-- dump date 20240514_090105 -- class Genbank::CDS -- table cds_translation -- id translation H257_00001 MVTAAGVLIVRLVRMKTSDEQGLSLYYDEKFLGCPRTTPLAKGA KRDASVPKSDVVRAKKSRGEAIMLSTLWFEWFTLVPHPWNQGNVGNRQHRSDMSCLLT SCDFSSKAGGACLKKLRELHCAGHLDTHIQRFDGLFRAGLVEDPSPLSSINQLRPLQL NASI H257_00002 MESDGGVEPLAIGHATKLRTLIEEATDQRDELQQTNAALQRKVV PLLQKKQDASAEKKDDRLSLVENEKRYYDCLKSVHEARAQLLTAQTQYDKIAMELQSR LDEKESKANEIQESFMEFKREVARSAENTRTGKPIPKRVIAQFEVAEMKKDQEVEKVR LKNINLRTHLRKLEQQLHAKEQLAEGLHLIDFEQLKIENQTLNEKIEERNEELHKLRK KTTTTVQVLTHIKEKLQFVLVENQNLKKDLAELDEDLTKNRDTLTKKKKERDGIRASQ QKMKHQQGFGNSQLLMQDYEKRKIDIEDYQGRLAQLKQRLAYLTKKTPTQSGEGTSN H257_00003 MALFLGIDCSTQSMTGVVIQSDEPSQSSSPFTLIASASFQFDKR LPHYGTTKGVLASNGHVEVPSLMFVEALELLLQDLTVELDKSGHAMSQIRAVSGCAQQ HTSVFWKVSSLKLPQDGRLHAFLKEQRAFDPENGRSWMDSSTSSHCKALEAALGGSQH VADITGSRAYERFTGIQLMALWGQVPSRVSLASSLLTSLFLGQLSSVEHSDASGMNVM DVRSRKWSAPIMDAMEAIGGVPLGTVRRVLGDEPIPSTESGGTVAKFFQSVHGFSADC IVLPFTGDNPSCLAGMGLSQCGDIGISLGTSGCLFAVGAASDVRPCGEFGHVMVNPVD PLTLMAMVCFKNGSLARENVRDRRAGGTWQEFSRLMELSPPGNHGYLGFFYLQPEITP VVPTESDSPTLSGVHGFNGHDEPQDALTWPAEVEVRAIVEWQCLAMYRYIQKLYKGPI RRIVVGGGASVNASILEVLSNVMQAPVYVEANGHHTAALGGALRAQHGFHCNEVKSAV PFCPAVDWELKATPNRRVHEVYKAMLQRFERLEGIAIASQRDRYYQP H257_00004 MEELLRKDENLSDFFMLGIRDSDMDGDHATGAALLDERKSIVNE LLKNPFDPALWKRLVGNCKRLAGRYPHLVQTIFRRALSCLKQPGLDGHQRSDHIDIIL DYGDLLKDPKRKRGLLRQALMEGLPQNQTKFFEYYAKFEKSQHETDTAHDMLNLAVAK SLLSSADRDRIWKGLNDAPPAPMAVVTSPTPQPTLRRDFVSGIQTPRQPQDVGVPRSI SKLREGLMTPLSSRQHPSSTRSSQKFPRITFDRANLGLPQRVLKSEHMSQDSDKENDE PESTRPPTVESSKNVLPERPTSGATLWQPSKIPQRASTDFKTFAAPDALKQRYGGPTA IARSSDLPPSISTPSRGTAPLATPKSAMATGNHTAVKHVVKRPLSPSKPYQVSEDLMD SPTKSDMEITLAHEYEALENPSKRRRNEPNERIASSPVTSKANTPSPPPLFSASPSDS RPLHVTVVDRLIDPKNHFTVNGITFLSLKQIGSGGTSKVFRVLGPDMQTYALKRIKMK KMDEASVTSYQNEISLLKSLQGSPHVIKLVANELDYNLKVLYVVMEIGEIDLMNKLKE LKQHNIVVEENFLRIVWHQMLQAVNYIHNRRIIHGDLKPANFLFVNGAIKLIDFGIAK AISNDTTNVILEQVEGTANYMPPEVAASSLGRSETPQKVGRAGDIWSLGCILYQIVYG DTPFGNVTHMIQKFIMIADPNHSISFPPLKNKQLAHVVQSCLQWDPKLRPAIEGPHGL LEHPFLYPDRVVAPTEHSVCESLRKATSFVQAATRFSRNIEHDTAMLVAAVKAAKRAR AE H257_00004 MEELLRKDENLSDFFMLGIRDSDMDGDHATGAALLDERKSIVNE LLKNPFDPALWKRLVGNCKRLAGRYPHLVQTIFRRALSCLKQPGLDGHQRSDHIDIIL DYGDLLKDPKRKRGLLRQALMEGLPQNQTKFFEYYAKFEKSQHETDTAHDMLNLAVAK SLLSSADRDRIWKGLNDAPPAPMAVVTSPTPQPTLRRDFVSGIQTPRQPQDVGVPRSI SKLREGLMTPLSSRQHPSSTRSSQKFPRITFDRANLGLPQRVLKSEHMSQDSDKENDE PESTRPPTVESSKNVLPERPTSGATLWQPSKIPQRASTDFKTFAAPGQPIAWKSTPVS ASASDFDIEHARFGDADALKQRYGGPTAIARSSDLPPSISTPSRGTAPLATPKSAMAT GNHTAVKHVVKRPLSPSKPYQVSEDLMDSPTKSDMEITLAHEYEALENPSKRRRNEPN ERIASSPVTSKANTPSPPPLFSASPSDSRPLHVTVVDRLIDPKNHFTVNGITFLSLKQ IGSGGTSKVFRVLGPDMQTYALKRIKMKKMDEASVTSYQNEISLLKSLQGSPHVIKLV ANELDYNLKVLYVVMEIGEIDLMNKLKELKQHNIVVEENFLRIVWHQMLQAVNYIHNR RIIHGDLKPANFLFVNGAIKLIDFGIAKAISNDTTNVILEQVEGTANYMPPEVAASSL GRSETPQKVGRAGDIWSLGCILYQIVYGDTPFGNVTHMIQKFIMIADPNHSISFPPLK NKQLAHVVQSCLQWDPKLRPAIEGPHGLLEHPFLYPDRVVAPTEHSVCESLRKATSFV QAATRFSRNIEHDTAMLVAAVKAAKRARAE H257_00004 MEELLRKDENLSDFFMLGIRDSDMDGDHATGAALLDERKSIVNE LLKNPFDPALWKRLVGNCKRLAGRYPHLVQTIFRRALSCLKQPGLDGHQRSDHIDIIL DYGDLLKDPKRKRGLLRQALMEGLPQNQTKFFEYYAKFEKSQHETDTAHDMLNLAVAK SLLSSADRDRIWKGLNDAPPAPMAVVTSPTPQPTLRRDFVSGIQTPRQPQDVGVPRSI SKLREGLMTPLSSRQHPSSTRSSQKFPRITFDRANLGLPQRVLKSEHMSQDSDKENDE PESTRPPTVESSKNVLPERPTSGATLWQPSKIPQRASTDFKTFAAPDALKQRYGGPTA IARSSDLPPSISTPSRGTAPLATPKSAMATGNHTAVKHVVKRPLSPSKPYQVSEDLMD SPTKSDMEITLAHEYEALENPSKRRRNEPNERIASSPVTSKANTPSPPPLFSASPSDS RPLHVTVVDRLIDPKNHFTVNGITFLSLKQIGSGGTSKVFRVLGPDMQTYALKRIKMK KMDEASVTSYQNEISLLKSLQGSPHVIKLVANELDYNLKVLYVVRQERDRCGVCRRCV AYVCVGDGDWRNRPHEQTQGAQATQHRRGRKLPPDSVASNAAGSQLHSQQAHYSWGFK AGQLFVRQWRHQADRLWNCQGHLERHDQRHLGAGRRHRQLHATRSGRELAWPQRNPAK GGASGRHLVPWVHLVPDRIRGHSVRKRDAHDSKVYHDRRPQPLDQLSSPQEQAAGPRR AVVPPVGPQAATSHRGPPWTTGAPIPVPRPRGRPHRALCVRVPAQGNVFRASRHQV H257_00005 MASWMRSGLQSMVDTSRRLKEEILQTTGVHDSGCVDPVLDTRTQ RFNQQCTAIERLHASTAEYVKQADAMAKASSALVHEFKAFFNIQLAQGKVDKESEDLV RQLAQASECLESIHWGLQKSVHAASSTMLTEKVLKPISKLKQHNTTIQKHVQLRKQKM LDFDALRRAAGTSPESQAKLRIAEESVVKATVDLNDTFDRMDDNRGFILRNEFLALTA SQIFVSTKCHVGLQQLLPLMPGVAGRLFDLSLLSQAPQLASDPVQHAALGVLDYAGAN CLLERPVNSMTRLDLPLPTTDRLDPASPFDVRIWMMPTVFHMKLDHARRARPRGLDVC SSARLPRIKCLPANPEFVIGIYHKPPQITLGRLASVVRCASS H257_00005 MASWMRSGLQSMVDTSRRLKEEILQTTGVHDSGCVDPVLDTRTQ RFNQQCTAIERLHASTAEYVKQADAMAKASSALVHEFKAFFNIQLAQGKVDKESEDLV RQLAQASECLESIHWGLQKSVHAASSTMLTEKVLKPISKLKQHNTTIQKHVQLRKQKM LDFDALRRAAGTSPESQAKLRIAEESVVKATVDLNDTFDRMDDNRGFILRNEFLALTA SQIFVSTKCHVGLQQLLPLMPGVAGRLFDLSLLSQAPQLASDPVQHAALGVLDYAGAN CLLERPVNSMTRLDLPLPTTDRLDPASPFDTMHAVQGLADSTYARPPASRESSAFQRT PSLS H257_00006 MVGYDAVDVATSSQVYLWMGIVGSVIHFVTISSYLVFPQLKTQH PSANLMVWHVACNFMLTIGFVGQHFLLGEDGMSDEGCAALSVYNQFFILGSGLWYMML SLDLLIALINPWMGYAIKTWAYHTITWSISSLSAASIYSLHLYGVSSLNICWIRRTLD AREPNDANWIFLFGPVLAVWFVSFAVLLFATFRFARRQMDSTYRSKRRSLLQYFRYLI MYGAFWVVCGGLYYSAYLRSFSGRSAHHIELAFMVALGSYPLLVGVVWGVNTDLLTHF QGGDPVLEPNVGLTEHFSTSLRKDLMKYTTVGIIRSLREPSEDSPVRRTDKSRSQPLS DPNISRSQSMSSASARSDEFEDGGTHLWYAEKKRLATTVRLNTSKLQVYYDKLGFTDY APRVFANLRAIAGIDPEAYESSFVGTLSEIASEGKSGMLFYFTSDRRYIVKTMTKDEH AFLLRMLPSYHAYVRTQPSTLLCRFLGCHSMQLPVGWDKMFFVVMENVLSTHHEIDDR YDLKGLFAPVLHPPLRVFLKDDQTARLLSPPQTATKQLWHDHEFVARGTYLNVASTAR LELLTQMTSDIGFLQEMDIMDYSCIVGIREFDAETESTELLPKNAIVSADAKKVYYLG FIDILQSYGLRWKVQNLVLSLVRDKRTITALPPPEYALRFLSFLHAHLLRSSADGSAS FKSMTARSSFNYGTL H257_00006 MSDEGCAALSVYNQFFILGSGLWYMMLSLDLLIALINPWMGYAI KTWAYHTITWSISSLSAASIYSLHLYGVSSLNICWIRRTLDAREPNDANWIFLFGPVL AVWFVSFAVLLFATFRFARRQMDSTYRSKRRSLLQYFRYLIMYGAFWVVCGGLYYSAY LRSFSGRSAHHIELAFMVALGSYPLLVGVVWGVNTDLLTHFQGGDPVLEPNVGLTEHF STSLRKDLMKYTTVGIIRSLREPSEDSPVRRTDKSRSQPLSDPNISRSQSMSSASARS DEFEDGGTHLWYAEKKRLATTVRLNTSKLQVYYDKLGFTDYAPRVFANLRAIAGIDPE AYESSFVGTLSEIASEGKSGMLFYFTSDRRYIVKTMTKDEHAFLLRMLPSYHAYVRTQ PSTLLCRFLGCHSMQLPVGWDKMFFVVMENVLSTHHEIDDRYDLKGLFAPVLHPPLRV FLKDDQTARLLSPPQTATKQLWHDHEFVARGTYLNVASTARLELLTQMTSDIGFLQEM DIMDYSCIVGIREFDAETESTELLPKNAIVSADAKKVYYLGFIDILQSYGLRWKVQNL VLSLVRDKRTITALPPPEYALRFLSFLHAHLLRSSADGSASFKSMTARSSFNYGTL H257_00007 MAPPRRRANKKAGSDSYANLSADERKQQGGDAKTRGNAAYSSGD FATAIKEFTTAIAYEPHNHAYFSNRSAAYLNNGNAAAALQDANKCIEIDAKWSKGYAR LGAAYYYVKSYEKAISAYTKGLSLEKGNKQLQAGLTQAQAALQVLEEEQSGVDMDDAT RKLKRLEIEEKINKARADREESAKRAERGFSEVIGIDLGTTYSCVGVWKDGQVEIIAN AEGNRTTPSWVAFNETERLIGEAAKLQAASNATNTVFDAKRIIGRNFSDPIVKKDAAH FPFKITKGEGDKPLIEVSFRGEAKSFTPEEISSMVLTRMKETAENYLGQEIKQAVVTV PAYFNDQQRQSTKDAGAIAGLDVKRIINEPTAAALAYGLDTNAGAEGKSNILIFDLGG GTFDVSILSIENGIFEVKSTGGDTHLGGEDFDSNMVDYLITEFKRKNKNLDPTTSARS MRRLRTACESAKRMLSTTTSATIEVDSLFEGVDFSSTMTRAKFESLNDECFKRTEETV LKVLQDANMEPGQITELVLVGGSTRIPKVQNMLSGLFGGKELSKSINPDEAVAYGAAV QGAILSGIRNDATNSLLLVDVTPLSLGIELVGKVMSVLIKRNTAIPVKKTRIYTTEED FQTTEKVVIYEGERASVVDNNKLGEFEITGIERAKRGEPKLEVTFEIDANGILHVSCK DKKTGAKNQTTISNNRGRLSQEDIDRMVDEAEKYKKADALLLKRIEARNELEGFIYRY LEVATKKGEAAAENLLRETRDWLEDHTEATVKELEDKKRALERVCRF H257_00009 MSDYKVSAALDVPRRTLRNWMSQRHEILAYDGNLKNMKLEPGGR YEVFPDPPGLIEFINRVRDNERALTTTHLDLSIKANQREWLNNYLATKQESTSYDSLL RLLQHFCDRHGFSRQRPTKNKVKQADIAEVQSDFAAEFHREYIAYGKECVYNVDETGI LYDMPRWSRLCLQAISFRLCGRQLRYVP H257_00012 MPSSDASAAAGDLRGSFQLAIKKYLHVKTKSKKSRAKKPRLMTE PAVASPDLEAVSKCVVEIELPLNSDWVSSLVVVDVVRVTLSCLADVHEHAPLAAASDQ SPASSDDVTHLQTMLGRGESHPLSALLLNVLRKCVKLACIDVGDTFKLCMDCLVEWIV LNEGANWTWLLAFAGRVAPKDLTAYSLTHLVTGEWERSHAVVFTNLDSYLAVEYPWHV TKGVVDVLDKAIAAENAPTTVSRLLNLLGRGANVTRACDAKFTTLLTIPRVSALMQTC GADVQTKLLDVLQLHSAVLSETPVHLLPLLDDLSGHDAVGRAVAAWLHTTKCDAFVDR LVDALPYIHQHVKSSSVLAPYVAAITTNAHRTLDRTHSVCQAFIRLHDLGPTSLDVNA LPSSTGVCLDLLAADLTSAAVAPQKKRRVLALMLQIIHQGQDRWTSSLAAWKQAHGVS HWQLFLTLAASSDAEISLAALQLMQDVPYPTLEDPLWQYRCLHDLLRLFFSLFDDVPR LELVKKVLSTIMRESGGVLLYPASVTSTFVNLVVDAVVSADAPTTVPHDVPQEMNFFR GKKPASVARHLCATNCLRPYSPFPKSVQQETSDENQVDVFSQLQTMRLSSVVAPSQFE SHLLATRARDMQRAVSCCEHVQELLYNALNLTSFPASFASADVLVDAWLERTLPVAMF IPPDDHYREVLPERSNFDIDLRMEQWVYRYPCFIPLTKAAVLYSSPQVAARCLPLLKA VLVVLVNFWHARRFQKQANGDASWELHVSIEVMSIVEGTGWVPEPLNSSALLFPHVSA MDIRSMLHAVWMYLADHPPFLQSPAKPDEAYQIPIQNAIHNNIHSIGHLFGHFCQ H257_00013 MSVATAYRTLGLAPGASSDEVRVAYKRLALQYHPDKNRAGVDTT EVFQRISAAYKHISDTSQNQHEGGGSMDDGDFDGTVPFDEFMQMFEAMFQNPSMSAFR TKKKAKGKRPGMRRRGGGRPRMDFDDILFAGMSAFGGMQDSQSFFDAMPGESFDDNDD DELSALFEEMARMQRRPTKSKFRSSSRRKAGYTHKAAQTATTTTTNESDESGDATDDA PSTSPTAAIQIGDKVVVAMSRQGTVAFVGNVHYCKGDMVGIVFDEAVGKNNGTIKGVE YFTCAPQHGLMVQLCDVVRV H257_00013 MSVATAYRTLGLAPGASSDEVRVAYKRLALQYHPDKNRAGVDTT EVFQRISAAYKHISDTSQNQHEGGGSMDDGDFDGTVPFDEFMQMFEAMFQNPSMSAFR TKKKAKGKRPGMRRRGGGRPRMDFDDILFAGMSAFGGMQDSQSFFDAMPGESFDDNDD DELSALFEEMARMQRRPTKSKFRSSSSSTLLLDVEDSSFSYLRPSRSQEGWVYAQGSP DGNNNHNQRVG H257_00014 MDLMMRLKGGQAFKAISDEFHAREQMLGQDGLPLADFVEIMLKG LPKAKSGADAYDTISGLIDLFNDIDINGDGTLELSEFTSYCVDAGMVATRVKVAPLKY QYIKNKEFVDRTTEGSGIEKIKWCPVLKRALVVETKANSVKIYTSDFKQFHQVFATSV NLPSAQVADLDDFSRQTAFTTDADLLVQDAEFITQCKLLVIATSNLTLAFYDVDHYSC AMETQTTVSQTMLRWCGGASVLATTGNNHVVSLWRVAADKVCLVKTLAAHHDVVLDVL PIREYDVILTCDIKRHIYMWDIQDFRSRGHLVGHTHGVRQMVFSSVNDMLLTAGFDFD AFGWDVSSKQVVMTLSGHRAPLVGIQLARFHTERAVTADVDGHFKVWNIHRLNGSSAQ LLETISNVTRFCPRSFVTLSPRRDIVAGSSVLHMFESIKIQKHDEIPLRAFYHHGSNL FIGVTETCVNLWDGTTGALLEEFTGLTKSQFLLCCQDALNRKLIVATEDGQVEVYNCT NLARVRKSTGNIGRIAAIQYDSINKLIVVSTFPSTRDRDDDEYGTGGIYVFDDSTIGE CDLLRSLTNVPVETSSFSYNASLVATVASDAAVHLWDFETLQLRCVCTHPTSLGLHVV AFWDPYPVLVAADNAGNVLFFPTASSQSSRPDGGVLHCLANAHVAATQGEASEECSVV TTLNCHYDDDAGTHMLVTGDERGVISIWSLNDMLGRLQLAAIPDTQLKSLRRGYHSRG KFHRVYEPDKTKTFLTSSRPHPRTTSARTTQPPSCQPCDIRRMYSWVAHTDSIHSIQL CEHPNLILSTSFDATIFLWDWKGTNLGSLTNFEHNIVVAQPPWKFAKENQKRERERRD VVEELMKKMDLSPDERKLQDIQRRKSRRPVAGHAINPVLQDLMDDNTRLQHAPPPPHK SRKRMDPAAAHANGRQLSLVQVGPLIAHRAMARSASPATDDSPNSEDTIDMDTKVELK SGVQSLHARLEMHQNHENLVQTSTRMYVNYMGIQHDKSHKSSRVRCGKLRDVDVHPSP FLREKLGAADVVTMNHSVFTQRPNTAPALKATSHCLPPCMSKHRHPPGASARDAGKVP KSTKSMSALLHLDDDPPAAAGVSPSASTGKLKKINDIILCATTCAESEDGGDKTGMVF LCNQQQELRQRMAATQIKHDQLVAPHATRGTKREANHLRTTQQLVEKQKRASRYLAQK KRHASAKIGSVLRHTSMMMMPTSHEAATVVNLQPRFGMYSIKEVMVIIRLFWSLDVDC SGTVSESELMGCQQYFEKLGITDMSTMFRSLDSDGTGEVSLGDLLKICFLYASATDIK DMLTLEKLGRAPAMLATDAPLTPEQIADMHAIFEVFDRDHSGTVSLDEVLDAVQCKQD NSYDPPALSMDEIRKIYQAKDRHGKKELDFSDFMALLQGVYYSNNRNK H257_00015 MSRKGTKMGLGEFLTENNSNVRAAPINAGGLEHLPRGPRERTDD DNGGFRGGRGGGRDDRREREPMRADGSDRWRGDREQPRGGGGYQDDRPRRDERAFEER PSHMRLNLTRRGEESAPPASGGFRSSDRFASASDDKFSRAFSSRGGGDDRREPSRFGD RDGGRFNDGPRFGDRDGGRFGDRDGGRFGDRDGGAPRYNDRDGGRPRFGDREGGGRFN DGPRFGDRDGGRFNNDGPRYNDRDGGRYGSDRRYDDDRSASRVTSAFERQTLDDQPDP AEVARLKKEEEKAARDAEKKRKEAEKKEAKRLAEEAAVVAAEEKKAALAAAADKAAKD RSVVQTVLATGKKGKELAKAAKTTLKGVTAAVVLAEVVEHNKESAITSSKWLTPDEYG SLLEVTVGAAPAKEQLQALYALQLFMHEHRGREGNRTKGMMELAFKALYAFDIVSDEA FIEYKYDTEDSTAGKMQAIIETSEWLSWLESYEASDDDEEEEDE H257_00016 MGVFASLWTAMAAAAILSAGMHEAKAGTSSTYSVLETVVEGESK LSVVTSEVSFDGGSIALRFLLSDQSIIGGQFEDPEYSDQAIFPGFAIMQASRYLDRPL ARAMQIGLGVGTVPTFLREHGVPTDVVEISGGVVKLAEEHFGYENCVVPQPQQKSRDK ASPCPNGKTVVMDGLVYLSSNVPPKPSYDLVIVDVYTGYNVVPFYTDATMWQLKESWL KPRGVVVLNFVGYDNSDLVRAIHTTLRSVFQHVRCFREMAQSIEGEPANLVFYASSAP VTFKLPTGSLYEDNPAGYYEVIAHFQQWEITSWTPASVEVAVAQDEFSSELGFDDLKP VADGALILKSSADFDQFRETLQATEVYMREYCIAQFPAALWTQLGLPVS H257_00017 MDKGVQSLTFEELSILNERKEEIKHDHNAYLDGHPELKTLLSSF MSAVLIEKPSDVLGFAKDHFDALKPIKISPDPLVVTGPSGVGKGTLITRLLGKYPTQF GFSVSHTTRDPRKGEVDGVAYNFVTADEFESHVQSNAFLEFAYVHGNGYGTSVRAVEE VQTQEKICILDIDIQGVQQVKKSSSKMKFLFIAPPSMSDLEKRLRGRGTEAEEKVTLR LANAKAEMDFAAQGHFDKVLVNNDLDEAFAELEETMAEWYPQFRFK H257_00017 MDKGVQSLTFEELSILNERKEEIKHDHNAYLDGHPELKTLLSSF MSAVLIEKPSDVLGFAKDHFDALKPIKISPDPLVVTGPSGVGKGTLITRLLGKYPTQF GFSVSHTTRDPRKGEVDGVAYNFVTADEFESHVQSNAFLEFAYVHGNGYGTSVRAVEE VQTQEKICILDIDIQGVQQVKKSSSKMKFLFIAPPSMSDLEKRLRGR H257_00018 MSLPQKEPAAGDTSGRQGRMSAFHFSAVNEIVSPVLRDDHDEMM WEHQALTPSFEFNNAAGRLRSRRNSSHLLRSTSYSGQTESGDLDASKDITLFDGEYHS IYVSPAPKGWRGILVLLQSPILLVCIGIFAAFLGLWMDAMILRVTMFHQELASLGFFA FLIFSLAMGGVGTVLVHFVCPQAAGSGLPQMKVALSGVDMREYLSPTCLMVKMAGLVT AYAAGLSIGKEGPFIMMSCCFADILMQMGYFRRVYEDSSKRLEMLSCACAAGVAATFG TPFGGVLFSVEVTSSFYMVRNLPRSFFAALAGAVTIAFLVTDGQYGLFDRSAGLGITD TNFTKVFTYVDAGIFVFIGVVSGVLGAVFISIISVLVRVRDSWFLSLGGPPLLYKRLI VVLVVTIIANVSNFYGDPAWFLHRGSPQKIIDTLFTQSPTREDGALLARSLLTFLPLK YFLTIVCVVVPLPAGIFTPTFVIGGIFGRLVGEAIVVTQFVPTKFEPYEFAIIGAAAF SAGVTRAISTAVIIMEISHNGYLTIPVSISILAAYFTGGRFVENVYDVLISTARLPRL LKLPKAAYDIPTWEVMKTVDKIDFLSADVSYRKAQDMLTSSQEPVFPIVDNTEFMHLI GAVTRSRLKEAIDYCKLKSEALAQDGKQAQKSLMDWRIQFAYRRGGHILSLDDNAECT RTKLTVLMNPSPFQVVEMTTMQRVDAVFRMLKLNNAYVTQAGRLVGVVSRARLMRFLG TATKYRIPGVLRHLSHIFCNVYPDEKHDDANLKNEPNADDYVPIP H257_00018 MSLPQKEPAAGDTSGRQGRMSAFHFSAVNEIVSPVLRDDHDEMM WEHQALTPSFEFNNAAGRLRSRRNSSHLLRSTSYSGQTYGTSGESGDLDASKDITLFD GEYHSIYVSPAPKGWRGILVLLQSPILLVCIGIFAAFLGLWMDAMILRVTMFHQELAS LGFFAFLIFSLAMGGVGTVLVHFVCPQAAGSGLPQMKVALSGVDMREYLSPTCLMVKM AGLVTAYAAGLSIGKEGPFIMMSCCFADILMQMGYFRRVYEDSSKRLEMLSCACAAGV AATFGTPFGGVLFSVEVTSSFYMVRNLPRSFFAALAGAVTIAFLVTDGQYGLFDRSAG LGITDTNFTKVFTYVDAGIFVFIGVVSGVLGAVFISIISVLVRVRDSWFLSLGGPPLL YKRLIVVLVVTIIANVSNFYGDPAWFLHRGSPQKIIDTLFTQSPTREDGALLARSLLT FLPLKYFLTIVCVVVPLPAGIFTPTFVIGGIFGRLVGEAIVVTQFVPTKFEPYEFAII GAAAFSAGVTRAISTAVIIMEISHNGYLTIPVSISILAAYFTGGRFVENVYDVLISTA RLPRLLKLPKAAYDIPTWEVMKTVDKIDFLSADVSYRKAQDMLTSSQEPVFPIVDNTE FMHLIGAVTRSRLKEAIDYCKLKSEALAQDGKQAQKSLMDWRIQFAYRRGGHILSLDD NAECTRTKLTVLMNPSPFQVVEMTTMQRVDAVFRMLKLNNAYVTQAGRLVGVVSRARL MRFLGTATKYRIPGVLRHLSHIFCNVYPDEKHDDANLKNEPNADDYVPIP H257_00018 MSLPQKEPAAGDTSGRQGRMSAFHFSAVNEIVSPVLRDDHDEMM WEHQALTPSFEFNNAAGRLRSRRNSSHLLRSTSYSGQTESGDLDASKDITLFDGEYHS IYVSPAPKGWRGILVLLQSPILLVCIGIFAAFLGLWMDAMILRVTMFHQELASLGFFA FLIFSLAMGGVGTVLVHFVCPQAAGSGLPQMKVALSGVDMREYLSPTCLMVKMAGLVT AYAAGLSIGKEGPFIMMSCCFADILMQMGYFRRVYEDSSKRLEMLSCACAAGVAATFG TPFGGVLFSVEVTSSFYMVRNLPRSFFAALAGAVTIAFLVTDGQYGLFDRSAGLGITD TNFTKVFTYVDAGIFVFIGVVSGVLGAVFISIISVLVRVRDSWFLSLGGPPLLYKRLI VVLVVTIIANVSNFYGDPAWFLHRGSPQKIIDTLFTQSPTREDGALLARSLLTFLPLK YFLTIVCVVVPLPAGIFTPTFVIGGIFGRLVGEAIVVTQFVPTKFEPYEFAIIGAAAF SAGVTRAISTAVIIMEISHNGYLTIPVSISILAAYFTGGRFVENVYDVLISTARLPRL LKLPKAAYDIPTWEVMKTVDKIDFLSADVSYRKAQDMLTSSQEPVFPIVDNTEFMHLI GAVTRSRLKEAIDYCKLKSEALAQDGKQAQKSLMDWRIQFAYRRGGHILSLDDNAECT RTKLTVLMNPSPFQVVEMTTMQRVDAVFRMLKLNNAYVTQAGRLVGVVSRARLMRFLG TVNESSIP H257_00018 MSLPQKEPAAGDTSGRQGRMSAFHFSAVNEIVSPVLRDDHDEMM WEHQALTPSFEFNNAAGRLRSRRNSSHLLRSTSYSGQTYGTSGESGDLDASKDITLFD GEYHSIYVSPAPKGWRGILVLLQSPILLVCIGIFAAFLGLWMDAMILRVTMFHQELAS LGFFAFLIFSLAMGGVGTVLVHFVCPQAAGSGLPQMKVALSGVDMREYLSPTCLMVKM AGLVTAYAAGLSIGKEGPFIMMSCCFADILMQMGYFRRVYEDSSKRLEMLSCACAAGV AATFGTPFGGVLFSVEVTSSFYMVRNLPRSFFAALAGAVTIAFLVTDGQYGLFDRSAG LGITDTNFTKVFTYVDAGIFVFIGVVSGVLGAVFISIISVLVRVRDSWFLSLGGPPLL YKRLIVVLVVTIIANVSNFYGDPAWFLHRGSPQKIIDTLFTQSPTREDGALLARSLLT FLPLKYFLTIVCVVVPLPAGIFTPTFVIGGIFGRLVGEAIVVTQFVPTKFEPYEFAII GAAAFSAGVTRAISTAVIIMEISHNGYLTIPVSISILAAYFTGGRFVENVYDVLISTA RLPRLLKLPKAAYDIPTWEVMKTVDKIDFLSADVSYRKAQDMLTSSQEPVFPIVDNTE FMHLIGAVTRSRLKEAIDYCKLKSEALAQDGKQAQKSLMDWRIQFAYRRGGHILSLDD NAECTRTKLTVLMNPSPFQVVEMTTMQRVDAVFRMLKLNNAYVTQAGRLVGVVSRARL MRFLGTVNESSIP H257_00018 MSLPQKEPAAGDTSGRQGRMSAFHFSAVNEIVSPVLRDDHDEMM WEHQALTPSFEFNNAAGRLRSRRNSSHLLRSTSYSGQTYGTSGESGDLDASKDITLFD GEYHSIYVSPAPKGWRGILVLLQSPILLVCIGIFAAFLGLWMDAMILRVTMFHQELAS LGFFAFLIFSLAMGGVGTVLVHFVCPQAAGSGLPQMKVALSGVDMREYLSPTCLMVKM AGLVTAYAAGLSIGKEGPFIMMSCCFADILMQMGYFRRVYEDSSKRLEMLSCACAAGV AATFGTPFGGVLFSVEVTSSFYMVRNLPRSFFAALAGAVTIAFLVTDGQYGLFDRSAG LGITDTNFTKVFTYVDAGIFVFIGVVSGVLGAVFISIISVLVRVRDSWFLSLGGPPLL YKRLIVVLVVTIIANVSNFYGDPAWFLHRGSPQKIIDTLFTQSPTREDGALLARSLLT FLPLKYFLTIVCVVVPLPAGIFTPTFVIGGIFGRLVGEAIVVTQFVPTKFEPYEFAII GAAAFSAGVTRAISTAVIIMEISHNGYLTIPVSISILAAYFTGGRFVENVYDVLISTA RLPRLLKLPKAAYDIPTWEVMKTVDKIDFLSADVSYRKAQDMLTSSQEPVFPIVDNTE FMHLIGAVTRSRLKEAIDYCKLKSEALAQDGKQAQKSLMDWRIQFAYRRGGHILSLDD NAECTRTKLTVLMNPSPFQVVEMTTMQRVDAVFRMLKLNNA H257_00019 MDAMSDYEKMARQAAEDGYVCPPCKTFYPTPDEFLNPLQYIASI RAEASATGICKVVPPPGWRPPFAVNEKKFKFRTRLQPLNYIEGNARQESTFMEKLRLF LYRNGTPMEQTPVLDGLGANLSTLYKLVCDMGGFDVVEKASAWSRVVQTLSEVTDLAP AAPTIDDDVRALYATYLLPLELFEATKSTKIEPTKADPTPLPRTDTGTPDATKPSLPD LLAAPVKRGRGRPRKADAAVRAIAPRVPSTPPPPPTTADGDLTTPTVFPGFIKRGRGR PRKADSLAMRLLGADAQVPVDPTLLETSALAVDPLRTCRLPPPSVRVGQKFYRCIVAG TAVLGEVKRVLPGKKPMVVVEYPEGVRDTLSYGTMQLILANGSSSDSAQLALNSRICQ YCLRGDCDSQMLRCSGCSGGYHTFCLSRPIASVPPGDWYCEVCIAEQVAQKPEKFGFE TGAEHTLASFKAKADAWQLAYFATPPSVQQLEAEYWRVVTNPDKKIQVEYGSDVDTGA MGSGFPTLSKVNKLRNRLVDRFNAVHESPHLLDPTDLALKRVLAEDLDMQTVNQVHKY ATSPWNLNNLPKLNGSMLQYLNEDIKGVMVPWMYVGMCFSTFCWHVEDHNFYSISYLH RGAPKTWYGVPGHAAAKMESVMRKLTPGLFGSQPDLHMQLVTMFSPQTLQKHGVPVYR ATHNPNEFIITYPSSYHGGFNNGFNLAEAVNFATPDWIAWGHTAVQNYKKFSKVPVFS HDALLVTVTLASLEGTNALDSDSVRSYLLPSLKTLRDETVAFWDAVRQYGITKSEAMD GYLESHGRSTFSRASARIRLATAADEDEDDPPPRPSKMMRSDKMGGKMMASNSRAARQ VLWAGRSGKHDGLRCSQCQQYCYVAAVVCFKCRAIGCADHFNSQCKCDAKANGIWLHH VDTTVLSQYIDTLERKFQDAQAWEARVDGAVTLDDLTLAVAQGDQLIAQHVDVPIRRL YQEKLVMLALHKWQRHAKRCLETGTTLKELDELAREAASFKYTMPQVHQISTLMETTR TLQEQAQLVVHEMKLLKADNEQGGDADDDDDGNSMEALQREAAEFDVFAQTIETLLAN LRPVTIPETAALQTDLAYVNWLRAANALVTKAMANSSAVLSTATTLYPTLADIDLVWS SCPVKTVRLLDRVAHLRQACIDEGLKWHVTTANNPKSVEEMERLLQRLVRLPAFPQEV VHLLSKWKQAKLWTEKATKALAKPKLGWTDALALEAQADSTDVPMSSLLRRQLHGRIQ DGNRWIARTNSLFKWEGSAVHSLQETLERNAVEDEITSSLICICEQTYHEHVPVLRCT GCTTLFHRRCIGDVVSTSFLCKTCCSSRRKPYTGGVVVDNPTEAVYCLCRTPVDNIPM ICCDFCDEWYHAKCIGLSPAVLSSLEAYRCNACAIRQHIPPRHPARPNWKQVRAHIAR GESLQIHVPGLDELKALVAHGLDVIADVTAFEQSFLDRCALATIAHRMDTLAQELDDK VAAVRRVESLVLLDPAKHKLLPLQWFLHACRLIFCSTPAPRYSQLVVLLNDVALHKLE FPTPELDRFYREIERKLARAVTWVTQVKTMNMKAPSCDLVALQAEAEEISHFLVLPDA AVSNFNLALKFHYQR H257_00019 MDAMSDYEKMARQAAEDGYVCPPCKTFYPTPDEFLNPLQYIASI RAEASATGICKVVPPPGWRPPFAVNEKKFKFRTRLQPLNYIEGNARQESTFMEKLRLF LYRNGTPMEQTPVLDGLGANLSTLYKLVCDMGGFDVVEKASAWSRVVQTLSEVTDLAP AAPTIDDDVRALYATYLLPLELFEATKSTKIEPTKADPTPLPRTDTGTPDATKPSLPD LLAAPVKRGRGRPRKADAAVRAIAPRVPSTPPPPPTTADGDLTTPTVFPGFIKRGRGR PRKADSLAMRLLGADAQVPVDPTLLETSALAVDPLRTCRLPPPSVRVGQKFYRCIVAG TAVLGEVKRVLPGKKPMVVVEYPEGVRDTLSYGTMQLILANGSSSDSAQLALNSRICQ YCLRGDCDSQMLRCSGCSGGYHTFCLSRPIASVPPGDWYCEVCIAEQVAQKPEKFGFE TGAEHTLASFKAKADAWQLAYFATPPSVQQLEAEYWRVVTNPDKKIQVEYGSDVDTGA MGSGFPTLSKVNKLRNRLVDRFNAVHESPHLLDPTDLALKRVLAEDLDMQTVNQVHKY ATSPWNLNNLPKLNGSMLQYLNEDIKGVMVPWMYVGMCFSTFCWHVEDHNFYSISYLH RGAPKTWYGVPGHAAAKMESVMRKLTPGLFGSQPDLHMQLVTMFSPQTLQKHGVPVYR ATHNPNEFIITYPSSYHGGFNNGFNLAEAVNFATPDWIAWGHTAVQNYKKFSKVPVFS HDALLVTVTLASLEGTNALDSDSVRSYLLPSLKTLRDETVAFWDAVRQYGITKSEAMD GYLESHGRSTFSRASARIRLATAADEDEDDPPPRPSKMMRSDKMGGKMMASNSRAARQ VLWAGRSGKHDGLRCSQCQQYCYVAAVVCFKCRAIGCADHFNSQCKCDAKANGIWLHH VDTTVLSQYIDTLERKFQDAQAWEARVDGAVTLDDLTLAVAQGDQLIAQHVDVPIRRL YQEKLVMLALHKWQRHAKRCLETGTTLKELDELAREAASFKYTMPQVHQISTLMETTR TLQEQAQLVVHEMKLLKADNEQGGDADDDDDGNSMEALQREAAEFDVFAQTIETLLAN LRPVTIPETAALQTDLAYVNWLRAANALVTKAMANSSAVLSTATTLYPTLADIDLVWS SCPVKTVRLLDRVAHLRQACIDEGLKWHVTTANNPKSVEEMERLLQRLVRLPAFPQEV VHLLSKWKQAKLWTEKATKALAKPKLGWTDALALEAQADSTDVPMSSLLRRQLHGRIQ DGNRWIARTNSLFKWEGSAVHSLQETLERNAVEDEITSSLICICEQTYHEHVPVLRCT GCTTLFHRRCIGDVVSTSFLCKTCCSSRRKPYTGGVVVDNPTEAVYCLCRTPVDNIPM ICCDFCDEWCD H257_00019 MDAMSDYEKMARQAAEDGYVCPPCKTFYPTPDEFLNPLQYIASI RAEASATGICKVVPPPGWRPPFAVNEKKFKFRTRLQPLNYIEGNARQESTFMEKLRLF LYRNGTPMEQTPVLDGLGANLSTLYKLVCDMGGFDVVEKASAWSRVVQTLSEVTDLAP AAPTIDDDVRALYATYLLPLELFEATKSTKIEPTKADPTPLPRTDTGTPDATKPSLPD LLAAPVKRGRGRPRKADAAVRAIAPRVPSTPPPPPTTADGDLTTPTVFPGFIKRGRGR PRKADSLAMRLLGADAQVPVDPTLLETSALAVDPLRTCRLPPPSVRVGQKFYRCIVAG TAVLGEVKRVLPGKKPMVVVEYPEGVRDTLSYGTMQLILANGSSSDSAQLALNSRICQ YCLRGDCDSQMLRCSGCSGGYHTFCLSRPIASVPPGDWYCEVCIAEQVAQKPEKFGFE TGAEHTLASFKAKADAWQLAYFATPPSVQQLEAEYWRVVTNPDKKIQVEYGSDVDTGA MGSGFPTLSKVNKLRNRLVDRFNAVHESPHLLDPTDLALKRVLAEDLDMQTVNQVHKY ATSPWNLNNLPKLNGSMLQYLNEDIKGVMVPWMYVGMCFSTFCWHVEDHNFYSISYLH RGAPKTWYGVPGHAAAKMESVMRKLTPGLFGSQPDLHMQLVTMFSPQTLQKHGVPVYR ATHNPNEFIITYPSSYHGGFNNGFNLAEAVNFATPDWIAWGHTAVQNYKKFSKVPVFS HDALLVTVTLASLEGTNALDSDSVRSYLLPSLKTLRDETVAFWDAVRQYGITKSEAMD GYLESHGRSTFSRASARIRLATAADEDEDDPPPRPSKMMRSDKMGGKMMASNSRAARQ VLWAGRSGKHDGLRCSQCQQYCYVAAVVCFKCRAIGCADHFNSQCKCDAKANGIWLHH VDTTVLSQYIDTLERKFQDAQAWEARVDGAVTLDDLTLAVAQGDQLIAQHVDVPIRRL YQEKLVMLALHKWQRHAKRCLETGTTLKELDELAREAASFKYTMPQVHQISTLMETTR TLQEQAQLVVHEMKLLKADNEQGGDADDDDDGNSMEALQREAAEFDVFAQTIETLLAN LRPVTIPETAALQTDLAYVNWLRAANALVTKAMANSSAVLSTATTLYPTLADIDLVWS SCPVKTVRLLDRVAHLRQACIDEGLKWHVTTANNPKSVEEMERLLQRLVRLPAFPQEV VHLLSKWKQAKLWTEKATKALAKPKLGWTDALALEAQADSTDVPMSSLLRRQLHGRIQ DGNRWIARTNSLFKWEGSAVHSLQETLERNAVEDEITSSLICICEQTYHEHVPVLRCT GCTTLYD H257_00020 MKIAVCALGWLAASVAAVTFRVPVTKLDDAQFVEGYLKGQRLKA PRVWDPTGLTLEDHGSVVIEDFGNAQYFGEISLGTPGQKFNVVFDTGSSNLWVPNRLF GTHHVYNHKESSTYKPNGTTFHIQYGSGPVSGFLSQDTLQFGGLTLTDQFFAEINVTK GLGPMYYLGHFDGIFGLAFDSISVGHVKTPFHRLLDTLDKPVFAFYLGDNQPGELTFG ELDPKHYKGDITYVKLSSATYWQIPVDSIKVGGSEVSGPTQAIVDSGTSLLAGPKALV KSLAKQAGAHPFIMGEYLIQCDSPGPAISFTLGGNVYTLTKQDYIIRSGPICLFAFVG LDIPSGPLWILGDVFMRKHYTVFEWNTNGTSRVGFADVA H257_00021 MKWRAALFVAAAAVSSGVTLWLCSTLYYQYIVHSCGSQAMEGGG TRVVVVSDAHMLGHRKRSTVERLWVDWQAWLSFTTIVSRRRPDLIVFLGDQFDEGTAA TNNVVHKEYIARFREIFDPNVAQSLHLLGNHDAAFGPGLTAPLVGRHEREFGPANRVV NVNNVLFLQLNTMALESDVLDQQVHHDAMAYDHPPYLLCPYEVSPCPLIVSSRRFLDS VEEKRRSTGFPPLVLLTHLPLYRPDDLACGAQRAAESGHITYEAPSFKYTERHHVLSK ALSERLLATIRPVLVLSGHSHATCAHAHRVHYQPHPVQEYTIPTFSWGMRPDPSFAIL TVLDTLPTASKDDPSSVGLHLATCSLPRESHFVAVLVLAAGLHASVAWVIYSHLWPSR PLHSPRND H257_00021 MKWRAALFVAAAAVSSGVTLWLCSTLYYQYIVHSCGSQAMEGGG TRVVVVSDAHMLGHRKRSTVERLWVDWQAWLSFTTIVSRRRPDLIVFLGDQFDEGTAA TNNVVHKEYIARFREIFDPNVAQSLHLLGNHDAAFGPGLTAPLVGRHEREFGPANRVV NVNNVLFLQLNTMALESDVLDQQVHHDAMAFLDSVEEKRRSTGFPPLVLLTHLPLYRP DDLACGTYIYIDWICKTQIIFMKSRVGAQRAAESGHITYEAPSFKYTERHHVLSKALS ERLLATIRPVLVLSGHSHATCAHAHRVHYQPHPVQEYTIPTFSWGMRPDPSFAILTVL DTLPTASKDDPSSVGLHLATCSLPRESHFVAVLVLAAGLHASVAWVIYSHLWPSRPLH SPRND H257_00021 MKWRAALFVAAAAVSSGVTLWLCSTLYYQYIVHSCGSQAMEGGG TRVVVVSDAHMLGHRKRSTVERLWVDWQAWLSFTTIVSRRRPDLIVFLGDQFDEGTAA TNNVVHKEYIARFREIFDPNVAQSLHLLGNHDAAFGPGLTAPLVGRHEREFGPANRVV NVNNVLFLQLNTMALESDVLDQQVHHDAMAFLDSVEEKRRSTGFPPLVLLTHLPLYRP DDLACGAQRAAESGHITYEAPSFKYTERHHVLSKALSERLLATIRPVLVLSGHSHATC AHAHRVHYQPHPVQEYTIPTFSWGMRPDPSFAILTVLDTLPTASKDDPSSVGLHLATC SLPRESHFVAVLVLAAGLHASVAWVIYSHLWPSRPLHSPRND H257_00021 MKWRAALFVAAAAVSSGVTLWLCSTLYYQYIVHSCGSQAMEGGG TRVVVVSDAHMLGHRKRSTVERLWVDWQAWLSFTTIVSRRRPDLIVFLGDQFDEGTAA TNNVVHKEYIARFREIFDPNVAQSLHLLGNHDAAFGPGLTAPLVGRHEREFGPANRVV NVNNVLFLQLNTMALESDVLDQQVHHDAMAYDHPPYLLCPYEVSPCPLIVSSRRFLDS VEEKRRSTGFPPLVLLTHLPLYRPDDLACGAQRAAESGHITYEAPSFKYTERHHVLSK ALSERLLATIRPVLVLSGHSHATCAHAHRVHYQPHPVQEYTIPTFSWGMRPDPSYRVP VHGASITTSAW H257_00021 MKWRAALFVAAAAVSSGVTLWLCSTLYYQYIVHSCGSQAMEGGG TRVVVVSDAHMLGHRKRSTVERLWVDWQAWLSFTTIVSRRRPDLIVFLGDQFDEGTAA TNNVVHKEYIARFREIFDPNVAQSLHLLGNHDAAFGPGLTAPLVGRHEREFGPANRVV NVNNVLFLQLNTMALESDVLDQQVHHDAMAFLDSVEEKRRSTGFPPLVLLTHLPLYRP DDLACGAQRAAESGHITYEAPSFKYTERHHVLSKALSERLLATIRPVLVLSGHSHATC AHAHRVHYQPHPVQEYTIPTFSWGMRPDPSYRVPVHGASITTSAW H257_00022 MSGGGAGKGRTTTAKERSVFVGNIPYDVSEETLKNIFSAVGPVV NFRLVTDRDSGKPKGYGFCEYADGATALSAMRNLNGTEVNGRNLRVDFADGGETQKSD RGDRTAGVSGEALIKSIESAVASQSRLALYDMLSAFQEFARASPDATKHLLGANPVLV HALIECFKKLNISMTSLPPVLKTPLIQQYPGTSHSSNPPRGGGGSRLPPPPLSGGILG FAPPPLQHQHQQPPPSSGHTNMMMSSSPMHAPPPPVGRSVARDPRAQRRDPRLAAKRE GGAPMGTDTKRFKQEPMQQQQPPDSVVADMARGMTADKLSLLPPNERHMLIAFMEQNG IPYSS H257_00022 MRNLNGTEVNGRNLRVDFADGGETQKSDRGDRTAGVSGEALIKS IESAVASQSRLALYDMLSAFQEFARASPDATKHLLGANPVLVHALIECFKKLNISMTS LPPVLKTPLIQQYPGTSHSSNPPRGGGGSRLPPPPLSGGILGFAPPPLQHQHQQPPPS SGHTNMMMSSSPMHAPPPPVGRSVARDPRAQRRDPRLAAKREGGAPMGTDTKRFKQEP MQQQQPPDSVVADMARGMTADKLSLLPPNERHMLIAFMEQNGIPYSS H257_00023 MSSRRRYTVHGMSQRSMKATNDSGAGGPTAEVEGSSVWSSDLEN DKDDDDNDKSAALNSEDKKTRRRAQIAKSARKHRVRQKAELHELRAQVQELTAALSSC RRNCRRPPPDGMPGSIPSLDTSDELEDFGVQASLRGLWLNAPLQTDTGPGPNVYHPYH HLYADPAERHASLLAIAKPAPSRMYTQILEDTHAIPSFPPYVDVRMTSMGENVSIKFC RVCEITNFDHKTVSDVFWAVFWGFGDDDVVTNAGLCRRKRLLQVNANAHYERVTYAVP NMPDVQLESLDVVTRSSDPNYTIFTWESIDQDDLFPASVPDYHPTSTTTIRREEIGSV MFRTECDADGNIRTVLRTVIYSRPFVQTLPKKTTRDMNEPFAHLYCRLNVLAEEHVSR YLLHKFMSA H257_00023 MSSRRRYTVHGMSQRSMKATNDSGAGGPTAEVEGSSVWSSDLEN DKDDDDNDKSAALNSEDKKTRRRAQIAKSARKHRVRQKAELHELRAQVQELTAALSSC RRNCRRPPPDGMPGSIPSLDTSDELEDFGVQASLRGLWLNAPLQTDTGPGPNVYHPYH HLYADPAERHASLLAIAKPAPSRMYTQILEDTHAIPSFPPYVDVRMTSMGENVSIKFC RVCEITNFDHKTVSDVFWAVFWGFGDDDVVTNAGLCRRKRLLQVNANAHYERVTYAVP NMPDVQLESLDVVTRSSDPNYTIFTWESIDQDDLFPASVPDYHPTSTTTIRREEIGRF G H257_00024 MSIKPPSVKYEAIQAQEETVDVLGLNGIPLTVLDEIKQHKVFIT GSLIVLEASVMWAYFSCLSAQDYYKKAFPSVNFDFLTTPLLTWPLVIGHVLQAGFHIR LSYKYRVSIGYFLFALAATVIIAQDFLTLDNTVAAYAVLASFAVVGIAHSVVEPAFYL IAALFPDEDSTHAVQIGNVAAGVINIALSTLIRVFVGGFDLDESRTSVTLSFYLFMGL LLVVCVVALVVFHNLEALPCVKYLLDRADDDHSKYGHPSLPDLWAKYWRVSQTIVLPM VAQFMIFFCSLALFPGVGCASSLHVLDSASVPAAWFCSPGIIGAYNVGDFLGRIVCTK AVYTMLTLRSCFVLSLLRWLLLPLLLLGTASSPLYAFEGVPVVGLYWALGLNVLLGFT AGMFSTITMGLAPRLVAQEDREAAGSLMVLSLFLGLALGSTFGFVLGSNHWLDIGL H257_00025 MQLFISRCHSNRAIEYPCCPGFDLSRASGGKLSAADDSRGGHFP RRRVCCDDGRRNVAPVVVVIAASAQPEVDPPTSRHVCGVPPPSKRPQVPPSPTKTVWY YYSGYQPPPAPKSLPTPPQPIPGLCEKGARRLQNVVRRIETFLKPRSGLKPSTDDSHA GDFLDDLLPFLGQVIRQCNHRVTILANESSSKENSSLSSLNLRLAGQRRCHGVRNDVV EYIKRVQLSRTRDSTSCSGHVLDRLNQALVESCTFAMLEHWDVLIRRWTVQCDVGKWN SHLGLHPTHQRPTVS H257_00026 MRRLNTSLDVFLGLVLSHGQVERHPMARIVGMMESSDPVQRDDQ AASCHVYSLREEIVTSQSSLNPRYDVMAIEVYATVA H257_00027 MTDKYKMTASRLLQWPSPLASVVLTSHVVAPRAPTNPSFLRKPT TASAPSAKLLLRTASTASTALPFSSFGVPSTLTTTLQNAVRPTTSKDENPPWTTGYTL AVVEIGVAFRVVLLLL H257_00028 MYRRRHRDGLDEHQDVNSAIEPESCTADDRHHDVSTIVWALRFW KRMAALSLGCFALGLLGYRPSLPSMQDPMQSTAPIRLLENLDTFPIVQFQHMLLSTVT TFELPSSQVVQIVVHDLDGHVLRPSTPRRSLPSALFDSNVIYGLLSLSPTDLLAHNTT NDNQDRHDRMYVALLSLTTHSHRIVLNCSFDALDHSYHARGFVVACPTSTSAETLTML EHQVLVLARTMEQAHIWKWTSHVWGGDCAEHSNVIQEIVPTFAQLQGLRVMLPCYDIS SPPTSRHEQDELV H257_00029 MSTSSSSGRGRRDPDPRTEFSVPQPKRVCSGVAKAVKVVDAASA IERVLDRRSSWRPIDPDTMSPKHSLQERLVNMTLMPEPATTRDADDDHRSSPRHKSSD STASSCTESIQRRSASTLAVIHPPAIDDETEAMLRCCSSTS H257_00030 MVEGGAAAAPGSDPIAVIRRALMDSKTQLSTVNSVLTGFKCGLD RLEGQMMPIYNLTEKLRETQKNIDLSVHELRAVNETFATATEVAPTLHQGAKYDQAEY FKAMHRLLESISFMESHRGYEGCGKALEQARHVLNLAQVKCKSDIVNDIGLFCRYAMT SPVSSDPDDNDPSIDQGKAQHEPVVTASQPSDADVAKVNALVQCLLGTGLVPRQLLVE YGEKRLKHIKDFFKDANPATPPADISTAQHMKESIGRYLDMVVYVIKVEKGLATKVFA TEDLSHAALSYTIAPLLETLTHDVKRGTPHRNDVFRPLDLHYLFKAKSAAFQDALQPP LRLREHPGVETADPWKLVGIVAKMEDELAVAAKSTLSQFKSDIGDALMQDKQLGRFRD GNVHPVSSNVMQFVRHLCDHVVELECLLKSDQTTNVAYFVDSIVMKLIESLKASNVIK GGREDLRTLFTLNNVMYISQSLKQLGQDLHTPAQAVVTAALQQTIQPKVAALGDKAIQ DFMHLSYDEFDAILADPTTKLQYNRNSDVLTLDSGRLVKEKFTKFNATLDEVVANQKS YTVPDADLRKTLMASALQRIVPSYTIFYDKYSVVHFSKKHMDKYVKYTPANVDAMLRE LFQGLHHPSTSSHTSSSHTSSS H257_00031 MTYLQETIRCKGSKICSPFVREVGGKSIVGYVSSGTGEVYAVTE GKHVVWTQTGGEPMGAAFDSQGKLHVADCAHAAILKADVSVHNHQPGLVVKVYEEKPF KGPSSLQIAATGVVYFTDSGPLGETTLAQPKGSVFCIAPGPTGGQVLKPLALDCLAHP CGVAVSPNSKSIYVAEMMLNRLLRFVQRPLGIYHCSVFHQFAGGMGPSSVACDADGRI YVGTFDVAGSDGNGKIYILSSSGAVEHVLEVPGTEITGICIEPSTRALFVTEASGNSL HCITLDAIFR H257_00032 MESEAIGAGNGEASVTPIAPEASPKSNVFKTALKNLSSGTKKEK TSNILQSATTGFFGRKKASSNPPLFPGSVKQASFCGAQLPPVWATPPPAVKHLHPTDD TNAKSNDTTTVPGPRNAAGNLRSEQFAALLKSDKDSVDLERLRELSWGGVPVEFRPLV WKLLLSYVPAHKDRRDAMLTRKRSEYRDLLHQYYYIPDTDRGIKEQETLHQILIDIPR TNPDVPLFQNPTIQKSMERTLYIWAIRHPASGYVQGINDLLTPFVTVFLSSFSDDPER CDMATISDQVMQDIEADSYWCLTKLLDDIQDHYTFSQPGLQRMVQRMEDLVRRCDGDL HGHIVETEQVQFVQFAFRWMNCLLMRECPLGAIVRLWDTYLCEESGFESFHVYVCAAI LMTFGDQLKEMQFQDLVLFLQKLPTNEWAEDDIEPLLSRAYILQTYFADAPNHIPHK H257_00033 MTDQLELLPRQHADFRRKEYWDEFFTKRQEKAFEWYGEYSALRP ALHKSVKPSDRILVVGCGNSDLSPDWTKDGYPHVTSMDFSELAIDEMRHKHPSMQWDV MDMTKMTYTDASFDCVMDKGALDALMATDDAPVLADAVKMFHEVDRVLAPRGSYVCIT MAQDFILKHVLHAFSEVLPSYTITITEVPRSKTSPFVPFFIVFTKSTSSSMVQYNGKT YVHESGALRAQWLRHQVEATQWFIMSQNELKQIQVGRQRLIELMASTSALNTTPRYIV RLVDVQARGPNGTCGVFLVPQGREHEFLFATDEGAMELASGAGFSRFLIVSLGRTHTF ESLEAVQLELNPKMLELCPDSAAKDEKIPYMTVQEGLGERHVIGNGTTDLSGDYLIEQ VDMHRRLVFLSNNNTIQSEIRLLPPLTAKQLKEKAKKNKKKGGTTRLRFDATYLAFEY HKSMAAILHYTVALSTANSHHKSLLIGLGGGCLASYVQATMPSVTLTACELDPSVVTL AKDFFGFQTNHHLDVVVQDGLVCLQDAAPASYDSILVDVDAKSDEEKALGLTAPPLAF LSDTTLANVHSALRDGGVFLVNVACRSSEKNKAIVGSLRRIFGSTAHVVELKASEHDV NRIVCAVKGPTPIDLVQWRQTTVPSAPGFEEDEVADLIGLMDIAE H257_00034 MQSNNIASLDVVRAANVQEDPDLEQSESQADGGSYAMMSSPSAS SLRVRPPSRGFATGGSNHRAKRSGNSSNSSSFGPSKERGGGPVVTELQDCIMYSGHGG AGFSSEKGDKDTKDTLRASYHDLSVPTVTIPETQRYTFAIVLRNRYQVKDILRERFPG KAMKATRHRLADQVAAMHLKCLRRLVQAGLGVILLDNDNSIPHENQKYNQTNNICVLI DPYKDSDLLLQEFKREKDELAIKRGQANARLGMDTIESVKNICFSPALELQLTHNIIH NAFRDCDKDDWGIEHQQELTCWDVVDTCFPLHDRVFNNAFFAEYRNKTFDFRVSKATT GNSERWAIEELRLHFGERVAFLFAFMHIYSKMLGPLTVVCVLYYVGFRFVQGYVWNYY LLGLAVLGFGVVSLWAPAMLLVWERETRTLTEKWNLQNYKDTIFERNDENPKFEYVWA KNELTHEMEKQPKKSKKRLIRVTMLFFVALSSIVQCIILMPFLQWYVWSKLAPTCDSD RCKEIGCLQFLNCFASATSTVGTDRWAYILCQGVILGLLIDTVFYEFFNWFSSKFVQW ENIRKKSDYENRLIHRKFVFVWSNWFFWFLTLAFLYLPYGGRVQDVYRFLGMEWAIVY KWNPTLLTLDTLFVTPLVVTQLLNMVLETWLPYAIRVVKGKPMSCRNWTSTWMASFDC IKRRKARKRKHRMDTNMAAHKLADDVSRTTRFFVPVLGYSDDSNEYTAYQILAESKLP IFDTSSDYLDACIQFSYILMFTVVWPLLPFPAFCNNVLEVRGDAFRLLFGHRRPMPRR DVSIGEWATVLHYANVMAITIVSALLVMYHFGAYASLNDGNYCDFMFADASMVPFQSI DFRVARSSAKCLGMRDQPWFKHQVLIFIALEHIGFGFRYLVLQMDKTPTSISNPSYLR LKQIRELTSQRTAHSGVFNYIGELRLIFDKYDVDRTDHLGERDLVLFLAEWMSKDPAE LQKRSSLVFRYMDKNKVGKVPFSTCCLMLQHAHLDRFFSALLGIYDHLDDHRNQEVRL NCDDPMRIRRVLRAQSLEDHHRENHPTHDDSSNIRLTPMAVASI H257_00034 MQSNNIASLDVVRAANVQEDPDLEQSESQADGGSYAMMSSPSAS SLRVRPPSRGFATGGSNHRAKRSGNSSNSSSFGPSKERGGGPVVTELQDCIMYSGHGG AGFSSEKGDKDTKDTLRASYHDLSVPTVTIPETQRYTFAIVLRNRYQVKDILRERFPG KAMKATRHRLADQVAAMHLKCLRRLVQAGLGVILLDNDNSIPHENQKYNQTNNICVLI DPYKDSDLLLQEFKREKDELAIKRGQANARLGMDTIESVKNICFSPALELQLTHNIIH NAFRDCDKDDWGIEHQQELTCWDVVDTCFPLHDRVFNNAFFAEYRNKTFDFRVSKATT GNSERWAIEELRLHFGERVAFLFAFMHIYSKMLGPLTVVCVLYYVGFRFVQGYVWNYY LLGLAVLGFGVVSLWAPAMLLVWERETRTLTEKWNLQNYKDTIFERNDENPKFEYVWA KNELTHEMEKQPKKSKKRLIRVTMLFFVALSSIVQCIILMPFLQWYVWSKLAPTCDSD RCKEIGCLQFLNCFASATSTVGTDRWAYILCQGVILGLLIDTVFYEFFNWFSSKFVQW ENIRKKSDYENRLIHRKFVFVWSNWFFWFLTLAFLYLPYGGRVQDVYRFLGMEWAIVY KWNPTLLTLDTLFVTPLVVTQLLNMVLETWLPYAIRVVKGKPMSCRNWTSTWMASFDC IKRRKARKRKHRMDTNMAAHKLADDVSRTTRFFVPVLGYSDDSNEYTAYQILAESKLP IFDTSSDYLDACIQFSYILMFTVVWPLLPFPAFCNNVLEVRGDAFRLLFGHRRPMPRR DVSIGEWATVLHYANVMAITIVSALLVICVHGAVPKHRLPRRAVQRQVSRHAGPTMVQ APSAHLHCVGAHWVWLSVPRAANGQDANVDFQPVVPSSQANPRANVSAHGALWRVQLH WRTSADI H257_00034 MQSNNIASLDVVRAANVQEDPDLEQSESQADGGSYAMMSSPSAS SLRVRPPSRGFATGGSNHRAKRSGNSSNSSSFGPSKERGGGPVVTELQDCIMYSGHGG AGFSSEKGDKDTKDTLRASYHDLSVPTVTIPETQRYTFAIVLRNRYQVKDILRERFPG KAMKATRHRLADQVAAMHLKCLRRLVQAGLGVILLDNDNSIPHENQKYNQTNNICVLI DPYKDSDLLLQEFKREKDELAIKRGQANARLGMDTIESVKNICFSPALELQLTHNIIH NAFRDCDKDDWGIEHQQELTCWDVVDTCFPLHDRVFNNAFFAEYRNKTFDFRVSKATT GNSERWAIEELRLHFGERVAFLFAFMHIYSKMLGPLTVVCVLYYVGFRFVQGYVWNYY LLGLAVLGFGVVSLWAPAMLLVWERETRTLTEKWNLQNYKDTIFERNDENPKFEYVWA KNELTHEMEKQPKKSKKRLIRVTMLFFVALSSIVQCIILMPFLQWYVWSKLAPTCDSD RCKEIGCLQFLNCFASATSTVGTDRWAYILCQGVILGLLIDTVFYEFFNWFSSKFVQW ENIRKKSDYENRLIHRKFVFVWSNWFFWFLTLAFLYLPYGGRVQDVYRFLGMEWAIVY KWNPTLLTLDTLFVTPLVVTQLLNMVLETWLPYAIRVVKGKPMSCRNWTSTWMASFDC IKRRKARKRKHRMDTNMAAHKLADDVSRTTRFFVPVLGYSDDSNEYTAYQILAESKLP IFDTSSDYLDACIQFSYILMFTVVWPLLPFPAFCNNVLEVRGDAFRLLFGHRRPMPRR DVSIGEWATVLHYANVMAITIVSALLVMYHFGAYASLNDGNYCDFMFADASMVPFQSI DFRVARSSAKCLGMRDQPWFKHQVLIFIALEHIGFGFRYRQLVVPLVLIPGLGTSCCK WTRRQRRFPTRRTFVSSKSAS H257_00035 MQKNVHEDAMTGRRMSMMTMLHFDVGWLLVPAIATALLLPFFYV FATWDHDTFRQYPLLPGPLDWGSKEFMFRCWLSKFIRVGWSSRDKLLAPCPRIALQTK FTILDTEIANVKASLGMLSAPESISAVPLFFPQILCGYLLVQLLGNSNFPCPVHSLTW KRVHVTQLRRISVDEELNCLMVLIGKKITSDGVEFTVQTDLFDDVGVVWQSSLYFVAP YAVTSAIPVAPPSNPVDVDIMRSTDESNITIGLACSQERLAEFDLGGAVDIERLNGWW SPSTPKVTPLWLLAAASSAIEKQGLEIVFPVMCHAQANGPTQNVPPTSDDLTCEVAAK TEDGSTKLITFNVSTSNRPALVSGYLRSVGWKYVVADDERHDDTSELTI H257_00035 MSMMTMLHFDVGWLLVPAIATALLLPFFYVFATWDHDTFRQYPL LPGPLDWGSKEFMFRCWLSKFIRVGWSSRDKLLAPCPRIALQTKFTILDTEIANVKAS LGMLSAPESISAVPLFFPQILCGYLLVQLLGNSNFPCPVHSLTWKRVHVTQLRRISVD EELNCLMVLIGKKITSDGVEFTVQTDLFDDVGVVWQSSLYFVAPYAVTSAIPVAPPSN PVDVDIMRSTDESNITIGLACSQERLAEFDLGGAVDIERLNGWWSPSTPKVTPLWLLA AASSAIEKQGLEIVFPVMCHAQANGPTQNVPPTSDDLTCEVAAKTEDGSTKLITFNVS TSNRPALVSGYLRSVGWKYVVADDERHDDTSELTI H257_00035 MFRCWLSKFIRVGWSSRDKLLAPCPRIALQTKFTILDTEIANVK ASLGMLSAPESISAVPLFFPQILCGYLLVQLLGNSNFPCPVHSLTWKRVHVTQLRRIS VDEELNCLMVLIGKKITSDGVEFTVQTDLFDDVGVVWQSSLYFVAPYAVTSAIPVAPP SNPVDVDIMRSTDESNITIGLACSQERLAEFDLGGAVDIERLNGWWSPSTPKVTPLWL LAAASSAIEKQGLEIVFPVMCHAQANGPTQNVPPTSDDLTCEVAAKTEDGSTKLITFN VSTSNRPALVSGYLRSVGWKYVVADDERHDDTSELTI H257_00035 MQKNVHEDAMTGRRMSMMTMLHFDVGWLLVPAIATALLLPFFYV FATWDHDTFRQYPLLPGPLDWGSKEFMFRCWLSKFIRVGWSSRDKLLAPCPRIALQTK FTILDTEIANVKASLGMLSAPESISAVPLFFPQILCGYLLVQLLGNSNFPCPVHSLTW KRVHVTQLRRISVDEELNCLMVLIGKKITSDGVEFTVQTDLFDDVGVVWQSSLYFVAP YAVTSAIPVAPPSNPVDVDIMRSTDESNITIGLACSQERLAEFDLVDILLKNDDNMVP QPGRRRGH H257_00035 MSMMTMLHFDVGWLLVPAIATALLLPFFYVFATWDHDTFRQYPL LPGPLDWGSKEFMFRCWLSKFIRVGWSSRDKLLAPCPRIALQTKFTILDTEIANVKAS LGMLSAPESISAVPLFFPQILCGYLLVQLLGNSNFPCPVHSLTWKRVHVTQLRRISVD EELNCLMVLIGKKITSDGVEFTVQTDLFDDVGVVWQSSLYFVAPYAVTSAIPVAPPSN PVDVDIMRSTDESNITIGLACSQERLAEFDLVDILLKNDDNMVPQPGRRRGH H257_00036 MADTATSREREPILKRPRKPSIGRRQLGVLPVAMITYFNVSGGP WGSEPIISSCGPLIGILAVSIFPWIWCLPTALLFAELFSAFPTDSSFCTWVGLAFGRP MGFHVGYWSWVGGVIDNAIYPCLIVDSIISITSGGILGVQDISNENTAFGVKFAARVS IAILFMVPTLFSIKIVGRTMLVLAIIVAMPFVVLVVVAIPQVNPSNWLVVSEDRNWVN LISVLYWNYSGFDAAGAYAGEIVDPKRTYPRAMVLTVILIALTYLIPFVAITGVDKPH YATWVDGSYTSIALGVGGMWLCGWVVVSNFFGNLGLYMAEMTKDGFQLAGMADSGLAP SFFAVRDTSSGTPRRSIFLSFAIIVFMCLFDFDTILGVDNFCSALSSVVEICAAVRLR YTHPEIDRPYKVGLSDARLTVAMVVPFVVGVYIVGNELLINTTTMVLCSVTVVAGVVL QRCCLDGVGGSTNCFLSV H257_00037 MVMALVSNLTAAPTSFRCLARIAVLPTSTHPSPPSHLNFRLHAW SCVSTCCPAAHSLQHHPERWSCPRDYMYYATVNPLLLVQLLRIPAVICRPLDDVWLNA SSSAPQCQVQSQYSTAQLPQRSEPSRVTWVAIRQLEDNSVRRSTALDLLFPI H257_00038 MNWLWGSTESDGSEQLPIGLSKLNRSGRNIPTDEDASVHKDAGN ACFNRKDYEGAVYHYTKGLVEKPTATLFSNRSAAFCELGQFHKALADAEQAEKLDPMW AKVFSRKGKADYGLKQYKRAADDFARGLSLSMQFAAEESVKRDKEIEALTRQSSNHTE AYLKLLDENAQLRRQIDDYRLMFDDWAKKQM H257_00039 MDACSYVVNALAFVYMVRRVTENTTLHLVFVWTTSRSRWAAHFL TTSIIDMNTFTHAKHAAADFLQELKLGAMEVLLNQLQTQTHRLLHALLQGPESWRLQL QELAGVQSVLDTVDNTVHDVDSKAIVASCLLPVATPITKLIASDRSEVAKKACGAVAQ FAEMSGTAFAPFADVVLPSLVSTAPNKVQVFRQAGKDCLTTISTVSKYDMKILVSLWR QSRTST H257_00040 MSATSVARPHLPLRRQLRPAPTPRRDGNKTMSAATVVPVVISIE LAPKSVAGSSCHAAEAQWWSLGASLGQFLTTCVTKAWCALRSAATSVTKAVVVAKTML WSVYGLVQRIYTWAMSANVDTPSQGIETA H257_00041 MDELPSKLRDTTALCWAAGTGNVDMLRRLLTEGVDVNLADYDRR TPLHIAASDGNAEIVKLLIQAGANCHAKDRWGVTPLDCAKDAVVASLMSTHIRASLFA DTSTAPYRRVGSHNDALDALDANRPKNVLGEVHQVFAAIATGDTDTLKRAWLDGLALN AVDGAGRTALHVAVEKEQMNAIELLLSAGATVDVADEQGRTPMSIAVEMNASNVLSLF RRHVYTASSLPQVPITLDVPHAFAAIQHDDLARLQQLVPRLVHPDVQDYDARSLLHVA ASEGRTSIVQFLVQVGANVNLLDRWGNSPLSEAMHFAHTDVATYLRDHHATEHGLDDN SLAKSPHQLDSTLLNTALEHILRCVCRQHKWQVGEVFVPIKDENTNGCALVLHNVWFR HTYNDTLPLQPLAQFRKARGMMLLDPGHDLAGRVYSGQQPEWVASLHTTSQSKFFFVP HARKAGLKAVVAVPMVHTLSVVAVLVWYATEALPEDPDEVHRIQRLVKAVVLLCVLRH ESTATMSRFQYCQALEHACTGQGELTAVDTVAPDDAAVHDVVSLCISWHLFDYAMKLA TSMSSEDHLATIDLFGALVALLKRGFFDDVVGPTLLDLEPCDVVDSISTKLHLVHVVV YVLAYLTTVSPTEGSVYSKSHLFQDELRQYVANLQPIGLDGSNSSSSDDMEEGIPTTT TQTTESAEVVEPLGIHPTPVVVECVLCKFKVPGHIHPGLPRPSVAPSPPPRTPQSSIF TRLRSPVWREFQELPCTLANEWDRFRGKDKLASTPSQDSFRSAKWTTSDVYDTVGNAH DNQTLFRRSPVGVGDRSRVLAVLNAILDDTSAMLTFDQITALHEAIFEQGHDGGAIRE DAAVGYASERIYRVFLPSVEIELALRQYVTTLNDPTALPHPLVRAYYAFAALVFYIHP FYDGNGRVARLLGNVVARKGGFPHVIRHQDKTIQLTGFLETTLNMLDLHEDALRSRRT RLASKNTSTWF H257_00041 MDELPSKLRDTTALCWAAGTGNVDMLRRLLTEGVDVNLADYDRR TPLHIAASDGNAEIVKLLIQAGANCHAKDRWGVTPLDCAKDAVVASLMSTHIRASLFA DTSTAPYRRVGSHNDALDALDANRPKNVLGEVHQVFAAIATGDTDTLKRAWLDGLALN AVDGAGRTALHVAVEKEQMNAIELLLSAGATVDVADEQGRTPMSIAVEMNASNVLSLF RRHVYTASSLPQVPITLDVPHAFAAIQHDDLARLQQLVPRLVHPDVQDYDARSLLHVA ASEGRTSIVQFLVQVGANVNLLDRWGNSPLSEAMHFAHTDVATYLRDHHATEHGLDDN SLAKSPHQLDSTLLNTALEHILRCVCRQHKWQVGEVFVPIKDENTNGCALVLHNVWFR HTYNDTLPLQPLAQFRKARGMMLLDPGHDLAGRVYSGQQPEWVASLHTTSQSKFFFVP HARKAGLKAVVAVPMVHTLSVVAVLVWYATEALPEDPDEVHRIQRLVKAVVLLCVLRH ESTATMSRFQYCQALEHACTGQGELTAVDTVAPDDAAVHDVVSLCISWHLFDYAMKLA TSMSSEDHLATIDLFGALVALLKRGFFDDVVGPTLLDLEPCDVVDSISTKLHLVHVVV YVLAYLTTVSPTEGSVYSKSHLFQDELRQYVANLQPIGLDGSNSSSSDDMEEGIPTTT TQTTESAEVVEPLGIHPTPVVVECVLCKFKVPGHIHPGLPRPSVAPSPPPRTPQSSIF TRLRSPVWREFQELPCTLANEWDRFRGKDKLASTPSQDSFRSAKWTTSDVYDTVGNAH DNQTLFRRSPVGVGDRSRVLAVLNAILDDTSAMLTFDQITALHEAIFEQGHDGGAIRE DAAVGYASERIYRVFLPSVEIELALRQYVTTLNDPTALPHPLVRAYYAFAALVFYIHP FYDGNGR H257_00041 MDELPSKLRDTTALCWAAGTGNVDMLRRLLTEGVDVNLADYDRR TPLHIAASDGNAEIVKLLIQAGANCHAKDRWGVTPLDCAKDAVVASLMSTHIRASLFA DTSTAPYRRVGSHNDALDALDANRPKNVLGEVHQVFAAIATGDTDTLKRAWLDGLALN AVDGAGRTALHVAVEKEQMNAIELLLSAGATVDVADEQGRTPMSIAVEMNASNVLSLF RRHVYTASSLPQVPITLDVPHAFAAIQHDDLARLQQLVPRLVHPDVQDYDARSLLHVA ASEGRTSIVQFLVQVGANVNLLDRWGNSPLSEAMHFAHTDVATYLRDHHATEHGLDDN SLAKSPHQLDSTLLNTALEHILRCVCRQHKWQVGEVFVPIKDENTNGCALVLHNVWFR HTYNDTLPLQPLAQFRKARGMMLLDPGHDLAGRVYSGQQPEWVASLHTTSQSKFFFVP HARKAGLKAVVAVPMVHTLSVVAVLVWYATEALPEDPDEVHRIQRLVKAVVLLCVLRH ESTATMSRFQYCQALEHACTGQGELTAVDTVAPDDAAVHDVVSLCISWHLFDYAMKLA TSMSSEDHLATIDLFGALVALLKRGFFDDVVGPTLLDLEPCDVVDSISTKLHLVHVVV YVLAYLTTVSPTEGSVYSKSHLFQDELRQYVANLQPIGLDGSNSSSSDDMEEGIPTTT TQTTESAEVVEPLGIHPTPVVVECVLCKFKVPGHIHPGLPRPSVAPSPPPRTPQSSIF TRLRSPVWREFQEVCIWLSILV H257_00042 MVKVLLSGDVGGNWDALHARVEKLHTSAHGPFDVVLCAGFCDVS ANAIRAWPLPVYVLGGLGDQPKNTSTNLHVVSENSVHTISGLHVAFLADPEAVQSFRS ALTAQPVDLLITTDYPQGFDQLVSVEQIPSSLQRVGLQSIAEAVEMAVPRYHIAATHG LFYQRLPYVTTHGATRRVTRFIGLGQVGASPDKDKKWMHALNLDVFSSSASVEIPAGT TQSPFEATKRQRQDSTAFQPRKRPGLSAEKAQQLMQQSSQGSKQHSFYDHTQHARLPN RQECWFCLSTPTVEMHLIVSIGNEAYLAIPKGPIVPDHALIVPIQHTASMTTISAAAR AEVNQFKAALTAFYASQGKAMVVLDRNVTTLGAAHGHLQVIPVPEALAPSVVEAFHNE GTKYKVEFTPLPDGAEIEASEYLLVECPSPPTRLLHTVHGKHYMQFGRDAVAALLNMP RRGNWKYCVVPKDEEEKMTRDFKNAFAPFDFTLALE H257_00042 MVKVLLSGDVGGNWDALHARVEKLHTSAHGPFDVVLCAGFCDVS ANAIRAWPLPVYVLGGLGDQPKNTSTNLHVVSENSVHTISGLHVAFLADPEAVQSFRS ALTAQPVDLLITTDYPQGFDQLVSVEQIPSSLQRVGLQSIAEAVEMAVPRYHIAATHG LFYQRLPYVTTHGATRRVTRFIGLGQVGASPDKDKKWMHALNLDVFSSSASVEIPAGT TQSPFEATKRQRQDSTAFQPRKRPGLSAEKAQQLMQQSSQGSKQHSFYDHTQHARLPN RQECWFCLSTPTVEMHLIVSIGNEAYLAIPKGPIVPDHALIVPIQHTASMTTISAAAR AEVNQFKAALTACVYN H257_00043 MPPATGQRTTSLSSILVSVFAVCLLCQAVLFRNIFSAVADLQHD GDHRAHLRSVAAAATSSDLVEAGIDNSTSHSTRASSQNTTEPTGPSGSASKSIDPLLP FNSNASVSLASVVEPTTTSNYAPLSSAAASPSSSLHPWTVADVSFDRVMNADTRGVFV SKFASAPRGIVMCMHTRMVPMGVSLIQELRALGNIDPVQVFYCLPNELSSTDVTLLTG LGNVEVVDLCSVLVTSRIFADHGVASRFQNFWLKPLALVFSPFPNVLLVDVDAIFLHD PALLWTSPPVVDTGTLFFHDRVLDFSQFLNQDVGPDDGQTFIQVFFDTFPYAAVNLTR PAAISDTMRRSMVWNKHTAHEQDSSVVLIDKTRAGPHVLETLWYLVTVKRFQDQITFS WGDKEAFWLAFELAGIPYAFSPWNCGVVSLPDDAALHPTTLCGSLAQYWPDLNKNDVF FVNGNAVVNVYRLGDGRHTDWAARAASLVAAIPKLVTPRHTRHPTSQNRQGLDQTCLL GEGATDIAPAFQDVAERRIRRGQAVAEAMTWD H257_00044 MEVPLEAIVLCDADAPPILVPCRRHAIVDRAKIYLTQIEDRAAK NDSMWVNTVHDLGQLHTLKLLYRRQRRLENYMFGLACCSLLAEAAAIHLYWNLPSAML AIEVSKAIVTVTTVAILYLLFFRYELAACIQVASYGLPPGSRFYHTTLLRTYMYNSMM TNALVTIHFDQSIKPGASVPVCPTNVLYATYNCYTRATYSAYQFGIIVAPRIYLIGRY IRNVFGFNTNEAKLIGSLQNVDATSAWFVRKFLFRFYPATFCVFIFVSVGAATAWAVD QTEHSMANADLAEYVDALWLVVVTIVTVGYGDCVVVGFPGRLCVVLGGVVGGAAICSM CRVVVVGALTITPNERDVIDIITERTQTTERRSVAVRLIQAEWRRYKAMTVGPPTDGL PSRRDGVAFTVHKANVLKFKVMASARTMRRQHQQRHHSLDAILHRWKLKAEAARCDDV ASTNATTSCDDVVAKLRRLVLACSVNELATQ H257_00045 MLVDLVMVVVGKRREDDAEDVDRGHGGTLEAVLKVHVHTIGTFN GLHGDLQWLGEEREKVHACLGLEFRHEQSVDLAELELAEGCEAEVVIELPVFQRHAIR WHPRLLLSP H257_00046 MTHSATPRGGGLHPSNQDSNAAYESIDVLDGEELRRDGAIDSVD VVVSDQRSISSRPGAMRLIPVAVRRLLASNSGTSNDNRSDTTTATTETYESHATPTNV VTDVDGLLPLDLDKESSVHRKSVATTVGGPHGSIHTAKPRRPDMTPFSIPRVTLQETQ RYTFAIILRNNQQVERLYKDRLKDATNVIQRTNLTPADMSAHVAALQQKALRRLVQAG LGVILLDNDTYISDKDAKHGQTDNICILVDPYKNKGLLNLEFMREKDELAINQGNATG RLGEARLPISGSKDICFSPALELQLTHNIIRNAFRDYDQDVCDLDRNCVPDVLDVVHA MLPLHDKKFNNEFFKEYRRHTFKLRWGKTVTGNSERWAIEELRLHFGERVAFLFAFMH IYTKALLPLLLMLLTYYLALRFGPKTWDTYLIGLAILGFLITSVWAPMLLHVWNRETL LLVEKWNLNGYKQTAFEANDENPHFEYTWEQNVLTHQYEKVPKTRKLGAMRATMGFFV LLSCVLQCICILPFIQWYVYAKTVQTCDACKAALKLVPVGDQTIFDCRQFLTCFNSES SLVGTDRWVYILVQGIVLGLMIDVVFFEFFRWLSIKFVHWENCAKKTQFEAKLIHRSF VFVWANWFFWFLFLAFIYLPYGETINGYFKQFCDWLDIKLKWVWDPTLLSLDQLFVTP LVATQFLNMILETWVPYLMKKYRGKPFSIRYWFNTWTCTLRREITTHAKRAATNKSAR KLSKDVSSSTRFFVPVLERSDDDNQHTAYEIVAESTLPEFDTQLDYLDAAIQFSYVVM FSVVWPLLPVPAFLNNILEVRGDAFRLLFCHRRPMPRRDVSIGEWATVLQYANIIGIT VVSAFIVMYHGIAYYINECNFYFSNADMVPLFTVKTSAAVNPALCAAQLNGDRGQIMM NQVVAFIVLEHLGFVIRYLVTQGNKVPSSIGNPSYLRLKQLRELTAKRAALVEQFEYM KQLRLIFDKFDRAGIDHLYEDELAGFLAEWVGTDRSKLNASILFRYMDKTRLGKVPFS TCCLMLRHAEYDRFFSVLLGTCDSLDNFRNDGMRLSVTDDGLGAHARHLSRYQSLSDA TPRARGTSGDFEDSDNDSLLQTPPQSSVRQRMSSSSSSMSMYVDPTRTAVYSNE H257_00046 MTHSATPRGGGLHPSNQDSNAAYESIDVLDGEELRRDGAIDSVD VVVSDQRSISSRPGAMRLIPVAVRRLLASNSGTSNDNRSDTTTATTETYESHATPTNV VTDVDGLLPLDLDKESSVHRKSVATTVGGPHGSIHTAKPRRPDMTPFSIPRVTLQETQ RYTFAIILRNNQQVERLYKDRLKDATNVIQRTNLTPADMSAHVAALQQKALRRLVQAG LGVILLDNDTYISDKDAKHGQTDNICILVDPYKNKGLLNLEFMREKDELAINQGNATG RLGEARLPISGSKDICFSPALELQLTHNIIRNAFRDYDQDVCDLDRNCVPDVLDVVHA MLPLHDKKFNNEFFKEYRRHTFKLRWGKTVTGNSERWAIEELRLHFGERVAFLFAFMH IYTKALLPLLLMLLTYYLALRFGPKTWDTYLIGLAILGFLITSVWAPMLLHVWNRETL LLVEKWNLNGYKQTAFEANDENPHFEYTWEQNVLTHQYEKVPKTRKLGAMRATMGFFV LLSCVLQCICILPFIQWYVYAKTVQTCDACKAALKLVPVGDQTIFDCRQFLTCFNSES SLVGTDRWVYILVQGIVLGLMIDVVFFEFFRWLSIKFVHWENCAKKTQFEAKLIHRSF VFVWANWFFWFLFLAFIYLPYGETINGYFKQFCDWLDIKLKWVWDPTLLSLDQLFVTP LVATQFLNMILETWVPYLMKKYRGKPFSIRYWFNTWTCTLRREITTHAKRAATNKSAR KLSKDVSSSTRFFVPVLERSDDDNQHTAYEIVAESTLPEFDTQLDYLDAAIQFSYVVM FSVVWPLLPVPAFLNNILEVRGDAFRLLFCHRRPMPRRDVSIGEWATVLQYANIIGIT VVSAFIVMYDFDLLG H257_00046 MTHSATPRGGGLHPSNQDSNAAYESIDVLDGEELRRDGAIDSVD VVVSDQRSISSRPGAMRLIPVAVRRLLASNSGTSNDNRSDTTTATTETYESHATPTNV VTDVDGLLPLDLDKESSVHRKSVATTVGGPHGSIHTAKPRRPDMTPFSIPRVTLQETQ RYTFAIILRNNQQVERLYKDRLKDATNVIQRTNLTPADMSAHVAALQQKALRRLVQAG LGVILLDNDTYISDKDAKHGQTDNICILVDPYKNKGLLNLEFMREKDELAINQGNATG RLGEARLPISGSKDICFSPALELQLTHNIIRNAFRDYDQDVCDLDRNCVPDVLDVVHA MLPLHDKKFNNEFFKEYRRHTFKLRWGKTVTGNSERWAIEELRLHFGERVAFLFAFMH IYTKALLPLLLMLLTYYLALRFGPKTWDTYLIGLAILGFLITSVWAPMLLHVWNRETL LLVEKWNLNGYKQTAFEANDENPHFEYTWEQNVLTHQYEKVPKTRKLGAMRATMGFFV LLSCVLQCICILPFIQWYVYAKTVQTCDACKAALKLVPVGDQTIFDCRQFLTCFNSES SLVGTDRWVYILVQGIVLGLMIDVVFFEFFRWLSIKFVHWENCAKKTQFEAKLIHRSF VFVWANWFFWFLFLAFIYLPYGETINGYFKQFCDWLDIKLKWVWDPTLLSLDQLFVTP LVATQFLNMILETWVPYLMKKYRGKPFSIRYWFNTWTCTLRREITTHAKRAATNKSAR KLSKDVSSSTRFFVPVLERSDDDNQHTAYEIVAESTLPEFDTQLDYLDAAIQFSYVVM FSVVWPLLPVPAFLNNILEVRGDAFRLLFCHRRPMPRRDVTSIPFNQIVSICFE H257_00046 MHIYTKALLPLLLMLLTYYLALRFGPKTWDTYLIGLAILGFLIT SVWAPMLLHVWNRETLLLVEKWNLNGYKQTAFEANDENPHFEYTWEQNVLTHQYEKVP KTRKLGAMRATMGFFVLLSCVLQCICILPFIQWYVYAKTVQTCDACKAALKLVPVGDQ TIFDCRQFLTCFNSESSLVGTDRWVYILVQGIVLGLMIDVVFFEFFRWLSIKFVHWEN CAKKTQFEAKLIHRSFVFVWANWFFWFLFLAFIYLPYGETINGYFKQFCDWLDIKLKW VWDPTLLSLDQLFVTPLVATQFLNMILETWVPYLMKKYRGKPFSIRYWFNTWTCTLRR EITTHAKRAATNKSARKLSKDVSSSTRFFVPVLERSDDDNQHTAYEIVAESTLPEFDT QLDYLDAAIQFSYVVMFSVVWPLLPVPAFLNNILEVRGDAFRLLFCHRRPMPRRDVSI GEWATVLQYANIIGITVVSAFIVMYHGIAYYINECNFYFSNADMVPLFTVKTSAAVNP ALCAAQLNGDRGQIMMNQVVAFIVLEHLGFVIRYLVTQGNKVPSSIGNPSYLRLKQLR ELTAKRAALVEQFEYMKQLRLIFDKFDRAGIDHLYEDELAGFLAEWVGTDRSKLNASI LFRYMDKTRLGKVPFSTCCLMLRHAEYDRFFSVLLGTCDSLDNFRNDGMRLSVTDDGL GAHARHLSRYQSLSDATPRARGTSGDFEDSDNDSLLQTPPQSSVRQRMSSSSSSMSMY VDPTRTAVYSNE H257_00047 MWEWRLCGVLNEVTQELLDTLAITLGALKVSQALLPECRTDLFI VLPMPNIGIKFRNLTSSSAPMLEIKCAKEIEGTCGAQQWLKKRVHVPEDMNMEDDDAV KQAIVGSGVEELEQVEIKFPLQRLRLYKERQQWRLATPTKPVQVEITSLATSINDATS TGSYFTICVESKSVDALVECLVKLAIGEVFEQHAIQNIYVVETIHLKHPSRPSCTSTS PSKADVVMMVPPTHGPHDMDGPNAPSPLKRKIAAEGSRLRLIEMGYPALACHLMQSAI AQQGK H257_00047 MWEWRLCGVLNEVTQELLDTLAITLGALKVSQALLPECRTDLFI VLPMPNIGIKFRNLTSSSAPMLEIKCAKEIEGTCGAQQWLKKRVHVPEDMNMEDDDAV KQAIVGSGVEELEQVEIKFPLQRLRLYKERQQWRLATPTKPVQVEITSLATSINDATS TGSYFTICVESKSVDALVECLVKLAIGEVHVIVHVHHRPIVVL H257_00048 MHRFVLSAARRSLRGGISSTFLSMRPYSASTRYDVIVIGGGHAG CEAAAAAARTGAHTALLTQKLQTVGEMSCNPSIGGVGKGTLVREIDALDGLMGRVADA AGIQFRMLNASKGPAVRGPRAQMDRDIYRHNMQVALRAQENLVLVESGAEDILLCPDT GRVTGVVTSDGKTIHTSAVVITTGTFLRGRIFIGDKSFPAGRTLRESQGVEAPSMGLA ATLERLKFPLGRLKTGTPPRLDGRTICFDGLEVQPSDAVPTPFSFLHAFKQPLPLQSS FRPCHVTYTNEATHAIVRQNLHLLPQYSENDGNGIGPRYCPSIDAKVTRFADRTRHQV WLEPEGLNNDVVYPNGVSTALPEHLQVELIRTIPGLERAELVKPGYSVEYDYVDPRSL HHTLETKQIPGLFLAGQINGTTGYEEAGAQGVIAGANAGLGVLGKPSFRLDRADGFIG VLIDDLVSLGTKEPYRMFTSRSEYRLMLRQDNCDLRLTRRAFEAGFASESRMQLLRSK EVLVKDAWKSLLGFQMDPHEWSKMDNLTISKDGVKRSAAHVLAFPHVSCDDMLAMWAV KGYNHDIHPSVREYMKTECLYATQLRLQEKEIDALRAKAHVRLPEDLDYASLPMLSNE EKEKLVAARPTTLHAASRISGVRSATLLLLYQYVSRTKRAKYNTPTTPQTIASDLA H257_00048 MHRFVLSAARRSLRGGISSTFLSMRPYSASTRYDVIVIGGGHAG CEAAAAAARTGAHTALLTQKLQTVGEMSCNPSIGGVGKGTLVREIDALDGLMGRVADA AGIQFRMLNASKGPAVRGPRAQMDRDIYRHNMQVALRAQENLVLVESGAEDILLCPDT GRVTGVVTSDGKTIHTSAVVITTGTFLRGRIFIGDKSFPAGRTLRESQGVEAPSMGLA ATLERLKFPLGRLKTGTPPRLDGRTICFDGLEVQPSDAVPTPFSFLHAFKQPLPLQSS FRPCHVTYTNEATHAIVRQNLHLLPQYSENDGNGIGPRYCPSIDAKVTRFADRTRHQV WLEPEGLNNDVVYPNGVSTALPEHLQVELIRTIPGLERAELVKPGYSVEYDYVDPRSL HHTLETKQIPGLFLAGQINGTTGYEEAGAQGVIAGANAGLGVLGKPSFRLDRADGFIG VLIDDLVSLGTKEPYRMFTSRSEYRLMLRQDNCDLRLTRRAFEAGFASESRMQLLRSK EVLVKDAWKSLLGFQMDPHEWSKMDNLTISKDGVKRSAAHVLAFPHVSCDDMLAMWAV KGYNHGIQSTRNSLVPAACTQSTL H257_00048 MLNASKGPAVRGPRAQMDRDIYRHNMQVALRAQENLVLVESGAE DILLCPDTGRVTGVVTSDGKTIHTSAVVITTGTFLRGRIFIGDKSFPAGRTLRESQGV EAPSMGLAATLERLKFPLGRLKTGTPPRLDGRTICFDGLEVQPSDAVPTPFSFLHAFK QPLPLQSSFRPCHVTYTNEATHAIVRQNLHLLPQYSENDGNGIGPRYCPSIDAKVTRF ADRTRHQVWLEPEGLNNDVVYPNGVSTALPEHLQVELIRTIPGLERAELVKPGYSVEY DYVDPRSLHHTLETKQIPGLFLAGQINGTTGYEEAGAQGVIAGANAGLGVLGKPSFRL DRADGFIGVLIDDLVSLGTKEPYRMFTSRSEYRLMLRQDNCDLRLTRRAFEAGFASES RMQLLRSKEVLVKDAWKSLLGFQMDPHEWSKMDNLTISKDGVKRSAAHVLAFPHVSCD DMLAMWAVKGYNHDIHPSVREYMKTECLYATQLRLQEKEIDALRAKAHVRLPEDLDYA SLPMLSNEEKEKLVAARPTTLHAASRISGVRSATLLLLYQYVSRTKRAKYNTPTTPQT IASDLA H257_00049 MTAASTLRVGFIGAGIMGKSMCGHILSGGYPVTTFSRTSSKCQS LVDRGAVLATTPAEVARQSDIVFTIVGYPSDVRQVILGEHGVLSTMQPGGIIVDMTTS EPSLAKEIFDQAQRQGVSSIDAPVSGGDVGAREATLSIMAGGDVDTIARVLPLLKLMG KNIRHMGGAGAGQHTKMVNQILIATNMIGVVEGLLYAYKSGLNLDEAIAAVGAGAAGS WSINNLGPRIAKRDFNPGFMVEHFLKDLGIALKESQAMGLSLPGLALANQLFVAVQSQ ANGGRLGTQALMLALEKLNDIHS H257_00050 MIKRYTLFEGNADIAVTDDGMSFEYWAMDPELGAFDNFPVYHHF VDIPPLATSSPPTTLSKRCTCGRLQDALPPSGICPCGYMAIV H257_00051 MPPVNVLLECQAPYTSWILSGRKTIETRRYAFPTHLLHKPIWLL ESPNGVVGSSALPSVVDLAATPHVRVVGHITVSASFQYTSRAQWDADVDRHCVAPDSG YAWTQDGGDYFGWTVASTTEFSQAPRNLTHISRSYRSFFVPVIPVPTVDISDPLNPDV LAAIETQCASLGFLRVSWASFPKDVILNAHDAMRRFFDCDPAIKEAVTLPPSSSRADG AAPRPYKPTGYRGIPKMYNGEGRETWSCIRPDNKDLSDDPFYTDFGRHVFATPPIPQV LWPDEEDVPGFRAALTAYYAAMDALGKVLFRIFARILQLPDEEALLNLARRHASSMNA SRLHPSEDTQGGGMVLMPHADITCFTILSHDAQGGVGTACLEVLHPLATLGTKEIEVE EQVVWVGIAPDSNEDGRSLLVNVGQILQRWSNDRLKATLHRVVKPVHASTLTTRRRQA LVFFQVTDYDAILKPMVDTCDASDRKWTPERMDAFTKARFGPVADTSMDTTEAYSIYN QDVMARADFVRLASE H257_00052 MASSKLRVGFIGIGIMGKSMAGHILDAGYFVTVYSRTASKCDDL VARGAKLALSPAEAAKDADVVFTIVGYPSDVREVILGSNGVLSTLKSGGIVVDMTTSE PSLAKEIYNTAILQGVSSIDAPVSGGDVGAKEARLSIMIGGDAAAIATVQPLFQVMGK NIRHMGGAGAGQHTKMVNQILIATNMIGVVEGLLYAYKSGLDLNEAIAAVGAGAAGSW SINNMGPRIAKRDFNPGFMVEHFLKDMGIALKESQAYVTSLRFACELTISHGYVAWVC RCLGWRWPTSCTSPCRRCPMARNSAPKRSCSRLKSSTRSITLK H257_00052 MASSKLRVGFIGIGIMGKSMAGHILDAGYFVTVYSRTASKCDDL VARGAKLALSPAEAAKDADVVFTIVGYPSDVREVILGSNGVLSTLKSGGIVVDMTTSE PSLAKEIYNTAILQGVSSIDAPVSGGDVGAKEARLSIMIGGDAAAIATVQPLFQVMGK NIRHMGGAGAGQHTKMVNQILIATNMIGVVEGLLYAYKSGLDLNEAIAAVGAGAAGSW SINNMGPRIAKRDFNPGFMVEHFLKDMGIALKESQAMGLSLPGLALANQLYLAVQALP NGPKLGTQALMLAFEKLNSIDHA H257_00052 MASSKLRVGFIGIGIMGKSMAGHILDAGYFVTVYSRTASKCDDL VARGAKLALSPAEAAKDADVVFTIVGYPSDVREVILGSNGVLSTLKSGGIVVDMTTSE PSLAKEIYNTAILQGVSSIDAPVSGGDVGAKEARLSIMIGGDAAAIATVQPLFQVMGK NIRHMGGAGAGQHTKMVNQILIATNMIGVVEGLLYAYKSGLDLNEAIAAVGAGAAGSW SINNMGPRIAKRDFNPGFMVEHFLKDMGIALKESQAMGLSLPGLALANQLYLAVQVHF HL H257_00053 MLLVSTWFWWSCWWWAGLHRQADAVSIGGSVESTLASFHHPFLE ILTPVNGQVAETTEVDIELVVREITMPSSFRGTKICLGIHAKNAVPTSNGDTETGLTE FCFDQTSSKVFHAQNLVAGMSYTVRVFLVDRGNVIAVSMRHFRVAAIAIPGHAGLVTI LTALQHAVTLHDTDAAVGIYRRILVESPEHSHTLHLLGLTKLRAGRAMEAIPYLQQAL RANATIEALHNTLGLCLKEVGRSADAAWHFEKALALRPSYVEARFNLGTLWQATQVYD RAIDEFRTLAAMVQANSLDLTVAHQRDVFVRLCGAYQGASRRDDALGCLNDALALWPH DTDFINERGNWYLNAGYLDLAHDDYQVAADAGALVATFNLALVKEAMGHTNDSINVYA NLLQLTETRHLSTSHIRMVQAGVVPRVLPFDSALVDQARQDMGRRLDAMLQQDSITTV DWTDLMTNQFPTGYHLISHPHNNNQLKQKLATMLEMYAPSLKTPSFLGRSYSTIPREL RHRSRIGFVAEDSHVLDLVHALDQSSFDVFLFTVSSSAAANVARQLGLRHVHFVALPA GVGPSAVEIQRHDLDVLIYADVGANKWSYFLSFAKLAPVQAAWALGYSDTSGVRAIDY VVTSEYEYPDFDRQHYSETPFALKGLGLYQTQSMAPNTTTYPLTSRAHIRQLLVQEFH LPKSFHFYFVAEPVDHLHVDMDAVVRRILKRDPLGHMFFLASLSHWNAAFADRMTLQP GLPIDRVHLIDSSEVPVAALLRACDVHLANLYTNTFQHTLEAVAAGVPTVTLPGQLWR TRVPFGVLRHINAVATVATSAADYVRLAVRAAGDQPFRDAVVATLHSNGPKLFGDDTA VIEWTRFLHFALDQARRVRQETPVM H257_00053 MLLVSTWFWWSCWWWAGLHRQADAVSIGGSVESTLASFHHPFLE ILTPVNGQVAETTEVDIELVVREITMPSSFRGTKICLGIHAKNAVPTSNGDTETGLTE FCFDQTSSKVFHAQNLVAGMSYTVRVFLVDRGNVIAVSMRHFRVAAIAIPGHAGLVTI LTALQHAVTLHDTDAAVGIYRRILVESPEHSHTLHLLGLTKLRAGRAMEAIPYLQQAL RANATIEALHNTLGLCLKEVGRSADAAWHFEKALALRPSYVEARFNLGTLWQATQVYD RAIDEFRTLAAMVQANSLDLTVAHQRDVFVRLCGAYQGASRRDDALGCLNDALALWPH DTDFINERGNWYLNAGYLDLAHDDYQVAADAGALVATFNLALVKEAMGHTNDSINVYA NLLQLTETRHLSTSHIRMVQAGVVPRVLPFDSALVDQARQDMGRRLDAMLQQDSITTV DWTDLMTNQFPTGYHLISHPHNNNQLKQKLATMLEMYAPSLKTPSFLGRSYSTIPREL RHRSRIGFVAEDSHVLDLVHALDQSSFDVFLFTVSSSAAANVARQLGLRHVHFVALPA GVGPSAVEIQRHDLDVLIYADVGANKWSYFLSFAKLAPVQAAWALGYSDTSGVRAIDY VVTSEYEYPDFDRQHYSETPFALKGLGLYQTQSMAPNTTTYPLTSRAHIRQLLVQEFH LPKSFHFYFVAEVFDLGCEITYI H257_00054 MSAAASLHQLLVPNDNPSKASDRKHDVLPFVPLFTRLLHARESS DVPTDSRRTLKDLLHDQPDATLGKEYAVAFRQIASIDTIIQKAGSFDPSVFPDSDGDD HVALRRIEWTLGTLYVALESRREDSAHRLPWICGIANHSHVLELQCILHHALSSDWIP LSPPLVSLLLESSIGRTLLVGLVQNDPSAASSIVELILLAMRRQVDVETHMTLVAIAD ATTPHAVRRQCVVADFPYAAAVALDITCRLLHDTPVFLNGVMQGTKHVTLWSVVTEAL TQDPTTPFRRHTSAILGRVRLELQQALEAFLATKPVDVVAVLKAYCGLVGRGSLAVTD VECSLLFRVIGQECHRRRQDSGGGRSHSRFVQVAFITIVLVCLSTLSQPVDAAATQVT KDARHLIGLLYTLDTSKSGSLFLITALLLYTKPGMVVDLLRIVIDSHVVVHSDRVPLF GEYVLKLDFTEHVLVHGLLGMTGDAGYINATMVAPSREWTLRVAYSLLCERSFVRHKV NPNEWLLQHQIHKASLPIHPMLPNMVMELVENHVTAFDKATQQSSIPPLRPAIILPVL LAVLDIPYPEPPSHVEHLRSKLSNLSLKSSNVWATATLLLVYVLHFNRRHVTDAASRK YDLHALPIAHIYRTASRYCSVGDAFEFVFPVLSRLVLDECPYVLSSLSVVTSQPSPAS LSILPPTHASLGRTYGHLKKTQQTIDMPVVVDLLCDLDLLPIDQAIPWLTWLVETVLP VAMGSSPPLQEPSTLSMFKAPKDKLLARPSTALAFPEVCGLIQAVWSRSADRHPTPHA LQLHLVHALCPDGAYSFQDLVQEPFSILRCDPRVWRHGPLLQLLLSLLTAFRDVSSVH LRQLHADDALHPSTVDVNQFILVQDAIIVHALLNVIARLDAEEAYPQCGHIYRWLDAT FRQTPSLLLAVHSQGYATSLVRLLVKELPAMYDLLPSIPDLLSANDLAQLSFRCHLAS ALCREYPDAVSTAVLKTVVAKVKLVYDTDRAQTADKFLSTQVVEFLTAVLPALGAMAV AFCDIAEECVQLLMKLRVQINHQMTDTLNNNTAVSQLEGTVQRVFAQIVRSTHES H257_00055 MPTTCVVDRTSMETEISRVSRKRNPDGLELRIKREALIAVKSTT RSSSITRTKSVITSPPIAKVKYEILENTSTTDSSEVSSHDTAQRLLNKVNLAQRIQNR FHRLNQTSDAPALCNVRGTRPKERMPRRVAQLTYQPTVNHRVKDERVSPNPSPGLVLP QVVATTNSIDGGHNQRKLTSPSQQSRLCSTDGIEHQIDRAPRGSTTPPSFDSQQRIQN NIKYEILPPKPQDPSKLNHEAADRLMQKLQFAQRIQSRFHRLSQQPVNDVRPEKAEQP PLAQHSLYTNTTSLLSRIKRKAQDKVGDKRQAKRLRLATTVASNRLKSALASKRACRV SATLNANNHLLSKWEVLLHHRPTSSMDIAAWHIHVAECRHIIETALGALSSSAREIAM MSPGCKQLQI H257_00056 MPPTTWIMECNMSMDNPDTIIYEDGTTASWTMDTELGVIDDGNS TCACIFKLRPISLLDFEGYASTPNDYPCATNVKATPRSDKYRSLRLSDKWKAFKKRKL AKSNSCCLAFPFMSIMSMSLPKQPVDFDLQLYLPAKNFLSKQKKKAEINVHGKKGTTH ANLRSRAPEVQLKVEIIETAIMSNGNSILPSQVLHNKGSNGLHISVT H257_00057 MTVTTATLTFLTVNDVYDVVPNEQGRGGMAELATLLQAERAIIP STHHTLTTINGDFLSASQAGEHYKGAHMIDILNRMDIDYAVYGNHEFDFGSDILVQRV AESKFQWFGSNVRDKATGNVLGNGLDTTLFPIASTAQDGAIQVGMFGICTPETPQLSF PGDKVEFQDILGTAKRCVDDLKARGADVIIALTHVSIAHDKLLAKRVPGIDVIIGGHD HDPFTMYQGKTFIHKSGQNAYWLGRLDFDIRKASGKVTVSPAWKMIANQNVTPDAGVE ALVHKYMAPFMTADHLEAGARQLAVLSLPLVTKTSVMRGEESNFGNLVADAVRQELGA QFGVLNGGFVRGDTVHEAKSQLTVGIVLKEMPFPRPAVLLRIQARDLKEALEQHLRHY PLLSGSFPHVSGLRLTYDRTRNTPEITTFQDELGNNVDLDDYVTVATTKFIAGGGDGC VSWKKATLLATDDTIAQVAIRFLEKKRLIAYPEKEGRVTIVD H257_00057 MTVTTATLTFLTVNDVYDVVPNEQGRGGMAELATLLQAERAIIP STHHTLTTINGDFLSASQAGEHYKGAHMIDILNRMDIDYAVYGNHEFDFGSDILVQRV AESKFQWFGSNVRDKATGNVLGNGLDTTLFPIASTAQDGAIQVGMFGICTPETPQLSF PGDKVEFQDILGTAKRCVDDLKARGADVIIALTHVSIAHDKLLAKRVPGIDVIIGGHD HDPFTMYQGKTFIHKSGQNAYWLGRLDFDIRKASGKVTVSPAWKMIANQNVTPDAGVE ALVHKYMAPFMTADHLEAGARQLAVLSLPLVTKTSVMRGEESNFGNLVADAVRQELGA QFGVLNGGFVRGDTGLSEMIESEAGTTSMCLVHEAKSQLTVGIVLKEMPFPRPAVLLR IQARDLKEALEQHLRHYPLLSGSFPHVSGLRLTYDRTRNTPEITTFQDELGNNVDLDD YVTVATTKFIAGGGDGCVSWKKATLLATDDTIAQVAIRFLEKKRLIAYPEKEGRVTIV D H257_00057 MLHECVGNHEFDFGSDILVQRVAESKFQWFGSNVRDKATGNVLG NGLDTTLFPIASTAQDGAIQVGMFGICTPETPQLSFPGDKVEFQDILGTAKRCVDDLK ARGADVIIALTHVSIAHDKLLAKRVPGIDVIIGGHDHDPFTMYQGKTFIHKSGQNAYW LGRLDFDIRKASGKVTVSPAWKMIANQNVTPDAGVEALVHKYMAPFMTADHLEAGARQ LAVLSLPLVTKTSVMRGEESNFGNLVADAVRQELGAQFGVLNGGFVRGDTVHEAKSQL TVGIVLKEMPFPRPAVLLRIQARDLKEALEQHLRHYPLLSGSFPHVSGLRLTYDRTRN TPEITTFQDELGNNVDLDDYVTVATTKFIAGGGDGCVSWKKATLLATDDTIAQVAIRF LEKKRLIAYPEKEGRVTIVD H257_00057 MLHECVGNHEFDFGSDILVQRVAESKFQWFGSNVRDKATGNVLG NGLDTTLFPIASTAQDGAIQVGMFGICTPETPQLSFPGDKVEFQDILGTAKRCVDDLK ARGADVIIALTHVSIAHDKLLAKRVPGIDVIIGGHDHDPFTMYQGKTFIHKSGQNAYW LGRLDFDIRKASGKVTVSPAWKMIANQNVTPDAGVEALVHKYMAPFMTADHLEAGARQ LAVLSLPLVTKTSVMRGEESNFGNLVADAVRQELGAQFGVLNGGFVRGDTGLSEMIES EAGTTSMCLVHEAKSQLTVGIVLKEMPFPRPAVLLRIQARDLKEALEQHLRHYPLLSG SFPHVSGLRLTYDRTRNTPEITTFQDELGNNVDLDDYVTVATTKFIAGGGDGCVSWKK ATLLATDDTIAQVAIRFLEKKRLIAYPEKEGRVTIVD H257_00057 MLHVCFRSWIITSLCRAHMIDILNRMDIDYAVYGNHEFDFGSDI LVQRVAESKFQWFGSNVRDKATGNVLGNGLDTTLFPIASTAQDGAIQVGMFGICTPET PQLSFPGDKVEFQDILGTAKRCVDDLKARGADVIIALTHVSIAHDKLLAKRVPGIDVI IGGHDHDPFTMYQGKTFIHKSGQNAYWLGRLDFDIRKASGKVTVSPAWKMIANQNVTP DAGVEALVHKYMAPFMTADHLEAGARQLAVLSLPLVTKTSVMRGEESNFGNLVADAVR QELGAQFGVLNGGFVRGDTVHEAKSQLTVGIVLKEMPFPRPAVLLRIQARDLKEALEQ HLRHYPLLSGSFPHVSGLRLTYDRTRNTPEITTFQDELGNNVDLDDYVTVATTKFIAG GGDGCVSWKKATLLATDDTIAQVAIRFLEKKRLIAYPEKEGRVTIVD H257_00057 MLHVCFRSWIITSLCRAHMIDILNRMDIDYAVYGNHEFDFGSDI LVQRVAESKFQWFGSNVRDKATGNVLGNGLDTTLFPIASTAQDGAIQVGMFGICTPET PQLSFPGDKVEFQDILGTAKRCVDDLKARGADVIIALTHVSIAHDKLLAKRVPGIDVI IGGHDHDPFTMYQGKTFIHKSGQNAYWLGRLDFDIRKASGKVTVSPAWKMIANQNVTP DAGVEALVHKYMAPFMTADHLEAGARQLAVLSLPLVTKTSVMRGEESNFGNLVADAVR QELGAQFGVLNGGFVRGDTGLSEMIESEAGTTSMCLVHEAKSQLTVGIVLKEMPFPRP AVLLRIQARDLKEALEQHLRHYPLLSGSFPHVSGLRLTYDRTRNTPEITTFQDELGNN VDLDDYVTVATTKFIAGGGDGCVSWKKATLLATDDTIAQVAIRFLEKKRLIAYPEKEG RVTIVD H257_00057 MPPVVHHVQECVGNHEFDFGSDILVQRVAESKFQWFGSNVRDKA TGNVLGNGLDTTLFPIASTAQDGAIQVGMFGICTPETPQLSFPGDKVEFQDILGTAKR CVDDLKARGADVIIALTHVSIAHDKLLAKRVPGIDVIIGGHDHDPFTMYQGKTFIHKS GQNAYWLGRLDFDIRKASGKVTVSPAWKMIANQNVTPDAGVEALVHKYMAPFMTADHL EAGARQLAVLSLPLVTKTSVMRGEESNFGNLVADAVRQELGAQFGVLNGGFVRGDTVH EAKSQLTVGIVLKEMPFPRPAVLLRIQARDLKEALEQHLRHYPLLSGSFPHVSGLRLT YDRTRNTPEITTFQDELGNNVDLDDYVTVATTKFIAGGGDGCVSWKKATLLATDDTIA QVAIRFLEKKRLIAYPEKEGRVTIVD H257_00057 MPPVVHHVQECVGNHEFDFGSDILVQRVAESKFQWFGSNVRDKA TGNVLGNGLDTTLFPIASTAQDGAIQVGMFGICTPETPQLSFPGDKVEFQDILGTAKR CVDDLKARGADVIIALTHVSIAHDKLLAKRVPGIDVIIGGHDHDPFTMYQGKTFIHKS GQNAYWLGRLDFDIRKASGKVTVSPAWKMIANQNVTPDAGVEALVHKYMAPFMTADHL EAGARQLAVLSLPLVTKTSVMRGEESNFGNLVADAVRQELGAQFGVLNGGFVRGDTVH EAKSQLTVGIVLKEMPFPRPAVLLRIQARDLKEALEQHLRHYPLLSGSFPHVSGLRLT YDRTRNTPEITTFQDELGNNVDLDDYVTVATTKFIAGGGDGCVSWKKATLLATDDTIA QVAIRFLEKKRLIAYPEKEGRVTIVD H257_00057 MPPVVHHVQECVGNHEFDFGSDILVQRVAESKFQWFGSNVRDKA TGNVLGNGLDTTLFPIASTAQDGAIQVGMFGICTPETPQLSFPGDKVEFQDILGTAKR CVDDLKARGADVIIALTHVSIAHDKLLAKRVPGIDVIIGGHDHDPFTMYQGKTFIHKS GQNAYWLGRLDFDIRKASGKVTVSPAWKMIANQNVTPDAGVEALVHKYMAPFMTADHL EAGARQLAVLSLPLVTKTSVMRGEESNFGNLVADAVRQELGAQFGVLNGGFVRGDTGL SEMIESEAGTTSMCLVHEAKSQLTVGIVLKEMPFPRPAVLLRIQARDLKEALEQHLRH YPLLSGSFPHVSGLRLTYDRTRNTPEITTFQDELGNNVDLDDYVTVATTKFIAGGGDG CVSWKKATLLATDDTIAQVAIRFLEKKRLIAYPEKEGRVTIVD H257_00057 MPPVVHHVQECVGNHEFDFGSDILVQRVAESKFQWFGSNVRDKA TGNVLGNGLDTTLFPIASTAQDGAIQVGMFGICTPETPQLSFPGDKVEFQDILGTAKR CVDDLKARGADVIIALTHVSIAHDKLLAKRVPGIDVIIGGHDHDPFTMYQGKTFIHKS GQNAYWLGRLDFDIRKASGKVTVSPAWKMIANQNVTPDAGVEALVHKYMAPFMTADHL EAGARQLAVLSLPLVTKTSVMRGEESNFGNLVADAVRQELGAQFGVLNGGFVRGDTGL SEMIESEAGTTSMCLVHEAKSQLTVGIVLKEMPFPRPAVLLRIQARDLKEALEQHLRH YPLLSGSFPHVSGLRLTYDRTRNTPEITTFQDELGNNVDLDDYVTVATTKFIAGGGDG CVSWKKATLLATDDTIAQVAIRFLEKKRLIAYPEKEGRVTIVD H257_00057 MTVTTATLTFLTVNDVYDVVPNEQGRGGMAELATLLQAERAIIP STHHTLTTINGDFLSASQAGEHYKGAHMIDILNRMDIDYAVYGNHEFDFGSDILVQRV AESKFQWFGSNVRDKATGNVLGNGLDTTLFPIASTAQDGAIQVGMFGICTPETPQLSF PGDKVEFQDILGTAKRCVDDLKARGADVIIALTHVSIAHDKLLAKRVPGIDVIIGGHD HDPFTMYQGKTFIHKSGQNAYWLGRLDFDIRKASGKVTVSPAWKMIANQNVTPDAGVE ALVHKYMAPFMTADHLEAGARQLAVLSLPLVTKTSVMRGEESNFGNLVADAVRQELGA QFGVLNGGFVRGDTVHEAKSQLTVGIVLKEMPFPRPAVLLRIQARDLKEALEQHLRHY PLLSGSFPHVSGLRLTYDRTRNTPEVC H257_00057 MLHVCFRSWIITSLCRAHMIDILNRMDIDYAVYGNHEFDFGSDI LVQRVAESKFQWFGSNVRDKATGNVLGNGLDTTLFPIASTAQDGAIQVGMFGICTPET PQLSFPGDKVEFQDILGTAKRCVDDLKARGADVIIALTHVSIAHDKLLAKRVPGIDVI IGGHDHDPFTMYQGKTFIHKSGQNAYWLGRLDFDIRKASGKVTVSPAWKMIANQNVTP DAGVEALVHKYMAPFMTADHLEAGARQLAVLSLPLVTKTSVMRGEESNFGNLVADAVR QELGAQFGVLNGGFVRGDTVHEAKSQLTVGIVLKEMPFPRPAVLLRIQARDLKEALEQ HLRHYPLLSGSFPHVSGLRLTYDRTRNTPEVC H257_00058 MVRGRVANAVRRFASHSHAQPHASDAHAAVHHQAETAMLTNNGT HVWTTKGFEWSTYMGIVGGPLVLWLGLSNAPDTDFEEYGRREAVKRLRSDDVVLISRQ NTINGPFVFVKNEIGERPALDE H257_00059 MMARRRWTSLVFTGQGSQRVGMLQDLLDQWPQHVNPLLEEAEAA MKRNLKTLMLQGPQDALTNTSIAQPAILTHSTAVLRILQWEADFDVASEVHSVLGHSL GQFTALVAAEALSFHDAIDLVHFRGNAMTKAIAGSDKGAMAALFPVDPAVANDICHSV HESTGLVCSVANYNSSKQTVISGHFAAVEAAVAAAKSRKVRRAVLLDVSAPFHCALMQ PAADALAAHLNTITFRPPIVPVVCNVSAVDMPGTDADAMRAALTAQVVQPVRWSDSVD LCIHRTAPNDHTTFLELGYGGVLTGLIQQHAPTAATCTSMGTADDVRKWIAARELEA H257_00060 MTTSWASLQARLGSTVEYEQSDAASELFEFWFGLASDFAQTGSN IVLMQRHTRQALEIIFTTYSVQRIRDPSTDLYAVRELFRHAQAIFEILRTKPKFTPLL DPLLLDTVHDANVNALSLLLVTTGVQSLEVIAYGDNIHAGVRVDALSTLESILELWEF LAAGPWAHVYSPSPHASSSSVLTFQLAHLAQTISSVSTDSIVRDAALVLIQHIARFDK TYAPVIALSRVARDVLALSPLWSMGVLASSVAMEHLMTKVVATSIDHAASKALFCTAV MESLYEALMNHRSSREWTFDAKLFETNLTHLFHACLHTFKMHPNEGNRVVLPDAYLHA MESVLLNYPDCVGIVQFALDANEYGMFVMISRTSHVFAASVWTDLILPFARTQLALLP LQGVSGNQRDQEIVNLIREACRLYYLNIDRVPPPSLGRNIFITVTQAIRHGYIETERF TEILALILHRHYSMGHVQVYQWIPTLLLPEVAHNHKTRRALVEALLIALGLQNSAFGL ENAIISAETSKAISDAFSVIVAKLRSGPADMLWQEICLNFGQFVLEHRPALSALLHSI NLELDACAMRGVIGVDRLESLTELLYAMSTRIDSTDAFAVVQLSLQLIQLGAGPSTAN MFEGTLVNAFNLMSEKDSLDLITTELALSICGPRARAALARYVPHMCLEDVDPTVRQA ALRFILPHPDLIRTHSLAVIRSLYWIHHPMFKAEDASATLCVDQLALATEACPVLVVL AEVAPLYVKECVLKLLSAANISAVNDPLNEAKFISPHLDEVAIKVPRNALIRLVLSPA GIALLPLLVFLSAKWQQLVQEMVQSGFVVESTDIIGSSSQEATEFASLDRMVRSSRRG ATPRSFQCTAQGRDTLAQLLGAEYADTAQTVPSRNQLHQLIVVEHDKGGFMKALRLAN TMRAWYPDDYVQLGTHTLVLETLLPKVKDTHFPPLYSNGFTTATLDAFSMYYRIMHEV KLLFPVEAETVLHLSSLLDALCLAKLHEVVAVCGPDAARSWMDLHGFHSAAATAILTA ASVTKPSVSTSTTSPLPMAALVQPSAPLWAETMLHVLDGDWPAVALDDITIVE H257_00060 MTTSWASLQARLGSTVEYEQSDAASELFEFWFGLASDFAQTGSN IVLMQRHTRQALEIIFTTYSVQRIRDPSTDLYAVRELFRHAQAIFEILRTKPKFTPLL DPLLLDTVHDANVNALSLLLVTTGVQSLEVIAYGDNIHAGVRVDALSTLESILELWEF LAAGPWAHVYSPSPHASSSSVLTFQLAHLAQTISSVSTDSIVRDAALVLIQHIARFDK TYAPVIALSRVARDVLALSPLWSMGVLASSVAMEHLMTKVVATSIDHAASKALFCTAV MESLYEALMNHRSSREWTFDAKLFETNLTHLFHACLHTFKMHPNEGNRVVLPDAYLHA MESVLLNYPDCVGIVQFALDANEYGMFVMISRTSHVFAASVWTDLILPFARTQLALLP LQGVSGNQRDQEIVNLIREACRLYYLNIDRVPPPSLGRNIFITVTQAIRHGYIETERF TEILALILHRHYSMGHVQVYQWIPTLLLPEVAHNHKTRRALVEALLIALGLQNSAFGL ENAIISAETSKAISDAFSVIVAKLRSGPADMLWQEICLNFGQFVLEHRPALSALLHSI NLELDACAMRGVIGVDRLESLTELLYAMSTRIDSTDAFAVVQLSLQLIQLGAGPSTAN MFEGTLVNAFNLMSEKDSLDLLALSICGPRARAALARYVPHMCLEDVDPTVRQAALRF ILPHPDLIRTHSLAVIRSLYWIHHPMFKAEDASATLCVDQLALATEACPVLVVLAEVA PLYVKECVLKLLSAANISAVNDPLNEAKFISPHLDEVAIKVPRNALIRLVLSPAGIAL LPLLVFLSAKWQQLVQEMVQSGFVVESTDIIGSSSQEATEFASLDRMVRSSRRGATPR SFQCTAQGRDTLAQLLGAEYADTAQTVPSRNQLHQLIVVEHDKGGFMKALRLANTMRA WYPDDYVQLGTHTLVLETLLPKVKDTHFPPLYSNGFTTATLDAFSMYYRIMHEVKLLF PVEAETVLHLSSLLDALCLAKLHEVVAVCGPDAARSWMDLHGFHSAAATAILTAASVT KPSVSTSTTSPLPMAALVQPSAPLWAETMLHVLDGDWPAVALDDITIVE H257_00060 MTTSWASLQARLGSTVEYEQSDAASELFEFWFGLASDFAQTGSN IVLMQRHTRQALEIIFTTYSVQRIRDPSTDLYAVRELFRHAQAIFEILRTKPKFTPLL DPLLLDTVHDANVNALSLLLVTTGVQSLEVIAYGDNIHAGVRVDALSTLESILELWEF LAAGPWAHVYSPSPHASSSSVLTFQLAHLAQTISSVSTDSIVRDAALVLIQHIARFDK TYAPVIALSRVARDVLALSPLWSMGVLASSVAMEHLMTKVVATSIDHAASKALFCTAV MESLYEALMNHRSSREWTFDAKLFETNLTHLFHACLHTFKMHPNEGNRVVLPDAYLHA MESVLLNYPDCVGIVQFALDANEYGMFVMISRTSHVFAASVWTDLILPFARTQLALLP LQGVSGNQRDQEIVNLIREACRLYYLNIDRVPPPSLGRNIFITVTQAIRHGYIETERF TEILALILHRHYSMGHVQVYQWIPTLLLPEVAHNHKTRRALVEALLIALGLQNSAFGL ENAIISAETSKAISDAFSVIVAKLRSGPADMLWQEICLNFGQFVLEHRPALSALLHSI NLELDACAMRGVIGVDRLESLTELLYAMSTRIDSTDAFAVVQLSLQLIQLGAGPSTAN MFEGTLVNAFNLMSEKDSLDLITTELALSICGPRARAALARYVPHMCLEDVDPTVRQA ALRFILPHPDLIRTHSLAVIRSLYWIHHPMFKAEDASATLCVDQLALATEACPVLVVL AEVAPLYVKECVLKLLSAANISAVNDPLNEAKFISPHLDEVAIKVPRNALIRLVLSPA GIALLPLLVFLSAKWQQLVQEMVQSGFVVESTDIIGSSSQEATEFASLDRMVRSSRRG ATPRSFQCTAQGRDTLAQLLGAEYADTAQTVPSRNQLHQLIVVEHDKGGFMKALRLAN TMRAWYPDDYVQLGTHTLVLETLLPKVKDTHFPPLYSNGFTTATLDAFSMYYRIMHEV KVRCPVLLLEYSCNA H257_00060 MTTSWASLQARLGSTVEYEQSDAASELFEFWFGLASDFAQTGSN IVLMQRHTRQALEIIFTTYSVQRIRDPSTDLYAVRELFRHAQAIFEILRTKPKFTPLL DPLLLDTVHDANVNALSLLLVTTGVQSLEVIAYGDNIHAGVRVDALSTLESILELWEF LAAGPWAHVYSPSPHASSSSVLTFQLAHLAQTISSVSTDSIVRDAALVLIQHIARFDK TYAPVIALSRVARDVLALSPLWSMGVLASSVAMEHLMTKVVATSIDHAASKALFCTAV MESLYEALMNHRSSREWTFDAKLFETNLTHLFHACLHTFKMHPNEGNRVVLPDAYLHA MESVLLNYPDCVGIVQFALDANEYGMFVMISRTSHVFAASVWTDLILPFARTQLALLP LQGVSGNQRDQEIVNLIREACRLYYLNIDRVPPPSLGRNIFITVTQAIRHGYIETERF TEILALILHRHYSMGHVQVYQWIPTLLLPEVAHNHKTRRALVEALLIALGLQNSAFGL ENAIISAETSKAISDAFSVIVAKLRSGPADMLWQEICLNFGQFVLEHRPALSALLHSI NLELDACAMRGVIGVDRLESLTELLYAMSTRIDSTDAFAVVQLSLQLIQLGAGPSTAN MFEGTLVNAFNLMSEKDSLDLLALSICGPRARAALARYVPHMCLEDVDPTVRQAALRF ILPHPDLIRTHSLAVIRSLYWIHHPMFKAEDASATLCVDQLALATEACPVLVVLAEVA PLYVKECVLKLLSAANISAVNDPLNEAKFISPHLDEVAIKVPRNALIRLVLSPAGIAL LPLLVFLSAKWQQLVQEMVQSGFVVESTDIIGSSSQEATEFASLDRMVRSSRRGATPR SFQCTAQGRDTLAQLLGAEYADTAQTVPSRNQLHQLIVVEHDKGGFMKALRLANTMRA WYPDDYVQLGTHTLVLETLLPKVKDTHFPPLYSNGFTTATLDAFSMYYRIMHEVKVRC PVLLLEYSCNA H257_00060 MTTSWASLQARLGSTVEYEQSDAASELFEFWFGLASDFAQTGSN IVLMQRHTRQALEIIFTTYSVQRIRDPSTDLYAVRELFRHAQAIFEILRTKPKFTPLL DPLLLDTVHDANVNALSLLLVTTGVQSLEVIAYGDNIHAGVRVDALSTLESILELWEF LAAGPWAHVYSPSPHASSSSVLTFQLAHLAQTISSVSTDSIVRDAALVLIQHIARFDK TYAPVIALSRVARDVLALSPLWSMGVLASSVAMEHLMTKVVATSIDHAASKALFCTAV MESLYEALMNHRSSREWTFDAKLFETNLTHLFHACLHTFKMHPNEGNRVVLPDAYLHA MESVLLNYPDCVGIVQFALDANEYGMFVMISRTSHVFAASVWTDLILPFARTQLALLP LQGVSGNQRDQEIVNLIREACRLYYLNIDRVPPPSLGRNIFITVTQAIRHGYIETERF TEILALILHRHYSMGHVQVYQWIPTLLLPEVAHNHKTRRALVEALLIALGLQNSAFGL ENAIISAETSKAISDAFSVIVAKLRSGPADMLWQEICLNFGQFVLEHRPALSALLHSI NLELDACAMRGVIGVDRLESLTELLYAMSTRIDSTDAFAVVQLSLQLIQLGAGPSTAN MFEGTLVNAFNLMSEKDSLDLITTELALSICGPRARAALARYVPHMCLEDVDPTVRQA ALRFILPHPDLIRTHSLAVIRSLYWIHHPMFKAEDASATLCVDQLALATEACPVLVVL AEVAPLYVKECVLKLLSAANISAVNDPLNEAKFISPHLDEVAIKVPRNALIRLVLSPA GIALLPLLVRCLALC H257_00061 MRSWTTSCCFSHALWLSSSRSSSMSVSAAGTLDKGVALGSLKRL YTRSRSWRKFTTSFSSRSLSWRSWSFSALMAAFSLSSVLACFFSCTSSFDGAAFTFGG TCSLFCASCTSFCSSWLWSLRSFDFLSRSSCFFNMASFCTTISSIFFSSAALSSRRIS MLIAARSIVCSIVDGGAGGLPIWCFF H257_00062 MTCRWKRSAWLFLSFNDTPVCKDDLMQRSPKRTVVVPKNASSPR KLREKPAAADVYPSPPTLPPRDLVPWGNQETTSTSVFHANKHRRKRIDMKPTMGGDRA MIPPAASSIMHPIIRTSIAADTLDQRLNSIKHQIDHVLLGGMLKDDTSPRTSQHPSPR PMTTNDTLVSPLSLRSTVLPDIDASPEAIAKSQAYLCADDEMEKWIQHYEAEKHRFTS YALFTEYKLDQLVNFTASTGRPNVLETAACCAALFKIPGILGNYRSLLQKIVVGLEYG LYGDSTCEALFSDDVGVHTLCTPRMNDRSSVDIVRSFYHRKPFFIQLRELEHDHALSI HLGGARRSTALLDQDKRRVIELCSADLLEAGLLRFGDDVRQQIGMKLVASVAHGGPHL GKAHMSDVCRRLLKLAEALDMDSKALVVSSLLDELDVDSRALSAFQGLGADGEARMLK WILHRDEKDRFLIRDTLDRMDDAEYVACLGNMYVLACDVHRTAFLSTLLGNKHEHGFI CDLAKVISPDQLDQLSDYVNGAKLESQLTGMERSITSDYLHALLTAPDTFPTPYTPSE QRRLFDDVCTLLRTWSSVAIDFCEHLVDKCRSLHPTQRKLLLATLLHDDFSTTKAALA DLVLRLSPMEKHQIQSIWHDTTFDLGYFHDQVAHMSSDTRSKVLYAVMQAMRSSGDGG GTVSDNDVVVAESKQAKTIIRFCRELFGLTLMADPLGCTPPSSNHDPTTSETAAHTLD VLHQLDSTTRNTIMQSLVHSMPSLPSKSAVSLVSDERRSAMLAAIDGALTIHVHGIRN DDDHDVSSGGLMAPSASATCVREVSVEEQIVRGMTEADTSSLLEHAMLSGGARNTKKK KKKNGFAKVIGKVVSTLETAGRRQTLDRVLVKIMERATALGNDAMTDEERAAFENAST MDKLNSINHVAYRNAKSGHRSDDDENDDVDMSDDERDTDALVKMGMANVACQTEVNFA VDVIETQGDGGAVSHTLETRKQPVTLSSLTKRAKGGKKVIKVDSAGIPNALASLVTSW KINVDQLAMCCKKPLAAVLRTIADTYAEKIVRMKKKYTTTNSGGGGGMAKDSLAQIAY QSLLHSYGLPSIADMHLIGLGSSLDMFRNQHRRVDLFCQFLYNEVPASLLVHFLECVE VILDESILDNADSGSIHSNNQAQSNNTTRLGVPPSVFSATLSPSASASAVMAKKARIV RLNIPDKDEWTMPLDRALEVVQYCFRSMRRVHVSAFCDRVSQGGCNAVDSTTTSIVNV DVLLGWVVSEWNEEQLRRDKHLRDAFRAGDNNGDGQLSYEEFRRIVLSIDKSRDDSDV VQLFGDTLRRTGSDTIAPDDFLAVAKEYGLAEMAWDADGDLSSISNGLDEMNAMWPSV RPFFVGSVEALARDLPPTHFLRTCVAAGCGCLKCLVDGYAGFQVMRDEATTDAMHAAA IWKRFWHLMAQLYEACDKSDGVYTPWAGSSPIRRYPASRGSVIRSNANRRHALPNVLL PDVHRITGKGSSEVEHLNEADVVQRLQRTLA H257_00062 MTCRWKRSAWLFLSFNDTPVCKDDLMQRSPKRTVVVPKNASSPR KLREKPAAADVYPSPPTLPPRDLVPWGNQETTSTSVFHANKHRRKRIDMKPTMGGDRA MIPPAASSIMHPIIRTSIAADTLDQRLNSIKHQIDHVLLGGMLKDDTSPRTSQHPSPR PMTTNDTLVSPLSLRSTVLPDIDASPEAIAKSQAYLCADDEMEKWIQHYEAEKHRFTS YALFTEYKLDQLVNFTASTGRPNVLETAACCAALFKIPGILGNYRSLLQKIVVGLEYG LYGDSTCEALFSDDVGVHTLCTPRMNDRSSVDIVRSFYHRKPFFIQLRELEHDHALSI HLGGARRSTALLDQDKRRVIELCSADLLEAGLLRFGDDVRQQIGMKLVASVAHGGPHL GKAHMSDVCRRLLKLAEALDMDSKALVVSSLLDELDVDSRALSAFQGLGADGEARMLK WILHRDEKDRFLIRDTLDRMDDAEYVACLGNMYVLACDVHRTAFLSTLLGNKHEHGFI CDLAKVISPDQLDQLSDYVNGAKLESQLTGMERSITSDYLHALLTAPDTFPTPYTPSE QRRLFDDVCTLLRTWSSVAIDFCEHLVDKCRSLHPTQRKLLLATLLHDDFSTTKAALA DLVLRLSPMEKHQIQSIWHDTTFDLGYFHDQVAHMSSDTRSKVLYAVMQAMRSSGDGG GTVSDNDVVVAESKQAKTIIRFCRELFGLTLMADPLGCTPPSSNHDPTTSETAAHTLD VLHQLDSTTRNTIMQSLVHSMPSLPSKSAVSLVSDERRSAMLAAIDGALTIHVHGIRN DDDHDVSSGGLMAPSASATCVREVSVEEQIVRGMTEADTSSLLEHAMLSGGARNTKKK KKKNGFAKVIGKVVSTLETAGRRQTLDRVLVKIMERATALGNDAMTDEERAAFENAST MDKLNSINHVAYRNAKSGHRSDDDENDDVDMSDDERDTDALVKMGMANVACQTEVNFA VDVIETQGDGGAVSHTLETRKQPVTLSSLTKRAKGGKKVIKVDSAGIPNALASLVTSW KINVDQLAMCCKKPLAAVLRTIADTYAEKIVRMKKKYTTTNSGGGGGMAKDSLAQIAY QSLLHSYGLPSIADMHLIGLGSSLDMFRNQHRRVDLFCQFLYNEVPASLLVHFLECVE VILDESILDNADSGSIHSNNQAQSNNTTRLGVPPSVFSATLSPSASASAVMAKKARIV RLNIPDKDEWTMPLDRALEVVQYCFRSMRRVHVSAFCDRVSQGGCNAVDSTTTSIVNV DVLLGWVVSEWNEEQLRRDKHLRDAFRAGDNNGDGQLSYEEFRRIVLSIDKSRDDSDV VQLFGDTLRRTGSDTIAPDDFLAVAKEYGLAEMAWDADGDLSSISNGLDEMNAMWPSV RPFFVGSVEALARDLPPTHFLRTCVAAGCGCLKCLVDGYAGFQVMRDEATTDAMHAAA IWKRFWHLMAQVQIVMQYNASSSFKFDTRHVYGWVL H257_00063 MTATMTSASSPTAANHPANIRSGMTVQDLKMLTAQREYRIMMEQ YNTTVGDPSLLATLTSPRSSRSMSLSLSDTSSSADGSPISSPVMSPQQHRSPFQPPPP PSMTLASLQQPPASKSHSHHYHHHHHHPSTSSYLRHGGRVEQRVLVTCGGQMVSVMEG VRNVPDDMMTYVEGSSSAASHF H257_00064 MARTKQTSRSSSGGKAPRKQLAAKKTTRKQTPLSGGIKKPHRYR PGTVALREIRKYQKTTELLLRKLPFQRLVREIAQDYKTDLRFQSTAIIALQEATEAYL VGLFEDSNLCAIHAKRVTIMPKDIQLARRIRGERA H257_00065 MDGTQVRVLEEADEVGLVILRNFTHETLERQLAEQQVGCLLEAP DFLKGDGPGAVPMWLLDAARHRRACLLGRQLLPRCFAAGGASVGLLRAGHSERAVHNT LTKQTCA H257_00066 MTHNDGVVDPEGDDDSTSFLDKGSRRRQRREMASCHSNEDGEDG DDTNDAWRVAFTSDPDFHTARALLQRKGDIKALTHAYMCVFGDQFLNLVRTMVESEVS NVSDAHAVEMMRGNSACMKILSEVAYVVGTDYLRDVLAGPMAVLFHLQDTLEVNHAIV HDDMTLAAHRAQLESLAQTILDRLVCAPFPPLLAAVCLYLRRSVATRFHAHSDSILGG FLFLRVVCPVIVMPCRSSVFPHVAKTSLPPHALRSSILVAKLLQNLANNAFFKEDYMA PFNPFIRRNFPTVVAFYDRICDSVDAATSPTTRLETTTSLLTADEAWKIIQDHVDRPL EVAVRPAVRRMSSGIAGGGPVRTSAQSSVTLSLDRPKQKPSISSTSWFHPTFFLSHRG GPPPPPPPPPPPPLDFLDDSADHRRRHDLIQMAQCGLTALVDAVGGHDAPVALDEPPW NKGPTKRGVQVFTRVSRQLLELKASVVVAADPHTCLAFIMAPQGREIWSTWGHEVREL ERLDSTSRVLHRTNYGTKLPRFLLWCCMQLQDACELESVFYPPCKPNHSPSSYPSSPS SHAQNGDVPAAHRTLMDQDDASWICALVFQSVFRPDLPPVAGVVRSSVLSSGFVLQVT PDKTTRVTFTIRMDEDVAPLQAKPYQKQLLTLAKLKLAIERST H257_00066 MTHNDGVDPEGDDDSTSFLDKGSRRRQRREMASCHSNEDGEDGD DTNDAWRVAFTSDPDFHTARALLQRKGDIKALTHAYMCVFGDQFLNLVRTMVESEVSN VSDAHAVEMMRGNSACMKILSEVAYVVGTDYLRDVLAGPMAVLFHLQDTLEVNHAIVH DDMTLAAHRAQLESLAQTILDRLVCAPFPPLLAAVCLYLRRSVATRFHAHSDSILGGF LFLRVVCPVIVMPCRSSVFPHVAKTSLPPHALRSSILVAKLLQNLANNAFFKEDYMAP FNPFIRRNFPTVVAFYDRICDSVDAATSPTTRLETTTSLLTADEAWKIIQDHVDRPLE VAVRPAVRRMSSGIAGGGPVRTSAQSSVTLSLDRPKQKPSISSTSWFHPTFFLSHRGG PPPPPPPPPPPPLDFLDDSADHRRRHDLIQMAQCGLTALVDAVGGHDAPVALDEPPWN KGPTKRGVQVFTRVSRQLLELKASVVVAADPHTCLAFIMAPQGREIWSTWGHEVRELE RLDSTSRVLHRTNYGTKLPRFLLWCCMQLQDACELESVFYPPCKPNHSPSSYPSSPSS HAQNGDVPAAHRTLMDQDDASWICALVFQSVFRPDLPPVAGVVRSSVLSSGFVLQVTP DKTTRVTFTIRMDEDVAPLQAKPYQKQLLTLAKLKLAIERST H257_00066 MTHNDGVDPEGDDDSTSFLDKGSRRRQRREMASCHSNEDGEDGD DTNDAWRVAFTSDPDFHTARALLQRKGDIKALTHAYMCVFGDQFLNLVRTMVESEVSN VSDAHAVEMMRGNSACMKILSEVAYVVGTDYLRDVLAGPMAVLFHLQDTLEVNHAIVH DDMTLAAHRAQLESLAQTILDRLVCAPFPPLLAAVCLYLRRSVATRFHAHSDSILGGF LFLRVVCPVIVMPCRSSVFPHVAKTSLPPHALRSSILVAKLLQNLANNAFFKEDYMAP FNPFIRRNFPTVVAFYDRICDSVDAATSPTTRLETTTSLLTADEAWKIIQDHVDRPLE VAVRPAVRRMSSGIAGGGPVRTSAQSSVTLSLDRPKQKPSISSTSWFHPTFFLSHRGG PPPPPPPPPPPPLDFLDDSADHRRRHDLIQMAQCGLTALVDAVGGHDAPVALDEPPWN KGPTKRGVQVFTRVSRQLLELKASVVVAADPHTCLAFIMAPQGREIWSTWGHEVRELE RLDSTSRVLHRTNYGTKLPRFLLWCCMQLQDACELESVFYPPCKPNHSPSSYPSSPSS HAQNGDVPAAHRTLMDQDDASWICALVFQTCRLWRGSCGRPCSAADLCCR H257_00066 MASCHSNEDGEDGDDTNDAWRVAFTSDPDFHTARALLQRKGDIK ALTHAYMCVFGDQFLNLVRTMVESEVSNVSDAHAVEMMRGNSACMKILSEVAYVVGTD YLRDVLAGPMAVLFHLQDTLEVNHAIVHDDMTLAAHRAQLESLAQTILDRLVCAPFPP LLAAVCLYLRRSVATRFHAHSDSILGGFLFLRVVCPVIVMPCRSSVFPHVAKTSLPPH ALRSSILVAKLLQNLANNAFFKEDYMAPFNPFIRRNFPTVVAFYDRICDSVDAATSPT TRLETTTSLLTADEAWKIIQDHVDRPLEVAVRPAVRRMSSGIAGGGPVRTSAQSSVTL SLDRPKQKPSISSTSWFHPTFFLSHRGGPPPPPPPPPPPPLDFLDDSADHRRRHDLIQ MAQCGLTALVDAVGGHDAPVALDEPPWNKGPTKRGVQVFTRVSRQLLELKASVVVAAD PHTCLAFIMAPQGREIWSTWGHEVRELERLDSTSRVLHRTNYGTKLPRFLLWCCMQLQ DACELESVFYPPCKPNHSPSSYPSSPSSHAQNGDVPAAHRTLMDQDDASWICALVFQS VFRPDLPPVAGVVRSSVLSSGFVLQVTPDKTTRVTFTIRMDEDVAPLQAKPYQKQLLT LAKLKLAIERST H257_00066 MASCHSNEDGEDGDDTNDAWRVAFTSDPDFHTARALLQRKGDIK ALTHAYMCVFGDQFLNLVRTMVESEVSNVSDAHAVEMMRGNSACMKILSEVAYVVGTD YLRDVLAGPMAVLFHLQDTLEVNHAIVHDDMTLAAHRAQLESLAQTILDRLVCAPFPP LLAAVCLYLRRSVATRFHAHSDSILGGFLFLRVVCPVIVMPCRSSVFPHVAKTSLPPH ALRSSILVAKLLQNLANNAFFKEDYMAPFNPFIRRNFPTVVAFYDRICDSVDAATSPT TRLETTTSLLTADEAWKIIQDHVDRPLEVAVRPAVRRMSSGIAGGGPVRTSAQSSVTL SLDRPKQKPSISSTSWFHPTFFLSHRGGPPPPPPPPPPPPLDFLDDSADHRRRHDLIQ MAQCGLTALVDAVGGHDAPVALDEPPWNKGPTKRGVQVFTRVSRQLLELKASVVVAAD PHTCLAFIMAPQGREIWSTWGHEVRELERLDSTSRVLHRTNYGTKLPRFLLWCCMQLQ DACELESVFYPPCKPNHSPSSYPSSPSSHAQNGDVPAAHRTLMDQDDASWICALVFQT CRLWRGSCGRPCSAADLCCR H257_00067 MQLLLPIVSTLAAVACVVDAQVPIPSKPLGFTYGNGSADAAVQL EVFFDLLCPDSKAAYPALQQLAGNLSSSQFRLRIHQFPLPYHHQAFSIAQASRTITHA LGQDKFATWLETVYDVQDQYWNKQTENLGQKQVTAKIEALAKSTFPALTDAQWKDGMT GHGGTERDSETRTEWKHACTRGISGTPQYLLNDVPINAESTWTYDDWVAFLKPLLHPQ VDNAVSLSSNTPTDAQQRHEVSTASTGGNELEAPIANNWAVAGYIGAGAVLGVGAVLA VQRFDKGYQRLQ H257_00068 MEGQTVWDLSTHRDDEYLATGCVNVDDALRGGFRVGFVSEVCGC AGSGKTQLCLQLLLRAQLPREASGGLNASSCYMYSDGLSPMKRLQELERQHSGEPVSL NRIFLEAATDPGQFLHTLKSRLPSLMEFHGVRLVVVDSIAAVFRGHSVDKAADAGERT RIMFDIVHCMHILAKQYRAVFVVVNQMTADMHNDGNMPALGLAWSSCINQRFLIRKSV RAPSTRTFHVMFSPYLPHDSTATFEITSERLQ H257_00068 MEGQTVWDLSTHRDDEYLATGCVNVDDALRGGFRVGFVSEVCGC AGSGKTQLCLQLLLRAQLPREASGGLNASSCYMYSDGLSPMKRLQELERQHSGEPVSL NRIFLEAATDPGQFLHTLKSRLPSLMEFHGVRLVVVDSIAAVFRGHSVDKAADAGERT RIMFDIVHCMHILAKQYRAVFVVVNQMTADMHNDGNMPALGLAWSSCINQRYVLDERS IS H257_00069 MSGFMSNMIISMVLMVVVQNAVNSYFGFETPAVCKEGVNDMTWL GKPYESDPFFHPKSIQRTSHFIALEDGTQLAADLYHSEQATYNDLKQPTVIHFTRHGR GYTLDFPLSSITAGGDFINPRTGSYIPRIVTTSYAWLVVDIRGTGASFGAKEFDFVDQ EAVDAKNVIDWVTQQDWSNGEVSLFGFGTDGVSALVAATSGHTAVKALVLHGVPLDLY DSAFFPGGLHNYRASSMYSGFSAATDANKRWDQVPHLKSRLMMSTFGGNVAAVNKSEP QVHAAAVAEHVNNGNFHAETKDILFRDDKLSSLDRTFEQINVPRLFQKLADTDIPVLN MAGYYDMGSSRSAILLHRYLTGTLDDESHLAYGLSPLAAKTVASTQYSLILGPWSHSN VDNIDPYAESKTRCFEHIEQISRFFDYHLYNADRAVLSQLETEDPIHYYTLAEGKWRS TTEWPPASIDEAHTYFFSLNNTLVEDVADVVDGAATAEFAAGFNSQPSVINRWNSMDH IFLNKPTYSHNRDDLAKKAISFTTPPLHQQEATGEMTVKVFFSVDAPGVSLIAYLEDY NNIAPIEHSTMVATKTKVRGGTTYITEAVLNPIHQSIAPGNPLHTFKKDASRTIEPGV VYEATFNFYPTSYFLPHQHQFRVSIVGQETATFDNRVADKATNLTVHFSEEYPSSVTI RSQEVPLPIVKEVPREAAATPITDVATGETPQDPDVVVVKEEEDEFETAPKKDEL H257_00070 MIPAPHSALSQAPMSVSRTLYLTDAQQTDIYHQSRNHAVSFLQN SLSDLHEWEFISEKKSVQFYRKKSNDGTTYTINGITRIVADLDETMRTLYCDNSTSLS DLFSQLHDDAFADGAVLAALPGKRDPSGICREQCSIKTLSFRPFNAMDKARQYTVVDY CTIRTGKTDEGGSVDATDNRLGIQLMYSTDAADPASASSRRLASSSLVHGASQSTDAE SNTSASQLLPSGFIVYPTAKKGVLEVIFSWSAHDPRGISRGYKKSILSLVASVARLEN IFLALRIAAAGFIKSKNWVSDKERSYCFICRESFGAFRRRHHCRLCGDITCSKCGTLT AVKLPVVGLCQVRICMRCLTENDSSRINVSLPPSTSSGVGTGPRSTSVTQRSSAGSQQ LQVMERLSHGMSNLSAVPTQHQIDSDEDEDPGYGSLQSSTVLGGSSSMDSSLSSTSSY MGGSFQHSSLNSSSRLAYTTNSGSGIIDEDDETQYRHRAGSNEGVQRPPPPPRRSGGG AVPLPTLSASTQLTHVKQFSYPLHYTDGQLWPLAPIPTEEASRLRKLDDLAILDTPQE QEYNHIAQSAAEALHASFGFISFIDAKREWVKASYGNGAGANTSIARDVSLSAHVIMS YEVTVVPNMTADVRFRDNPLVLDGIKLRSFVGYPLVTSDGFIVGVLGVADTRPRENVT VRHVAQLKQLATHVSRVLEERSGYQPTGHQQQHHHVYDSAAPQAHSQTYNVATTQSTI HNTLLDLLKKTEATGKTLQQTQQSMLNATGRPPQS H257_00070 MIPAPHSALSQAPMSVSRTLYLTDAQQTDIYHQSRNHAVSFLQN SLSDLHEWEFISEKKSVQFYRKKSNDGTTYTINGITRIVADLDETMRTLYCDNSTSLS DLFSQLHDDAFADGAVLAALPGKRDPSGICREQCSIKTLSFRPFNAMDKARQYTVVDY CTIRTGKTDEGGSVDATDNRLGIQLMYSTDAADPASASSRRLASSSLVHGASQSTDAE SNTSASQLLPSGFIVYPTAKKGVLEVIFSWSAHDPRGISRGYKKSILSLVASVARLEN IFLALRIAAAGFIKSKNWVSDKERSYCFICRESFGAFRRRHHCRLCGDITCSKCGTLT AVKLPVVGLCQVRICMRCLTENDSSRINVSLPPSTSSGVGTGPRSTSVTQRSSAGSQQ LQVMERLSHGMSNLSAVPTQHQIDSDEDEDPGYGSLQSSTVLGGSSSMDSSLSSTSSY MGGSFQHSSLNSSSRLAYTTNSGSGIIDEDDETQYRHRAGSNEGVQRPPPPPRRSGGG AVPLPTLSASTQLTHVKQFSYPLHYTDGQLWPLAPIPTEEASRLRKLDDLAILDTPQE QEYNHIAQSAAEALHASFGFISFIDAKREWVKASYGNGAGANTSIARDVSLSAHVIMS YEVTVVPNMTADVRFRDNPLVLDGIKLRSFVGYPLVTSDGFIVGVLGVADTRVRPYVE H257_00071 MFDKCRTNSVLSALHLPNCITALPLDPSNGIKMGNKMYCKPSTI AIMGYTTVVKCQCSLCRPRGHSLPNGAVGGRIAPSTISVVAESKTVDITLWKGVWSRG SLDTLLTFSKAQRKLETIDWEAFSFFFNDQVAMAELLHRRLSAYEVGPGGPGLSLP H257_00072 MASVAAAYFTPDQRTPVRRTSAARGKRYSNTSVSKRKAIKTWRV TVLPGLIMFLCTLGLIFLSIFLLFLLISQGMFKRQVVTVNAQLADTYFWTPYGQSCVL TSAGFTPNSCDADTTSIIPNKPFTVVGSELAKQWAAELTQAGGLLYVTTCIIGGTSNV GWTNLQFIAGYDYFPECLPTEPQDVAGMAMLETTIRDTHVDGLYFLTLLFDTLVGLLR SFSSCVGYSAPIFTAVCIVWMTQVWCHDAFFLDVYNKFFSAPAFLGFYVNNASWPSGS YVAEGTPPVVTYLAETIVTAGGGSFGIAIAISSIYRRIRHKKWLVSTVWCRSNSVLSA LHLPNCITALPLDPSNGIKMGNKMYCKPSTIAIMGYTAVVERQKWGKDKRDANQLPSQ LISIYALLPVMVVPSWVSSFGTVDHNHFTPTPATRLAQAKRFMHTRGSCVV H257_00073 MSMQFLCNMTFTAIGAGAVPMVVVPDPSGRETATQRRRRQREAS VQRRFQVNEDRLSSNRNGRNDRPYYDDRRNDRPYYHDRRNADYSFSGRGCRGGGRGGG RGGGRPRTPSPQNDRGRRPHSPANTNDRSQVQTRNAGWGPPPPMTRNGGWDMPIIVHD AVVLEDVTSAASPIAGTVPETSAASPEAGAVPAPSQTAKVDAVPATPASSLEAVAAPA PSQTAEVDAVPAPEEVAQVVAPPLQVNILTAGDDDLVVAPLEGGKTPWPIDGHLADQW SITLCYNL H257_00074 MPAKLNRHARTIQFWARHFETSGALPVFLRGKHQKTESLMHDED FMAKCAEWLHAQLPNQRSPQRFQRHLNMEVIPLLTGALEANLSESTARRWMQHIGYRY ELWKKNVYTDGHEREDVTACRERFCKLFLSLAERMKFYSGEDMATVDLLTATNEPEIV WITHDESVFYANDDGNKGWPQIDNHDLHKKGRGCSIMVSDFLCPCHGRLFNMVNNVMT YTTRTLHVGKNNEGYWTCEHMIKQVQDEVISAFGDMHPGAMGLFTFDQSTNHAAFTSD ALRASNMGLRPGGAQALLRPGRLPGGSPQGMVFDDNHPHRGEAKGLQQVLLERGYDIK LLKMSHTCKEANIDTSHGPIRMCCARHCMASQDEVRSYSTHFR H257_00075 MKVMLLAADARSRYDKIGIWPLVVTVTALRTSKNRSKGVLELKP QNRGKEAKIQGIQVGTIGRLLRNEKPSIHRNVLKAALGNFALIDVTIVTAGRFSEFLD AGVHLSVILEGNESFFVLMYDVPRVAKCGFLEAVAVTAAKGFIFIVIALFVAVDDFP H257_00076 MASVAPANFTPGQLTPARRISAESGRNAGSSNTSKRRLSSVKAI KTWRVTVLPGLIMFLCTLGLIFLSIFLLFLLISQGMFKRQVVTVNAQLADTYFWAPYG QSCILTSDGFTPNSCDADTASVIPDKPFAVVGTELAKQWAAELTQAGGTLYVTTCILG GTSNVGWTDLQFIAGYDYFPECLPTEPQDVAGMAMLETTIRDTHVDGLYFLTLYADLD PSMTVYSYVNSDGTTQNLIDNIKRTLISVDGQVETDKLGRDYIITSRPLGDRYLVTGF CDTVVEELSELKDGLGLTGWSQGKHSKLPVVPAWSCGHVVENADEVIVLQAMSSFLSL WFFAGDMFITIEGLGGLLSGAPVLKYTVLSGLERRKWLLVSIVVNSMPGLLYMDVSRI YYYSTNGFKVYVLSSIMVANFFTFGFFIALSLFDTLVGLLRSFSSCVGYSAPIFTAVC IVWMTQVWCHDAFFLDVYNKFYSAPAFLGFYVNNATWPSGSYVAEGTPPIVTYLVKTI VTTVGGSFGIAIAISSIYRRIIHKQWLVSTVWCRSNSVLSALHLPNCITALPLESSNG IKMGNKMYCKPSTIAIMGYTTVVERQKWGKDKRHANQLPNQLISIYALVPAMVVPSWV SSLGTVDHNQFTPTPATRLAQAKPVVHTRGSCVV H257_00076 MASVAPANFTPGQLTPARRISAESGRNAGSSNTSKRRLSSVKAI KTWRVTVLPGLIMFLCTLGLIFLSIFLLFLLISQGMFKRQVVTVNAQLADTYFWAPYG QSCILTSDGFTPNSCDADTASVIPDKPFAVVGTELAKQWAAELTQAGGTLYVTTCILG GTSNVGWTDLQFIAGYDYFPECLPTEPQDVAGMAMLETTIRDTHVDGLYFLTLYADLD PSMTVYSYVNSDGTTQNLIDNIKRTLISVDGQVETDKLGRDYIITSRPLGDRYLVTGF CDTVVEELSELKDGLGLTGWSQGKHSKLPVVPAWSCGHVVENADEVIVLQAMSSFLSL WFFAGDMFITIEGLGGLLSGAPVLKYTVLSGLERRKWLLVSIVVNSMPGLLYMDVSRI YYYSTNGFKVYVLSSIMVANFFTFGFFIALSLFDTLVGLLRSFSSCVGYSAPIFTAVC IVWMTQVWCHDAFFLDVYNKFYSAPAFLGFYVNNATWPSGSYVAEGTPPIVTYLVKTI VTTVGGSFGIAIAISSIYRRIIHKQWLVSTVWCRSNSVLSALHLPNCITALPLESSNG IKMGNKMYCKPRYTNHTFVSKAEVVVLNVKYHRDHGVHDRRRASKVGQGQAACQPIAE PTHQHLRARAGDGRAVVGIFFRHRGPQPVHTHPRHAPRPS H257_00077 MASFFRRPLFQARPLGVGVAALTGGSAWSMTSSCENKTVSDETP KQATPKRMEFVGVFLDAKSQDAIKARFQALHGDVSAQSSVVLKYNPSKEELDAFAPIL GKNVTVKIQAVAQDEHAQAAIASVSTEHGDVHYAVECPHVTVSVSGEDGYTRGYSNVL LERLQAAGYLTIDDSPEGLNVGLSSFDGTLPSFASKLFPFYNPFPPTQASLTILADGP LTLSGVLCTSSSYDEASHSCGPAKKAECGFCLFMKAGPCGDQFTSWEACLDESKKEGA DFLSKCGPQTLALRDCVDAHPEYYSVLNGDDSDDEDTKAE H257_00078 MVRYAASPDALVASTSADAALPKVVLIGTEEHPGLDLVTQVVKR VSGLSSVDPVAVQILAHAVQELAPTPDLSASAHVYVPVGDKVLSVTVAQLPTNISRYN TPARPHAVSELVKAHGSSGAVVVALSLPEHVLTFEAAAFAVAKGIPAYSHKSNAPFRG VVKDGIATSFPSDNVHVVFRESLTDTQLSYLNHTADGIHLTQRLVDAPPNELNTDTFV AEARGVAARTGAAITVIRGDELRVQGFGGLYGVGKAAAHPPALVVLSYYPPSTSDTTG SVALVGKGIVYDTGGLDLKLSGAMQGMKDDMGGAAGLLGGFQAAVLSRSITTRPLHVV LCLAENAIGPLATRPDDIHTFYSGKTVEVNDTDAEGRLVLGDGVAYAVKHLNPSLLVD MATLTGAQGITTGQRIAAVYANTNEAEAWAVVAGRRSGDLVHPVPYCPEFFRPEYKSE VADMKNYMANGKNAAVSCAGQFIGNHLGAYETNGHWLHVDMAYPVASGGRATGYGVGF VQALVQTFK H257_00079 MAETSRSRLNSVHALVDEIRVRTGDETSDHVSSLWEKLQSHGLN DTDAWLCGALMQRLALAACAPNCKSSGHIEIIGKISQEKQSVEIDRVHIISAQCGALR LLHAVKPMVVRPHVNPAQFIPVVRQSMNLMDERKQREEVLKICRDVLIASDLQAEAQA ICLEFLHDETPGIRLIAIDGLLALATSSRHTELPRSLVASVASILFDATSSGSPTSRV AALRLLRVVALQHKDASVLSSFYMHAMDRSHVVRREVALSLRHFHDVASPNAIAQWLL KTPLDDGQPHVPTELVATGVLLSLLEDQHDEVREEASRSIVALARLCKPHLHVAALEN SITAHADLVHVGASLSAKLTITLSLASLLKCHNTHPYAFTTEQMDYLFTNPPTDVPHV VALMSVLATCSLEHLLHANRAVDWLAAISHLFWPPPTVSTPTANPILCRTSALQTRLL ALTAVVGRDVAPLVRLDASLGDRLRALQHVPLLRALGTDTTHVCATPLFVTASAPHPV DIEPAHATVVCPAPMASYAPGWQQHVVVTALVYGVDDPTTLAIKILVEPDGSDAPMVV LQEVVPRDITWQDRRAWVVCCRVPVTMPAALDCSVKALVCVTSSQDQVQAMGPAVVVG VTRQTLPNMSPVPHQQQQLRVPESSHRM H257_00080 MSAIQPLALLSLHRNDIIRLSNFPPNASRWITEAATVSWSRGVT SVTSKDLEMEIKFGGSPWASNGEDAVHSRRLMLHILRVLLMHGYVLYCATNMSNTASS KDVLLFERTEPCTPLMMAISVNQHDILRVIDAPSDVVERITSCVNAHYQGGSVRVSEY THGCTQFKLWSSPWSSGHTPFGRLLVAHVFAHLSALGWRLYGAAIMQNTSSEGTMVKD SWYFVYEPVVQVISAGVVSSKGLE H257_00081 MNHIPPFAMLSLLDDNILRFSNFPPEAVDWVIEAATVGWPRLTA TRQDQKTIVFHANVWSPSGAAETIYSRRMMLHILRCLQSHGYYLFQGIDITGDSVGKD VLLFEQREPTTTRMMAISVNANCLLRLIGAPDEVVAITKACLDYHFTPKGVLLSPKVV QGTTEFQLDGCPWESDHSSRSTHGRLMIAHLFAQLSACGWRLYGSIKQTGNQTGSDYT RRNPTKDTFYFTNVADALFAAPLSTASP H257_00082 MTDPDYCREKLMQWRERAKERGIRLQDLRNSLALMRAENSAVLG VKPRPSSPSKAKTETSPTTSGSALSSQSSTSDDKENVVVLHGSTDIPSKKRRLMPLLA PTRLDKGNGLVKENVCLPSPASSSQCDTNNGGSMTNIAHVVSEDLSKRVLALEAKLQD AMSQNTIHMTRAAQLEAHNSDLQASNAALEVANALLKGRMADVEDQRARVQAELESRT ATSMAQIASITATFEASLTQLSTYNAARDNELSETIHAKYEARLSDLSTKLLSTKSKY DAIRDDVDLACNDRAGTDIMPQVEALEDQLYHDDDDNMLEEARSLTLPALKKRLREYA VWVKLHDEDRQLLQFSNQVYLQQINELQQKHIALHKEVSAARQKVADIVRPKMMHEAK AATDRQELDECEEANNTLLSKLEKSMEKTKQLQKQLAAAVAAAPTNEDR H257_00082 MTDPDYCREKLMQWRERAKERGIRLQDLRNSLALMRAENSAVLG VKPRPSSPSKAKTETSPTTSGSALSSQSSTSDDKENVVVLHGSTDIPSKKRRLMPLLA PTRLDKGNGLVKENVCLPSPASSSQCDTNNGGSMTNIAHVVSEDLSKRVLALEAKLQD AMSQNTIHMTRAAQLEAHNSDLQASNAALEVANALLKGRMADVEDQRARVQAELESRT ATSMAQIASITATFEASLTQLSTYNAARDNELSETIHAKYEARLSDLSTKLLSTKSKY DAIRDDVDLACNDRAGTDIMPQVEALEDQLYHDDDDNMLEEARSLTLPALKKRLREYA VWVKLHDEDRQLLQFSNQVYLQQINELQQKHIALHKEVSAARQKVADIEAKAATDRQE LDECEEANNTLLSKLEKSMEKTKQLQKQLAAAVAAAPTNEDR H257_00082 MQWRERAKERGIRLQDLRNSLALMRAENSAVLGVKPRPSSPSKA KTETSPTTSGSALSSQSSTSDDKENVVVLHGSTDIPSKKRRLMPLLAPTRLDKGNGLV KENVCLPSPASSSQCDTNNGGSMTNIAHVVSEDLSKRVLALEAKLQDAMSQNTIHMTR AAQLEAHNSDLQASNAALEVANALLKGRMADVEDQRARVQAELESRTATSMAQIASIT ATFEASLTQLSTYNAARDNELSETIHAKYEARLSDLSTKLLSTKSKYDAIRDDVDLAC NDRAGTDIMPQVEALEDQLYHDDDDNMLEEARSLTLPALKKRLREYAVWVKLHDEDRQ LLQFSNQVYLQQINELQQKHIALHKEVSAARQKVADIVRPKMMHEAKAATDRQELDEC EEANNTLLSKLEKSMEKTKQLQKQLAAAVAAAPTNEDR H257_00082 MQWRERAKERGIRLQDLRNSLALMRAENSAVLGVKPRPSSPSKA KTETSPTTSGSALSSQSSTSDDKENVVVLHGSTDIPSKKRRLMPLLAPTRLDKGNGLV KENVCLPSPASSSQCDTNNGGSMTNIAHVVSEDLSKRVLALEAKLQDAMSQNTIHMTR AAQLEAHNSDLQASNAALEVANALLKGRMADVEDQRARVQAELESRTATSMAQIASIT ATFEASLTQLSTYNAARDNELSETIHAKYEARLSDLSTKLLSTKSKYDAIRDDVDLAC NDRAGTDIMPQVEALEDQLYHDDDDNMLEEARSLTLPALKKRLREYAVWVKLHDEDRQ LLQFSNQVYLQQINELQQKHIALHKEVSAARQKVADIEAKAATDRQELDECEEANNTL LSKLEKSMEKTKQLQKQLAAAVAAAPTNEDR H257_00082 MQWRERAKERGIRLQDLRNSLALMRAENSAVLGVKPRPSSPSKA KTETSPTTSGSALSSQSSTSDDKENVVVLHGSTDIPSKKRRLMPLLAPTRLDKGNGLV KENVCLPSPASSSQCDTNNGGSMTNIAHVVSEDLSKRVLALEAKLQDAMSQNTIHMTR AAQLEAHNSDLQASNAALEVANALLKGRMADVEDQRARVQAELESRTATSMAQIASIT ATFEASLTQLSTYNAARDNELSETIHAKYEARLSDLSTKLLVQRFHHVSITFMAEPTL GRAPSPSTTPFATTWTWHATIAQGQTSCRRWRR H257_00083 MFSGKGSLIRSRVSSPFPTSTAASLPGSVFHQSFVAALDTESRV LQDVLSLRPLSAALAYAPGRAVTVHAAEFFSELTQDSPDHPWIPSHRAVVHLVDVLLS CIDRINRHEETTHDLSDSLRSAAEAAGYAMAHTMILSHVHTLLHHDDAAVDAATILLT SVLASSMEYGHMHPLHIHPLVPSNGVVVFSSSSWPCSTCHRAALVKTDVVYRCAGCDV NLCKECFTRVPVLPSSSSSGLSSPSLPRRHSSATSPPRVLDGLWTHALDTLCAANMHI VVLDVARLLRCRVLELPLTAGAPLLPLLTILHDMVVSSASFCHALVHSSQWLPVVITG KAMEMSSFLGPFFRHTSFPDDVVPGDCLCGPAADKSGARATLDAVQSLHAAVVRALCQ HGGAVAEATRCWVACTVDANGVRRRLGHSPIECASDGFLINVAAMACQVAKQQVPDEM RVDVTWHMDRPGRLAMGDLARVLPKRGADLSTTKSSTDDRGESLLFYPHYDGHAGVVC DHCQDKDFVGVRYKCIHCDDFDLCSACYDHAVVGLIHEPLRDLPHPPTHMFLRLSIPL PQVTTWSFRKRPAVDLHEAATASCCDGCRGHGGGSSSARSGLYQCSQCDRRACLACVD AEERAAAGNPHRLHAPGHHYVDTTRVPVPPSACRLYQSLYPPQFLPPRADQPDLWWYV AMKSLHVGPVLTLMRYVSVHREHTQLKALNNVETRSRQQSQSSGGGSGGGGGGRRSTR STHHHHHAATMNATRVDELIKTKSAMEVQLFSPSFLHLVFGYYTRVARWLLHLIAQPD DENDLALAYAMVPETVLCNLADVLYVVGVHPCDGVDWAPKAMEPLVSLLFTLVGAQCL TNSPHVRVQLVRALLSLAPLKSPASTAQSPALVLYDVLALRPTLRRSAVHSLLRFHKD LDHYSNSTGGDSSWGLLPARLSALLLLRWLWNAPCPSSSNEADVDWAGGHHGMGLLFS GALHDITRLLDEATSKVLAMRRIQELQDSPTSTTGAYFQPAMMQSYMALHFNKARTTF RVLIECLELVAWIVAEPSLRAVLCRRSLVDQTASTLSFVVATLETHLHPSKWGFSAEI YQDGMLALAEALLVVVRCAGLHHSCPEASAWKLSNYAGILMEEKIGDLDVHQRWKLSS CLFRLEKDAAAARGMAAAQSIPPPPPPLDRDVGSDNEPDEESADRALAALEAKAGEDR SLEDASRVERQLAARFVSALAADGRFESVRFRRAAVLLRSDPSLTSVWREKFLQVVDH TEQVMALHEQMDARLGAVPDEYLDPILNTLMLHPVQLPSGHIVDRSMIERHLLSASVN PFSREPLTVEMLRPCPELQHTIARFVRSKLRQDNSIGMMADQEAWGLGWECLFEGTSL PND H257_00084 MGVHEMLASAWADRRKRYLLTAAITLVALFAVVVTIVATVQSQG TATADSSAPVADRIEGGTQGQVKAPSSGPTSEPPSTLSGEVATPSPAPHPSSNAAPSA TSTTPKPTPPPNLVYTCSASDPTSVCYIPPTNSPLYCTLDKSFDPNLLYIAAPSAGNT NAGFAMEQPCASGDRCVYGCEPPYVASSNGWSNADCIPYISLCPPYSASTSQGGLYCD KGRLVLDSPNQPLCVPGLNTSFVTNYVPATMSTCQRVTPGNGAPMIGLEVSPGQTKQL AAFPQWYWRGVGATHVVHLPGTRRLPACQRNADPLSLNAQGVDAMPFVLGGGSLPGSS CAACSQHTLSFNDHFDFQKAYAKVPGYGVRVRNCNDVACGPVQCDASYVYNAAIDTLD AYWVKYNAVFGSTAVGCVADVVVGNEWSARDGMSKYTLYEYYPTTTGGQQTTTCVGCP PASSFDATRCRKLRQPMPARFGSGVSPAQYVCIPVGAAVGLAGTLTVLSATSAEDDGH KNGWLTATVQIEIFVACVLAAVVVVAATRAHRIPGPVNSCAILEPQPVTELPMQWTPD RRGSMHASQATYVPRSSTTTFKPAAVVHEHD H257_00084 MGVHEMLASAWADRRKRYLLTAAITLVALFAVVVTIVATVQSQG TATADSSAPVADRIEGGTQGQVKAPSSGPTSEPPSTLSGEVATPSPAPHPSSNAAPSA TSTTPKPTPPPNLVYTCSASDPTSVCYIPPTNSPLYCTLDKSFDPNLLYIAAPSAGNT NAGFAMEQPCASGDRCVYGCEPPYVASSNGWSNADCIPYISLCPPYSASTSQGGLYCD KGRLVLDSPNQPLCVPGLNTSFVTNYVPATMSTCQRVTPGNGAPMIGLEVSPGQTKQL AAFPQWYWRGVGATHVVHLPGTRRLPACQRNADPLSLNAQGVDAMPFVLGGGSLPGSS CAACSQHTLSFNDHFDFQKAYAKVPGYGVRVRNCNDVACGPVQCDASYVYNAAIDTLD AYWVKYNAVFGSTAVGCVADVVRRNELSHCFLTKLTILMNFWVGCDTVGRRE H257_00085 MLLSTVTTTFELPSSQVVQIVAHDLDGTCYTNDDQDRHDRMYVA LLSLTTHSHRIVLNCSFDALDHSYHVRGFVVACPTSTYAEELTMLEHQVLVLARTMEQ AHIWKWTSHVWGGDCAEHSNVIQEIVPTFAQLQGLRVMLPCYDISSPPTSRHEQDELV H257_00086 MPSMGIGGWQVVPSGAAPSANRTMESRRSIGGRPIRGDTSEAAL SVDHPPVSRFDITTKRLVTNIIPGVLIFVASLLLVVLSVLTLLVLVSQGMFERLVVSV NAQSTKYYWAPYGQSCLLNKSGFIPSSCSSVELTVAPAAAWAAIGTVLAQQWTAEMRE AGGVLRVTTCAVGGTSAVGWANLQFIAGYDYFPECLPSTPQDVAGMAVLETTVRDNHV EGLYFVTLYSDLDVTMTVATSTNSDGTTQNLMSNPKRTLVTGTGKIEKDDLGQDYIIN AYPLSARYKVTGMCVTEIEELSKLRVDLHLSGWSRGKHSQLPVVPGWRCGHRVANANE LMAIQIVLTLLTLCLLTGDIYVTLEGFRGLVTGEHVMTYNFVAGLERRKALLLCLILN SVPGVLYLDVARIYYFTSNGMQLWALSAVVTATCFSLGWLLVVSIVDLIPCRWHNRCV GYSAPLFLFTSIATVTAACCKNSAFETAANKFYAADPYLGLWINNATWPSGSYVATGT PVVLTYLVGEIVYPVVGSFAASILVMTVYRALQHHSLLIDTTWCRNNSFLRQANMPNF ITSLPLEPSVAIRLGHDMYMRPSTLATVGFATVVDRDTVRNGIGRVESCHVVTIYALV AALVAPGWVETMGDMEEHQFTPSATLCTLPAKKKYLHTRGMCVV H257_00086 MPSMGIGGWQVVPSGAAPSANRTMESRRSIGGRPIRGDTSEAAL SVDHPPVSRFDITTKRLVTNIIPGVLIFVASLLLVVLSVLTLLVLVSQGMFERLVVSV NAQSTKYYWAPYGQSCLLNKSGFIPSSCSSVELTVAPAAAWAAIGTVLAQQWTAEMRE AGGVLRVTTCAVGGTSAVGWANLQFIAGYDYFPECLPSTPQDVAGMAVLETTVRDNHV EGLYFVTLYSDLDVTMTVATSTNSDGTTQNLMSNPKRTLVTGTGKIEKDDLGQDYIIN AYPLSARYKVTGMCVTEIEELSKLRVDLHLSGWSRGKHSQLPVVPGWRCGHRVANANE LMAIQIVLTLLTLCLLTGDIYVTLEGFRGLVTGEHVMTYNFVAGLERRKALLLCLILN SVPGVLYLDVARIYYFTSNGMQLWALSAVVTATCFSLGWLLVVSIVDLIPCRWHNRCV GYSAPLFLFTSIATVTAACCKNSAFETAANKFYAADPYLGLWINNATWPSGSYVATGT PVVLTYLVGEIVYPVVGSFAASILVMTVYRALQHHSLLIDTTWCRNNSFLRQANMPNF ITSLPLEPSVAIRLGHDMYMRPSTLATVGFATVVDRDTVRNGIGRVESCHVVTIYALV AALVAPGWVETMGDMEEHQFTPSATLCTLPAKKKYLHTRGMCVV H257_00086 MPSMGIGGWQVVPSGAAPSANRTMESRRSIGGRPIRGDTSEAAL SVDHPPVSRFDITTKRLVTNIIPGVLIFVASLLLVVLSVLTLLVLVSQGMFERLVVSV NAQSTKYYWAPYGQSCLLNKSGFIPSSCSSVELTVAPAAAWAAIGTVLAQQWTAEMRE AGGVLRVTTCAVGGTSAVGWANLQFIAGYDYFPECLPSTPQDVAGMAVLETTVRDNHV EGLYFVTLYSDLDVTMTVATSTNSDGTTQNLMSNPKRTLVTGTGKIEKDDLGQDYIIN AYPLSARYKVTGMCVTEIEELSKLRVDLHLSGWSRGKHSQLPVVPGWRCGHRVANANE LMAIQIVLTLLTLCLLTGDIYVTLEGFRGLVTGEHVMTYNFVAGLERRKALLLCLILN SVPGVLYLDVARIYYFTSNGMQLWALSAVVTATCFSLGWLLVVSIVDLIPCRWHNRCV GYSAPLFLFTSIATVTAACCKNSAFETAANKFYAADPYLGLWINNATWPSGSYVATGT PVVLTYLVGEIVYPVVGSFAASILVMTVYRALQHHSLLIDTTWCRNNSFLRQANMPNF ITSLPLEPSVAIRLGHDMYMRPSVHSVCVVRLPQSGLRPSWTATRFATASAASSRAT H257_00087 MTLGSSSSHGMSMEAVASARGFELLTRCVYVPPLMQPSVTEAEP CFCSSTDSSEVACHDTECINYATYVECPLDRCPTGRGCRNQRLQRPDLFPHLEPFQTE FKGYGVRTTERVRALDPVGEYVGEIIGQKELLRRTNGLGRMETNFYYIQMSNGVYIDA RHRGGFTRFVNHSCNPNCKAEKWTVGGETRLLVFALRELAPGDEITFDYQWTLLGRQR IKCFCGESVCKGFIGGDVEKNPTDTPDGVFQDPTDADTVDEYLVGRTLRLFNPSSGDG SHSFSIVLVKSYDPNTREHTVVDAPPSMDQTSTSPPSTQRRRRRPTNRFDKDDSSSDG CSSNSSSDDDTISHRGGGRDEVDGTTMRQVSLSTLQWQLYIDLRGLSADDVQKAVFSI PKLNRPPRRPHLANATPLSAPASPLYLTPPRPSTPLSSSAATRPPTFKLLLKGLSPEV NATMLRRLLGSHADTVVAIDLFFLDTSNFGHIGWALLELSDPIVFEKMRSRFDNKPFV GNTVVRSFRATDQGISSFYRVKETTIRRKHLVSTTDPKGTSSRPSSATGTRPPPPPPS LSNSSRQPPRRPGDGSSPTTNDHTSNPSMSIASEMYCIGRKLNWVVDSSSLRPLVSPS RKAGMSASVEAMLQSKCTKIMLNVVKMLKFNREDASTAIVLFHRYVSVHPMNVSTVEW MAATCLHVVLKSHSRSLDWPAFVTAVYAAKYHGGGSSSAAQPSADELERVEHHVLSME AALLDGLRYDISSTDPFAMLDACFRGGNLDDDNRAHKFGKRLVSDSLTATSLWTHFPV ECLVVAVLYIASATAVSANPNADMPPPPPYLPRLPRSHRHTFDAAVAPLLTLFEATRC TDLPRSVLEERIRHFVDTQDAKDMPAIYFEPSNWPSAYLAWQSSHQAVQLTATHMENV VAIRRRAFVARIKAVGVPWPDLAGRPVYLQPWPYKQPHHATRGMPEACLRELSTLVNV HARDTALFVTLIGIVFPTQPQRVDDLLVLPSPSKPNNNDNAIDMFTDLFPGETADRTM PPISTAKRPVVKASTKSKLLQDDMHYLVFERPLHMLSTLLESTSDASIMSFATRKRIV FNLFRSVAVCHEHNIVHRFISPSNMFVYDHQVKLGGFSCARHVDMKGARTSGYALSAS EVHEHMSGSALHTTAPEILLGDKVYTKRSDVWSLGVVGLHILLHGAPLIVGKDAPKQL EYLYRICGSPRDDVWPDAMHLPQFVRPKHNYHTRLRKVILERMPVFPDAAIELFENLL ALDPKRRWPVRRALTAPWFADQLLDENGGSMDFTMIPSTVETIGASSAPKQDKSAKKR KQRHDAPPTRPHRSRRPSRERPSS H257_00088 MDGPNLSSTAQRAQAHFAAGKYLDVVELLTQLSSWEDRGRSSHD DKKGKDKNSSGGNGGPMKTRVQHNLALSQLLAGKTKFSDFESAVLHLLSEMQVSVSQM QQQSSDQSSVLGMKTRKDNKYWEDDQLLEFDGQANRPHGSSIFSGVNLSFQTLAMERD ASLLRYNLAVVYFRQKKYAAAASLLDVLLRAIEPMDENVAMHICFLYLDVILHSSRSS SVTEAAAPSSALRQKADALVSYLESPHMFNGLVPLPVSDKKPTKASVAAATAHDAHVV EFKFRLHLYKSKLALLHDNLKGAKKDVKTAMEIFQRDIKPVKGDGVAGVLAPIVGGIG SIYVPPSTAFQNSSALFLKAQLEYLRRNFKKCIKLVASCKQQAVDESLFLNNLGCVHS QLGHHQAAQSYFAKALEATSSSSSRSKAAASKATTAADNTAHPLASSAQAEILYNNGL QLLVLKKYALAFRCLHAASKLMFNRPKLWLRLGECCTASYAAQRETLPSEYKNSLVSS VVGHGAHRRVVLPTRPPTEDVAATSAVDNMASLTSDVPTLSLPFAVKCFRNALLLSQQ VLETTSKPREDTAELTPDSSGVSLEDSLELLRQKALVNLAYAYLSMNAPELAIHTAKE LLSMPTCTPAHRFLVRSYYAEALCLLSRSAEASVHLKLNDMLSLADAYAREAKADTAA VHANLHVNNATVAILQKNMPQAEQSVAQAVRLAPTSRHSLELLVYILLRKGHSNKAMQ ILKEARVVT H257_00088 MKTRVQHNLALSQLLAGKTKFSDFESAVLHLLSEMQVSVSQMQQ QSSDQSSVLGMKTRKDNKYWEDDQLLEFDGQANRPHGSSIFSGVNLSFQTLAMERDAS LLRYNLAVVYFRQKKYAAAASLLDVLLRAIEPMDENVAMHICFLYLDVILHSSRSSSV TEAAAPSSALRQKADALVSYLESPHMFNGLVPLPVSDKKPTKASVAAATAHDAHVVEF KFRLHLYKSKLALLHDNLKGAKKDVKTAMEIFQRDIKPVKGDGVAGVLAPIVGGIGSI YVPPSTAFQNSSALFLKAQLEYLRRNFKKCIKLVASCKQQAVDESLFLNNLGCVHSQL GHHQAAQSYFAKALEATSSSSSRSKAAASKATTAADNTAHPLASSAQAEILYNNGLQL LVLKKYALAFRCLHAASKLMFNRPKLWLRLGECCTASYAAQRETLPSEYKNSLVSSVV GHGAHRRVVLPTRPPTEDVAATSAVDNMASLTSDVPTLSLPFAVKCFRNALLLSQQVL ETTSKPREDTAELTPDSSGVSLEDSLELLRQKALVNLAYAYLSMNAPELAIHTAKELL SMPTCTPAHRFLVRSYYAEALCLLSRSAEASVHLKLNDMLSLADAYAREAKADTAAVH ANLHVNNATVAILQKNMPQAEQSVAQAVRLAPTSRHSLELLVYILLRKGHSNKAMQIL KEARVVT H257_00088 MDGPNLSSTAQRAQAHFAAGKYLDVVELLTQLSSWEDRGRSSHD DKKGKDKNSSGGNGGPMKTRVQHNLALSQLLAGKTKFSDFESAVLHLLSEMQVSVSQM QQQSSDQSSVLGMKTRKDNKYWEDDQLLEFDGQANRPHGSSIFSGVNLSFQTLAMERD ASLLRYNLAVVYFRQKKYAAAASLLDVLLRAIEPMDENVAMHICFLYLDVILHSSRSS SVTEAAAPSSALRQKADALVSYLESPHMFNGLVPLPVSDKKPTKASVAAATAHDAHVV EFKFRLHLYKSKLALLHDNLKGAKKDVKTAMEIFQRDIKPVKGDGVAGVLAPIVGGIG SIYVPPSTAFQNSSALFLKAQLEYLRRNFKKCIKLVASCKQQAVDESLFLNNLGCVHS QLGHHQAAQSYFAKALEATSSSSSRSKAAASKATTAADNTAHPLASSAQAEILYNNGL QLLVLKKYALAFRCLHAASKLMFNRPKLWLRLGECCTASYAAQRETLPSEYKNSLVSS VVGHGAHRRVVLPTRPPTEDVAATSAVDNMASLTSDVPTLSLPFAVKCFRNALLLSQQ VLETTSKPREDTAELTPDSSGVSLEDSLELLRQKALVNLAYAYLSMNAPELAIHTAKE LLSMPTCTPAHRFLVRSYYAEALCLLSRSAEASVHLKLNDMLSLADAYAREAKADTAA VHANLHVNNATVAILQVPFV H257_00088 MKTRVQHNLALSQLLAGKTKFSDFESAVLHLLSEMQVSVSQMQQ QSSDQSSVLGMKTRKDNKYWEDDQLLEFDGQANRPHGSSIFSGVNLSFQTLAMERDAS LLRYNLAVVYFRQKKYAAAASLLDVLLRAIEPMDENVAMHICFLYLDVILHSSRSSSV TEAAAPSSALRQKADALVSYLESPHMFNGLVPLPVSDKKPTKASVAAATAHDAHVVEF KFRLHLYKSKLALLHDNLKGAKKDVKTAMEIFQRDIKPVKGDGVAGVLAPIVGGIGSI YVPPSTAFQNSSALFLKAQLEYLRRNFKKCIKLVASCKQQAVDESLFLNNLGCVHSQL GHHQAAQSYFAKALEATSSSSSRSKAAASKATTAADNTAHPLASSAQAEILYNNGLQL LVLKKYALAFRCLHAASKLMFNRPKLWLRLGECCTASYAAQRETLPSEYKNSLVSSVV GHGAHRRVVLPTRPPTEDVAATSAVDNMASLTSDVPTLSLPFAVKCFRNALLLSQQVL ETTSKPREDTAELTPDSSGVSLEDSLELLRQKALVNLAYAYLSMNAPELAIHTAKELL SMPTCTPAHRFLVRSYYAEALCLLSRSAEASVHLKLNDMLSLADAYAREAKADTAAVH ANLHVNNATVAILQVPFV H257_00088 MDGPNLSSTAQRAQAHFAAGKYLDVVELLTQLSSWEDRGRSSHD DKKGKDKNSSGGNGGPMKTRVQHNLALSQLLAGKTKFSDFESAVLHLLSEMQVSVSQM QQQSSDQSSVLGMKTRKDNKYWEDDQLLEFDGQANRPHGSSIFSGVNLSFQTLAMERD ASLLRYNLAVVYFRQKKYAAAASLLDVLLRAIEPMDENVAMHICFLYLDVILHSSRSS SVTEAAAPSSALRQKADALVSYLESPHMFNGLVPLPVSDKKPTKASVAAATAHDAHVV EFKFRLHLYKSKLALLHDNLKGAKKDVKTAMEIFQRDIKPVKGDGVAGVLAPIVGGIG SIYVPPSTAFQNSSALFLKAQLEYLRRNFKKCIKLVASCKQQAVDESLFLNNLGCVHS QLGHHQAAQSYFAKALEATSSSSSRSKAAASKATTAADNTAHPLASSAQAEILYNNGL QLLVLKKYALAFRCLHAASKLMFNRPKLWLRLGECCTASYAAQRETLPSEYKNSLVSS VVGHGAHRRVVLPTRPPTEDVAATSAVDNMASLTSDVPTLSLPFAVKCFRNALLLSQQ VLETTSKPREDTAELTPDSSGVSLEDSLELLRQKALVNLAYAYLSMNAPELAIHTAKE LLSMPTCTPAHR H257_00089 MTGPATAAVPHPTTVGNTFVKQYYSLLTGSPEKLHRFYKEESTF SHGLGSHPEESVSGQTAINSTILKKHYSGAVVHLDHGSIDCQGSQQGGVVVLVTGVIT LQRSAPSHFVQSFFLAVQENGYFVLNDVLRILSIPSAKQQQSPTSPTKKAAPAPSPSK ATQTQVKEAVKSPKHQPAPAAAVASPPLPASKAPHVDTAIPAHVLSPKHAPASPTKKP STPKPSTPKPTAASATASPVVAVVRDEAKTSRPTSPKKEHSPKNNKPKPTAKPVKLDD EGEQAVTPADSGAPKSWASLFASKKVSAVAAVASTKVESPRKKQQTAGATPSWDDEPA ATAGAVPPPPAPAASSSGKPARPVYFSLFIKQVPATTTANDLKDLFGSYGKIGSVNVL EGKGHAFVDFYDEESVKNVLAALADGVQFSVHDQVLHVAERIAKDKASFTSGGRGGGR GRGNSTDYPAGGRGGGGRGGPFNPRPKDAAGRPNGTAPVGGRDNKSGGRGGRGGRGYT PAAN H257_00090 MGQPLFERVAALLRDKTAVAAIASQEASQIQERLQVAINKLTQR IDEDPDHKAEWAREKARAETLLKQWLLAQRQRSLQQEHDELMDIPKVRAESMKATHAD VHRSMERTNRALRAQIELSEEVRRRMGDGTNDLKKTHERYAEVKEKLKQTQRLLKELD HQAYMDKVWIAAGMFVFSAVVLLIIVERFPRHYIPLFWIF H257_00091 MEHVDRIGHILRRAEKAHGRTDLTLLQVLEAAEHSQQPHLSRRV QQLVLTLSMDPEPNWWKKLARHVSRVQSMQGTMSSPTATDRFLDVMASPHHRRDELKQ SRTQVAVPVDHPNHHHVHVFRVLASAFEGWAKVAKNLRATRHRQLQASSPPHHQQPRR GLPPVQFKALVRWLQLVWPASDDETQMNLSHSFHGRNRAIQLASDRLLRRWTLTKVWR GWMHDVARCTLRNVDVQRRWHRATLRRRRSCFLAWRLWAIHFGPKRRQLQTAHAHVVT RRTWQRWLQALEHAYTCRKQQHVALCRVLRSWGQFVGQRHRQRLGLERTTTNRSRRVL LEAWRQWQHTSSTQRQGRELAVRCDAVAMHHATARGVAQWRRWFATRQHHHIVTATVL QHVHRTMTRTTWRVWSAYVAVRRRVQMLQTTLPRLFTAALHGHYLHTWRYCAKQSAQE AKTVTAAVQFRRRARFWREWKQRKLIAAVVHTFRTQMKHHVRRMAFCVWLQYVDENRC THRMLESQWAHRNSRQVARAFAGWYQHWRRRTGLHTAVRVLAGRRLTTLLRSWRELTR HRRHIRLHVFVHGLALHSLLGTRRWWTVWNSEYNKLKTVESHCQQRLLRRVDGIFTVW RQYARKHRSWRAAVSTMRRSTLTSTTRRLLRLWSGVTKAGRAVRRVLAQHTDGRNRRR THRVWRQWVARVIARTSMRCKLLAAMTWQHERCLGTTFAGWRRVLHTQKHLRAKSRLV CQTLSLNHVGRMFQQWRGLVHNAQGKKELDDRCISRRLCQAVQCWHRRAGLLRRVRHT LPRALGCSQRHTFLRSWRRWCTYTHMAQRTRQQCDLAEVAWTRRTVRNAWQAWTRYSR ATVAIRLKSHQCQAARARRQLHVAVTTLHTTATFQRQLRQWTTLRSCHFFTSVAARVL ERWQQHTRTQSGRRRARTAQVAHMQRRWQHAAVQHWHNATKSKRLWTAKLGQAKRWFH ASTVHVAWTTWKVQIALVRRQKHSMRGIVQRWHQLDLAGRMLRWRAYADHRLHRSHQT AAAATAFQRALCRRALTQWQQRRIAQLALKRRLQDLLGTRRLAQLQHCTATWRSFVHS KQMLVAKLHEFMATSSATLMSTRFALWSRHAWLAPQLRAFRYHRHIRICHLVLREWRQ RVDLRHRFSAVVALLEGRSMSSLFSTWRASVFLASQRRVMALEIAHATTTRRHLQLVW RRWRQWQHSRPLLRRVAALWPRSQQYQAIHTWQHALHPLVALESQLTLASAHRRGLWI WKQWRILHLRRCRQATTQAAVDAFVDLLDQSDNATTVVCTAAIHRWQCVAALRGFERW REMASAQRLDRTNSIRALQHWLVRQLSRRFDLWREMVHLQRRHRAAIAHCHTHRKALV LAQWAQFTQDIHVQRELKHVATHHWTAASRHRALTAWVQFKHEAGRLQQTARTIRAGA VRRCRRLRWTVWTAYVAHRRSRRHLAHLLRAFRCQVVLKAALRSWRYITRTLVDTRTA LQATVLRLEVLVQLPCLRAWREWTLKRQRRRHMIQTLERGRRWSMLHVQFYRWLTAIR YRQSRRHRIHRHHMHRGVAALVYRRQTRMDLRVLVTKARTYAEMKQERRRWTLFCMWH LWARRQRELRLATAFAAHCHIQRWRRWAVRTHSLRSTTHHIMQRWATHTIRCVFDTLS RHVMQSKLAAMATTKSHRNLVHKAFVGWAGYRHTRQTRQVRCTSLLRNYWTNALATRW RRWRDLVRKRRRVRATNLPRAVPTECWREWRVRFKVATAGRVALCRRKWVTWQLAVRA RQRKLNCTDVAEQRSKQRAVQRWRCFQREKTTLALRHNRALVLLTGRTAARFLILWKQ FAARQHLCRQQLTALSDRSARRQYLAVAMAWIAHFRIDQRRKQRIALGVARTQQRRQQ RAVVAWVQFVSHQHHHALQDDLAWQFRAQAVVLRCFQRWIRLVLDQQVRRAKCARVGH VIMGHVVQRLFMTWQHYSQAKQLTAKRHDLAVRVFEANSCRWVWTAWTKRMAAARWRA RATKMATQHITTAHWRQWKHHHVTVQVLKRLGAITRSLLAQCLAGWSTVTATARSHRA ILSTIQCQRGGRSRASVFNAWKQQAWLRATKLHLTSRWYAKLAVQVWAAWRQYMQRGA AAAALTDRRTRFLETVRRDRWRWWRHLFVATRHCRLHKLEVVLRGWRVAGAARRRAVQ IVATTRSARTQGQARSVLMTWHVHVTAVKATQRYLIWSHRRDQWINRMDRVRCKRMVH THWYVWVHYAAIRTVQTRQWRAAQTARISRRMWNGWLTFKVSQQVLRRAQQRRTAIGL SDAVSQWFIWTQLHFVARTQWLQAAANARHRNLRRRWHVWRTKVAISIQVGAVATTRH MRQRRQLWHEWQVQVHVARQTRQAVAYARVREIGRRLHQWLAFVRFGRCFRRLHCRAG TRRLRRFVRLWVAYGDARAASRRHFEEGQRNLNTWKKRAAVSAWKRRWLRTVHLQSAK RFRQSQLWQQWRSRVLMNYADRFFAKSTVQRCWTSWRQLADGTKRCRAFRRGWRRRHL HDVLRAWLGMAKTRHIQAQAAEYATLKAKTRVLVAWQRAVAVQKMHAEAMAETAARRG REWNLRTRWRQWNEVRHRAKQRWTRLRKQLKRWSSDKQVKELRRLWHAWTDVTTRRQK LREFLDRRQGSDMTRAWTAWGQWRHRQQFQRLDKRRADGHFGECIQRKVLFHWHVYAA ACNHRRPG H257_00091 MMYDIVDRIGHILRRAEKAHGRTDLTLLQVLEAAEHSQQPHLSR RVQQLVLTLSMDPEPNWWKKLARHVSRVQSMQGTMSSPTATDRFLDVMASPHHRRDEL KQSRTQVAVPVDHPNHHHVHVFRVLASAFEGWAKVAKNLRATRHRQLQASSPPHHQQP RRGLPPVQFKALVRWLQLVWPASDDETQMNLSHSFHGRNRAIQLASDRLLRRWTLTKV WRGWMHDVARCTLRNVDVQRRWHRATLRRRRSCFLAWRLWAIHFGPKRRQLQTAHAHV VTRRTWQRWLQALEHAYTCRKQQHVALCRVLRSWGQFVGQRHRQRLGLERTTTNRSRR VLLEAWRQWQHTSSTQRQGRELAVRCDAVAMHHATARGVAQWRRWFATRQHHHIVTAT VLQHVHRTMTRTTWRVWSAYVAVRRRVQMLQTTLPRLFTAALHGHYLHTWRYCAKQSA QEAKTVTAAVQFRRRARFWREWKQRKLIAAVVHTFRTQMKHHVRRMAFCVWLQYVDEN RCTHRMLESQWAHRNSRQVARAFAGWYQHWRRRTGLHTAVRVLAGRRLTTLLRSWREL TRHRRHIRLHVFVHGLALHSLLGTRRWWTVWNSEYNKLKTVESHCQQRLLRRVDGIFT VWRQYARKHRSWRAAVSTMRRSTLTSTTRRLLRLWSGVTKAGRAVRRVLAQHTDGRNR RRTHRVWRQWVARVIARTSMRCKLLAAMTWQHERCLGTTFAGWRRVLHTQKHLRAKSR LVCQTLSLNHVGRMFQQWRGLVHNAQGKKELDDRCISRRLCQAVQCWHRRAGLLRRVR HTLPRALGCSQRHTFLRSWRRWCTYTHMAQRTRQQCDLAEVAWTRRTVRNAWQAWTRY SRATVAIRLKSHQCQAARARRQLHVAVTTLHTTATFQRQLRQWTTLRSCHFFTSVAAR VLERWQQHTRTQSGRRRARTAQVAHMQRRWQHAAVQHWHNATKSKRLWTAKLGQAKRW FHASTVHVAWTTWKVQIALVRRQKHSMRGIVQRWHQLDLAGRMLRWRAYADHRLHRSH QTAAAATAFQRALCRRALTQWQQRRIAQLALKRRLQDLLGTRRLAQLQHCTATWRSFV HSKQMLVAKLHEFMATSSATLMSTRFALWSRHAWLAPQLRAFRYHRHIRICHLVLREW RQRVDLRHRFSAVVALLEGRSMSSLFSTWRASVFLASQRRVMALEIAHATTTRRHLQL VWRRWRQWQHSRPLLRRVAALWPRSQQYQAIHTWQHALHPLVALESQLTLASAHRRGL WIWKQWRILHLRRCRQATTQAAVDAFVDLLDQSDNATTVVCTAAIHRWQCVAALRGFE RWREMASAQRLDRTNSIRALQHWLVRQLSRRFDLWREMVHLQRRHRAAIAHCHTHRKA LVLAQWAQFTQDIHVQRELKHVATHHWTAASRHRALTAWVQFKHEAGRLQQTARTIRA GAVRRCRRLRWTVWTAYVAHRRSRRHLAHLLRAFRCQVVLKAALRSWRYITRTLVDTR TALQATVLRLEVLVQLPCLRAWREWTLKRQRRRHMIQTLERGRRWSMLHVQFYRWLTA IRYRQSRRHRIHRHHMHRGVAALVYRRQTRMDLRVLVTKARTYAEMKQERRRWTLFCM WHLWARRQRELRLATAFAAHCHIQRWRRWAVRTHSLRSTTHHIMQRWATHTIRCVFDT LSRHVMQSKLAAMATTKSHRNLVHKAFVGWAGYRHTRQTRQVRCTSLLRNYWTNALAT RWRRWRDLVRKRRRVRATNLPRAVPTECWREWRVRFKVATAGRVALCRRKWVTWQLAV RARQRKLNCTDVAEQRSKQRAVQRWRCFQREKTTLALRHNRALVLLTGRTAARFLILW KQFAARQHLCRQQLTALSDRSARRQYLAVAMAWIAHFRIDQRRKQRIALGVARTQQRR QQRAVVAWVQFVSHQHHHALQDDLAWQFRAQAVVLRCFQRWIRLVLDQQVRRAKCARV GHVIMGHVVQRLFMTWQHYSQAKQLTAKRHDLAVRVFEANSCRWVWTAWTKRMAAARW RARATKMATQHITTAHWRQWKHHHVTVQVLKRLGAITRSLLAQCLAGWSTVTATARSH RAILSTIQCQRGGRSRASVFNAWKQQAWLRATKLHLTSRWYAKLAVQVWAAWRQYMQR GAAAAALTDRRTRFLETVRRDRWRWWRHLFVATRHCRLHKLEVVLRGWRVAGAARRRA VQIVATTRSARTQGQARSVLMTWHVHVTAVKATQRYLIWSHRRDQWINRMDRVRCKRM VHTHWYVWVHYAAIRTVQTRQWRAAQTARISRRMWNGWLTFKVSQQVLRRAQQRRTAI GLSDAVSQWFIWTQLHFVARTQWLQAAANARHRNLRRRWHVWRTKVAISIQVGAVATT RHMRQRRQLWHEWQVQVHVARQTRQAVAYARVREIGRRLHQWLAFVRFGRCFRRLHCR AGTRRLRRFVRLWVAYGDARAASRRHFEEGQRNLNTWKKRAAVSAWKRRWLRTVHLQS AKRFRQSQLWQQWRSRVLMNYADRFFAKSTVQRCWTSWRQLADGTKRCRAFRRGWRRR HLHDVLRAWLGMAKTRHIQAQAAEYATLKAKTRVLVAWQRAVAVQKMHAEAMAETAAR RGREWNLRTRWRQWNEVRHRAKQRWTRLRKQLKRWSSDKQVKELRRLWHAWTDVTTRR QKLREFLDRRQGSDMTRAWTAWGQWRHRQQFQRLDKRRADGHFGECIQRKVLFHWHVY AAACNHRRPG H257_00093 MACGLTPEIVEMLANVSKYQEDGKKVSAEEYLAQVEHSKQARDR QEAVQAKLDRERGYDWTREYEKWSAWEDPDELAAKEHAARVKSERASMRTSCNHDHSA EQKLMDMTTRAKLTQCDTFRRLGNRFFAHGQYQRAAYHFHHALVYFEYIFSDTDAEQV EMDDLKKRILLNFALCRLKTKHVDQAILNASLAMKLDGESVKALYIRAMGYRMQDKFE LAQVDLDRALALAPQDSALVHEKHVLAAKKAAYVVKSKQLGAAMFGRNQPTAQPQPTN SAMFVGDGLSLEMEFRQPTTIAPSDVDSVEFWQPSTRGKHALESLLGEWKLGAVALDG QARSIHQP H257_00092 MTKSKNQKSQRDSSVRGFSANKAAKKMAKKKLQKVKSAASEKAP VTKQPVYPQSEKAKAKKGTAAGGLSAMQEQMKKRLEGGKFRMLNEQLYTTTGDEAFNS FQAEPELFDTYHEGFREMAEKWPANPLDKFIDYVKLHPKAIVADFGCGDARLAASVSN TVHSFDLVSRNQYVVACNIAHVPLPDESVDIAVYCLALMGSTLVEYLLEGRRVLKLNG VVKIAEVKSRFETDSLGGIKGFVRSMQDLGFDLKHKDENNKMFVTFEFTKVKRAPKPN VEIEMKACEYKRR H257_00094 MDRTTEKLRSKHVRTLELLQKTLDENAEMKDKLVALTKSSSASS FQLSMGQGVSLADRLQETELELERLKARHAIALQQQAEAAAEALEATKRLVEQHVLDK KFNMTSNVEVAQRIRQQVADTTAEYDTERRHLTQVIHTLQSQVQELQAAQTATVALTE AKQRAEDSVSRSMEAKRVAEVECVRLTDLVERMRKNERTYTSQVESLKAQTLVFEAEH NQVYQKLDARDRQVEMMQQELAELRQKARSNADAMSIELKMASEQADKLVKATQQRTT TMGDDGQRTSNLLSNNCPNDSSSSHNQSLARENQTLRDQVAQLRADLETVCASKSGFA THVDLKKENFLLRQQVEEMQGLQKKFLATAKKTTIMASFGNQRG H257_00094 MDRTTEKLRSKHVRTLELLQKTLDENAEMKDKLVALTKSSSASS FQLSMGQGVSLADRLQETELELERLKARHAIALQQQAEAAAEALEATKRLVEQHVLDK KFNMTSNVEVAQRIRQQSQVQELQAAQTATVALTEAKQRAEDSVSRSMEAKRVAEVEC VRLTDLVERMRKNERTYTSQVESLKAQTLVFEAEHNQVYQKLDARDRQVEMMQQELAE LRQKARSNADAMSIELKMASEQADKLVKATQQRTTTMGDDGQRTSNLLSNNCPNDSSS SHNQSLARENQTLRDQVAQLRADLETVCASKSGFATHVDLKKENFLLRQQVEEMQGLQ KKFLATAKKTTIMASFGNQRG H257_00095 MSNGKRKRSRDDPTSDERRKKSATDAKKKQHKSYMKDFKKWSKT SSTTGATAAAAEWLTEDEATLREKHQFLRNDDADAVDGAKDWKVRMAVRYYQKLFKEY ALADFSRYTDGKVGLRWRTEREVIDGKGQFVCGNKACDASEGLESYEVLFAYVEHGEK KQCLVKLRVCRACALKLFHRKILEIQSRHRHDKVAAHVDVQNMLQGKQVDDDDDDGHD DDGDDNARGDDNARGDNAKV H257_00096 MSAPPPPSDFTPAAKKAWEESSDFANYFCTYGFIYHQKQMLSDT LRMQRYRDAIFENASCFQDKVVLDVGTGSGILAIWAAQAGARRVYAVEATDMAKQARR VVAANKQDHIVTVIQSKIEDVNLPEHVDIIISEWMGYFLMRESMFDSVLLARDRWLKP GGALYPSHATMYVAPLGLEQTALKRFNEFSNAMDDWTLFVSETKDQWGVDMSCLGNAF REEQEQFSLKTSQFVELSAEDVIGDEASIKYLDLNKCTLEDVASVQSDFSITFTSTTR FGALGGWFDVDFHGSAENPAVSKVTLSTSPYVQTTHWGQQAFPLSPIQVCEGDIVKGK IDVVRRSDNQRLMNVKFEYEMEYQGQTRQQPKHSAIFQVE H257_00097 MFAVARGSAAAMAAYRGSRNFFSSKRLVQKGLVSPGLLVPAHIP RPPYTTSAEMPPFPPYIPILDTDEQQRLREACALARDIVQFAGTLVEVGRTTDDIDRV VHAEICRRGAYPSPLHYGGFPKSICTSVNEIVVHGIPDNRQLEDGDLVNIDVSVFLNG FHGDTSYTYQVGTVDSAGQALIAATQRALDESISICKAGVPFQAIGARVHDVAMATSH SIIHEFCGHGIGRTFHALPLILHFPNTERGEMLPGMAFTIEPALCEGRNEIVYWDDEW TVATADGKRSAQFEHTLLITDDGGVEVLTL H257_00098 MEDKVHAVDGTVNPLAIKMEKEAHVMYFLRHIRQLPDPYARQDH HRVVLLFFCVQGLALLGELDRVDKKAIIDFVYALQVHPDSRDKSINAADCGFRGSTWL GNAYFKGPREYESTTYDTAHIASTYTALSILRTLGDNFSRVNKPAIVQALKALQNPVT GGFMASSLGTEEDMRFVYCACAISYMLGDWSGVDCDGVVRFVNACATYEGGFGSYPGL EAQGGVTYCGLASLVLCGRLVQATFDLSLLQHWLLMRQQQGFQGRTNKQPDVCYAFWD GASLHLLGLHGLVDVSTCERFVLSCQHKHGGIAKYATVYPDVLHSYYGLAWLSIAGVG PGLESLDVKLQLPL H257_00100 MKENLKAACTDFAGQIFERNNVTQALEERLALAMYKIDEACAFV DAHVDLETDEETRESIALLLHRSDLLQRQFRAIDHMERIVDAMAASTKQVQDRMEAVQ KHAEPILNPTQISAMLKRTLALGRKKASGDVSTSTTDTITWEPVTLIPNTATCMKRLD EIMTHDPDPLAATFMTPSITAENDKELGKSA H257_00099 MKSKPRAMIQPLRKKTTAPMVSVVGLDSDTLHMAQQLLTFSRPP TPTREFATTTTTTDEIHQHSQLLTPPHKIVSPLKFKRLSMSPVPFPNAALSSMLINSC ENIAPEELLRQHRLRFKAVRKRWQKWHHERHKRLSRFEFKQSPRPSSPSTPCQPHKRE TNHAVICRTII H257_00101 MEDCIARGGISHVLAQARASLKHPSRPFTPKTGSRPLFHGDDYR PSSRPSSTYSQNGIEYDKPQSPQLTSSASTHTVTSRTHRQGDVHHVKDDVESDEDADN QGGADESKAAATMYGPTSPLCDSARSKHDDDHEDGVKTHGDECKGGGDDDDDASVDPA CYATPESLHMTLLQLQSALEDVQTSDASASTVQVVTKYTTQLFHDVHLLRHHDDDATA FSSGPVATAFDVCVTKLLAHVDVAPQAHVIGLVHAGLQLWACHLARITTHESQSGRLL VAACKRLFMQSKDPANDERFCHVTVVETLLGVLSSQCAHLVPTQALIYVAGVLKNVSG SARMVTLLSTSGAIAVFSKALQVTFDKDVNAAAQVLVQVTAILRNLAEHKQCLKQFWH AHVIQALCDLLPAYASHGELVLNVSRILSKLTLHEAGRTQVNKGKHNLRHLLKLLDGD TRSRQQDAALVIRLAFILGNLTATNDRNRKAIVTLGAVPPLVRMLKEYHIRYVHESPD NDTSAATAEDVLVKLVRLLANAAIHTSVGPMLGTVPGIEVLLDVLDHAKVARHEELML NVVSCLTNLSFYSTDATPTNVITVHRHRLSRDLASILVDPNDEAVVEAARAFGNLSRF PDVLGAMLDPDSTALLPTFVMLLDHANRDVVYTVCGVLMNAALDQRTRGNLHAVPTTH DIVDTRDLLVRLFRHAGLKDLAMSTMLCKVLFNLVLSTAPADTAATYVDTSTGRLLLT TLEELVDAMADSATEAEVEFASVAHALMRSLVK H257_00101 MEDCIARGGISHVLAQARASLKHPSRPFTPKTGSRPLFHGDDYR PSSRPSSTYSQNGIEYDKPQSPQLTSSASTHTVTSRTHRQGDVHHVKDDVESDEDADE SKAAATMYGPTSPLCDSARSKHDDDHEDGVKTHGDECKGGGDDDDDASVDPACYATPE SLHMTLLQLQSALEDVQTSDASASTVQVVTKYTTQLFHDVHLLRHHDDDATAFSSGPV ATAFDVCVTKLLAHVDVAPQAHVIGLVHAGLQLWACHLARITTHESQSGRLLVAACKR LFMQSKDPANDERFCHVTVVETLLGVLSSQCAHLVPTQALIYVAGVLKNVSGSARMVT LLSTSGAIAVFSKALQVTFDKDVNAAAQVLVQVTAILRNLAEHKQCLKQFWHAHVIQA LCDLLPAYASHGELVLNVSRILSKLTLHEAGRTQVNKGKHNLRHLLKLLDGDTRSRQQ DAALVIRLAFILGNLTATNDRNRKAIVTLGAVPPLVRMLKEYHIRYVHESPDNDTSAA TAEDVLVKLVRLLANAAIHTSVGPMLGTVPGIEVLLDVLDHAKVARHEELMLNVVSCL TNLSFYSTDATPTNVITVHRHRLSRDLASILVDPNDEAVVEAARAFGNLSRFPDVLGA MLDPDSTALLPTFVMLLDHANRDVVYTVCGVLMNAALDQRTRGNLHAVPTTHDIVDTR DLLVRLFRHAGLKDLAMSTMLCKVLFNLVLSTAPADTAATYVDTSTGRLLLTTLEELV DAMADSATEAEVEFASVAHALMRSLVK H257_00102 MSAAVEYNWNVEYKKDKRLKEMKDACYQMLTRLKIAETSAKEAS ARPKKTVPVVPYNLDSSSSNTDSDGRNKSFSNPMDEMSEYENDGSDRGPRAASVTVDL SDRDEDNEDEDDSDTPCVVCKRNDNDMESMFCETCDDLYHTYCAGIYQVPEGPFYCPP CSKKTAAVLLQRFMAASPSDLNDMLVHVASCPSMEEPCVHPTHKDHCSFVKNLLRCAA MLSVQLQGTKVASQLALALGYHAAHCHGAHFCHVPHCNDANRRKHVHPGVQPLNLDED EEGDD H257_00103 MNKASEEAAANAAGQQDSQELTVFVQSLLEQMQSRFAQMSDAII GRIDEMGSRIDDLEKSIGELMEQTNANDAAASAQKPPAAATPKE H257_00104 MDTAMPPQPQSSTSPPPGPVSTSEDALAGMHALVQTALDYKLKG NPVTYNVLVSKLENTSEDEERRQLYLVLPRCISTLTKDLDAYHELVHRIYTFDFLTTM PIIDAYIRLITHLVTAHTSFLQPTIHMLVRNLLRVPRPAPLSVSPLAVQIKSTLTRRV SIGIPTVNSGPSEAELAAQFEASLQQRFASVHAAFEKVLHLVPASIHHVFQCLCEYYP HKRLETPIQLSYLTNLFQLTTYAKGLQERVLGLVVEKLVAIDVEIKLEATEEDVFTMD DFLDDATCVDPENLKGVDEMADKLDHLMLATFTYLEAAASDHPRLFAFLLKSFDQSIL NTHRSKYPQFLLFYICKSPSEHQELLLSQLISVALDAKVPPVTRLSCSSYLASYVARA KYLGLNAIKHTLFHIMRYIHGQVDVLDEAAAAIIPPSSIVDKCLVDLVQSACYIVCFR GLELCGSEAGYTFVRSLGWTRVLTSRLHPMRHAAFHGAVAAEFMNVAELLGLVSDEAI EGLWEGMKQAPSAKTLERSSSAATASMCFFPFDPYLLRRSFRFIGPLYLYWKHADPTF SGNSDAFAMAQTHMKAFEAREHGSSSSDDDDDVDDNASMSSSSYVSMGGNASSFVRSS LSEMSVVGSFTGSEDFEFGGRHNPAVVVVADPIEGCDGAAASRGFKTTSLYYHDEEEI GF H257_00105 MAAAIADDEHVMVKIGGLQNKAWASDTSKFGYKMLEKMGWKAGQ GVGKDLQGQATHVTIVKRTDGLGIGCSLKQAEVTGWSSTSSNFADVLAALNKSYGAPE ASDKPSKKDKKEKKAKKESKKSSISRRILYAKRIKNKNATSYDAKDMAAILGDAVGAW GPSDASKKENKSEDVGESENDDEEAARQRKKARKDAKKAKKAAKQDSE H257_00106 MTTAASDLFPVIHAFLTSCGMKKSAKALTQESNKEFAATNKLDL LEVYNHYLATKPRGSKDKEESSSSDSSDESSDEDTKKTKVVAAKKVVASKKAASSSSS SDTSDDSSSDEDEKPAAKKPVVAAKKPVVAAKKVVATKKDDSSSSSSDSSDDSSDDDD KKPAAVAAKKTPAIAAKKVVATKKDDSSSSSDSSDDSSDEDTKKPVAAKKPVVAAKKL VKKADSSSSDSSDDSSSEDEKPPAKKVVVAKKVVAKKAESSSSDSSDSEAEKKPVAAK KVVAAKKPVAAKDDSSSSDSSDSSDSETEKKPVATKKVVAAKKPVAAKDDSSSSDSSS SDDSSDDEDTKAAPAKRKLPASKAASTPSKKQKTESSSSDSSSSSDESSDESEDEDEV AKEKARRVEAAAAAAAWTPKKIEAPTVLGEQGQKGVPFQRVDGEYWSTQIVDETLRDN SYAATFGEDGVGSKANTVLLKVRGKDFTREKNKKKRSTYLCGAIDLGSNSFKYTD H257_00107 MEATETSDGLTNSVQGTMSKESCIEERRYEIERQRAVKANLQRD IENLQRKRRCIALQKSRQVADYYTMHGQVLQMEEARANALEKRHELDLKLQATTKEKS KWKQRVAHVVEIQRQEALRWSAFTESIQKSIEGQAKPLDLETSLREERDMVNNVLQQQ YDNGAKALQEAKHEIHETKMILKDMEKKKNILATQLRQAQGNHDQLLAREQSARATTE MQQIMRMEHPSTTPVSKPPRRRHAINNTITDN H257_00108 MISGLFLISQKGEVVLNRLYRDDVSRRAADAFRLQVIAAKETGS LPPIKSIDGCSFLYTRHENLYLVAVSRANINTALVFQFLTNINGIFQDYMGKKYNEES IRNNFTLVYELLDETMDYGYPQNCASEVLKMYINLGSLSAEAAASAAQPGQLTSQITG AIDWRREGIKYKRNEVYLDVFESVNLLMSANGAVLRNEVVGQVVMKTLLTGMPECKLG LNDKLTMLKGDGAAKAAGQKRTQKEVEIDDCTFHRCVRLGKFDADRTITFIPPDGEFE LMKYRVTENINLPFKIMPAYQEGSSTRMAVSLKIAATFSARLFATNIVIKIPVPQNTA RCKIIVPIGTAKHAPEHHAIVWKIRKFQGTLERMLDAEIEMLKGTKEKVWSRPPIQVE FQVPMFTSSGLHVRFLKVFEKSSYQTTKWVRYVSRAGQYQLRI H257_00110 MTCRTVLSLRGIARAPSLSTDRWWEAPQTASRPNHCRRRCQCRH LGQSRRCCLRWLDVRDDHVLANELSKRLRALAKAGETKASRRRAIGARQRPIEGAPFA WKDSGMRRECPLPTPPGTHPTPDKSTTWTLLRHVPP H257_00109 MPTPAKAKRAKAAKGVNPKTLASWKERLVQLHQARKDYLFHLRE TDLTIGAPVTLVEKVCRVVELAGGSIALKESPPLHLSYKDCLHKHPCTDLTLAGLEVN TWMPTLVARTVPPGIPIKPSVCTSLFLQHSNVNDGGMAHIVKLKFVQVLDISGCEVLT DASLHVIRRTLSQLSELRINDCRNFGSDALASTWSDCTRLRRLYAQNCPGVTDRVLHC VATTKRASEYSTQVLDIRRCRSITDSGVGDIATSKQEMALQFLGLGHCPHVKAMAFFA FGTSRSLSYVDTLDIAGLDIDETAISWLTQGCRTSLVKLNVAHCGKLNDFCLVLLGQC RKLTWLSLKGCALVSSMGLRNLLQPPPTTDEGAPSSSCRHSQHKEPDDGDSSLLTYLN LKNCVRIDDAGMAVLGQACLDLEGLNLRGVPRVTDIGLTTLAKRCTVLRSLKLSGCRN PAFYGRPDIGDDGLRALSKLCRHLTVLDLAGAPRVSSVGVAAIAATCPKLEKVTLTDT TIDDNAVLALAAQCPAIHTLHLTKCRFITDAAVEAIASGLFSLRTLSLAFNSTISNKS LVALAATKSPVESLDLSGDVLIDDAGMMALVAGCHRLRHVLVKGCDRLTEGCIRDCTA NLPFCRPQPCPESQQLEPRSPPWISIYEHLVRQYTGACKLQASVRKWKQRDASLRVMA RRKLRRSRRAAIKIQRCYRRHHQWQAFLHMLSLGRNLDAILHVQAVYRGNKSRVKSRA WKVLATSSATTIQRGVKHHLYRRHAHARDIQRLYRGHRGRLIGHEVVRMRRQTAGDQI VAWVRRCLARYEFHQRAKAISAHVRRIQRVYRSYARRGRLRRLVVAYIASATRIQSVM RMVLAKAKVARRRVLYHSRSLRIQTLYRGYKTRRWFRHYKAHVIGAAAQIQAWVRSRW CRIKYLWTRNCIIVAQRRFRTYISIRDMHILALQALHLRRWEASEIIQRVYRGHLGRR RATLFRKIRRAKYAKKGQNATEFFVRRRFLRRGAAITIQRWFRPIHQRMRMETIHGWR VRQANLCIQRYIKGWLARVLAGRAKARLVAASQDMQRVYRGHRGRQVARARWLARRRL LAAIYVQKFVRGFLARCYRRRFFVESTAAAIKMQKVYRGNQGRRIAAIERAKRTLASR DKYKASLRGKLDLKLDKRERKFLLQRQIALMEISHKNLKRRRVGYEKKMEDVRAHRAA VWARANEVVSETYALKRNLVGASENVFVTKIELDEDLARQASLTAQLTDVHVKLTAFK QMLQAKIADVRVMDPVEFWTIARSSGVFDFTSPNDRRDENDDVAAA H257_00109 MPTPAKAKRAKAAKGVNPKTLASWKERLVQLHQARKDYLFHLRE TDLTIGAPVTLVEKVCRVVELAGGSIALKESPPLHLSYKDCLHKHPCTDLTLAGLEVN TWMPTLVARTVPPGIPIKPSVCTSLFLQHSNVNDGGMAHIVKLKFVQVLDISGCEVLT DASLHVIRRTLSQLSELRINDCRNFGSDALASTWSDCTRLRRLYAQNCPGVTDRVLHC VATTKRASEYSTQVLDIRRCRSITDSGVGDIATSKQEMALQFLGLGHCPHVKAMAFFA FGTSRSLSYVDTLDIAGLDIDETAISWLTQGCRTSLVKLNVAHCGKLNDFCLVLLGQC RKLTWLSLKGCALVSSMGLRNLLQPPPTTDEGAPSSSCRHSQHKEPDDGDSSLLTYLN LKNCVRIDDAGMAVLGQACLDLEGLNLRGVPRVTDIGLTTLAKRCTVLRSLKLSGCRN PAFYGRPDIGDDGLRALSKLCRHLTVLDLAGAPRVSSVGVAAIAATCPKLEKVTLTDT TIDDNAVLALAAQCPAIHTLHLTKCRFITDAAVEAIASGLFSLRTLSLAFNSTISNKS LVALAATKSPVESLDLSGDVLIDDAGMMALVAGCHRLRHVLVKGCDRLTEGCIRDCTA NLPFCRPQPCPESQQLEPRSPPWISIYEHLVRQYTGACKLQASVRKWKQRDASLRVMA RRKLRRSRRAAIKIQRCYRRHHQWQAFLHMLSLGRNLDAILHVQAVYRGNKSRVKSRA WKVLATSSATTIQRGVKHHLYRRHAHARDIQRLYRGHRGRLIGHEVVRMRRQTAGDQI VAWVRRCLARYEFHQRAKAISAHVRRIQRVYRSYARRGRLRRLVVAYIASATRIQSVM RMVLAKAKVARRRVLYHSRSLRIQTLYRGYKTRRWFRHYKAHVIGAAAQIQAWVRSRW CRIKYLWTRNCIIVAQRRFRTYISIRDMHILALQALHLRRWEASEIIQRVYRGHLGRR RATLFRKIRRAKYAKKGQNATEFFVRRRFLRRGAAITIQRWFRPIHQRMRMETIHGWR VRQANLCIQRYIKGWLARVLAGRAKARLVAASQDMQRVYRGHRGRQVARARWLARRRL LAAIYVQKFVRGFLARCYRRRFFVESTAAAIKMQKVYRGNQGRRIAAIERAKRTLASR DKYKASLRGKLDLKLDKRERKFLLQRQIALMEISHKNLKRRRVGYEKKMEDVRAHRAA VWARANEVVSETYALKRYVIPL H257_00111 MTPTSSATKQIHPHHIMASKAASTAADKKSDDRIHIQYDKTAFG PHLKLYQQGLSEQYPQFTVTAEEYAMSPLSYRLSTAIFVIQILMTLIFIFGSMAVKHF QIEVHPDNMKYFEDHKFMIVPFMLVLSPVRQLVSKTSAFEVYLNGTYPSHDVVISHGN RSFVLVDELVSSTLTSRFMPNYEAFKQVLDKKGIKKTTKAN H257_00111 MTPTSSATKQIHPHHIMASKAASTAADKKSDDRIHIQYDKTAFG PHLKLYQQGLSEQYPQFTVTAEEYAMSPLSYRLSTAIFVIQILMTLIFIFGSMAVKHF QIEVHPDNMKYFEDHKFMIVPFMLVLSPVRQLVSKTSAFEVYLNDELVSSTLTSRFMP NYEAFKQVLDKKGIKKTTKAN H257_00112 MAWTKAVVMVMAAMFLAATTVVAAGFTYGEECLLRQKQGDSIES LARQLQGHSPFEIKRKCIELGLQCGAAARRELNSRRDL H257_00113 MSTKKRRGGDALKKSTKNDDKIRVEYDKVVYTTAYKEIAATIKH DYPSIECRGKAYPLRGHKQQWIYLLYVIQFTLAILVTFAEDLVKRYEIPVDDRWLDLL RKNRYYLIPGIIMLSPVRQLLANSGAFEVYLNDSLIYSALDTRLPLTAEKLYSLLQAN GIHPAK H257_00114 MSTKKKSKSTSSSATAAPAIKKAESGASVRIEYDTETYANHYSH MAANLVKGFPNLRCFGQRAAPTLKGMLLAFLIFIVQGLLALTVFHGETFLLNNFDYKL DFYVSQFIKDYQMIILIPIVFITPLMQYAGRSGKFEVYLNDVLIHSKHQTNQLPSVAF VKDLLVKKGLKSKDE H257_00115 MMPKRGAPTKSRQPPRPSAAKASSHQKYRPQWNEYLTDSSTYQL NQDQKLQKRIQMLTKIPTEVSAPRQPLAPPTKAKLHNNVQMPSRKPAVPRANVAPLPL ASQDKRSLPRVNFDDELRAFARESTSSVAAAETQKQHLQKELDRMDVMLASLELQADE ISGVMEPRSLEAPTSSPDSNPDNNSTVDMTDTTFHHHEDNDQDDSVLATPSPTVAPTS PIDHDVVDLLHQFSVQLHEERQARESQDAIIRQLQHTLQDVMQSHAHLRDDFNRAVKH IVKLKAVVVNLRHTVHDVEDMMAAASVPSPGSLEHYHHHPDMARDVTVVDNPTTHVLS PQQTTTTPPSHKLACAVHEADGKRGQPNSSALAPNNNQDTNDFPPGVIVPSTEVGGGR AAESTTSTAHTRHVGFHSRAKWTSGQHAADAVFIDDEQPQEQRPMMPSRHTDDVRRRE SVSIRHAMRT H257_00116 MSLLRAFQALRVSAPSTGVIARHFSAAAATLPANLHLAPEKPVR ELRVVTREPVVFDSNGNIQAKVLSFTDGSVIDTLTLSRNVFGSPVRKDILHRVVKWQL ANRRSGNHKTKTRSEVSGSTKKAWKQKGSGRARVGNIRPPQWRGGYRAHGPVVRDHSY SLPKKVRAMGLRVALSTKLAEGKLAIVRDLNAETEKTKSMKQLLATKGWDHALFVDGE EAVRNFVLATRNLPTVDIIRQQNINVYAILHKDVLVLSEKTVKYLEERLAVE H257_00117 MSTILNSIVAAHAKTPGNQWEYFEFSVKLTMARWTALRMAMEGE WGGGDMRRKFEILLDDVLNWFKYQKSVEYDDLAAGIEEYIESEFALVCEDDSVDEVAK LLLTLAAECKQGDFSRVRALEEQFQTSTFAIDLKKAKLREEQALLRRAEQQDENQDMD TADEPLVDDDGFQTVRRSTRKKTQPKFFDPQVEFPGAN H257_00118 MSSLKKNTPNVTAPANKSTPTTANARDTKRLPEYFTLLSSLEGS FLPSPMRSASLLLELLVESNATSSTLDSKSASMSAVFLSLSSNSPSFSSGFFRSATGV MAGPVLNAAGVSRT H257_00119 MSSSADSSSTQAPYLKVSNAPPVYQSKDELPAYFGKFGGCFVAE TLIEAHHQLIDEYVKATQDPSFRLELEQLGRDYIGRPTPLYFARRLTEHAGGADIYLK REDLAHTGAHKINNALGQAVLAKRLGKNRIIAETGAGQHGVATATACALMGMDCTVYM GYEDTQRQSLNVFRMKMLGAKVVAVKSGSQTLKDAVNEALRDWVTNVETTHYIIGSAI GPHPFPTIVRDFQAIIGKEIKAQLEEEIGRLPDAIVACVGGGSNAIGMFHPFIQDNAV DIYGVEAGGDGVETGRHSSTLVGGRIGVLHGTKTYVMQDAAGQVIETHSVSAGLDYAG VGPEHAFLKDSGRATYVSVTDAQALDGFKLLCRIEGIIPALESSHAIHYGVKLAKQLG PGKVLVINVSGRGDKDMIQVAKVLGVNLNEDLTDATP H257_00120 MSNVTVAPPVFHLEFHYKEIDTMLAVGYAVATFMGLWALCAHLN NVASRDVATTGFYLLMSLASLVRGAWFSTPFSIHVSGYVPQRLHIFDDGWTMLFLSEV AEMFGTFFLYSIFILMVVFWADILRRAFEPHAYAESHPMRVFLSLLVFLFLYVSTGFA LFALDRIDSLLLLMYNDIAVALLSTVCAIFVGIYCCRMRTVLIAFFEVSQIETTGRIQ TVTRTGILCATFLMANACFATAMGYHMYQLLTVGSPPLRASNDSMSWWLLLMTKHLVE IFVLYALLFLLCGATAGDNDSKSSQSDIRRQYDTIPDGPQLSPLSDASIMATRRPSSQ RQFRLEQPPSNPPSSSRHHP H257_00121 MSAPQGQTKEEEQVELFKMKKLIKSLQNARGNGTSMISLVIPPK DQISRVAKMLSDEYGTASNIKSRVNRLSVLGAITSTQQRLKLYTKCPPNGLVMYCGTC MTEDGKEKRLNFDFEPFKPINTSLYMCDNKFHTEALGELLQDNDRFGFIIMDGNGALY GTVAGNSREILHKFSVDLPKKHGRGGQSAMRFGRIRLEKRQHYVRKVAETATLMFITN DRPNVTNIVLAGSADFKNELNQSDIFDKRLQEIVVKIVDVSYGGENGFNQAIELAADT LSNVKFVQEKKLICKYMEEIAMDSGKYCFGVTDTWKALELGAVETLILWEDLPHHRIT MRNNQTGVEVHKVLSPPEEKVAANFVDAETGETLEVLEKEPLVEWFANNYKQFGTTLE FVTARSQEGSQFCQGFGGIGGILRWQVDFMELEYNGESDDDFDDDDFI H257_00122 MTESTPVFQTHATADVKSRKWLVAGSALASTLVVLGVSQITASS SKQVNLVNLRAESAPLGSLSGLTAEESAIATALNPQTQSARTARWLVHKNTWATIATT SIHLNGAPYAGLASYSDGVGTSAKNATGKLYFYLTPMDSTGQDVTKSPSVSVGISMAQ LGQCKMDPQDPTCWKATFSGKLLPVEGDDVKAALEVLYSKHPQMSWWPADHGFRPYEL HPTEILLLDFYGGAKSIAAEDYYAVEF H257_00122 MTESTPVFQTHATADVKSRKWLVAGSALASTLVVLGVSQITASS SKQVNLVNLRAESAPLGSLSGLTAEESAIATALNPQTQSARTARWLVHKNTWATIATT SIHLNGAPYAGLASYSDGVGTSAKNATGKLYFYLTPMDSTGQDVTKSPSVSVGISMAQ LGQCKMDPQDPTCWKATFSGKLLPVEGDDVKAALEVLYSK H257_00123 MSCNCSDGVGGLRNSPYDMIPMKEAIDTVIRLAQPLLKTSVPLH EALGCVLAETIKSKEPLPPFRASVMDGYAVVASDGVGEFPILSRVAAGDAPGVQVTPG HVAYVTTGCPVPDGADAVVKIEDTEAILGDDGKTELAIKILHAVQSGTSIRPIGFDIA QDQVVVEAGEVVTPAVLGLLATVGIATVSIYKTPVVGVLSTGSELVDATDAAGIRCGK IRDSNRPMLLAYMQQLRFQTVDLGICSDKWDALRDLVLAKLPSIDVLITSGGVSMGEH DLVKPLLKELGTVHFGRIHMKPGKPTTVATVPIAGVPKLVFALPGNPVSCLVTSCLLV QPALKRLGGFTAEQAAPVVFQARLLHPLPLDTDRPEYHRAVVRWERTEWVATSTGVQA SSRLLSCRHANALLHLPVGTQLATGSVVDCTFLSDMGGGASAVPHVHLPPPQRAPTPS QTRPVTAKRLRVRACVLTVSDSVSQGLAIDRSGPVMQSTLLAIPGLDVEIVQAAIVAD EHDEIQHVVRSWCDDLHVDFVVTSGGTGFSPRDVTPEAVKTLLHRDAPGLVHKMLQAS LEVTPMAILARPVAGIRGHTLVVTLPGKPNAVVETLNAIAPVLPHALHLLQDISHDHH KGQNKAS H257_00123 MSCNCSDGVGGLRNSPYDMIPMKEAIDTVIRLAQPLLKTSVPLH EALGCVLAETIKSKEPLPPFRASVMDGYAVVASDGVGEFPILSRVAAGDAPGVQVTPG HVAYVTTGCPVPDGADAVVKIEDTEAILGDDGKTELAIKILHAVQSGTSIRPIGFDIA QDQVVVEAGEVVTPAVLGLLATVGIATVSIYKTPVVGVLSTGSELVDATDAAGIRCGK IRDSNRPMLLAYMQQLRFQTVDLGICSDKWDALRDLVLAKLPSIDVLITSGGVSMGEH DLVKPLLKELGTVHFGRIHMKPGKPTTVATVPIAGVPKLVFALPGNPVSCLVTSCLLV QPALKRLGGFTAEQAAPVVFQARLLHPLPLDTDRPEYHRAVVRWERTEWVATSTGVQA SSRLLSCRHANALLHLPVGTQLATGSVVDCTFLSDMGGGASAVPHVHLPPPQRAPTPS QTRPVTAKRLRVRACVLTVSDSVSQGLAIDRSGPVMQSTLLGTSSHMNICFCFDQVYI Q H257_00123 MSCNCSDGVGGLRNSPYDMIPMKEAIDTVIRLAQPLLKTSVPLH EALGCVLAETIKSKEPLPPFRASVMDGYAVVASDGVGEFPILSRVAAGDAPGVQVTPG HVAYVTTGCPVPDGADAVVKIEDTEAILGDDGKTELAIKILHAVQSGTSIRPIGFDIA QDQVVVEAGEVVTPAVLGLLATVGIATVSIYKTPVVGVLSTGSELVDATDAAGIRCGK IRDSNRPMLLAYMQQLRFQTVDLGICSDKWDALRDLVLAKLPSIDVLITSGGVSMGEH DLVKPLLKELGTVHFGRIHMKPGKPTTVATVPIAGVPKLVFALPGNPVSCLVTSCLLV QPALKRLGGFTAEQAAPVVFQARLLHPLPLDTDRPEYHRAVVRWERTEWVATSTGVQA SSRLLSCRHANALLHLPVGTQLATGSVVDCTFLSDMVLSFYPHLDFCTTHL H257_00123 MDGYAVVASDGVGEFPILSRVAAGDAPGVQVTPGHVAYVTTGCP VPDGADAVVKIEDTEAILGDDGKTELAIKILHAVQSGTSIRPIGFDIAQDQVVVEAGE VVTPAVLGLLATVGIATVSIYKTPVVGVLSTGSELVDATDAAGIRCGKIRDSNRPMLL AYMQQLRFQTVDLGICSDKWDALRDLVLAKLPSIDVLITSGGVSMGEHDLVKPLLKEL GTVHFGRIHMKPGKPTTVATVPIAGVPKLVFALPGNPVSCLVTSCLLVQPALKRLGGF TAEQAAPVVFQARLLHPLPLDTDRPEYHRAVVRWERTEWVATSTGVQASSRLLSCRHA NALLHLPVGTQLATGSVVDCTFLSDMGGGASAVPHVHLPPPQRAPTPSQTRPVTAKRL RVRACVLTVSDSVSQGLAIDRSGPVMQSTLLAIPGLDVEIVQAAIVADEHDEIQHVVR SWCDDLHVDFVVTSGGTGFSPRDVTPEAVKTLLHRDAPGLVHKMLQASLEVTPMAILA RPVAGIRGHTLVVTLPGKPNAVVETLNAIAPVLPHALHLLQDISHDHHKGQNKAS H257_00124 MTGWGEARKTKKGKSPLKSPQPKSRKVHAGQHAEEQQAGTSSSP KTSKPPEGRKVEVKPKLLRRSSSEGSAYMLVKQKNKEAQHKMLTTSFPDDYSEASLLD TMSSWLGRLLGLNATPHSMDKILPFLFLGNDHAASHLPDLRKAGITHVCNVATQCNDH FPDHFEYLHLHLFDSPTQKLAKHIKAAGAFIHRAKHTNGRVLVHCVAGVSRSVCVVVA YLVEHCHMPLVQAYDYVKRKRPVMCPSESFRMQLAMYEIALFESSSVLSTSNSDWDFY ELNMYRQTRRRH H257_00124 MTGWGEARKTKKGKSPLKSPQPKSRKVHAGQHAEEQQAGTSSSP KTSKPPEGRKVEVKPKLLRRSSSEGSAYMLVKQKNKEAQHKMLTTSFPDDYSEASLLD TMSSWLGRLLGLNATPHSMDKILPFLFLGNDHAASHLPDLRKAGITHVCNVATQCNDH FPDHFEYLHLHLFDSPTQKLAKHIKAAGAFIHRAKHTNGRVLVHCVAGWS H257_00125 MVSNGDEHIRPSTNMQSQQQEGDDDFEALLMAPTVPAPDTSTDH DVIDQVQEDSKTHLLKAYVDDFDGVVPAAEVVVASIPLEVVQQREIQLERARIQRAQE EANEYQMRETLLAYKEQKARDRLAEEAIAHTKKMAAKELQSLQVVNLQSKSLWHVYHQ AETHLKNVLTQQQAHVQHTYGTMSSPSSSSHTHRRYRTEWASIPQPIEIHVHMLRAVK DKLPQGHYVLLATLYDRLGGSPISWSVAGDRGIGTALPGLTTPLSHRGHFYNTELPVD QNVYVVCPPQHELRPANVVVFEMYLLSGANATKDAVVGWGVLPVCNADFDVLAGRFKV PLIRGDVDHTITKFHEIEHMYLTDLSSWLCNMYVTVRHLPRERLDATGMLQREYDVEV DVMNQLLKLESTDRDLLAQGTYDDDIHVQARREQSSSSRRRRRPQNLLTPTPVSFSNT VSWGKDAAKVKTRRRTKPRHASTKVTPIDADAAANDADDNRHDMFHHDDEDNSKPYKS RSWRQWFRRRWRRRQGSKASRSNLLSQTPQEATTTTRDLFLDKHDDDDDKDMLDDPFP PVDAPEPAHAQTWEGFTFATNQYIGDEVAKHTRFQTARKLRYLKQELFADMGFNKATT MQFWLMVVFLCLALWLRVYVHYLGQWLYLRAVNVPVFAFNPQMLTVVLKYTWNTVPTP TEIGVIAVGVVFNIATFIGLMGIASACQKLLGEFPDIGSRFIACFGLATVFDPMLVLA IDLVQHNYDCASIGECKDPSSSSCRCAEGDAFKLYQRFKSEEGTGVVGAVLTLLVYGF LMSVAAVVFYTYLLHFHMNGRMLDVYRRIHAREGVFFVPNDFEVSDADVAALCDRAAR WKSMTGTQRKTAVCEYELRDPLDPAFVETTVHIAIFNLELDGSRQLYRHFIKNPDGEI LEVFGTISDSLGSQYAALESALFQTAPPEHDTHTGLFDAL H257_00126 MERCFSHRDDGAVACENVEKEVAMITSDIGMMLWHGPSKCGKSS LAFQYAFDLVRTATPQGVADMSSSKASDPLPYVVLVCHESMRSMPERFVPINPCEACQ TPMKSGRDVLHWERVRMKYLRNASQLCHFACSLHVLGGRPIALIVDDFDLFWQDEPAT DAALFRCLALLKETMEFMHRVHGMGHVVVISSTAAYSKDERHRLRRWFSLLLELVPDA SHPQAFAMQEELPAPPFSTSVAIRDWAAYLPHFPVPYRVLYHFEPNARNHDGCFRFVH AG H257_00127 MSKKNLSNIRTATIHGPVLVSPISVAHRNKAMEATTADGKKGGE GNNQVRAQWIANLKKKSMATEGGLSPPTLTSTSSLPLHHHDHHMQQKHSCILLATENL GYDMYSNVKVEPKATVDILPKKWIKPLLKKRNNALDMHSGPKPRTPRRKLNCGNAFEE MHIQTSNQHMLGDTAWGGFLGVGTPSAHYYSIMPHHLHPPTNQPHATGGALLPNDHLF GPDMDFGCNFDTFLDLPTPKSAEMPSVVPSFALLHDQEGDPHRHHDGGRGVAAITPRN KFLEKPYQPPPALVRTSSVEQEGLSYCLGQTRLHSSSPSSNQDDNDYHRSSPEPQPDG ATTNLTPRAQSSGCIFDHNKHPELEGMFDYEFNDDDIDKEVDNFYMADGHELEIDLIA H257_00128 MYPPPMIHHQQVQARPPHLAIQPPLHKVECNQDGHQHTSTLNIH ETALNSPSLDTRQDSPAVQHPPNKLQGRRHLWHTKNTAKAEATNPAPTSTTTLARYRR LIGMFARRQAMQYLVLTASIVATKRHRAGRAIQRTWRRHVMYRRRICAATSVQRMWRG HAGRCVVKRLTSRLANQRCAAHHIGMAARRWRRRVVSRAWRRKLLITRSHACLVIQRA YLRFTHRQHQRQDQRHRAVVAIQTVWRRRRQAASRIQSWVRCQRRRLRSAQWVCCVTK CQAAYRMHVASTAFQALRHHTIQLQRRRRALMISTPSPSESIINTGRHDVVKDGLNGD QPEDAVVAHVDPSIAANPSVGRITLPDSTTDLTRPMVRHSTARPTLAIDIVEACPTKV EEDAELVATPNEMPLTVRLKINSNILEACADADATSSDDTSWHLQVDKATTPPTLHAV SASTTDQDIYPRALLSAPSFAPVAIAPPPCTNSIESTPSHDIYDTSLATDAKSPCFHP SLTIFVTHHWRPRHVYRRRSLLTLQKWMRGYLARQEVRRRRRQALDTLRQWMTTTCSS ASTPLAKDVDTPSAYVDPGIFPVHGAVPAGCHVIKSVYVWTWDASVERWT H257_00129 MQARGTRCVHCTELLPMKSAFCLSCGTPQSSTSTSSHAAILCAS CSVEVHASTKFCPGCGAAIHRRGSLAFTTPTTSAVTQTLPSSSSRRSHGLDMSDIEEK RRLDQTLTQVDRAREIAAAKRAMADAKLAHLERNMRPSVVSKAASSAQKSRKPSADPR LPVPSPPTSDHLLCVHCGVLGSLRSKFCSSCGRNPSQPVVPAPSMPDLPSPLPLSSHR LRQPSSTSSSPSCPQCHAPLIVPDAKFCPSCGATTGLPTTSSSYIVPASTTRTSRPTS SAPTTSRAPMAAASRYLSAAASAATSSTTPPPSIPSVAPPSFPSPPSFGTAFVPPEQG TGHVYTISQTISRSVTYNAS H257_00130 MEILLVVPRVALPHRHDGHEDFRTMIYMEDDHLLSDLHEGSIAS IHGGLMDVSEPLVYGQVLSLQHLPRSMQDIVATFAVATERRPVALGSFGLAVSLQLSH ISSTMARLSVDQRDNAAFNCYVVALRASVVTIPIATSVTLHIPSLQDAPAASKITRAM ERIGPQLLSGSLAWHGRVLPITWRHTLELAHVCIDDDASRPRVSIIDATTAIAITITS PRVHLSAAQHPRPTPSFLIDSIFTHVSTHMAGYITDLHRIVSTIVHSLYPPAAMPLTL PVGMVLSGAPGVGKSHLVRLLHASFRAFPSRLHCVTVSAPDLFHTQVGRSEAHLAGVF SALKASTVPTLVCIEDLDAIASMSSTVALDQSLLGVLVACLDQASKQPSSRVFVIGTT NRLDAIDASVRRRLLHHVALRPPSEADRVSMLELMCRQCRPPLPSPGMLADVAGRTSG FVGADLLHLVREATLHSIHVAKHPGVLHDMAAWEHALSLVRPSSVVQHLPQTSSHLLA PLVGLDDVWAALDVALLQPLRDSSAFRRMGMLPPKGILITGPSGVGKSHLLAVLGAKA QQRATCLSVKCTDLVTKVVGGTEAALAALFATARAAAPCVLLFDQIESLAPVRGFDST TEQTFDRVLSTLLTEMDGFGTNDMRQCTTHDEFVQQHVVVVATTTASMLLDPSILRPG RFDVEITLGLPTESARRAALTNLVAGTPVSTDHQSTEALVAALTDRSEGATIGQLHAI FQEAAMLSLRESIDVTEIRAAALERACCQVMGETKCVDVHHGDNEEDEELEDKLNAMS LE H257_00130 MEILLVVPRVALPHRHDGHEDFRTMIYMEDDHLLSDLHEGSIAS IHGGLMDVSEPLVYGQVLSLQHLPRSMQDIVATFAVATERRPVALGSFGLAVSLQLSH ISSTMARLSVDQRDNAAFNCYVVALRASVVTIPIATSVTLHIPSLQDAPAASKITRAM ERIGPQLLSGSLAWHGRVLPITWRHTLELAHVCIDDDASRPRVSIIDATTAIAITITS PRVHLSAAQHPRPTPSFLIDSIFTHVSTHMAGYITDLHRIVSTIVHSLYPPAAMPLTL PVGMVLSGAPGVGKSHLVRLLHASFRAFPSRLHCVTVSAPDLFHTQVGRSEAHLAGVF SALKASTVPTLVCIEDLDAIASMSSTVALDQSLLGVLVACLDQASKQPSSRVFVIGTT NRLDAIDASVRRRLLHHVALRPPSEADRVSMLELMCRQCRPPLPSPGMLADVAGRTSG FVGADLLHLVREATLHSIHVAKHPGVLHDMAAWEHALSLVRPSSVVQHLPQTSSHLLA PLVGLDDVWAALDVALLQPLRDSSAFRRMGMLPPKGILITGPSGVGKSHLLAVLGAKA QQRATCLSVKCTDLVTKVVGGTEAALAALFATARAAAPCVLLFDQIESLAPVRGFDST TEQTFDRVLSTLLTEMDGFGTNGKCSV H257_00131 MVALMWAWYFPAVETAHDLYDVHIPSVPSVKYEGLAFLNDGAPI TTPLTLTHAANAASLNEFAMEYPLSPEFIRVMTSQELQDRIVSATAAYFSLRDPVYVA EVDMTVMLFYRDQQDCMMWYLVLDGPLEGHVIASPVHVEEVNVDDEGPAAVVQYWTDN IVVCARSFPEFLYRTWIENQIWFQQNEPTKSPPPFVVHECAWYEAQNRALHDRRTSTG H257_00132 MYPGSGAPGSVPRRVNPNPVNVGVGGGGVPNGPQSVGGVGSLGR GDLRHSDMRDIRGNIPISSMGMGNNPNVGVQNAGPQQMSGGGASRVVEMLESAKNEFE SVLQQLNISKIENMELERKITAQVAEMDQIQQTIKALEQNQRRIRQQYDDDILRLRRQ LEGGKMMPPTQQQKRPRDDPNGQQSMPPLQVNTGGPPMLPSRGPRGTSPVRVAPAPQM GRPSGLDDRDRPRSMQQQHPGPSDRSQERPMQPQSLAPLEGSLHTPTGRRGPIPGLSS RNNDLDGRSGDDLRDRQQLTDRDNLGHSKSESRRMQMPGPPGPASSNNNNMGGEGSAN SSPVLKKSKSANSSEGKSSKSGPSNGGLSSNQPSHVLSGGVLSSGSAQGLPLPSTSHK MHHLSKINSSDNGPDASSSSNNNGPTTPPGSSTSAPSTGASTPNFNNAAAAKQSKVKW ALNYTNSNKGTGVADTERPHVEMFHTLDHQSVVCCVRFSADGTKLASGCHKTAQVFDV QTGARTFWVQRPAIKEGAAQANEAEDAYVRAVCFSPDGTKLVAGMPQNTIRIWDMASN EEAPPLIGHEAEIYSLDYVGNHIVSGSGDRKVRLWDARTGQCQAIFGNESGGPSDGVT NVALSPDGRLLAAASLDKVVRIWDTQTTQLMDRWIMSGSKDRSVIFWDHRTSRSVLSV TGYRNSVINVHSSPTSPYFATGSGDAFACIWKYQYQSNIAFNIMYPGSGAPGSVPRRV NPNPVNVGVGGGGVPNGPQSVGGVGSLGRGDLRHSDMRDIRGNIPISSMGMGNNPNVG VQNAGPQQMSGGGASRVVEMLESAKNEFESVLQQLNISKIENMELERKITAQVAEMDQ IQQTIKALEQNQRRIRQQYDDDILRLRRQLEGGKMMPPTQQQKRPRDDPNGQQSMPPL QVNTGGPPMLPSRGPRGTSPVRVAPAPQMGRPSGLDDRDRPRSMQQQHPGPSDRSQER PMQPQSLAPLEGSLHTPTGRRGPIPGLSSRNNDLDGRSGDDLRDRQQLTDRDNLGHSK SESRRMQMPGPPGPASSNNNNMGGEGSANSSPVLKKSKSANSSEGKSSKSGPSNGGLS SNQPSHVLSGGVLSSGSAQGLPLPSTSHKMHHLSKINSSDNGPDASSSSNNNGPTTPP GSSTSAPSTGASTPNFNNAAAAKQSKVKWALNYTNSNKGTGVADTERPHVEMFHTLDH QSVVCCVRFSADGTKLASGCHKTAQVFDVQTGARTFWVQRPAIKEGAAQANEAEDAYV RAVCFSPDGTKLVAGMPQNTIRIWDMASNEEAPPLIGHEAEIYSLDYVGNHIVSGSGD RKVRLWDARTGQCQAIFGNESGGPSDGVTNVALSPDGRLLAAASLDKVVRIWDTQTTQ LMDRLEAHTDSVYALAFSPDGKNIISGSLDKNIMLWDVSASGRTTTRPRMLFQGHKDF VLSVAYTPDGRWIMSGSKDRSVIFWDHRTSRPVLTVGGYRNSVINVHSSPTSPYFATG SGDCFACIWKYQCQTI H257_00133 MMIADTRTIVACYIHALKQVLPHDSHLKQLEQMLVDEKGAAVKA ESILFGGTRLRCEDLESMLDTLMTKHMGTTFHFRDDVFDAMSEVRQHEQLLCSLEEYI EADLPAKERFLTVNCAHMRTLIVVMMNYLKAQMQSTFDVAAQTDHVLGPYRRNLARAK KTLRNLHHFLVNPSNELDVDAKLAALDAAMAHAHEHDFTFDPTVFNFGREALVDGMQQ IVDEWTNVARQVRTFKRIRQGLSPATSPLSINSTS H257_00133 MGTTFHFRDDVFDAMSEVRQHEQLLCSLEEYIEADLPAKERFLT VNCAHMRTLIVVMMNYLKAQMQSTFDVAAQTDHVLGPYRRNLARAKKTLRNLHHFLVN PSNELDVDAKLAALDAAMAHAHEHDFTFDPTVFNFGREALVDGMQQIVDEWTNVARQV RTFKRIRQGLSPATSPLSINSTS H257_00134 MFMLDLPPSPSSHHADATPTAADTATTSPSSVATAAAIPEETTS TELRSFIAGGLAGVIAKSMLSPVDRIKLLFQVSDHLRFSLRNALHMGVDIAKRDGVQA LFRGNTINLVKVFMSAGIQHSSFDYIRRRFHDANSSNHHGNTPYVKKLSNAQLIASGS LAGMLSTMCTYPIDVVRTRYMVQQGKIKYTNVFDAVKCMYKAEGLRSFSRGLFVNLVG IVPYTGIGFSLNERFKQSMLEFQHEFFAPANPDSYQLSPFSKFMCSYLAGSIAQTVTY PLDTVRRRIQTDGYVTGATDARKYINMRTTCKIILDQEGLRGFYKGATVTWMRGPFLT GISLTTYDLFKELLDVEKI H257_00134 MFMLDLPPSPSSHHADATPTAADTATTSPSSVATAAAIPEETTS TELRSFIAGGLAGVIAKSMLSPVDRIKLLFQVSDHLRFSLRNALHMGVDIAKRDGVQA LFRGNTINLVKVFMSAGIQHSSFDYIRRRFHDANSSNHHGNTPYVKKLSNAQLIASGS LAGMLSTMCTYPIDVVRTRYMVQQGKIKYTNVFDAVKCMYKAEGLRSFSRGLFVNLVG IVPYTGIGFSLNERFKQSMLEFQHEFFAPANPDSYQLSPFSKFMCSYLAGSIAQTVTY PLDTVRRRIQTDGYVTGATDARKYINMRTTCKIILDQEGLRGFYKGATVTWMRVRAHL SKFTSLT H257_00135 MSHATRQEVFYFEEIVDNDKDTKTTEETYTLSECHVLNDTSDLP SKRGGATLTAVLAAQGNTLLYLIGGANRAAETFGDVHVFDWDAKRWTQAKPSIGSTTF TPRSGHTAVARGSRIYVFGGANLRVGAVFNDLHVLDTDTMTWSQPEVGGDVPPARNSH VAVATDKGMVVIGGSSPQVGAMNDVYLLAWDDDMSWRRIRPSSSTVMSKRELHAACAI HDRILVVGGRSSSGILCDDVCELHTDSWTWTITPLTSWHRCAHAAGVLGGTHWVHFGG WGGGHDFLSDCWQLPVRPAACHDNGIAGPPTSPTTLNAIEPCQTSMHPVPLQVVHRHS PPPPPLEETPHIVDVALVGSEEAGLVGRFAHCACVVEDASLVMFGGMTTDADLHDLWV LTRLPANTT H257_00135 MSHATRQEVFYFEEIVDNDKDTKTTEETYTLSECHVLNDTSDLP SKRGGATLTAVLAAQGNTLLYLIGGANRAAETFGDVHVFDWDAKRWTQAKPSIGSTTF TPRSGHTAVARGSRIYVFGGANLRVGAVFNDLHVLDTDTMTWSQPEVGGDVPPARNSH VAVATDKGMVVIGGSSPQVGAMNDVYLLAWDDDMSWRRIRPSSSTVMSKRELHAACAI HDRILVVGGRSSSGILCDDVCELHTDSWTWTITPLTSWHRCAHAAGVLGGTHWVHFGG WGGGHDFLSDCWQLPVRPAACHDNVGIAGPPTSPTTLNAIEPCQTSMHPVPLQVVHRH SPPPPPLEETPHIVDVALVGSEEAGLVGRFAHCACVVEDASLVMFGGMTTDADLHDLW VLTRLPANTT H257_00136 MHFCPTCGNLLMVEPDSDGMRFCCQTCPYIFKINQKVETKVPLQ RKKVDDVLGGDEAWENVDQTDTRCPFCEHTKAYFMQIQIRSADEPSTTFYKCTGCKKQ WNDK H257_00137 MAQYGKASYWDERYTKDAEQFDWYQRYAGVKDLALQYLKKTDNV LMSGAGNSRLSEEMLNDGFQTIMNIDVSQVVIDQMSKKYEGHEGMQWQQMNVCSLSFS DETFDSVVDKGTMDSILCGEGSTANVAKMCQEIYRVLKPNGVYFIISYGVPDNRLSYL ENNELHWKVTVHTVPKPTVSAVQVTDAADANAVHYIYVCQKGVKTEG H257_00138 MLRLGVLSRRQVQASTASVWGQSFLSSRHFSAVTNNYGNAFSHY VHAKDTAEKSPSDILKPDLATFKKLPSLDEPIPGLPVLKPASQLQAPRTETTVLPNGL RVISQETYGQASTVGLFVDAGSRFEDDSNTGVTHMMEHLGFKSSRSRSHADLVREFED IGAQTTASSGREQLIYTVDVLRDNVDKALALLADAFVNPAILDDEIEMTKVIMKIQSE DLKENPQLFLQERVHTAAYGAHHTLGRPLQCPLEHVDALDRTAVTSYMNKFFVAPRIV LAGSGIEHAVLVEKAKEYFGALKAEAADDVAPLEPAVYRGGLEAIENADAPFSYASLA FPTGGWHHEDLVPVCVLQTLLGGGDSFSAGGPGKGMYSRLYTHVLNRYYWVESAQAFS SLHNDAGLVGIYGACMPEATGQLVGLLVNQLLSIALHGVDSTELSRAKNQLKSSVLMN LESRMILYEDIGRQLLTYGIRESPESICDKVDAVTAADVQRVVREAMAHKPSLVYMGK LSDFPSYDNVAQAIDQVLTQQQQSP H257_00138 MLRLGVLSRRQVQASTASVWGQSFLSSRHFSAVTNNYGNAFSHY VHAKDTAEKSPSDILKPDLATFKKLPSLDEPIPGLPVLKPASQLQAPRTETTVLPNGL RVISQETYGQASTVGLFVDAGSRFEDDSNTGVTHMMEHLGFKSSRSRSHADLVREFED IGAQTTASSGREQLIYTVDVLRDNVDKALALLADAFVNPAILDDEIEMTKVIMKIQSE DLKENPQLFLQERVHTAAYGAHHTLGRPLQCPLEHVDALDRTAVTSYMNKFFVAPRIV LAGSGIEHAVLVEKAKEYFGALKAEAADDVAPLEPAVYRGGLEAIENADAPFSYASLA FPTGGWHHEDLVPVCVLQTLLGGGDSFSAGGPGKGMYSRLYTVRYLVMGQAAE H257_00139 MLKCATGRPPRHLALGNQDVFHVPSGPTVPKWEKEFSVVFDGRK PTGLGFKPVKEEYACSVDSVVSGTLRDNLAADHNEHCYLSCDLSRVITPGLRVRAIND LDVQSLTFDVVVAKIKTMKRPILLTFADVRSATYGDPAASVPVESKQAAQALGDEVVR LRAQLQDAIMAKTDAEAESAKFQAWNKSLLMTNGVISDQNSAAVDEVLNERIAVEATH ALATQLQGERDRAVAAAHAFKLENSSLQSRVENLHQRLEDAQTAKRETNERLMALERQ RHDDLRLFASLHREIHVEADETNELDKLMQVEATTTTSVLGREERDKHEATMNKLREQ RAAHATHKASLEAALDDHMARAAADRARIEKLQRDKDALERSILELPQQVIATPVDAN QSTSASATVHHLHAQLGDAHDKVFHAKPETRSEKAKWTAEKLLLLARIQAVEHHSQAL EEELVAFKAATSSAVAATAAADVHEGTIVRDFIHRMSTKGFRVHKHGRRGSTHDRYLY TDSDGHWLSWTKVDAARNPEAFRHPSKKIVVDIKDLVDVLPGKQTEVFARPNSAATPA SRCFSLVCAKPCRTIDVETDTPEQCQRLIQGFRLLIRRRAVQHAASRRHPVAPLH H257_00139 MLKCATGRPPRHLALGNQDVFHVPSGPTVPKWEKEFSVVFDGRK PTGLGFKPVKEEYACSVDSVVSGTLRDNLAADHNEHCYLSCDLSRVITPGLRVRAIND LDVQSLTFDVVVAKIKTMKRPILLTFADVRSATYGDPAASVPVESKQAAQALGDEVVR LRAQLQDAIMAKTDAEAESAKFQAWNKSLLMTNGVISDQNSAAVDEVLNERIAVEATH ALATQLQGERDRAVAAAHAFKLENSSLQSRVENLHQRLEDAQTAKRETNERLMALERQ RHDDLRLFASLHREIHVEADETNELDKLMQVEATTTTSVLGREERDKHEATMNKLREQ RAAHATHKASLEAALDDHMARAAADRARIEKLQRDKDALERSILELPQQVIATPVDAN QSTSASATVHHLHAQLGDAHDKVFHAKPETRSEKAKWTAEKLLLLARIQAVEHHSQAL EEELVAFKAATSSAVAATAAADVHEGTIVRDFIHRMSTKGFRVHKHGRRGSTHDRYLY TDSDGHWLSWTKVDAARNPEAFRHPSKKIVVDIKDLVDVLPGKQTEVHINVL H257_00140 MWWVWMGRRVRVLLVECMPILEWLPGYQWQRHLAHDVVAAIVVV AVMLPQELAFGASMHVPVSTTLRTAIVAPLIYCVCGTSSMVSFANAAELTWAVGGALQ TIPDDQEFERLAKGTLITCTSGVVLLLLGWFRVGTHLPLSRPAMGGFLWGASLLVLAE HIPHLVGVVDVLTAPSVHIEACALGAFSLVLLVAVEGIRQRKSVLVRPSLSKLNDPAV LLHAYPTGSLNVSSSSNALSAVDLAPLGVCGLGLALRLWVSFPDDASSTTHPHHHGNQ SLYESFTLSHAFHHIVSQEVEEVMTLLWNALIIALTTPTSKVQAIDVDPNKELIAYGL ACVVGGSFRALPPAGGLARTAINAKYSRTAVTTLCTTFVLVVVMVSKVYVLVPLPCLA AIIVVSTVSFMDRHELQTMLRCRLYSCLGLWAAACISTFLGGILIGALVALCLSVAIS PPDTGVTRIDSVGATRPVHDRNDQVIFVRVDAAVVCFVNWDVIEKQVELFVNVGEMDV TGSTKDGVVMDLSNIHDGAHDLETVGRINVFSRRLKHHGVDLAITHAPPKLRAALATL APRLADYTIQLSNESAVVAIQDGVCDLFIA H257_00140 MWWVWMGRRVRVLLVECMPILEWLPGYQWQRHLAHDVVAAIVVV AVMLPQELAFGASMHVPVSTTLRTAIVAPLIYCVCGTSSMVSFANAAELTWAVGGALQ TIPDDQEFERLAKGTLITCTSGVVLLLLGWFRVGTHLPLSRPAMGGFLWGASLLVLAE HIPHLVGVVDVLTAPSVHIEACALGAFSLVLLVAVEGIRQRKSVLVRPSLSKLNDPAV LLHAYPTGSLNVSSSSNALSAVDLAPLGVCGLGLALRLWVSFPDDASSTTHPHHHGNQ SLYESFTLSHAFHHIVSQEVEEVMTLLWNALIIALTSYVSTIVLANQGARHRQSLTTP TSKVQAIDVDPNKELIAYGLACVVGGSFRALPPAGGLARTAINAKYSRTAVTTLCTTF VLVVVMVSKVYVLVPLPCLAAIIVVSTVSFMDRHELQTMLRCRLYSCLGLWAAACIIR SVAISPPDTGVTRIDSVGATRPVHDRNDQVIFVRVDAAVVCFVNWDVIEKQVELFVNV GEMDVTGSTKDGVVMDLSNIHDGAHDLETVGRINVFSRRLKHHGVDLAITHAPPKLRA ALATLAPRLADYTIQLSNESAVVAIQDGVCDLFIA H257_00140 MWWVWMGRRVRVLLVECMPILEWLPGYQWQRHLAHDVVAAIVVV AVMLPQELAFGASMHVPVSTTLRTAIVAPLIYCVCGTSSMVSFANAAELTWAVGGALQ TIPDDQEFERLAKGTLITCTSGVVLLLLGWFRVGTHLPLSRPAMGGFLWGASLLVLAE HIPHLVGVVDVLTAPSVHIEACALGAFSLVLLVAVEGIRQRKSVLVRPSLSKLNDPAV LLHAYPTGSLNVSSSSNALSAVDLAPLGVCGLGLALRLWVSFPDDASSTTHPHHHGNQ SLYESFTLSHAFHHIVSQEVEEVMTLLWNALIIALTSYVSTIVLANQGARHRQSLTTP TSKVQAIDVDPNKELIAYGLACVVGGSFRALPPAGGLARTAINAKYSRTAVTTLCTTF VLVVVMVSKVYVLVPLPCLAAIIVVSTVSFMDRHELQTMLRCRLYSCLGLWAAACIST FLGGILIGALVALCLSVAISPPDTGVTRIDSVGATRPVHDRNDQVIFVRVDAAVVCFV NWDVIEKQVELFVNVGEMDVTGSTKDGVVMDLSNIHDGAHDLETVGRINVFSRRLKHH GVDLAITHAPPKLRAALATLAPRLADYTIQLSNESAVVAIQDGVCDLFIA H257_00140 MWWVWMGRRVRVLLVECMPILEWLPGYQWQRHLAHDVVAAIVVV AVMLPQELAFGASMHVPVSTTLRTAIVAPLIYCVCGTSSMVSFANAAELTWAVGGALQ TIPDDQEFERLAKGTLITCTSGVVLLLLGWFRVGTHLPLSRPAMGGFLWGASLLVLAE HIPHLVGVVDVLTAPSVHIEACALGAFSLVLLVAVEGIRQRKSVLVRPSLSKLNDPAV LLHAYPTGSLNVSSSSNALSAVDLAPLGVCGLGLALRLWVSFPDDASSTTHPHHHGNQ SLYESFTLSHAFHHIVSQEVEEVMTLLWNALIIALTTPTSKVQAIDVDPNKELIAYGL ACVVGGSFRALPPAGGLARTAINAKYSRTAVTTLCTTFVLVVVMVSKVYVLVPLPCLA AIIVVSTVSFMDRHELQTMLRCRLYSCLGLWAAACISTFLGGILIGALVALCLRSLSH CRLLSMNST H257_00140 MWWVWMGRRVRVLLVECMPILEWLPGYQWQRHLAHDVVAAIVVV AVMLPQELAFGASMHVPVSTTLRTAIVAPLIYCVCGTSSMVSFANAAELTWAVGGALQ TIPDDQEFERLAKGTLITCTSGVVLLLLGWFRVGTHLPLSRPAMGGFLWGASLLVLAE HIPHLVGVVDVLTAPSVHIEACALGAFSLVLLVAVEGIRQRKSVLVRPSLSKLNDPAV LLHAYPTGSLNVSSSSNALSAVDLAPLGVCGLGLALRLWVSFPDDASSTTHPHHHGNQ SLYESFTLSHAFHHIVSQEVEEVMTLLWNALIIALTSYVSTIVLANQGARHRQSLTTP TSKVQAIDVDPNKELIAYGLACVVGGSFRALPPAGGLARTAINAKYSRTAVTTLCTTF VLVVVMVSKVYVLVPLPCLAAIIVVSTVSFMDRHELQTMLRCRLYSCLGLWAAACIST FLGGILIGALVALCLRSLSHCRLLSMNST H257_00141 MAGRWVKALLGLSALAVLSVEGACPNKCSGHGSCGANDICSCEQ NWINADCSARQCPFTRAWQDTASYNNDAHYYAECGNRGICDRSTGICQCDETFVGSGC RRLKCPSDCSGHGKCQFIEDLAVSTDKRVGGNPLFIDYTSWDREKIQGCRCDPGWEGH SCNRRVCPQGDDPLTTGQYDMQQGITITHSAVIKFVIRYADPYGNLWTTSEITSGLPT ADATTCTNIETALRRIPNFALSSRVLNSNEISVKPGGVGAYTRTGPTTGTVAATVADI TTATNCIVTFPAAPGTTGLQSLLEVDVTPYTAAGSQPISAGGGAATVVVVEHIPGSNA AGALARPLTELATCSNRGVCNAETGQCQCYAGHKGLACELQEALV H257_00143 MLHMVRRASSLPGCRPTQTILRANETNGGHIVGTFRTRLSSSWG KVLTSKVVVIDENDLEEKFVKGSGNGGQKINKVRNSVFLKHTPTGVFVQCQKTRSLDD NRRVARKLLHAKLDDLVNGTTSKRNVKIQLAQKKKAKKKAKSRQKHLGGTAKQASSGD DELLSNDDVDDDDESSDEDDVSADKPSS H257_00142 MSSFRRAVGLDSAAPHVPSTTSSSTPARGVSRGVKPFINDQTLT SSGLRELDAVVGGGYLLGSIVVVESSVFGSFGSDLARYFAAEGLANEHVVYTDASVVA SLPLELSTAQKQLKAALVVAQTSAAAPPLTIAWQYEKYAAETLPHNVKVTDNRFCHSF DLSKPMVDAMKRTCHVLLDSSSTLAYRSIFATMQQALEHSDDVVRFVLTDVGAPWISG DMTSEHQSQLLIFVRAVRGLLARHRRRAICMLTVPAFTLPTPLVRSIRHLADYVFELS SFAGQSDHLPSELADFGGLLFVHKVAHLHAITGHALDNVKLGVKRDRRKLKLEPLHLP PEGSRSQKPDKTQQPNDMSF H257_00144 MALTETVAMFTGPLLVIVGLVFFTPLGSLFSGTSSVAAVVFIVA ILLLQFVVVRRPTHNTVRTTKELHVRRKIQHAGSGVLVVVGSFYANSLQVSVVLACSA VAFYIVAQLRKQNNIVNDTYLHVFGPILRQHEIAHRLPGAFWFLLGCSISLLIFKKDV AQLSVLHLSLGDPCASFFGLTLGHHTTKLANGKTIAGVAGCFVVCFATSVAFLSVVDA SVAHVSGIEKAVVMALCGVAGTVGEVLYVGCDDNLSLPLVSGLLMSVVWVFLT H257_00144 MALTETVAMFTGPLLVIVGLVFFTPLGSLFSGTSSVAAVVFIVA ILLLQFVVVRRPTHNTVRTTKELHVRRKIQHAGSGVLVVVGSFYANSLQVSVVLACSA VAFYIVAQLRKQNNIVNDTYLHVFGPILRQHEIAHRLPGAFWFLLGCSISLLIFKKDV AQLSVLHVRDLPSVILLGHTNDLVAIIGRPVRVILWPNAGPPHDQTGQR H257_00145 MGGAESSTRRTIKPGTVISMISRSIGGGGRSSRGDDDVLAVVAK VGPDSDTDWSGEFNRPLASADHYQHYMPPNMPMCPTYQVNYVTECNRSWKLICKAATP KMKHYNKDGIVLFYDEFFHRLFQRDPGFEDIFPGIRKRIEVLIKAMKFILNDSGMTET VVIQRCRNLGHRHRTIPKVKPHHFSAYASTFVEVAMFWLDIEATPDVGEAWSNLVGFN LKYMLQSYLFNTVNESDWNQNTTQPTKESRTTAPIKKTKIKPPTTTTAVTSITKSGTK KDLAAD H257_00147 MQWVLGIAATVLCAFVSAIFIRRHITHVINPLAQTISMRCAIAL GLSSVLFLAKMALDTNVSLQFQALQVAVEATAASVDSIVLVSAYFLVIVQLGGTERAI DVLAKHPPQHYTLPPTPAFDSPRDDDASTQDYDQVRHARATYVAIRRVVLWFAFVHPT LVTLGAIHGVAVRNDSSDNNNPTDTAIRVVLALCNLGATVLAVLTWVKLVKAFDADIA PKFKLKGKGVIVLGYLLLRCGQWGVYEACVPPVHRHQYGWFWTLCLIQLAILTVGFSR VFAPSTFHAMSHGRPALGRVWDVFQHPVPPCRTLHDTLATEFAGGASTKQIHESSVEY H257_00146 MLYSDIVQKILLPKPKQSMSFAVELVHAVNGLAESLAAHPQVDA CAVLSVLSHTYHHASGSATDPAIEAVTAASRAVLSCLLSGPSSSNDSVLRQSALPPRV VSSISDTTSILADAAVSRAIPRLNRLDWRVDINVASSALESIYQPSVVLRMQLSNGRQ HTVELSLAKFHELRYNTAKILQEMNQLERHPILRLTREGLTTTSST H257_00146 MLYSDIVQKILLPKPKQSMSFAVELVHAVNGLAESLAAHPQVDA CAVLSVLSHTYHHASGSATDPAIEAVTAASRAVLSCLLSGPSSSNDSVLRQSALPPRV VSSISDTTSILADAAVSRAIPRLNRLDWRVDINVASSALESIYQPSVVLRMQLSNGRQ HTVELSLAKFHELRYNTAKILQEMNQLERHPILRLTREGLTTTSST H257_00148 MMMDPTQNFDDDDVLDIDLDKLPTDEKEAIMSHVTPDDSAPTDA FSLGQNDLRRELIDRGIQPKGFFNDDALRLQEEFDREHVSERDSRMKQKIQMAAKSYL RETIKRKREQMDTELREEIDELAGNPKLEVWLDLVKANTTPVEALLRINSVATRALSK VLQFNLGLRALNLSGNHLNDMAGKALANVLRRNNTLVKLEVEGNNFGPATVKEFAAAL TTNAGLTYLSLESNPLTNDDNDYSGIAALGTMLTTNSTLTSLNLWRTRLGIEGGKALA KGMSENKTMLCLDIGNNKVALNDAMAISKSLADNLERYDAVQRKKGEMKKGQIEAAER ARKQHEEERKQKEHEQWLEERRVERQCERDRIEAERQRKLKEEEDRQRQISDRKAAER AAQLELEKKKKKKKGGKKKK H257_00149 MQQQQDGPYLLPSIAGGHGVALCAHTNCRRFAKIHGVCILHSRV IVRPTSPPQSHSNRSRMLPNAHEKRRNHNRRCRIEQCRSYARGGGYCTRHGGGRKCSV PGCTTSSQTGGYCRQHGGGSKCREPTCRQFARVGGRCLIHRDSHEDESSSVGEVQL H257_00150 MDISTTRVSHLHMSPDDPEATTKKDRASNDKMLQVLTLPNAITM TRIACTPYLGHLIMQGEYKFAVGVLAVASVSDWLDGYLARKLDQRTVVGTFLDPLADK FMVGTLCVSLGYVQLLPLPLVVVIFGRDLLLIGGTLLYRHRTRKSSSDFFQTNNAFEV EPTLTSKVNTALQFTTLGGALLQAACPIPGSDVALHCLFAGVTMSTFASGFGYLSAWR NREGMFRHLPK H257_00151 MVTESSHFNISSAVKNTKMGNSNQKPATTSAASSPTTLATPSID VATTPLLVHVPLSLENADASEMQQLADDVCVALRARVAGYDDINVDDLVLTHLTGAMT NVIFTCHKKSAPEDRVLLRLYGAGTEAFFSRQEEILVFKELSLLNLGIGLVGEFQNGR FERMIEGRTCTAEDIRRPELSEMIAAKMAVFHTTQVDMDRKPRILASIRKLFKDAQAA YATTHRQFPDVDAMAADIAALEALLATVDSPVVFCHNDLQYGNIMLSTSTGQDKDGED AVLIDFEYSHYNPRGYDIGNHFSEWCYNYHGDAPHLGDFAKYPTAADQRRFCRAYLSS QSATCDDVADAHVDQLRHEANVYANATHLFWALWGFIQATQSTIDFDYFGYATCRWHA FKTRVTLSNDVK H257_00152 MFTTRTLRALVQSTRSQQSQRISMSCTTFSLNATSFLSPSSQEA DKLQFRSDVSKVLGSLLPEWTDVPAADVVLTHLSGAMTNIIFTCEKSSDPTQKVFLRV YGHGTEAFFSRQEEIRVFKELSLLNLGIGLVGEFQNGRFERMIEGRTCTAEDIRRPEL SEMIAAKMAVFHTTQVDMDRKPRILASIRKLFKDAQAAYATTHRQFPDVDAMAADIAA LEALLATVDSPVVFCHNDLQYGNIMLSTSTGQDKDGEDAVLIDFEYSHYNPRGYDIGN HFSEWCYNYHGDAPHLGDFAKYPTAADQRRFCRAYLSSQSATCDDVADAHVDQLRHEA NVYANATHLFWALWGFIQATQSTIDFDYFGYATCRWHAFKTRVTLSQP H257_00153 MAKRTSGKGFQVLRSLIKDVEVPPPLKPHEEALVKKIFASSVSQ RMKSLSSSERAEDLPAWDGPEIAFAGRSNVGKSTLINALTSSTIMKTSKTPGRTQQLH FISVGGRAGTRPDLSLVDMPGFGFANAPKSMVDDFHRLVGRYIKHRTNANLKRVMLLI DSRRGITSVDTDFMEFLENLGTTFQLVFTKVDTLNASGVDKTLMSAQDCIHNFMMMHP ITHLVSSKDNIGMRELRNEIVSVSGLIYGHTKAQRRAIRESLDDLKYPTDQDKP H257_00154 MDSDDGSEYEYGSDYGGSQDDANEDEVVDETAIKIANTFYEADD CKTSNPTRALELYLQVLSLHQGTADDVKDPENFQFKSLENVVKLCATLNKPDAMLQHY REVLTLLPHVTRNEFTDTVNSILDLVSSLPSARGIVSTTYEITLDALKCAHNDRLWFQ TNVKLGRLYLEMGEAAPVKRVLMELHESCKTPDGHDDLAKATSLLDVYCLEIQLCTMT NDTAKLKAIYPKTLDLDAAISDPRTMGVIREEGGKMYMAEGQWHLAYNEFFESFRNYQ EAGNARAKQCLKYVVLANMLASSDINPFDSREAKVFKDVDEINAMLQLRDAYGNNDIT TFNKILNHPKHHITTDPLMKRYLDPLVRNIRSHVLVKVVGPYKTIKLATIAKDMNMSE ADVEALAASLINDNILATRKIDQRHKVLVVVASSTTSRDVSTKTVDALAKWTAALAKL NASMAERGGAISST H257_00155 MADDGTIPVEPRVLSIQSHVVHGYVGNRSAVFPMQLLGLEVDVL NTVHFSNHTGYKKFRGERMTSEQLENVVAGLEENDLLHYTHLLTGYVGNPALLRAITN TLRKLRRQNPSSLYVCDPVMGDDGKLYVPPELVDIYRDEVLPLADIITPNQFECELLS GMTLTSDADAIAACRKLHALGPRIVVVSSFTPPEEPHRLWLYASQAVGQGLSNTFKME VPRLPAYYSGTGDLFAALLLSWLTRHPSNLKLALETVVATVQAVMRRTNDAQSANREL KLIQSRRDIEFPTIVLTAQLIDGPITHVLWDARHLAAHQPEGAAALVAAGVTVVFLTD DKDHVAIKASTLSHIRRQLVSSLDAASFAWADVCAAAEVLPVNAVLLTGVDGPTANVY HVVHDVAAAAAKIIHPTRPTTST H257_00156 MLGRLTQVTRAVKPAAAGQTRGMASHGPPPTYTGLEATIRAKLP NDHDIVLATLGAYAVLTAPFWFPTGKKAVVEEPAAASNASTEVPSLFDDNFDEWSKIP GNFAKWEKSLDTIGN H257_00157 MSTAAAAAVSAATTKRPASKMSFDDDYVFVGRENAPPAPLHASD AGLGSYTVKKVQVVTPVVEDIPAADETIPYMPSLGSSTEIKKLDDFNEIINNAQAFID SRMLQFSKYTGFSPGGCLEDSMMLLTPRHNPHVQFVKEDDDFLVPHPKKKTPGMGWAK CPSLDTQSRQCLRQLEELGVSYEPHDTAYSVKYLAPVLPFGDCLFLSMEQLTAHTEGV EHLTPSRLRLEAAKHFHAHYMSCTADAKAKIDSAIRNLYYPDLRGGWGVSPTQARRFV ALRHDKDWLVARIFELQDRGYSWLKAAEMVYSEHAQPVLNAETYCKYMCVGSDFDSNT HFVVSMTYSRHGLVGIDNDPDGRRVAWGDDFTLEALATAYQRDIFVVLVGGGQMFFLP HRPHPTTSSTLLQNSMSLSSSLASKATKGLSPWFLLMRMTGGDRGGDHYEPMHCTRLR SDGYEEFGSIGDL H257_00158 MVVELNVWDPVQYNHAAGFVAREFGQSLLELLCPQSSDVILDLG CGDGVLTAQIAATGASVVGVDSSAAMVSHAREHFGLDAHVMDGQALTFPNDEFVIVFS NAALHWMPYADGILSGVAATLKPGGRFVVECGGFGNIASIVTAVVAVLARHGIDGRPK IPWTFRTTREWEEHLTKAGFRVKSMVSYSRQPVLPDGIVGWLKTFGDNFFDDVPLDMR DSVMHEVQDVLKWSLCDGHEVWRADYIRVRFEAIKDA H257_00159 MQRSIRVSIDRGGTFTDVYAEMGTSASDVQVKVIKLLSEDPANY PDAPREGIRRILEEFTGIPHPRNQPVDTSRLEYIRMGTTVATNALLERNGERTALVIT KGFRDLLYIGNQSRPKIFDLEITSPDMLYEEVVEVNERVQLVFENDRRPTDIRGVSGD YVRVLGPLDLVDLRAQLSAVRAKGIKSVAVVLVHSYTFTQHEQQIGSLAHELGFSQIS LSSEIMPMIKMVPRGFTSCADAYLTPVIKDYLHSFCSGFDSNLSDVKISFMQSDGGLT PMSSFFGNRAILSGPAGGVVGYARTTRPPRLPAPLPVIGFDMGGTSTDVSRYDGTFEH VFESVTANVPIRAPQLDIQTVAAGGGSRLFYKNQLFVVGPESVRAHPGPVCYRKNGYL SVTDANLVTGRIVPQFFPHIFGPLENEPLDVEGTRKAFQTLSDEINASQQTAYSVEAI ASGFLRVANEAMCRPIRNLTQMRGFDITTHVLACFGGAGPQHACSIAKALGMSKVYIQ RYSGILSAYGLSLADSVIDKQWPASCPYVASEKPSLVAKLQSLASVVLADLKAEGFDE THSTLEYFLNLRYEGTDTALMTRAVLPAGTTVQAGLLAFDFDTAFTTKYQQEFGFLLH ARSVLVDDIRVRGTFSPPSNSQSTPTTISTTSASPHATTPLYFDELNAWKPVPVYLHS EMLHTQTVVQGPAIIMQNQATVVVESEWTAEILPNGDLYLYLSAPSSALADQVHDQDV APVVVMDPIQLSVFSHRFMGIAEQMGRTLARTSVSVNIKERLDFSCALFGPDGGLVAN APHLPVHLGAMQQAVKFQMQHWGHDIVEGDVLVSNHPQLAGGSHLPDITVMTPVFESG RIVFFVASRGHHADIGGIAPGSMPPLSKTLTEEGAAIVAFKLVDGRSGQFQEQGITDI LLQKGKVDSNGRPAIGTRNLRDNLSDLKAQVAANQRGVLLMHDLIAEYSLQVVTAYMY FIQESAEKAVRNMLHTFSLAQHLPEVGSVSAEDFMDDGTRLALKITIDRRTDSAIFDF TGTGAEVYGNINAPPAVTYSAIIYCLRCLLDGDDLPLNQGCLTPIQVIFPENGSILNP SSTAAVVGGNVTTSQRVTDVIFLAFRACAASQGCMNNLTFGSSTLGGYYETIAGGAGA GPHWHGRSGVHTHMTNTRITDPEILEKRYPVLLNAFGLRQGSGGVGKFAGGEGVHREL KFLVPMTVSILSERRAFQPYGLYGGGPGARGHNLLTYTDGRTINLGGKNTVEVLAGDI LTILSPGGGAYGAATP H257_00160 MTHATRAWAASITHLHAPHFHTQWFTAHWAALRRHWQSTCTTNV DHIRTAGELPLLTEVNILLKRRYHDTAPMGHDRRIRARRTHLTAKTLHWHSRRLSLLP PAIPMGYADPTLRPQRLPPDPEIHLQRLKHIPFTLEPTQIEVMSQTDRMVPPSPTFRP L H257_00161 MNATSVPLMAKPTRPRPENELDTQESQEVDIEDLMHSLGSFQAV LLPVTLTMLLSSFASIFIRDPDAAAQMAQAYLVYKPEDGDSDSTLLGHAFVNALAIVS FFIVATFVIVICYKFKFTNFLVGYMFLSSAVLLGFLGGRLTEVALDNLRIPVDVWSYW LVMYNFAVVGVLAIFYQKGVNMSLTQSYLVAVSVIMAWQLSKFPEWTTWALVVVLAFY DLCAVLTPCGPLKCLVNLIQQEGRPLPGLLYEAEVQRTFHSTGTAEANAAYYQRGQPL PELDGAPTAAAGGTCTFTRRRSRPDDDDSQEPLLASDKGVPAKLPLRDRLVAFYHEYN PSAIDRIDQVLALYEGRERDLWTDLAAKYGHDDDDDDDNTIKLGLGDFVFYSVLVSRA ALFDVSAMFACLVSILMGLGSTLFLLSVYKKALPALPISILLGVAIYLWVRFVLVDFM DQQLVLL H257_00161 MNATSVPLMAKPTRPRPENELDTQESQEVDIEDLMHSLGSFQAV LLPVTLTMLLSSFASIFIRDPDAAAQMAQAYLVYKPEDGDSDSTLLGHAFVNALAIVS FFIVATFVIVICYKFKFTNFLVGYMFLSSAVLLGFLGGRLTEVALDNLRIPVDVWSYW LVMYNFAVVGVLAIFYQKGVNMSLTQSYLVAVSVIMAWQLSKFPEWTTWALVVVLAFY DLCAVLTPCGPLKCLVNLIQQEGRPLPGLLYEAEVQRTFHSTGTAEANAAYYQRGQPL PELDGAPTAAAGGTCTFTRRRSRPDDDDSQEPLLASDKGVPAKLPLRDRLVAFYHEYN PSAIDRIDQVLALYEGRERDLWTDLAAKYGHDDDDDDGMPSVYVKSTYCFSFTQTCDS LPNITSIDCLY H257_00162 MTESTTTTSTHKTTTADASPANGCTARLVTLSSTGTSASPHIAT RSSDDDAVSPKSRGQRREPVVTLPARYGHGFGVSLPSWHASKANQANSSRTSTSNASS AA H257_00163 MAEARAAVHVYEGNRRGVKLLVSQEGSIEVSFVIPSPSELRSTM VRHLHYMKNTVQNVIYPVPPAVAGAIVVVLIGVVASSSEDSYWRHSTISWWVWHIGNF FVPFASSIPRSLYVAYLTACAAFAGLVLLMSIHRIILRALLGYRGWLYLAPKETSMAV TAWAALIKVLGGHSPLTFSFQSALPRMSVPPLKVTLERYLKSIHPLVSDDEYKRIEGL VAEFEGNAGPKLQRYLVLKSWYADNYISDWWEQYVYLKGRSSIMIGSNYYVLPARYIP STNQLARAAGVVRQLMEFKQKLDREQLAPIMLRGLVPLCMSQYTRIFSTTRLPGRDED TLKKYHSSKHLAVNCRGRWFKVPLFRKGTHGDLLSAYEIEQQLVAVSSACAPEDDVVQ EQYLGALTSANRTTWAEHRDAFFSEGLNRQSLRVIESAVFVLVLDDASPEDLDEQGKL LIHGNGGNRWFDKSFTMVAFANGKVGHNVEHSWADAPVMAHLWEEVSFREMLDEPYDV DGRCKKPASFKSDVTLPRCEQLQWNWTPELHDAVTACMATAAASIANFDLRVLNHRKY GKAAITKTCKMSPDAFLQLALQYAYYKNTNGTFTQTYEASMTRLYKHGRTETVRPVTD ESKAFVLAMADPTVSNAARRQLGWAAGEAHQDLYRNAMSGLGVDRHLFTLYCVSVGMG IESPFLKEALSRPWRLSTSQQPQAQTDLVSIIKKRKLVDDVSRCICPGGGFGPVAADG YGVSYMIAGDSDLFFHISSDKSSGVTSSTAFAQDLRTALTEMRAVWND H257_00164 MSGIHKSLLKVQQAKVVSAVTQGAKFIGTHNGTFHCDEALAVSL LKILPRFESHAILRTRDLEKLSHCEAVVDVGGEYDFARIRLDHHQKTFTDALDGENTK LSSAGLVYKHFGRDILQTICDHSLSEPVLEIMYKKLYKGFVEHIDGIDNGVEVASGPS NYAITTSLSARVGYLNPRWNESQSDDVVNSRFHQAMNLTVSEFVERVLYFYEAWLPAR SIVEAALKTRFQLHESGEIMKLAYCPWKSHLYDIEAELLIPGQIKFVLYEDSTGGMWR IQAVNVEDGKFALRMGLPAAWRGLRDADLSAVSGIEGGTFVHAGGFIGGNKTFEGALQ MAIATLKLAN H257_00164 MARFIATRRWLFRSSRSCRALNRTVLYAMRYASRDGCIIHGVAI LRTRDLEKLSHCEAVVDVGGEYDFARIRLDHHQKTFTDALDGENTKLSSAGLVYKHFG RDILQTICDHSLSEPVLEIMYKKLYKGFVEHIDGIDNGVEVASGPSNYAITTSLSARV GYLNPRWNESQSDDVVNSRFHQAMNLTVSEFVERVLYFYEAWLPARSIVEAALKTRFQ LHESGEIMKLAYCPWKSHLYDIEAELLIPGQIKFVLYEDSTGGMWRIQAVNVEDGKFA LRMGLPAAWRGLRDADLSAVSGIEGGTFVHAGGFIGGNKTFEGALQMAIATLKLAN H257_00164 MSGIHKSLLKVQQAKVVSAVTQGAKFIGTHNGTFHCDEALAVSL LKILPRFESHAILRTRDLEKLSHCEAVVDVGGEYDFARIRLDHHQKTFTDALDGENTK LSSAGLVYKHFGRDILQTICDHSLSEPVLEIMYKKLYKGFVEHIDGIDNGVEVASGPS NYAITTSLSARVGYLNPRWNESQSDDVVNSRFHQVRSASSKSPNRLPRHHHLGHELDR VGIRRARAVLLRSVAAGAIHRRSGAQNSLPAA H257_00165 MLDGRTPMEAVQPVRVKYIKFTSAMDVALLELIQVHNPIAAKHG TRLAMWERVARDFGAQIFDNRTACKWQICRDRGNLLMRWFDEERFDKLFKDESEARNR RKEALLQSLRHASTLKLGTTSSPSPTKSSPTISLHGEHPPREPPLSLMPTRPQTPFPT ESSPPSSSSAQRHAMFSPSPVLYRPPMEASTGVPSPPIHLPSLKVQVAPAENVLRASS PCVANPSPSITAERTKSLQEHPPDAPFKVERAAPLHPVGGGSRLHPPPRHTPSPPHLP SLVHPNQALLRHHPLHHLTSAAAATRKRKVYDMSFDSTAAQLVRIVEQKLQLDMDMRR RDQHLRAQELHMQHQLVDYLHSSRQSRRRLDHGKTMAADSTVNDRDEEEEEEQDGGAA ARLLELLQHKMQFDMAHHAAEMDLRADEVELQRRVLAYLARC H257_00165 MLDGRTPMEAVQPVRVKYIKFTSAMDVALLELIQVHNPIAAKHG TRLAMWERVARDFGAQIFDNRTACKWQICRDRGNLLMRWFDEERFDKLFKDESEARNR RKEALLQSLRHASTLKLGTTSSPSPTKSSPTISLHGEHPPREPPLSLMPTRPQTPFPT ESSPPSSSSAQRHAMFSPSPVLYRPPMEASTGVPSPPIHLPSLKVQVAPAENVLRASS PCVANPSPSITAERTKSLQEHPPDAPFKVERAAPLHPVGGGSRLHPPPRHTPSPPHLP SLVHPNQALLRHHPLHHLTSAAAATRKRKVYDMSFDSTAAQLVRIVEQKLQLDMDMRR RDQHLRAQELHMQHQLVDYLHSSRQSRRRLE H257_00166 MDVLDASYDSVDEVDEDAELELSCALQDLTTRCKSFSAKMTDEI PEIDDGEVSEDEDLAADAKARPSSHQLRYCADKHTIDDDDYYNQTHDELTTIQCNIKQ LLEKLEDAAATPTPSTSVPSLGRRQDCDDNHEGMDEKATPPPNRRQSMTTNQRQEK H257_00167 MDTEHDVDQLAAEAEAIESNEAQEVDQEIEDMKRRVLEMEEEAQ KLNQMHSHVQSQIPKEHQPPAAPTAAQQASIDENSIYIGQVDYESTPEELQALFQSCG TINRVTILCDKFTGQPKGYAYIEFANHDAVESALLLNDTTFRGRQLKVTAKRVNERGY NYGGRGGRGGRGGFRGGRGGGRGGYAPRGRGGRGRGGRGGRGMGGPAYHPYGY H257_00168 MGDVADILGVSGGGTTPPPSKGLQKDEKPKSKTKGLHREVLLLQ ESKHGGGITPRGVGGRTHVSIMPGSNLPMKKSLLSQRPGLRNKWVRRDFLNAARTDGL VLTHWVKSSLPEGLEYPFARFNVTCELPACCTKDEFDKVLAAHRDPLIPTPWTFHDTT YLWDVCKRFELRWVVITDRFTAPSNVARSMEDIKYWYYEVVRLLADSRQVKPDTLGDR DSTKLEVDGSTPATESLPSVEASSIDEIKSEPTGDASAGGVADDSVASSPPPSVAAPP SASTTSHAHRFHIAYEKHRKATLELQFNRSTAEETAIKKLQDELRQVEQQLKKAIVKV DAKKKKELADARTQLSRDTPATGVYFRSSLQALPSLKMGLSAKLVKKMTLMLEEFGVP ARPMPTKQVSDYFDKVRQDILALLALRKALAAKVHEVHVTADKLTALTGVPHIPRATS HVPDTPINHLPTLAPGTTATPTAGAMTPTPLTTPTPVGAASKISVRPEHLYLVLCVYN MYVQWGICISHINHMWVLYSKRAAARGSSARWWRPRVAGRQRRANGPKSSLANDAPN H257_00168 MGDVADILGVSGGGTTPPPSKGLQKDEKPKSKTKGLHREVLLLQ ESKHGGGITPRGVGGRTHVSIMPGSNLPMKKSLLSQRPGLRNKWVRRDFLNAARTDGL VLTHWVKSSLPEGLEYPFARFNVTCELPACCTKDEFDKVLAAHRDPLIPTPWTFHDTT YLWDVCKRFELRWVVITDRFTAPSNVARSMEDIKYWYYEVVRLLADSRQVKPDTLGDR DSTKLEVDGSTPATESLPSVEASSIDEIKSEPTGDASAGGVADDSVASSPPPSVAAPP SASTTSHAHRFHIAYEKHRKATLELQFNRSTAEETAIKKLQDELRQVEQQLKKAIVKV DAKKKKELADARTQLSRDTPATGVYFRSSLQALPSLKMGLSAKLVKKMTLMLEEFGVP ARPMPTKQVSDYFDKVRQDILALLALRKALAAKVHEVHVTADKLTALTGVPHIPRATS HVPDTPINHLPTLAPGTTATPTAGAMTPTPLTTPTPVGAASKISQKGGGSGLKRKVVA SAGGGAAAAGKRPKK H257_00169 MDRYYEMPSGDGGGMREKGGKRSWTAHEDHQIVTLVAQLGCKHW SKLAKILNDECANGHNGAKRSGKQCRERWHNHLDATIKKGPWTKEEEVLLVAAHAEHG NQWSKIAKMFHGRTDNAIKNRWFAKQRRESRRSGKAKDRSCFAPALSDVEDEQRTPRH TPLDRVRALATSDRGGISPKPEPWMQLSHINQHPYATSATWNHDTPFLTSSQTYQQHP HQQHHAPWRALHHNMDEMGPFDLAMIPDEEVDETMDACSYSFAMPQACEKGAPSMSRQ QADVVHAPSRGNGLPMVEIDLDWTDKVLI H257_00169 MDRYYEMPSGDGGGMREKGGKRSWTAHEDHQIVTLVAQLGCKHW SKLAKILNDECANGHNGAKRSGKQCRERWHNHLDATIKKGPWTKEEEVLLVAAHAEHG NQWSKIAKMFHGRTDNAIKNRWFAKQRRESRRSGKAKDRSCFAPALSDVEDEQRTPRH TPLDRVRALATSDRGGISPKPEPWMQLSHINQHPYATSATWNHDTPFLTSSQTYQQHP HQQHHAPWRALHHNMDEMGPFDLAMIPDEEVDETMDACSYSFAMPQACEKGAPSMSRQ QADVVHAPSRGNGLPMVEIDLDWTDKVLI H257_00170 MGNEVQYTSVKLSEEDATDMDPMDVKMTQEVRPASGSSRARTIV WWVLVLAPYLALAALVFTPLSNGKGEFCAAQRLEKLDMKRNVFYDESVVTEHTRFAAI GQKGATIWMTGLSGSGKSTIGRALEAKLIHDRIQVYRLDGDNVRIGLNRDLGFSAADR QESVRRVGEMAALFAQSGTITIVGLVSPYRAHRDDVRDIHKKMNIPFYEVFVDTPLSV VMARDIKGLYKKAVSGEIKDFTGVSAPYEAPLRADLTLFTPNSTLDAEVDAVYSFLKR KGLLTGVDALPSGYPGMAVADGGNAAADFDALYPTVVASPPTTPPAAVRVLLRDEDLH WVQVLGEGWASPLRGFMREGAYLQCLHFSSVVFDADNLTAGSLNPHATTNFSEYSTQD VGRGTRVNMPIPIVLPVNQATKDAILHVDAAPHVVLVSRTGDEVALLTNPEVFDHRKE ERITRTFGAFDLGHPYVQAIQTSGEYLVGGEIKLLRRVTYNDGLDKFRLTPSELRAKF DALGADAVLAFQTRNPTHAGHAYLMNQGRQWLLDQGFKNPVLWLSPLGGWTKDDDVPL DVRVHQHEAILQDGGMLDPASTVLSIWPSPMVYAGPREVQWHAKSRKNAGASYFVVGR DPAGIKRSDVPDEDMYAGDHGRYVLHLAPGMEHMNFLSFPKVFYDKTDHKMKPMADTN QADNLLSISGSKMRYMAKKGYQPCANGVVPDTWEADPTCVPPGFMAQAGWDLMIQYYQ RQADPSYLQYSVPFREFTPASSGVQVTPAAALIGTPGFELTLVDPTTGTKRSPWHDVP LYVNASVVNFVVEIEKGRMEKMEVNKYAAFNPIKQDVTKSNHSRYYLYGVPFFTYGML PQTWEDPSTKDAMGHGGDNDPLDVIEIGSTMLPMGSVHPVKVLGSLELIDDGEVDHKI IALSVHDPLAPLIHSVDDLHAHKPQIVAQLVDWLKNYKVPEGKPVNTLSQDTMTTEAD ALAIVAATHARWQALHTGDVPNPGFWLGP H257_00171 MQRNSEAGDRRYGGAATKSQSWKSRSSSHDLTTQSASNSSGIGA PGQPISSTDFISSKTGYLTKRSIGAIDALANWRERFFVLAESKLCYYKVGGGIFSKKK EEEQDLAHFKGQLELTSETVVKKTNIDNKANCFEINTGDKRMFAHANTIKECEDWIKS IKAHVIALGAAGVRGSGGDSSSSPELKPTAAQVEASAFASNVTGQQRSEDYSRPSLWD SHDIVRGAEQSSDPKDVVIKQLLEENRQLREQLTQKDQIIHQLETSGVPLDTTASSST ALTSSSRDTMSIPRNSSLARVASAAFGAAAVPKQMLDLRDLRKKQIQLFDAAEVGNSH LIATLLQNNVIDVNGAGINQYTALHLAARNNFPKAVAELCGRGADVSARTADRLTPLH LASMEGHAGCVEELLKAGADPNTTDQNGNGAVHLAAEGGNIACAKLLIAYGARVDVPN ASGSLPLHISPIGHPIRVLLGSTDKASASSVVPVIDPTDDTKKTEMHVTFKNKYHESL ALGPRDFEFIKVLGRGAFAKVYLVRGKGANRDKYFALKAYNKQAIVQKNQAQYIHTEK AALQACSDHPYIVSLYYAFQSQDRLFLVMEYCGGGDLLSTLTRRKAFSEPEAAFYIGQ IVLALSHLHSKGIVFRDLKPENVVMDLDGNALLTDFGISKEGVADHSSANTFCGSPMY LAPEMLSRSGHGFPLDWYSVGALLFELLTGLPPYYTNDKKQLFHNILRGNLIIPEYIS PQARDLIQRLLCKDPNQRLGSGVRGDKEIMEHPFFASIDWGKLYRKEIPAPFKPKIDL QTGNAPPDTSNFPNTFTEQEITEIEKGMAPPPQPAGNNSSRVKDEKRLFKDFDFTPEV KLDKEAALYEAQLLLHQNSAKHLKAPPLVESDEYSI H257_00172 MWIKSATLKCTDLAVVLGLGTWGGLSRRWRSSSRNSRGMRLRAS RSFLVRPASGGAWAYFLCRADLRTESSDGRTSRLLREVPRGWNAVVASLAFLYPLTNR TTLSLLRIATDWYEVAAEMDESRGRAARLDSRRSNVDGESVEMAGIEAMASAGVGADV SSSTGKLGRTPASSRVLVLLLSLFKSMVVGVSEVDEGGGMDGAFSPRGKEER H257_00173 MGGETEHSNGMHSQREFAVYFAATLIIIILSILFSNYAAHHKHW YFLPEAAATIFVGMMGGVLYLMHTDSVTKSLATFDPSIFFIGLLPPIIFNSGYTMKRR FFFDNITAIVTYAVAGTTISSFVVGVIVYIAGYSGASLKMTLAESLSFGALISATDTV SVLAIFQELRVEPTLFYLVFGESSLNDAVAIVLFASFSKFIGNSFTASAIPLAILDFT FIFVGSTLVGIVFGMLSALLFKHYNFKGCLYQEIAVYIMFTYLPFAVCTVVDLSGVVA ILFTGISMKHYTCNNLSEEGKASVAKFFNAISYVSEATIFLNLGLAVFSLQEGFHFGF SVCTLMACLVGRALHVYPLSYLINLRKADDRKIPAATQHMIWFSGLRGALCFALALEW PNELQRQEIITVTMVIVLITLFVGGGATVPVLNYLQIKRLTPTEELAIDQTVRPLKRM RVLQFDAKYLVPFFTHMHPDVDGNVSKAAADGDGRAAAAAVEMQDGHDVRAPAPTSSS PAGHNEDEDGIDFANMH H257_00174 MTATIVAPSVVKDALRDAIASFPEELQASLMEKFTAYYTNPETP HVEQVLRKRMSDGHAQRLLFMPTKEQAALYVLLVQAPPQGAPETHPCFIVCQRYLAIW YLCHARHWTLAREFVLHGGLNSLVDLFTHENLHFRGQALDVFTQMTSNPDFDWFQAPT CSETKSMHAKMLLLTNTSDFIQALVINKDSPAMSLYALQILAFYMSWVRKLYTKGELR LSAALLATLADWKATADSDEERQLATNVFDDFNRWPAADAPSTPPLAFHGVELPEGTL NLKQARDALVVSDYDQTVALATTFLRDSPDVPSVDRVQALGLRGRARLLRHLDRADLK KAVDDLSAALEMLEQVAMPTHEDNLLLSRVDMMQDKATVLAQHLHLFRPALAALSPDE DACLSAADEATLDTARGAIHTMWAAYNALHGQQQAKEQAIFEAIRHRRGAVTEDKKVT TIQPVKSTDHDNQVTHNLASAETNGSPPSAIPRTNAEDTSNQATNHDPQHRDLLVVTH PTPPLPDSSVAVKTKNSAAIAGGTRTLKPSLIAPVARKLLKYKHNPENMASLLQSLTP HDIAAAVSTILNPDVLRGLLQGMRRLPPKHALEVMRAVHILPAMPLVLELADPDVVTA MSSMLSVDP H257_00175 MQQGVGQRRRVELRAAFGRQRGTEEAAPLAAAGSSSSSASDSTA AWAETRGVGGWQRGLAVAGVGQRDRVGHTRSPQRAKLVFELDVGPVVELHAQVLVHED QTPHVALPVVLFDAVNQRAFFHRRERAVLAVVLAVFRVTRQFGPGLDQRAPLVLEVHA RSAVEQRTQVRVPKDAAPRTTVVGVTLDAKHQCRHFVGTVLSPLALDAVANVLARKVG GVSRIREVGAGRRGTQLLRHNACSQALGVLQLLKLLLLGQLHMVQLGCGIDGVELFVF H257_00176 MGAMATTTSSRRGRTPAAPPALPPSNEEMDIDDLAAFPYGLAWN DQIEHYMPPNFPLLPQLTPSRIALCRRSWAQLHAASTPAMKPYDRPGIVLVYEEFFYR LVQRDTTITRVFPTAKKQGEVLISAIQFILSSNADTPQDVAAVASRSRFLGHRHRSFP NVRPHHFAMYTSTCVEVIMFWLGDMASPDIGAAWSNTAGFVLKHLLEPFLYERTDPYE CYQNITVSATRKVEETRIHNFSDTASSSTYTKTRPGMKSTSAWKALRNSTAPKHTTKM PRIAVTSLMMNEARKARGATVSSPNNTSSIP H257_00177 MSTSPVSDSGKPLSMELLFNVNDGRRSFGIPPLANTETPDTAYL VMLIAIVVVVVVGGVVMFRPKPVQVLWRALGFQEPTKPINLNEASDDGPPPPPPNPQV APQDFDFDDTNWHDDSGIEMCQSPPSTRQSAIDSSLHAYATINVH H257_00178 MASASTALGKAERDAWIQSKLVENRKLKEKEECRVAEEARQVKE RLWSPYSRAAILHNELNIAWKGDLDIFHWARVLPFRELVALRVTGHALVDMPSELPTQ LPALQVLCLISNALETLPDNIGLLTHLVEMDLTKNKLKVLPDSICDIKTLTFLNLSNN LLEALPSRFGDLVRLEKVWVEGNQLTQTPPSFGRLRCHCANLNANRFRDWALFRPELT LLTTLTLNLNHLEAFPDSLCTLPALTSLSASNNSLVSLPESFGALVKLRSLRLDWNRI KELPYSFRHLTVLEDIHMERNPMTMPPLEVVYQGAATVVTYMHQRYLAWLRQERRKVV EQLQAVLAAFRVELDLAMEGNDLPTWTPFLAVFTPDVERTVRGTSLSFYALVLPALLS DLLPCLQRHWANHPEHRPSESIVPFDFFDLPHRVLVDAVTNYDDEYSMALVGDQVANF RSCACVDPDTNTRKPCNRTPAPFQCERSDAALLRVKMVTSQEYKDMQSDSYLVARRER LANAMRAKCVEYINSEPGIEFFDKTSIKCAKTLLATRAAKERALKQKAKHDHEVATTR RKTILKIQALQTKHSRRSMALGKTMGGLQKELEMLQMQIRTAPPGQAKVLLGRRDELM KRIDQAQGDLHKLAQDPAMRKLQQKMLELDGKVLADSRSSVQTKKEPSRNSQGQEEAD DDKDNSSDNTNDDDNSDNEDSEGGDSASDESDESDDETSNDDANSLMAGLGAIPGLEP VVNWIDAATAIIERILDTRQPPKKPHAEELAHLFNQHLRDTYTKEKMAKVKNKVTTEF HQMRFVLRKWMGFGNRVVYVAWRDYVRETVAIRRATLQKQKLDEELAEQNRVAEIELG KLEATYWDQKVNPYTDTEYYQHRTTGELRDTPPPYWEDIYEPATTTSPPLALPFLPPI K H257_00178 MDLTKNKLKVLPDSICDIKTLTFLNLSNNLLEALPSRFGDLVRL EKVWVEGNQLTQTPPSFGRLRCHCANLNANRFRDWALFRPELTLLTTLTLNLNHLEAF PDSLCTLPALTSLSASNNSLVSLPESFGALVKLRSLRLDWNRIKELPYSFRHLTVLED IHMERNPMTMPPLEVVYQGAATVVTYMHQRYLAWLRQERRKVVEQLQAVLAAFRVELD LAMEGNDLPTWTPFLAVFTPDVERTVRGTSLSFYALVLPALLSDLLPCLQRHWANHPE HRPSESIVPFDFFDLPHRVLVDAVTNYDDEYSMALVGDQVANFRSCACVDPDTNTRKP CNRTPAPFQCERSDAALLRVKMVTSQEYKDMQSDSYLVARRERLANAMRAKCVEYINS EPGIEFFDKTSIKCAKTLLATRAAKERALKQKAKHDHEVATTRRKTILKIQALQTKHS RRSMALGKTMGGLQKELEMLQMQIRTAPPGQAKVLLGRRDELMKRIDQAQGDLHKLAQ DPAMRKLQQKMLELDGKVLADSRSSVQTKKEPSRNSQGQEEADDDKDNSSDNTNDDDN SDNEDSEGGDSASDESDESDDETSNDDANSLMAGLGAIPGLEPVVNWIDAATAIIERI LDTRQPPKKPHAEELAHLFNQHLRDTYTKEKMAKVKNKVTTEFHQMRFVLRKWMGFGN RVVYVAWRDYVRETVAIRRATLQKQKLDEELAEQNRVAEIELGKLEATYWDQKVNPYT DTEYYQHRTTGELRDTPPPYWEDIYEPATTTSPPLALPFLPPIK H257_00179 MANYTYDTKWQSAMTELNEQVHIEDHTLDVEEGQDVSPPPEVSM LEAFQHYACLYIKYVQIFTNLEECYDQMVHPQKRIDVKQIMELVMTRVVELKHDLVKW NPPNPDVRTTPERNFPWEYVNLDDLLVDLKLPPSLLEVPVPKYFVEDSSSDLKKRDRL VKGYMKLKLGVDSLPVEITEENVSLTDTMSLEEAIQVIQRNERGRQGKQRGSLVRELR EEEKQRRLYDNAQTPEMEPELAAANIQRVFRGHNSRGKATIERDEELVFIGMKPPKND KAVALEMELALAKIRRKTQQTDNKEEYERSLTDVHETVKNEEGPFMKEKMLEERRKWI TDVMAQGQLPEDMVGYYLMINPPPVDAEPKDEDEGKGKKGDKGKKGDKGKKGDKGKKG EKKGEKGKKGAAEEEEEEKPERPPPLTGPSELCTKLAGGVNAFESVWLERDESDNFQQ KYDIDLAKDVVRGPVECQVRQQVDEMLVLQLSNIKAQLEAAAAGKKGKKGKKGKKGKK GKKGKKDKKGKKGKPLPGDKIAELKGRTPDTMLAILVEQKLVNNVTPCAVTDFIGEYN YLGTVYQSSDRRDRYGNWVPQDPSAAQLRAAIVEYCVLPLGSLPVRTKVKHVRSILFY GPKGSGKTMMAQAIAHATGALFFNMSASNLVGKFPGKNGPTKAIHMVWMLAKSMQPAV IYIDECEQIFAPGKKKAADGPTGYRKDLLTYLKSMDINDRVLVIGTSSNPMGGEVKDF KAFFDKFLYFPYPDYPSRVLLWRATIQNTIQHHSSSGSPPRIPDELDTSTLAHISEGF SSGSIRRAVENTLSARRVERMEKRPLKEDEFIGALARQTATFADDHEKFKNFTAQVTG LKETRDAIRKALAGDAEGADEKGKDKKGGKKGGKKGKK H257_00180 MATETPPVAALFAELMTSLQRRHRRRQPPDSASTEPPQHNDSNQ STPPSQPPSSLIHISTQDLDDRIHAAAAPPAATSSCTPMSAIDFPPPPFDFFSNLSDA MVTPLPSPVCTSVCRPLPRDVASVDASLPSLYSPATDVDCLDLVVDLPPPLPSTCDTC SVRNEDIHPAQVFPLAIDATTPQPRPNDVDPMPGKVSVLSPQSALFPTLIHTMLDEVR IDITRFYVPLEVVTTRVLVHIQRHLTDLLDKAHDGMTLRRMRQLSCVLGVETILSWLA RYGTVSTQGWLRDAILHGRGGERAGPTGPLMLTAINLTGVVVEVQKRVHRAQATAPSS DPPPLVSTLSLPLEDEVGYNQYLHTVYSPRHRTTTKRFADEKAGVVPPPTAMSPRRGL HVLLSEHIYRHEYRTVSKHIPRFSSKSSVIDVADAATRRKRGVRYGNVKGKVHQ H257_00181 MADSLHEYDLGDMVGSGSSAQVYWAHRVSNGELVAIKVLDKMQH ALIRRQSQSMSKATGIQEIELQQKLNHPNVLQIIDVFEDARNAFLVLEPCLGGSLQSL LSRNDDNHRVPLDERRAQHYIRQLVAGIAYIHGQNVLHRDLKLSNILLTSDDVVKIAD FGLATDLVTNQSPSTICGTPNFIAPEVLLGKAYTFSADLWSLGCMAYSLLVGTPPFQG QTVAQTLQNVGSADFRVAIPAALSPVAVDFLQCLLCGEPSKRTPCSVLIEHPWLHNST SPVHHFFSRPSMLLGDDHASYSCNKATKMEGHDDASSPRPSVSSSIISVPLAQPSRNL RQQSIKLRRRRRRHRRERAPPPTKGISVLSSSSSSNGSASSSGDDDDADIAQLQTVLD KMALRRTSSINQHDDLLAEAADGPATRTTTTASSGVPVVASPVMTFTVEYHSILGRQR SPVSEFTCSRTSFGLELHGPDGTEPHIEYHLADGRIRGSAGTCFDVDFSSLPLADVSA AAVSTWIRLAQWCVAQLPVSDARIAVPDDTLEAMQRSEQLEASLRHHATPSKPTTRST TSDAAAVVAVELAGVGVAESLEDGTLCLYFMDGAVLQVDDCASTVSYLPVGASTFDVF PLQSRSSDVTKTCDMPHQVSTRLKYVSLFIQEMKRQTQQQQRDYTCSSGP H257_00182 MPPRRSFSRSASRGRERGGGRGGRSPRRSLSRDRRRNSSRSRSR GRGRSRSFSSRSRSSSRSSSSSRSRSPSKGADERDDAKDSKKVDGGRNAASRSPSPDD GASLHVANLTRNVNAEHLEEIFGKFGGVAEVTLEKDANMVKGTAYIRFHKRDEAETAQ LHMHEGQIDGNRVQVNFVLVQPKPKKRSVSPKGNPNNGKNNTRRRSPPKRRNPSPYRP RSRSPPPRRGSPNFRRRSRSPPGRRSPPRGGGVRGGRGGPGRRPSPFNPRNRRSRSLS PRRRSRSPPRGRGGRSPPRRRRSRSRSSSRSSSPRRSRSRSWTPKRKGSP H257_00183 MPAVITKRRDDVDGSDNPSKGCMGDVESLNPLDIALGPVIGNGA FSTVFSATYKGQRVALKRQAYGAHILRELSILKQIDHPSLLRYIGSCEYTHQDTKEVW ILSEFVGGGDVSKYLKGKKSPHLTWKETVQIALDAADGLRYMHEKGIIHRDVKAANML LDEHLRIRLCDFGFAREVASDNNPPPPQDPSIDCGLPKRERRMSLCGTDTYMPPEMLF EEDYNESADIFSYGIVLMELLCRRHVNEDGFLKRQPAKNFAIDMDEFRAGVRPTCPSS LVLLAENCTAFEPTNRPTAREVVEWLEDLQKDLDINQKQQQLMLRKASSVDSFYDDNE SESLSSAHVDTVVDDRAGGHDDGSWISTHHHEDELHFDETSAPAYAGPLLMAHGPLRR WRHRWIVLQDSTLSIFKNLKHFQSMNDQGTPKLDATPLAGCRVKQKPANRRWTLEHAA VGGVKWTFRASTAHELQLWVAMLTRAIHVADYLAGQELANGESPPPPVDPTDEIYTWL DSLDLACYFQTFKSKGFATLDYLRETGLGDDDFNFLNVHDASHRQRLARAALVLRGEE EEDVVVG H257_00184 MPSMTHDSSRSERHPPTNSRPSVIAKVLLSTSATPAVQPTSSAP SFGLHERQHRSRPPSIVTSKMYTSPGGQYHDRPIPAIPAKFDLSSPRQDMPSYLQPDT SSMLATISRCATFSTSIKPPDVPNKSRENSTSASTAAVEAAAVGVAALEREIERMKIE SRFRQIAYDQLAAKHQTLVLRSANMCSQSTVTDCIDQVAQGTNTDVDYEREMSKLTTK ISSFQFLQEVDRNLMESMRRDHTTQQSDFLAAKASLETRLQDVTLDLQTAEAGRSTTL QQVHDLSTALASSQSSKAALQNGLEQLEGECRQATHSYAAYLRQQEVNAVEFNMTERL LKEERNDLERNYHEMKQQHQSAITNIRDMEHLTLRLQGDLTRVTVELEAQQKAMSLAQ SDSSALQAKCVAMSAAYDAVSAKLAATQNELTAQSQLMTQMHQTHNADSDMVATLTRQ LRELQDAKDLMQAVHERHTQACDDVTRALQAKCVAMATANDAVSETLTATQGELTRQL QVVAQMKVQHTADSDLVVASQRLLKDTKDRMDAAQARQVQAWDVERGCLKERVAILED SIEGLRLTNDAWQVKYQAMHCRVGDMTSQLEALQLQCADALSTSDDTNAKCARDMDHL ARTNVDLHDALVALTAQHEANCNHYDLAVERIEALEVAGAQTTVKLNLAACHREMLVQ TLIKLDDQWTQALALASQNAQTEGAAAVAAKEKQCAELRDQIVSMDAMLHSSTELNDA LARKCDDLEAQCRVADAFKDSCHELLARCDSQDAWFDAVDRVQLPPTPTLNEPVLSPT METQPTDDIFHGSYEVVAEIAPMEMIEKQDDGRIVAVVVGAYRVQAGLVSAQEDGWSL PMLQWEVDQHLCKVEHAVSPSSSKIVRRHSLCIHRSHSPDEDAPKTTTAFWANLFHHL GILESKAVQKMVVVHPCGWHDDDEGSAVRQLLTEHHVHRVIVTTTAQMALKAAGMSTG LVVEFCVDGTFVIPIYDDAIVAHAVIQVNVGEMTLLERTTSLVRVVSPAFAALAHVDQ MHLASAIIQRHGRVRYDMEPSQPTVIALNDGIGHPFRVMLDGELHLGPEVYFEDPSMD GTVPAALLASLDRCDPMYMDTFCGSVVLTGAMAKLPGLKRRLVKEVVLARPELLGQLF VDVPDASDMQPYWGACTYAKYAADDEWTQQERSHATTIL H257_00185 MADDDPHVSFGGDSFCNPPPPPPSSRRRVSHIPSVHFATAMHPS ATAFPSDDRHRGVATSSHHFATSIASGKSPDKSSSRIPPPTRCTSSMLTSSSMAPSSS HIVSAERFPPMSKEAASSKRSLLRSASTIDLYHRHRPPKAQDKDSDSGSSDGSTRQGS TAPTKLRFPLRKKDSPGKLTKTTSFFGAPPTTPQPSPEKADDAAHPHSSDFVTQQTLR VAKLLGEKEQMELEYSDLMWKYTQLEGELQRERGLRADGQKDLEAIVATLTQQLEAQT AAAQSWEAKFIDAMTASNSNAITMAEFEEKEGEITRLWQCVDSMSAQVEGIATLTSAR STTQDCTVAYMNNLLEGKTMEIDALRSSLYDTVTLCFLHELAQGHDTRVLHTFMASLG SDMAAFEWIKARFCSKIIDDGPFSKLENCVGTIVVHAGSSHVLAGIVDEDAGDGFVLP ALDFSVVKTASPVETCLECVEQADGVHTIALGGYLSRRRSLMEASTRKSMFSIVFDDL DVRPAQYKMVAVVKPLLSRKDKAKLSTMLLQEFGVAALMLPTTAEMVLRNCGLTTGIV VDIGVDATYVVPIYDSTIMTHAVVQLFVGGHHVVEHTAALFRASSPPFAALAPDMQMQ LASAIVQSKAHVAYDATKEGGGDHTSHPPVSFEIQKDMASWALAADMQLYLGPEVLFQ PSLHLQGSTWGGLHDALLRSVDLCDPIVQDELLGAVVLSGRVSKLPGLKRRLVKEVVV SRHELLGKVHAFVPDHIEYQSYWGACTLAQYASDDQWQLE H257_00185 MADDDPHVSFGGDSFCNPPPPPPSSRRRVSHIPSVHFATAMHPS ATAFPSDDRHRGVATSSHHFATSIASGKSPDKSSSRIPPPTRCTSSMLTSSSMAPSSS HIVSAERFPPMSKEAASSKRSLLRSASTIDLYHRHRPPKAQDKDSDSGSSDGSTRQGS TAPTKLRFPLRKKDSPGKLTKTTSFFGAPPTTPQPSPEKADDAAHPHSSDFVTQQTLR VAKLLGEKEQMELEYSDLMWKYTQLEGELQRERGLRADGQKDLEAIVATLTQQLEAQT AAAQSWEAKFIDAMTASNSNAITMAEFEEKEGEITRLWQCVDSMSAQVEGIATLTSAR STTQDCTVAYMNNLLEGKTMEIDALRSSLYDTVTLCFLHELAQGHDTRVLHTFMASLG SDMAAFEWIKARFCSKIIDDGPFSKLENCVGTIVVHAGSSHVLAGIVDEDAGDGFVLP ALDFSVVKTASPVETCLECVEQADGVHTIALGGYLSRRRSLMEASTRKSMFSIVFDDL DVRPAQYKMVAVVKPLLSRKDKAKLSTMLLQEFGVAALMLPTTAEMVLRNCGLTTGIV VDIGVDATYVVPIYDSTIMTHAVVQLFVGGHHVVEHTAALFRASSPPFAALAPDMQMQ LASAIVQSKAHVAYDATKEGGGDHTSHPPVSFEIQKDMASWALAADMQLYLGPEVLFQ PSLHLQGSYEIK H257_00185 MADDDPHVSFGGDSFCNPPPPPPSSRRRVSHIPSVHFATAMHPS ATAFPSDDRHRGVATSSHHFATSIASGKSPDKSSSRIPPPTRCTSSMLTSSSMAPSSS HIVSAERFPPMSKEAASSKRSLLRSASTIDLYHRHRPPKAQDKDSDSGSSDGSTRQGS TAPTKLRFPLRKKDSPGKLTKTTSFFGAPPTTPQPSPEKADDAAHPHSSDFVTQQTLR VAKLLGEKEQMELEYSDLMWKYTQLEGELQRERGLRADGQKDLEAIVATLTQQLEAQT AAAQSWEAKFIDAMTASNSNAITMAEFEEKEGEITRLWQCVDSMSAQVEGIATLTSAR STTQDCTVAYMNNLLEGKTMEIDALRSSLYDTVTLCFLHELAQGHDTRVLHTFMASLG SDMAAFEWIKARFCSKIIDDGPFSKLENCVGTIVVHAGSSHVLAGIVDEDAGDGFVLP ALDFSVVKTASPVETCLECVEQADGVHTIALGGYLSRRRSLMEASTRKSMFSIVFDDL DVRPAQYKMVAVVKPLLSRKDKAKLSTMLLQEFGVAALMLPTTAEMVLRNCGLTTGIV VDIGVDATYVVPIYDSTIMTHAVVQLFVGGHHVVEHTAALFRASSPPFAALAPDMQMQ LASAIVVLYLNSKMPYSPV H257_00185 MADDDPHVSFGGDSFCNPPPPPPSSRRRVSHIPSVHFATAMHPS ATAFPSDDRHRGVATSSHHFATSIASGKSPDKSSSRIPPPTRCTSSMLTSSSMAPSSS HIVSAERFPPMSKEAASSKRSLLRSASTIDLYHRHRPPKAQDKDSDSGSSDGSTRQGS TAPTKLRFPLRKKDSPGKLTKTTSFFGAPPTTPQPSPEKADDAAHPHSSDFVTQQTLR VAKLLGEKEQMELEYSDLMWKYTQLEGELQRERGLRADGQKDLEAIVATLTQQLEAQT AAAQSWEAKFIDAMTASNSNAITMAEFEEKEGEITRLWQCVDSMSAQVEGIATLTSAR STTQDCTVAYMNNLLEGKTMEIDALRSSLYDTVTLCFLHELAQGHDTRVLHTFMASLG SDMAAFEWIKARFCSKIIDDGPFSKLENCVGTIVVHAGSSHVLAGIVDEDAGDGFVLP ALDFSVVKTASPVETCLECVEQADGVHTIALGGYLSRRRSLMEASTRKSMFSIVFDDL DVRPAQYKMVAVVKPLLSRKDKAKLSTMLLQEFGVAALMLPTTAEMVLRNCGLTTGIV VDIGVDATYVVPIYDSTIMTHAVVQLFVGGHHVVEHTAALFRASSPPFAALAPDMQMQ LASAIVVLYLNSKMPYSPV H257_00187 MLRKTLQRSFSTATEATIDGFASMHAPKLQLFGLHAKYANALYS VASKENSLDAVEKELKSIHDEIVANQTFAEFLKDPTISRTEKKHDISKVMDAAKFSKP VSGLFTVLAENGRLGDAKNIIQTYNRLMRAHRGEVQAKITSADPLTKDQLALVQTALK ARVQKGETLLLETVVDPTILGGLKVQIADLFIDLSLATKIEKIELILQSRN H257_00186 MMLSTNERDFLLGSLKVNRKADVNTVQRADGRGLLEFRRMQMSL RRCLQEHQSECELQLGRTRVLAVVSGEVVAPFPDRPTEGFLQFQVELSPMGASIFEHK QAHKAWAAELSRIVERSIRDCKALDTEALAIVAGESVWAIKCTVHVLDHGGNLVDAVS IASIAALMHFRRPESLGNDSTLIPLSLHHIPVSISFSFIQLDPNDQDDPVTLLDPTDR EEKIVDGSITYTFNSFRELCAVHKIGGVSVDTNVVLRCANIAAAKAEELTDMLKEETD KADRVAIEQRRAYLRGKEFLDASVDALSVHKPAPLDVSGLDKAMEDIVDFKTLHAPIP LRADHATQAADVHELMQSLEQASEGLAITEAAREHFHQLTQTVHVTTKPVGTAAAAVD DNSDDDDEEATVMHVQSEFHAAKAPKEEPIEDVDMGSSSSDEDDLLAAIKKK H257_00188 MDNSDDLISLTLKQSGWTGDVAPMASISAPELVELVTWGFAQIE NAQEPLKFEFPKGVAQRHRLAASLAERLKALGYTHDCGYNHLLYPTEVDSRRMLQWII QKLPKVEKEVIDVDTPRLQFRQSMHHALTQWATLQYTPSVHHHRPRHRTSMLPAEWLK PGRANPARRGYIASKQPLITDSTLCPLHKQRSLLELNALALAHDSSRDALLHLLHTSE HAPSVPTAAFESIVQAAFESASQPAHQQDAHHLPTEHRSRALDKPNGPTAIPILASTT SSLSSAASTPSVDAGITDAKEASVDTRTQDELQAMDMELIQLRERCAANEAAWHDLQA ACEDQRNVNRQIEARSAVKMDDLRDVQQDVAIRHQTLDMLADASNNIAKLQDMCAKSS AHLMALAEEWESHRLPLVQELEAHAATQVHRVEQAKALEAETTLFQAETKALGETLVE KQAQRHLLERKYDAMAKGINRSMYTLRIMDIIKQVHKQKADIQKVVKDIRSVHKQINV SSEKLKRSEAIVEERLFQAAKDDKLRPEKKKLYIECYRLFTTIRELFDQLIACVAEAG KRDYQCRDLEIWISQMAHHVNLTNLDRIHQDMTQVKHENQALMHEIQAISMATP H257_00188 MDNSDDLISLTLKQSGWTGDVAPMASISAPELVELVTWGFAQIE NAQEPLKFEFPKGVAQRHRLAASLAERLKALGYTHDCGYNHLLYPTEVDSRRMLQWII QKLPKVEKEVIDVDTPRLQFRQSMHHALTQWATLQYTPSVHHHRPRHRTSMLPAEWLK PGRANPARRGYIASKQPLITDSTLCPLHKQRSLLELNALALAHDSSRDALLHLLHTSE HAPSVPTAAFESIVQAAFESASQPAHQQDAHHLPTEHRSRALDKPNGPTAIPILASTT SSLSSAASTPSVDAGITDAKEASVDTRTQDELQAMDMELIQLRERCAANEAAWHDLQA ACEDQRNVNRQIEARSAVKMDDLRDVQQDVAIRHQTLDMLADASNNIAKLQDMCAKSS AHLMALAEEWESHRLPLVQELEAHAATQVHRVEQAKALEAETTLFQAETKALGETLVE KQAQRHLLERKYDAMAKGINRSMYTLRIMDIIKQVHKQKADIQKVVKDIRSVHKQINV SSEKLKRSEAIVEERLFQAAKDDKLRPEKKKLYIECYRLFTTIRELFDQLIAYDCVPC YTYTSQDGTHVYVGAWRRPASETTNAATSRFGSPRWPTTST H257_00188 MDNSDDLISLTLKQSGWTGDVAPMASISAPELVELVTWGFAQIE NAQEPLKFEFPKGVAQRHRLAASLAERLKALGYTHDCGYNHLLYPTEVDSRRMLQWII QKLPKVEKEVIDVDTPRLQFRQSMHHALTQWATLQYTPSVHHHRPRHRTSMLPAEWLK PGRANPARRGYIASKQPLITDSTLCPLHKQRSLLELNALALAHDSSRDALLHLLHTSE HAPSVPTAAFESIVQAAFESASQPAHQQDAHHLPTEHRSRALDKPNGPTAIPILASTT SSLSSAASTPSVDAGITDAKEASVDTRTQDELQAMDMELIQLRERCAANEAAWHDLQA ACEDQRNVNRQIEARSAVKMDDLRDVQQDVAIRHQTLDMLADASNNIAKLQDMCAKSS AHLMALAEEWESHRLPLVQELEAHAATQVHRVEQAKALEAETTLFQAETKALGETLVE KQAQRHLLERKYDAMAKGINRSMYTLRIMDIIKQVHKQKADIQKVVKDIRSVHKQINV SSEKLKRSEAIVVRSIDRVGWGSRRGL H257_00188 MDNSDDLISLTLKQSGWTGDVAPMASISAPELVELVTWGFAQIE NAQEPLKFEFPKGVAQRHRLAASLAERLKALGYTHDCGYNHLLYPTEVDSRRMLQWII QKLPKVEKEVIDVDTPRLQFRQSMHHALTQWATLQYTPSVHHHRPRHRTSMLPAEWLK PGRANPARRGYIASKQPLITDSTLCPLHKQRSLLELNALALAHDSSRDALLHLLHTSE HAPSVPTAAFESIVQAAFESASQPAHQQDAHHLPTEHRSRALDKPNGPTAIPILASTT SSLSSAASTPSVDAGITDAKEASVDTRTQDELQAMDMELIQLRERCAANEAAWHDLQA ACEDQRNVNRQIEARSAVKMDDLRDVQQDVAIRHQTLDMLADASNNIAKLQDMCAKSS AHLMALAEEWESHRLPLVQELEAHAATQVHRVEQAKALEAETTLFQAETKALGETLVE KQAQRHLLERKYDAMAKGINRSMYTLRIMDIIKQVHKQKADIQKVVKDIRSVHKQINV SSEKLKRSEAIVVRSIDRVGWGSRRGL H257_00189 MAREKESHAATSSAATEPQEKSIRLRFLQFLDSRPWHYFLTTLL LLDFLGNCIVTSVTSTETFYKAAPTTRFMSSACAAMYLTDALGRLMSLRRSYFRNTAT IFDGLAVVLLFLALAGRFVWADDVTEVVLTQGAWTNKYELFHEVNTNQKEQYIAATYC LFVAARICLKPRARTFSKKLHKYANHDQLQINLLSLRAAIHRIPGISAASVDMMETDL ALICGRQDGCMVREELMQFLQKAMQYRPKELSVDAFLTHLRHVDATCTMQSTYGAFEV IKSTFRHWYLNLNTYKSLSRVRTTQQVDLWLTVLVVVLYACIVPAMAYCLQILTDQAF PWYMTLSPDFPYWDYSGQNIINVTKTITYKDEMANEDGKNPKENNLNIFKPLQSLQFG IMGILALAVPFVVCDYAMGYFQAKMIAKATQRMQDKLLSVILNQPIQFFNQRTDGDLN NLFQSDIARVNAMWQAVFWNLMQPIVTIAIGFGFLVYIQPVLGIMAFSFAAIVVSSGP QGLAASKSEEFGKKNAFVSAEYQNAIACQKVVRAYAIQSPLLAKFGASIQSLGVAQFG KDFWSGIVQIYIESAMFIFVAVMTACLSIKVYNGDITPGEFFASVTLISRVSTPVSVL GGFMRVAIGNASSLQRLDEVLLMDEIPKGSDHTAHPSPKLPPMQKNIALHHVHFHYSA DRPPILHDVDVVFKKGEYSCIVGPSGVSVDGADVKQFSKRSVRDQLAVVFQQGGILNG SILDNIRYGQPTASDDDCKRAAESAECHDFIQQLKDGYDTVVGQHALVNLSGGQLQRI CLARALVRKPSVLLLDEATSALDSNTEANIVATLERLTRTLDMAVISVTHRLDTTRNA DLIVVMDAGSIVEYGKFQELVAKPNSIFGNLMQKVDDTNDVADSRRPATLSFNTYTRN EDMGNMMETHQALMEYQRALSIRSDDDELSAWQVRKNTSSKRQLSRISHRSNQSTDDI ANGTNRDSYIVI H257_00189 MAREKESHAATSSAATEPQEKSIRLRFLQFLDSRPWHYFLTTLL LLDFLGNCIVTSVTSTETFYKAAPTTRFMSSACAAMYLTDALGRLMSLRRSYFRNTAT IFDGLAVVLLFLALAGRFVWADDVTEVVLTQGAWTNKYELFHEVNTNQKEQYIAATYC LFVAARICLKPRARTFSKKLHKYANHDQLQINLLSLRAAIHRIPGISAASVDMMETDL ALICGRQDGCMVREELMQFLQKAMQYRPKELSVDAFLTHLRHVDATCTMQSTYGAFEV IKSTFRHWTTQQVDLWLTVLVVVLYACIVPAMAYCLQILTDQAFPWYMTLSPDFPYWD YSGQNIINVTKTITYKDEMANEDGKNPKENNLNIFKPLQSLQFGIMGILALAVPFVVC DYAMGYFQAKMIAKATQRMQDKLLSVILNQPIQFFNQRTDGDLNNLFQSDIARVNAMW QAVFWNLMQPIVTIAIGFGFLVYIQPVLGIMAFSFAAIVVSSGPQGLAASKSEEFGKK NAFVSAEYQNAIACQKVVRAYAIQSPLLAKFGASIQSLGVAQFGKDFWSGIVQIYIES AMFIFVAVMTACLSIKVYNGDITPGEFFASVTLISRVSTPVSVLGGFMRVAIGNASSL QRLDEVLLMDEIPKGSDHTAHPSPKLPPMQKNIALHHVHFHYSADRPPILHDVDVVFK KGEYSCIVGPSGCGKSTLLGCLMQLHPVSAGQSVGGRRGRQAIFEAKCARPTGGRVSA RRDSEREHLGQHPVWPAHGLRRRLQTRGRVGGMPRFYPAAQGRVRHGRGAACAGEFEW RAAAADLLGARVGP H257_00190 MSNNKTRTTGVPKFLRHLYAILHTEDSSIMSWSMDGMCIQLFNV KRLEAEVRHGDSMLGLNRRVYMYSTL H257_00191 MPVEVQVLSVASTEMSPAIIVTEESQRYLFNAGEGLQRLCMEHR VRLAKLHHVCLTELTSSTVGGLPGLILTVSDTGKKGLNVFGPSGTKSFMRATRHFLHR PDFAMDIQDLTPSSAKVVHNESLSLQPVVVDDAYASTTTTSDTSPSHKRAKLMTTANP TTSVSYIGETPTQRGKFLIQNALALGVPKGPLCGKLHRGEDVVIQVDGVSVTVKSSDC VSSSVAGSAFAIVACPTVGTIDSLVQQPQFARYQQPNSVVSMTLLVHLGNTAVLGHPH YIQWVKSFGPHVHHILVNHPECPQWSVFRSSATLQTQLHHLFPSNFAAPHHEVAPVER VTVAFGDATIGLPLLKYILTPSAKQGLDRSLVMQPLDLPAIHMDTEQTLATAKVSLTH KEAPTITANDSDTVDGRVTFLGTGSAIPSKYRNVTSNLIAIGSSFLLLDAGEGCYGQL FRHVGGDDGKLKHLLENLHVVWISHNHADHHLGLVRLLSQRNPLLPPVAIIGPTAVFY WLQEYGRIDATVADKYLFENNTAYDIRHNPTKNLDPFGLHKPTIDGILATYFGIATFE CVPVKHCFQSYAVVFTLMNGFKFVFSGDCRPSDLLVEHAMDAHVLIHEATFEESMVDE AKKKDHSTTEEAIDVGIRANAKHILLTHFSQRYPKMPNLSADTLERVMTALDLMSLPL DACHVPNMMLACQALMPSGSDGADEDQ H257_00191 MPVEVQVLSVASTEMSPAIIVTEESQRYLFNAGEGLQRLCMEHR VRLAKLHHVCLTELTSSTVGGLPGLILTVSDTGKKGLNVFGPSGTKSFMRATRHFLHR PDFAMDIQDLTPSSAKVVHNESLSLQPVVVDDAYASTTTTSDTSPSHKRAKLMTTANP TTSVSYIGETPTQRGKFLIQNALALGVPKGPLCGKLHRGEDVVIQVDGVSVTVKSSDC VSSSVAGSAFAIVACPTVGTIDSLVQQPQFARYQQPNSVVSMTLLVHLGNTAVLGHPH YIQWVKSFGPHVHHILVNHPECPQWSVFRSSATLQTQLHHLFPSNFAAPHHEVAPVER VTVAFGDATIGLPLLKYILTPSAKQGLDRSLVMQPLDLPAIHMDTEQTLATAKVSLTH KEAPTITANDSDTVDGRVTFLGTGSAIPSKYRNVTSNLIAIGSSFLLLDAGEGCYGQL FRHVGGDDGKLKHLLENLHVVWISHNHADHHLGLVRLLSQRNPLLPPVAIIGPTAVFY WLQEYGRIDATVADKYLFENNTAYDIRHNPTKNLDPFGLHKPTIDGILATYFGIATFE CVPVKHCFQSYAVVFTLMNGFKFVFSGDCRPSDLLVEHAMDAHVLIHEATFEESMVDE AKKKDHSTTEEAIDVGIRANAKHILLTHFRYNVGPLSLYRLYIQAKVCSQRYPKMPNL SADTLERVMTALDLMSLPLDACHVPNMMLACQALMPSGSDGADEDQ H257_00191 MPVEVQVLSVASTEMSPAIIVTEESQRYLFNAGEGLQRLCMEHR VRLAKLHHVCLTELTSSTVGGLPGLILTVSDTGKKGLNVFGPSGTKSFMRATRHFLHR PDFAMDIQDLTPSSAKVVHNESLSLQPVVVDDAYASTTTTSDTSPSHKRAKLMTTANP TTSVSYIGETPTQRGKFLIQNALALGVPKGPLCGKLHRGEDVVIQVDGVSVTVKSSDC VSSSVAGSAFAIVACPTVGTIDSLVQQPQFARYQQPNSVVSMTLLVHLGNTAVLGHPH YIQWVKSFGPHVHHILVNHPECPQWSVFRSSATLQTQLHHLFPSNFAAPHHEVAPVER VTVAFGDATIGLPLLKYILTPSAKQGLDRSLVMQPLDLPAIHMDTEQTLATAKVSLTH KEAPTITANDSDTVDGRVTFLGTGSAIPSKYRNVTSNLIAIGSSFLLLDAGEGCYGQL FRHVGGDDGKLKHLLENLHVVWISHNHADHHLGLVRLLSQRNPLLPPVAIIGPTAVFY WLQEYGRIDATVADKYLFENNTAYDIRHNPTKNLDPFGLHKPTIDGILATYFGIAT H257_00193 MAPTRSFAKHVLVALMCVAFVVAQTASTTIPPSKVDQVLNKTGD QIIDELAGGDSATARWLKNIIAAIAILATLAVTFAGYKLIYPVLFIASFFLGAVFSYD ILLQVFANESPALVGFFIGGLIAAILVVYFYDVGIFAVGAVAGGAAAFLLVTSVFASV GGVNHIYYHYGFLIVGSLLGGLAAIYLEKPALVIATSFGGATIFVGALGHFIGGYPTV QQLTDVEATASFIEAVPTSWWGYLGGTVALFLLGAYVQFNHTARNVDHIRGDSVETKP LRSAYQTV H257_00192 MAPQSELRQRAAAAGGTESKDLKKTFTWQEVAKHNHAGSAWMIV RNKVYDVTSWVDKHPGGAEMVTLHSGREATDTFDSYHPFSDRAEKVLAKYEIGTLAGP AEFPVYKPDTGFYRECRKRVGEYFDKNKINPQAGFAGFWRMIVVMAIAAATYYGMHFS TILAVQVAAAAIFGVCQALPLLHVMHDSSHAAFTKQPFIREFTGRLFMDWFAGASMVS WLNQHVVGHHIYTNVAGADPDLPVDFEGDVRRIVTRQVLKPMYRFQHLYLPPLYGLLG LKFRIQDFTDTFVSLTNGPIRVNPPSLSQWVQLILSKSFWVFYRVYIPLAVFHIPLSS FLPLFLVAELFTGWHLAFNFQVSHVSTECTYPNGSEVKTVLDDEWAVSQVKSSLDYSH GSWLTTFLAGALNYQVVHHLFPSVSQYHYPSIAPIIMQVCKEYNVRYPILPTFTAAFG AHLDHLKEMGRQGVPVSVHMG H257_00194 MLSSKLPNPKVVVEWCPHDSGLFAVGSDALTLFECQVDRDVSSP VHASGLSVRPSLEPLSPRKTTQRRSKDFFRSLQTDAEVSQVRSMQWCPLPQQLIAAGV GTGKVVLSDFTQPVVELLPPRTSASMTMSGKAPRPSMHGTIGCNTVSWNQQNPHHIAA GFEKVRADCCAFVWDLTTQASISSVTSTPLDLLDTTKPSSYRGHGYSSPQPQPRPTLT NELANSEAVVALDWLPSEPSCLATGTGFKWLRIYDIRAKTRFMEFSAHTKGVYGVVFD VHRPHLLATYSDDPKEPIKVWDIRSIQGARHENEPVVTIPVSKLVSQIAWSPTQAGIL ASTALDDRAISLWDVEHQIQLATSTPPSPSVLSKPFKRRSTHEPVFAFAWQPGSTSVD EPPTSWNRMLFSTIGGKVESLSVHDTMPLALSSQHALGFACGTLLFADRVDDDIPNDI AAHMMRRVRAGYSMNVLTSLQLFIKDDPSTRDLRFVWQWVDQVESLRRLSVAQTEIPS LESRGGMAIQQRAPLRAWPVDPQALVSAGVQHLLGLTTVPSTSVPYETSLRDPVLGCL VYDSLGRRTALLACQWDPEGSQSTTSLHFSNMPRSASGKVGLNQAPGGSWHSLYDDNT DGPTSSTNNNVSSHHTALPTLQSLMSQCEASLDFDRAAALAVFHGDVQAAVAVLQRGA ECPTPSPTRDVRQLVAMAVAGYSIGTTAHNPLWSSMCQQLLRRPEIQNPGTPRYLHAL CSFLVTARELSAVTHAAALPTRPPRNSTVPNSLAFRRPSATPTTSSPEDPPLFTAILH DESLLLSDRTAFACRYLPLDALSRFIDVCVETCTRQGNLQGLLLVGLEPSILQEYLDR TGDIQTVALLVARVAATSEDTALVYAKWIAMYRDLLNQWQLFHQRALFDVGRTQLEGQ LNGFRDVALALNHPMATETRAVMSSPPPPQLYVRCNFCGSSLSLSSLLRVGAGDWLLK AKPKLNNCPSCKRPLPQCALCLLPFGTLNPYLELAMRKGQDKKHALMEHDSATSSPST PDNLSDLSSIPFVEWFTWCQTCKHGGHAHHMADWFATHEVCPVSDCDCTCKRLDVNST VGCAPSGPIAPLDRTAGPPTATTAASPLTANRVPDNHIKSPNLPALTSSLSAINLSGL SF H257_00194 MLSSKLPNPKVVVEWCPHDSGLFAVGSDALTLFECQVDRDVSSP VHASGLSVRPSLEPLSPRKTTQRRSKDFFRSLQTDAEVSQVRSMQWCPLPQQLIAAGV GTGKVVLSDFTQPVVELLPPRTSASMTMSGKAPRPSMHGTIGCNTVSWNQQNPHHIAA GFEKVRADCCAFVWDLTTQASISSVTSTPLDLLDTTKPSSYRGHGYSSPQPQPRPTLT NELANSEAVVALDWLPSEPSCLATGTGFKWLRIYDIRAKTRFMEFSAHTKGVYGVVFD VHRPHLLATYSDDPKEPIKVWDIRSIQGARHENEPVVTIPVSKLVSQIAWSPTQAGIL ASTALDDRAISLWDVEHQIQLATSTPPSPSVLSKPFKRRSTHEPVFAFAWQPGSTSVD EPPTSWNRMLFSTIGGKVESLSVHDTMPLALSSQHALGFACGTLLFADRVDDDIPNDI AAHMMRRVRAGYSMNVLTSLQLFIKDDPSTRDLRFVWQWVDQVESLRRLSVAQTEIPS LESRGGMAIQQRAPLRAWPVDPQALVSAGVQHLLGLTTVPSTSVPYETSLRDPVLGCL VYDSLGRRTALLACQWDPEGSQSTTSLHFSNMPRSASGKVGLNQAPGGSWHSLYDDNT DGPTSSTNNNVSSHHTALPTLQSLMSQCEASLDFDRAAALAVFHGDVQAAVAVLQRGA ECPTPSPTRDVRQLVAMAVAGYSIGTTAHNPLWSSMCQQLLRRPEIQNPGTPRYLHAL CSFLVTARELSAVTHAAALPTRPPRNSTVPNSLAFRRPSATPTTSSPEDPPLFTAILH DESLLLSDRTAFACRYLPLDALSRFIDVCVETCTRQGNLQGLLLVGLEPSILQEYLDR TGDIQTVALLVARVAATSEDTALVYAKWIAMYRDLLNQWQLFHQRALFDVGRTQLEGQ LNGFRDVALALNHPMATETRAVMSSPPPPQLYVRCNFCGSSLSLSSLLRVGAGDWLLK AKPKLNNCPSCKRPLPQCALCLLPFGTLNPYLELAMRKGQDKKHALMEHDSATSSPST PDNLSDLSSIPFVEWFTWCQTCKHGELSYYSIKMLIWGWFLDL H257_00195 MTTASTWTTPPKDWTETPLTQTTLSDDLTNIHSRSFTVLKALER LHLLHPTPPLHKHKHKLPDHVDPHVQLVLHIVGADFREGNEVSETLRIFDQLVAAFQS QGQTNTWDELVLVFIGPNVARKLHGKNEHVSLLNGKSVRVQYVAELWDEYLRSRAYIS PAVLFCFNAGVWGYDEWLPTFQRMVQEAPHAPIVVTSYNECEAIDDSDAIADVEVPIS WQWTMEANPFASRSARPSHHDRVLHENAYWQCFGAK H257_00196 MDPQEPTNPKPRLKPKLNLNVSQLPPRRFTATSGNRSSSSAPAS NASSTASVPTPAPAASFQTPLRTQSAADVRSAVIRSSHFKNPPPAPSDQRVASVYNVS DASPSSGQFNTTPHRSISSKYGLVDPLTPSSRLTLDTVVAQGRSQETFYPSSEAPPST SFQPDEPLSPNSALLNTLDAVSQLSIGSSKTSSYFENPPARSSTFTPSASLNLSSSSS SYMKQRTTTFTTTQASITSSNQPTHVLRLGTGENGNGGDILYLSTRIRIRAGNIHCLR CRTDASSSKLISTVDANGTGFDPDEIFVLVNVTLRSDEGRVKYSDTVAIYCISGASKG RFLSVDGSRTVTTKKGPIMSNAEKWRLGERDDLKHSVHHLGDSSGLDESLATLAPAVR NAQRTLQSGDRVCLKAYSGPYVLCAEKGHVGLREVGLELGSTLEWEITKSNIPYNPPW NRDRVFLTGAFVLEPAHEDVAEKPVKSLQHLPLSLQERALVDDILYILLGIEGQYIKK QEIKRPSTSAHFHIQVPTRVSRDVSADMYDLLHFVIDPALTDPSLAALARKIVVLGEF YIHVTHYIETNTRYEYGQVCHAFCAALKVLVREYTVVVAQLEHLALQQGALNLSKIWF YIQPSLRAMEFLSTLVRSCLGHHGGALLSVIAQLPTSGDAKATSVLNFLLEKASVPYL KMLEKWIYYGDLHDPYDEFMVASDDTLVKEELGEDPWSKYWEQRYTLRESHVPLFLNR FSTKILTSGKYLNVLRTCGRHVNCPFAAVITHAESVRKYDELIDNAHGFASHALVDML RTEQDLMKRLRSIKHYFLMDQGDFFVDFMDLAETELNLRADKLLASRLESLLHLSLQS STCSSDPYKDDLVCVLSPHDLISQMEAIHERSQKVGRAPLSSSIASSLNDPGYKAIDA LTLDYKVSWPLSLVISSGALNKYQMIFRHLFFCKHVERRLCDAWRNHQSTKELALRSA LMTSYCVRQRMLHFQQNFVYYMMFEVISPRWHALEHQLGTEISTVDDILNCHRDFLDQ CLKECLLTDPDLLRVLTKLMTVCLTFANGIDRFTKPYRLDEEAIRNEREAERDRRADK KARDEADAMLNPSQKLKSRRTLAAAVPRRSSGVDLRRARLKELSDDVRQALTGDMSPF VRMTAELEVQFDTLLAEFMQQLLHRSHLQYNSHLSNLCTRLDYNGFYTQ H257_00196 MDPQEPTNPKPRLKPKLNLNVSQLPPRRFTATSGNRSSSSAPAS NASSTASVPTPAPAASFQTPLRTQSAADVRSAVIRSSHFKNPPPAPSDQRVASVYNVS DASPSSGQFNTTPHRSISSKYGLVDPLTPSSRLTLDTVVAQGRSQETFYPSSEAPPST SFQPDEPLSPNSALLNTLDAVSQLSIGSSKTSSYFENPPARSSTFTPSASLNLSSSSS SYMKQRTTTFTTTQASITSSNQPTHVLRLGTGENGNGGDILYLSTRIRIRAGNIHCLR CRTDASSSKLISTVDANGTGFDPDEIFVLVNVTLRSDEGRVKYSDTVAIYCISGASKG RFLSVDGSRTVTTKKGPIMSNAEKWRLGERDDLKHSVHHLGDSSGLDESLATLAPAVR NAQRTLQSGDRVCLKAYSGPYVLCAEKGHVGLREVGLELGSTLEWEITKSNIPYNPPW NRDRVFLTGAFVLEPAHEDVAEKPVKSLQHLPLSLQERALVDDILYILLGIEGQYIKK QEIKRPSTSAHFHIQVPTRVSRDVSADMYDLLHFVIDPALTDPSLAALARKIVVLGEF YIHVTHYIETNTRYEYGQVCHAFCAALKVLVREYTVVVAQLEHLALQQGALNLSKIWF YIQPSLRAMEFLSTLVRSCLGHHGGALLSVIAQLPTSGDAKATSVLNFLLEKASVPYL KMLEKWIYYGDLHDPYDEFMVASDDTLVKEELGEDPWSKYWEQRYTLRESHVPLFLNR FSTKILTSGKYLNVLRTCGRHVNCPFAAVITHAESVRKYDELIDNAHGFASHALVDML RTEQDLMKRLRSIKHYFLMDQGDFFVDFMDLAETELNLRADKLLASRLESLLHLSLQS STCSSDPYKDDLVCVLSPHDLISQMEAIHERSQKVGRAPLSSSIASSLNDPGTYIYIY SFCCICISMLYIYT H257_00197 MSFFTPPLKQKSAHAQAHDAVSFTLSQGKKKRSMKQLNMADDDE NDPKVFTAINSLGSIQDDSSSGSDSECEVFFDAKPSQADAVHAHTPNNFALDFQVSSV AVDRAGRFVVAGFNNGTIRLYPLSGCSTAPVVVGSLETDATASIDENKLVFRKGVVLE HISARGMYTQLRVNVVIPDDGRFIFAGVYRGSTEILVIDIDSIRLPTDVVGVPTAEVN THSYSDAKLRGFGAVRAMPSSQPSSLVTTEYQVLCGLGIKNLHLWRFYWDPTCRDDDS KWTWQCIFDRQTNGISLEYLAFGAAPNQIISKSEHQSIRVWTIDETADAMTFDFDDIK QTQDTVQVCGQYAFGGQERLAMVSLDTAQRIELDLPSSVAPTARPTLNNRKRQLRTVT LLCGVPDGITLGVCSDGSVFAHDMAGESGLGIHCPPAPVTGYDTFYKDQPSPLLSLVP CDGSTTDDDDGKQWKVVMANSTQLQVLSLNEFLHLPPTTRVVPAPKPPKSAPQRKKQP SKKRVPPVQLDQQVDSTKVKKAAPATVQSKPPQPTVPQWSYNVVVTSTPDPKKRKDAT PTLLLTTPEPKKRKQQPVVNSDLIVNTSAVKPSVVTAEPREEHTKPAITPHTSPEKPP PVVVPRIVQTSCLYRTPEPKKSKAAQVLSPVVSISPSSSPCVRTPLPSTPPATNNWSP FVIPKKTKAPAFTLDEPPQSIVTVPQQEEEPTAPKSTGNAPVGVQCPSPLLPHVEPVI STPATAREPKLSPTVASLATTPHPIIPHDMSAASPPPPHSDHTVNTCGVRRRSLLMQV TTLQLDDHLDAKRPLPTDVAVATTQRFAKERSDLMSSFQGAHRQLVRLVCTQMHRQQD PVSMDRIDLDAVREKFQLDVRNLLGMQQLEADALHASHVMQWSVLGLDGFDMPRLVPT FPVPRLFG H257_00198 MLRPGWTRGIAAVWYMAMNVGAQKDVTTFLVIGPSANQTETSQS INAVTAHDSMAFTLTMQPYSLPVQSNDTQWLIPPGDSTPSNDTTSKYKSFDLNRDSGD NTQVFVQVIILDESQLIPNSNCSNATSSCDWATSDASVTDQLTWLNSTLSQSQAQWLF VVGQRPLCYRDRKGLYQNMHATLLPLFTAYQVDAYFGMDDLVSQVVHLPVGSSPFFTS YTYGAATSMPYMDMLASCESVYRVPAGNTTYTTHELSMNTMDVTMFNSQGGVVYRTMQ TRLRKQFEGKGSVGPPEFVPWLTGGAVILTVVVAIVYGLKFKGKRIDYRYNPCFKRQV AQAKVDTDGSGSRSTNDLPTDADDEEEEDEFDMDFSSDDEETSVVSGTTL H257_00199 MLGWRWILALCDCCCRGHEKEVLGTPHGATITDDDWSDDDEEED VTFTAQAAPGMFAFTAGNVKEMTPL H257_00200 MTTFLHIVRWLWVLIMVCVHVVDANSLIVISQPTTITAGDAFNP PPVVQLIDDTGLVLTSINIGAVAVSIGTNPSIFGQLSGITGLSFPIVAGVAICTGLSI NLVGSGYTLQFASLFHGLQTESSPFDILLGPPFKLSMYTYIGVAQGGTPFLPQPIVSI VDKGGNIVDSVSQGTVSVSILSNPVGGILTPSSSYTVWIYQGLGKFFDLKIDKAGGPY VLRFLADSAVVLPGGNKFDTFPFTVSVGPAKTMVISEHPIAAFGGEAFTVQPTITLID AGLNVLGTQTNMQVVATIYSNPSKGTLLPVVETRSNIIDGLASFKNLRIDAAGNNYEL RFAVKTPDARGIYVETGLFVVGPSFNVYIGTLFTVAVVRPPQSAIADGQPIYKQPILE LRDRGANVIVSENLALVTVSMVPSLALYNTLILSTASPVPATVVDVTLLPSTFTSPFG AGTDLVISISFSQEVIATGDVQLQLNSGVGATGRCTTLLTWTRSLSFTYGITPGHAAT ALNYVNTVALVLVAGTINDRLGNSASILLPDPTTGGLRPVVAVETTAPSIVSVGCGGA TVAGTFGPGQDLVLAVSFSAPVSILGIPPAAVPVPTLTLNVLVPQVATYISGNGTQVL LFRYSVGLMDTLTPSALLDVTASVNMNGASLRRAGTTPNQAAEFTMPVNALQRLPSQC AIAIDSTAVTVDAALGVMSPTAPGVYTPGDVITIVVPFTKPVVVSGIPLLFLSTAQNA IYAGGSGTTQLTFRYTVAAGDISLDLNYASDNALLLNGGSIRRYVTAGSAIADVNPSL AAVTAATKSLADQNAIQIDGRTPTILNVALAAPATKTRGDSVTISITFSYAVVVDGGP PRLTLNTNRRALYTSGSGTATLLFTYQVLLGDSTPSLAYSAVAALGLNGGTIRKKSAT PTLAADLSLPWPPNLLNGPVVVDPNIAYVTTVTGFVCDLPAADYGLNQVLQISVTFSD IVQVIGSVQLGLQTQAIQYASGSGTSTLVFLYVVRANDITPSLDIASTAPFSCAGATT CSIVNANFAAVNLDCTGLTLQPPGISISTDAPTVVSIAAITTAPTINSNSFVVGDIID ILMVVSKKVHVDPSPDVFPDKVPLLAMSTGRTGAVAHFIGYGIDRTQLIFRYIVQVGD MTSNLMYVGVNSLSLNFNQATIKRLTTNPTTNMNLLLPTPQALGVALKIDTSRTPFVT NVLATTPDGTYFCGDLITIQVFYSEHVVVTGQPVLKLDLGKNDRNADFVGGSGTPVLT FQYAVGQDDTSRDLRYIDVKSLFVPIGASILHRASIPTVKANNRLPFPGTHGSLSANN NLVVRGTSPYITDISFVTVNGTYTVNNVIQVAVTFTTTVTVTGVPYLELRTGVVLRRA VYLPAGPNTKLVFQYRVETGDVSSKLDYSSTSALTLNGGAIMTTPTLAGRSPVQPANP QLNPPGGALSGVRIIQASLGRVSYIDLGIDTMGLKYVIYFSTPPDIVASVMFDVTYSA VWEVRNSPINVHNRGDKTGWSVDVNGAVAIVGSAGAMAKQYNIQEITAWGSATSYVDE VQYVQTTCVHRDAIQVLVSSVAPGETVGGYFSLMYGAVGPTRRLAADFDAVQLEVALQ VDFGLPDSGVEVSREQNTYCGCTNAYQWTITFHTQGDVPTLVARNYLTGNGATIGDGK GGASAMVITRPPVVSGQFALRYGTITTQNMPSNVDAATMAARLALDLSLPIRSVARSE PTIQNGYTWSITFSSSSTLFNINELQPAPVFLTGNQVLLTVTTVREGQAPLYGNFRLG LGNEATVDIPVTAPDTTMKAALESLSQIKTVHVARSPQNPFGGYTWTVTFIEINTLTI YGLVLSNLGTLPPLTPITLVHNVPILLGSDAAILVDYAGVNPSLYSSAVQGNAPGESA GSATVFVPYNKQWVQSALLVGSDTHVGDQFGASVALSLTGAQAVVGAPYAVYKGAMEQ QALSCIADGGTFTLAFLGLVSAPIAFNAPPAALQATIASLLKVQAQFIQVAPYATLCT GAVIVITLATPDLSDPTGNIPELVADASLLTNGGATSGTVAIQSVVQGSLREDGPKAK GTPCGGAYFFSSPSAGVWTQVVKVTPTQGSETLSSEFGASVSLEGNFAAVGAPGAGYA KGEVYVYQFNGVTWSLYQKLTVSPYVSVQGDRFGDAVKVSDTTIVVGAPGYAGTVGAV FVFQLVNGVFVNRQQLQPGDLAIGDYFGSAVAVDMQTSTIAVSSKWHNRRGAVYVYYS PDLFFSLQQIVQGSDLRQHDGFGQSVAIVKNVLVVGANERFNSNRDLTIRKAIQTITT SASSSLGNTFRVGFRMVTDGVEVDYVYSKPIPFDATATTVQQRLQSDLNTGAVVVTRL GPDVNQGYSWSVTFSGSTSDVPKFRVDGSDLTGSNAKITCTLDVPVPPVVRSNVYVFL RTGTSWQEQATMRPTNKQYYSMFGNAVSLSRNGYHAIVGAYNADTLFSAINSGAAYLF DMGFLDFRFSSPTYSVLEGASVAIPVQRCGAFGTSCIMKTTTTLEFVDFDTGDAITDM AGQNRVPALELKYIGPYQQLCILDVTADTPGAQYYPNVMGPEPYPLVPKGRFLMPSMI GTAHSRAQSYGSTTYRSVWVDAQFDYLGVSDYTPSDGELSFPPQTISSTFVVSTTDDS VYEYPDETINIRLSVPGMWPTFPSQLWSKITILDNGDGGMGTASYSARLTGDVTPGSR MGESVAFFDGVNLAAVGAPLATVGGVECGVVFVYNSRSGVWTLEAKIIPEPCTPGRRF GTSVAIDGSYGTLRLVVGSPGPPTPSAVVYRRSSALRVWSVEVTFSEPQAISITDNYA GRGAVSIHGSVIVVGASGLEVAFVYTYTTAAGWLPSVQLRANDYVVDQVNLLSLTHVF QFGASVAINRRAIVVGAPKANYGPQRVLDVDFWSMGAAYMYYLPAQVQVVTLVGDSLL TSGEFTLSNGVTTTNPLSYQITATEMVAVLKALVSDIEVSRTGDIVTGFAWSITFISE VTNQPLLVPAWRGNGCSICIAFSSGYAANPTGQVAVVEASRLGTWTFHTQLTASDANH ADRFGHSVALDGNVVIVGAYTSSALTTTTWNFETGDLTGWIKTGTAFDTQPTFGDNVM ARGDVYKSYETSKGTIQHEGRYWIGTFESRPGAGSAQRVSPFTCSFANDDCKTAMYKE PGSSVAGTTQGDHPQGTLSSQVFTIGGSRIRFRLGGGCNPATIYVELLVDGLSVRRET GKCDERMRVVAWNVTMYKGKSAIVRIVDASSTDLWGHINVDDFQFDWSVEQASTGTAG VAYVFQRQATLTSFTPCNGLPKLQCFWVMQSRLVASDKRPQDQFGFSVAVDDSVGTAV IGAYHQPGVDLNNSIVLAENTGSVYLFSRIDAVKDGAGNVLSPPKWLGKETAKFQSSD KTPAAQWGYAVALNGARLAVGSPGVGGGAGCGYVFDTRFLQISFAVPEVGVLENVASG QVIVVVIRSGDLSAPLTIGYATSDRSAVGIDSDWYTACLGMMIQNRVRCGDYVQTRGE LTFNVGESNKVIAVSIVDDWCYEQYPKYIALRLNVLGGDVLLGEQFAMVIRIDDDDFG RDTC H257_00201 MQMYLCLGSRHEGDIAYALSIIYAFLDSPREISGDICCDNKSIG STNEEGDGTVFVQDTKSNVIPMEEVNDWDDVVESEFSERQPCGCQNSTNTLIMELQLK DLEIQRLKNQVAEVKARYRRDTRQLKREVEAIQSKCVNDEQLRQKQSRILKASIQKAS ATLSYFLARQPTQIPDV H257_00203 MFHRLTAHARSHLPTAAAAMGVGLGMGMMNSSRPSEAQSGVPSS LIIPEKQPKIMNGLKIFSGNANTELASKVAELVGVNLGKITVERFADGEVNVMVHENV RGKDVYIVQPTCVPVNENLMELLLMVSTMRRASARRITAVIPYYGYARQDRKMAARVP ISAADVARLLEAMGVDRVIAVDLHCGQIQGFFGPRVPVDNLDGGLVGVSYFGNMELVN PVVVSPDAGGVARAKKFREWLVGKEGIENVGLAMIIKQRIKANEIDRMDLVGNVSGSD CIIVDDMIDTAGTLCKAAQHLSDHGARNVYAFASHGLFNGPASERITASALKQVVVVN TTPLPANCVGNEKIKQLDVAPLLAQAILNIHGKKSVSQLFN H257_00202 MAVEDFRFPATVAFATLIPLLWILGVLLTPEKQHGVFALSIASV ILEHIRPWAYITAPFYHQHLWEVVLIVPVTLYLGRRVEIALGTMSFVRLICFVSVVST GLLFCDMFALYIVFRSPFFLRTGVSGFTGGLVAMLVALVKENPVQPLGIPKLPCRYYP LLLTVLCVAMSVGAVLTKNEILIVGAGPYAVSGLYFGWVYLRFVAKNPDGSVGDESDA FSLTVLFPAFLKPSLAPLFDFCFNVSKLCGFFQHRQGHNATSGSSLAKAALASDPVTE RRKARAMKALDEKLAKLAVMPSSVPHALLADDDDDDTSAAFTV H257_00202 MGVHHCSILPPTPVGGGADCASDVVFGPSRGNRVGDHVICAFDL LCLGRLDGAALLRHVCAVHCVSQPVLLVRSIVITIFPFPHLTFIPVRRTGVSGFTGGL VAMLVALVKENPVQPLGIPKLPCRYYPLLLTVLCVAMSVGAVLTKNEILIVGAGPYAV SGLYFGWVYLRFVAKNPDGSVGDESDAFSLTVLFPAFLKPSLAPLFDFCFNVSKLCGF FQHRQGHNATSGSSLAKAALASDPVTERRKARAMKALDEKLAKLAVMPSSVPHALLAD DDDDDTSAAFTV H257_00202 MAVEDFRFPATVAFATLIPLLWILGVLLTPEKQHGVFALSIASV ILEHIRPWAYITAPFYHQHLWEVVLIVPVTLYLGRRVEIALGTMSFVRLICFVSVVST GLLFCDMFALYIVFRSPFFLRTGVSGFTGGLVAMLVALVKENPVQPLGIPKLPCRYYP LLLTVLCVAMSVGAVLTKNEILIVGAGPYAVSGLYFGWVYLRFVAKNPDGSVGDESDA FSLTVLFPAFLKPSLAPLFDFCFNVSKLCGFFQHRQGHNATSGSSLAKAALASDPVTE RRKYSSSTSS H257_00202 MGVHHCSILPPTPVGGGADCASDVVFGPSRGNRVGDHVICAFDL LCLGRLDGAALLRHVCAVHCVSQPVLLVRSIVITIFPFPHLTFIPVRRTGVSGFTGGL VAMLVALVKENPVQPLGIPKLPCRYYPLLLTVLCVAMSVGAVLTKNEILIVGAGPYAV SGLYFGWVYLRFVAKNPDGSVGDESDAFSLTVLFPAFLKPSLAPLFDFCFNVSKLCGF FQHRQGHNATSGSSLAKAALASDPVTERRKYSSSTSS H257_00202 MAVEDFRFPATVAFATLIPLLWILGVLLTPEKQHGVFALSIASV ILEHIRPWAYITAPFYHQHLWEVVLIVPVTLYLGRRVEIALGTMSFVRLICFVSVVST GLLFCDMFALYIVFRSPFFLRTGVSGFTGGLVAMLVALVKENPVQPLGIPKLPCRYYP LLLTVLCVAMSVGAVLTKNEILIVGAGPYAVSGLYFGWVYLRFVAKNPDGSVGDESDA FSLTVLFPAFLKPSLAPLFDFCFNVSKLCGFFQHRQGHNATSGSSLVSLFMQVL H257_00202 MAVEDFRFPATVAFATLIPLLWILGVLLTPEKQHGVFALSIASV ILEHIRPWAYITAPFYHQHLWEVVLIVPVTLYLGRRVEIALGTMSFVRLICFVSVVST GLLFCDMFALYIVFRSPFFLRTGVSGFTGGLVAMLVALVKENPVQPLGIPKLPCRYYP LLLTVLCVAMSVGAVLTKNEILIVGAGPYAVSGLYFGWVYLRFVAKNPDGSVGDESDA FSLTVLFPAFLKPSLAPLFDFCFNVSKLCGFFQHRQGHNATSGSSLVSLFMQVL H257_00204 MHIVVCGGGVIGCTLAYYLVHSPDFNEGDKVTVVEEVGIAAAAS GKAGGFLASDWCQGEDVDALCQLSFLLHEELAASLDGKKLYNYRRVDTISCLVSNKSA GDGDNDDVPSWVHAKVTRSHSIGTTATNAQLHPRKFTDTMMRLATQSGHAHLVRGSVE GLVHADAGHCGGVRLTDGSTIAADAVVLAMGPWTLQALTKWATYPAIAPADVYKIHSV VVQPHDTFGPGVVFGEIGSRNPRDVEIVPRPDGTVYACGPRVTEPLPPSAAQVHPSPE GIAQVLADLGVFTQTSFDESQVIAKQACYLPATKDNIPVIGRVHDGLFVATGHNCWGV LNAPGTAVAMSELLLTGAATSLDLDLFSPTRHITTTVVHK H257_00205 MATLHQLKAQNDSRLSVEKGLIDRKRNVLVLALHYCVENGYLQT AEKLQQEAGVALSKFEVVDNIDLLRIVQEFEDFYELKFGKKPKLVRRTNGDEEKAKVS QFNDKKAAMEKRTKRNSYTSAHMPSEARVENNAALKAVQTCLGSNNVTTSSTKPVDDL SLLEPTVTSGVVGAKSGNQRTTKPAKGKAEVKPEEDDDSVEDRLLKPLPFMHDSELRP LAENITREIFQKNPNVHWDDVVGLTDAKRLLKEAVVMPSKYPQLFKGLLSPWCGILLY GPPGNGKTMLAKAVATECKTTFFNISGSSIVSKYRGDSEKLVRMLFELARYHAPSTIF LDEIDSIMGQRGGGDSSSGGEHEASRRMKTELLIQMDGLSKTQEVVFVLAASNLPWEL DTAMLRRLEKRVLVGLPSPEARHHMFAELLAPYIDANFDFAGSVLKTEGYSGADIKLV AKEACMAPVRRLMAKLEAPDAATNQLPCESGNWHELLDKITSDDITQALDKTKPSAHQ FLRKYETWHNRFGSS H257_00205 MEKRTKRNSYTSAHMPSEARVENNAALKAVQTCLGSNNVTTSST KPVDDLSLLEPTVTSGVVGAKSGNQRTTKPAKGKAEVKPEEDDDSVEDRLLKPLPFMH DSELRPLAENITREIFQKNPNVHWDDVVGLTDAKRLLKEAVVMPSKYPQLFKGLLSPW CGILLYGPPGNGKTMLAKAVATECKTTFFNISGSSIVSKYRGDSEKLVRMLFELARYH APSTIFLDEIDSIMGQRGGGDSSSGGEHEASRRMKTELLIQMDGLSKTQEVVFVLAAS NLPWELDTAMLRRLEKRVLVGLPSPEARHHMFAELLAPYIDANFDFAGSVLKTEGYSG ADIKLVAKEACMAPVRRLMAKLEAPDAATNQLPCESGNWHELLDKITSDDITQALDKT KPSAHQFLRKYETWHNRFGSS H257_00206 MEPPPQESEVSYTVDLRRASQLAHISYDDLIAGIQTNDEITSIH GLLVDNNVENPQSISAQAVHTLATVGLPSDEPRKPRRSLILTTSDLPSQDPRRSRRLN SEPFNGFTVPVKLISLPSTRGLKEDDLESQPLLVAPTPHPPSDTTSKSIVDPGTAFLM YDLHAPNQLVLALPHCTENPSPPSPQRSLGELLRRASRLPSDIKDVSVEASVAVESNH VHLQLAVHKTVPWLGFSILIFALISVSAQGAAMQALVGVPPLLKVVWRFFGATCAYVV LGVVTSVLNRNQFRWSSLKLLLSRRVIWESVVCTVSYATFVGTFVWALDHTSVSHAYI FCNAHSLLLVVAKWVTGQPVAPLETVGAIMGIVGGVVTTADGSGGDASMAGSNAPSIG GDLVALAGAVGGVFYLTYAKSLRSQIGVGVFCSVVFTGTWVLVALAMWLLQLDDVQLS MHPQRGFFGWVHHLEVEFVLVVVVTLCGSMGFVSAMKYFPALVVSVTMLLEPVVATAI CLALGMAPVPGWLTFVGGFGVIVGTVLVIASARESTETVNVTDAVDQAHALSYDATVC KGSVQ H257_00207 MRRSSGSASKAPPNAFAVDLRRVSELAHISYDELLAGIHSENAT RMQGLFMEVDDNDDDDANLADKKLDALLLTSTHVTDFGVALGFQELDGRSILEHSLSQ LLLAGIDRVVLAVAASSDTQRHVEQSALFSRMHIVFLEVVPSVLDSIPETVLAARHLF AGHFLIHAADRVFDMALLKKFDAFHRTHHRVSVLVESDLAVAARMPPSTMRVQFAPPT SPMPIKLHHVGRAIADYNGVDIGLYIVSSKVFIVLDNLVHGVGSLSFPESLAFGFQRV YAMATTPPSDLWFGVDSHDQMVHTVEHDLVRRLAILSPEATTMPSSSSSSPPPPLKRR ASLVLAVTSSKDQPHAKIDMRRPSSRRSDAVEAFQGFVVHVPHLQPSETHPLNQELSF GVVPVGNCVLPGDISLEGSAGDEYVLAIPTAPTPSSTLPRTSSTASSPLLRRLSPRKS AFLVPQHESDGHTRSFLLAVPVDRTNEPLIHPPTLRSTLRRLSALPSDVKDVTLEASM VGGMMAMQLTVTKQVPWVGFVILAGALLSVSAQGAALQMLAAVPPLVKMVWRYFGSSV LFGCLTWWLDGGLPPPPSGSWVIVGRETLLCSAAYVVFSATFIWALDHTSVGHAYIFT NSHSILLVVGKCMFGHPVAGLEAAGAFLGIAGGVITSADHESTNTATNGASQHPPTLE GDIVAFVGAIGGVAYLIYAKRLQELLGVRLFCFCLFTTTWMLLLPLLWIMQEDLTWSS DPVVGFYGWTHHLGIEGVLVVVVSACGTMGFITSMKYFPPLVVSVTMLLEPIVATIIC IIVGTATTPGWVTFVGGSVVVAGTLLVICSTSNTAEVTNISEAMVVQSHDNGSTPKGY GACS H257_00209 MTAASKVADAAHKLVVAGLLSATAFGLYDVGRGFTVMYSRTAER QRTYDAQQAQAASGQQPSSSA H257_00208 MAAAAARALNGIAKFAGVVGVGGLFAQNCLVDVDGGHRAVIFDR RKGLRDDIKGEGTHFIIPYIEDPRILDIRTQAHEIPCSTGTKDLQTVNLRLRIMVRPD ELFLPKIYSELGPNFNERVLPSITNEILKGVVAQYNADQLLTSREKVSGQISEELAER AKQFHLLLDDVAITHLTYGAEFTNAVEQKQVAFQDAERSKFIVMKAEQERQAAIIKAE GESDAAKLISDAVAKSGSGFIEVQRIDAAREIAEHLSKSRNVSYLPSGNGGGILLGLN TDA H257_00210 MITDEVMSTMWKHGGVLDSPTSPMTDCDVLSPPRKDPKQVSSPV VSVTSNREAKLKKDRLRKKHSKEKVIMEMEDLKRTADELRGQLSSLQRHRKRKAVSSS PVDWRRVAMVERQRIDAGHVEGARLFHEIVFAVEKSVLYRTLAWDASQSQMYLDHRSD PWTVHTLPANPTDQLLALMRLSEYQANRFTTDMYAKLPDSTAGSPFSLVLDDYGHDIS FLEMFKYGLVRAPFRDVAAMFFNRWAPKDRVRPGRTMLFCGDNIALATYIGPDGNIRR QLYQVQYFERRALCTHRSIFYDEEHGVTASSNTAGWFVFEDMSDAEGTKCAVRGYTQL QLHATTPALMSQEYTKVMNRSTQANAMVNKMLDGFGSIALN H257_00210 MITDEVMSTMWKHGGVLDSPTSPMTDCDVLSPPRKDPKQVSSPV VSVTSNREAKLKKDRLRKKHSKEKVIMEMEDLKRTADELRGQLSSLQRHRKRKAVSSS PVDWRRVAMVERQRIDAGHVEGARLFHEIVFAVEKSVLYRTLAWDASQSQMYLDHRSD PWTVHTLPANPTDQLLALMRLSEYQANRFTTDMYAKLPDSTAGSPFSLVLDDYGHDIS FLEMFKYGLVRAPFRDVAAMFFNRWAPKDRMLFCGDNIALATYIGPDGNIRRQLYQVQ YFERRALCTHRSIFYDEEHGVTASSNTAGWFVFEDMSDAEGTKCAVRGYTQLQLHATT PALMSQEYTKVMNRSTQANAMVNKMLDGFGSIALN H257_00210 MITDEVMSTMWKHGGVLDSPTSPMTDCDVLSPPRKDPKQVSSPV VSVTSNREAKLKKDRLRKKHSKEKVIMEMEDLKRTADELRGQLSSLQRHRKRKAVSSS PVDWRRVAMVERQRIDAGHVEGARLFHEIVFAVEKSVLYRTLAWDASQSQMYLDHRSD PWTVHTLPANPTDQLLALMRLSEYQANRFTTDMYAKLPDSTAGSPFSLVLDDYGHDIS FLEMFKYGLVRAPFRDVAAMFFNRWAPKDRVRPGRTVCISSPTTMCVTRAVRCCFVAT TLRWPRTSVPTETFGGSCTKSSTLRGGRCAHTGVSFTTKSMA H257_00211 MDQYDSSDDASTSDPVSSRTRPPADNVDRDREERLLRDRVRKRQ KKKRVQAEENDLKRQLSELENKLTVLRGESIVRRGLTDRRRLQSNLVFEFEKAMLYQG LVSTPRQNSAMILDPREDAYVFHTVSPDTTLPPAVLRPIMAQQLAKLSPWVMEQLSRS TADNGAFSFVMDDGGQDIAFLEMRKFLVMHTSVDAAARGLFDAMTGEGSSLVRHGGAT TTTMLDGSDLFVKSVRTSSQTTHHLVQIVTLAPDRVVVMQRSLAQDEHVAGWFVFEAS SPTTSVMQGYTQVSLQSTPQNAAQVYTAFMTRSTRSNAILNHLLDKLNVVIAYN H257_00211 MDQYDSSDDASTSDPVSSRTRPPADNVDRDREERLLRDRVRKRQ KKKRVQAEENDLKRQLSELENKLTVLRGESIVRRGLTDRRRLQSNLVFEFEKAMLYQG LVSTPRQNSAMILDPREDAYVFHTVSPDTTLPPAVLRPIMAQQLAKLSPWVMEQLSRS TADNGAFSFVMDDGGQDIAFLEMRKFLVMHTSVDAAARGLFDAMTGEGSSLVRHGDND HHVGWERFVRQVCPHELADDASLGTDCDVGTRSCRRDAKKSSAGRTRCRMVCL H257_00211 MDQYDSSDDASTSDPVSSRTRPPADNVDRDREERLLRDRVRKRQ KKKRVQAEENDLKRQLSELENKLTVLRGESIVRRGLTDRRRLQSNLVFEFEKAMLYQG LVSTPRQNSAMILDPREDAYVFHTVSPDTTLPPAVLRPIMAQQLAKLSPWVMEQLSRS TADNGAFSFVMDDGGQDIAFLEMRKFLVMHTSVDAAARGLFDAMTGEGSSLVRHGGVC PITLSCCSDSMHRRQRPPCWMGAICSSSLSARARRRRITWYRL H257_00212 MTRFEKFNEGGVPETHVHAEWLERRVELRRELLCLPAAAKKRVG RKRIDVAGRILTLELMHEIDQTKKERDAAAKKTKEMRAIRVKKKTKNEITSIGADEQG LDDVDGDENNVGDDNEDGENNDDAELAEFVCLEEVVV H257_00213 MSAAPFRVSLRVACRASASAADQRILCAADPVASSIDAAVPEAD VQCDLFTRDGETAGMDIASTYRQPRFGPSPGSRNRRDLHQDHTYAKATSSKKVPPINI DLWRREWYTAHQRSDSPQPIELILKALQATPQERRALIPSSLCATEGSQEFWIPSPGL TQAFPLAVIMDSLLPSEELEAVEVKAKTKLDPSTSTRNWEVPLSNRYEIFTLEPDSNI PDFDLKVFLSPTTLGISPTVSKLLLKKLDELGDTLSPGAALDRLCSQETPDFSAQDHI QLVLWDLFAMISAPSIYFDPVKMAHASQTSLLVSRECSKLLLWSGSPLFNPPQAT H257_00214 MEHVNNAGHDPPFEDDPLDQVPMDDAPVDVELYDMADVADFSWH VVLRVHASRSVSRVHVMPQCLGAMSFAMKTKRWRCRRILEPWNQDLNRIPRSTKPLGV VIAEEEISIEACVYWYNYCRELCSSEILRSPMVKWFGVVTGADRTKPTLSHLIKKHIA PGTHIISDKFGSYISSNERHTLVNNTLLANQDYYHDWVNHSENFVNPQNGAHTQSIEG VWEIRIERFAKAMRGMHRPHLPLYLDEYLWRSWYFPLGSDGKMYFKGLL H257_00215 MSRDGMLKVAAVLEGDLLSLSSLELSRGMKRSLRGRSSLREPPT SEYREKRRLQDRLRKRRSKEVAHLEAQALKDEVRQLEAQLKRMKAREPSSTNYLAKVQ ALQAEIARAYEEQHRLRHTLVHEVEKGIVYQSLSWQPSESEMVLDPRRDPWMMHSFPA DPAAHDQALRGIMTQQLCKLTPELYSRLPMSAEGRPFSIVLDDVGQDIAFLEMRKYSL MRADSADVAHSIFRNYTFEAPSSSSSSDTQLDMFGSDLALVTHRTSDGSTRRRILSIV SHTRDRVVLLLRSLHYDATTASYSPEVYVGWLVCENVPMPDGSPLCALRGYTQVFLQT TPQHAAADYTAYMSRTTIKNALVNQVLRQFNAIVLD H257_00215 MSRDGMLKVAAVLEGDLLSLSSLELSRGMKRSLRGRSSLREPPT SEYREKRRLQDRLRKRRSKEVAHLEAQALKDEVRQLEAQLKRMKAREPSSTNYLAKVQ ALQAEIARAYEEQHRLRHTLVHEVEKGIVYQSLSWQPSESEMVLDPRRDPWMMHSFPA DPAAHDQALRGIMTQQLCKLTPELYSRLPMSAEGRPFSIVLDDVGQDIAFLEMRKYSL MRADSADVAHSIFRNYTFEAPSSSSSSDTQVPALDDPNSSHILLVARYVWQ H257_00216 MEKEAEQVRVLNRGILRFYPSPGTDNEADAYRTTSLDKTNPQCV AHSNIFGVTVMAVPGGLGVTRFATLEGATKDSVERKESNLDPLVPMPFDAHVSLPTTP HVLSLSPSQRLLAVAFDSSLAIYELARLVVDSKALPTCLCNGADVLALSWSAQLDNAG DDQWLAVLTTTRQVHVYTIEGNLECLEGSIEATAHCWSPSNSILAVGDDEGVIHRLTY HDGAFQKLDTLVNPDNTENSAVHHINWAEADLLLAGYRRGALDDVEVTSCLFEGGNPV PLDQLVDFFPNTDAPREHAFYSCYLAPWRMFFVGCSLSTDIELLVSDPESGDWQKWKP EEKYTPRLPMNAADEDTYPVGMTLILNSSIDIEGIDDFKYAPCPLVLCATTEGLALNF ALLDITVGEALEFVAPPAVQLSPCTRPTVAAPWLTSPVATTATLGSKEQGESAGQQQQ QRVVFDVSAAAPSFTFDPTTSRSDAFGQDTDNVFNSDDDSDVDDDEERAEEFAKASAA FDAVDTDGVGAIPLADFENLFDALGTVYAADAHTRTIRKLDRHGEVRKEDFVAWYVDW IMADIDSDDDTADEVGDEAVDTTMKSDEDIRKAMEKFRRPEGSWKCDECMVLNLVAGA AACVACESPNPTAAAASKEDHPRAVGFGGASGGIKGISFGVSTPATTPPPKPKEATPA TSSAPTTKQFQFGVSTTAAPANAAATATMPPTTSTGGFSFGVPSTTSTSTTGGFSFGV SSATTTTTTPATLDGTKQLPTTSTSGFSFGVPPSPQKPSTNDKKNDEKTSYGNDTDNV FTHDDSDDDDADLEAEMQQEQDKASDAFDEVDSTHTGHIPVDKFELLFDALGTVYSAD EHKKTLAKLTREGGVVFKKDFVAWYVDWIFAEIQDDDESDNVADVDPHAAMKSEVDIA KAFAKFKAPAGSWKCSACFVSNPDEHATKCVACTTPRQPTSEPSKNKATVGSGGFGVA APSATSGGFGFGSSSGTTKTNAFDAISFGAGNAFPDAKGFSFQPTSTKAPVAPKAASA YPPDTTSKPAVAFGSSPASTTTSDTLCTKGLICLPPRHELQTSICVWFFRCSKGLVSL SS H257_00217 MSHRKFEAPRHGNLGFLPKKRTKHHRGRIRKFPRDDATKPCQLT AFVGYKAGMTHIVREVERPGSKVHKKEIVEAVTIVETPPIVVVGVVGYLETPRGLRTL TTVWAEHLSEEVRRRFYKNWYKSKKKAFTKYAKKYAEKPAEIENELNRIKKYAQVVRV IAHTQIRKVKLRQKKAHLLEIQVNGGSVAEKVDFAHSLFEKPVPVTAVFSQDEMIDVC AVTKGKGFEGVITRWGVSRLPRKTHRGLRKVACIGAWHPSRVRFTVARAGQLGYHHRT EANKKIYRIGAAGDAKSATTEQDLTEKSITPLGGFPHYGIVNEDWLMLKGAVAGTKKR VLTLRKSLLVHTKRIALEEIKLKFIDTSSKMGHGRFQTAEEKAKWMGPLARQNRA H257_00218 MADESTHKVNLVSQDGDSFEVSRAVAIMSELVRTMIADEQDEEV QEIPLPNVKSTVLSKVIEFCSHHHNNPMADIEKPLRSGDMNDVVGEWDASFVDIEQDL LFELILAANYMDIKSLLDLACAKVASMIKGKTPQEIRETFNITNDFTPEEESQIREEN KWCEEA H257_00219 MEAEYHSFEIDHVLQRKGVATDAAGKASRESSSSLWALSNIAIP LNYICIGLIITFPNAFIEYYPRQLGASDSQLSTIGVVRNLPWTFKVLYGVLADVFPIA GHRFKPYMVLGYAIASIFNLLLAQYADNMSVITFTTLLFFSMLGLIMVDVMADALLTY KAMAEPEGSRGHIQGTIYMLRFLTETVGYWGGSILSNRDSWGWGLSMGQCFGLLALLP VITILPFLYFMDEPVVVTVLPFRGQMAMIWKMLCLRATWQPLSFIVLYHTLHTYNAAW GNFLQVRYAFNAFEYGSMAAAGSTVGFLGVFVYKRYLLDGGHWHFVYTFASVVIAIFS VANIVLVFRLNEPLGLPAYWFAMGDSAIMKFAYGVQYLPSAIMFTRVCPEGQEAVAYA LLTGFSNLSGGFASTISNALLGIWPVQLEDMKAGEIDGIWKLTVVTSVIRLLALPFIP YLLPNSIEALDRLKQPHLASRVGGACAVAIYAFGFVWVVIVSFLAITSPCLQLVGGHG CTV H257_00221 METRRHLDLSVDVGDVIAEDLPPMEITPLSTIVPMSYVFDDVEH RTISGIIHLQYQVRRRQSCRRNLAKSNRVPLATTDAELREWHGAARGKVHSSEPDSSP IVVISPKYPPRRPQQQQQQHPLRLVICGPPAGGKGTQCERLVEKYGVVHLSTGDMLRA AIQVNSNTGLRAKQFMDAGTLVPDDLIVHVILERLQAPDCAQKGWLLDGFPRTPVQAK AMVDAGIVPDLVLVLHVPDDEVVARISGRRVDLTTGKTYHVTFNPPPPGVDVVQRSDD NEETIRVRLATYHANCDAVVDTFVPLSTILHVDGMLPKLEVVTQADTGIQAIPTGVST SPFKRQVLVEGEAKGFRSPKPQISFKEHHELMESPRPSGLRQISGKSTPRSTKLQAKI ASTSDVPPPYESKPKNIQKQSSPIKTIAAPPKLVLCAPPAGGKGTQCDLLVRQFGMVH LSTGDMLRAAIKAGTDVGLQAKAFMDAGNLVPDELIIHAVLDRLKQPDCVERGWLLDG FPRSAGQAQAMLDGGISPSLVVVLNVPDDEVVSRIAGRRLDPATGKSYHVEFNPPPES VEVVQRSDDTEETIRVRLATYHEHCGAVVDSFASICRRLTVDGSLPIEDIASQIVQAM TSPGKTTKPPNPRQHHRDKSSMKHPLRLVICGPPAGGKGTQCERLVEKYGVVHLSTGD MLRAAIQVNSNTGLRAKQFMDAGTLVPDDLIVHVILERLQAPDCAQKGWLLDGFPRTP VQAKAMVDAGIVPDLVLVLHVPDDEVVARISGRRVDLTTGKTYHVTFNPPPPGVDVVQ RSDDNEETIRVRLATYHANCDAVVDTFVPLSTILHVDGMLPKPGVVKSVDTAIEVVET IARKGGGYADEELSLSESDHLRLAKKAVLRHAAVASQTHQPPTVAVLGPPGSGKSYHC HWLHEHLGVVHFSVGEMLRRSIDAETRVGVKAKVYVEVGDVVPDSLIVGTTVEILRAR ECRTNGWALDGFPRTSGQAKALVEHDIVPDIVVVLDVVDDNEVVHRISGRRFDPVTDH TYHVTFDPPPPGVDVKLRTDDTEGAVRLSLAQYRAHCVDIEAVLAAHTKVVHVDGCLS KAQVHAHLSRLFPSLKAKGKHPTQPSGLRPPRGFHHGHHPTSLMKAASSLKPLKLPPT VLAAYSPPKTNRPKSTHNDDDDKTVAGTSAATDDDIQAALAELHEIQVENVRLKQLFL DTSKHPSKEGMSKPRQGNRGGGDREYDVVLEQLASEKKSLQRVTKRQKESIDANEWET LLQKTMTELDIMRKKIQACKKSHAVVSDEEEAKWKAVQCRHEQLKTTWRQLNQPDSVT ATEKANAKLKLLKREKTLQRTDEGYYLQKAIHVQATTTHEVHRMQKQTARLQEAIAMA QSQLDTCAAECSELQRQINTKQGAFLPKLPNAKAVHPPVPTRVQSHASLPPIAVKKPH TGCDVPKKRMAVPPLNHTSRDKY H257_00220 MADGAPSRVVVSRFPIKQYIPLRTARQGSAPGFRARMLTSVKKD VTTDPTYAVTKWSKQPTKPSPGAHAEVSRPKTASGGSGSKKLQHALVCARLLAENKAF ELASQRANAITSGKQTSDKTPTRGRCKSASSVKRVIKTQKESVQTLPPSTTTTNNNQR HHPLPRCKFQTVEHQVIPLTAIIQALATIVAKPANRPERDEICFSMLDEDNVHTTTLD GYVLGKVVGVGTFGKVRVATHKVSSQVVAIKSYERSRTKDAAQWKRIQYEAKLMEKLD HPYIIRLFETIETSTKLHIVMENVSSDVGNLCEFVKRQKRLAEDDAGLLFMQVVSAVM YMHSMQLVHRDIKLENILLDRYGNTKLVDFGFSAVQSTSKPFSTFCGTPCYMAPEIIH RKTYWGQPVDVWSLGVLLFAMLCGYFPFRARNYNDLYRKIVKGGFDIPGFVTPDAQAL LRGMLEGDPTKRLQLHDVRSHIWTRQFHTARHQHLPLYRQLSLDLLADAVKSVLQRQL LDHMEVLGLPRSMVVTALTGKLYNGLSTLYYLLLYRAESMCRELNSPTTATTLPSSTT TSSTAESLRASFPSTAASEALPVHIQPEGSITLYSLTQLSGPPPPPPEEDLHSEENDP IALWQSRELRDVVEILDKGM H257_00220 MADGAPSRVVVSRFPIKQYIPLRTARQGSAPGFRARMLTSVKKD VTTDPTYAVTKWSKQPTKPSPGAHAEVSRPKTASGGSGSKKLQHALVCARLLAENKAF ELASQRANAITSGKQTSDKTPTRGRCKSASSVKRVIKTQKESVQTLPPSTTTTNNNQR HHPLPRCKFQTVEHQVIPLTAIIQALATIVAKPANRPERDEICFSMLDEDNVHTTTLD GYVLGKVVGVGTFGKVRVATHKVSSQVVAIKSYERSRTKDAAQWKRIQYEAKLMEKLD HPYIIRLFETIETSTKLHIVMENVSSDVGNLCEFVKRQKRLAEDDAGLLFMQVVSAVM YMHSMQLVHRDIKLENILLDRYGNTKLVDFGFSAVQSTSKPFSTFCGTPCYMAPEIIH RKTYWGQPVDVWSLGVLLFAMLCGYFPFRARNYNDLYRKIVKGGFDIPGFVTPDAQAL LRGMLEGDPTKRLQLHDVRSHIWTRQFHTARHQHLPLYRQLSLDLLADAVKSVLQRQL LDHMEVLGLPRSMVVTALTGKLYNGLSTLYYLLLYRAESMCRELNSPTTATTLPSSTT TSSTAESLRASFPSTAASEALPVHIQPEGSITLYSLTQLSGPPPPPPEEDLHSEENDP IALWQSRELRDVVEILDKGM H257_00222 MNKEGPTTGNDADGNRRMKLQLRRERSSQARSTERMYNNWGCFS SPVKPLPDVLQNAHAFGSSAERDTLDIVDQSVPDYPGPGQYPTNEITTAFNTVKDYSI QNIPDVRPRPETPGPGHYTSNYGAVMASQTFDDYFRTAPLKNLSTCQVKIKQITREIK QLKYKKALALPSLPVEKQKAMCNETFDLVQALLLEKAALEADEAEARQLANPRARATT RRKNAVVLPSYGEIAHSLARPKSVPFMHTEKRFFDAIDITHTKYETPMPGHCQRLDAH STFEMSPRLPTIPKGTPPDVIPFHDGYVFASKANPNSETGPGAYMPDVVGAAPPSTSL GRRTKSGPQLTLQLFHHSTNTKLPATQYLAQVHQDRQRKRVHAARQLDIDLVRQLGDA SLST H257_00223 MRLIWWTNPKAPEAKADSPLQKASMSFCNLARALPYQDDSEKGM AEQVNKVDNCNLMERPSKHHPAWSTSAKSSMDCSDRSINALSFSNARQLRSLFTADTH DTPQPDDAGYECVVLVGGDSTANEFVNGRLSRPEVEWRQFFVVATPLAFLSLKGATDT LSRHMQAALHVASIYATLTHKIRSLDVLAVESPPGTTSFACCGVAAAVPGDVRPSSGC GHRGKMPRPLAAAEPHHTEEEGSFAVVEGWTATAEVRHHSDGYMEFVAVRRGSLLGPA VTTWKAARGQVHPPATTRRVAPLQAHNGVVRIPVVRNLLAESTS H257_00224 MWFVWNAGLAVTAMGLTCMVSSVFACIYNMSQWLGASVPGLFFQ CLLLASSWWTLASHWFTMTTNPGTIPKGIRISQLTATNEEAQDAVKHVELVYCERCDA DHPKRSEHCDTCQGCVVLMDHHCPWVNNCIGIGNAKNFILMLLYVVVLCALMVVLTVA QLWLCASSTVCGLKEGSSPGRVGMWVLAVSSLFMVLCGLMLAMEMFSIAEDEIYGAIA SELSSLQESREHGSKLSRRLGILFGSDAFGWHWLVPGRDVHLRRREEEMDIILGYHER D H257_00225 MEVSVVTPRAFQKTMSDAVLATPAVSAPLDTGNLKATSINAITD TFGKQSVGATSAAKGAEVSTGSPLSSSIYVRNGDSIQLAAKSPEGELGRVRFVVDSAI RQTILVAPPIQEGISSELATFIIAPVGGAEAGSELSYNQLFTLQFAGSGGAPVSSLNS NPPGMSDGIGLQEFGVKGEMTFMFKGKDPSKIQFNDANLVLTCHDANRTRKNFNNVVT ILKKSKTSGHGGFLTTAKKGPAITFTVTRPNVVPAARLTYREVSDVESDAIRSEAATV VVSEVGRTTDSFSAAVKSPLPPTPSDSFSKVDDEIVLPYVPPTLPPAPSLSKRPSFAV VSTPLKEIPLTPAPVQPADAPATASITVKQDSHDEGDVVVVRNTSPAVQDSKADGVNP LGGGEKALPSNSWKLSTTQVHDDDAGDEDVEVVPPSACAHCILQ H257_00226 MSSLTCSDLATDKISDARGAAVVQMPPRRTANVSSSLDELLRVS DSLLNLAPHQPHHPSSMTKADVDLKAKKWLGDSSQELSEIQQSVERLKLQRLQVEHIR HDNDRLEMELAQKEDTIRACRHELERLQGVEGENERLRSRVLQVEFKLEQVDAAFRDK RQQLQDAIDTREALELRWREAMESLQNVVEETTRTYESKLKLMEHDLETRMAKIGELE VVVGDTKATAERREGKLELKISQLEATNLSLEKQAATGSASWEAERTRLLNDLAAADS KIAGLAATTSRQTTMIQECDRAISDSEDRMTKFLKRKTAMHKAQTELEARNKALEDHI KQQQTESAREVQTLQALIQGLEQKCESKDHQVAELTALIATSDKRNEASHHEFIKRER HRFHLDEQNKALVKREKKMLGDVTELSMALRVRLNVAVDLVLLTQVPQDISDEKRVLE DKVKSLLAQLELEREDRTKWATSRLKLLAQFCDEENKLTSSLSAHGVSNAHGYRK H257_00226 MSSLTCSDLATDKISDARGAAVVQMPPRRTANVSSSLDELLRVS DSLLNLAPHQPHHPSSMTKADVDLKAKKWLGDSSQELSEIQQSVERLKLQRLQVEHIR HDNDRLEMELAQKEDTIRACRHELERLQGVEGENERLRSRVLQVEFKLEQVDAAFRDK RQQLQDAIDTREALELRWREAMESLQNVVEETTRTYESKLKLMEHDLETRMAKIGELE VVVGDTKATAERREGKLELKISQLEATNLSLEKQAATGSASWEAERTRLLNDLAAADS KIAGLAATTSRQTTMIQECDRAISDSEDRMTKFLKRKTAMHKAQTELEARNKALEDHI KQQQTESAREVQTLQALIQGLEQKCESKDHQVAELTALIATSDKRNEASHHEFIKRER HRFHLDEQNKALVKREKKMLGDVTELSMALRDISDEKRVLEDKVKSLLAQLELEREDR TKWATSRLKLLAQFCDEENKLTSSLSAHGVSNAHGYRK H257_00227 MKRRKGYALGLSAALSQHLQPTNQSRSSRMCHFADAANPASNKA YQNAGFEVHSQLAAYSFEPK H257_00228 MHSSSRGVFLSPSMSSDEATTLGEIVAHTVEPLLVELTGMVAAA VAFNDSYCRHRPQPTAAVWKEVLLLYVLGKLQPPSPSTVAGAMRVATVETDTGLLTAW TIEFFEYIGIPAQDAPHFTASGLKRQALFVWEVEGTPVGFAGYAPRVDVEGETVYRIG PVFIASMERRKGYASGLTAALSQHLQATNQSRSSRVCLFADAANPASNKAYRNVGFAL HSQSVAYSFDTSN H257_00229 MRRADPIGTNQIGTRALSAALGLEPETDFRFWVVRRENDAGIDA VAMWTSFAGIFLSPSFRPSDAAEFGHHLAQLLPDPLPQSSGRIMSTQSFNEGYCAERY PPLTPQWKETLLVYVLDQLKPPQPVEGSLVTDANNELLIPWIVEYCEALQYPQEIAEP YHKRSMERQSLYVWQVNGRVVGFAAHYPPVVTEGEAIFRVGPVFVTPQERRKGYASAM TAELSRQLKVAYQTSSRVMLFAMPTTLQVHSLSEFAALTSEMLEAEPFGMSLQFDDFS PAASANLATRYWVMSRPVSAFAMVSPKRIAMSRSITPLEAQALGSVLSETTAMAPHIQ GPLAALIAFIRGYREHWPTTSFVPTATFLTYVLDNCPGMPPRVKGTLRAANATLDKEV LEEWSSLAAQPLRGAVADVQGFVTREIEHRSLYLWDVNGYPVGCIGHSSPVQVCKKHR LVVTINVLHVASPEQDEDYGIAMLTSLCQHLQESNNATACRVVLMVNQDNISPTETEV IENAGFGLQQGTIITADLVHSE H257_00230 MMEGEETLLPKQQVIVLEVEGMMCMKNCGTTVQNALKNMDGVES AVVTYTEHIVTVRVLPYYSIDPSDLIDTLDMVGFDATLQVPFDMEPRNVVRLGVDGMM CMKNCGTTVQNALRLHEGVQSAYVDYDKSMATITIDPEHIGVVTEQDLIDTVECVGFD AYVFNHGERCRRRLAAKAKAALQDETEQSTSIAIAESSHPRAFFKIDGMSCAACVKAI EDVLRHQAGIVDCRVGLISAKAEIAFDRSIFVEHEDVQIGDFIRNAGYGATYINTIDG DDDSFEVKYDVEGLTGPEHIHAVESAVKALAGVASVSIKQHVVSVHLKQMSATGPRTV LDAIAAAGYTVSVHVAHADDTDEDESAKWKRLLLICLVFSLPAMIVHMVLGWIPACRM LLMSKVVNGLTVKCLVMFLLTTPVQFGIGLRFYVAAYKGLQHGMMGMDFLIVVGTTAS YLYSFISMVGCILSPTFRGHHFFESSAMLISFVTIGKYLESKAKKDTAQSLGVLVKMQ PKHALLIESTLNGGGAAMSKDRSIPIELVQRGDKLRLLPGCRIPTDGVVVHGQSSCDE SMLTGESMPVTKSQGDIVFGSTVNQSGALVMESTCVGGQNTLSQICALIENAQMDKAP IQAVADKFASWFAPFVMVVALITFATWYVMLTFDVVPVEWKLSMGIVTTGHEDDLFIS VLFCISTVVISCPCALGLATPTAVTVGTGVGSKFGILIKGGRALETARSADVVVFDKT GTLTVGHPSMTEVVVSEGGAVTARDVLYYAACVETQSEHVLGKAIVLAASEQEQLELR EPTNFRVVPGRGLRATVPRRSDGQSSTTTQNMMDVAVGNSAWLEEQNVAIPSKMEAHM WELENEGKTVVCVTLNNVFAGLIALADTARPEAAETIAQLKRMGMKVWLITGDNARTA TAVARHMGIDNVKAVALPGQKVEQIKVIQSRTSERTGKPHVVVMVGDGINDAPALAQA DVGLAIGAGTDIALAQADMVLVKSNLRDVVTALDLSRVIFTRIKINLFASMIYNLLSI PLAAGLFFPLFHRMLPPACAGIAMAMSSISVISSSLMLKRYTPPVFSESFSLKKPLTG RPPVNGGRNMLELGKIRNRPYHPVDIQEN H257_00231 MRAKKKDLTKNDREAILQQLMAHLVDNKKLIRGALNKIALDFGV HRGTVQRVWKRANVDLDNKLRPCSDVSSRKKGHSGRNLKHENVANRLKAVPKASRTMF RSIAAALGMPRRTLHAYYRRGIFVKYNSSVRPSLTDANKVVRTSWAMDHIHALSPSVY LFDDMMQCVHVDEKWFYATRVKRSYYLAPGEEPPHRTCKSKRFITKDNARPHVPPCDT DIVAACQSGGWDMQLKFQPPNSPDLNVLDLGFFRAIQTLQEKNTSRCIDEIVAATEQA WSEVCMQTLNNNFLTLQACMRETICAQGNNNYKIPHCGKAKLLARGLLPQVLAVDNEV VECGFQQLDESDVNAKFDQLAVEVTEAMEMSEFSSQLEKLIVNDELKEDAGVELDELL DLCSLL H257_00232 MPHPLIKDTVGQRNTSAVKLAPYVFGGSTWSEIQTKIFKKFKTK CLGLAERNDDGAWAVLDDDINESHFGRIFALRYGSHTK H257_00233 MVRGGGERLTEVERLSVLDELAGTRIRSVRAIARSYAVDESAIR QLWKKRATVLQRPDGILANVLATRYRFREATYKELEDLLLKWIIQMLQLKLPVPPSIL NVKATTIAKEIGISSDDFVVRRLDDVFKDSVERAAKNKLPGSAGVKYGRPAHVLDAAS YIKAAWENVSSSTIKNAFAKADIGVNFSSNIGGKVVKDITSEFHIITVI H257_00234 MSLVNHYTLVGAGSTRTHDKIKAAVQGVDMYARQTLRELAAHSG TPKTTIVQRMKDEKQLQARSRYVRPHLTDANTDARMKHVMNFPNLRQMGTKFSPI H257_00235 MVPTADLQHFNLDAPLNQSTLFVVLFLFSTFGYVITACGSVTPS ENAVTNAVTDSNRYSLGVLDHHHVRRTRSQDVPKRHKHGQKDEQRADVGAEMKYTAAN LVQRRTTIT H257_00236 MASHGNKEPADLEERLSYVHSNTAKDDDGYVEAKSPKDLEDGAL AEGGALNLFSREAYALFIQYGAIGIIYNIIPALRYPIFNVYLNLEGYQTSSYRVLIVI GWSFKVFFGLLSDCVPIYGYRRKSWILIGWAITMACLSVMAFTPFGEPFCNREKTKYC ATPLEEVPEPELQYFNFSAPDNGTLFILLSMFIAFGYVLAASASDAMVVEYAQREPAA IRGRIQTAIYTVRTIAGILSYCVSAFGLNGPNYGGSFSFALSPNAPYGIALVPCVLVV LSTIFVLVEKKSEPVSFSLWWGKFWESLQSRVLWQVCLFRFLSNVFNGVKTTATLPIQ TYWAGVEPLNDALSDVFGNMVFASVLVIVGKWGLHWNWRWTIAAGTLGMVAVDGFVVF FTIWDVVRNQWFFNGVGLAEEFPHGLRFIVATYVAVEIADKGNEGATYGLITTVSNLS GPFASIIYKYVNSYFKVSQNDVKSDTLEVRWDVTYVYFVSYGAKAASLIWLFLLPPQK AEVKALKARGGKSKVAGFILVSVFFFCVSFAVSSNIMSIFPSTKCYRIAGGNGVLDPN TGKCPLK H257_00237 MRRPTTVRVPHERRLCYMKDAMRAIDSNYAKSVDASRMTCQGDA LKHVGSTSGGDDIPVVTRSIQPFHGMSFGKGQLGPEEAPLGAPLRFRSNLPDDDSHLL STSGNRHVVGFTPLPQPEEHKLSKRLSQLLLHHQLKHMALQCRCQSTTTRRMSVTWEA IAVTAVRCHKFGTRRDPSTADIFGLDQGRKTLLREYHKYLGQINALQCNGWRPSMPVS ACMDPFSKRRIALVDFKFNCVTLDTTCGPLVLRGLKAWVDDASTATELIVSRPVMELL GFSVEDFLVGARKKKKEWNVSSVPTNQLSGMANVKRLMAEKLNPPELDPDDGMECATP EVYPKTSVEDEAERRRTKQGDNLGDDPPAKVEPLKVRIKPGSTPVKCGMRRYPPLYVV YMRSHVAALEANDRSPKNHAEEGSRRFAHDDRQQADQRLREADTLGDAKPGQRDGLSG RDGRMYFSFMMTFGVYTPTRVFMGQTDDVTYCQSMTDELFYFLDQVLTICAQFGLKLS PKKCHLFLREAEWCGKAARSCKKIALVRVALPAMGWSSDHLKCFNDVKHALAHVVPCR EDMTVCVFTDASDMSWSAVATQVPPADLDLPLEDQRHQPLAFIRDLQYAVVESCKRLN YLAVHPGDFRLFTDHRNVVYIFNPSGSNANVAKYQADKLQRWSLVMSTFPYIIECVSG DPNVWGDLLSRWGSAPMDQPAANVRKLIHVVSPLQQVDFEWPTAATISGIQRSTMEGG GNPPNGVDWGGVDLQQRICVIAHQGASGHRRIAATTSVSNKLETLSTNVEAFVRVCLH CLCIDGDMVPRSLGSGLHVERPNELIHFDWLSMPMAKPGQKQVLVVKDDMSGSVQMFA TESADAATTAHCLMTWFTTFGCVDPWMSNGGSPFKNEDIDKVRKLVGAHHHITSAYSH WANGIVEVVNRLVLCAMKALLSEMKLNVDELPHVLPLVQGALNYQPANRQGGIASVTA FTSLPAKTPLAAFVHPTSKEVYVADGLGAARQKHVTDLQVALEEMHRYVVMRSDKLRQ QVRGCRDRKFEVKFAGFSVGDFVLVGSVVNRPTNWPCIAGATVRSHGPSCVPIEDAQQ LVPPYEVTVHHACRLKLHHEGDEARYMDGQHQVLVKWLGVDDEESSWEPAANLLDDIP VVFRKWLRPTSETLSWSPSSRY H257_00238 MSIIDPAVTVTEIWRMLERDFGESSAAGVIGLLTKFVGTLTSDY RHVGDHFKAMSALRNRINAESVKCFGEPIVSEQLVGALFLSLLPQQYFGSSGKLTKDS FTMDNVPPGFCTFPLATAAYFAAKACAPRFDTAIDNQG H257_00239 MACYVEERMVLQDGKAHEEGVTWFLCRLGAAYFGRARFHRQLYY VPKDVELRRCKVGHDFSMPVASSVLSMIGCVVSGSWTRSSTASPWRWWQSSRKTWSRT VSSWRLANIAAGFTCVLQPLNVAIHKSFKDLIQNTYMLIFNMLYKHCVDNTMTSILAI TLRHA H257_00239 MACYVEGTSHQLLKRWRFVPEVQSMLFPERMVLQDGKAHEEGVT WFLCRLGAAYFGRARFHRQLYYVPKDVELRRCKVGHDFSMPVASSVLSMIGCVVSGSW TRSSTASPWRWWQSSRKTWSRTVSSWRLANIAAGFTCVLQPLNVAIHKSFKDLIQNTY MLIFNMLYKHCVDNTMTSILAITLRHA H257_00239 MACYVEGTSHQLLKRWRFVPEVQSMLFPERMVLQDGKAHEEGVT WFLCRLGAAYFGRARFHRQLYYVPKDVELRRCKVGHDFSMPVASSVLSMIGCVVSGSW TRSSTASPWRWWQSSRKTWSRTVSSWRLANIAAGFTCVLQPLNVAIHKSFKDLIQNTY MLIFNMLYKHCVDNTMTSILAITLRHA H257_00239 MNATINDAAVLHINKHGPHHGNMACYVEGTSHQLLKRWRFVPEV QSMLFPERMVLQDGKAHEEGVTWFLCRLGAAYFGRARFHRQLYYVPKDVELRRCKVGH DFSMPVASSVLSMIGCVVSGSWTRSSTASPWRWWQSSRKTWSRTVSSWRLANIAVGLK TI H257_00239 MACYVEGTSHQLLKRWRFVPEVQSMLFPERMVLQDGKAHEEGVT WFLCRLGAAYFGRARFHRQLYYVPKDVELRRCKVGHDFSMPVASSVLSMIGCVVSGSW TRSSTASPWRWWQSSRKTWSRTVSSWRLANIAVGLKTI H257_00240 MKNHVKTLLRERLATCMAPPPDGQTREEFRMAYLEHIANVAIAV TYVGPERPGGRLRKRGRTRARI H257_00241 MLTKFESKSNRVKGLCFHPTRPWVLSSLHNGVIQLWDFRMGTLI DRFDEHDGPVRGVDFHKTQPLFVSGGDDYKIKVWDYKLRRCLFTLLGHLDYIRSVQFH HEYPWITSCSDDQTIRIWNWQSRSCVSVLTGHHHFVMSCQFHPKEDLIVSASLDQTVR VWDTTGLRKKTVRGAPSSLEDSIPVASPHGLGRSGSDIFGATDAVVKYVLEGHDRGVN WASFHPTLPLIVSGSDDRQVKLWRMNDTKAWEVDTMRGHTNNVSCVIFHPRQELILSN SEDRSIRVWDIAKRMGLQTFRRENDRFWMLQAHPTQNLLAAGHDSGMIVFKLERERPA LDLVDNAVLYVKDRYVRKYHFGTGSDNPVATIRRAGGGGAVGQLPRYMHFNPFDPPNA TSNSLLLTSDVEGGSYDLVTFTPTNNDVSDSTRGTALYAVFVARQRFAVLDKSRHLVI KNLQNEIVKKITPPSGTTDALFPGGTLGRVLLRIDDKIVLYDTDSRRVLADVVAPRVK YVVWSASGEYVALLSKHSVYIADKQLKHLGTVTEVVKVKSGVWGPTNVFVYTTQNHIK YALANGDSGLIRTLDVPVYLTHLVESKLYALDRDAKMRILQIDLTECEFKLALAAKQF TTVMRMVKHSRLCGQAIITYLTQKGYPEVALHFVNDDKTRFKLAISCGNLEVALNSAY ELDDAKCWHQLGVEALRQGNIQVVEMAYQRTKNFERLSFLYLITGNRDKLKKMLKIAD LRQDIMSRFHNALYLGDVPERVAALEAAGQHALALLTAATHGLDDHVGRLRAHFEAHD PSFDVPAFLARELLPDAALLLPASSVSDLTQDNWPLVELSEPTMTDHAAAADAQVSRT TLYDEQQQQQHGGGPSTHETAAPTTRRQSDDFLSDADAGAWDDEDDGLFSDGDDRPSL DGGDVFHDSSASTSDFVVAPTAGTPVPSTWTRNSSVAANHVAAGSFDTAMQLLHRQIG VVNFEPLKPLFLSIYAGSSASVPTQGNVSSLRTYVQRSPSEPVVATSVHALVNILKET YRSFTGAKFDDVATLSQSILHAIPLLSVDSKEQAGQVKELAIICREYLVACRIRAHLN EVSLETNPKRNIELSAYWTHCKLQPSHMVLTLKSAMTNAFKANNFITASSFCARLLEI PEVNTERNIKLQQTAKKVAMKANKEARNEHQIDYSDSKGFTICARSLTPLYTGAGNDT RCPYCAATYQAQFQGSLCDICGISKIGEETLGLVVSP H257_00242 MLTKFESKSNRVKGLCFHPTRPWVLTSLHNGVIQLWDFRMGTLI DRFDEHDGPVRGVDFHKTQPLFVSGGDDYKIKHDWHWFGSSLHLDGYSCIAFGTIMAN LP H257_00243 MSEEEADCCPLCMEELDLTDKTFDACRCGYQVCLWCWHQIKNEY NALCPACRAPYSELAKSKGNVDREDVVRRTKQRKQKEKIEKKAIAPPKPTPINRKSLV NVRVMQRNLVYVIGLPVHYADDEMLRSKECFGQYGRIVKAVVNKSHLNTDRNNATASA YITFAHKPDAQNCIDLIDGYILDGSLLRASFGTTKYCNFFLRNLSCNNPECLYLHELG ETDDSFTKEEMQTAGKGCFRDIAPYEDRRGNAFPHLTSTMREASDGKAPPVVSSDAQR KAQSAAAISKLKQYTEADTKPRPKQSEFAAAAATPSPPPPSTSPSSPVAHNTQASEAP TKPVLYVDTSNKATTPLAASPSSDEPLWAQSFPRTTQASQEPARPIHHVFSPFGMGFD GGIGRVHQQQQPHIGPSRPQPPPPPPPPSSSVDKSAHVSAWSFDPHFPDLRQAPSPPP SAFEFAAVDSAFTPRNESSEALAGLLGVQLSAKPLLGGGGMLPPPPPATAPPTSGGKR GSRFSFANPSDPLSTSQPTSMEDYNPFGGMYRSPEKLFDRSPSSSGSFAMGAQEPSSS LSGLAFLQQMLPNVNISYGREPTEQHLHRPAYTQSFDQKSSWTNGLTSTSHHDDQQQQ QPFWARQGAFDVSPPPPSSSSSYSFQDPALDDNLTQPQQPKAFGRSYASPQHNLNTGR S H257_00244 MTPLDVIAKVMALYDTETPDVDAIIETFYHPNAVFSDPLVKVHG RDNIAAQFRVLPCLFSSASASMIRASVAGVSILTIDSIVSGRFRPFPKYFGCSFRMFT ILEVKDLKIVSHTDHWDLKSVLESVPLFSTLYPKVRYLLGYSSTKVINVLSPRALPPL PVELEANQLEESKVDTV H257_00245 MSHPLENDELFDQLYPSRVARWRVQEDDTNVGIPRPTDDLICDE CEKRHAVYRCLDCRQTLCHNCTNAMHIIPAYAEHTIRHLQEGDVGFVPLTRTAPPFQL TAPPALASLHIHPFQWSDVVTFRDPVVAPGLLFGIVLETDQPRRGDHNNQLVRVLWIR GVLPLPNATYQAVLTLPHVWPVPIQSYVSLYHAYRAAVVAEHRTRKLWRREKYAGRLR DLRQLVQFPSEPLLREILDDIHANLDSVMDVHMALDGFLSQVSHPPLCVEGDSDAPSE DDSDAPYPPAQRVRVLLLPAESLEPPDSCRRRHLRHVVGHMVDLYIGYGWKALVCNMS EGRRREREALEAASATHIQAWYRHMWRLQLQKRMADGRTSGMDAMEVLRRAQCRRDAV RNIGIIWAKTTRRLQRRGLRRWNSVVDALHEPLPPREAPWHPAWGVRLLKLPRAYAHM KPDGSLAVDDITKYKQFRLNHSGPTASSYWIVRGIITCCQDHNQHTNVDAWLLDRILL GTYPSGQAFPDKRGQQRVAARSDAITCMLLEQLGTFVCLLEEAEVRAMEASLPSDELP RLDDPSIMWKVSPTLPPFAFTAMLHLRHAKLRAELEHAVVAAEKYVVMATKTLEQVQQ RGPEVEDFVMDLSVKKKALAENNLTQARANLKQLQPLHILHIPIARNQTPTEHDLRRH LQHLEGRLRDGQNLYLFSRDGRGRTGLFGALLLGRLYGLSPIQALERQQRCHDCQRAV AGVPSTRLVSSPEAQTQIHMVHQFLRSMSDTIYANVVTTADEDAYRVVRAQRRGLGVQ SYAATMGYMVDAFPTADAMATEHAANVRANHAAKKEIRAMQLRWRRRQQVQEASAMAA VDTDAIARPPLIHHSSESNEDDERMHDHDEEGVVMRVLNEMVQWLDDDSIANDLKTS H257_00245 MSHPLENDELFDQLYPSRVARWRVQEDDTNVGIPRPTDDLICDE CEKRHAVYRCLDCRQTLCHNCTNAMHIIPAYAEHTIRHLQEGDVGFVPLTRTAPPFQL TAPPALASLHIHPFQWSDVVTFRDPVVAPGLLFGIVLETDQPRRGDHNNQLVRVLWIR GVLPLPNATYQAVLTLPHVWPVPIQSYVSLYHAYRAAVVAEHRTRKLWRREKYAGRLR DLRQLVQFPSEPLLREILDDIHANLDSVMDVHMALDGFLSQVSHPPLCVEGDSDAPSE DDSDAPYPPAQRVRVLLLPAESLEPPDSCRRRHLRHVVGHMVDLYIGYGWKALVCNMS EGRRREREALEAASATHIQAWYRHMWRLQLQKRMADGRTSGMDAMEVLRRAQCRRDAV RNIGIIWAKTTRRLQRRGLRRWNSVVDALHEPLPPREAPWHPAWGVRLLKLPRAYAHM KPDGSLAVDDITKYKQFRLNHSGPTASSYWIVRDRILLGTYPSGQAFPDKRGQQRVAA RSDAITCMLLEQLGTFVCLLEEAEVRAMEASLPSDELPRLDDPSIMWKVSPTLPPFAF TAMLHLRHAKLRAELEHAVVAAEKYVVMATKTLEQVQQRGPEVEDFVMDLSVKKKALA ENNLTQARANLKQLQPLHILHIPIARNQTPTEHDLRRHLQHLEGRLRDGQNLYLFSRD GRGRTGLFGALLLGRLYGLSPIQALERQQRCHDCQRAVAGVPSTRLVSSPEAQTQIHM VHQFLRSMSDTIYANVVTTADEDAYRVVRAQRRGLGVQSYAATMGYMVDAFPTADAMA TEHAANVRANHAAKKEIRAMQLRWRRRQQVQEASAMAAVDTDAIARPPLIHHSSESNE DDERMHDHDEEGVVMRVLNEMVQWLDDDSIANDLKTS H257_00246 MDPVAISALCLEEIQTWNSQEEAADLMVPLIGNLYRRKNIVTTI FGKGLVHQNSIDIIKLHSYVCKHVGKEMMPTDTLPVLQVITELNSLSATRLDLGRTFL LVCGGANTKTPTKEDIARVLKQLIIQTSPRTLSLSKPRDIVLYGFGRIGRLLARLLVE KSGSGAKLMLRAIVVRKGSKEDLKKRASLLRRDSVHGSFQGTISFNEEANAFIANGNV IQVIYSDGPDKCDYTAYGIENAVVIDNTGIWRDAESLGRHLKSPGVSDVVLTAPPKGA SVPTIVAGVNDHVISGEHKIYSCASCTTNAIAPLLFALDSKYGIDEGHIETVHSFTND QNLIDNYHMKDRRGRSAVMNMVITETGAAAAVEIVLPTMKNKLTANSIRVPTPNVSLA IMTLHLNPEKAGTLTADDINAYAAKLSLNSPLQHQIDFINSNEAASSDFVGYRASGIV DGQATIVRGNKVILYVWYDNEFGYSCQVMRVVQRICGISLPKFPDQSHVDMIDSALSF H257_00247 MAHGHHDHSDEASTIASRQALADARVPLSYRDQCGGILIPLNDC RRETAFAPWKCQDLRHAYEKCQYDEWKKRCQILKESKKAAK H257_00248 MDGGVIARMKKFVKMRPSLREKGCSDVSGVVAGLLGHGARTVKA VMAEFLATGDVSVSLPPSNTTNHQSRVPNTRAVRALVKRFIRDRCVTRTRTVAKDVLA LLQDNQSVTVDEEHPKDYAACLRAVQVFLAKQGYARGSNAKQTYRVSKAHEQARDAYV EMMVPTVTSAPRRPVVYLDESFIHHHYTSHADSLYDPTDQATKPKHKGRRYCFVAAIL DDGSDTAHLLGLDIFVGGKKNGKVVNDYHSMFNHDYFVDWFGKLIDEVEELGWSSAVF VMDNAKYHKGKPKTTPKGTWRKEALYQACVGLNITDVSPTDLKTTIWKRLKKHLDEHV LPVVCEMAKGTVGRQYTSTTTFQDVRDRLERAFIELDSNVIQCTIAASTAKLLELNCA LRTAEDTPEGSDSDNCTDVSSSSASSSSDMDD H257_00249 MPINANAVLNRLQDQSIRDRSYLDVEYGSNDEVNLEEVAANPIM ERFTTDLGTDGIRALTNFTVSEFEFLWSFVDDAINNAWMEGRGHRCATSPKEALFMAL TVLKHYCTWEKHAVDFGFKAPTLQKLIMRVLAVRGKHSFYGYKIEAAVSPDGRCVAMS ASHPGSVHDLTIMHSRVKDHEANLAKSPSDAALPWRTVKPIPWIVGLPCRYGVYWHPA LLAWHPSEASAGKWLAGRQCDLERNHAISSDRVIVENFFGRVCSLWQVSYSTFTWSEK NYTAIPRMTFALTYFHLSLMPLRREDEAFYGLVMARYQRMASEKKRKKAEAQRRYRMN RQDRAAMDAFRIMRFP H257_00250 MSSLTPSPTTTSKLTTATTMTPSPPVTSKTAIPRNDLIPLPTTI SRTAPATTITHLRMSHRTTRSMLSRVKRSQSPPLPPATSHGQIKRAVATPAPHSQEVH RRSNMDIDDDQSYHLDPAFTFITTTSSHP H257_00251 MRVVLDTDGPALDQVDLVGDLLGGFVPYDVGEFSWHSILKTTVD EDTGVAWCMKVAGTLSVECGFQDPFGEAGALMFACASRKPLVIVIVEDKIARESGVDW YQYCRDLCSAEIEPCHVLLAAWYGSTAFIALCLDQGLAATAAAKFR H257_00252 MQTCSKAGVIWLHGLGDSGAGWSSLRHEFSHLSHITWEFPNAPT NYVTCNGGPTPSWFDLHEIPLSPSSAPNEPLKGLTESVKKVHDAIARFDAAGIPSDRI VLGGFSQGALLAVYSSLQLEAPLAGVVGLSGWLPSETYLQSLPPKSLNVLIGHGSADN IVEYPLGRIFADRLTSLGHQVQFETYRGMAHSQCEQEMKDLEAFLKKVLP H257_00253 MPPTKVPKKRLGLNTKGASSDNPNRKIPKKQKAANMRDKGTIKR LNMYRNSGAIRNKEGKIVGGSLMMAGRQGGVTMNDPTASSRIAPDRRWFGNTRVVGQK ELDKFRNEMHVKAADPYSVVLRTRKLPMSLIQDSAKVTRMKLLETETFEETFGKQRSR KRAKLNGVGDLEALMNRASDQADKYETKGVDRNIEVVEEFKDATSHDVFNKGQSRRIW GELYKVLDCSDVVIQVLDARNVPGTRSEHIERHLRSNAAHKHLVYVINKCDLVPNWVT KKWVQILSKTTPTLAFHASLNSPFGKGALINLLRQFAKLHQEKKQISVGIIGYPNVGK SSVINALRKKRVCKVAPIPGETKVWQYITLMRRIFLIDCPGVVYDGVNDGEVETVLKG VVRAEKLPQPAEFIQPILDRVKKEHVIKVYGIPDWTDDNHFLDQLAIKSGKLLPKGEA DHNNVAVQVINDFIRGKLPWFIAPPLKPEEQQAVEEGKDVSLEELAAFNAEALKGEGI DDDEEVEEEEEEEEQDEGVEEGDDDDEE H257_00254 MTSVFIMRLFRGGRSMRASWTRTYHSTLSPLTSVKAPFGTLLGT TDGGVHVYSSDYTTLDPAVRSNRTHFQSLLGDVTTGYKWQCVELGRRYLLVNHGVVFD NIAMAYDIFRLKCVTRVADGKLLPLHAHANGTSSTRPTKGSLLIWTPSGEFRQTGHVA VIVDVGLSYVDIVEQNVHDAVWPSQRSYSRRLPLHYDPNTNTYAISCTYADGNILGWM TVDFDNEYNYEDLHPFTASDLVRPSSTHPHEFRMPPSGAAALEHATNQLHHMMLDATD YMLQHSERFVGSTDVPERLWSPLRKSWFEQKPDDLVSQFEFTLTDDGLHWTGYSSNTL PDYVATSPDSTVEATLIQAWRAKAITTPLHLLKDCLAHEELARSTAHAAGLVCHSLSS LNDLVPAKGGGFLDPDGRPVRTLWHASPWATLLRHNHPALLCPSIRWIEPLWTTLSNS SAIAPLLRDLYPHHPMLQPAPPVAGVRLITWAVKGQFAAAAVRAKASTLPLHVITSPL HDQP H257_00255 MSEQPLHDIRRVAMPTSPTSSILSPKSALARLQVQWSADEKPCS PRPPPHTAASSPQLTALTSLKSDEKDAVIVYLLQKVKDQKTMQDAAIQFGEEIAAKLV LEQHERNDAYEATIHALNEALHSATHHHPEVARLEAVVLELKVQLDKEQQNVQSAIKK CRRSRERERQLKMAIDPLWE H257_00256 MTHGKVKRTVHVSIRPGTHHLLKTLSPHFDIVVFTASDRKMTEH LVDFIDPGRHIQCRLYRDSCSISHETGHVTKDLSLMGRPLQHVVLLDDNPLVCSSHHV DNLWRCSEYTGDKKDRELFAIAGKLLKWHAVEVRLNTSSRSS H257_00257 MSDKFGSYVSTNEVHTLVNNIDLQDMRDVPKVGEHLRAPSGVRP PFVVLLPDRPGGNSEMDDQSLAPGDCTATTVVQRLPRAAAGLWFNDVRGHDRN H257_00258 MAYKSHSIGSTLRIRTVSLVHGTRRPNATSTIRSKIAVISWYHR CALGFAVQLNTEHAIQLRGMDRTRPVRHAKDPVSIALLQTIRGRLHLSASHDKDI H257_00259 MYDLSALDGFTYRTLLEVTASEDVCALWCHQVGLLEDKERLALA MPEEAPHRSVEKSIRAGSFFAKSKLPLKTLLRLLWASHKPAKTVMDEEGVSTDTACNW YNYCREICSAEMLASEMKLDDKFKKFGSTISTDYTLRRIPPLHLNTHLDFDNDGPAAY QLLLSITHVHIGLTTPTSSMSRHPHFSTTAVTTSPKPNTSHDCVGIPPDATQVLWAPF VGTVLAPDYMRPLTNSHPLSNLTSSLTSMASNPNLTTSPEALVHGVNHNLVVKIPHAI MAQHVTTWPHYFANYGKVRIRGRTSSPLGLVPKKSIPLHRAVVSSMTFLSPPLTPLTR LLAERIETLRASLPPNATIKGMMGDVASAYRHLSASCPDASWFGLTVPEAGVIGIDMS APFGWCGSPNVYCAFSNGISWLVAHESPATIHPTSAADKRTFWGFNYIDDHILIEHDT ADRLDCADIALRLAMMATLGPDSLNLNKFTQWSTDLHALGLNWDLSLGIVSMPQDKIS KAMSRVDSMLAQIKTSRHELEKVLGSLRHVCSCIRPARAFYQNLHTILRRLPRFGTHV LPVAALDDLRWFVTILRVGRLAGVSTSIFAKISTPQFILEMDASDEGLAMLFPARCLF IQLNWDASELSLIEQCNVKTGANIPANHTPSSVNRANQSLFSINVREHICVALAIAVW GPILADPTGRRTIHVQALSDNTSALAWSSSLASANAYAQALNRSLGSHQAQHNLHVTS AHIPGALNSHPDAGSRMRREPYTTI H257_00260 MLAAELSVAMDNEYSVAQDKALSNPSKTGNAPSAPLPLHYDAML EYWSDKNGFRKESLMSTNENEMKKESECDEEVLRDRKE H257_00261 MYATRDPASFISTVPLAPDAFDSLLVLFSGEYEQPSRRGKGGRP PRVFHAHAVLALVLHFYTAAVDQKTLQKLFALTPSTCARTLRKVEEALARALAACPDA AIKWPSKTTQASWAAMSNSREPLVHGVFSFVDGKNLRVQNHRQPICRMQCTVQRVFVT GVLCFGLDGTLVWGRHNCPGSWNDGEISRRLQEILADPAKADFGMKVASDSAFPVSVR CADRIVTPLKKGDLERHPPACRLGLKVMSDCITSLRQAAEWGMGAVSKEYRQLLLPLP LPYNPSLRAMQLESMFKLYNFRVRRTGKRQIKNVFGL H257_00262 MDFLQGKRLLTTAGDCEASATPPTVSRGLVMAPSPSKGAAPTSP LQNAFKKRRKAHDRFYLSPLHDSSSTPGVAIVSSTSVSSPSRQQFHRVEASHIAIPVE HLCDQHNDITSESAPTSPTLVLPLRKSKDEQLRLRSSKSRDPLVPHASRASAVRMPMD SPTKKIPTQVEPPPPLPPPSSPTETPIQVLQQSLLSTFWSRHLTPSKHNRSLSCSTAN DEMLEPQAAGAIHLDDDIRAFCQRGPVSQLSRSMGKAWLTNMLRAYDVPSLSQAAWGD VETERQLHLVIAQAQCHFQQGDNPAFVTALLNAVANDIWDSCTHDFVHTVAGYYRDLL THLPTLLKEREAKLEVAHRTILRLEDAYEVLRKRTEALGRDKSGLQARVDAAEATVHD QHGTIVDMEGVLRDAVAAELMATATVKQLELQVREVENALDIKTQAFDQQAVEMHEMS QRCLGLERAVLDTKQSLADAIQDRDVGAMQIKELTAKSMQMMHENAAMSNKVSDMHVE QQVLLLRLKAETTQHEQPTRATMRQCSTSPLTTDAAAVLQDRIDQLQDQVFRHSIDLA NRDKALRVQQHDQGHKDELLKRLDTMLETAKADKEALQAAHDALLAQRDTLKRRLDLT RGSDEHDLLKHQIQVLRTDKLQLLQDLARVVLERDVAIDDVARGRRKIDHIRRILATV VMEIKRLRDAHTSLRIAVAKQLDGCQKQIRSVTMSVNATIAKVVATELGLSKDIVNMQ RGAVNGNPVFRYTLQLFQKKLQQIRASWHDLRTQSQSDMTLLAAFHSEKTQHIQHVAR TIQETMARMHKPKPKKQPLISIPSTKSVKQLGSVVKPPPSACTSATTQTNSEDGSNPQ TTQSRSNELAEHLTKVLLPVLEATETKLMAVMDKVERQKLDLLAAYEREAELRNRLQS SSAAAPPLSLGHAARSQSPINTQQPTRQGTPAAIVQVRHQLLAMTSGDENANLALHVA DLYDMLHTNLDVLEAALDDGPVLYLDHCNGSQGAIVTDGFDPSASPRRTNNNDVSPPP GRRLFKPSKTSSRTLFPVADPTPMEPPVVVDVSPFSTLTDATASHSATVSTLNMSIRD GEIQNVPAAVRKCVNTVVLKLAAAALFQDSINVHDMVSLFLTADHDVDVWAHGVQDIR DDQLIQNHVPAFSLTTATTASPPSHTDATGTSSHQDKLNTTELQPHASSGTKQHPAAR PVVKVVTRLLDLVGTDMYAADKEVAHTRTWLVKQIRSIFHDKYMAESLEYAAFHAVLA LPEFIVQWSFLKFGVKELVARTCHAIMDGTNEWKATTPEVSLFAGFLAEQGNVGYGKS DLSLFLHARQLVEDCVGDMRPLGHDDVPRLTSTQAVHIVHRVFQTLVHCHRPMIFVKL DALLKPTQHETDGVARVDDEPTPLDSPPILSGVFEFQGLPMASTLTPSGGFPEGKIKT AIASFYLPDPKLILESALDTALPIEEVKWIDVHQLLLFCVIEFREERRRFFTDMQAYV ATKKLREDISKREFEMMMHTLFEWTPTICELIFEQSGHHSSKTVVESDGTVLVDCQHL MCMGLQYYTNLFALARFTDGLSVDQTECPPCSTDDSFTMLAAAPRFRHREVTRVCQ H257_00262 MPMDSPTKKIPTQVEPPPPLPPPSSPTETPIQVLQQSLLSTFWS RHLTPSKHNRSLSCSTANDEMLEPQAAGAIHLDDDIRAFCQRGPVSQLSRSMGKAWLT NMLRAYDVPSLSQAAWGDVETERQLHLVIAQAQCHFQQGDNPAFVTALLNAVANDIWD SCTHDFVHTVAGYYRDLLTHLPTLLKEREAKLEVAHRTILRLEDAYEVLRKRTEALGR DKSGLQARVDAAEATVHDQHGTIVDMEGVLRDAVAAELMATATVKQLELQVREVENAL DIKTQAFDQQAVEMHEMSQRCLGLERAVLDTKQSLADAIQDRDVGAMQIKELTAKSMQ MMHENAAMSNKVSDMHVEQQVLLLRLKAETTQHEQPTRATMRQCSTSPLTTDAAAVLQ DRIDQLQDQVFRHSIDLANRDKALRVQQHDQGHKDELLKRLDTMLETAKADKEALQAA HDALLAQRDTLKRRLDLTRGSDEHDLLKHQIQVLRTDKLQLLQDLARVVLERDVAIDD VARGRRKIDHIRRILATVVMEIKRLRDAHTSLRIAVAKQLDGCQKQIRSVTMSVNATI AKVVATELGLSKDIVNMQRGAVNGNPVFRYTLQLFQKKLQQIRASWHDLRTQSQSDMT LLAAFHSEKTQHIQHVARTIQETMARMHKPKPKKQPLISIPSTKSVKQLGSVVKPPPS ACTSATTQTNSEDGSNPQTTQSRSNELAEHLTKVLLPVLEATETKLMAVMDKVERQKL DLLAAYEREAELRNRLQSSSAAAPPLSLGHAARSQSPINTQQPTRQGTPAAIVQVRHQ LLAMTSGDENANLALHVADLYDMLHTNLDVLEAALDDGPVLYLDHCNGSQGAIVTDGF DPSASPRRTNNNDVSPPPGRRLFKPSKTSSRTLFPVADPTPMEPPVVVDVSPFSTLTD ATASHSATVSTLNMSIRDGEIQNVPAAVRKCVNTVVLKLAAAALFQDSINVHDMVSLF LTADHDVDVWAHGVQDIRDDQLIQNHVPAFSLTTATTASPPSHTDATGTSSHQDKLNT TELQPHASSGTKQHPAARPVVKVVTRLLDLVGTDMYAADKEVAHTRTWLVKQIRSIFH DKYMAESLEYAAFHAVLALPEFIVQWSFLKFGVKELVARTCHAIMDGTNEWKATTPEV SLFAGFLAEQGNVGYGKSDLSLFLHARQLVEDCVGDMRPLGHDDVPRLTSTQAVHIVH RVFQTLVHCHRPMIFVKLDALLKPTQHETDGVARVDDEPTPLDSPPILSGVFEFQGLP MASTLTPSGGFPEGKIKTAIASFYLPDPKLILESALDTALPIEEVKWIDVHQLLLFCV IEFREERRRFFTDMQAYVATKKLREDISKREFEMMMHTLFEWTPTICELIFEQSGHHS SKTVVESDGTVLVDCQHLMCMGLQYYTNLFALARFTDGLSVDQTECPPCSTDDSFTML AAAPRFRHREVTRVCQ H257_00262 MPMDSPTKKIPTQVEPPPPLPPPSSPTETPIQVLQQSLLSTFWS RHLTPSKHNRSLSCSTANDEMLEPQAAGAIHLDDDIRAFCQRGPVSQLSRSMGKAWLT NMLRAYDVPSLSQAAWGDVETERQLHLVIAQAQCHFQQGDNPAFVTALLNAVANDIWD SCTHDFVHTVAGYYRDLLTHLPTLLKEREAKLEVAHRTILRLEDAYEVLRKRTEALGR DKSGLQARVDAAEATVHDQHGTIVDMEGVLRDAVAAELMATATVKQLELQVREVENAL DIKTQAFDQQAVEMHEMSQRCLGLERAVLDTKQSLADAIQDRDVGAMQIKELTAKSMQ MMHENAAMSNKVSDMHVEQQVLLLRLKAETTQHEQPTRATMRQCSTSPLTTDAAAVLQ DRIDQLQDQVFRHSIDLANRDKALRVQQHDQGHKDELLKRLDTMLETAKADKEALQAA HDALLAQRDTLKRRLDLTRGSDEHDLLKHQIQVLRTDKLQLLQDLARVVLERDVAIDD VARGRRKIDHIRRILATVVMEIKRLRDAHTSLRIAVAKQLDGCQKQIRSVTMSVNATI AKVVATELGLSKDIVNMQRGAVNGNPVFRYTLQLFQKKLQQIRASWHDLRTQSQSDMT LLAAFHSEKTQHIQHVARTIQETMARMHKPKPKKQPLISIPSTKSVKQLGSVVKPPPS ACTSATTQTNSEDGSNPQTTQSRSNELAEHLTKVLLPVLEATETKLMAVMDKVERQKL DLLAAYEREAELRNRLQSSSAAAPPLSLGHAARSQSPINTQQPTRQGTPAAIVQVRHQ LLAMTSGDENANLALHVADLYDMLHTNLDVLEAALDDGPVLYLDHCNGSQGAIVTDGF DPSASPRRTNNNDVSPPPGRRLFKPSKTSSRTLFPVADPTPMEPPVVVDVSPFSTLTD ATASHSATVSTLNMSIRDGEIQNVPAAVRKCVNTVVLKLAAAALFQDSINVHDMVSLF LTADHDVDVWAHGVQDIRDDQLIQNHVPAFSLTTATTASPPSHTDATGTSSHQDKLNT TELQPHASSGTKQHPAARPVVKVVTRLLDLVGTDMYAADKEVAHTRTWLVKQIRSIFH DKYMAESLEYAAFHAVLALPEFIVQWSFLKFGVKELVARTCHAIMDGTNEWKATTPEV SLFAGFLAEQGNVGYGKSDLSLFLHARQLVEDCVGDMRPLGHDDVPRLTSTQAVHIVH RVFQTLVHCHRPMIFVKLDALLKPTQHETDGVARVDDEPTPLDSPPILSGVFEFQGLP MASTLTPSGGFPEGKIKTAIASFYLPDPKLILESALDTALPIEEVKWIDVHQLLLFCV IEFREERRRFFTDMQAYVATKKLREDISKREFEMMMHTLFEWTPTICELIFEQSGHHS SKTVVESDGTVLVDCQHLMCMGLQYYTNLFALARFTDGLSVDQTECPPCSTDDSFTML AAAPRFRHREVTRVCQ H257_00263 MTSPWFCHKCGCQGCHRSRKSDNAGISTIPRRCKPWARRAKEPC EDGRLTKVTRLCCKQKSGWWRSVGGWWVEPPFLSFSIYRCSSGFKFYPQRQRSNLAKH PAMATPPADLPRTSGLSTTPRLSVTESGTWDDQYLPTHAGSRTMGLLMRRTLDNQKSK LTKYTPQERFSRAQVAFEGSLVKQGSFWRTWRKRWFILRSDRPLLCYYKSSVDLELLG EVMLDSETTIDVFAGTPGHFCIKTKSRRLVLSAHDDGGVPCMDRWIAAMHVSIRHSFS PIEQRGRMSPPLKSIDDCGNSTPAVHVDIKQIASTSPILIPRLKQSTTISDDDGSTPL HLVRRTNSLHTMSDTHDYSRSRTSSSKLLTALVATSWTNRNISKQDSHQTNTLCSLSL VHVLPPPCVHFAVSFGSEHDHTAAQGMLVVSLTALEVGAISASEISRTEVECTQSVRR LGDHRWVRDFHGVLALPVSMVEANVVVQFDVFGITCPASESLKMQAPLGAFTIATQDL VADSDDGTPLLLDLHPREYPTTSSLFLIVEQVPTPDALKMDHLYHFASQQYLVDATRS SPPRPAAFLSTRGQTQVHVTEEMGASRTALSVVVAFIKMLQQRNRLRKDAARAAMDEI ESRDVTRSSITSPMSAATPTTGDFTPVVGRPLSTVLHSETSSSRANDTASSYAALKAR VDDCDEREIKYIQAERRYAALRLMLLEGEEHGITSCLKRSTMKKDETMEFMPTNLVCH SMRASTVQQQGHDHRLWTVVTHGCSAAHLHGFKDGGLRKKAKVHEHRLDVVSCQLMAV VATAFLTSVHMALDADNHWASSASTYFSALAVASQVGYLVDIESLLSTMGNEKGMLED MSEGVHWLNRHVYIHVTPYERATECDCSSIALDGDDVVMTFAMPERTFYALPLPLQTR RRVKVTTVLFTQGINEVQSVANTVGGTSLQDEINRESLSTLMEYIGRYMQHVSRDQVV EVDRLLAALHGAIDNQSNKKQVNILVESSELCRRLGAGRTTCCKSGKDRTAMSVTLEF SKILVEEMGVKQGMHLCQTMRERGVRRTNVLVNTGKTMYAFNALQLKCLPSCYQPPPH TAHSSVTS H257_00265 MLNNLRILSRTPSVIKHHQLATFAAGCFWSAQLHFQCVTGVIST QVGFINGRTHEPTFEEVCADTTGHALAVQITFDYTAVSYEDLLEYFWAIQSSYEGLDD MDLGSPHRSGIYFHSDAQHATAVASKSKQEALLARDIWTEIAPAGVFYPADDYHQRYL EKGGHCVHDNKHPCSGSL H257_00264 MQALGNTLRAFGQKLDKVGVSIEGALTYTERLVPSTRLVKFAGH KPTLAATTFVAPNASVIGEVSIGQGSSVWYGATVRGDVNTITIGDNTNIQDQAVVHAA KIANDFPTIIGNNVTIGPNAIIHAAKISNQCIIGTGAQVLDGAVVGENSIVTAGSIVT QGKNVPAGQLWSGIPARAVRDLTSEEIEFIKQCSLDYVELSEAHAVEAAKSFEEIEAD KEKRKILDTVGELGLEQKTEKRIDTGLFFKY H257_00264 MQALGNTLRAFGQKLDKVGVSIEGALTYTERLVPSTRLVKFAGH KPTLAATTFVAPNASVIGEVSIGQGSSVWYGATVRGDVNTITIGDNTNIQDQAVVHAA KIANDFPTIIGNNVTIGPNAIIHAAKISNQCIIGTGAQVLDGAVVGENSIVTAGSIVT QGKNVPAGQVRCRISLACFEAWSLTVNVVVVWYPCPCRARLDLGRD H257_00267 MSIRKLANRVHGSAFRPLKHAHVPWKPPSHGLAMSKSPAPLRSR EFTNIARRLDSFNSDNVIYGLIGVNALVMCMWQKADTTAKRHFMVSNFTTSPAHIKTG HVHTLLTAAFSHADAIHFFGNMTGLFFFGREVCAILGPKRFLGLYLGSAVAVSLAQVV SQPLYSSRNSLSLGASGAVNAVTAFSISMFPRNTFRVMFILPLPAYAAGTLFILRDLW GALGNIVQGSGHVTDLVGAGIGMFYFRRIYGRRSRFRRL H257_00268 MMSIRTLASRVTVTRGSAFRPLKHANVPLKTSTYVLAAPKFMPP APLRSRGFTNIARRLDSVNPDHVIFGLIGANALVLFMWQTVDTSSKRQFMVTNFTTSP AHIMSGRVHTLLTAAFSHADTGHFFGNMLGLFFFGREVCAILGPKRFLGLYLGSAVAA SLAQVVSQPLYSSRNSLSLGASGAVNAVTAFSICMFPHSTILLMFVLPLPAYVVGTLF ILRDIWGAVGDRNTGVGHVAHLAGAGIGMFYYRRMFGRRSGFRRF H257_00269 MGQKASKEEKAARRNKRKGSIHEPPVAAPRAAAAASAAIIAPPP PTATNLSPTLPKSFSMSPAERMHTRPRVGSISSSSLYGAARQQNDVDGVEDHKVSDSD DSDDILSDDASVVVEDWLLEGDDIHVEMVDDDAVSEISEVESHTTEVSLTAYMLANRP LYDIMIQVQLFANLSQSQQEQVLKALKPITFKDGETIVKQGDRGERFFMIAKGEAVVT KLIDGKERMVTHLYAGHYFGELALIYDDPRTASVHAVGPGGVELLYLTQKDFQQVGKV HLSLMLQQVPLLAHLSARDQDVVLTKLKPSNFGHGEYIVRQGEEGTRFYMITRGQAAV IETDAGEDKELTRLYEGHVFGEMSLIYKEPRTASVVAVGPVKCLYLTKEDFDECLLSE RFQRVIQHAYIEKATRRAMRNKTNRLLRHSATAENATSFTDSTTPNDLPRHSIALETS TLRKHRLANGETVVNKYVIKGELGKGSFGTVKLCANEEDGQLYAVKIMHKTFVQRMAN REDSLQDALRREVAIMKKLDHRNVVRLVEVIDDPSSQKVYLVQEYIEKGNLAEVAGGA PLPEALARKYLRDMLCGLQYLHFHKVVHRDIKPENILVTSDDVAKIADFGAARMVMNE AETLTVAKGTPAFMAPEMFNIDAEYTGPSVDVWSLGATLFMLVFGHPPWSADNEIELA DKVQRDELTFPEGVVLEPHLKNLLTRMLTKDPERRIVLADVINHEWVTCEGSHPIVNV FKGDQSTVNVVSLDESERAIENIPERIDERLQASLIQAHLILQQKKQQPPLNLSLRSH GSMNSMSSSSDRGSIATTTSSPKRTASAATVIPHNHHHHHHRHLKHPSTGSAASLRSK GSQDSLFGHETSRVISAWRHHKRVALMDGHNLSERVRDLLLEQKRMAFSVERAHVTEI ILPASKQPCHTPTTGPPGDGPKPEPLTDDDLSKRQLSRKKDFLMVTSEVFRNEAGDYQ ARKVLFQATSQDFSVASRIPLHPLAGSSDDVTMGRKATNMRKSSRTSSRGGGTRGSET AGDGGGGGSRSNSASKLSTSDLFADVAMVDDDVASDDDDDDEVVDQVMGDDGDSLGLL DSGRLLLSQPRVGNSRHRSHGDTSSNSSHSRSSSSSSDADESDYSDADENVDVNDTFD DLLQSPRFHVADADVDVAPVDDDDDHHHEGMHAVVQVYVSSKIRENLGLGLRAGYAEA KGSRPYMEDRSLALARCPFDESVAFFGVFDGHNGSDTAMALQADLHHRIWNHWTTTSS LSPQTAIELGCRDMDDELLRRDMERIAAQKNERMPTPMSFSGSAAVFAVLVKQSDDVA LYVANVGDCRGVLCQDGDAIDLTIDHKANNPSEKARVEAAGGFVHNGRLDGILAVSRA FGDFAHKSGGHLIATPEVTWHTVSAADEFLLLASDGLFDVLSSQQAVNFIRRKLRNHG DVQLAAQELVLKAQEYVSHDNISAIVVCFNQVAS H257_00269 MLANRPLYDIMIQVQLFANLSQSQQEQVLKALKPITFKDGETIV KQGDRGERFFMIAKGEAVVTKLIDGKERMVTHLYAGHYFGELALIYDDPRTASVHAVG PGGVELLYLTQKDFQQVGKVHLSLMLQQVPLLAHLSARDQDVVLTKLKPSNFGHGEYI VRQGEEGTRFYMITRGQAAVIETDAGEDKELTRLYEGHVFGEMSLIYKEPRTASVVAV GPVKCLYLTKEDFDECLLSERFQRVIQHAYIEKATRRAMRNKTNRLLRHSATAENATS FTDSTTPNDLPRHSIALETSTLRKHRLANGETVVNKYVIKGELGKGSFGTVKLCANEE DGQLYAVKIMHKTFVQRMANREDSLQDALRREVAIMKKLDHRNVVRLVEVIDDPSSQK VYLVQEYIEKGNLAEVAGGAPLPEALARKYLRDMLCGLQYLHFHKVVHRDIKPENILV TSDDVAKIADFGAARMVMNEAETLTVAKGTPAFMAPEMFNIDAEYTGPSVDVWSLGAT LFMLVFGHPPWSADNEIELADKVQRDELTFPEGVVLEPHLKNLLTRMLTKDPERRIVL ADVINHEWVTCEGSHPIVNVFKGDQSTVNVVSLDESERAIENIPERIDERLQASLIQA HLILQQKKQQPPLNLSLRSHGSMNSMSSSSDRGSIATTTSSPKRTASAATVIPHNHHH HHHRHLKHPSTGSAASLRSKGSQDSLFGHETSRVISAWRHHKRVALMDGHNLSERVRD LLLEQKRMAFSVERAHVTEIILPASKQPCHTPTTGPPGDGPKPEPLTDDDLSKRQLSR KKDFLMVTSEVFRNEAGDYQARKVLFQATSQDFSVASRIPLHPLAGSSDDVTMGRKAT NMRKSSRTSSRGGGTRGSETAGDGGGGGSRSNSASKLSTSDLFADVAMVDDDVASDDD DDDEVVDQVMGDDGDSLGLLDSGRLLLSQPRVGNSRHRSHGDTSSNSSHSRSSSSSSD ADESDYSDADENVDVNDTFDDLLQSPRFHVADADVDVAPVDDDDDHHHEGMHAVVQVY VSSKIRENLGLGLRAGYAEAKGSRPYMEDRSLALARCPFDESVAFFGVFDGHNGSDTA MALQADLHHRIWNHWTTTSSLSPQTAIELGCRDMDDELLRRDMERIAAQKNERMPTPM SFSGSAAVFAVLVKQSDDVALYVANVGDCRGVLCQDGDAIDLTIDHKANNPSEKARVE AAGGFVHNGRLDGILAVSRAFGDFAHKSGGHLIATPEVTWHTVSAADEFLLLASDGLF DVLSSQQAVNFIRRKLRNHGDVQLAAQELVLKAQEYVSHDNISAIVVCFNQVAS H257_00269 MGQKASKEEKAARRNKRKGSIHEPPVAAPRAAAAASAAIIAPPP PTATNLSPTLPKSFSMSPAERMHTRPRVGSISSSSLYGAARQQNDVDGVEDHKVSDSD DSDDILSDDASVVVEDWLLEGDDIHVEMVDDDAVSEISEVESHTTEVSLTAYMLANRP LYDIMIQVQLFANLSQSQQEQVLKALKPITFKDGETIVKQGDRGERFFMIAKGEAVVT KLIDGKERMVTHLYAGHYFGELALIYDDPRTASVHAVGPGGVELLYLTQKDFQQVGKV HLSLMLQQVPLLAHLSARDQDVVLTKLKPSNFGHGEYIVRQGEEGTRFYMITRGQAAV IETDAGEDKELTRLYEGHVFGEMSLIYKEPRTASVVAVGPVKCLYLTKEDFDECLLSE RFQRVIQHAYIEKATRRAMRNKTNRLLRHSATAENATSFTDSTTPNDLPRHSIALETS TLRKHRLANGETVVNKYVIKGELGKGSFGTVKLCANEEDGQLYAVKIMHKTFVQRMAN REDSLQDALRREVAIMKKLDHRNVVRLVEVIDDPSSQKVYLVQEYIEKGNLAEVAGGA PLPEALARKYLRDMLCGLQYLHFHKVVHRDIKPENILVTSDDVAKIADFGAARMVMNE AETLTVAKGTPAFMAPEMFNIDAEYTGPSVDVWSLGATLFMLVFGHPPWSADNEIELA DKVQRDELTFPEGVVLEPHLKNLLTRMLTKDPERRIVLADVINHEWVTCEGSHPIVNV FKGDQSTVNVVSLDESERAIENIPERIDERLQASLIQAHLILQQKKQQPPLNLSLRSH GSMNSMSSSSDRGSIATTTSSPKRTASAATVIPHNHHHHHHRHLKHPSTGSAASLRSK GSQDSLFGHETSRVISAWRHHKRVALMDGHNLSERVRDLLLEQKRMAFSVERAHVTEI ILPASKQPCHTPTTGPPGDGPKPEPLTDDDLSKRQLSRKKDFLMVTSEVFRNEAGDYQ ARKVLFQATSQDFSVASRIPLHPLAGSSDDVTMGRKATNMRKSSRTSSRGGGTRGSET AGDGGGGGSRSNSASKLSTSDLFADVAMVDDDVASDDDDDDEVVDQVMGDDGDSLGLL DSGRLLLSQPRVGNSRHRSHGDTSSNSSHSRSSSSSSDADESDYSDADENVDVNDTFD DLLQSPRFHVADADVDVAPVDDDDDHHHEGMHAVVQVYVSSKIRENLGLGLRAGYAEA KGSRPYMEDRSLALARCPFDESVAFFGVFDGHNGSDTAMALQADLHHRIWNHWTTTSS LSPQTAIELGGSSRRVCTQRPVGRDSSRVKSLWRLCTQVGRPPHCHARSDVAHCERRR H257_00270 MYRDDHHDLADRAELSEAALVQLIESRFRRNLVYTHAGSVLLAV NPFRRIEDLYGQDMLQEYESPNAAPHIYGVAANAYRAMQLMHSSSSNQTILISGDSGS GKTECTKRMLDYFVHVGRSSDTSSERLTRHIVAANVVLEAFGNAQTLRNSNSSRFGKF IQLDFSGDSHRLFSASIQTYLLETVRIVNPAAAERNYHVFYALLSGAPSSLLATWQLV PSPTPTSSTLHGNSKLRGVATHTFRYIPHPSSSNSCKQNLLHDDSAMFTALETAMTSL GLPATDVYRVVAVVLHLGNVSFDETNSSVSTHAMAAAAALLQVPLPDLQAALTTRALV VANEVQVLSLSAVEAAQARDGMAKALYARLFEWLVEHINGRLNLSHPSTATSPSSWIA VLDMFGFEHFGLNSFEQLCINYTNEVLHQHFVQHVFKLDAAEYAAEGLACPLSTTPYV DNEDCIALFSAKHTGLFALLDEECVLARGSDAAFASKLHKEHATRHPRRFTASHGQLS QRKFTIHHYAGSVEYTAVGFRDKNFGVLAPDVVELLRGRRSTDAFVRQLFQSSSPSRH NKPRRHESVASQFQSQLGTLMKRLHTAQVHYVRCLKPNDAADPALFLTDRLRHQLRCH GILDAIRIAQLGFPVRLSHAAFQSRYRPLLHRQQVCDEDSLHDLINALVTYYGDATSD RGSNAQRDGGELSRGTLHRQHIQVGRTKVFMQAAVHKNLEVRRARVELRAASVLTHAM QSFVYRRRFQRLRQTAVWCQRHVRRVQSQRRHHNIVITRLLREKEAVTAAATTIQSVV RRMLARRQYVRHLHCVVVLQVWWIQRLLRRRRLEQGRQQDQTLPPSPPRVAASSIIRS SWAPNSEEGSFSDGMLDVLWRSSPPATSLDPDAARPRTFFHHKPTSPSSSRAWTALPP LTLVDPATLPPLADYFSCSGCTKRFTLVFRRKFCCLSCRHVVCSRCCNVVGIVAGKKA RVCLVCAALHRTTDNCTARPCHSQLNQAMVTEEKVWHRPWPEPPLPDNEMSRLAAVAR LNIDDVRHDMMIQHMCTMVYHTWPGAVAFVGIMGKMKQVMVTSVGSRMFPDQLPRDMA FCAHTICGTKPLVVMDATKDPRFMHNPLVKADRRSKKFCFYLGAAVVDLESRHILGTI AVLHTISRKDSVQKCELQVLENYARVVSRQLTMNMHGWRPSDISS H257_00271 MDTPPPPPPPPCPLRPAALAANEDKPPPSAFGLGIGGLRKAKQW SLFENLDDTAMEYKPATAKMRLLDALRYHKPTIEEQLNYNLPGSMERLSMHKLNDVMS VCLARKGKRFTSLQIQSLSLLLAELTGVFDAVDTAFKSLSPAVCRYKPTASISTPPAS DGDVEVHNAALAFHVLCNTYISLFHRLKDLVVDIRAYSVVARGLMEVPLDDSDDSAKA PFPTFLFVLIQKYRRERADYAVLDEKASSTPPLPTHPWWPTDSHPPLDSLAQFALFLA AVLVQRMPFLKVELEGMMVLLQVLKVDHTAMEDSLHVNLHGDQRSNYVLSRSIFEFLS TVRELRSIPKRKDLLERSRRNKKKLRRCLTNADKLVV H257_00271 MDTPPPPPPPPCPLRPAALAANEDKPPPSAFGLGIGGLRKAKQW SLFENLDDTAMEYKPATAKMRLLDALRYHKPTIEEQLNYNLPGSMERLSMHKLNDVMS VCLARKGKRFTSLQIQSLSLLLAELTGVFDAVDTAFKSLSPAVCRYKPTASISTPPAS DGDVEVHNAALAFHVLCNTYISLFHRLKDLVVDIRAYSVVARGLMEVPLDDSDDSEFD AKAKAPFPTFLFVLIQKYRRERADYAVLDEKASSTPPLPTHPWWPTDSHPPLDSLAQF ALFLAAVLVQRMPFLKVELEGMMVLLQVLKVDHTAMEDSLHVNLHGDQRSNYVLSRSI FEFLSTVRELRSIPKRKDLLERSRRNKKKLRRCLTNADKLVV H257_00271 MDTPPPPPPPPCPLRPAALAANEDKPPPSAFGLGIGGLRKAKQW SLFENLDDTAMEYKPATAKMRLLDALRYHKPTIEEQLNYNLPGSMERLSMHKLNDVMS VCLARKGKRFTSLQIQSLSLLLAELTGVFDAVDTAFKSLSPAVCRYKPTASISTPPAS DGDVEVHNAALAFHVLCNTYISLFHRLKDLVVDIRAYSVVARGLMEVPLDDSDDSGEK IAYYYTSSLNISLYLYESILLLQCPEFDAKAKAPFPTFLFVLIQKYRRERADYAVLDE KASSTPPLPTHPWWPTDSHPPLDSLAQFALFLAAVLVQRMPFLKVELEGMMVLLQVLK VDHTAMEDSLHVNLHGDQRSNYVLSRSIFEFLSTVRELRSIPKRKDLLERSRRNKKKL RRCLTNADKLVV H257_00271 MSVCLARKGKRFTSLQIQSLSLLLAELTGVFDAVDTAFKSLSPA VCRYKPTASISTPPASDGDVEVHNAALAFHVLCNTYISLFHRLKDLVVDIRAYSVVAR GLMEVPLDDSDDSAKAPFPTFLFVLIQKYRRERADYAVLDEKASSTPPLPTHPWWPTD SHPPLDSLAQFALFLAAVLVQRMPFLKVELEGMMVLLQVLKVDHTAMEDSLHVNLHGD QRSNYVLSRSIFEFLSTVRELRSIPKRKDLLERSRRNKKKLRRCLTNADKLVV H257_00271 MSVCLARKGKRFTSLQIQSLSLLLAELTGVFDAVDTAFKSLSPA VCRYKPTASISTPPASDGDVEVHNAALAFHVLCNTYISLFHRLKDLVVDIRAYSVVAR GLMEVPLDDSDDSEFDAKAKAPFPTFLFVLIQKYRRERADYAVLDEKASSTPPLPTHP WWPTDSHPPLDSLAQFALFLAAVLVQRMPFLKVELEGMMVLLQVLKVDHTAMEDSLHV NLHGDQRSNYVLSRSIFEFLSTVRELRSIPKRKDLLERSRRNKKKLRRCLTNADKLVV H257_00271 MSVCLARKGKRFTSLQIQSLSLLLAELTGVFDAVDTAFKSLSPA VCRYKPTASISTPPASDGDVEVHNAALAFHVLCNTYISLFHRLKDLVVDIRAYSVVAR GLMEVPLDDSDDSGEKIAYYYTSSLNISLYLYESILLLQCPEFDAKAKAPFPTFLFVL IQKYRRERADYAVLDEKASSTPPLPTHPWWPTDSHPPLDSLAQFALFLAAVLVQRMPF LKVELEGMMVLLQVLKVDHTAMEDSLHVNLHGDQRSNYVLSRSIFEFLSTVRELRSIP KRKDLLERSRRNKKKLRRCLTNADKLVV H257_00271 MDTPPPPPPPPCPLRPAALAANEDKPPPSAFGLGIGGLRKAKQW SLFENLDDTAMEYKPATAKMRLLDALRYHKPTIEEQLNYNLPGSMERLSMHKLNDVMS VCLARKGKRFTSLQIQSLSLLLAELTGVFDAVDTAFKSLSPAVCRYKPTASISTPPAS DGDVEVHNAALAFHVLCNTYISLFHRLKDLVVDIRAYSVVARGLMEVPLDDSDDSAKA PFPTFLFVLIQKYRRERADYAVLDEKASSTPPLPTHPWWPTDSHPPLDSLAQFALFLA AVLVQRMPFLKVELEGMMVLLQVLYVTLDYLVNI H257_00271 MDTPPPPPPPPCPLRPAALAANEDKPPPSAFGLGIGGLRKAKQW SLFENLDDTAMEYKPATAKMRLLDALRYHKPTIEEQLNYNLPGSMERLSMHKLNDVMS VCLARKGKRFTSLQIQSLSLLLAELTGVFDAVDTAFKSLSPAVCRYKPTASISTPPAS DGDVEVHNAALAFHVLCNTYISLFHRLKDLVVDIRAYSVVARGLMEVPLDDSDDSEFD AKAKAPFPTFLFVLIQKYRRERADYAVLDEKASSTPPLPTHPWWPTDSHPPLDSLAQF ALFLAAVLVQRMPFLKVELEGMMVLLQVLYVTLDYLVNI H257_00271 MDTPPPPPPPPCPLRPAALAANEDKPPPSAFGLGIGGLRKAKQW SLFENLDDTAMEYKPATAKMRLLDALRYHKPTIEEQLNYNLPGSMERLSMHKLNDVMS VCLARKGKRFTSLQIQSLSLLLAELTGVFDAVDTAFKSLSPAVCRYKPTASISTPPAS DGDVEVHNAALAFHVLCNTYISLFHRLKDLVVDIRAYSVVARGLMEVPLDDSDDSGEK IAYYYTSSLNISLYLYESILLLQCPEFDAKAKAPFPTFLFVLIQKYRRERADYAVLDE KASSTPPLPTHPWWPTDSHPPLDSLAQFALFLAAVLVQRMPFLKVELEGMMVLLQVLY VTLDYLVNI H257_00272 MAAAADDGNGTAMMLQLLQYMRETTVPSPSAWQERTLFVKEWLL LQNSLENIPDDGTEDLIHRQIRRGDLYPTTRDRCWNHMLVELREVPVVKLILDDLPEP AENVSPSRGKLRPGKELVVLPTKGEGVVPSLQLPDGDADDGGGATSSRGDGSQRGGVN KPPSISKEKTFSSMGMMERQAEWLRKKQEKVDAEEKRQREEKEKELTFKPNLLNRRQT MSDKPEDKGPKPASVVKQNSFNEKKLDAAAKSVSFKESRPPPQGSTNTSDNAEKRPVS RSLVDKPKQPKPPKAVLKKKKSVGKGVDTKLEVTSHLLNGIRSELKASKAMTLDGGDN DVDDDDDNAEPGEEPKEDDDNDDAADDDDETPLLPGAAGDYKIDFSGMETKARLVLQD AALFELNSMYRKTDKAAGREGVALQMGRREDNHEEQVVAVIFDKDKISEDDAQKWWLD HKARFFELPANNA H257_00273 MDTTDAPQVIEHITKSVNYTPYDARWIPCSARFVSMGIHPRATG AINVFALQQGELKVVHELEKQHGVKCGTFGASSLDARHLAVGDYAGIMSIYDFEKPEI PVYSAQAHKSIINCIDGCGGLNIGYGAPELATGGRDGCVRVWDTRVANPVVSLEPDQG EAVRDCWTVAFGNSFNDDERCLAAGYDNGDVKLFDLRTNTMRWETNVQNGVVGVQFDR KDIEMNKLLVTTLESKFRMYDMRTFHPAKGYAFMSEKAHKSTVWQGRFLPQNRDLFMT GGGNGGFNLYKYHYPSSRTTPDADGIHMGVGGTVELLNSRVLSTQPIVSMDWSPDREG LCVLSCLDQTVRVYIVSKTNKY H257_00274 MAKKGKKGKKGKKEEAPPPEEPSEYDTMDVEMLKEVTQMLRQQL DKSQIDRNYVQHERDTIQSFYDISRREVETHDMEIMGKDREMEMMEDNHRVEVRVYIQ KVKHLEYEHKNNLKRVKTDGLSHIDEEGDMHVHREHKLKGAKQSLKLELKERELSNED EIEQMKQSHEKNLLKLREQFEKNNAALEERLQCRLEQLQEDLELRRKVDIHEIEERKN LHINDLMKNHERAFTQMKNYYNDITKDNLRLIDSLKREISDMKKKAAANAKLMHDISH ENKRLSEPLAAAVQEVERLKHGLKDEQKDRLSLRNANARLVLLEKQLVDLRKKHQSLT QAYKTMEANRNALYDSFEHTIHSVQTKCEYKNLVLEQRLSAYGEQHNKKQAQLDEILM AAHLEGGEVARVTEKLDTLLTTKNTKIRDLQYQVAKASKAYNDALRTYESKMRDFGLP DEDIRTLGFNPLLTATSVGPAGLLTK H257_00275 MRRFFSSTVNANEVSKFTRAANDWWKPASNTGVGLLHQLNPTRV SYIRSHVIEHFGKDPHRDAAWPLKGLRTLDVGCGGGILSESLARLGGDVTGVDPGQAN IDAASAHAEQDDETENIRYICTTADALVAQNETFDVVCALEVVEHVDDVPAFIQSLTQ LVKPDGILFMSTINRTALAYLTTIVAVEQVLQLVPQGTHEWGKYIQPHELTAWIQEHG LQVSNVSGIVGDPYVQGWKLHPTCTEINYILAASSRKRR H257_00275 MRRFFSSTVNANEVSKFTRAANDWWKPASNTGVGLLHQLNPTRV SYIRSHVIEHFGKDPHRDAAWPLKGLRTLDVGCGGGILSESLARLGGDVTGVDPGQAN IDAASAHAEQDDETENIRYICTTADALVAQNETFDVVCALEVVEHVDDVPAFIQSLTQ LVKPDGILFMSTINRTALAYLTTIVAVEQVLQLVPQGTHEWGKYIQPHELTAWIQEVR TI H257_00275 MRRFFSSTVNANEVSKFTRAANDWWKPASNTGVGLLHQLNPTRV SYIRSHVIEHFGKDPHRDAAWPLKGLRTLDVGCGGGILSESLARLGGDVTGVDPGQAN IDAASAHAEQDDETENIRYICTTADALVAQNETFDVVCALEVVEHVDDVPAFIQSLTQ LVKSISSLMAFCSCRQSIVRHWRT H257_00275 MRRFFSSTVNANEVSKFTRAANDWWKPASNTGVGLLHQLNPTRV SYIRSHVIEHFGKDPHRDAAWPLKGLRTLDVGCGGGILSESLARLGGDVTGVDPGQAN IDAASAHAEQDDETENIRYICTTADALVAQNETFDVVCALEVVEHVDDVPAFIQSLTQ LVKSISSLMAFCSCRQSIVRHWRT H257_00276 MEASKISRRHASIKASLSAMWSKVRVPTKVSSAAANGHYIAIED RRLPTDDSSYLLVNDAA H257_00277 MRRHGWWRVVPFAFVGMAAAVVAAGGMQQCGGGIALEYVVVVNT TDPLGMLLSDKLHVLSFVQDENGRDRVIEASGLVEVGDVLVGVNDLLTDGTALSLVVD WIREADVPKKLTFRAHNSTRCIPLESAGANHDMESINFVVASQGDQQSTRMYALASEF GDVIACELYPIVLADPAHACVPLNNNVTGRFVLVQSSRQCSPHQQALVVGRRGGFGVV LAQHDGRKIESILSPRGWIGTIRTPVVMVSQEAGLYLAELAASATATAPAAIQVVVSD TCADRFRDPTVVAMTMSEKHALLVEATSGDLTIVTQDGPRTAEFVKPAASAALDLAQH PLVIVTGNLCLLTTSLVWVQGFFVLAKAHPSCPIDLQMAKLVEGGAKGVLWSVENANV PLAVVTSVNIPITGAADSTLAIPCVFVSGQTFDLIRLCAEDGPVSIAFAANNAYASQY KELQVLADPSNWPASARGRHVLYHRMRKAMADSDHKLDALDQCYQAAELHHEPPISST ST H257_00278 MMKPASPTLAAAPSSLHRVHSDSDLNRVIDHDPILVEAKQWADY RKQRILESYCCHPQSPPPDSDKLRCRHVTAKQKLLRSSVGIAKATHGWMLPYTCSLCH SLGATCLIAGCGHYFHGSCIVRWLGSHPNCPKCDRFIDMFLPAHLTLHRPRFRKSFSC ISKGPNFFDTDFDRRFDMSELVLGKGTYATVYLGREKTTNTPVAIKHVLKTGLKSDIE NVKALEEIAVLHGLHHDNIVNLHAAFSSRSHYILVLDRVDGGTLDDWMHAYVADQGLT TPFAPRGPKRPLPEAIVRCVVRDIVAALVYLHTIANVIHGDIKPGNILLDRAGAHVCT PVAKLCDFGNAVRMHPGLPLPTDGMSGSFGFMAPELLCKEPLSPAADMWSVGLVAYQA LVAYSPFYPYNNCTEEDATYCARDFKRVSPTCIDFLKKVLVRDPVTRMTAVEAAAHPF LENSPCMCA H257_00279 MGCGQSALKKEIQGLHALETKRAEEIAKLEDERRMLKYKMSVLE DMVATAQLEVHEKVAAAAAAEERMKVMKWELVRQATPPTTPRQSLTWALNDKTTQKLA KMSSARVVSTIPPSKEATQSDTKLLATRAKANVDTPSPHSDPKVTITATTAIANHPKT LVASPIVIKPSTSTGQNESTTVAVVTKKPELIRLNTPRKVIDPSEVNESKDAFEAVKA ITTSSSRRTKDSNAIERDNSNIGTRRHSSKSMIRGPSTALSPPSALLLHQDSHDERAL SKPLTKPKSAAIQAPLTSAASSKPTLSPKSSRSSIINPSSRETSPTAAASKAPPGFVL AMPLSKGGPDDLPHRKHSKPSPPRPDSKRPDDEPDAEPATGVTAFALDDDDDDCGTAV VCLDDDALGDLM H257_00280 MKRKQSSKSSYKPKVKGGKGKYGNKKAKVNDEAFDWGEGNNHIA SDSEVSDDEGKKAKKADIDEEDPYANETNDEKRVRLAKAYLGKLKDKFQDVDDDDDAA DPSDRLASQLEKDVQESSGKLFKQVAHKLVDFEFDHESCKWLKGHKLPVTSVCATEDG SAIYSAAKDGSILKWSIGEQGVTKTKLVVPFDDEADTDASKTPTKKQQKKVPDHKKTI LALAVSSDSKFVASGGVDKILRVWDGASNELLESFKGHRDAISSLAFRKKAHMLFSGS YDRTVKHWNLTEMGYVETLFGHQNEITAIDCLQKDRVVSVGRDASLRVWKIPEETQLV FHGSGSLDCLAMVSDEYYITGDDSGSLALWFNGKKKPTFVARAVHGGKWISSIAVLPR SDLVATGSSDGFVRLWKANLKTRVLEPVGAIPVDGFVNALAFPKAGTFLVAGVGKEHR CGRWEVNKAAANGVVLVALPTLFDE H257_00281 MLKFLTSPRTSPSSSTSTSPDVAAVRTGVLHVTTMGLFGVCFWK PRFVVLTATKLLCYSFEGGELKLELDMSECTPSDINVMPADCTRIRSFGASIWRIGLN IGGQRYFVATSSEYDMNLWVQDLFETAHQRLDPGRELRPSLGVNPQYVVGALSFRVDG GAKYRSSVRRPPPPRPSLVVDTTVMA H257_00281 MLKFLTSPRTSPSSSTSTSPDVAAVRTGVLHVTTMGLFGVCFWK PRFVVLTATKLLCYSFEGGELKLELDMSECTPSDINVMPADCTRIRSFGASIWRIGLN IGGQRYFVATSSEYDMNLWVQDLFETAHQRLDPGRELRPSLGVNPQYVVGALSFRVDG GAKYRSSVRRPPPPRPSLVVDTTVMA H257_00282 MQLKHPSRPKHSMQPSRPFQSPHRPVGRAQLRGRRPPLLGLQPP SPPRR H257_00283 MPITSSILSWVLGGLALAGTTHAQFNGVATTYGPPDGESPQGGN CALMTWLDFAPRFHAALNDKQWSSGVHCGRCVLVTCTDPRCTSRESVLGQVTDRCPEC AHGDLDMSLPMFHKITGYNTDRLTIHWDFVDCPVSGGVQVCAKSGSSKHWLYIQASNA VNGVASMNINGAPAPIFSSAYYFMSQALGVELANTVVSMTSHGGDTIDVKVQLEADKC TQIPQQFAKETTHRSARDAPTCTYSTPCHS H257_00284 MLFRAIVLAAAAFAADAATTYAGLSSTYGGPDGVDATTGNCAPM DHLPDATKYHVAINDKQYNVGLNCGRCVQVQCKDPRCTSNKVMTAQVTDRCPECAHGD LDMTLPLFTEVTGYVTDKYKIEWQFVDCPVTGGIQVCAKEGSSKYWLYVQPMNTVSGV MAMKVNNGPAPPFDSCYFFKTTNLGVELKDTEVEMTSWAGETIKTKVALTVGKCTQIS QQFSRGQPVLDPTAPNPPPPSPPSSTPPPSSYPPPSSYAPPPPSSSTATPSTSSAATP TTTSAAPTTAAAPTTTKDISTTTSAPSTPAAPTSTEPETESVATKSTTDAPERNWTSA APETTSAAPYASASSKDGESTTSSSSTEATTAPPAVSDAPPQPTAPTTAIGGAENVIS VQASSQSDSGSTVYVVFGSGVAFVLAVMAIVYVVKKSRSKYMDEKESESSQITRFGNA DTRRNNDSNVAIL H257_00285 MDASFPRGKKPKTAEEDASKKRKKTSDSEVLFGKKDKSVVDDTL AGSADHKRPKHHKSKDVKSSSTAADKSAPDAKPALAHVITFKTLRPQMLVMGVIRQIN EHDLVVSLPNKLNGVVARKETSDEASSSSSSTSTLTDLFHIGQYVACVVLKCSKEDRG KRIELSLRTSLVNANQSVKHIVKGASIYASVVSVEDHGVIVNLGVRGFTGFIPTKDLH LPDGHTEARPGQLFFCVVVGVNVHTNTATLTTERAVAVKAITRGDSFTMSTLSLGMLL NVKVEDVLANGLQVNFLTFFSGTVEYNHMSNPCQKDWAAAYSKGLKGRARIIGIDSTT KAVTLSMAPHIVYLQAPEFKQAIGDVVETAVVHRIDAGIGMLLSLAGPASVGGDRLSW KEFLPAFAHISRVADSRIEKLDKHFKVGQVVPGRVVGYCAFDGLVNLTLAPSALTKAV LRQADLVPGSLIKGKVVSVESWGILVDICDGVRGVVNSSHAPTVALKKTMSKYKPGHV IDVRVLQVDVAAKRTHLTLKKGLVASDLTPLTSYEQATPGTVAHGFVTKIADFGLIVG FYNGVFGLVPAATLHNAGVEDIAAAYSAGQVVKVAVARCDATKKRMTLTFDTTKSSSS TSGKGAKGSLPSSSPAVAAGTVVSVTVTDVEDSVVRVQTADGIDGVLPLAHLTDFPRL FSPSIAVEDTFDALVLFQSQDGLLHLTKKPTLLAHKATMPSTFQDVAEGRLVTGFVRD IRPFGVFVSFLNNLQALAPIAFLTDRFVSSPDGLFQIGDTVQCLVHKVDAEKSQFMLD FRVPSPSVDGLSALLAEHAASHAPIIAHTVGHSEKAVFISAKKYGHVCALAEDDATVV VPNTTDLDWTENDKVKLRLVDYDFDKQVYYGHALPAEFVKAGDRKGRKAAARLANDTD VDATILLLRDEYAVVTLAESHAVALLQVASFHQPAATCDDLSLEVGQVHKVIVKGHAK GSAPFSDLPLVVLATSIKKNKGTKSAKKDNQELHLPKYHGSDFVIGASVTGRIVGIKE DSMELKIKCSTSTGKVNAFVSIVDVDVTTKGSHPFDAFAVNSVVTGRIVALVEKGANQ RKPVGEDNVANFRSLNVSLRAADQTGDNLTPRADWADAGYDLLQSGKFLPGVVVETTK DGVMVRLSARVVGFVHVLELSTDPSALASFAQSFPVGTPLSVRVLSCDPDAKTLDLTT HKKARSALEVGSVVLGRVNLKVRALAAPSVMLQVGAHTFGRICVSEVEDTPSNLPLAG LVHGTFVQAVVLSKDPSLELTVKAGALANPKQYAAADAAQKGKLPQVGDLVSGYVATV AAGGVFVRLHRSVTARVMLRDLSDEFVKEPAATFPPGTLVAGRVTKVDNGKVELSLKA SVVTGSTSQLTVKSLTVGQTVKGTIRSIQTYGVFVLLENSSVSGLCHISEVADAKVKS LDGVFSVGDYVKAKVLKVEGGRVSLGLKPSYFENDVSSDEEEDEEDEVEVEEEDNRQV HDVDMDGDDDSDAAAVDINDDDSDDAMGQDDDDDDDDENEPVEAPHADFTWDGFSIDQ PAAAADGDDEQDGDDAASQSKLKRMKKRLKEHEDMYVAYRERALAAGDSVPESADDFE RLLAVSPQNSFLWIQYVAFHVSQTDIAAARDVATRATSKISFRDVQEKFNVWVAFLNF EHDHGDEASFQRVFNSAIRANDPKKVYLQLIEIYSRHDQAEDVLSTFKTMHKKFNTSA KVWLSSLKFHMAAGDAPTARQLLSRSMQSLPKHKHVKVLTKFALMQYEFNEKEHGRTV FEQLVATYPKKMDLWNVYLDREIKYGAQDSTRLLFERALSLSLSAKKMKALFKKYLTY EIDHGTDASVAHVQGLAAAYVEASA H257_00286 MLPRRLPLGAVENEDGKVVIPPSARADGSVRKEIRVRQGFVPQD EQPMYRPRALRQAAGAASTSTPPKPRAVAAPKDSDDLSACIDSLSISDEKTTMRDQTR RIPLGAVETTSGEVVIPSSARADGSVRKQIRVRPGFVPQDEQPTYRPRGLRSPSTRQD QDSVLPEHATSPAPAHDEPVSEGGIDVAVLTSPSPPHIQDVSGVDLDTTASRASSSNR RDSTTSSKQQWTRRDRRESPSQPPNVKPGPSNTPKRSPNTLRVVEL H257_00287 MKLPVVSFLMAIAAIFVHMPTVVESNLAGVDFGGEFFKIALVKP GKSFEIVTNVHSKRKTETIVSFNGEERLFGADAMNIEVRRPQNAYSQIRRLLGTTVTH PLVESMTKSEYFPYTLTHNETRGSVGLKHDDEHTFHAEELVAMVFTHAKTITNEFAEG PVRDWVVTVPSYFAEAQRQAVIDAAEIAGIRVLSLIDENTAAALHHALSIDVPEVGKP TRVMFYNMGSTSLQVSVVEYTSRIVPDGFKKNKTIVDFEVLGKSWDETLGGSQFDLRL ADKFADEFNTKLKKGDDIRLVPRAMAKLRAAARKTKIVLSANEVIPVVVPSLHADLDF KSNAKRSEFEALCADLFGRVLGPVESVLQKTGLAKTDLSAIEIIGGGVRIPKIQSLLK GYFERDLGLHLNGDEAMALGAAFHGANLSTAFRVRHVGMTDIASYPVGVRLVDLHREH SAADDGDDKHWVKRASLFGDAQRLNVKKSVSFSHSTDVSCTFRYDQPSGLPAGTSALI SRYNITGIDAFVSKYADKNLGEPKVTLTFSLDGSGLAHIVKAEASLEEEVEVVEKKKI KKDGDDKKKTTNDVEADKTEAKAEDDDVNDVEDKVEYEEIKTLQKKVHRGPLVVVRAD GVHRHDAGMSIVPMSVRTKKESIALLSELDKADSIRKTNAEAKNRLEAFVYEAREYLS QQEEAVASVSTPDQREKLATEVDATEEWLYEDGDDLDANAYNERHAPLRAQLDALAFR VDELAALPEAILKAQQYVISTKDLMEQWATEKPQVTEAERGDIVAKVDELAAWIEEEQ AKQAAIPKHDTPVLTSAQVLKKVSFVKKLVTALGKKPKPVVEKKKEESEKKPKNDTAD ETPPEDLDGHDSKKDVEDKTPKEGDASTSDDKKKDEL H257_00288 MEASPNALKWCEATFHKCVQLMREYPESGMHIVPNITVSQHPLK TNTAAKAMTPTTYRESSDLTSPAMTSWLQTHGTAELGSFRHLQHYDAVVADMGVYLGW LKDQLASHRVHINALHVTDLRALATPGTIVVNCTGLFKEDPAIFPCKGQVVMVHAPWI RSAICDEDSGAYMIPRPNGNLICGGTAENGVWNTDVQADVTDKILAKCALVVPSVARA KVVAARAGLRPERKGGVRVDLEKSPAGGFVVHHYGHGGSGFCLAWGTAVEASSLVRQC LTLRSKM H257_00289 MSGAVVIVGAGVVGLTTALQLILDGVSPSQITIVAKDGPEKSTS FVAWSVVGVVTRTPIYSSPAT H257_00290 MAQVPLQAIKQELERAFLKERDSSKWTIERYDELSGLLDKALDN GLGEPRDKHGIGAATLVQAALNACNVFEKAQIKTQLSQSPGADYVRACNGKTVIIEEC SRILSGLNCLSQQSWNDAIVAPELNGPIFQNSRIETMAFDVRSNVIGVRKAFRRIDPD VRRVDEGLRMVGDVVSQYDCLVQSVESEVASRERLEVDARNNMDRTKSQTTAAIAHGM DRTTTSDMRKCSLMNAVATRATAIEMVDLQHILAEQMQHASEGRLGNDDPAMPNNGDD EQFAQSLFAGPDDGTEATALSTMTRLRAKRSQRQLEQRLATVKHLEGLQTQGSVTVPE DQPKPRDDAPTGVALDDGGGARRSRSVTYKAKTEAERVRLDDMRKAREAVDEGVDDTD AEKSQRADARKFLMKYTGDVWRAVAEGDLDVVQKFFLVDSTAKLLNMHNKEPRQGRRT LLHAAAWRGQESIVEYLLHLGAEVDAIDTIHSKSTALIEAARAGHRGVCKLLLDHGAC LTHQDAHGDTAVHWAARRQWHSLVVFLVKHAEVVTQHSTVRVFALENYQGFTCLDVTK TSYLTELVKRTFNITPISSRDQRRQAKRLGLKKMQRASLRMVMPSSAAGPSAYPQVDD LFDSVVQAKAQVLDGLNTLGLGLETFAYTR H257_00290 MAQVPLQAIKQELERAFLKERDSSKWTIERYDELSGLLDKALDN GLGEPRDKHGIGAATLVQAALNACNVFEKAQIKTQLSQSPGADYVRACNGKTVIIEEC SRILSGLNCLSQQSWNDAIVAPELNGPIFQNSRIETMAFDVRSNVIGVRKAFRRIDPD VRRVDEGLRMVGDVVSQYDCLVQSVESEVASRERLEVDARNNMDRTKSQTTAAIAHGM DRTTTSDMRKCSLMNAVATRATAIEMVDLQHILAEQMQHASEGRLGNDDPAMPNNGDD EQFAQSLFAGPDDGTEATALSTMTRLRAKRSQRQLEQRLATVKHLEGLQTQGSVTVPE DQPKPRDDAPTGVALDDGGGARRSRSVTYKAKTEAERVRLDDMRKAREAVDEGVDDTD AEKSQRADARKFLMKYTGDVWRAVAEGDLDVVQKFFLVDSTAKLLNMHNKEPRQGRRT LLHAAAWRGQESIVEYLLHLGAEVDAIDTIHSKSTALIEAARAGHRGVCKLLLDHGAC LTHQDAHGDTAVHWAARRQWHSLVVFLVKHAEVVTQHSTVRVFALEVCMKTRDTWQIY IHVPCR H257_00290 MAQVPLQAIKQELERAFLKERDSSKWTIERYDELSGLLDKALDN GLGEPRDKHGIGAATLVQAALNACNVFEKAQIKTQLSQSPGADYVRACNGKTVIIEEC SRILSGLNCLSQQSWNDAIVAPELNGPIFQNSRIETMAFDVRSNVIGVRKAFRRIDPD VRRVDEGLRMVGDVVSQYDCLVQSVESEVASRERLEVDARNNMDRTKSQTTAAIAHGM DRTTTSDMRKCSLMNAVATRATAIEMVDLQHILAEQMQHASEGRLGNDDPAMPNNGDD EQFAQSLFAGPDDGTEATALSTMTRLRAKRSQRQLEQRLATVKHLEGLQTQGSVTVPE DQPKPRDDAPTGVALDDGGGARRSRSVTYKAKTEAERVRLDDMRKAREAVDEGVDDTD AEKSQRADARKFLMKYTGDVWRAVAEGDLDVVQKFFLVDSTAKLLNMHNKEPRQGRRT LLHAAAWRGQESIVEYLLHLGAEVDAIDTIHSKSTALIEAARAGHRGVCKLLLDHGAC LTHQDAHVQNTPPNACHEKGGS H257_00290 MAQVPLQAIKQELERAFLKERDSSKWTIERYDELSGLLDKALDN GLGEPRDKHGIGAATLVQAALNACNVFEKAQIKTQLSQSPGADYVRACNGKTVIIEEC SRILSGLNCLSQQSWNDAIVAPELNGPIFQNSRIETMAFDVRSNVIGVRKAFRRIDPD VRRVDEGLRMVGDVVSQYDCLVQSVESEVASRERLEVDARNNMDRTKSQTTAAIAHGM DRTTTSDMRKCSLMNAVATRATAIEMVDLQHILAEQMQHASEGRLGNDDPAMPNNGDD EQFAQSLFAGPDDGTEATALSTMTRLRAKRSQRQLEQRLATVKHLEGLQTQGSVTVPE DQPKPRDDAPTGVALDDGGGARRSRSVTYKAKTEAERVRLDDMRKAREAVDEGVDDTD AEKSQRADARKFLMKYTGDVWRAVAEGDLDVVQKFFLVDSTAKLLNMHNKEPRQGRRT LLHAAAWRGQESIVEYLLHLGAEVDAIDTIHSKSTALIEAARAGHRGVCKLLLDHGAC LTHQDAHVQNTPPNACHEKGGS H257_00291 MATHVVATDVIGIRRWRFPHCELYVHECDRDTCRVSVLLQQTAA ALAAAAVETSCRRHSRPVDAITGNCAPMNHLPDATKYHVAINDKQYNKGLNCGRCVQV QCKVPRCKSNMIITAQATHRCPECAHGDLDMPLPLFLGLRVCHGQEGSSFYWLCVQPM NTMSGVKAMKVNSGSAPPFLPCDFFMTTKLGVEWRRHEHGNNDVVAKPHAPRSASSPP VDSPNSTPLDLNQVLLTPSALHLHFVGRDSSRERLRSMSKAHNVYM H257_00292 MGGWFSKKKKDDDDDDDDVEEEEEKEYTKIDTGDSTAVKYLLDD KVGEYFREEQTSTVTINYTVDNLKLLLMAFAITVSAGTHFSGVPFPDSRYIIIACATI FFICNGLLTSYIWWIEKDIILRFSLKDGDKCVVRAKFPRFQEYYTLRIESVAQPKHVE EKLYVGKFFDEEGHFDEGTFKKAVGALTTKFTKVKTT H257_00293 MHLHCNTRHQPQIPITLRGSAEIVTEFFGFSINNILYQRGIYPP ESFTRVSKYGLAMVVTADEKLSAYLKNVLDQLAGWLVESQVQKLVVVVANANTDDILE RWMFDVYADPPSAHGVNSAAELKPAKVVMSEIQAIMRQITASVSFLPLLNDPCTFDLL VYTDRDVHVPQTWEESDPRLVENSVEVRLRSFTTKVHKVDAMVAYKDPDTTI H257_00294 MFNCDVIVDDSPLMMVNTTKFGNDKHTYASVTTEMDAFAYLDSA SDDPVIDDDEPLSSPPPTSPSSLTRSNSSPSMTSHAINSKPQLVHIRAPSRETVWRQG LPAVIQWNPLMSSVSEVRILLLQRGGAHKMVADHVENNGLFVYMRVPQGLASPDSDYS LRIMSMDGKHFVDSDSFTISP H257_00295 MLHYPTVVDLPDDKREPHESNVTYATSASIIGARSHSVGSPPMS NPSSQTRNNETLLVLPRSRSAPTLVALEVESMAFAMVHSPNKDSVWRWGQPAFLMWER RDMSVSEVRIVLRRKGANACTIIADHVENNGLFMYKAVPAGTTPAADYFISIMSMDST QIVRGDLFTVVAS H257_00296 MLPVHTADISTPTPGSPTQDGIAVDTVPFCICISTSSGVAYLDV HDDASKKAWSAVLLRISQNGPQEANFSKQDMASTADFVFSARVSAYRVIDGKAYICNV IADDNLWKRHSMCTGRCATTATPWYSFRNKYTCRSGSFLVVAILRIHSPRELPFRSFL NSPTIASPQYDDDTQQPTDVRHKSTERVVVVVV H257_00296 MLPVHTADISTPTPGSPTQDGIAVDTVPFCICISTSSGVAYLDV HDDASKKAWSAVLLRISQNGPQEANFSKQDMASTADFVFSARVSAYRVIDGKAYICNV CDHRDAVVFFQEQVHVSLRVIPGCCNFENPFTT H257_00296 MLPVHTADISTPTPGSPTQDGIAVDTVPFCICISTSSGVAYLDV HDDASKKAWSAVLLRISQNGPQEANFSKQDMASTADFVFSARVSAYRVIDGKAYICNV CDHRDAVVFFQEQVHVSLRVIPGCCNFENPFTT H257_00297 MADIDIIEKASNGEVLHEFGALNTLLFVTILGMCIVCAYLIKEY KFYYLPESGAAMIVGLIVGACARVFYPSRKEMDFLRFNKLLFYFFLLPPIIFEAGYSL HRKRFFNNFMTILLYAVVGTMISTFVVGFLTFYAGKMGWISIDSSNPLEALLFGALIS AVDPVATLSILGNPELNLDPLLYSLVFGESVLNDAVSIVLFNTFLKFEESGKEFTYGA IWLLLIEFAMISLGSVVVGFATGLGCSLLCKNTNMNKYPKYEITMLFLFAYGSYSLAE VIKLSGIMSLFFCGITMAHYNTTNLSTTSQITSESIFQSFALVAEFFVFLYMGMGICV GQFGRWDVKFMVLAIVFCLIGRLFNIFPLSWVANWQRKEKVTAQMQVVLWFAGLRGAI AFALSQDMPGANRDLYTTTTLSVVIFTTIVCGGLTEPLLKQTKLKIDDDDLDNDGDDG DEEYGLIAAHDAAASDHPASPDGTAAPSSSMRLRQLSVESEISYVESFWGNLDEKYMK PVFGGAPRRLVSRNVPSASSPVADGGANPSPVQ H257_00297 MADIDIIEKASNGEVLHEFGALNTLLFVTILGMCIVCAYLIKEY KFYYLPESGAAMIVGLIVGACARVFYPSRKEMDFLRFNKLLFYFFLLPPIIFEAGYSL HRKRFFNNFMTILLYAVVGTMISTFVVGFLTFYAGKMGWISIDSSNPLEALLFGALIS AVDPVATLSILGNPELNLDPLLYSLVFGESVLNDAVSIVLFNTFLKFEESGKEFTYGA IWLLLIEFAMISLGSVVVGFATGLGCSLLCKNTNMNKYPKYEITMLFLFAYGSYSLAE VIKLSGIMSLFFCGITMAHYNTTNLSTTSQITSESIFQSFALVAEFFVFLYMGMGICV GQFGRWDVKFMVLAIVFCLIGRLFNIFPLSWVANWQRKEKVTAQMQVVLWFAGLRGAI AFALSQDMPGANRDLYTTTTLSVVIFTTIVCGGLTEPLLKQTKLKVRMLKNAGYWRLL HTSMTTN H257_00298 MDEPTIQRWRHVNSTEHIHMPQLQSPMRRTMSQPSVAGDDRPES LLPDESLLFTRPPGKPLSVWGGGDDDESGHTSSNGISRAWSKKRPRSPGVNSKLKPIE NEMKSPRRMRSFLLNFLADDIGTFRTEIDLYQHAIDSGLAVTDAAVQEVSHLVPGLTS LKLSNCIDVTDAGIWSVARHCPGLTSIYLRKCDKVTDLGLRVLAHQCRLVTVDLTDCV QIGDLALATLAAGCWTIETLVLARCVAVSDAGIAKIAQCCKGLTHLDVSECAHVGEFG DKALVELGKWCGNLRHLDLFGCRHVRDAGVRAIATGCPHLSTLKLTGCRDVGSGSIRE LAYRCHALERLSLAGCIRTHNDDLLRLAASCSQLKWLDISGSPNISNRGIAALAQHCK SLEHLNVSQCPHVSDKVLHTIATHMKSITSLSIMDCARITESGIDTLTAACTKLFTLN MTNCPRIRRRYLHQLVARLEYVDWSSTYFGIEPLANAIELQNHKELELRKQASAILIQ AIMRGCLARGGIYGAMLRRVVRDVLPKIQALVRGFLARAGYRRMRLAIVQEAKTRVIQ RAFRHYKVKMLCRRARRVMQIRQHQDAAALIFQKIFRGHRDRLVVHRMREDLRRIAQL DSRRQAMTEVAATRVQRLWRGHKGRGDVALRKKLIELRRVQAEKEQVAASYLQRVYRG HHGRKDFAKRLVEREKERMRNARAMQIQRAHRHHMAWEARKVRLIEEAEARKIRAVIR IQKNWRGVRERQLGMIMLGLVQLRRQEDKAARTIQSMCRGYVSRNFMKTMKMVLAAQE KRDKSLALLQRMFRGYKGRERAEVHVELRKLEVVAKPLFVKIQTYGARVADLTTKVDT LRRALKADQADEAELMTELDKTMTIKSKFHDSARITGAKQRYLTRYLQVQLADQLQKK RMAVAVQSRNLEIAVAECSEAEKQLRSAKRELQPLTEGVERKTKENRVTRLQVKVRRE KKSATAIQRHFRGFRVRAAVGEGANRWLELFDPTLNRKYYYNAWSQVRRIVRPLAMDI FHDELVPPWKSNNTSTDKWFQCLDEGSGMFYYFNGHTHEYRWEAPTPEQSRDLFDAQP LDELTQRATSRRSIGHSAWEEMMDPETGVVYYHNANTSESVWSLPPQQVLP H257_00299 MNTNHQVFATPVDLKHDDAKLASSEEAIPQHVLDDIALHHGFIW WSMLFLNGSVLWAFYSCLSVQNYYEAKFKAANFNFAYLTTPVSTWPMFVGHALQLFFG WDKKMDMWHRVIVGFSLFVACALVILAQEAFDTHATTGATLVFLSFGLMGAINTLTES VFYALSALFPDSSFTTAILIGDGASGVFTITLNTIIRLLVGGTNPAPADIVRINSVSF YIFFSVLIVVCVIAMVVFTRLLKLDGIQYLVLHNKAETARRHASDERIGDHLGRLWRI SQVIALPMVSQFVVFAVSLTVFPGIGCSSGYQYAAGASWAAWYCSPGIIATYNYGDCA GCVVAPLLLTRLDLKWCFRLTWLRWVFLLLLLIGLPGANPVTLAGPTNSLFAFDGARA FGQFWQLFLNVWIGLTNGILSTITFALGPRLVAQEDRESAGALMAFALDRPSVGNLGP TTGLALKLNSHLVVRALLHHFAFNFSFFSKYFY H257_00300 MARGESWDATEDVQLTRSWVAISSTGAALKASDLNQRVYEHWLE HKTSNIHGDRTPPAITSRWKKLCPVLTCFNGAVINALKSIPSGSNEEGVIDNEMQAIP DAKLNGFERTTVLTCWRLVRDAPKWRVEQDVRGGKKRNAMDMATEKTKRIKGHKEIKA DRREPQRHLVREAATTGRKRKVSDDAAPSSEDDDKLSPSNKKDGAIAWKVVATAVADL TAMMKASHKYKREEIQVQKQANALALRQIELDEQRYRVDKAERDARVALERRERQTQL EFMQSTVELLRTLTKKT H257_00301 MSKLLATFMGRLHRPNLLQAQGYIGGRWVNAVSGATYDIQNPST GANVGSIAAMDVVDTEAAVAAAGDALASWKSRTPFERSGVLQKWDRLLRDNTNDLAFI MATESGKPLAEAAGEVAYAADYLRFFAHECLRHEGFLVPSHLPGRRLMAMRQPVGVCA MITPWNFPIGMLARKVAPALAAGCTAVVKPAGTTPLSALAFAKLGHDAGVPDGVLNIV PAAHEAAPRIGRALATHPTVRKLSFTGSTSVGKLLAAQCATTMKRVSMELGGNAPFIV FDDANMDEAIDGLIQAKFRNTGQTCVCPNRVFVQATILQKFTGRLVDRVLDLTLGDAV SSGCHLGPLITSAAVDKVMGLVGQAVRAGAQVLVGGRPHLALGPNYMQATVLAKVTID MHIANEEVFGPVVPLLAFETESDVIDMANATDMGLAAYCFTSDLGRAWRMSEALEAGM VGINAGVISAVQAPFGGIKQSGQGREGSIVGLDDYTELKYVAMAGLS H257_00302 MVGQKRKKSAVAAEVDDELKEKRRVQWKLNQRNSRQKRTNLAST LTKENSDAADAIEALERRLEALAGSAVVAREPMSVFRGNAAVRIIDEYYQVFQNGFAT CPVQQQFQYDFVRKIMTTSTSFMNAQGAESVVNQWRLMTTSHHSLRIRPLSCEYMKEE DGVVVRAVSRYIVRISRNTLETLYPHVLSREALVQHLVGLEVDALDTVHSYFDGDGRI IRHDVVIDFVNPLIKLLGNVDLAAEVLNGAHLADNGLVDIERNDKRAQKALLHGDVGR PFHGGQRADLAMLLSSGL H257_00303 MLYVLYGSATGTGQDVAEQIGRMATARNIPVVVSSMDAFDIQQL PTISHAVFIASSTGDGEAPENMTNTWKFLLRKSLAVDSLHAMHMAVFGLGDSSYAKYN TVARRLHARLLQLGAVDIIDRGLGDDQHELGYHGALNPWLDRLWVALLQLEPFLLPLG FTIDDSPKPTPPKYLVRIVASDGVSQPSRLHSFYDPPKTALDASRLIQATLTKNERLT AADWSQDVRHIELALPASAPVYSAGDIALLYPENVDVATIDRFLNATLQLPPTTWLAI ERVDGNALDLPPLVTAGELMRKYLDVFGTPRRTFFERLSLFTQDIDEKEKLLELSAPD GADLLQDYCIRERRTYIEVLQDFSTCHVPLEFLLELIPRLAPRAYSIASSSTLHSGQV HLTVAIVDYLTPYKRSKKGVCSAWLQTLEPGAVVFMWIKTGLFRLSPAIHAQNVLLIG PGTGIAVMRAIVQERHAHRGQTAVGGDTHVYFGCRHETKDFLYGAEWKQLESNRAITS LHVAFSRDQEDKIYVQAKLAQQKAAVFAVLSQGGYCFVAGSAKRMPSDVYSTIRDIVA SEGRVSIKEADVFMKSLVRQKRYIVESWS H257_00303 MLYVLYGSATGTGQDVAEQIGRMATARNIPVVVSSMDAFDIQQL PTISHAVFIASSTGDGEAPENMTNTWKFLLRKSLAVDSLHAMHMAVFGLGDSSYAKYN TVARRLHARLLQLGAVDIIDRGLGDDQHELGYHGALNPWLDRLWVALLQLEPFLLPLG FTIDDSPKPTPPKYLVRIVASDGVSQPSRLHSFYDPPKTALDASRLIQATLTKNERLT AADWSQDVRHIELALPASAPVYSAGDIALLYPENVDVATIDRFLNATLQLPPTTWLAI ERVDGNALDLPPLVTAGELMRKYLDVFGTPRRTFFERLSLFTQDIDEKEKLLELSAPD GADLLQDYCIRERRTYIEVLQDFSTCHVPLEFLLELIPRLAPRAYSIASSSTLHSGQV HLTVAIVDYLTPYKRSKKGVCSAWLQTLEPGAVVFMWIKTGLFRLSPAIHAQNVLLIG PGTGIAVMRAIVQERHAHRGQTAVGGDTHVYFGCRHETKVRVRRGRYVNTQMDFLYGA EWKQLESNRAITSLHVAFSRDQEDKIYVQAKLAQQKAAVFAVLSQGGYCFVAGSAKRM PSDVYSTIRDIVASEGRVSIKEADVFMKSLVRQKRYIVESWS H257_00305 MPILSTTSPPMVAHLSRAMSMPSSDFLQYKMSTAKTFHITSPSI STVWHRGAAALIQWECTSNISEVRLVLLRTTNANAYAIVADHVENNGFFVFSHVPTTL PSGDDYFLRILSMDGHHGADTSCFSIRS H257_00306 MEDTRASWTEEKDSTWMVEMIYQSKVLGKSSHSGFKREAWLAAL VKLNREHKVNYSMQQLKARHAEMKKQYAQAVQIIKTSGVSFETTTSRFICLEGSWSHF LLGKPSKWALWQTKRFPQFKHCQELYDGTLATGEFALSTIEPPTQPSVQDSDQTEPWN EGFDLNGDDDDLPQGLPFALNSQDINDNENQLSEDEQPKKKKRRSSAGDMPVKKGSKS VGAAMISELKELRQSGKADVALLIEGLTGGKTKERCPVEVATDALQDDFDDILDGEEM SFAYEVIEDPAKATQFVRMRGESREIWLRRHIRIKMSKHAIHE H257_00307 MAMDGTHIPVAVPSSMVARFQSRKGVTMNVLAACDFDLKFTFVL AGWEGTAGDGKMFEAAKRLGIEATGDQFDLMDAGFVLSKHCLTPYRGMRYHLKEYGRG SRRPLTKEEIFNLRHGQLRNVIERIFGILKKRFPVLVYPVEYDFAFQVDMVLLPPTMK LKETTELKMLYQTSQKATKPSFGETQFASAIWSQYQTTLRNRRRQRQIN H257_00308 MDPSTLVRVLAVWLHRRRMQRDARIKALAYHIFAYINKSPKRMS ILKGTLWVDEVLSGNPNNCMETFRMPRTTFDKLVVRNSSTRHLRSVMAAMRRMCQLYI KMPSTTSPVHPNILHSRKFFPFFSNCRMAMDGTHIPVAVPSSMVARFQSRKGVTMNVL AACDFDLKFTFVLAGWEGTAGDGKMFEAAKRLGIEATGDQFDLMDAGFALTKHCLTPY RGTRYHLKEYGRGSRRPLTKEEIFNLRHGQLRNVIERIFGILKKRFPVLVYPVEYDFA FQVDMVLLPPTMKLKETTELKMLYQTSQKATKPSFGETQFASAIWSQYQTTLRNRHFS GLTPHTDELSGLCWILDDFICEGHLFPIQNVVEVVLQSICGDFNRASFFRLAAGETFN QQGHIRLA H257_00309 MFRNRLDVHVRALIKKPVAAPMNDNGEPSETYPNMWAVLVDMGY VGLAQSVRAVHPKKESVHGTLDRQDLDRNTKVSSDRAIVENFFGRVCLLWKISYGTYA WDSKFYDGIQRLTFALPCWTDVPSR H257_00310 MVLNVNSMLERLHVQSVSDQLYLDESLYGEVVAEENDTLTYSNS VIDKVMEDSGVEGFLPPRQRYDLYPYAKYAADVKFQPSHRPSGRFGEQRHYFSGKHKL YGLKIKASVSPQGQLVDMSPLEPGSVSDLTMFRTRLDVHVAELTKTPTETTVNDNASV LAIHPKKRPANGALDRHDLERDAAVSADHVIVENFFRRVCLLWKISYSTFAWGEKIYD GIQRLTSAWTNFHIGLMPLREDDHHQYRSVLARYARIAE H257_00311 MLSLHLQTKKHSCRILRAGPIMEVPLVPGVELAACKSYIDTKTK KWTLNIWKCRYWHMHNQELTVYYEKADLMKNKFVKRYTILSGAKWEDKPWGVKVETKE VGWLFGVIHSKAEWAGWLHAFIVLDHKLNPPVRRVEPRPRRFSLDSNQSTSDLRSAEN SPKDHGDSPSTSPTRRVSFNGGVKVRTIPALQDEDKGDLYYTDTELDNMKKGAPAGSA MASRRRPMPVMA H257_00311 MLSLHLQTKKHSCRILRAGPIMEVPLVPGVELAACKSYIDTKTK KWTLNIWKCRYWHMHNQELTVYYEKADLMKNKFVKRYTILSGAKWEDKPWGVKVETKE VGWLFGVIHSKAEWAGWLHAFIVLDHKLNPPVRRVEPRPRRFSLDSNQSTSDLRSAEN SPKDHGDSPSTSPTRRVSFNGGVKGAPAGSAMASRRRPMPVMA H257_00312 MEEGRVHFENQPLRNAAILESLDQVEDQLVLAVEKAGIAMQHLA NVTTEGRDAEFQKTSEEFLHLVGGIHTELAKHAHLVQDYRSYGRSTYGVEKDAELART NVKMILAQLRDLRRYTDENNAE H257_00313 MLKAWDEATKHQRKALLDEFAGRYRDAHMFETTVDLDEDLGSSA GLYLSRIVSWLRLNCTDGDGLTQHIHAVAVFLRGHYVQEFVEMEGVPVLLYVLSFPTH AVTDADCAAVIHLLQTLGRCGRSFKEYISHCGGERSVVQCCIARSPRVSCDSELLLTS HAMLLEQCSGNPNSTTATFAALHLLLDSNHVSLVRMASQIVCELVSTKSPHYDARVIE RIWDLVPTAITLLGNDSVKVQYDALELVHILLHDSSNHHALCTELLRWLRDAAVKPDH NLPKTADEFYEVECFMHAKSHVVRICHCLDSILLHCPPFAATIIGLHGVDALVYVVLA STEGSVKWQAACFTLLRLCVTHEGTYGVLAETCQHSTSFVRDILSDTAQLTTTKDTHE IELDTCMEMLVGDAAHQSTIWRHLYNQKWPLRPVVMLERDDEYDDHTLETLAAQVEAT ITPVRQDFAFEDGLLADETSPPQHGDHYYIQKLHTHFQKYRRPVQEAAHNHA H257_00313 MLKAWDEATKHQRKALLDEFAGRYRDAHMFETTVDLDEDLGSSA GLYLSRIVSWLRLNCTDGDGLTQHIHAVAVFLRGHYVQEFVEMEGVPVLLYVLSFPTH AVTDADCAAVIHLLQTLGRCGRSFKEYISHCGGERSVVQCCIARSPRVSCDSELLLTS HAMLLEQCSGNPNSTTATFAALHLLLDSNHVSLVRMASQIVCELVSTKSPHYDARVIE RIWDLVPTAITLLGNDSVKVQYDALELVHILLHDSSNHHALCTELLRWLRDAAVKPYG AIASSAVDTNDHILRDHNLPKTADEFYEVECFMHAKSHVVRICHCLDSILLHCPPFAA TIIGLHGVDALVYVVLASTEGSVKWQAACFTLLRLCVTHEGTYGVLAETCQHSTSFVR DILSDTAQLTTTKDTHEIELDTCMEMLVGDAAHQSTIWRHLYNQKWPLRPVVMLERDD EYDDHTLETLAAQVEATITPVRQDFAFEDGLLADETSPPQHGDHYYIQKLHTHFQKYR RPVQEAAHNHA H257_00314 MYESDHHLLDDEDHLQHALTKGNKRNGGFDRRKGKGSVANHLLN FSRPVRNNDTTSFVRKKKGPPPRTRDEFLHANFRFVISPLAANESRALYDPDALTEWD KIEQVIVAHDATVDADHRCPICLDTLRAPKITRCGHAYCWHCILTYLSLTENYWRRCP MCFDAVKKHDLRSVLIDRHRTIPSVGSSATFRYVHRGRGSWFPHLPAPQAAPSSTKNH HPQQKGGIPSVHGANAVFSRILESTPSYLESMIQSETEDLDALAAEAKSSGDDHALPV IQEALAYCEKRLAKLRGPSTAPDEPVPTTTAKATKKTSSPSEHEEEEAPYSFYQLDNG HAAILHPLCLRALTREFGSTHSFPHTITATVLEIEHMVVTDDVRRRFRYMAHLPSHCD LFLVEVDLSPVVSPATYSHFYSDIKKRARGRQAKRHPHHDKKPQPRSDSFDMCLMLQL ALDNDDHYPHLQDTAASDDKTSRRLDDEGATGDYSSPYASFANVTTNQGYYPSFNADG DDRRPAAAAAPGWPNVNGSTDVPFDIDELKKESQQGKKAKKGVSLFATSQRRSYR H257_00314 MGGLTDAKARGPWPTTCSTSRAQCETTTQPRLCGKRKDRHPAHG TNSSMPSPLPLTSSLLSDGGCSFRFVISPLAANESRALYDPDALTEWDKIEQVIVAHD ATVDADHRCPICLDTLRAPKITRCGHAYCWHCILTYLSLTENYWRRCPMCFDAVKKHD LRSVLIDRHRTIPSVGSSATFRYVHRGRGSWFPHLPAPQAAPSSTKNHHPQQKGGIPS VHGANAVFSRILESTPSYLESMIQSETEDLDALAAEAKSSGDDHALPVIQEALAYCEK RLAKLRGPSTAPDEPVPTTTAKATKKTSSPSEHEEEEAPYSFYQLDNGHAAILHPLCL RALTREFGSTHSFPHTITATVLEIEHMVVTDDVRRRFRYMAHLPSHCDLFLVEVDLSP VVSPATYSHFYSDIKKRARGRQAKRHPHHDKKPQPRSDSFDMCLMLQLALDNDDHYPH LQDTAASDDKTSRRLDDEGATGDYSSPYASFANVTTNQGYYPSFNADGDDRRPAAAAA PGWPNVNGSTDVPFDIDELKKESQQGKKAKKGVSLFATSQRRSYR H257_00315 MTPERRNLTDDEREAILREVLLRSNGSYITRLPKGFSQELADKY KCHVSTIRRVLAVAKQQGIGGGNMKVTVASKMKGRVGRKKAFTAEQVKAKLLQVPLAQ RTTLRSISERTGISLGSLHRYLKLGLFRSHSNAIKPLLTDANKYGRMKHAVEFVGSTL ELNDMLQFVHLDEKWFYITKVSRKYYLVPGEKEPKRECKSKQYITKVMFLCAVARPRY NHATDSWWDGKIGIWPFVEPVAAQRDSVNRKAGTLETKSITVTKDVYRTFLLDKVLPA IVAKWPRADHTIKLQHDNARAHVTPEDAKLKAALDTYKAVGWYMSLAPQPPNSPDTNV LDLGFFAAIQSLQHRKSARTIDELVGHVESAFVEYPLARLNHTFMTLQSCLVETLKLF GDNAYKVPHMSKEKEERKGMLPQNVSCPRDVFEAAKVRLDGVAYAKLDCVLAAELEEA RCIDELAQALETIALDDDEPDDIISALCDAGIDPISVVEDDE H257_00316 MVLAELGTRLQNALSKLNRSSTVDDEMLNTILKEICGALLESDV NVRLVQQLRAKVKNAVEEEEVTSGNRRRLIHKAVVDSLVSMLEPETPSYKLKKGQSNV VMFVGLQGSGKTTTIAKYAHYYQRKGWKTCMVCADTFRAGAFDQLKQNATKLRVPFYG SYTEADPVRIAQEGVAQFRAEHYELIIVDTSGRHKQESDLFAEMQEVYDAVAPDDVVF VMDSTIGQAVHDQATAFRHAIPVGSVIITKLDGHAKGGGAMSAVAATDAAITFYGTGE HFADFESFNASSFVSRLLGMGDMKGLLEEVKSAGILDNQEEMVAKLQKGVFTLRDMYN QFQTVMKMGPLSKVMGMIPGMGAAFGDMLKGGGEEEGVKRLKRFIYMMDSMTAAELDD VVPLTPSRKLRVAKGSGCLPVEVEFLLKCHKQFAGVITRMGKSGMLKGPEAAKQRNPH GPKNQLPGKGGGLDPRMLAQMGGPQGMMDMMKQMGGGMDPSALMKMMGGGLPGMPKF H257_00317 MWRRAAAEACLLGIPVVWMYMLLDELPTLHSVSMLQSLYLAVGV MAALVVGGALVTLVETTSTHFIRQHAREEADSGILIGVAIVPIVLGSRLLLDLTSSVQ SDYTLSHLWLSLVIGTSSLVRVSTTMPWSVTSGIVESILLHGLCLALQVPPNMFLVHV HIALHLYNVLLQYGLAAVSRSFTYGEAMVIAQGMTFLTLDAALFTLHQGSWLRPSSYP VPQRDGIAIIVQVGLVLTMLLLPLLCTPLFHTYGTSTPRIVRPTLPAAPTAHFAGLLV IVAIVFIVWTSFLLHMPLWKWVVDTLLVPSKVGVAAYWLGVLAVAVPCCPIVATRFKL RQIVARKLYHVMVVLLFLPVSFVDVDMLRLSYGVAVGVFIVLECVRAMAVPPFGRSIA LYMRDFLDHRDQGRVVLSHTYLLVGCALPLWILFPTSSTSTNLEEHRHHQRAGSTALI ANAGVLALGIGDAMGAAVGSSYGATKVVGHKSLEGSAAMVVSMCVVSVVCHSYHWDVL AHGRYAPAVQWLGATVATATLEAVTCQIDNLVLPLYYCALCCLTACSH H257_00317 MWRRAAAEACLLGIPVVWMYMLLDELPTLHSVSMLQSLYLAVGV MAALVVGGALVTLVETTSTHFIRQHAREEADSGILIGVAIVPIVLGSRLLLDLTSSVQ SDYTLSHLWLSLVIGTSSLVRVSTTMPWSVTSGIVESILLHGLCLALQVPPNMFLVHV HIALHLYNVLLQYGLAAVSRSFTYGEAMVIAQGMTFLTLDAALFTLHQGSWLRPSSYP VPQRDGIAIIVQVGLVLTMLLLPLLCTPLFHTYGTSTPRIVRPTLPAAPTAHFAGLLV IVAIVFIVWTSFLLHMPLWKWVVDTLLVPSKVGVAAYWLGVLAVAVPCCPIVATRFKL RQIVARKLYHVMVVLLFLPVSFVDVDMLRLSYGVAVGVFIVLECVRAMAVPPFGRSIA LYMRDFLDHRDQGRVVLSHTYLLVGCALPLWILFPTSSTSTNLEEHRHHQRAGSTALI ANAGVLALGIGDAMVPGVRLQQLFK H257_00318 MAAAPLKLAYQGVPGAYSEKAVHQLLGANAATTTGHATFESAVA SVRSGAADYALVPIENTLGGTIHVNYDLILRYKLHIVAETHLRVNHHLLGLPGSSKAA IKTVISHPQALAQCDQYIRNLGAVARDEEDTAGSAKLLAAHPDWTTTAAIASDLAASL YGLVVLDSSIEDESNNYTRFLLLSASPASVTGTSTVKATIAFASAGGASSLPRALATF SAVQLTKLESRPFGPVANQSLNAGVSGDKYKYVFYADVTGTSAAILSAVAALKALEAT DALVLGTYPVDGALADSIHVPTPPSPPAKGLNPLLNKLAPSKTNQIHGLTKQLEAQGQ TVYSLCVGEPDFNPHAAIITKAQQALADGFVKYTEVPGMIALRRGIARYLERAKGVKY DAASEILVSSGAKQSVFQALLVSCTPGDKVLIPAPYWVSYPDMAKLAGAVPVTLPTTL ANSYLIEPAVLRASLVANPTTRVLILCNPSNPAGVLHGPDLLAEIALVLQDFPNVLVL ADEIYEQLVFQDDGTPTRRHVSVASLPNMRHRTLIINGFSKSHAMTGLRIGYMAAPKE FTAAASKIQSQITSCPSSIGQVAAIAALELEEASPTPLIAGTLANMDEKRKYVCGRLN AMAHVQYAYPTGAFYVFIELPHYIGTGFKTPAGVAIDTDEAFTTYLLGSFHTAIVPGS AFGIEKAVRLSYATSLEILGHSLDAFENSLKSLVR H257_00319 MLASRLCFNSWHFCPPGRTLATFGTRLHFGGSLAWLVRTCTATS RRHIALTRNPVMTVSCSMTTRNSMSKPCKLAVTPNNLQRHVLTTCPVTSLLSAFPWLH GGATIVSITFGRLLFHRNSSNLVLYY H257_00320 MPVISAISPKYIEGLSIEALHNAGLLTDTSMKMYTPQNLTRLNR FESVFQFFTVPDSSKGAEIFHHVMALSALVSCGSLFLRTLDGPNLDSLYPDYPSLPDD HTYKIIDGVFTVLFSLDFVIRLIIWPSLWKESDVLRERRLLPFARDIFNWFDLLALVP FYVDTIFGDGTSFVVMRLARLLRIFRLAKNNSGTYILFQAIRASMPGITIALIFLLEI VLVFSCLMYLFDPCYNKDACMFPDLLTSAYYVVVTVATVGYGDVVPSAGNALGRITAI VIMIMGTLFLSMPLAIVGTEFDRAWRDHTEKVVKRSLESMQAAAAGRDASKVHDTVMD DNPEILTKYMIPNAAYLRLASLTGEMALIASALAADLKSETDNVKVNQVAQDLHAHMK QCHEKYKELVHVIDDMVHQDDSSHGLKRSSLRDRIMSSFQSSLRRSLTTEDKSQPNGK TAARPDTTSSQRIQYRWVIMVFSIIVLTLQTMPELQFYGEQTELCKAVVKEYCALPSA ANATADPGCFSAVDPTQPLDFSCGKLDGIKDMAPNCYGVLNNFGGLYNSSLGCLWDNG NFNLTGLGLVKPFRTKYDLDQADLPLDVCQRRECQRNHFYYLDLSNAWPLLEYLFFAS SVVEFFQHLGATGVRNLNWKNPWQWLDALAFIPFVVFEFKRYMMGIIPTYEMVPSSND ILSTLRFARIFRWFQIQKEIPATVVVSEAILKTYKRLVIPYFMLTLVTTIFAFVIYQL EMGTECFVGEPCVVGGKVLTKMPEATKHMKFHKRILIDSKGNLTQFEDVFSGIWFIIV TITSVGYGDIVPINMSGKFIAVLAMIFGACYTAMPLTLVGSQFNRSFNDYKRREALTK TKQDVSTRLALAADDERAWKAFKDKHLIVEIKSMFERDFLSLINETESEERLDSDGRS KKLEVVATIKTSIEIFKVSILQSSSIVSRIYHEGLRLAKQQTLALHKTS H257_00321 MRGEVKATGEYTVEYAFHEYGDLGIQLEFATNGDVFVRNVEPVM GLVEKANPDLHQGDVLVSINDTSTLGMAFSDVMQLLRDTIRKRIETSLTFVPLEDSFE TADTAVANWRRRELSCRDDGEFEVYFAPSQPLGFRLTESFLMTQPLCLVSTTRSQLMP LLRCIVTNVNDHDVLGAPSNDVMHLLHDPSTFPKRIWFWKMAPGHDKAYSVVTVATPA LLLASMTLSPIDLMFEVPVVHSLLPAPNGGAVAGSSTSRITYKPPPLHHPDNGVRKDQ YLMAVNGVSTLNVPHDDNTTTFVSTISMLHGVLEASVPRRLRFRDMDLYKREFQAQRP LSPWKRSELHESKPTSRRPTADCLDTSDDDSEVTVEAVTTSFSTLVSNNAAAMMGQLD DSTNERVRQSKRGMRRVVLPPSTSPLGLQLETDFSSKYTVFHRYVRTNTVKSNTICKG DRVLFVNGVPVRDIPIEKLELLMKGHVEPRKITVGRVLDKPKRGWSWKALVTWRQDKT PSPQIQHCKPMV H257_00322 MAGRIVRLEQCVVDKIAAGEVVHRPASALKELLENSLDAGAKHI TVVAGQGGMKMLQITDDGSGIRREDLDIVCERFTTSKLRKYEDLQEIASYGFRGEALS SVSHVAHVTITSKTKDQPCAYRAHYRDGELVSTLPGGSKDPIPCAGKTGTQILVEDMF YNLSTRKQALKNFSEQYHRVLDVMQRYAIHYGGTGTSFVCKKHRETTCDLNISSSSST QLDVIKSIFGSSLAAELVAYSLTSQQATVNVTGYVTNANYNTKKSTFIVFINNRLVEC PALRRACEYTYAHYLPKHTHPFVYLALAIPPSHVDVNVHPTKREVHFLHEEAVVDAIA SHLNTLLQGGNQSRTFTVQPIAAIMASHLSAREKTAVTSLSDKDDDDDDEEDDADADT PQKKKQRTTLTTLVQLDLSKSKAKASQPTKAPQRLVRTDHTTTTMDKYLLLQSQESGG DNEDDIALDVDPMEGVDLDSNDEELDPKNLTSVVTLLRRVTSSADRGLTKVFREHTFV GVVNDLSSVIQHQTKLFLVNHLVVTEMLMYQTLLRQFGSVPTIPLHGRLVVSELALCA LTGADGPSSFHDEATGGDDDRRAQAADVASLLVDKGPMLAEYFGLHINAEGHLTRIPQ IVVGHEPSLHALPEFILRLYDDVNWTDEAECFDGVCSALARWYSDGVYPDDATRGRHL VEHVLLPACKTSSFVAPYALNDVAAVTPIACLNNLYKIFERC H257_00322 MAGRIVRLEQCVVDKIAAGEVVHRPASALKELLENSLDAGAKHI TVVAGQGGMKMLQITDDGSGIRREDLDIVCERFTTSKLRKYEDLQEIASYGFRGEALS SVSHVAHVTITSKTKDQPCAYRAHYRDGELVSTLPGGSKDPIPCAGKTGTQILVEDMF YNLSTRKQALKNFSEQYHRVLDVMQRYAIHYGGTGTSFVCKKHRETTCDLNISSSSST QLDVIKSIFGSSLAAELVAYSLTSQQATVNVTGYVTNANYNTKKSTFIVFINNRLVEC PALRRACEYTYAHYLPKHTHPFVYLALAIPPSHVDVNVHPTKREVHFLHEEAVVDAIA SHLNTLLQGGNQSRTFTVQPIAAIMASHLSAREKTAVTSLSDKDDDDDDEEDDADADT PQKKKQRTTLTTLVQLDLSKSKAKASQPTKAPQRLVRTDHTTTTMDKYLLLQSQESGG DNEDDIALDVDPMEGVDLDSNDEELDPKNLTSVVTLLRRVTSSADRGLTKVFREHTFV GVVNDLSSVIQHQTKLFLVNHLVVTEMLMYQTLLRQFGSVPTIPLHGRLVVSELALCA LTGADGPSSFHDEATGGDDDRRAQAADVASLLVDKGPMLAEYFGLHINAEGHLTRIPQ IVVGHEPSLHALPEFILRLYDDVNWTDEAECFDGVCSALARWYSDGVYPDDATRGRHL VEHVLLPACKTSSFVAPYALNDVAAVTPIACLNNLYKIFERC H257_00322 MAGRIVRLEQCVVDKIAAGEVVHRPASALKELLENSLDAGAKHI TVVAGQGGMKMLQITDDGSGIRREDLDIVCERFTTSKLRKYEDLQEIASYGFRGEALS SVSHVAHVTITSKTKDQPCAYRAHYRDGELVSTLPGGSKDPIPCAGKTGTQILVEDMF YNLSTRKQALKNFSEQYHRVLDVMQRYAIHYGGTGTSFVCKKHRETTCDLNISSSSST QLDVIKSIFGSSLAAELVAYSLTSQQATVNVTGYVTNANYNTKKSTFIVFINNRLVEC PALRRACEYTYAHYLPKHTHPFVYLALAIPPSHVDVNVHPTKREVHFLHEEAVVDAIA SHLNTLLQGGNQSRTFTVQPIAAIMASHLSAREKTAVTSLSDKDDDDDDEEDDADADT PQKKKQRTTLTTLVQLDLSKSKAKASQPTKAPQRLVRTDHTTTTMDKYLLLQSQESGG DNEDDIALDVDPMEGVDLDSNDEELDPKNLTSVVTLLRRVTSSADRGLTKVFREHTFV GVVNDLSSVIQHQTKLFLVNHLVVTEMLMYQTLLRQFGSVPTIPLHGRLVVSELALCA LTGADGPSSFHDEATGGDDDRRAQAADVASLLVDKGPMLAEYFGLHINAEGHLTRIPQ IVVGHEPSLHALPEFILRLYDDVNWTDEAECFDGVCSALARWYSDGVYPDDATRGRHL VEHVLLPACKTSSFVAPYALNDVAAVTPIACLNNLYKIFERC H257_00322 MAGRIVRLEQCVVDKIAAGEVVHRPASALKELLENSLDAGAKHI TVVAGQGGMKMLQITDDGSGIRREDLDIVCERFTTSKLRKYEDLQEIASYGFRGEALS SVSHVAHVTITSKTKDQPCAYRAHYRDGELVSTLPGGSKDPIPCAGKTGTQILVEDMF YNLSTRKQALKNFSEQYHRVLDVMQRYAIHYGGTGTSFVCKKHRETTCDLNISSSSST QLDVIKSIFGSSLAAELVAYSLTSQQATVNVTGYVTNANYNTKKSTFIVFINNRLVEC PALRRACEYTYAHYLPKHTHPFVYLALAIPPSHVDVNVHPTKREVHFLHEEAVVDAIA SHLNTLLQGGNQSRTFTVQPIAAIMASHLSAREKTAVTSLSDKDDDDDDEEDDADADT PQKKKQRTTLTTLVQLDLSKSKAKASQPTKAPQRLVRTDHTTTTMDKYLLLQSQESGG DNEDDIALDVDPMEGVDLDSNDEELDPKNLTSVVTLLRRVTSSADRGLTKVFREHTFV GVVNDLSSVIQHQTKLFLVNHLVVTEMLMYQTLLRQFGSVPTIPLHGRLVVSELALCA LTGADGPSSFHDEATGGDDDRRAQAADVASLLVDKGPMLAEYFGLHINAEVIHLYELP HQSCTRAT H257_00322 MAGRIVRLEQCVVDKIAAGEVVHRPASALKELLENSLDAGAKHI TVVAGQGGMKMLQITDDGSGIRREDLDIVCERFTTSKLRKYEDLQEIASYGFRGEALS SVSHVAHVTITSKTKDQPCAYRAHYRDGELVSTLPGGSKDPIPCAGKTGTQILVEDMF YNLSTRKQALKNFSEQYHRVLDVMQRYAIHYGGTGTSFVCKKHRETTCDLNISSSSST QLDVIKSIFGSSLAAELVAYSLTSQQATVNVTGYVTNANYNTKKSTFIVFINNRLVEC PALRRACEYTYAHYLPKHTHPFVYLALAIPPSHVDVNVHPTKREVHFLHEEAVVDAIA SHLNTLLQGGNQSRTFTVQPIAAIMASHLSAREKTAVTSLSDKDDDDDDEEDDADADT PQKKKQRTTLTTLVQLDLSKSKAKASQPTKAPQRLVRTDHTTTTMDKYLLLQSQESGG DNEDDIALDVDPMEGVDLDSNDEELDPKNLTSVVTLLRRVTSSADRGLTKVFREHTFV GVVNDLSSVIQHQTKLFLVNHLVVTEMLMYQTLLRQFGSVPTIPLHGRLVVSELALCA LTGADGPSSFHDEATGGDDDRRAQAADVASLLVDKGPMLAEYFGLHINAEVIHLYELP HQSCTRAT H257_00322 MAGRIVRLEQCVVDKIAAGEVVHRPASALKELLENSLDAGAKHI TVVAGQGGMKMLQITDDGSGIRREDLDIVCERFTTSKLRKYEDLQEIASYGFRGEALS SVSHVAHVTITSKTKDQPCAYRAHYRDGELVSTLPGGSKDPIPCAGKTGTQILVEDMF YNLSTRKQALKNFSEQYHRVLDVMQRYAIHYGGTGTSFVCKKHRETTCDLNISSSSST QLDVIKSIFGSSLAAELVAYSLTSQQATVNVTGYVTNANYNTKKSTFIVFINNRLVEC PALRRACEYTYAHYLPKHTHPFVYLALAIPPSHVDVNVHPTKREVHFLHEEAVVDAIA SHLNTLLQGGNQSRTFTVQPIAAIMASHLSAREKTAVTSLSDKDDDDDDEEDDADADT PQKKKQRTTLTTLVQLDLSKSKAKASQPTKAPQRLVRTDHTTTTMDKYLLLQSQESGG DNEDDIALDVDPMEGVDLDSNDEELDPKNLTSVVTLLRRVTSSADRGLTKRYCTYSRS FESIRLWAL H257_00322 MAGRIVRLEQCVVDKIAAGEVVHRPASALKELLENSLDAGAKHI TVVAGQGGMKMLQITDDGSGIRREDLDIVCERFTTSKLRKYEDLQEIASYGFRGEALS SVSHVAHVTITSKTKDQPCAYRAHYRDGELVSTLPGGSKDPIPCAGKTGTQILVEDMF YNLSTRKQALKNFSEQYHRVLDVMQRYAIHYGGTGTSFVCKKHRETTCDLNISSSSST QLDVIKSIFGSSLAAELVAYSLTSQQATVNVTGYVTNANYNTKKSTFIVFINNRLVEC PALRRACEYTYAHYLPKHTHPFVYLALAIPPSHVDVNVHPTKREVHFLHEEAVVDAIA SHLNTLLQGGNQSRTFTVQPIAAIMASHLSAREKTAVTSLSDKDDDDDDEEDDADADT PQKKKQRTTLTTLVQLDLSKSKAKASQPTKAPQRLVRTDHTTTTMDKYLLLQSQESGG DNEDDIALDVDPMEGVDLDSNDEELDPKNLTSVVTLLRRVTSSADRGLTKRYCTYSRS FESIRLWAL H257_00323 MDEGEAILLGIPANDVRVDETCPYTAKLGGVPAWYDASKAIPKA DLVCPQCYNQLYLVAQVYAPVTTPRTLYIFGCNSAKCVHVPQSWRVFRFQVDDNDQPK PTAAVETSTRPPVASIGWGRDDSNSDDDDDDDGWGSVPGSTWGSTPSATTSDIDLEAL LNDRDNAIQCSSRSSPQPPPAPTTKPDISVVVSKNTSIEDESSSHFASIFLHVDDEPA VSGTERFQHETQLLNAYLADEEKENASEVARLRTILKAKPSSSDGHHDTTSGGDHAEA YERTPLRDKLFLRFQKRLKRSPSQCLRYNYGGDPLWPSPPPAKLDVPTCACGDARVFE MQLMPATNYFLKVENYVKATTTATPVDTLPDTTTTTRRHPSSKTILDGGMDWQTVAIW SCPSSCAASHEEFAYVMPPIVD H257_00323 MDEGEAILLGIPANDVRVDETCPYTAKLGGVPAWYDASKAIPKA DLVCPQCYNQLYLVAQVYAPVTTPRTLYIFGCNSAKCVHVPQSWRVFRFQVDDNDQPK PTAAVETSTRPPVASIGWGRDDSNSDDDDDDDGWGSVPGSTWGSTPSATTSDIDLEAL LNDRDNAIQCSSRSSPQPPPAPTTKPDISVVVSKNTSIEDESSSHFASIFLHVDDEPA VSGTERFQHETQLLNAYLADEEKENASEVARLRTILKAKPSSSDGHHDTTSGGDHAEA YERTPLRDKLFLRFQKRLKRSPSQCLRYISTSKLLSNTLVLHTTLLLSF H257_00324 MTTRVHDATAARSLEDFVVSRKQHKSAVPSSERSAKASQYDQDE EKQSDVESSSSRARPHSKDHEKKRSESSKDVDPSSKKRKQTASSSSDATAKQDNSGDH DKAKPAKRRHLEHPTSPSPREAVGRPHKAKAASSSHVSEAKADTHKLVGAFVQSVEKV LNQYDGEKDRDVAFKMLQKDYLALLNVRQTEPERLLAESNRIAKEAKALHADANTKLR QQVANLTKKLDKYDKLREHWDRIKARSGGGDVLGGADDSDAMGTLKHENAIMHAENNS LRNRVKNMERELELERSAKHATQVPPAHATSSTEINRLESAVADLTGKLVQANKLLGV YELISSMHIDLTSASDDKVQVSCRAIDSLDAQQFSFRVGIPTNPRQELEYMPATEEVD HYHRQAEPTVPEYLLEELNFQRSELTRFMRTILEAVIRKKA H257_00325 MFVLCRVADVIQVAPELFSSDYSSVLIEEIDRKYANKVVADVGL CITHYDFIEIGDAYIHPSDGTSHTDVIFRMVVFRPFIGEILKGKIISCTEEHIRVSMD FMQDIIIPSYAMQNPSFFDKTERLWEWKFPGREDDQDPYYMYLNEEIRFRVTNINFTR VLKTAKGMQAVTDATDSKPDKHEAPSRRRSSSVDLSDSDPKPAALQIIGTIDEDGLGL SSWWVET H257_00325 MFVLCRVADVIQVAPELFSSDYSSVLIEEIDRKYANKVVADVGL CITHYDFIEIGDAYIHPSDGTSHTDVIFRMVVFRPFIGEILKGKIISCTEEHIRVSMD FMQDIIIPSYAMQNPSFFDKTERLWEWKFPGREGIYCYAALPPIPTFVDDQDPYYMYL NEEIRFRVTNINFTRVLKTAKGMQAVTDATDSKPDKHEAPSRRRSSSVDLSDSDPKPA ALQIIGTIDEDGLGLSSWWVET H257_00326 MSSATIEASVPKSLTSTLAAKPDERKVSKTLKTFGGMAGGFVEA CTLQPLDTVKTRLQLSGTKDGAIQVARKIVTEEGASALYKGLTPFVLHLVIKYSLRFS TNEFYRGLLADKEGKVTPVRGFLAGAGAGITEAIFIVTPFEVVKTRLQQQKGSTNLKY HGPVHAAQTIFREEGAAALWKGCVPTMTRQGLNQCFLFGSYDLLKKAMWGLSRDDKIS SYQALFTGMVAGMLGPVFNTPVDVAKTRLMAQANKVGEVGKYTGMVQCIRTVAKEEGV GALYRGLVPRMARVAPGQGITFAVMETVCKTFA H257_00327 MPTDHNPSGYHTFSIHIAFHNCWAIVVYDYHVDETYPPDEYDGE YYDEDTTPEPEYVEPAYTEPPEDYEPATSYQDYDGYPPESYSSDFADDYNPSDSYVTE VHHVEEPYPTIVLDDEPALRLPHLSQYHCFLNGAPWTNCGGKPLREFFLDQCLTLFYR LSASVDGLCAGPAKTRPPSTTTATPTTTTTTVAPTTTAPADDGYGYANSYGQYGYAKT TTTKAPTTRRPAYTPRPTTAEPTEEPYVAPAAAPTYCDAYYEERCLQTSYVSITTATV QCLTENSIRVLFIEKYAAAAATNPVEGPILYLKKLHEFVSNVIACNPDKVTNYPTIWR QFTSQLGVKYWPTAPFTVGKNNRIDIPRCTSRDASGWDTYTINGRFYCPAQNTLIGDN SPPVTTPNAWTYRSDHTDKFYSWEVANRAVGTAIGSYTGVQPLLKQCQVLEGATEDVG QELSNPDTLRGLIDSVRVWDSASHSNVRPRIIAEIVAQYQGTQKQPILEGNGILYFCS FYACRANNHGDVSSCFPEVRNSDWALPHYKVDVWNQLTDDIQECLDEGWIDADDVSEV AKRLTQTLTTRTHICQAEEELVAFFKTIHGAFDPTVQDGHWLFLRQIANERVYSQCDS VEDLYEALFAVLRKVKNRCVSRIANYKPPTTTTTTRKPRPTKNYGATPTTTKSYGYAA TTTKSPTYGYRSNA H257_00328 MLLLLNRDDESSSYTELPITSGATPKLPPLASLQQTTRLGCHQV PLLRLQKPQYGHVYHTSLQRTFKVVLDDQLQVDWRGTFICFGYDEGSVFAALCGRRKG RWRHEEVRYAAYWLERLHGGASRQSTRAALAAALHSDEMRVLKKLHNCPVLTSMAKSS SGQSSDSYESLRVGFLKATQLEALLAIRPHLGYLIAMAPSVR H257_00329 MAPPWARRWSGEACTPVVALSAPWWSWSSRSRIGRTSWRSRSHR RRVPWSWGPPSWWWSWGWPSWWTGVGSWRGRRTIRPRTQTADRTRSNTGPRRIRAVAC RRSWSTALR H257_00330 MQMSWIFRAVVHTLLVVGMTYHAVDVDSHLLPYSRNLYNELWRL LNGDDQDQPLNLFTIRRGVDHVHLVLANYFSLPDVALGKLHVAPFAGIPLPYLTVVFS SPSDPDHESSRTYILDKGNEQAWPEGLRPSASSSVTREFFDTLKHMTLAMEVQSHKPS LGTLEHEAVVHRDYMRWKVQFKYNFSTQGQIQLHMAVTRQLPTPPSRLSTTARSPTDY IWRYVGLIVLSGGYLILETWAFLGNVVNDTPSWRVVLVQNLSGAFVVVTNVITIACFL HAWSEAPVLVYVEPLSWTYAVASMGQWLSLLRFLSFNPRTYILGLTLKRGAPQVVEFL IGVCPLFVGYVLFGTIMFGATVPRFAGMAATATTLFALANGDEIRDTFVSLPWHGQLY LYSYIVIFAYVVLMVCIGIMEDAFFTSAFPSLWKQSTATLTEDQWRHVVELIHDRTKA SHPDMVACQTDILTHVERQLF H257_00330 MQMSWIFRAVVHTLLVVGMTYHAVDVDSHLLPYSRNLYNELWRL LNGDDQDQPLNLFTIRRGVDHVHLVLANYFSLPDVALGKLHVAPFAGIPLPYLTVVFS SPSDPDHESSRTYILDKGNEQAWPEGLRPSASSSVTREFFDTLKHMTLAMEVQFKYNF STQGQIQLHMAVTRQLPTPPSRLSTTARSPTDYIWRYVGLIVLSGGYLILETWAFLGN VVNDTPSWRVVLVQNLSGAFVVVTNVITIACFLHAWSEAPVLVYVEPLSWTYAVASMG QWLSLLRFLSFNPRTYILGLTLKRGAPQVVEFLIGVCPLFVGYVLFGTIMFGATVPRF AGMAATATTLFALANGDEIRDTFVSLPWHGQLYLYSYIVIFAYVVLMVCIGIMEDAFF TSAFPSLWKQSTATLTEDQWRHVVELIHDRTKASHPDMVACQTDILTHVERQLF H257_00330 MQMSWIFRAVVHTLLVVGMTYHAVDVDSHLLPYSRNLYNELWRL LNGDDQDQPLNLFTIRRGVDHVHLVLANYFSLPDVALGKLHVAPFAGIPLPYLTVVFS SPSDPDHESSRTYILDKGNEQAWPEGLRPSASSSVTREFFDTLKHMTLAMEVQSHKPS LGTLEHEAVVHRDYMRWKVQFKYNFSTQGQIQLHMAVTRQLPTPPSRLSTTARSPTDY IWRYVGLIVLSGGYLILETWAFLGNVVNDTPSWRVVLVQNLSGAFVVVTNVITIACFL HAWSEAPVLVYVEPLSWTYAVASMGQWLSLLRFLSFNPRTYILGLTLKRGAPQVVEFL IGVCPLFVGYVLFGTIMFGATVVYFLWIVILLLVIVVVRGIILSMACELGAEVCWHGS HRHDAVCAGEWRRDSGYICIAAVARTALLVQLHCDLCLCGAHGVHWHNGRRVLHVGVS IPVEAIDGHVNRRPVAPRRRAHPRPHKGESPRHGSLPN H257_00330 MQMSWIFRAVVHTLLVVGMTYHAVDVDSHLLPYSRNLYNELWRL LNGDDQDQPLNLFTIRRGVDHVHLVLANYFSLPDVALGKLHVAPFAGIPLPYLTVVFS SPSDPDHESSRTYILDKGNEQAWPEGLRPSASSSVTREFFDTLKHMTLAMEVQSHKPS LGTLEHEAVVHRDYMRWKVQFKYNFSTQGQIQLHMAVTRQLPTPPSRLSTTARSPTDY IWRYVGLIVLSGGYLILETWAFLGNVVNDTPSWRVVLVQNLSGAFVVVTNVITIACFL HAWSEAPVLVYVEPLSWTYAVASMGQWLSLLRFLSFNPRTYILGLTLKRGAPQVVEFL IGVCPLFVGYVLFGTIMFGATVPRFAGMAATATTLFALANGDEIRDTFVSLPWHGQV H257_00330 MQMSWIFRAVVHTLLVVGMTYHAVDVDSHLLPYSRNLYNELWRL LNGDDQDQPLNLFTIRRGVDHVHLVLANYFSLPDVALGKLHVAPFAGIPLPYLTVVFS SPSDPDHESSRTYILDKGNEQAWPEGLRPSASSSVTREFFDTLKHMTLAMEVQFKYNF STQGQIQLHMAVTRQLPTPPSRLSTTARSPTDYIWRYVGLIVLSGGYLILETWAFLGN VVNDTPSWRVVLVQNLSGAFVVVTNVITIACFLHAWSEAPVLVYVEPLSWTYAVASMG QWLSLLRFLSFNPRTYILGLTLKRGAPQVVEFLIGVCPLFVGYVLFGTIMFGATVPRF AGMAATATTLFALANGDEIRDTFVSLPWHGQV H257_00331 MEPPAKKPRVLRTHASTWPRTPLPPLNAAEHDIRFQWIDIGMYD GEPLAVNPYSRTQPIPGPRTGSSSIIRLTGVTEDGHSIMAHIHGFVPYFYASCPDGLK TSDCNTVREALDAAVKKNSSDAPAVQLVEIVEDKMSLYGYQFDKKVRLIKVYLSLPNF VPKLRTALESGITIPGFGTRSYQTYESNVPYILRFMIDQEIQGCNWVELPAATYRFRT PDKQISLCQMEVDIVYLNMVSHAPVGVWGKLAPLRILSFDIECMGRTGQFPDADKDPV IQIANVVWEQGAEHPVTRNVFVLGTCKPIVGAHVMEFESEGDMLEAWAAFVRQVDPDI LTGYNIDNFDVPYLINRGKALKLAPHYNCWGRLKNTPNHMEKKAFQSAQYGKSDNMRT TMHGRTSFDMLPIIRRNQQLSSYSLNSVCATFLGQQKEDVPHGIISDLQRGTADDRHR LAVYCLKDAVLPLTLLQKLSYLVNYIEMARVTGVPLDFLIDRGQQIKVYSMLLRKCRG AGLVVPNLPRAGGGGDDMGYEGATVIEPVKAYYTVPIATLDFASLYPSIMQGYNLCYS TLVAPSDIGKLPENAYQTSPSGDVFVRDTTKKGILPLILEELLSARKQAKRDMATAPD AMTKAVQNGRQLALKISANSVYGFTGANVGQLPCIPIASSVTAYGRDLLLRTREEVEK VFTVANGYKHNAEVIYGDTDSVMVKFGVDSVADAMPLAVEAAKLVSDIFPRPIKLEFE KVYFPYLLMNKKRYAGLLWTKPEKYDKLDTKGLETVRRDNCLLVRRMVESCLKKILIE RNVDAAIAYTKHIISELLQNKIDISLLVITKGLSKSTESDDYKVKQAHTELAARMKKR DPGSAPVLGERIAYVIIDKGKNVPLYDKAEDPVFALTNNIPIDCDYYMSNQLQNPIER IFEPIIGLAKVKSDLFAGDHTRKRSKPGLVQNSGGMMNFAVKKLKCLGCKAPLSGDQA LCGSCIEQEANVYGKQLSVVVTAEQQFARLWTQCQNCQGSLHQDVLCSSRDCPIFYKR IKVQKELGEAQLALDRFKYEW H257_00333 MAHTQTTPKDGSKAHTKNSMFSLIHTIGLSDSTNPAAEVSEEAA DGNEMETNMKDPALMQILDKWWASCLVLLDSDGNGTLERNEYVNLYKRLIYGTSCIYG EKAVLDQHIDDLIEEDWKRDSGGLLSMDKTRLCVSIYELAETWAKGEAVQTFVDFLTE LHEHVFVSYKEEYLSQRKPSKKTPVLSKAKSTIKPKTPEPVKPTPATAVRNSKPRKTK AKIPSITSVETASLEAPPITQSPALISSFSPVEPPPPTIDQPPSPEKAPQEGDDMAYY SFEARFQLQERIEACQRQLQPIGHIAEIAWLRCTVREEQLHAQATSHQQPPLPQHHPS LAPYRVTAAAITAMGEHVCIKLQKCLLHAEKSRVDDLILLVEDVERDVQSFIDAVTDV ATSSCTSSHATTKQQQTRRHPTKNPPTHPNHHDLCFGNRNVSFDLPSMKTYAQQVAPS YAAYKQEKKNTR H257_00334 MNASEEEWREMLYNSVECELCNEPYGEAEDHTPRLLNCGHTFCH SCLSGWASSGSSSSSAENGIQCPSCRRETVIAPEGLPKNFEVLRIRDELEQWTSERLA AFRVQSEKVIEEKEKAALEAERAAQIAQQEAEKAAEHAQALQRQVAISTREKQAAISA SEAAHARAIQAVVRAEELQNETEVLKRQVEWDAVQLQQVKKDASSAAAIAADLQTKAD ALQEQVDRVKSQLSLHSGKHDPTRMVVLVCEPITVGSWLLPYTRYTVICIANEHGEMD SMRAAKEWAVCKPSHQLPCLQSAQLASVKVYRRYSDFVWLHQALCDAFPGLFVPFLPE KHFFKNNADFVSDRMRSLQAFLREVLRSPDLSRSEATRSFLLLSTEELEKFKAKTEKP VADVAVPLPSLSRRSSLSSSSSSWLAPATTSSQWAWGAMSKLTSKLATKAGLHTVDDT PVDSEQAWMHLRRKSYQQLCVLYQSASDKGGVVLKLERKQGRDWSILGQGIDHMAQLD GRQSGMAAEMMRFGAALRGMPDVAEHAVQETLRMHVLHLGAIEAAFVRVAAKEALVAQ LQEDAAVGPELDASAKQTLGDRLRDESTRLEAQRASVASQLKQLEPHRSQFVAKSVAQ SCADLYELSTEARQAWQALRDRLRSIE H257_00334 MNASEEEWREMLYNSVECELCNEPYGEAEDHTPRLLNCGHTFCH SCLSGWASSGSSSSSAENGIQCPSCRRETVIAPEGLPKNFEVLRIRDELEQWTSERLA AFRVQSEKVIEEKEKAALEAERAAQIAQQEAEKAAEHAQALQRQVAISTREKQAAISA SEAAHARAIQAVVRAEELQNETEVLKRQVEWDAVQLQQVKKDASSAAAIAADLQTKAD ALQEQVRRHHKAREYDSLLQVDRVKSQLSLHSGKHDPTRMVVLVCEPITVGSWLLPYT RYTVICIANEHGEMDSMRAAKEWAVCKPSHQLPCLQSAQLASVKVYRRYSDFVWLHQA LCDAFPGLFVPFLPEKHFFKNNADFVSDRMRSLQAFLREVLRSPDLSRSEATRSFLLL STEELEKFKAKTEKPVADVAVPLPSLSRRSSLSSSSSSWLAPATTSSQWAWGAMSKLT SKLATKAGLHTVDDTPVDSEQAWMHLRRKSYQQLCVLYQSASDKGGVVLKLERKQGRD WSILGQGIDHMAQLDGRQSGMAAEMMRFGAALRGMPDVAEHAVQETLRMHVLHLGAIE AAFVRVAAKEALVAQLQEDAAVGPELDASAKQTLGDRLRDESTRLEAQRASVASQLKQ LEPHRSQFVAKSVAQSCADLYELSTEARQAWQALRDRLRSIE H257_00335 MADPSDFKELEKMLDKQDAKSKAKKSPSVAQLKPDLAAGMEKIS LSTEEDKAARKAKLASQTFEKKPKLTKEERRAQQEAQRLAKAAGAPAKTAPKAAAAPA PPTARMQYDDPKKVAKYSKSSVIQRTQAQKQVALFSHLPQFERESSLSLNVGFSKAEI HPAILSLGLKYAEGKLVGGNARCVAMLTAFQQVIKDYHTPPDKVLRRDLDKTLRPLIQ FLIDCRPHSIGMGNAIRYVRNVIATLDPSKGDDDAKTFVLDEIDQYIENRIVMAMTAV SKFACSKIVDGDVILTYARADIIEVLLKQAFDQKIQFRVLVVDSRPHFEGKKLIRALA EHGLPCTYIQINALSYVMKEVTKVFLGATAIMSNGAVVARVGTALCAMTAHEFNVPVL FCCETYKFSDRVQLDSITHNELRDPDELVNSYAADHQRLTNRPEAEASAHVLADWRDL PNLKLLNLVYDLTPMEYVSMVVTEIGMIPPTSIPAIIREYRKEYNNEDAVHLP H257_00335 MADPSDFKELEKMLDKQDAKSKAKKSPSVAQLKPDLAAGMEKIS LSTEEDKAARKAKLASQTFEKKPKLTKEERRAQQEAQRLAKAAGAPAKTAPKAAAAPA PPTARMQYDDPKKVAKYSKSSVIQRTQAQKQVALFSHLPQFERESSLSLNVGFSKAEI HPAILSLGLKYAEGKLVGGNARCVAMLTAFQQVIKDYHTPPDKVLRRDLDKTLRPLIQ FLIDCRPHSIGMGNAIRYVRNVIATLDPSKGDDDAKTFVLDEIDQYIENRIVMAMTAV SKFACSKIVDGDVILTYARADIIEVLLKQAFDQKIQFRVLVVDSRPHFEGKKLIRALA EHGLPCTYIQINALSYVMKEVTKVFLGATAIMSNGAVVARVGTALCAMTAHEFNVPVL FCCETYKVICRMWTSFMF H257_00336 MRCVCCKQDGAAKQCSRCRNTTYCSRTCQARHWNMGHKKVCTAK PMVWIPPEHDLPPMFPGPPGWIHRAEHYIQTLGKLPFMPKLAKKYEEYRECEARTRYL RHFYKKQRYGVNGLLSFKSHVENFIQLGFDLGAKRPPSVLDNGMWSFEEIATTIGVPP LVPKAVRPALPPLVPRCVVCKCECTSECACGVTYCSRDCQRLDIARHAPHCAKVHAKY EFAIALTTRYWQSLAPPERPSLD H257_00337 MGSARTWNVDAEIDPRIHSTADHVAMAVRILVESGTTAFLRMEE PATEAFCSQVASMYQAVPYHNFKHAFSVMHTTYLLLQSYIQSPFTPLEVAALLLAALC HDIQHNGRTSSFHQTTKSALAREYASHKGSVLEAMHASVAIDTMTAEHVFDHVVAADA DAIKSMIQELILATDMALHPQVIQAYADKKSSGDSLTQAKMILHCADISNPTKSPEVA KWWSHAVLREFCMQVDEEQKLQLPVSGFMKADLFSREEANMHLEFVDSFAVPAWRLLA VSSHFDEYVTRQCMTNIVLCRKMWLAAMEPTVQLTVMSFPRTLKRTKSSYEDMSPCGD DEKASSTKRRCMKKVVRRSGLETAAVDVNVT H257_00338 MGDQALRVGDLYWTQREPNEWVLVQMCDVDEAAASATAALVDEA TGGPVVGSEPFTLSTIACAVRPANPLFTTSADMTALRYLHEASLVKNLHDRWIADERQ PYTSMSNVLIAVNPLRYLTSVDKSMYVRQSLDMSPPHPFHVAENAYRQLRSVRQNQSI VISGESGSGKTETSKIILDFLTDRSGLGSMSSGADNAHEHALGDRLMKTIPILESFGN AKTHRNHNSSRFGKYMRLQFSPDVIDLSSTALRLTGASIDTYLLETSRVVLPPSGERN FHVLYELLRSGDAKLLNDLKLIPNPYATGAHYDDIDGWIDKYQYLNQSGCTSSPLLDD RGNFGKLVQALKYVNIDATDLFRVVSGLLHLGNVQFGEEETCEGTTAAVHPDDMAGGA VAVAAEMLGLEPTSLVNAILTKKFSRQTQGRPGMLQREASVHFKKKDTRQASYSRDTI AKVIYEQVFGSLMRQCADALEFNFEKKDELPYIGVLDIFGFEDFEPQNRNSLEQLMIN YANETLQSLFNQCILKAEQELYTSEHIWAPQQTSVVFPFASRNMGSRDTSRRSGVDGP SHAPISYVDNNECLSLIAAKHEGIFAILDTVAKVAGPTDQRLIDNFHKYFGNHPCFVQ PHPKDMHHTFCIRHYAGVVRYRIDSFLDKNSNVTSRQFHDLLASSTLAILHTTSASSQ TPSRITSDSSLGSMTRSPTPSTKKTSGSMSYLFSMQMRTLTSELNSTRSNFIRCIKPN AAMDARVFDRRSVLDQLRSSGTIQACQVLQVGLPTRVSYVDVASIYTTLLGADFMFDW FHASDRVFTQALCHVLGFPADAYRLGDSRLFFKTGQIHLLDSVLQVSSPSTMTPDTLK AALTHYMAKRRWVSAATKVAVCRYVQLAYVDVQRKRHVIVLQCWFRQHLACRLVATER TQRRVANMWGRLVHKSGVQRAFDGAQEDKLALLEALLSQQRAVPSGCKWLLQWLGPMQ RTMYVQKLGRAACVGYLAKRVFVAMFETVRERRACVQIQAQVRRVAAAAVVDALRKRK RAHELWRRIRIRVKATIWFFAMYRRAHVSCLERDNARLRVENAQLQARFEGRGGPHEL KVRELERQVQRLQQQLNEATNVLPLIIQPNDAARHDVQTNDMGCQVDALAWPHMTLLR GGSSSHGLSSHPLRRVIDADMTSQFQREVQQQQELTQKIREIVRICTSHGPTSPP H257_00338 MGDQALRVGDLYWTQREPNEWVLVQMCDVDEAAASATAALVDEA TGGPVVGSEPFTLSTIACAVRPANPLFTTSADMTALRYLHEASLVKNLHDRWIADERQ PYTSMSNVLIAVNPLRYLTSVDKSMYVRQSLDMSPPHPFHVAENAYRQLRSVRQNQSI VISGESGSGKTETSKIILDFLTDRSGLGSMSSGADNAHEHALGDRLMKTIPILESFGN AKTHRNHNSSRFGKYMRLQFSPDVIDLSSTALRLTGASIDTYLLETSRVVLPPSGERN FHVLYELLRSGDAKLLNDLKLIPNPYATGAHYDDIDGWIDKYQYLNQSGCTSSPLLDD RGNFGKLVQALKYVNIDATDLFRVVSGLLHLGNVQFGEEETCEGTTAAVHPDDMAGGA VAVAAEMLGLEPTSLVNAILTKKFSRQTQGRPGMLQREASVHFKKKDTRQASYSRDTI AKVIYEQVFGSLMRQCADALEFNFEKKDELPYIGVLDIFGFEDFEPQNRNSLEQLMIN YANETLQSLFNQCILKAEQELYTSEHIWAPQQTSVVFPFASRNMGSRDTSRRSGVDGP SHAPISYVDNNECLSLIAAKHEGIFAILDTVAKVAGPTDQRLIDNFHKYFGNHPWYEG SYVHCSLQNHRQNVFGSLMRQCADALEFNFEKKDELPYIGVLDIFGFEDFEPQNRNSL EQLMINYANETLQSLFNQCILKAEQELYTRSGVDGPSHAPISYVDNNECLSLIAAKHE GIFAILDTVAKVAGPTDQRLIDNFHKYFGNHPCFVQPHPKDMHHTFCIRHYAGVVRYR IDSFLDKNSNVTSRQFHDLLASSTLAILHTTSASSQTPSRITSDSSLGSMTRSPTPST KKTSGSMSYLFSMQMRTLTSELNSTRSNFIRCIKPNAAMDARVFDRRSVLDQLRSSGT IQACQVLQVGLPTRVSYVDVASIYTTLLGADFMFDWFHASDRVFTQALCHVLGFPADA YRLGDSRLFFKTGQIHLLDSVLQVSSPSTMTPDTLKAALTHYMAKRRWVSAATKVAVC RYVQLAYVDVQRKRHVIVLQCWFRQHLACRLVATERTQRRVANMWGRLVHKSGVQRAF DGAQEDKLALLEALLSQQRAVPSGCKWLLQWLGPMQRTMYVQKLGRAACVGYLAKRVF VAMFETVRERRACVQIQAQVRRVAAAAVVDALRKRKRAHELWRRIRIRVKATIWFFAM YRRAHVSCLERDNARLRVENAQLQARFEGRGGPHELKVRELERQVQRLQQQLNEATNV LPLIIQPNDAARHDVQTNDMGCQVDALAWPHMTLLRGGSSSHGLSSHPLRRVIDADMT SQFQREVQQQQELTQKIREIVRICTSHGPTSPP H257_00338 MGDQALRVGDLYWTQREPNEWVLVQMCDVDEAAASATAALVDEA TGGPVVGSEPFTLSTIACAVRPANPLFTTSADMTALRYLHEASLVKNLHDRWIADERQ PYTSMSNVLIAVNPLRYLTSVDKSMYVRQSLDMSPPHPFHVAENAYRQLRSVRQNQSI VISGESGSGKTETSKIILDFLTDRSGLGSMSSGADNAHEHALGDRLMKTIPILESFGN AKTHRNHNSSRFGKYMRLQFSPDVIDLSSTALRLTGASIDTYLLETSRVVLPPSGERN FHVLYELLRSGDAKLLNDLKLIPNPYATGAHYDDIDGWIDKYQYLNQSGCTSSPLLDD RGNFGKLVQALKYVNIDATDLFRVVSGLLHLGNVQFGEEETCEGTTAAVHPDDMAGGA VAVAAEMLGLEPTSLVNAILTKKFSRQTQGRPGMLQREASVHFKKKDTRQASYSRDTI AKVIYEQVFGSLMRQCADALEFNFEKKDELPYIGVLDIFGFEDFEPQNRNSLEQLMIN YANETLQSLFNQCILKAEQELYTSEHIWAPQQTSVVFPFASRNMGSRDTSRRSGVDGP SHAPISYVDNNECLSLIAAKHEGIFAILDTVAKVAGPTDQRLIDNFHKYFGNHPWYEG SYVHCSLQNHRQNVFGSLMRQCADALEFNFEKKDELPYIGVLDIFGFEDFEPQNRNSL EQLMINYANETLQSLFNQCILKAEQELYTRSGVDGPSHAPISYVDNNECLSLIAAKHE GIFAILDTVAKVAGPTDQRLIDNFHKYFGNHPCFVQPHPKDMHHTFCIRHYAGVVRYR IDSFLDKNSNVTSRQFHDLLASSTLAILHTTSASSQTPSRITSDSSLGSMTRSPTPST KKTSGSMSYLFSMQMRTLTSELNSTRSNFIRCIKPNAAMDARVFDRRSVLDQLRSSGT IQACQVLQVGLPTRVSYVDVASIYTTLLGADFMFDWFHASDRVFTQALCHVLGFPADA YRLGDSRLFFKTGGTSAMYRAVC H257_00339 MSDKDFVADSPYRVGDLYWKKDNEASDDWGLVKLTSADVEACVG MVALIEERTGSRLPNQTEPVQLHAATLCPANPLFTTCADMTSLRYLHEAALLKNLYDR WIASDRQPYTSMSNVLIAVNPLRYLKKVEKAVFVSQSLDKSPPHPYNVAENAYRQLRT VKQNQSIIISGESGSGKTETSKIILDFLTERSQFTGGRALLSSTLRTSRSNQDDEDYD DEEGRPHPGLAHSLGDRLMKTIPILESFGNAKTHRNHNSSRFGKYMRLQFSPDVDLSS TALHLTGASIDTYLLETSRVVHPPTGERNFHVFYELLRSGDAKLLNDLKLIPNPYMPK DPRLSNCDAWLDMYHYLNRSGCTHSEFLNDRANFQKLKDALVFAGIDAVQLLQIVAGV LHLGNVTFHEEDTAEGLTAAIHREGDAPNASIDVVADLLGIKADDLIDALLKKKIERT KGSFQRRGSVYFVGKTKQQAAYSRDTVAKMIYNQVFSALMVQCADILEYNAALQDELA YIGVLDIFGFEDFHPKNQNSFEQLLINYANEALQSMFNLCILKAEQELYQAENIWAPQ NASLLFPFAPRPVDGLDGAFNIAHAPNDKTITYDDNRQCLTLIADRRDGILSILNMAG RLVGQSDRKFNEKLHVAFKKHPCFVVPHPRDAPYMFCIKHYAGVVRYHIDGFIDKNNN VASPQFHELIAGSTRSLLNMSCMSKTPPGSVSEMFTHQMKGLVVELDSTRSNFIRCIK PNAAMDARVFDRRSVLDQLRCSGTIQACKVLQVGLPTRVSYEELVFIYSDLLGASFME RFHGRDRLFTQALCHVLDFPSDAFRLGDTRLFFKTGKIHLLDTVLAVTTPCPPATLQA RLISYLAKSRWISAVTKTVVLRACDELFWSCRQGRCVVVLQCWFRQHLAKRVVAKRRT TVRLSRKWNLLLQKTQVRAAFDRSVQDKLELLSVLLRHQTLPPHAKWLLTWLGPMQRA MYVRKLGRAACMAYLAKRAFMALLDKVRRERAAVRLQTQFRRVLAVSRFQNLVNRHRA LARWSRIRLAMKVNMCVLAMYRRAHVIGLERDVARLTLEANEFDLVKACLVEKVTSLE SLVSSWPLKQAAFDVTCSDFAMQVTALTSEVAAKDAEVIDMGRRFEIVQAQLDRSVDA QHELDKHVHRLQDENTTWMQRSTALELQVADLNNMLALSKARYATLVVETDARRDSFA TQVDAVETKMNDIKHDVRLKAAQISELEEYNAELKHEHESVVTQLEDLEQLHEREMAT QRRQVTALTSDVDELRLRLAAATEEIERRIMGAVELDTIRHGLEEDISTLADQRNAMA NVNAALNGDVTRLKACVASAQNDIASFERKHKQLQLDLDDATATIDYQSIQLAEVEGI KAQYKAKDAELKAQSDLQVASLTTHLHQVQAQLSQALAAVALHEKSALEFERFKEQSA VDHITLKQNVINMTNYVGDCKRKEVALDAALTDRDAQIQELTRQHQLDELRVKELMGA LETTQHALKTKAAAVEELEELRRQLMQVHTSLTEELNAIKHDQTISKQVEADLDGQLA ESSHRVVELCAQLQHMQLRFDQVQDHIAVKDVVVLAEETIKLQLQVDNDVLTQKVDAS KLAISQVRANELALTSQLSAQTIEMQALACRLETSEAACKDATWQIEFLQSKIDDAQA TAAALAETKAQLQARVVVFEKANPPESTEPSSVDTPWISNSHDHITTLTAELLTIQHQ LSQAKNQADTTTANAPELEALQHQMTLNTLTLTEKMATLVQASGEAKQRQTQLEAQVA DGLRQVQVLTSQLEHAHDKWRQAENQLAATLANERNAPASDVSDQFPRSPSQVHLEGQ SSSVVPLISDLEVAHAEVAPSNDLIASTQQREDELDGRLAACTDHVYAQEAHLSHPMA TFDTAETSKPTADAKDEQLSDLAKSRTIPNEEQSTFNLDDQSEVEESPLTSTTVVTIA SPRGVQTNTGFRTTDASVSQIARHKQVQVNLNKENRVAALEARLKVLELQHELNQTET SRAHECIANLKSTIEDKDHIIVELRSRLAMDELNDGGQVAVGTQGGEAESPTSMFARP TSGEHFHAACYQLEMEARRQQQLNRRLRKVVLQTRWGGVPAFEPDELRISQAFTSFDV FGSNDLEFTTVA H257_00339 MSDKDFVADSPYRVGDLYWKKDNEASDDWGLVKLTSADVEACVG MVALIEERTGSRLPNQTEPVQLHAATLCPANPLFTTCADMTSLRYLHEAALLKNLYDR WIASDRQPYTSMSNVLIAVNPLRYLKKVEKAVFVSQSLDKSPPHPYNVAENAYRQLRT VKQNQSIIISGESGSGKTETSKIILDFLTERSQFTGGRALLSSTLRTSRSNQDDEDYD DEEGRPHPGLAHSLGDRLMKTIPILESFGNAKTHRNHNSSRFGKYMRLQFSPDVDLSS TALHLTGASIDTYLLETSRVVHPPTGERNFHVFYELLRSGDAKLLNDLKLIPNPYMPK DPRLSNCDAWLDMYHYLNRSGCTHSEFLNDRANFQKLKDALVFAGIDAVQLLQIVAGV LHLGNVTFHEEDTAEGLTAAIHREGDAPNASIDVVADLLGIKADDLIDALLKKKIERT KGSFQRRGSVYFVGKTKQQAAYSRDTVAKMIYNQVFSALMVQCADILEYNAALQDELA YIGVLDIFGFEDFHPKNQNSFEQLLINYANEALQSMFNLCILKAEQELYQAENIWAPQ NASLLFPFAPRPVDGLDGAFNIAHAPNDKTITYDDNRQCLTLIADRRDGILSILNMAG RLVGQSDRKFNEKLHVAFKKHPCFVVPHPRDAPYMFCIKHYAGVVRYHIDGFIDKNNN VASPQFHELIAGSTRSLLNMSCMSKTPPGSVSEMFTHQMKGLVVELDSTRSNFIRCIK PNAAMDARVFDRRSVLDQLRCSGTIQACKVLQVGLPTRVSYEELVFIYSDLLGASFME RFHGRDRLFTQALCHVLDFPSDAFRLGDTRLFFKTGKIHLLDTVLAVTTPCPPATLQA RLISYLAKSRWISAVTKTVVLRACDELFWSCRQGRCVVVLQCWFRQHLAKRVVAKRRT TVRLSRKWNLLLQKTQVRAAFDRSVQDKLELLSVLLRHQTLPPHAKWLLTWLGPMQRA MYVRKLGRAACMAYLAKRAFMALLDKVRRERAAVRLQTQFRRVLAVSRFQNLVNRHRA LARWSRIRLAMKVNMCVLAMYRRAHVIGLERDVARLTLEANEFDLVKACLVEKVTSLE SLVSSWPLKQAAFDVTCSDFAMQVTALTSEVAAKDAEVIDMGRRFEIVQAQLDRSVDA QHELDKHVHRLQDENTTWMQRSTALELQVADLNNMLALSKARYATLVVETDARRDSFA TQVDAVETKMNDIKHDVRLKAAQISELEEYNAELKHEHESVVTQLEDLEQLHEREMAT QRRQVTALTSDVDELRLRLAAATEEIERRIMGAVELDTIRHGLEEDISTLADQRNAMA NVNAALNGDVTRLKACVASAQNDIASFERKHKQLQLDLDDATATIDYQSIQLAEVEGI KAQYKAKDAELKAQSDLQVASLTTHLHQVQAQLSQALAAVALHEKSALEFERFKEQSA VDHITLKQNVINMTNYVGDCKRKEVALDAALTDRDAQIQELTRQHQLDELRVKELMGA LETTQHALKTKAAAVEELEELRRQLMQVHTSLTEELNAIKHDQTISKQVEADLDGQLA ESSHRVVELCAQLQHMQLRFDQVQDHIAVKDVVVLAEETIKLQLQVDNDVLTQKVDAS KLAISQVRANELALTSQLSAQTIEMQALACRLETSEAACKDATWQIEFLQSKIDDAQA TAAALAETKAQLQARVVVFEKANPPESTEPSSVDTPWISNSHDHITTLTAELLTIQHQ LSQAKNQADTTTANAPELEALQHQMTLNTLTLTEKMATLVQASGEAKQRQTQLEAQVA DGLRQVQVLTSQLEHAHDKWRQAENQLAATLANERNAPASDVSDQFPRSPSQVHLEGQ SSSVVPLISDLEVAHAEVAPSNDLIASTQQREDELDGRLAACTDHVYAQEAHLSHPMA TFDTAETSKPTADAKDEQLSDLAKSRTIPNEEQSTFNLDDQSEVEESPLTSTTVVTIA SPRGVQTNTGFRTTDASVSQIARHKQVQVNLNKENRVAALEARLKVLELQHELNQTET SRAHECIANLKSTIEDKDHIIVELRSRLAMDELNDGGQVAVGTQGGEAESPTSMFARP TSGEHFHAACYQLEMEARRQQQLNRRLRKVVLQTRW H257_00339 MTSLRYLHEAALLKNLYDRWIASDRQPYTSMSNVLIAVNPLRYL KKVEKAVFVSQSLDKSPPHPYNVAENAYRQLRTVKQNQSIIISGESGSGKTETSKIIL DFLTERSQFTGGRALLSSTLRTSRSNQDDEDYDDEEGRPHPGLAHSLGDRLMKTIPIL ESFGNAKTHRNHNSSRFGKYMRLQFSPDVDLSSTALHLTGASIDTYLLETSRVVHPPT GERNFHVFYELLRSGDAKLLNDLKLIPNPYMPKDPRLSNCDAWLDMYHYLNRSGCTHS EFLNDRANFQKLKDALVFAGIDAVQLLQIVAGVLHLGNVTFHEEDTAEGLTAAIHREG DAPNASIDVVADLLGIKADDLIDALLKKKIERTKGSFQRRGSVYFVGKTKQQAAYSRD TVAKMIYNQVFSALMVQCADILEYNAALQDELAYIGVLDIFGFEDFHPKNQNSFEQLL INYANEALQSMFNLCILKAEQELYQAENIWAPQNASLLFPFAPRPVDGLDGAFNIAHA PNDKTITYDDNRQCLTLIADRRDGILSILNMAGRLVGQSDRKFNEKLHVAFKKHPCFV VPHPRDAPYMFCIKHYAGVVRYHIDGFIDKNNNVASPQFHELIAGSTRSLLNMSCMSK TPPGSVSEMFTHQMKGLVVELDSTRSNFIRCIKPNAAMDARVFDRRSVLDQLRCSGTI QACKVLQVGLPTRVSYEELVFIYSDLLGASFMERFHGRDRLFTQALCHVLDFPSDAFR LGDTRLFFKTGKIHLLDTVLAVTTPCPPATLQARLISYLAKSRWISAVTKTVVLRACD ELFWSCRQGRCVVVLQCWFRQHLAKRVVAKRRTTVRLSRKWNLLLQKTQVRAAFDRSV QDKLELLSVLLRHQTLPPHAKWLLTWLGPMQRAMYVRKLGRAACMAYLAKRAFMALLD KVRRERAAVRLQTQFRRVLAVSRFQNLVNRHRALARWSRIRLAMKVNMCVLAMYRRAH VIGLERDVARLTLEANEFDLVKACLVEKVTSLESLVSSWPLKQAAFDVTCSDFAMQVT ALTSEVAAKDAEVIDMGRRFEIVQAQLDRSVDAQHELDKHVHRLQDENTTWMQRSTAL ELQVADLNNMLALSKARYATLVVETDARRDSFATQVDAVETKMNDIKHDVRLKAAQIS ELEEYNAELKHEHESVVTQLEDLEQLHEREMATQRRQVTALTSDVDELRLRLAAATEE IERRIMGAVELDTIRHGLEEDISTLADQRNAMANVNAALNGDVTRLKACVASAQNDIA SFERKHKQLQLDLDDATATIDYQSIQLAEVEGIKAQYKAKDAELKAQSDLQVASLTTH LHQVQAQLSQALAAVALHEKSALEFERFKEQSAVDHITLKQNVINMTNYVGDCKRKEV ALDAALTDRDAQIQELTRQHQLDELRVKELMGALETTQHALKTKAAAVEELEELRRQL MQVHTSLTEELNAIKHDQTISKQVEADLDGQLAESSHRVVELCAQLQHMQLRFDQVQD HIAVKDVVVLAEETIKLQLQVDNDVLTQKVDASKLAISQVRANELALTSQLSAQTIEM QALACRLETSEAACKDATWQIEFLQSKIDDAQATAAALAETKAQLQARVVVFEKANPP ESTEPSSVDTPWISNSHDHITTLTAELLTIQHQLSQAKNQADTTTANAPELEALQHQM TLNTLTLTEKMATLVQASGEAKQRQTQLEAQVADGLRQVQVLTSQLEHAHDKWRQAEN QLAATLANERNAPASDVSDQFPRSPSQVHLEGQSSSVVPLISDLEVAHAEVAPSNDLI ASTQQREDELDGRLAACTDHVYAQEAHLSHPMATFDTAETSKPTADAKDEQLSDLAKS RTIPNEEQSTFNLDDQSEVEESPLTSTTVVTIASPRGVQTNTGFRTTDASVSQIARHK QVQVNLNKENRVAALEARLKVLELQHELNQTETSRAHECIANLKSTIEDKDHIIVELR SRLAMDELNDGGQVAVGTQGGEAESPTSMFARPTSGEHFHAACYQLEMEARRQQQLNR RLRKVVLQTRWGGVPAFEPDELRISQAFTSFDVFGSNDLEFTTVA H257_00339 MTSLRYLHEAALLKNLYDRWIASDRQPYTSMSNVLIAVNPLRYL KKVEKAVFVSQSLDKSPPHPYNVAENAYRQLRTVKQNQSIIISGESGSGKTETSKIIL DFLTERSQFTGGRALLSSTLRTSRSNQDDEDYDDEEGRPHPGLAHSLGDRLMKTIPIL ESFGNAKTHRNHNSSRFGKYMRLQFSPDVDLSSTALHLTGASIDTYLLETSRVVHPPT GERNFHVFYELLRSGDAKLLNDLKLIPNPYMPKDPRLSNCDAWLDMYHYLNRSGCTHS EFLNDRANFQKLKDALVFAGIDAVQLLQIVAGVLHLGNVTFHEEDTAEGLTAAIHREG DAPNASIDVVADLLGIKADDLIDALLKKKIERTKGSFQRRGSVYFVGKTKQQAAYSRD TVAKMIYNQVFSALMVQCADILEYNAALQDELAYIGVLDIFGFEDFHPKNQNSFEQLL INYANEALQSMFNLCILKAEQELYQAENIWAPQNASLLFPFAPRPVDGLDGAFNIAHA PNDKTITYDDNRQCLTLIADRRDGILSILNMAGRLVGQSDRKFNEKLHVAFKKHPCFV VPHPRDAPYMFCIKHYAGVVRYHIDGFIDKNNNVASPQFHELIAGSTRSLLNMSCMSK TPPGSVSEMFTHQMKGLVVELDSTRSNFIRCIKPNAAMDARVFDRRSVLDQLRCSGTI QACKVLQVGLPTRVSYEELVFIYSDLLGASFMERFHGRDRLFTQALCHVLDFPSDAFR LGDTRLFFKTGKIHLLDTVLAVTTPCPPATLQARLISYLAKSRWISAVTKTVVLRACD ELFWSCRQGRCVVVLQCWFRQHLAKRVVAKRRTTVRLSRKWNLLLQKTQVRAAFDRSV QDKLELLSVLLRHQTLPPHAKWLLTWLGPMQRAMYVRKLGRAACMAYLAKRAFMALLD KVRRERAAVRLQTQFRRVLAVSRFQNLVNRHRALARWSRIRLAMKVNMCVLAMYRRAH VIGLERDVARLTLEANEFDLVKACLVEKVTSLESLVSSWPLKQAAFDVTCSDFAMQVT ALTSEVAAKDAEVIDMGRRFEIVQAQLDRSVDAQHELDKHVHRLQDENTTWMQRSTAL ELQVADLNNMLALSKARYATLVVETDARRDSFATQVDAVETKMNDIKHDVRLKAAQIS ELEEYNAELKHEHESVVTQLEDLEQLHEREMATQRRQVTALTSDVDELRLRLAAATEE IERRIMGAVELDTIRHGLEEDISTLADQRNAMANVNAALNGDVTRLKACVASAQNDIA SFERKHKQLQLDLDDATATIDYQSIQLAEVEGIKAQYKAKDAELKAQSDLQVASLTTH LHQVQAQLSQALAAVALHEKSALEFERFKEQSAVDHITLKQNVINMTNYVGDCKRKEV ALDAALTDRDAQIQELTRQHQLDELRVKELMGALETTQHALKTKAAAVEELEELRRQL MQVHTSLTEELNAIKHDQTISKQVEADLDGQLAESSHRVVELCAQLQHMQLRFDQVQD HIAVKDVVVLAEETIKLQLQVDNDVLTQKVDASKLAISQVRANELALTSQLSAQTIEM QALACRLETSEAACKDATWQIEFLQSKIDDAQATAAALAETKAQLQARVVVFEKANPP ESTEPSSVDTPWISNSHDHITTLTAELLTIQHQLSQAKNQADTTTANAPELEALQHQM TLNTLTLTEKMATLVQASGEAKQRQTQLEAQVADGLRQVQVLTSQLEHAHDKWRQAEN QLAATLANERNAPASDVSDQFPRSPSQVHLEGQSSSVVPLISDLEVAHAEVAPSNDLI ASTQQREDELDGRLAACTDHVYAQEAHLSHPMATFDTAETSKPTADAKDEQLSDLAKS RTIPNEEQSTFNLDDQSEVEESPLTSTTVVTIASPRGVQTNTGFRTTDASVSQIARHK QVQVNLNKENRVAALEARLKVLELQHELNQTETSRAHECIANLKSTIEDKDHIIVELR SRLAMDELNDGGQVAVGTQGGEAESPTSMFARPTSGEHFHAACYQLEMEARRQQQLNR RLRKVVLQTRW H257_00339 MKTIPILESFGNAKTHRNHNSSRFGKYMRLQFSPDVDLSSTALH LTGASIDTYLLETSRVVHPPTGERNFHVFYELLRSGDAKLLNDLKLIPNPYMPKDPRL SNCDAWLDMYHYLNRSGCTHSEFLNDRANFQKLKDALVFAGIDAVQLLQIVAGVLHLG NVTFHEEDTAEGLTAAIHREGDAPNASIDVVADLLGIKADDLIDALLKKKIERTKGSF QRRGSVYFVGKTKQQAAYSRDTVAKMIYNQVFSALMVQCADILEYNAALQDELAYIGV LDIFGFEDFHPKNQNSFEQLLINYANEALQSMFNLCILKAEQELYQAENIWAPQNASL LFPFAPRPVDGLDGAFNIAHAPNDKTITYDDNRQCLTLIADRRDGILSILNMAGRLVG QSDRKFNEKLHVAFKKHPCFVVPHPRDAPYMFCIKHYAGVVRYHIDGFIDKNNNVASP QFHELIAGSTRSLLNMSCMSKTPPGSVSEMFTHQMKGLVVELDSTRSNFIRCIKPNAA MDARVFDRRSVLDQLRCSGTIQACKVLQVGLPTRVSYEELVFIYSDLLGASFMERFHG RDRLFTQALCHVLDFPSDAFRLGDTRLFFKTGKIHLLDTVLAVTTPCPPATLQARLIS YLAKSRWISAVTKTVVLRACDELFWSCRQGRCVVVLQCWFRQHLAKRVVAKRRTTVRL SRKWNLLLQKTQVRAAFDRSVQDKLELLSVLLRHQTLPPHAKWLLTWLGPMQRAMYVR KLGRAACMAYLAKRAFMALLDKVRRERAAVRLQTQFRRVLAVSRFQNLVNRHRALARW SRIRLAMKVNMCVLAMYRRAHVIGLERDVARLTLEANEFDLVKACLVEKVTSLESLVS SWPLKQAAFDVTCSDFAMQVTALTSEVAAKDAEVIDMGRRFEIVQAQLDRSVDAQHEL DKHVHRLQDENTTWMQRSTALELQVADLNNMLALSKARYATLVVETDARRDSFATQVD AVETKMNDIKHDVRLKAAQISELEEYNAELKHEHESVVTQLEDLEQLHEREMATQRRQ VTALTSDVDELRLRLAAATEEIERRIMGAVELDTIRHGLEEDISTLADQRNAMANVNA ALNGDVTRLKACVASAQNDIASFERKHKQLQLDLDDATATIDYQSIQLAEVEGIKAQY KAKDAELKAQSDLQVASLTTHLHQVQAQLSQALAAVALHEKSALEFERFKEQSAVDHI TLKQNVINMTNYVGDCKRKEVALDAALTDRDAQIQELTRQHQLDELRVKELMGALETT QHALKTKAAAVEELEELRRQLMQVHTSLTEELNAIKHDQTISKQVEADLDGQLAESSH RVVELCAQLQHMQLRFDQVQDHIAVKDVVVLAEETIKLQLQVDNDVLTQKVDASKLAI SQVRANELALTSQLSAQTIEMQALACRLETSEAACKDATWQIEFLQSKIDDAQATAAA LAETKAQLQARVVVFEKANPPESTEPSSVDTPWISNSHDHITTLTAELLTIQHQLSQA KNQADTTTANAPELEALQHQMTLNTLTLTEKMATLVQASGEAKQRQTQLEAQVADGLR QVQVLTSQLEHAHDKWRQAENQLAATLANERNAPASDVSDQFPRSPSQVHLEGQSSSV VPLISDLEVAHAEVAPSNDLIASTQQREDELDGRLAACTDHVYAQEAHLSHPMATFDT AETSKPTADAKDEQLSDLAKSRTIPNEEQSTFNLDDQSEVEESPLTSTTVVTIASPRG VQTNTGFRTTDASVSQIARHKQVQVNLNKENRVAALEARLKVLELQHELNQTETSRAH ECIANLKSTIEDKDHIIVELRSRLAMDELNDGGQVAVGTQGGEAESPTSMFARPTSGE HFHAACYQLEMEARRQQQLNRRLRKVVLQTRWGGVPAFEPDELRISQAFTSFDVFGSN DLEFTTVA H257_00339 MKTIPILESFGNAKTHRNHNSSRFGKYMRLQFSPDVDLSSTALH LTGASIDTYLLETSRVVHPPTGERNFHVFYELLRSGDAKLLNDLKLIPNPYMPKDPRL SNCDAWLDMYHYLNRSGCTHSEFLNDRANFQKLKDALVFAGIDAVQLLQIVAGVLHLG NVTFHEEDTAEGLTAAIHREGDAPNASIDVVADLLGIKADDLIDALLKKKIERTKGSF QRRGSVYFVGKTKQQAAYSRDTVAKMIYNQVFSALMVQCADILEYNAALQDELAYIGV LDIFGFEDFHPKNQNSFEQLLINYANEALQSMFNLCILKAEQELYQAENIWAPQNASL LFPFAPRPVDGLDGAFNIAHAPNDKTITYDDNRQCLTLIADRRDGILSILNMAGRLVG QSDRKFNEKLHVAFKKHPCFVVPHPRDAPYMFCIKHYAGVVRYHIDGFIDKNNNVASP QFHELIAGSTRSLLNMSCMSKTPPGSVSEMFTHQMKGLVVELDSTRSNFIRCIKPNAA MDARVFDRRSVLDQLRCSGTIQACKVLQVGLPTRVSYEELVFIYSDLLGASFMERFHG RDRLFTQALCHVLDFPSDAFRLGDTRLFFKTGKIHLLDTVLAVTTPCPPATLQARLIS YLAKSRWISAVTKTVVLRACDELFWSCRQGRCVVVLQCWFRQHLAKRVVAKRRTTVRL SRKWNLLLQKTQVRAAFDRSVQDKLELLSVLLRHQTLPPHAKWLLTWLGPMQRAMYVR KLGRAACMAYLAKRAFMALLDKVRRERAAVRLQTQFRRVLAVSRFQNLVNRHRALARW SRIRLAMKVNMCVLAMYRRAHVIGLERDVARLTLEANEFDLVKACLVEKVTSLESLVS SWPLKQAAFDVTCSDFAMQVTALTSEVAAKDAEVIDMGRRFEIVQAQLDRSVDAQHEL DKHVHRLQDENTTWMQRSTALELQVADLNNMLALSKARYATLVVETDARRDSFATQVD AVETKMNDIKHDVRLKAAQISELEEYNAELKHEHESVVTQLEDLEQLHEREMATQRRQ VTALTSDVDELRLRLAAATEEIERRIMGAVELDTIRHGLEEDISTLADQRNAMANVNA ALNGDVTRLKACVASAQNDIASFERKHKQLQLDLDDATATIDYQSIQLAEVEGIKAQY KAKDAELKAQSDLQVASLTTHLHQVQAQLSQALAAVALHEKSALEFERFKEQSAVDHI TLKQNVINMTNYVGDCKRKEVALDAALTDRDAQIQELTRQHQLDELRVKELMGALETT QHALKTKAAAVEELEELRRQLMQVHTSLTEELNAIKHDQTISKQVEADLDGQLAESSH RVVELCAQLQHMQLRFDQVQDHIAVKDVVVLAEETIKLQLQVDNDVLTQKVDASKLAI SQVRANELALTSQLSAQTIEMQALACRLETSEAACKDATWQIEFLQSKIDDAQATAAA LAETKAQLQARVVVFEKANPPESTEPSSVDTPWISNSHDHITTLTAELLTIQHQLSQA KNQADTTTANAPELEALQHQMTLNTLTLTEKMATLVQASGEAKQRQTQLEAQVADGLR QVQVLTSQLEHAHDKWRQAENQLAATLANERNAPASDVSDQFPRSPSQVHLEGQSSSV VPLISDLEVAHAEVAPSNDLIASTQQREDELDGRLAACTDHVYAQEAHLSHPMATFDT AETSKPTADAKDEQLSDLAKSRTIPNEEQSTFNLDDQSEVEESPLTSTTVVTIASPRG VQTNTGFRTTDASVSQIARHKQVQVNLNKENRVAALEARLKVLELQHELNQTETSRAH ECIANLKSTIEDKDHIIVELRSRLAMDELNDGGQVAVGTQGGEAESPTSMFARPTSGE HFHAACYQLEMEARRQQQLNRRLRKVVLQTRW H257_00340 MSTAVTTTTFVAGDLYWKKAGDTEWVLGRLTDVEEDTASFELVD EATGDALPNEAECVHLNSETLVPANPLFATYPDMTSLRHMNEPSLVKNIYDRWSNADC QPYTRVSNILIAVNPLRRLGTVEKLPFVQQSLDKCPPHPYHIAENAYRQMRSVKQNQS IVISGESGSGKTETSKIILNYLTDRSLTSRHELDTPRGPSNQYDEMSRISMTNTNTTT NNNQDVDHALGDRLMESIPILESFGNAKTHRNHNSSRFGKYMRLQFTKTPTDLHLSGA SIDTYLLETSRLVQTPPGERNFHVFYELLRSGDAQLLNDLKLVPNPYAATSAASSFSI NNNNDKDKDDDDTPPIEHWINEYQYLSRSGCSSDVSHDTANFNKLVDALEYVGINSDE LFRVVSGLLHLGNVTFEEEDTIEGTTATVNQNDPAQTGPLEVAADLLGMDPDTLLDAI LKKKISRMANEGTTFHDPQGGRPGLQRAASVFFLKKDTRQASYSRDTIAKTIYDQVFG YLMGQCAAALEFNFDKKDELPYIGVLDIFGFEDFEPQNRNSLEQLMINYANETLQNMF NQCILKNELELYHLENIFAPQNAALRFPIFRPDLIDHSNDSGIKSKHLVVQYDDNKDC MALIAAKNEGMFSIIDTVGKLAGPSDRKLNETFRKLYSQHPCFIEPHPRDAKHTFIIK HFAGTVRYHISSFLDKNNNVASTQFDELVQSSSLALLKTPLLRQVEPTSRRRGGVHAH KAPAGSVTHTFATQMKGLAVELDSTRSNFIRCIKPNPAMDARVFDRESVVHQLRCSGT VQACQVLQVGLPTRVSYEELIDTYIHLLGMDFMVQFHEHGRTFARALCFVLEFPTDDY RLGDTKLFFKTGKIHLLDTVLNVTPKWDAEELETRLVQYTVKRRWISAVTKVVVLRLF RKIYVRVQLSRRALVLQCWFRQVIATNLVKKLRTQTRVASAWNALCSKVWVQQAFGGS VDDKLLLLQALLRKNADVVLSNKKRWLLTWLGPLERSMYVKKLGKAACVSYLAKQAFV QLLTQVREKRACVKIQSQFRRVLATKEYAGLRRQQKTDERWNLVRNWVKGRFCFVALF RRAHLARLERDHVTLSNHVAHVNAQHDAIAQELTTSKGLASSLQVECAQFEAQLAACK HTIARLEAQEAQATLKYEMTQELVDVEVAKTSELQESVCELTLANATLNTSLRQVESA LQVSRGHEQALQVQLQDKHSQLNDLSAQLHSLHMSFDAATNTINDQTSQILEWEHKYS QLEAIHVGLTRDAERRAACIADADAKKEELEHVITRGNLQICDLTSQIHSLQARLDHT SNQLDDATLQIRELESTKTAVQDQVAALSDALEASKIAARHSDKVDALEAKVESLQSQ LKDAQKQDKALKDKLFSLRAEKNKPTPVNMELIELSTKLAKRESQIALLTSQLQHAQS ITNDAARAAADTAALEATVSKRDSKIETLKTLLKQSQVKKEKLLHEAKSSQGLVEKLM MEHQERELRAASEYAATVAALSAELDLVKAQAAAAVERSATRVELAPTHGTDDDDAVT DEEDDKAHATAASSSFAFQAKTTASVAVVLTAVVVREFVKARFG H257_00340 MSTAVTTTTFVAGDLYWKKAGDTEWVLGRLTDVEEDTASFELVD EATGDALPNEAECVHLNSETLVPANPLFATYPDMTSLRHMNEPSLVKNIYDRWSNADC QPYTRVSNILIAVNPLRRLGTVEKLPFVQQSLDKCPPHPYHIAENAYRQMRSVKQNQS IVISGESGSGKTETSKIILNYLTDRSLTSRHELDTPRGPSNQYDEMSRISMTNTNTTT NNNQDVDHALGDRLMESIPILESFGNAKTHRNHNSSRFGKYMRLQFTKTPTDLHLSGA SIDTYLLETSRLVQTPPGERNFHVFYELLRSGDAQLLNDLKLVPNPYAATSAASSFSI NNNNDKDKDDDDTPPIEHWINEYQYLSRSGCSSDVSHDTANFNKLVDALEYVGINSDE LFRVVSGLLHLGNVTFEEEDTIEGTTATVNQNDPAQTGPLEVAADLLGMDPDTLLDAI LKKKISRMANEGTTFHDPQGGRPGLQRAASVFFLKKDTRQASYSRDTIAKTIYDQVFG YLMGQCAAALEFNFDKKDELPYIGVLDIFGFEDFEPQNRNSLEQLMINYANETLQNMF NQCILKNELELYHLENIFAPQNAALRFPIFRPDLIDHSNDSGIKSKHLVVQYDDNKDC MALIAAKNEGMFSIIDTVGKLAGPSDRKLNETFRKLYSQHPCFIEPHPRDAKHTFIIK HFAGTVRYHISSFLDKNNNVASTQFDELVQSSSLALLKTPLLRQVEPTSRRRGGVHAH KAPAGSVTHTFATQMKGLAVELDSTRSNFIRCIKPNPAMDARVFDRESVVHQLRCSGT VQACQVLQVGLPTRVSYEELIDTYIHLLGMDFMVQFHEHGRTFARALCFVLEFPTDDY RLGDTKLFFKTGKIHLLDTVLNVTPKWDAEELETRLVQYTVKRRWISAVTKVVVLRLF RKIYVRVQLSRRALVLQCWFRQVIATNLVKKLRTQTRVASAWNALCSKVWVQQAFGGS VDDKLLLLQALLRKNADVVLSNKKRWLLTWLGPLERSMYVKKLGKAACVSYLAKQAFV QLLTQVREKRACVKIQSQFRRVLATKEYAGLRRQQKTDERWNLVRNWVKGRFCFVALF RRAHLARLERDHVTLSNHVAHVNAQHDAIAQELTTSKGLASSLQVECAQFEAQLAACK HTIARLEAQEAQATLKYEMTQELVDVEVAKTSELQESVCELTLANATLNTSLRQVESA LQVSRGHEQALQVQLQDKHSQLNDLSAQLHSLHMSFDAATNTINDQTSQILVLR H257_00340 MSRISMTNTNTTTNNNQDVDHALGDRLMESIPILESFGNAKTHR NHNSSRFGKYMRLQFTKTPTDLHLSGASIDTYLLETSRLVQTPPGERNFHVFYELLRS GDAQLLNDLKLVPNPYAATSAASSFSINNNNDKDKDDDDTPPIEHWINEYQYLSRSGC SSDVSHDTANFNKLVDALEYVGINSDELFRVVSGLLHLGNVTFEEEDTIEGTTATVNQ NDPAQTGPLEVAADLLGMDPDTLLDAILKKKISRMANEGTTFHDPQGGRPGLQRAASV FFLKKDTRQASYSRDTIAKTIYDQVFGYLMGQCAAALEFNFDKKDELPYIGVLDIFGF EDFEPQNRNSLEQLMINYANETLQNMFNQCILKNELELYHLENIFAPQNAALRFPIFR PDLIDHSNDSGIKSKHLVVQYDDNKDCMALIAAKNEGMFSIIDTVGKLAGPSDRKLNE TFRKLYSQHPCFIEPHPRDAKHTFIIKHFAGTVRYHISSFLDKNNNVASTQFDELVQS SSLALLKTPLLRQVEPTSRRRGGVHAHKAPAGSVTHTFATQMKGLAVELDSTRSNFIR CIKPNPAMDARVFDRESVVHQLRCSGTVQACQVLQVGLPTRVSYEELIDTYIHLLGMD FMVQFHEHGRTFARALCFVLEFPTDDYRLGDTKLFFKTGKIHLLDTVLNVTPKWDAEE LETRLVQYTVKRRWISAVTKVVVLRLFRKIYVRVQLSRRALVLQCWFRQVIATNLVKK LRTQTRVASAWNALCSKVWVQQAFGGSVDDKLLLLQALLRKNADVVLSNKKRWLLTWL GPLERSMYVKKLGKAACVSYLAKQAFVQLLTQVREKRACVKIQSQFRRVLATKEYAGL RRQQKTDERWNLVRNWVKGRFCFVALFRRAHLARLERDHVTLSNHVAHVNAQHDAIAQ ELTTSKGLASSLQVECAQFEAQLAACKHTIARLEAQEAQATLKYEMTQELVDVEVAKT SELQESVCELTLANATLNTSLRQVESALQVSRGHEQALQVQLQDKHSQLNDLSAQLHS LHMSFDAATNTINDQTSQILEWEHKYSQLEAIHVGLTRDAERRAACIADADAKKEELE HVITRGNLQICDLTSQIHSLQARLDHTSNQLDDATLQIRELESTKTAVQDQVAALSDA LEASKIAARHSDKVDALEAKVESLQSQLKDAQKQDKALKDKLFSLRAEKNKPTPVNME LIELSTKLAKRESQIALLTSQLQHAQSITNDAARAAADTAALEATVSKRDSKIETLKT LLKQSQVKKEKLLHEAKSSQGLVEKLMMEHQERELRAASEYAATVAALSAELDLVKAQ AAAAVERSATRVELAPTHGTDDDDAVTDEEDDKAHATAASSSFAFQAKTTASVAVVLT AVVVREFVKARFG H257_00341 MTASNASSDGALALGSAFTYNGGTVELWMDPLGLDVKQGGEKTL HVTWQDVVGASSNSTTLHIGTCVKDSHGHRQLDTIVLEGPVSEDVGKFANAIRYIAKF HHFHKSSLPSIDDMADKAPPAQTCLVLINPVGGTGHAQRTYDHKVHAVFEAANIVVTK VLTKHEAHATDIVESLDLTEYAFVVCVGGDGLVSEVVQGLMKRPDWVQAIRFPIGIIP GGSGNGLVKSLLHINHEEYSAVNSAYAIVKGSPQPLDMATTRNASSTRYSFLSLSWAF IADVDLDSERYRFMGSARFTMAAVIKMLSLKRWRGRLTYLVPEGETSSQPQSYWDMHG NDASSAAPITSLLPATMGGDFSEKWATIDGNFSLFWSSSVSHPSWDVHLVPGATANDG FVYLVVVEGVVSVWTMTRVLLGLETGAHAALKSVRVIKTRAFELKVDDTNFLSLDGER FAGNTVQVEVHRGLGRVMGLTPASSN H257_00341 MTASNASSDGALALGSAFTYNGGTVELWMDPLGLDVKQGGEKTL HVTWQDVVGASSNSTTLHIGTCVKDSHGHRQLDTIVLEGPVSEDVGKFANAIRYIAKF HHFHKSSLPSIDDMADKAPPAQTCLVLINPVGGTGHAQRTYDHKVHAVFEAANIVVTK VLTKHEAHATDIVESLDLTEYAFVVCVGGDGLVSEVVQGLMKRPDWVQAIRFPIGIIP GGSGNGLVKSLLHINHEEYSAVNSAYAIVKGSPQPLDMATTRNASSTRYSFLSLSWAF IADVDLDSERYRFMGSARFTMAAVIKMLSLKRWRGRLTYLVPEGETSSQPQSYWDMHG NDASSAAPITSLLPATMGGDFSEKWATIDGNFSLFWSSSVSHPSWDVHLVPGATANDG FVYLVVVEGVVSVWTMTRVLLGLETGAHAALKSVRVIKTR H257_00342 MDGGIGEMSAPSSPLKAHHRSRKGDKRESPSRQSSSDKKSSKKP HDLPQMQFIDFDVIKGSAFFNTKPSKYNKELVRQGFYRAILFPFFGQYWKQKDHWFYA GTTAVYVVHLVATAMYLADVRNEPAPLTSFEIWTPVVLLILTACGYGRVSSIVLSADP EGSLSLNGQLHGINLHSPLSTPLAAASKGRGPPPRDHTHAPVVEGVNSIDPSLLESEG SSGESGDSDSDGGASSSSEDEVPKALDEHDDVMPFPHSNQRRRFSESSFGGDHITHRR VKVCVWKGNQLVKEAMSITELRNHILLKVKSQPPRHLYRTVARLSGCLVALVPLLFRV LQYFHDQHINIYDPYVLYEAVPSLVLKTSRLPLAVVVAFIQNHLCLESLLSVSCTAAT YIMGSVIFSALADAEVTYHRRFLYAKCFTALTSSRRSKASHLPHFRLKNVVNIKAWLS LRGGRSWLKRQGRQRAADEIVSTSFLIVLLFLAVMGVQAVSETMPNTTSHLSTVLHTE VTVWCLLSSVFLLRFMMLGSNINRKYQNTSLLLTEQMNVYLKLLVTPEKKEKLLISNN VLKLASKLLKELNSPNKISGLTMNPLLYNVTRVVVLSAFSSALSEVFGFKLKLWKMKV H257_00343 MLTVLDHHHHSNSSSSSCSSISYPTKNPPSTMASSLKRRRTGDT KSLEALSLTLKMKCLIVEAHMTNMNMDVLERNLESIANELALLYVFGIQNESSCVRFM HSAYDRVNKLMAMKNVDSERRTLSSSPDALWTASKSQALSVHDADVNMTACYEITLDD IAMFWKQIQLAKAKATTLWNAENLHDAMPYMRAADTYFKRLHLKCQKLNLDYAMIGAR CIVPMAKKAKRVSFADKVEFVGTAQADFDRSPISPTKPTPLEALLLRASREFPMPSF H257_00344 MMIFDIQFRANPAPSDVIPRLIVGEVVLVTVRKLTTFHGVASCI FESLDATGTTPPRPRLPPTPPLPPRPPTTTPPISTHREDQRSSDYNLTDNDTVPTEPS ESQGSLDERTVPQSGGSGSCISLGSVESREAMASIDDEDGTATASDAHSAAHNANAIK IEEQASGRRKAKQPPDADIAKKKKKSHVFPSTPAAILATDATPQELTLTSTEYLDGDS TTQSTD H257_00345 MDSQAIQFGMINSMRTNNVVVDTLICLLIPVFFKFMYDSTAQFG WVWAYLRSLHTKPTHEVIRRIEIKQHFNSWGKVRDHDQHNHILQKAISIHLSEHLDMT SQSGRYELLENVKPTPDAATVDEASQRHSYGYCSERDQLQTLGIGALPPLNAWVKLEE GVEFMHEIKSGQEDNHDNSEGGGNGVTESTVTFLLRSSLANGTTRIDDFVQRAYKSYQ AAVMAKHQKDKSRYMYMAASSGDASAESTAAVQKYKRYALGEDKTFDSLFFEDKAPLL ALLGNFLHKSGKFGIPGFPYKLGLLLHGPPGTGKTSLIKAIAQHTKRHIVNISLSKIK TNQELMDMMFDLKFGLAGEDLPIKLGFDSIVFVMEDVDCASNIVLARSSDAGAPQIPS PEMDDLDLVTMSKLEGHNNDKAIGPMINPSKWDSKDKLNLSGLLNVLDGVVDSPGRIL IMTTNHPEKLDPALVRPGRVNKKLMLGHISSRQTQLMVEHFFATTLDAKQRKAIDDVF ANTTNNVSPAQVEQLCAEYEHVVGMLDALAKLGD H257_00346 MDTQAIQFGMMSSLRTNNVVIDTLVCMLIPVVFTALVNSSVHLT ALVHALQRHFGPPVTPDVVRKIETKQAFNSWGKVHDNDQHNHILQKAISIYLSQHLDM QAKSGRYELLESAQVDDTEDDTDDCSSDDSYDCYWNVPNENDQLKKLQVGALPPLNEW IQVDDALLFKHEESAADQGNAKLKAQVQQSTVTFYLSSKASDASERIDAFVERAYANY QALVLAKHKQDKARYLYMATSTEVTDDAAPLCKRYALGEDKTFNSLFFEEKDALLTLL NNFDLKRGKFGIPGFPYKLGLLLHGPPGTGKTSLIKAIAQHTKRHIVNISLSKIKTNQ ELMDMMCDLKFAVQGEDLPVKLGYEQVVFVMEDIDCASNVVHARTTSHDNKTTDTATN DIQALDDAALTKALADMIKSSPSNSSKFEAKDKLNLSGLLNVLDGVVDSPGRILIMTT NHPEKLDPALVRPGRVNKKLLLGHMTPRQAQLMMEHYFSTTLDAKQRMAVDEVFATKA TTVSPAQVEQLCAEYDEVSDMLVGLMDL H257_00347 MATSTEVTDDAAPLCKRYALGEDKTFNSLFFEEKDALLTLLNNF DLKRGKFGIPGFPYKLGLLLHGPPGTGKTSLIKAIAQHTKRHIVNISLSKIKTNQELM DMMCDLKFAVQGEDLPVKLGYEQVVFVMEDIDCASNVVHARTTSHDNKTTDTATNDIQ ALDDAALTKALADMIKSSPSNSSKFEAKDKLNLSGLLNVLDGVVDSPGRILIMTTNHP EKLDPALVRPGRVNKKLLLGHMTPRQAQLMMEHYFSTTLDAKQRMAVDEVFATKATTV SPAQVEQLCAEYDEVSDMLVGLMDL H257_00348 MIASEVLYAEFDIDKGSTLRASFPTAPDELQKTVHRTPEFFADM MLPEGVHNREQDYTVFFIHKDSEVKYCLSVVKTMHDANVRRGARIKAVAICSNYHFCF AFKDILNVAIDKLFALGSNESVSSATAVLSSLFDVINSVDVSGVTNLQKSEVEVRLLK RTMCAKPLGGPVHTSDESLEYTTHALWDDLTIPLKIKLGNTQDQHEEGSLIDLIAKFG DQTMQIYNAVLTGSRVILLGYGLPAGKVCNYVLSTSALLCPPLVGVIHRQHPYANLTD LAFLSVPGYIAGVTNPMFKGRKEWWDMHCDLATGDILVAHPLEKDDADAIDHDFILQV MDGIEAGFSESWVRCMFEDYTRQNIVDIATGEASFVDVDAQGKRTAVNHKRITKWART ENYDRFVRARKEAPPTTPAFDPKASGGVDLKRHLRTLMHEEVPDDAHLERIYADFVSL LTSEDELKELLSYIPRSKGGIHVVAQGLFHRSISVKYNTIVLLKRLESFDSTKDVVHA LNPFVATTYSQLLTTVFEPKR H257_00348 MIASEVLYAEFDIDKGSTLRASFPTAPDELQKTVHRTPEFFADM MLPEGVHNREQDYTVFFIHKDSEVKYCLSVVKTMHDANVRRGARIKAVAICSNYHFCF AFKDILNVAIDKLFALGSNESVSSATAVLSSLFDVINSVDVSGVTNLQKSEVEVRLLK RTMCAKPLGGPVHTSDESLEYTTHALWDDLTIPLKIKLGNTQDQHEEGSLIDLIAKFG DQTMQIYNAVLTGSRVILLGYGLPAGKVCNYVLSTSALLCPPLVGVIHRQHPYANLTD LAFLSVPGYIAGVTNPMFKGRKEWWDMHCDLATGDILVAHPLEKDDADAIDHDFILQV MDGIEAGFSESWVRCMFEDYTRQVNLYIYSHKYIYIYVCIDNYILLI H257_00349 MTSLSEEERPIDVFLVDLCGSSLVRSVSGIHAASFDTLVRTIRH PIHLHRLFTDIIEVVDAAQQSELLDALVFMVKHESSNAHACIEVGFHRSIVTFLEARG LWHGFPRPLLNRLLSLLLHISQYSIAVDDIRAMLQVFQCERMKHDEPDEDAVTAYLST LELMARSVTGPSTYLELSGDHSGFSIASMDAIPFPSAGYTLSAWLRVESAPGLNSPLF SLCGDSGIGIEISFMDTTLVVKGLDSKKNEYNEVQVPNALVKHQWQWICVVHTHRQIR GSKLDVYINGDSRQSYRFNYPKDATVSKMQCLVARAKHDHSRCLKAQLGPVAFFSHPL QASIVESIKSFSDYDNVVLQYNASVASSHVTTSLALPSSTLATLPATTPATTTGPDGL LFALDARNFDVKRNMLLDASGHNHHGENNHLSSPSTIRLRTTTSFKESIWQMGGPIVF FPLLLHPSTQSAALTSCQATTSRPLGISSVPKVMSLVAETLRHSLINKFICRRTQAIP MMSLLLGSLPPAYLTAELLSSIERLCSAVSSDRFISDEIHRYLLYNFRLWVPASLKIQ NSIFDKLHVAIKKKFVSSSVVSIRYMLRLLSTQYQTSILPPTAGTGGGGGRSSHMRGR ILETIRILLYDPESWAKAQSQRKYQLNSIIMGVSNSVNSMGVSFDAARTLIYSMLGKA TFPQGNIDDTIKGTVVSEAEMDAGAIPEHVAETDIPDLLQILVDFSVTPATQTEFLSI FERLGGLRIWLPLISTANAQVRRMTLRLLRTYIVIKCDSYPNANPKPSLSAVDVRMIL DSLHVAEFPLQMGSFNELVSLVLGIDYGDPTADPFALVSHDHAEMLADNILLTSSIRH PNMVVPMLELIQKCHLHVRWVGLECFKLLLSDDNVEGALNRRVFLACYATQGYAPYPV EVFFASFVTDAPQLPASDNSFAEAFSSVSTTGLRDVPILRLRELMANVDLADDARLGA AMSLLLLGDHQSLFDLLSNDSAKCDQYRRYKQLAKDNTLLSNRFKTGMRMLLSSIARS RTQEHVVATCMDVMAHMIVVDMKTNEAAYELVLHPFKLVPNQPHVVMTWLKVLVDRLG ALVDSHMPPKGSLCWRNLDNICSIATSVVLHFDPWASVTTTACQRESLVDEDDGRTAA AVFWKAKDEYAKERELSDAILNVWHKCASSLSCDVDASFGRTAQPRLSLSSSQVPVAT RSSPSTGAAAKRNSIKDQSQPPTIAPSSLRQFPGGAMRQILALILRSMYMAIKDQDMF LHSHGEYNEEDEDEEDEEDEDESPAPRRRSRVNSTVALDAVFAAKLAKLDYFVELLQL NQQNYVPAKEDASLVHWLALELRHLMEEAGRLSVHEPRWTDGARRCAEFVSRVLLQNT QNVVTSVDHVRALLDRQEFELTDTEVGRRDLFYHEYLETSQEIRHKKKSHVLAVVEYE RECAKQAVDVVLASGIQVRPSTDPVWLQRVHAKDVDDWMKLERVLRWNIRHVWSVDSL SQATKWQLDSFTSSKWMRCRLLPDVDKVHPYKKLARTINNSLYDALVNDTLASTPAIL VLPPSADDDLDDDNQHTLDVDDDRVHVVMGRSTDDDLDDLDDMVDMVEGDVMENVQLS LPRSSRDDTSMLGSVPTAASPMASSVEISGAVSSPPPLAEVEPVKRASMSSRFVTGLR LPMFSTKKVDKVESSGAKQPVDDVAPDHEGGVVPTDNAARVPSPADDKPPKKLITRGS FRTMAYIVLPEGRVVRGMFRLGSMSIVFEGEAIVDEQEDSRASSIVLLKRRIFGMRVI KSIYRRRFNLDILCGMEIYFVDGTSLLIGFETSHDVDTAFAIIRQRKPPCLVSTKRLL TGDRLVQSSHWHATAKWVRREISTFEYLMLLNVAAARSYNDITQYPIFPWVLSDYASA QLDLEDPATFRDFTKPIGAQSPHGVQAATQRYHSTTAEFPYHFGASYSSQAAVLTYLM RMAPFTQASNALPESSLQPLSSIAAMWERCTTSVGWELVPELYMTAEGLLSAEFGNVV LPPWANESVDTFIRLHRQALESDYVSMHLHSWIDLVFGTSQRGPDAVDSLNVFHPVCY PDGLNLNLLDLNTRKQFAERGTIPVQLFKARHPRRLTVDESLEARYPASHAVASLSSR SQVRRYDVSSRHDMALSSVRFSSATATGGGMGAMTKSVKAKVSDNTLVSSAPVEVHGS IVYSCDETGLVLAKRYQNSTPDATKGVPFTLQDVEQWWRLPAMCSIVDGMVYYEHMIS CGYFDGSWRIHWSADGELLQRIAFHKQKILCMARSEDDVTGDVALAFGSEDCTISVWA ISKFAASRSRRMFLSTAKKELPVGNLPWVLLVGHSRPVVTVAINVELDVVASTCTGHT LLLHSLRTSCPLHAMDLSVPTIQRTSLHLTISAQGSILCHAIHDANQLNSDTNWRASS TQSELLLVSLNGRVMSRVTLSSPDNRPMTLLQRGVTFTRCGEFVVTANATRDGGGIEV RPIGDLNSCVRRIETNRSSVLTCFGLSQDERCVVAGYEDGSLVMFALHYGISDQGRLL SDKRAREVEAAAFARATTSNVAQPEVTTLSVPPGLELDPIILTNLTNVFLKLKRPCVA DDVEFEQLLRQFWGAVYPPMDILNEDIKYERVGASWSRLGFQRPDPTTDFRAGGILSL HCLVSFASKYPTEVKRMTSSQIPGSHEHTYPWGPVAINITCMMASRLWGADGQLHKDR ENLWPVFASPDAFYVMFAEAFLLFDCAWYTMNAQYSSFSGVMEAITNDVMVVLKDNHG SLDEFQRSIRARAAALETSATPQPPSTTTTEPAVDSAVVTDNLITFSPPSSPLPSGPP PSDIFSLLQFSPPKPMPLVDPFAEIRDPFASPAPFPGTSPLDVMALPTETLPPQPPSY PASNDPFSPRNMHQGGPLDYTDDPFAGL H257_00349 MTSLSEEERPIDVFLVDLCGSSLVRSVSGIHAASFDTLVRTIRH PIHLHRLFTDIIEVVDAAQQSELLDALVFMVKHESSNAHACIEVGFHRSIVTFLEARG LWHGFPRPLLNRLLSLLLHISQYSIAVDDIRAMLQVFQCERMKHDEPDEDAVTAYLST LELMARSVTGPSTYLELSGDHSGFSIASMDAIPFPSAGYTLSAWLRVESAPGLNSPLF SLCGDSGIGIEISFMDTTLVVKGLDSKKNEYNEVQVPNALVKHQWQWICVVHTHRQIR GSKLDVYINGDSRQSYRFNYPKDATVSKMQCLVARAKHDHSRCLKAQLGPVAFFSHPL QASIVESIKSFSDYDNVVLQYNASVASSHVTTSLALPSTLATLPATTPATTTGPDGLL FALDARNFDVKRNMLLDASGHNHHGENNHLSSPSTIRLRTTTSFKESIWQMGGPIVFF PLLLHPSTQSAALTSCQATTSRPLGISSVPKVMSLVAETLRHSLINKFICRRTQAIPM MSLLLGSLPPAYLTAELLSSIERLCSAVSSDRFISDEIHRYLLYNFRLWVPASLKIQN SIFDKLHVAIKKKFVSSSVVSIRYMLRLLSTQYQTSILPPTAGTGGGGGRSSHMRGRI LETIRILLYDPESWAKAQSQRKYQLNSIIMGVSNSVNSMGVSFDAARTLIYSMLGKAT FPQGNIDDTIKGTVVSEAEMDAGAIPEHVAETDIPDLLQILVDFSVTPATQTEFLSIF ERLGGLRIWLPLISTANAQVRRMTLRLLRTYIVIKCDSYPNANPKPSLSAVDVRMILD SLHVAEFPLQMGSFNELVSLVLGIDYGDPTADPFALVSHDHAEMLADNILLTSSIRHP NMVVPMLELIQKCHLHVRWVGLECFKLLLSDDNVEGALNRRVFLACYATQGYAPYPVE VFFASFVTDAPQLPASDNSFAEAFSSVSTTGLRDVPILRLRELMANVDLADDARLGAA MSLLLLGDHQSLFDLLSNDSAKCDQYRRYKQLAKDNTLLSNRFKTGMRMLLSSIARSR TQEHVVATCMDVMAHMIVVDMKTNEAAYELVLHPFKLVPNQPHVVMTWLKVLVDRLGA LVDSHMPPKGSLCWRNLDNICSIATSVVLHFDPWASVTTTACQRESLVDEDDGRTAAA VFWKAKDEYAKERELSDAILNVWHKCASSLSCDVDASFGRTAQPRLSLSSSQVPVATR SSPSTGAAAKRNSIKDQSQPPTIAPSSLRQFPGGAMRQILALILRSMYMAIKDQDMFL HSHGEYNEEDEDEEDEEDEDESPAPRRRSRVNSTVALDAVFAAKLAKLDYFVELLQLN QQNYVPAKEDASLVHWLALELRHLMEEAGRLSVHEPRWTDGARRCAEFVSRVLLQNTQ NVVTSVDHVRALLDRQEFELTDTEVGRRDLFYHEYLETSQEIRHKKKSHVLAVVEYER ECAKQAVDVVLASGIQVRPSTDPVWLQRVHAKDVDDWMKLERVLRWNIRHVWSVDSLS QATKWQLDSFTSSKWMRCRLLPDVDKVHPYKKLARTINNSLYDALVNDTLASTPAILV LPPSADDDLDDDNQHTLDVDDDRVHVVMGRSTDDDLDDLDDMVDMVEGDVMENVQLSL PRSSRDDTSMLGSVPTAASPMASSVEISGAVSSPPPLAEVEPVKRASMSSRFVTGLRL PMFSTKKVDKVESSGAKQPVDDVAPDHEGGVVPTDNAARVPSPADDKPPKKLITRGSF RTMAYIVLPEGRVVRGMFRLGSMSIVFEGEAIVDEQEDSRASSIVLLKRRIFGMRVIK SIYRRRFNLDILCGMEIYFVDGTSLLIGFETSHDVDTAFAIIRQRKPPCLVSTKRLLT GDRLVQSSHWHATAKWVRREISTFEYLMLLNVAAARSYNDITQYPIFPWVLSDYASAQ LDLEDPATFRDFTKPIGAQSPHGVQAATQRYHSTTAEFPYHFGASYSSQAAVLTYLMR MAPFTQASNALPESSLQPLSSIAAMWERCTTSVGWELVPELYMTAEGLLSAEFGNVVL PPWANESVDTFIRLHRQALESDYVSMHLHSWIDLVFGTSQRGPDAVDSLNVFHPVCYP DGLNLNLLDLNTRKQFAERGTIPVQLFKARHPRRLTVDESLEARYPASHAVASLSSRS QVRRYDVSSRHDMALSSVRFSSATATGGGMGAMTKSVKAKVSDNTLVSSAPVEVHGSI VYSCDETGLVLAKRYQNSTPDATKGVPFTLQDVEQWWRLPAMCSIVDGMVYYEHMISC GYFDGSWRIHWSADGELLQRIAFHKQKILCMARSEDDVTGDVALAFGSEDCTISVWAI SKFAASRSRRMFLSTAKKELPVGNLPWVLLVGHSRPVVTVAINVELDVVASTCTGHTL LLHSLRTSCPLHAMDLSVPTIQRTSLHLTISAQGSILCHAIHDANQLNSDTNWRASST QSELLLVSLNGRVMSRVTLSSPDNRPMTLLQRGVTFTRCGEFVVTANATRDGGGIEVR PIGDLNSCVRRIETNRSSVLTCFGLSQDERCVVAGYEDGSLVMFALHYGISDQGRLLS DKRAREVEAAAFARATTSNVAQPEVTTLSVPPGLELDPIILTNLTNVFLKLKRPCVAD DVEFEQLLRQFWGAVYPPMDILNEEDIKYERVGASWSRLGFQRPDPTTDFRAGGILSL HCLVSFASKYPTEVKRMTSSQIPGSHEHTYPWGPVAINITCMMASRLWGADGQLHKDR ENLWPVFASPDAFYVMFAEAFLLFDCAWYTMNAQYSSFSGVMEAITNDVMVVLKDNHG SLDEFQRSIRARAAALETSATPQPPSTTTTEPAVDSAVVTDNLITFSPPSSPLPSGPP PSDIFSLLQFSPPKPMPLVDPFAEIRDPFASPAPFPGTSPLDVMALPTETLPPQPPSY PASNDPFSPRNMHQGGPLDYTDDPFAGL H257_00349 MTSLSEEERPIDVFLVDLCGSSLVRSVSGIHAASFDTLVRTIRH PIHLHRLFTDIIEVVDAAQQSELLDALVFMVKHESSNAHACIEVGFHRSIVTFLEARG LWHGFPRPLLNRLLSLLLHISQYSIAVDDIRAMLQVFQCERMKHDEPDEDAVTAYLST LELMARSVTGPSTYLELSGDHSGFSIASMDAIPFPSAGYTLSAWLRVESAPGLNSPLF SLCGDSGIGIEISFMDTTLVVKGLDSKKNEYNEVQVPNALVKHQWQWICVVHTHRQIR GSKLDVYINGDSRQSYRFNYPKDATVSKMQCLVARAKHDHSRCLKAQLGPVAFFSHPL QASIVESIKSFSDYDNVVLQYNASVASSHVTTSLALPSTLATLPATTPATTTGPDGLL FALDARNFDVKRNMLLDASGHNHHGENNHLSSPSTIRLRTTTSFKESIWQMGGPIVFF PLLLHPSTQSAALTSCQATTSRPLGISSVPKVMSLVAETLRHSLINKFICRRTQAIPM MSLLLGSLPPAYLTAELLSSIERLCSAVSSDRFISDEIHRYLLYNFRLWVPASLKIQN SIFDKLHVAIKKKFVSSSVVSIRYMLRLLSTQYQTSILPPTAGTGGGGGRSSHMRGRI LETIRILLYDPESWAKAQSQRKYQLNSIIMGVSNSVNSMGVSFDAARTLIYSMLGKAT FPQGNIDDTIKGTVVSEAEMDAGAIPEHVAETDIPDLLQILVDFSVTPATQTEFLSIF ERLGGLRIWLPLISTANAQVRRMTLRLLRTYIVIKCDSYPNANPKPSLSAVDVRMILD SLHVAEFPLQMGSFNELVSLVLGIDYGDPTADPFALVSHDHAEMLADNILLTSSIRHP NMVVPMLELIQKCHLHVRWVGLECFKLLLSDDNVEGALNRRVFLACYATQGYAPYPVE VFFASFVTDAPQLPASDNSFAEAFSSVSTTGLRDVPILRLRELMANVDLADDARLGAA MSLLLLGDHQSLFDLLSNDSAKCDQYRRYKQLAKDNTLLSNRFKTGMRMLLSSIARSR TQEHVVATCMDVMAHMIVVDMKTNEAAYELVLHPFKLVPNQPHVVMTWLKVLVDRLGA LVDSHMPPKGSLCWRNLDNICSIATSVVLHFDPWASVTTTACQRESLVDEDDGRTAAA VFWKAKDEYAKERELSDAILNVWHKCASSLSCDVDASFGRTAQPRLSLSSSQVPVATR SSPSTGAAAKRNSIKDQSQPPTIAPSSLRQFPGGAMRQILALILRSMYMAIKDQDMFL HSHGEYNEEDEDEEDEEDEDESPAPRRRSRVNSTVALDAVFAAKLAKLDYFVELLQLN QQNYVPAKEDASLVHWLALELRHLMEEAGRLSVHEPRWTDGARRCAEFVSRVLLQNTQ NVVTSVDHVRALLDRQEFELTDTEVGRRDLFYHEYLETSQEIRHKKKSHVLAVVEYER ECAKQAVDVVLASGIQVRPSTDPVWLQRVHAKDVDDWMKLERVLRWNIRHVWSVDSLS QATKWQLDSFTSSKWMRCRLLPDVDKVHPYKKLARTINNSLYDALVNDTLASTPAILV LPPSADDDLDDDNQHTLDVDDDRVHVVMGRSTDDDLDDLDDMVDMVEGDVMENVQLSL PRSSRDDTSMLGSVPTAASPMASSVEISGAVSSPPPLAEVEPVKRASMSSRFVTGLRL PMFSTKKVDKVESSGAKQPVDDVAPDHEGGVVPTDNAARVPSPADDKPPKKLITRGSF RTMAYIVLPEGRVVRGMFRLGSMSIVFEGEAIVDEQEDSRASSIVLLKRRIFGMRVIK SIYRRRFNLDILCGMEIYFVDGTSLLIGFETSHDVDTAFAIIRQRKPPCLVSTKRLLT GDRLVQSSHWHATAKWVRREISTFEYLMLLNVAAARSYNDITQYPIFPWVLSDYASAQ LDLEDPATFRDFTKPIGAQSPHGVQAATQRYHSTTAEFPYHFGASYSSQAAVLTYLMR MAPFTQASNALPESSLQPLSSIAAMWERCTTSVGWELVPELYMTAEGLLSAEFGNVVL PPWANESVDTFIRLHRQALESDYVSMHLHSWIDLVFGTSQRGPDAVDSLNVFHPVCYP DGLNLNLLDLNTRKQFAERGTIPVQLFKARHPRRLTVDESLEARYPASHAVASLSSRS QVRRYDVSSRHDMALSSVRFSSATATGGGMGAMTKSVKAKVSDNTLVSSAPVEVHGSI VYSCDETGLVLAKRYQNSTPDATKGVPFTLQDVEQWWRLPAMCSIVDGMVYYEHMISC GYFDGSWRIHWSADGELLQRIAFHKQKILCMARSEDDVTGDVALAFGSEDCTISVWAI SKFAASRSRRMFLSTAKKELPVGNLPWVLLVGHSRPVVTVAINVELDVVASTCTGHTL LLHSLRTSCPLHAMDLSVPTIQRTSLHLTISAQGSILCHAIHDANQLNSDTNWRASST QSELLLVSLNGRVMSRVTLSSPDNRPMTLLQRGVTFTRCGEFVVTANATRDGGGIEVR PIGDLNSCVRRIETNRSSVLTCFGLSQDERCVVAGYEDGSLVMFALHYGISDQGRLLS DKRAREVEAAAFARATTSNVAQPEVTTLSVPPGLELDPIILTNLTNVFLKLKRPCVAD DVEFEQLLRQFWGAVYPPMDILNEDIKYERVGASWSRLGFQRPDPTTDFRAGGILSLH CLVSFASKYPTEVKRMTSSQIPGSHEHTYPWGPVAINITCMMASRLWGADGQLHKDRE NLWPVFASPDAFYVMFAEAFLLFDCAWYTMNAQYSSFSGVMEAITNDVMVVLKDNHGS LDEFQRSIRARAAALETSATPQPPSTTTTEPAVDSAVVTDNLITFSPPSSPLPSGPPP SDIFSLLQFSPPKPMPLVDPFAEIRDPFASPAPFPGTSPLDVMALPTETLPPQPPSYP ASNDPFSPRNMHQGGPLDYTDDPFAGL H257_00349 MTSLSEEERPIDVFLVDLCGSSLVRSVSGIHAASFDTLVRTIRH PIHLHRLFTDIIEVVDAAQQSELLDALVFMVKHESSNAHACIEVGFHRSIVTFLEARG LWHGFPRPLLNRLLSLLLHISQYSIAVDDIRAMLQVFQCERMKHDEPDEDAVTAYLST LELMARSVTGPSTYLELSGDHSGFSIASMDAIPFPSAGYTLSAWLRVESAPGLNSPLF SLCGDSGIGIEISFMDTTLVVKGLDSKKNEYNEVQVPNALVKHQWQWICVVHTHRQIR GSKLDVYINGDSRQSYRFNYPKDATVSKMQCLVARAKHDHSRCLKAQLGPVAFFSHPL QASIVESIKSFSDYDNVVLQYNASVASSHVTTSLALPSTLATLPATTPATTTGPDGLL FALDARNFDVKRNMLLDASGHNHHGENNHLSSPSTIRLRTTTSFKESIWQMGGPIVFF PLLLHPSTQSAALTSCQATTSRPLGISSVPKVMSLVAETLRHSLINKFICRRTQAIPM MSLLLGSLPPAYLTAELLSSIERLCSAVSSDRFISDEIHRYLLYNFRLWVPASLKIQN SIFDKLHVAIKKKFVSSSVVSIRYMLRLLSTQYQTSILPPTAGTGGGGGRSSHMRGRI LETIRILLYDPESWAKAQSQRKYQLNSIIMGVSNSVNSMGVSFDAARTLIYSMLGKAT FPQGNIDDTIKGTVVSEAEMDAGAIPEHVAETDIPDLLQILVDFSVTPATQTEFLSIF ERLGGLRIWLPLISTANAQVRRMTLRLLRTYIVIKCDSYPNANPKPSLSAVDVRMILD SLHVAEFPLQMGSFNELVSLVLGIDYGDPTADPFALVSHDHAEMLADNILLTSSIRHP NMVVPMLELIQKCHLHVRWVGLECFKLLLSDDNVEGALNRRVFLACYATQGYAPYPVE VFFASFVTDAPQLPASDNSFAEAFSSVSTTGLRDVPILRLRELMANVDLADDARLGAA MSLLLLGDHQSLFDLLSNDSAKCDQYRRYKQLAKDNTLLSNRFKTGMRMLLSSIARSR TQEHVVATCMDVMAHMIVVDMKTNEAAYELVLHPFKLVPNQPHVVMTWLKVLVDRLGA LVDSHMPPKGSLCWRNLDNICSIATSVVLHFDPWASVTTTACQRESLVDEDDGRTAAA VFWKAKDEYAKERELSDAILNVWHKCASSLSCDVDASFGRTAQPRLSLSSSQVPVATR SSPSTGAAAKRNSIKDQSQPPTIAPSSLRQFPGGAMRQILALILRSMYMAIKDQDMFL HSHGEYNEEDEDEEDEEDEDESPAPRRRSRVNSTVALDAVFAAKLAKLDYFVELLQLN QQNYVPAKEDASLVHWLALELRHLMEEAGRLSVHEPRWTDGARRCAEFVSRVLLQNTQ NVVTSVDHVRALLDRQEFELTDTEVGRRDLFYHEYLETSQEIRHKKKSHVLAVVEYER ECAKQAVDVVLASGIQVRPSTDPVWLQRVHAKDVDDWMKLERVLRWNIRHVWSVDSLS QATKWQLDSFTSSKWMRCRLLPDVDKVHPYKKLARTINNSLYDALVNDTLASTPAILV LPPSADDDLDDDNQHTLDVDDDRVHVVMGRSTDDDLDDLDDMVDMVEGDVMENVQLSL PRSSRDDTSMLGSVPTAASPMASSVEISGAVSSPPPLAEVEPVKRASMSSRFVTGLRL PMFSTKKVDKVESSGAKQPVDDVAPDHEGGVVPTDNAARVPSPADDKPPKKLITRGSF RTMAYIVLPEGRVVRGMFRLGSMSIVFEGEAIVDEQEDSRASSIVLLKRRIFGMRVIK SIYRRRFNLDILCGMEIYFVDGTSLLIGFETSHDVDTAFAIIRQRKPPCLVSTKRLLT GDRLVQSSHWHATAKWVRREISTFEYLMLLNVAAARSYNDITQYPIFPWVLSDYASAQ LDLEDPATFRDFTKPIGAQSPHGVQAATQRYHSTTAEFPYHFGASYSSQAAVLTYLMR MAPFTQASNALPESSLQPLSSIAAMWERCTTSVGWELVPELYMTAEGLLSAEFGNVVL PPWANESVDTFIRLHRQALESDYVSMHLHSWIDLVFGTSQRGPDAVDSLNVFHPVCYP DGLNLNLLDLNTRKQFAERGTIPVQLFKARHPRRLTVDESLEARYPASHAVASLSSRS QVRRYDVSSRHDMALSSVRFSSATATGGGMGAMTKSVKAKVSDNTLVSSAPVEVHGSI VYSCDETGLVLAKRYQNSTPDATKGVPFTLQDVEQWWRLPAMCSIVDGMVYYEHMISC GYFDGSWRIHWSADGELLQRIAFHKQKILCMARSEDDVTGDVALAFGSEDCTISVWAI SKFAASRSRRMFLSTAKKELPVGNLPWVLLVGHSRPVVTVAINVELDVVASTCTGHTL LLHSLRTSCPLHAMDLSVPTIQRTSLHLTISAQGSILCHAIHDANQLNSDTNWRASST QSELLLVSLNGRVMSRVTLSSPDNRPMTLLQRGVTFTRCGEFVVTANATRDGGGIEVR PIGDLNSCVRRIETNRSSVLTCFGLSQDERCVVAGYEDGSLVMFALHYGISDQGRLLS DKRAREVEAAAFARATTSNVAQPEVTTLSVPPGLELDPIILTNLTNVFLKLKRPCVAD DVEFEQLLRQFWGAVYPPMDILNEDIKYERVGASWSRLGFQRPDPTTDFRAGGILSLH CLVSFASKYPTEVKRMTSSQIPGSHEHTYPWGPVAINITCMMASRLWGADGQLHKDRE NLWPVFASPDAFYVMFAEAFLLFDCAWYTMNAQYSSFSGAYAAIVGWQLDGFA H257_00349 MTSLSEEERPIDVFLVDLCGSSLVRSVSGIHAASFDTLVRTIRH PIHLHRLFTDIIEVVDAAQQSELLDALVFMVKHESSNAHACIEVGFHRSIVTFLEARG LWHGFPRPLLNRLLSLLLHISQYSIAVDDIRAMLQVFQCERMKHDEPDEDAVTAYLST LELMARSVTGPSTYLELSGDHSGFSIASMDAIPFPSAGYTLSAWLRVESAPGLNSPLF SLCGDSGIGIEISFMDTTLVVKGLDSKKNEYNEVQVPNALVKHQWQWICVVHTHRQIR GSKLDVYINGDSRQSYRFNYPKDATVSKMQCLVARAKHDHSRCLKAQLGPVAFFSHPL QASIVESIKSFSDYDNVVLQYNASVASSHVTTSLALPSTLATLPATTPATTTGPDGLL FALDARNFDVKRNMLLDASGHNHHGENNHLSSPSTIRLRTTTSFKESIWQMGGPIVFF PLLLHPSTQSAALTSCQATTSRPLGISSVPKVMSLVAETLRHSLINKFICRRTQAIPM MSLLLGSLPPAYLTAELLSSIERLCSAVSSDRFISDEIHRYLLYNFRLWVPASLKIQN SIFDKLHVAIKKKFVSSSVVSIRYMLRLLSTQYQTSILPPTAGTGGGGGRSSHMRGRI LETIRILLYDPESWAKAQSQRKYQLNSIIMGVSNSVNSMGVSFDAARTLIYSMLGKAT FPQGNIDDTIKGTVVSEAEMDAGAIPEHVAETDIPDLLQILVDFSVTPATQTEFLSIF ERLGGLRIWLPLISTANAQVRRMTLRLLRTYIVIKCDSYPNANPKPSLSAVDVRMILD SLHVAEFPLQMGSFNELVSLVLGIDYGDPTADPFALVSHDHAEMLADNILLTSSIRHP NMVVPMLELIQKCHLHVRWVGLECFKLLLSDDNVEGALNRRVFLACYATQGYAPYPVE VFFASFVTDAPQLPASDNSFAEAFSSVSTTGLRDVPILRLRELMANVDLADDARLGAA MSLLLLGDHQSLFDLLSNDSAKCDQYRRYKQLAKDNTLLSNRFKTGMRMLLSSIARSR TQEHVVATCMDVMAHMIVVDMKTNEAAYELVLHPFKLVPNQPHVVMTWLKVLVDRLGA LVDSHMPPKGSLCWRNLDNICSIATSVVLHFDPWASVTTTACQRESLVDEDDGRTAAA VFWKAKDEYAKERELSDAILNVWHKCASSLSCDVDASFGRTAQPRLSLSSSQVPVATR SSPSTGAAAKRNSIKDQSQPPTIAPSSLRQFPGGAMRQILALILRSMYMAIKDQDMFL HSHGEYNEEDEDEEDEEDEDESPAPRRRSRVNSTVALDAVFAAKLAKLDYFVELLQLN QQNYVPAKEDASLVHWLALELRHLMEEAGRLSVHEPRWTDGARRCAEFVSRVLLQNTQ NVVTSVDHVRALLDRQEFELTDTEVGRRDLFYHEYLETSQEIRHKKKSHVLAVVEYER ECAKQAVDVVLASGIQVRPSTDPVWLQRVHAKDVDDWMKLERVLRWNIRHVWSVDSLS QATKWQLDSFTSSKWMRCRLLPDVDKVHPYKKLARTINNSLYDALVNDTLASTPAILV LPPSADDDLDDDNQHTLDVDDDRVHVVMGRSTDDDLDDLDDMVDMVEGDVMENVQLSL PRSSRDDTSMLGSVPTAASPMASSVEISGAVSSPPPLAEVEPVKRASMSSRFVTGLRL PMFSTKKVDKVESSGAKQPVDDVAPDHEGGVVPTDNAARVPSPADDKPPKKLITRGSF RTMAYIVLPEGRVVRGMFRLGSMSIVFEGEAIVDEQEDSRASSIVLLKRRIFGMRVIK SIYRRRFNLDILCGMEIYFVDGTSLLIGFETSHDVDTAFAIIRQRKPPCLVSTKRLLT GDRLVQSSHWHATAKWVRREISTFEYLMLLNVAAARSYNDITQYPIFPWVLSDYASAQ LDLEDPATFRDFTKPIGAQSPHGVQAATQRYHSTTAEFPYHFGASYSSQAAVLTYLMR MAPFTQASNALPESSLQPLSSIAAMWERCTTSVGWELVPELYMTAEGLLSAEFGNVVL PPWANESVDTFIRLHRQALESDYVSMHLHSWIDLVFGTSQRGPDAVDSLNVFHPVCYP DGLNLNLLDLNTRKQFAERGTIPVQLFKARHPRRLTVDESLEARYPASHAVASLSSRS QVRRYDVSSRHDMALSSVRFSSATATGGGMGAMTKSVKAKVSDNTLVSSAPVEVHGSI VYSCDETGLVLAKRYQNSTPDATKGVPFTLQDVEQWWRLPAMCSIVDGMVYYEHMISC GYFDGSWRIHWSADGELLQRIAFHKQKILCMARSEDDVTGDVALAFGSEDCTISVWAI SKFAASRSRRMFLSTAKKELPVGNLPWVLLVGHSRPVVTVAINVELDVVASTCTGHTL LLHSLRTSCPLHAMDLSVPTIQRTSLHLTISAQGSILCHAIHDANQLNSDTNWRASST QSELLLVSLNGRVMSRVTLSSPDNRPMTLLQRGVTFTRCGEFVVTANATRDGGGIEVR PIGDLNSCVRRIETNRSSVLTCFGLSQDERCVVAGYEDGSLVMFALHYGISDQGRLLS DKRAREVEAAAFARATTSNVAQPEVTTLSVPPGLELDPIILTNLTNVFLKLKRPCVAD DVEFEQLLRQFWGAVYPPMDILNEDIKYERVGASWSRLGFQRPDPTTDFRAGGILSLH CLVSFASKYPTEVKRMTSSQIPGSHEHTYPWGPVAINITCMMASRLWGADGQLHKDRE NLWPVFASPDAFYVMFAEGTRLNGVSTTRISVM H257_00350 MNYGILHNRRTKGGKPHGPSAGPIPLQRIASQMGVDPAELGAEA QNIWSMLDDMSQNDPQAYREFIANQVTDESKAARKKFTPTPGFVVKYHTVQGSTRQKL FMNCCSHGCVAIPKNPNNGKDVPNDTRMVPSTSNLEIPLAIGELRSLAIQDETCHVVD AVFHPWVLERAQWDSKFKADAMQLASTWVEKEKGITLIPPGKVIKSLYKGGTGSGANV IAHDFIVADEAGSDKDNNASPKRKAAAATAGQATPPIKPSPPSVLQTPTDVLRSLGPL SNQDAVDNNDDEQANFSMKLPSTPAVKKKPLIEIVGEPSPSTNIPTSSINSKGRTKKV ATVVQKGFLNKATKAPLYPSGSSEGHPSSAYVNLLHRSKVVDMNNMPPPAPTQSYHQP ANVSKKPARENEVVDVEFDQLCLEAEPELLDRSQADANPFLNEQLTNFLMGKI H257_00351 MSLLDVSRASAYPMSSSTLVDLTESVTMSRKRRRPESKNLEEVS YSTKLKGLLAEACLSDMNNVVIEKNLIAIAKELAILHSLGLQTEAKCFKFISIAFARI SVLVVKQATHKELTVSPASLWESAKWQVAETIRNDSNTLDAAAIGECYANAVRDIQLL WKATVLSKEQGAQLWHDNKPDEAIALLKASEVYMRRFNLKCQKLSMDRALIESQLTPS TKRPCSHTKKVSFADVPSVIVLADEADDRSPVVASKPSKLDALLLRSSRVFPAPSL H257_00352 MTRSDRDDGRGNKLDASQEPLLELTSITALPTKPKMNSGDRACL LVFCLVGLSMCYVGPTVMFTDVVSYSNSSQNHTYKWVDHEIPLNLTWHAWQDPVDAWR PSMTSQRISDFPRFPNYLYTFYSHELSTPRPSSQLMFRSESPHARVLVLEAGSAALVP TVHAGASTIGAQLNISSRSTLVLASPSVDGGISNVQLDGAALLHWTCMPGLVGEQSLI FQPTHVRSVNWTSPAGHSSSPFTWYYATFPFASSSTSTRSTARTLRMHAKGLTAGQVF FNGAHLGRYNGTSSVHFSVAVLAENTITLLEEIRANVGAVEFRLE H257_00352 MTRSDRDDGRGNKLDASQEPLLELTSITALPTKPKMNSGDRACL LVFCLVGLSMCYVGPTVMFTDVVSYSNSSQNHTYKWVDHEIPLNLTWHAWQDPVDAWR PSMTSQRISDFPRFPNYLYTFYSHELSTPRPSSQLMFRSESPHARVLVLEAGSAALVP TVHAGASTIGAQLNISSRSTLVLASPSVDGGISNVQLDGAALLHWTCMPGLVGEQSLI FQPTHVRSVNWTSPAGHSSSPFTWYYATFPFASSSTSTRSTARTLRMHAKGLTAGQVF FNGAHLGRYNGTSSVHFSVAVLAVRTYSSHMHLVSLTSGLLNCICFFIVCFTSYSNPY K H257_00353 MSRRSDSRDNTRPDHRRDYSRSRSPSRGHRRSRSRDSSKRRRHD NDEFDRSRSTRGGVDGSWRDQPRVNQDNGEFYRPSHQPQPSHPTRGFAREGSYPNDRD EAPSMRPPPQILDIEPSSTLMIKGLPFSTTNSEVMDALRPFRPTGGRIIVNKMTGESR GFAFVEFDSIDDARHVVQTFAKQPLSFQGRLAAIGYAEPTRSSHGHLPVRCDWICPMC NATNFAKRLECYKCSAPKSEYAIEVPRQVADMTHNQSGHPSCILAVRSLPMEAQEEEL TQLFMRYPGVKDIRLMRDRITGAPRGFGFVEFATVEHATAALQAIGSEFYYANALVRV SYSMDAATAPRVLGPNAHVLANSAVEAAQWSSSNAYKSSESDVNALLASAAAAVSTSL TVHVPKKEFPLSFEQAGGSFVFVSENGLYYHADSMFYYDPTSKVYFNSYLGTYHVLDP VTKSSFLPFDIPLPLDDLVGAPDAPQSRAASAQKGKKKAVAISFGIKPSTAKPTPTAA TPLVGTHCSVPPAAVKKKHADEIAKWSHHQKSAATATPATAANQPSPAAPSNNQPTIC LLCRRKFNSAAQLHKHEQLSDLHKQNLAKAKQTQQSLRVRDGPKETLLPRVEDPPDVP VDVARVDKPLDDQSNIGGKMLKMMGWKSGEGLGKAGTGITAPVAAVGKTSGDTSGLGG GATLGSTPGSGASSKRDKINQITRARFDGLKD H257_00353 MSRRSDSRDNTRPDHRRDYSRSRSPSRGHRRSRSRDSSKRRRHD NDEFDRSRSTRGGVDGSWRDQPRVNQDNGEFYRPSHQPQPSHPTRGFAREGSYPNDRD EAPSMRPPPQILDIEPSSTLMIKGLPFSTTNSEVMDALRPFRPTGGRIIVNKMTGESR GFAFVEFDSIDDARHVVQTFAKQPLSFQGRLAAIGYAEPTRSSHGHLPVRCDWICPMC NATNFAKRLECYKCSAPKSEYAIEVPRQVADMTHNQSGHPSCILAVRSLPMEAQEEEL TQLFMRYPGVKDIRLMRDRITGAPRGFGFVEFATVEHATAALQAIGSEFYYANALVRV SYSMDAATAPRVLGPNAHVLANSAVEAAQWSSSNAYKSSESDVNALLASAAAAVSTSL TVHVPKKEFPLSFEQAGGSFVFVSENGLYYHADSMFYYDPTSKVYFNSYLGTYHVLDP VTKSSFLPFDIPLPLDDLVGAPDAPQSRAASAQKGKKKAVAISFGIKPSTAKPTPTAA TPLVGTHCSVPPAAVKKKHADEIAKWSHHQKSAATATPAPATAANQPSPAAPSNNQPT ICLLCRRKFNSAAQLHKHEQLSDLHKQNLAKAKQTQQSLRVRDGPKETLLPRVEDPPD VPVDVARVDKPLDDQSNIGGKMLKMMGWKSGEGLGKAGTGITAPVAAVGKTSGDTSGL GGGATLGSTPGSGASSKRDKINQITRARFDGLKD H257_00353 MPDMSSRPLVHHQDYICAYQRRLRHLAKQPLSFQGRLAAIGYAE PTRSSHGHLPVRCDWICPMCNATNFAKRLECYKCSAPKSEYAIEVPRQVADMTHNQSG HPSCILAVRSLPMEAQEEELTQLFMRYPGVKDIRLMRDRITGAPRGFGFVEFATVEHA TAALQAIGSEFYYANALVRVSYSMDAATAPRVLGPNAHVLANSAVEAAQWSSSNAYKS SESDVNALLASAAAAVSTSLTVHVPKKEFPLSFEQAGGSFVFVSENGLYYHADSMFYY DPTSKVYFNSYLGTYHVLDPVTKSSFLPFDIPLPLDDLVGAPDAPQSRAASAQKGKKK AVAISFGIKPSTAKPTPTAATPLVGTHCSVPPAAVKKKHADEIAKWSHHQKSAATATP APATAANQPSPAAPSNNQPTICLLCRRKFNSAAQLHKHEQLSDLHKQNLAKAKQTQQS LRVRDGPKETLLPRVEDPPDVPVDVARVDKPLDDQSNIGGKMLKMMGWKSGEGLGKAG TGITAPVAAVGKTSGDTSGLGGGATLGSTPGSGASSKRDKINQITRARFDGLKD H257_00353 MSRRSDSRDNTRPDHRRDYSRSRSPSRGHRRSRSRDSSKRRRHD NDEFDRSRSTRGGVDGSWRDQPRVNQDNGEFYRPSHQPQPSHPTRGFAREGSYPNDRD EAPSMRPPPQILDIEPSSTLMIKGLPFSTTNSEVMDALRPFRPTGGRIIVNKMTGESR GFAFVEFDSIDDARHVVQTFAKQPLSFQGRLAAIGYAEPTRSSHGHLPVRCDWICPMC NATNFAKRLECYKCSAPKSEYAIEVPRQVADMTHNQSGHPSCILAVRSLPMEAQEEEL TQLFMRYPGVKDIRLMRDRITGAPRGFGFVEFATVEHATAALQAIGSEFYYANALVRV SYSMDAATAPRVLGPNAHVLANSAVEAAQWSSSNAYKSSESDVNALLASAAAAVSTSL TVHVPKKEFPLSFEQAGGSFVFVSENGLYYHADSMFYYDPTSKVYFNSYLGTYHVLDP VTKSSFLPFDIPLPLDDLVGAPDAPQSRAASAQKGKKKAVAISFGIKPSTAKPTPTAA TPLVGTHCSVPPAAVKKKHADEIAKWSHHQKSAATATPAPATAANQPSPAAPSNNQPT ICLLCRRKFNSAAQLHKHEQLSDLHKQNLAKAKQTQQSLRVRDGPKETLLPRVEDPPD VPVDVARVDKPLDDQSNIGGKMLKMMGWKSGEGLGKAGTGITAPVAAVGKTSGDTSGL GGGATLGSTPGSGASSKRDKINQIVTLATQSVNH H257_00353 MSRRSDSRDNTRPDHRRDYSRSRSPSRGHRRSRSRDSSKRRRHD NDEFDRSRSTRGGVDGSWRDQPRVNQDNGEFYRPSHQPQPSHPTRGFAREGSYPNDRD EAPSMRPPPQILDIEPSSTLMIKGLPFSTTNSEVMDALRPFRPTGGRIIVNKMTGESR GFAFVEFDSIDDARHVVQTFAKQPLSFQGRLAAIGYAEPTRSSHGHLPVRCDWICPMC NATNFAKRLECYKCSAPKSEYAIEVPRQVADMTHNQSGHPSCILAVRSLPMEAQEEEL TQLFMRYPGVKDIRLMRDRITGAPRGFGFVEFATVEHATAALQAIGSEFYYANALVRV SYSMDAATAPRVLGPNAHVLANSAVEAAQWSSSNAYKSSESDVNALLASAAAAVSTSL TVHVPKKEFPLSFEQAGGSFVFVSENGLYYHADSMFYYDPTSKVYFNSYLGTYHVLDP VTKSSFLPFDIPLPLDDLVGAPDAPQSRAASAQKGKKKAVAISFGIKPSTAKPTPTAA TPLVGTHCSVPPAAVKKKHADEIAKWSHHQKSAATATPAPATAANQPSPAAPSNNQPT ICLLCRRKFNSAAQLHKHEQLSDLHKQNLAKAKQTQQSLRVRDGPKETLLPRVEDPPD VPVDVARVDKPLDDQSNIGGKMLKMMGWKSGEGLGKAGTGITAPVAAVGKTSGDTSGL GRRCTLDH H257_00353 MPDMSSRPLVHHQDYICAYQRRLRHLAKQPLSFQGRLAAIGYAE PTRSSHGHLPVRCDWICPMCNATNFAKRLECYKCSAPKSEYAIEVPRQVADMTHNQSG HPSCILAVRSLPMEAQEEELTQLFMRYPGVKDIRLMRDRITGAPRGFGFVEFATVEHA TAALQAIGSEFYYANALVRVSYSMDAATAPRVLGPNAHVLANSAVEAAQWSSSNAYKS SESDVNALLASAAAAVSTSLTVHVPKKEFPLSFEQAGGSFVFVSENGLYYHADSMFYY DPTSKVYFNSYLGTYHVLDPVTKSSFLPFDIPLPLDDLVGAPDAPQSRAASAQKGKKK AVAISFGIKPSTAKPTPTAATPLVGTHCSVPPAAVKKKHADEIAKWSHHQKSAATATP APATAANQPSPAAPSNNQPTICLLCRRKFNSAAQLHKHEQLSDLHKQNLAKAKQTQQS LRVRDGPKETLLPRVEDPPDVPVDVARVDKPLDDQSNIGGKMLKMMGWKSGEGLGKAG TGITAPVAAVGKTSGDTSGLGRRCTLDH H257_00353 MSRRSDSRDNTRPDHRRDYSRSRSPSRGHRRSRSRDSSKRRRHD NDEFDRSRSTRGGVDGSWRDQPRVNQDNGEFYRPSHQPQPSHPTRGFAREGSYPNDRD EAPSMRPPPQILDIEPSSTLMIKGLPFSTTNSEVMDALRPFRPTGGRIIVNKMTGESR GFAFVEFDSIDDARHVVQTFAKQPLSFQGRLAAIGYAEPTRSSHGHLPVRCDWICPMC NATNFAKRLECYKCSAPKSEYAIEVPRQVADMTHNQSGHPSCILAVRSLPMEAQEEEL TQLFMRYPGVKDIRLMRDRITGAPRGFGFVEFATVEHATAALQAIGSEFYYANALVRV SYSMDAATAPRVLGPNAHVLANSAVEAAQWSSSNAYKSSESDVNALLASAAAAVSTSL TVHVPKKEFPLSFEQAGGSFVFVSENGLYYHADSMFYYDPTSKVYFNSYLGTYHVLDP VTKSSFLPFDIPLPLDDLVGAPDAPQSRAASAQKGKKKAVAISFGIKPSTAKPTPTAA TPLVGTHCSVPPAAVKKKHADEIAKWSHHQKSAATATPAPATAANQPSPAAPSNNQPT ICLLCRRKFNSAAQLHKHEQLSDLHKQNLAKAKQTQQSLRVRDGPKETLLPRVRVVLY VYLRGPELDNTISRWKTPRMCRWTLRASTNHWTTSPTLAARCSR H257_00353 MSRRSDSRDNTRPDHRRDYSRSRSPSRGHRRSRSRDSSKRRRHD NDEFDRSRSTRGGVDGSWRDQPRVNQDNGEFYRPSHQPQPSHPTRGFAREGSYPNDRD EAPSMRPPPQILDIEPSSTLMIKGLPFSTTNSEVMDALRPFRPTGGRIIVNKMTGESR GFAFVEFDSIDDARHVVQTFAKQPLSFQGRLAAIGYAEPTRSSHGHLPVRCDWICPMC NATNFAKRLECYKCSAPKSEYAIEVPRQVADMTHNQSGHPSCILAVRSLPMEAQEEEL TQLFMRYPGVKDIRLMRDRITGAPRGFGFVEFATVEHATAALQAIGSEFYYANALVRV SYSMDAATAPRVLGPNAHVLANSAVEAAQWSSSNAYKSSESDVNALLASAAAAVSTSL TVHVPKKEFPLSFEQAGGSFVFVSENGLYYHADSMFYYDPTSKVYFNSYLGTYHVLDP VTKSSFLPFDIPLPLDDLVGAPDAPQSRAASAQKGKKKAVAISFGIKPSTAKPTPTAA TPLVGTHCSVPPAAVKKKHADEIAKWSHHQKSAATATPAPATAANQPSPAAPSNNQPT ICLLCRRKFNSAAQLHKHEQLSDLHKQNLAKAKQTQQSLRVRDGPKETLLPRVRVVLY VYLRGPELDNTISRWKTPRMCRWTLRASTNHWTTSPTLAARCSR H257_00354 MRTEHDVWDELRQCVAFFDLRGITDEDVEDILSQKFQMLNAGHK DETYNCLDVLPTARLERTASCFTSVLKLIQKNPSKSNIFWETLSGAIDERVMHRTILA TLDLCMSKVSALAKVDGSTPTDAQSPLSKGLLAANIYLMWLHVPGGSAYSVFMPFVYQ DALWVLLGWAKFMYAPVLDELASTSAGRSGQTKRKQAKASTTRVLSTELATYGHDVLD ALVSLGSMRSFDVDAVAATLDAVVSIAALMAVQVAPADQCRHVLKALYPQHAHQVLGR LMPSLAVQSKSLPRGSWDSQQHMTAFHAWSLETTDQLLGSPDHHDEGDEEGTLLVVCV LQQLCIRSPDKTDDRKQVAAALVALFYHHVHPHAAATSTFLTFLDSFSRSDKVACRQF AVEVTTQLILNDQWTTTPDQHSFQLMYALLLQRASDRSVWVRNKALVGLTAVLARSAA LQQTPSSPWSHAVVAELTKTTNPRDDDAVSSQLSRLLQNRLQDDKKMVRKSALQALEV LLVMEPDMAMVAEIQLKCTDSSIVVRKQAMQVLTTLLSKDPTNRDLQSIWNWGVLPLV NDPEPTVQTSCVDFVHATLCQRLWEWHDRRRRRQDNDTIPPSLAAVLDQFAQLDRMLV NFFQVAIRHLVKRGKLDVCDVVRHCMQGIKLPQAESSSWVMLDELHAHLLEHVTAADC RVLTNMWNDKLPENDHTLRMLRVLSSVAPRVAAMDASAIATGLQQSLHAFSFPMNVIP GAILALRQLNSNSQPRSLWVVDLWSACDTAMNDVVGQGITDVTRLQRVLCTMGDLWSD MDQYTQRLQHIHRFLLPSFNHETTPAAVRAVAFLALGKVSLASQAIAKDSMTMFIREL QTSDNVAIRSNILLILGDLCMQYTSMVEVYVPTIAACVLNPDVLLRRNVLLMLTQLIL QGYIKWKDALVHYFLHLVVDDNVELAHLARHVLSGALLQKTPNLFTTKFVETIFVLNN AMDPSTSVAALSDADVHALSCSGADRFPRRWDVYQFMLSTMTDFQKVDLTGKLTRGIL EEVSEQKLHLHANPTDIRDNSVERVVQDALLVLSSSEIKLSPGGGSGDGDDNYNQMDD MDAPSSSMKDATRNLASKLAKKNLVVNVIPVVIGVKHQLEAKRSPVMRYLLHYIQDLM TSFKDEVTDVLNMDPQLAKEIAFDLKQYKAQKLAKSRASFGGTSSMGVTTPLLKKHMT PLTRILRERRSSFQDCGSDDESVMKTLDFSTREVPPPSAARGRSFAPLVEVVPVEDEE EKEAEVSSDSDFEVIEKPTKPAIMKNPKRAKTRAKHKHGA H257_00355 MAISIKVVVLGNVPRAAPPQAASGKKKKKRPLDQSQSSHINMEF HMHIELAWTVMRLKEAICTHLHRDLHGTADSLRPEHQCIAVNGALVCDADSLGTILLD TRTVVCAIDCTESTRSDASSSRPGERHGASLDQLRSMGFSTKRSLEALDVSKNSLEGA VAFLTEGIRIDPSSSHEVTDVHLAEVAMTKPYYLHTAIQSCNPDHLVDISLDRMTTAM QRRHEQDQQQHSDEHDIVDVGNDSEGEETKDNDMVVGTDGMYNESFSLVDINAQEIAL EQLQALGVSREDAYNAYVACERNENAAANLLFEAMP H257_00356 MFTCTVQDCLLPVVSSEMAAEATEALARLPTLERLAELRSIEDV QVRRQKTKDVHALLLREWKQDRRWGGMGRHLVEDIHVSFRRGFEMLVKKGEMRREVNV SSFRQLDNSLHHHHSIEDHSWFPRLKQLHPESRSEVDILERDHRKLIELESRVASGDY DALVEFVEHLMDHLNREEMLSVPWLLEGTGGL H257_00357 MRAIVHERQRLGRVVEHHLQGVAVLERTRKRRVVVALQRAQRPL AHVYVPPRVIKHKHGLGPVRHFPELGLFYEPDRDGVAMLGLGQVHPNAKAAHFDAAHG HVPAQPSGLEPRAAAPTHGGVDANTSRIPPQRSRVVLNILLPLTGRCVGVGVVDLGAL GFVVG H257_00358 MNSTLRSIAASFGVTECTSDTLVPLSEPITILSTAIPLPVSPRA PPVERTATAQVDKEVAEEADDEGTTNNVQKLRQEIADTRRRNRLLQVQVKSMEASQKK SHASVLRRISCSELKLRAVHSGLARQFDHVMTQCQVVTARQEAIPPPNELRKPRPPRR HLSLRANSATSIKSEAPGFSKPAKPPAPKKPKRLVNPSYHENNGNHEPRRPLWDVHNS SPMAAISNNGCDVITMRRGWTVAMAKHASQCFSVRVTHPSSKSNVTVAIGLTRNRLLG QQAPSPARTSSPPLDFAFHMSGWFLDFHSGRVSSLDGDDNSPYCTGFTSKAVVTVQIK HGALSYFKNGRHLGIAKSGLPNIPLYPVMVSYNRGVRVEFVDAWYEVAL H257_00359 MDDSYSDNSELYIELRDMERNRKSIPRNMPLQSAHLLQGHAAHD DNHQMLVALYYWRQKLCHKTFHAWRQLVPSRRSTRRREVLAPLLSRPDDDLHRQARPR RTANSILRRHQESMKTNRKLDDNDQPSSDESSVWGIRLSSSRRAIRPPVSSSNDFAIS NDPPDAVVERQRKAVAQLTRQQEIHRLKMHAIKTRYSLLDGVVSTWKSAVDATRPLRL ALAGRAIAHHRCTSLKKTWAQWSHWFHRKHRSRMARTFAAQTIYEYVFRGWIYVTSVA RAGALVRAKHNAKAHIQHWRQWTLAFSTHQRWRVLIFYLSSQRRHKELRRLWRLWRLF ASTSQQSRRHRHVATAHLRTMTLSKGFRQWHQASDVNLAEEIRLRRTLAQGMAVRRWR VWTAQQRQEWARRDMAFAWFDRQLTKTCMRAWRRYLLLRAHCRHQRRRADVFATSSHI RTAFHTWHCQSHQLAIARTQCDVAATWCNSHTRLRMFRRWLGFVTRRRHHRLAVLHCY SVTTEHMFYAWRRWTEAVGAARCRAMDVAHIHAMRHLQQHFRAWQTSAHRRHYVHMLR CRLQMRRNFAILRRHYHAWTMYVRPKTRQRRLCVALRGQRILRFVQCVLQEWAGVVDT RHAWRKFVVQLDDTHTAKRVLFGFHLWRLHATGSAVAASRNRRLQDQVMVRWTEWTLH QRTRHRHEKLAEQWHTCKTNERSLTAWWTHVQLQRQSRESMTRIQEVWGRSRIQRLWQ TWRGRFVVAKRVRQATTWHDRQLLRRMWRGFQVALQLVAVENKRRRAAQALLTRKLSL SMFGRWVQYVAGRRHDRELDALARDLAACHQATRVFHAWKAVHAKRQVQRRWRNQWVH TQLRQAVRQWHALAAVLAGRTWTAVSSRRHYATGLFRKVLYAWSDAVALERHLRATAA RSVQHLQACRLKQAVARLQLNANARQMEASAASRAETFYKQQRAAVAVTQLRRRADDA KRRTHQRTAAESVYRRRVTRRVLGAMRVVAQRSRYIRGMLVDALGVQATAKSKYMFGR WVQFVTQARHAHTVAHVFQSRTLRRRVMQWHDVAKHQRRCRALMESTRRILFGNVVRT SMVAWVSFTTQRRRKARCAALARTHAVLRSRRRAWRAWVVVHVREGNLEMALGARRRR HLGRLWADWRQVVQKHRMRQVQLELATLHDAAKCMRQCLSLWRHVTRVVRELDKAMVV ACRRKQKLRRAWKRWVRCRHDVMMLRRATCMWSQRFLHMCFRLWSVFRTYRVRKQWLA VQSRAFCRHRRLGGAFDHWKRLQGRRQVKLAREDAAISHDHIRVCRPCFHSWVAGARK TKKTKQILAVAFRRDATMTMFRCFRGWQQLFRRAQSSRQAMHDYNSAMLGFHAVKAIA KWRKHTNAMQTMRQQHSRAMDFLERRLRAACFDAWVLQGYVRQERQLKNQLAIDHAHA VSTRRRFDRWLEFTYVQYQMAQAEHHHAAALAQHAWSMWMAFMQSRMCTDDEVASAVA HCHMQLRRRVWRRWQVYCVAQLQKRMARCHYAATRLRLYFLAWIGLRDHMERLRHART YWEASTLSVRFRQWTRFVTMRRVRHAQLRVFAGLQQRQAVRRWRHNARTAKQHKRQVL WASSLFYESSLASIFRAWRSKFQCEVHKKLQAKRAVSHLICKGLRRYFERWRVVQRRR RHLREAKHMAELHLAVSRQRRGVRWLREHVQDHHHAQTIWHYVEASCNDHVIRRLFGA WKTYVATRQAKLELHRTAAIHFGGRTQRVIFSAWVAYVVRTRYLKAQVYRSRHLYFSS LYSRSFFRLRRFATLKRRLRHVTDQVCRRRRQEWFDRWKQFRDDAHIATKRSARASML YRKSHLRRLFNHWKSVACERWMRQWLVSYAAVVRHQSGLALAIRRWWAATEASKQVKA LGWRMRHAQLVANAMNLWQQATRRAKIGRQLQVTTTHRRQSKALHAWMHALVAVVE H257_00360 MTSHTQQRSHGISRSPSYGRSPRSAPNQGTNATVPSPPGKPSAA AEATQGTGHRALPKTSVVENGDNGSQGTKPLSRKSGSIPRTFLNHSKSSSTPDQQQPA WNPSLSHVRTIVHDSPLYARKPSAPTKPRGFFGENDPLPQQQQSSAAMYRPPPPSHIP VPPRNSPSQPLSTTSSTHSLDSTTTSSTTSTLTPPSSATPPSSLPPPLDDNDAPRTPN STRKAKKVDPPRTPNQINPDQGLSAPQKVRVGLPGLLLTSEAFRNSTGINSSGRTKRD ASPGRTRAQNLSAAPVSPTHSRTSSQGSMKARTADALAAKLIPTKNSVHSLIGYIKEL QSSEASLRTHLESIKSKAQEDLARSHGAVNKLQSSVRQVEEERDQKALELARKSDEID ALQAKIEALRVALEKNNPSLATNYTSVPPPHIPPPPHPPQMATIQETSETSDRPSNVP HPIPPDMYRGWLVEFFSQHDTSRLPAIDTLMRRYQGRDKRLVQELHIRYGLAAVESLA IRLGQVDALTSSKPRQQRDVAATPAPVPFVMAPEAFQSDSSQQGYAAAPVADQQLLSS SLGRGRNDEDGGRRPPPNCRPPPAGAPPKDYVLHRAAHVPSSVAYSNAPSTNRNPSPL SADDARATPNEPINAFQKPRSPRLHKPRPLASHTMHDPFELNQTSSQKSCPPSPLPVV APSNLQSTTPTPSTDNSTCRPTTPQTTVSQPLPAHLSSSPPSPPPMPMTLQNLLTDLY KTHQPDKLDDVSAIASSYAGREDELVRRLKAKYGALSVKTLELHLPTLQRCVSKQPAT SSVLWRVIAFLTRLVVVAAIVACVGLGYFGVASHLTCRSHTATTDESSYCQAWHMSTS RLVQTPSMEAAQALLDLVPHASPAMLSVGTAAAARSVERTLVVLRTSIRHIQQVKPVA VPGFRGLELLDEFARALELLVTNGTSIATAERQRVLDMWAILDLADVEAARQMNWIPS TI H257_00361 MMAAPLSPRGKDKKEKNGLWTFEEEVIMLNAYLSAIKKSTNMTN MEKRKCWDAVTQTCQAHGCAWDKDQIYFKYSRILYDFGLWNFVRKCRGAIVDPITGFI TLSDDGWEFAIEKKPKCIKFRTKGFVHREVMEAITGEDGTHFEPDSTEMEAHMKKGEK RGRKRLDRSSDGGSLSGGSAKKQARGAVDGQGVADLLSPDTVRNLNDYLRAGTRAFEA MAQYLEQERLKNDLSNMNV H257_00362 MDGAEPGRRESISAPTPGASLQQNARLVARSWNFRAKQRHKYST TNLDRPLTEGDTPYNYDSTFTQNSPKSGLPTASNGRRLSRPRRSDVAVFPMTDIDQTY DQLFQREVVQIPPRLELASQAENLNKLIQRADAASTYSFSRSLQLTDDASQPVVRQVR RHTSLAKLLSNEPLPPADAVVDEAVEPQLEVDTQNQTHKLAKFRCLMNRDVTFVHALP HGSNHLLNSLVTEASNSFSNGRYSECMLQLKKCCILNTDAANRPTLFFNQAVVLAHAG DFARAMADLNEAIKLDPEVFRYYKLRSVLWRVQERYIEASKDSKRAVSIEHNQAGHKH AHQVTRKALKAIAIVNTTTTHHVKTSKGVFEDAYETPPSSRSLAQVDILIDQSSRIPS LAKLQHDILHALWRNLLFVAWPAETTVHVCKSTVSMYIVLEGTVTVQTDLHGAKQIQQ ALVPGSIFSEATISTNLWPHTTLEAMTACRVLTLEHTVYKHTMKKVMMEGAFARALFF TTTGIFAEWTDEQRNTLGVLSENLYYNAGDVVVTEGAPALHLYFVQSGLCGAVRLLGA HQPTHIQVATLSTGDIFGEAAVLDPINGSFQFTIVANTICKIIRIEKNYLNKKNGFEL LRLGTITKRILTKIQQFSVRCPQDKLLVQMIRDNCSWKLERKKVLNAFAKDMSKACGK LPRVRSEPQLPKGHKLQFS H257_00362 MDGAEPGRRESISAPTPGASLQQNARLVARSWNFRAKQRHKYST TNLDRPLTEGDTPYNYDSTFTQNSPKSGLPTASNGRRLSRPRRSDVAVFPMTDIDQTY DQLFQREVVQIPPRLELASQAENLNKLIQRADAASTYSFSRSLQLTDDASQPVVRQVR RHTSLAKLLSNEPLPPADAVVDEAVEPQLEVDTQNQTHKLAKFRCLMNRDVTFVHALP HGSNHLLNSLVTEASNSFSNGRYSECMLQLKKCCILNTDAANRPTLFFNQAVVLAHAG DFARAMADLNEAIKLDPEVFRYYKLRSVLWRVQERYIEASKDSKRAVSIEHNQAGHKH AHQVTRKALKAIAIVNTTTTHHVKTSKGVFEDAYETPPSSRSLAQVDILIDQSSRIPS LAKLQHDILHALWRNLLFVAWPAETTVHVCKSTVSMYIVLEGTVTVQTDLHGAKQIQQ ALVPGSIFSEATISTNLWPHTTLEAMTACRVLTLEHTVYKHTMKKVMMEGAFARALFF TTTGIFAEWTDEQRNTLGVLSENLYYNAGDVVVTEGAPALHLYFVQSGLCGAVRLLGA HQPTHIQVATLSTGDIFGEVR H257_00362 MDGAEPGRRESISAPTPGASLQQNARLVARSWNFRAKQRHKYST TNLDRPLTEGDTPYNYDSTFTQNSPKSGLPTASNGRRLSRPRRSDVAVFPMTDIDQTY DQLFQREVVQIPPRLELASQAENLNKLIQRADAASTYSFSRSLQLTDDASQPVVRQVR RHTSLAKLLSNEPLPPADAVVDEAVEPQLEVDTQNQTHKLAKFRCLMNRDVTFVHALP HGSNHLLNSLVTEASNSFSNGRYSECMLQLKKCCILNTDAANRPTLFFNQAVVLAHAG DFARAMADLNEAIKLDPEVFRYYKLRSVLWRVQERYIEASKDSKRAVSIEHNQAGHKH AHQVTRKALKAIAIVNTTTTHHVKTSKGVFEDAYETPPSSRSLAQVDILIDQSSRIPS LAKLQHDILHALWRNLLFVAWPAETTVHVCKSTVSMYIVLEGTVTVQTDLHGAKQIQQ ALVPGSIFSEATISTNLWPHTTLEAMTACRVLTLEHTVYKHTMKKVMMEGAFARALFF TTTGIFAEWTDEQRNTLGVLSENLYYNAGDVVVTEGPTVPRNSQLRKPIQSTYCMRNL GCIY H257_00362 MDGAEPGRRESISAPTPGASLQQNARLVARSWNFRAKQRHKYST TNLDRPLTEGDTPYNYDSTFTQNSPKSGLPTASNGRRLSRPRRSDVAVFPMTDIDQTY DQLFQREVVQIPPRLELASQAENLNKLIQRADAASTYSFSRSLQLTDDASQPVVRQVR RHTSLAKLLSNEPLPPADAVVDEAVEPQLEVDTQNQTHKLAKFRCLMNRDVTFVHALP HGSNHLLNSLVTEASNSFSNGRYSECMLQLKKCCILNTDAANRPTLFFNQAVVLAHAG DFARAMADLNEAIKLDPEVFRYYKLRSVLWRVQERYIEASKDSKRAVSIEHNQAGHKH AHQVTRKALKAIAIVNTTTTHHVKTSKGVFEDAYETPPSSRSLAQVDILIDQSSRIPS LAKLQHDILHALWRNLLFVAWPAETTVHVCKSTVSMYIVLEGTVTVQTDLHGAKQIQQ ALVPGSIFSEATISTNLWPHTTLEAMTACRVLTLEHTVYKHTMKKVMMEGAFARALFF TTTGIFAEWTDEQRNTLGVLSENLYYNAGDVVVTEGPTVPRNSQLRKPIQSTYCMRNL GCIY H257_00362 MDGAEPGRRESISAPTPGASLQQNARLVARSWNFRAKQRHKYST TNLDRPLTEGDTPYNYDSTFTQNSPKSGLPTASNGRRLSRPRRSDVAVFPMTDIDQTY DQLFQREVVQIPPRLELASQAENLNKLIQRADAASTYSFSRSLQLTDDASQPVVRQVR RHTSLAKLLSNEPLPPADAVVDEAVEPQLEVDTQNQTHKLAKFRCLMNRDVTFVHALP HGSNHLLNSLVTEASNSFSNGRYSECMLQLKKCCILNTDAANRPTLFFNQAVVLAHAG DFARAMADLNEAIKLDPEVFRYYKLRSVLWRVQERYIEASKDSKRAVSIEHNQAGHKH AHQVTRKALKAIAIVNTTTTHHVKTSKGVFEDAYETPPSSRSLAQVDILIDQSSRIPS LAKLQHDILHALWRNLLFVAWPAETTVHVCKSTVSMYIVLEGTVTVQTDLHGAKQIQQ ALVPGSIFSEATISTNLWPHTTLEAMTACRVLTLEHTVYKHTMKKVMMEGAFARALFF TTTGIFAEWTDEQRNTLGVLSENLYYNAGDVVVTEGPTVPRNSQLRKPIQSTYCMRNL GCIY H257_00362 MSHEPRRDVCACPAPREQPSAQLARDRSLQLVLERSLQRMHAAV EKVLHLEHRRREPPDVVLQPSSRVSPRRGLRTGHGRPERSHQVGPGSVSLLQASECVV ARAGKAGHKHAHQVTRKALKAIAIVNTTTTHHVKTSKGVFEDAYETPPSSRSLAQVDI LIDQSSRIPSLAKLQHDILHALWRNLLFVAWPAETTVHVCKSTVSMYIVLEGTVTVQT DLHGAKQIQQALVPGSIFSEATISTNLWPHTTLEAMTACRVLTLEHTVYKHTMKKVMM EGAFARALFFTTTGIFAEWTDEQRNTLGVLSENLYYNAGDVVVTEGAPALHLYFVQSG LCGAVRLLGAHQPTHIQVATLSTGDIFGEAAVLDPINGSFQFTIVANTICKIIRIEKN YLNKKNGFELLRLGTITKRILTKIQQFSVRCPQDKLLVQMIRDNCSWKLERKKVLNAF AKDMSKACGKLPRVRSEPQLPKGHKLQFS H257_00363 MDSSVVKIKNPMLTDMYQVSTTDFFYPLVQDPYVQGRIACANVL SDLYAMGVTEVDTMLMILGVSRLMTDIERDVVTTQLIHGFNDLAREAGTNVTGGQTVM NPWPIVGGVAMSVRHESQIIRPENANPGDVLVLTKPLGTQLAVNALQMKDQPHRWQKV QHFLTREAADVAFAKASESMERLNINAAKLMHKYGATSATDVTGFGILGHAANLAASQ KAAVDLELHTLPIIKDMLEINAAFNNNWRLPQGYSSETSGGLLVTLPRQNAQAYMREL EALDGQPSWLVGQVVQGSNQARIVPDVRFVPV H257_00364 MRFGIYATTSLLAGAGLVGYTYYTRQQFYPTVIYLVTSKVSVMV LCNVAFVMTVLFGQVFKRIFLGTLRDAELEMLYDHARFAIAETCFTLSVFREEMSLRV LGLFTILLFLKTFHWLCQWRGEHIEQSEVVSRITHVRLVLLMALLAAVDMVFVVVSGL QVAERGPSVLVLFGFEFLILFVTLVAVFLRYILHLIDARVEGTWTNKFTYVFYLELVT EIVKLIVYLIFFMIIFTYYGMPLHLLRDLWMSIQNLQRRIVTYFRYRRITANMNERFP SPTEDEMNETDRICIICREEMTLDSSKKLPCSHIFHLNCLRMWLQRQQTCPTCRSNIP VDATPPRPDVVPGDNAPAGMRDQNNPPHPPVQPPAAVPEAPRRDAVPPPRNLPGFGQP LQPAAAPVMQPIPPPPQQQHQQPAPPQQPGATPAPGAEVHQGAPQFPPPSHHPGVPPP PSFPSTNVPAEFTGLDPSSAHYMQSIHYGLQLDPRFLQYQLDMLQAQLHVLRATSLAL QSQPGLNHIPSFPGTFGTPPVGVNQQPPTPNTAAPSPLPTPPVATPATLPPPASSAPV TRESSTTPLPQVSTPAVADDPPASPQQEPVNVPSEETPLTPEEIERDRRRDALRRIYA KRYGGASEAAVDEEYTN H257_00365 MNHMARRGSTPLPMFTNSIDEIVPESSNGATTTLALASSIAMSS WLRYKGGFFKGWTMYYFVLSRTNQLTAYATDEYEGKAWKFRVQAMMVQPNRSVHLGFV VTTLKDGVIALAATNGHEYKQWIEVLCEKQPLLHPTLTRQALEAQNARDAVSERGSHS TEIYLYVPTAVIPLQSGLVDQAKDEIFTLQKHFGQIVPHRGNVDDPSIHWRISKPEYA LLDLSFLQGKTYNHVPQSLEWMVQNLMKKWEMEVSHKADIDQWTTVDPATFSLKVNMG PPVTASDLHTSGKYNGLLSHCPRHVYDAHGSSRGRSYSSFASAFPDGFAWEVLQVFSA LPRVSFSWRHWGHCTASADKTSSDLVELTGFAIVEIDPSTRINTMELFFKPEPFLDAL RQKNFSDVSATTSTRSRRASAPPPALEDTMRRVPRRSMQLERPPLNMSDNSAAIVDEL LRCPRHIADVVSTNAPPVHHNDFINTR H257_00366 MIKRLFSTATKKKLTVDQLHARGGIQGKRVLVRADLNVPLSKKD PSVITDDTRIREALPTLRFLLQNDASVVLSSHLGRPKGQVNDKMRLAPVATRLSELLG KPIVSVSDSIGSEVDAAKAALKGGEVLLLENLRFHPQEEANDPAFAKDLARNIDVFVN DAFGTAHRAHASTEGVTKFIPVSVAGKLLEKELKYLSEAVDSPKRPLAAIIGGAKVST KIPVLESLLEKCDKVFLGGGMIFTFYRAQGISVGKSIVEEDKIELAKSLLEKAKQKGV KFILPTDVVVADKYDADANSKVVSIYDIPDEWLGLDVGPETQAVFESELVDCNTIVWN GPMGVFEFEKFAQGTNHVAKILAARTKDGATTIIGGGDSVAAVEQCGLAKEMSHISTG GGASLELLEGKTLPGVAALNDA H257_00367 MRAKGRDWCALQQCMSCYLAIRKELVQCSMPANLNLVEQISSIS SIQDLNNLLCNVVDFDATKKEASCSIREGVSAELDAARDKLAQVDQILVDLAGILQDE WPQLKSISLQFLPRVGYVIRCPSAAPIPPILQFQFEDSSFSFYKCPKCRKLDEDFGDI HGYISDIQHQLTTEVTKAVLDEEPSLLQMIHIVAQVDCFLSLASCAQNFNFVRPTMCD DVVFMAKGARHPLQELTVESYIPNDIVLGPGGGYIAVVTGQNGSGKSVFLKMVGVMQF LAQVGSFVPATEATIGVVNKIFSRILSLETATLCQSAFSIDCNQLATMMNHGDTKSLL LIDEFGKGTCPSDGICLLTSALKHLKDQVVQFGGPRVVLTTHFLEIFREPTLSGVLGF QPADTAATEWPSKADNSSSVITTYVMSSVAVEGKESDGVHRTSSLYELVPGISTSSNA IQCAITAGMDNDSVNRAAQVLQLSRSRRHIEVPVTCPSPKMQAKLDAFYTLTELFMAE ETWKTCPLDKVTELLRMARSIDGIIQ H257_00368 MEHMDVGAENTPQIRRQLLFQGLSIKQTESQFHSDRVDTAPTHG AAKVSSFSAAKKGSTKQRRALGDISNNKTAQFHDFQTPRKGGAGEKAGDAEDAVSKLA SFPSVTTKPRTDEDIELAYGGISAADTDALHMKELKQQMDAEILSWQQEVDAAQSAEP VEMPLDDLDDVTHCNFPPIHLDHDLPPSDALHDVDSLDIPPLFEDVKLEFEIHGESLT HPSI H257_00368 MQVLRRRALGDISNNKTAQFHDFQTPRKGGAGEKAGDAEDAVSK LASFPSVTTKPRTDEDIELAYGGISAADTDALHMKELKQQMDAEILSWQQEVDAAQSA EPVEMPLDDLDDVTHCNFPPIHLDHDLPPSDALHDVDSLDIPPLFEDVKLEFEIHGES LTHPSI H257_00370 MSCVISSVVVFGVLAKLFGSSNGTDDSKKQGAYGSIPIHKESKL AQLSHPWEVIAAVKMLVKEKLVGPKQLPTNLNADDAWCFKMVKKVSRSFTLVIVNLPE ELRLSICVFYLALRALDTVEDDMKRIDNATKIKMLHEFYLQLYDTKLSIHGIGEGDEA TVLEQIAFMNRSFASLPTSHQEIIADITKKMGAGMAEFVEVDMGQGTVRTADYDKYCY YVAGLVGEGLSRLFSASGLEAPSVGSLTMLSNSMGLFLQKVNIIRDYLEDFVEGRTFW PQEIWKKHMGNLGDMRDVSKQPASLACLNEMVFDALRHIPDCMTYLSGIHHPDVFFFC AMPQVMAVATLAKLYNNPLVFTGVVKVRKGTAAFLLEHAVSMPKVRGMFSNYVQDIQA NGTHTPARQAVLDMALQAIHNDVLEMPNLSGVAIAAFVALVGSLGYLVTANGFVLPPL TASFDVVVVAVVFASVALLLSFGLSPFVQLEQQHATKKTE H257_00369 MAAATPASQPLRPRTFSVGSCTSTSSVFSVKRLNAEAMLIAGAA WVPDDAIDACMVCFVAFTAFRRKHHCRSCGALVCGGCSKGRMRIDGLDQRSRVCDVCQ RTDVPHLTWQEWLWNIELLRANCQVSTWWSCVMKRRHMSFSTIPSLDDIERRYGQNAT PTLGPNPSKLAQDLHQIHIDTDRTFNLPRHRSLPFDPRSTTKDSRKHALRRVLKAYAT SNPSIGYCQGMDYVVAVLLFGSKWECSHAFRLLYVLMESFDLQGIYAPGLPLLNARFY QLDELVHTHLQDLHEHLVDHHMHPNAYATGWILTLFANCQALAPRVVLRFFDLFISKG WKSFFRVALALLSLAKRMLMLAHTTEALMAILHTSLHELVPESDTSIHSFFTLARSFK VTNTRLRSLEEQFCRTSNGGTFLDEDLMDLHHPICPT H257_00371 MDVFSNSVAAASAITVMLGVVAWLAFTRTSPRGAPPVVPSWVPF FGSTLSFSKDPVAFLRQCQAKYGSVFSVYLAGKTMTFFVSPKSYSALLKHKSLSHKPA FQDISQKALDQSAAFARYTQALHPDSPVVDYHKLIHPHLQHSSAVGGLIERTLAQQRR VLGQFGASQRLSLLSFVERCIFESGTRVLLGDSLVDANPTLWQAFHTFDQSFPLFVAG VPPLFLPSAANARRSLVHALDANTAADAAAIIQKRLEAVKTTFPAHVVAPYDAAKESM ALLWAASANSIPTTFWSLFYLLQNPTAWNAVRDEVRGHLGVGDVWSGDQLGKCVLLAS AVDEALRLSASSLMMRVATEDVELKLPDSHVHLAKGSNVMIFPSLGHFDDAIFPQSKS FQVDRFVHATSAQAEAFKPFGMGVTMCPGRNFAKNQVKMFVALVMLETKRVALVPGYV EPVHDPTRLGLGILPPTNAAIEIDLEA H257_00371 MDVFSNSVAAASAITVMLGVVAWLAFTRTSPRGAPPVVPSWVPF FGSTLSFSKDPVAFLRQCQAKYGSVFSVYLAGKTMTFFVSPKSYSALLKHKSLSHKPA FQDISQKALDQSAAFARYTQALHPDSPVVDYHKLIHPHLQHSSAVGGLIERTLAQQRR VLGQFGASQRLSLLSFVERCIFESGTRVLLGDSLVDANPTLWQAFHTFDQSFPLFVAG VPPLFLPSAANARRSLVHALDANTAADAAAIIQKRLEAVKTTFPAHVVAPYDAAKESM ALLWAASANSIPTTFWSLFYLLQNPTAWNAVRDEVRGHLGVGDVWSGDQLGKCVLLAS AVDEALRLSASSLMMRVATEDVELKLPDSHVHLAKGSNVMIFPSLGHFDDAIFPQSKS FQVDRFVHATSAQAEAFKPFGMGVTMCPGRNFAKNQVKMFVALVMLETKRVALVPGYV EPVHDPTRLGLGYYDNSNMCNMCGSF H257_00371 MDVFSNSVAAASAITVMLGVVAWLAFTRTSPRGAPPVVPSWVPF FGSTLSFSKDPVAFLRQCQAKYGSVFSVYLAGKTMTFFVSPKSYSALLKHKSLSHKPA FQDISQKALDQSAAFARYTQALHPDSPVVDYHKLIHPHLQHSSAVGGLIERTLAQQRR VLGQFGASQRLSLLSFVERCIFESGTRVLLGDSLVDANPTLWQAFHTFDQSFPLFVAG VPPLFLPSAANARRSLVHALDANTAADAAAIIQKRLEAVKTTFPAHVVAPYDAAKESM ALLWAASANSIPTTFWSLFYLLQNPTAWNAVRDEVRGHLGVGDVWSGDQLGKCVLLAS AVDEALRLSASSLMMRVATEDVELKLPDSHVHLAKGSNVMIFPSLGHFDDAIFPQSKS FQVDRFVHATSAQAEAFKPFGMGVTMCPGRNFAKNQVNCSCEDVCGIGHAGNQTRGPC SRLRRAST H257_00371 MDVFSNSVAAASAITVMLGVVAWLAFTRTSPRGAPPVVPSWVPF FGSTLSFSKDPVAFLRQCQAKYGSVFSVYLAGKTMTFFVSPKSYSALLKHKSLSHKPA FQDISQKALDQSAAFARYTQALHPDSPVVDYHKLIHPHLQHSSAVGGLIERTLAQQRR VLGQFGASQRLSLLSFVERCIFESGTRVLLGDSLVDANPTLWQAFHTFDQSFPLFVAG VPPLFLPSAANARRSLVHALDANTAADAAAIIQKRLEAVKTTFPAHVVAPYDAAKESM ALLWAASANSIPTTFWSLFYLLQNPTAWNAVRDEVRGHLGVGDVWSGDQLGKCVLLAS AVDEALRLSASSLMMRVATEDVELKLPDSHVHLAKGSNVMIFPSLGHFDDAIFPQSKS FQVDRFVHATSAQAEAFKPFGMGVTMCPGRNFAKNQVNCSCIPLYNGVFQCMYIMSCF FCVR H257_00371 MDVFSNSVAAASAITVMLGVVAWLAFTRTSPRGAPPVVPSWVPF FGSTLSFSKDPVAFLRQCQAKYGSVFSVYLAGKTMTFFVSPKSYSALLKHKSLSHKPA FQDISQKALDQSAAFARYTQALHPDSPVVDYHKLIHPHLQHSSAVGGLIERTLAQQRR VLGQFGASQRLSLLSFVERCIFESGTRVLLGDSLVDANPTLWQAFHTFDQSFPLFVAG VPPLFLPSAANARRSLVHALDANTAADAAAIIQKRLEAVKTTFPAHVVAPYDAAKESM ALLWAASANSIPTTFWSLFYLLQNPTAWNAVRDEVRGHLGVGDVWSGDQLGKCVLLAS AVDEALRLSASSLMMRVATEDVELKLPDSHVHLAKGSNVMIFPSLGHFDDAIFPQSKS FQYLLHIFL H257_00371 MDVFSNSVAAASAITVMLGVVAWLAFTRTSPRGAPPVVPSWVPF FGSTLSFSKDPVAFLRQCQAKYGSVFSVYLAGKTMTFFVSPKSYSALLKHKSLSHKPA FQDISQKALDQSAAFARYTQALHPDSPVVDYHKLIHPHLQHSSAVGGLIERTLAQQRR VLGQFGASQRLSLLSFVERCIFESGTRVLLGDSLVDANPTLWQAFHTFDQSFPLFVAG VPPLFLPSAANARRSLVHALDANTAADAAAIIQKRLEAVKTTFPAHVVAPYDAAKESM ALLWAASANSIPTTFWSLFYLLQNPTAWNAVRDEVRGHLGVGDVWSGDQLGKCVLLAS AVDEALRLSASSLMMRVATEDVELKLPDSHVHLAKGSNVMIFPSLGHFDDAIFPQSKS FQYLLHIFL H257_00371 MDVFSNSVAAASAITVMLGVVAWLAFTRTSPRGAPPVVPSWVPF FGSTLSFSKDPVAFLRQCQAKYGSVFSVYLAGKTMTFFVSPKSYSALLKHKSLSHKPA FQDISQKALDQSAAFARYTQALHPDSPVVDYHKLIHPHLQHSSAVGGLIERTLAQQRR VLGQFGASQRLSLLSFVERCIFESGTRVLLGDSLVDANPTLWQAFHTFDQSFPLFVAG VPPLFLPSAANARRSLVHALDANTAADAAAIIQKRLEAVKTTFPAHVVAPYDAAKESM ALLWAASANSIPTTFWSLFYLLQNPTAWNAVRDEVRGHLGVGDVWSGDQLGKCVLLAS AVDEALRLSASSLMMRVATEDVELKLPDSHVHLAKGSNVMIFPSLGHFDDAIFPQSKS FQYLLHIFL H257_00372 MEAHPPFRTFSSEHISKSGTFMSSTHLDTSSKTGSDGAGASVGS GGVVSSGTTASSVSSPSSMIVPSAHMECGRQPKRPVGNVVQYDPYGRPVWGPGQLSGT EGEQYLGPRLQNEPSTPKTNLNSRLGATWHDDEVGQMWPRVETDGRFVGGAGE H257_00373 MSKRKKGVSLDEKRERILKIYHDNLEVYNLKEVEKLGSKAGVVL QTIKDVNQALVDDNLVDTEKIGSGNYFWSFPSKVAQQRKRKLEDLEAKRSDLKGKIES TKQAVAAQKSLRTDSDDRVVKLERLALLKSQIEEANRRMHVLSENDPDVLKKLASKVK VAKEGVDRWTDNVFNLKSWIIRKNSCSSAEVEKWLEIKDDFDYVE H257_00374 MNRIFGKKKPEAPQVNITDVHGRVEGRVDNLDMKISKLEEELQK YRQQMAKAKGPALTSIKQRALQTLKRKKMYEQQRDSMAAQSFNIEQASFAIETAKDSL DTVSAMKSAATQLKAETKKFNFAELENVQDDMADMMDDMNEIQEILGRSYGVGQDIDE SELEAELEGLEDEWAMEEAVAPQHAAPSYLATDLPAAPTGVKDTPTAAGVDEYGLPLA PVALR H257_00374 MHHQQGMVVLIPVERTMQISKLEEELQKYRQQMAKAKGPALTSI KQRALQTLKRKKMYEQQRDSMAAQSFNIEQASFAIETAKDSLDTVSAMKSAATQLKAE TKKFNFAELENVQDDMADMMDDMNEIQEILGRSYGVGQDIDESELEAELEGLEDEWAM EEAVAPQHAAPSYLATDLPAAPTGVKDTPTAAGVDEYGLPLAPVALR H257_00375 MPPKNTRGRRGASKGGKAAKKDTTVESVPVERTLADKLADDGII ATCAMSARSVHSNFKDINVLDFSITYYGKVLMESCDISLNYGRRYGLIGRNGSGKSTF MNVLGARGVPIPDSIDIYHLKHEIEATDMTALEAVTSVDEERNRLQAEVDDLSEKMLD ESVSDDDSEAITNRLTDIYERLDEMDADTAELRARTILAGLTFTDAMMQKKTREFSGG WRMRIALARALFIQPTLLLLDEPTNHLDMEAVVWLEDYLSKWKKILLLISHSQEFMNT VCTNIIDLKAKKLEYYSGNYDTYVKTKEEKEENQMKKYAWEQDQIKHMKDYIARFGHG SAKLARQAQSKEKTLAKMVREGLTEKVVKEVEGDFKFPNPERLPPPVLMFQDLAFGYP NCPLLYKDVEMGLDMDSRVALVGANGSGKTTLLNLITGQLMPVHGNVRPHSKLRVARF TQHFVDVLDLSQSPLEYFRTIFPNKSLEEVRSYLGRYGISGEVQSQVMEQLSDGQKSR VVFAYIANQNAHILLLDEPTNHLDMESIDALARAINAFEGGMLLVSHDMRLISQVAKE IWLVEDQKIRVYEGEISDFKMRVKKQLKLA H257_00376 MSITQSNLLMLLPLATTSRQRHTLLAIIASNVIERPIIPAIRFS LESTTNANATLDYRFDVAGIKELGYVLGLPAVVITSNRIRVHRDEALCILLGRLAFPV RFHTMSRTFGRSRSSLCEIFLHVVNDLYERWGSLLFFNKKLVQKNINRYCAAVASKGA PLSNVFGFIDGTKVQTCRITTTGTGDNLQKQIYSGHKRIHCLNYQAVTVPDGLCVHFF GPVEGRRHDTTMLRLSGLITYLGQHRGVFDGKLMYGDPAYGIVPYLISGFKGNNLSAQ KLEFNKWMSRVRQSVEWNFKLLKTLWSYITFKMLAKIRLSPVAKVVAIAMLLTNCHCC YHGGNQISDYFNLKPPSLREYLDTLQIIDV H257_00377 MSTPSKRRNFSEEDDIMLLRQVSLEMPFQARRGLVMERWNSVAA SLRTAEDFSRSDIDAKRSCNRFMLLLDAHRKSDKQSERASGIDEEVTEKSMLLDDLLA AYDDAKNADLRRTEESRLAAEHVDAMGSLVREEALHSLGKRKRDKEDDDGTSGGGKFM KMFTLMHEQAKADLEFKKEKLEKEREERRQELEERRIERQVLMEQNRQQQESMIMLIK MLMDK H257_00378 MDTKREIGPTLVLSPAKQSSLRSPMARRPVSPRPAAAAVATSTS ETISFLSSGALQCSSQCGHQQALQLLEIKRLRDQLAELHLLHGEPKEKVKIPRAVTPA VFSVTDEGTKARSITTSMGRHVSIATGHVLRSIPKQVPNRVAERVSEAFVNPLKAIQY LNSYEFSTDLLAIANQVSVLFQNEPRCVPVQSPVYVIGDIHGNLSDLKFFSDHLWRLG IGLTAGNFLFLGDYVDRGLSSLECIAYLFAQKIMFPNKVVLLRGNHETRAVNGWEEHY GSGSFLAQCKKRFGNDEGCNVWHQVNNAFDCMPVSAVIDDDIFCAHGGIPRPLASGEK QLDSIQSIPRLASFDTLEKASNTPSMGEHAALLHMAEEMLWADPAGEAQEMTLDKHGF GKSARGGNSICFGNVAIDDFLQRHNFSYIIRAHEACSQGIHLSKSARVLTVFSTSKDH GLGKRAKCGCLLVERDRILILNRSHKYNGGFVRRRSSLQLSSSLLPQPDGGLSSTSAA ARRCSAASSGGEHANEDDHEDEDSTDDEVDDTKALDGPTGIMANSQVVIDLGDNNQEE T H257_00379 MDGPLLMLSVEDKNKVIKASWLVEDAFRGFSRAHPKVTPQAVQV YKIYHRLVIVRHATVFVLLMLSFVETPYWCHGTWPHPCGDPLDPSTPLTSGMLLLARG KSVLVEVTCLAICLVNDGLLYHSLGRNFFTRLDRICALGLFCVALANALVRFATGWPL TGRIAPFLRLFIFMLTFRSIRSTYRKMYMVMAEVHNIMSLVAMYIGFFAWLSTVLFQD TEEAGVMRSFVESAWQLLILLTTANFPDIMMPAYTRNRAYGLFFIVFVAFGLFFMMNL ILAQVFNNFQRIAASDEAKAVTNRAALLSQAFDLLQVGMPPPSPLHEEPSVCCNWIDT DLALSLFQELNHYNVASTRLSHKHMLDLFYQLDTDGDGRIELRDFFAVCDCMREYVQT YHKSPSEIERWWPRVAATRRFQQLCAIVTHKRFELAIDGLLILNALCIVLEASTTTDN TASSREWGIAQVVFSSLYVVEMMLKIAVCGWRDYMHSFRNRFDAAITIGSLVVDICAE VPNTLATNHTVPKVLMTCRCLRMLRLFLSIERYRVILHTAWAMVPIGKNLLLVMFCNM NVFALVGHQLFGGRISPALLNTAPFANSTYAAVGYAANNFNDVPSGMVTLFELLVVNN WFVIVEGHVLVTSAWVRLFFIAFWLTGVIMTLNLIVASILDAFSKEYAAAAAITDAPK SFDSPLSCQMEMKSFVVPPTSSQTRLTHQRMVTFASSKEPNGGNDLLPDECRSA H257_00380 MGMYDATAEWVAYTGERDMVPASGERTNEGTTCGVAMVQRPPLA AISESKDEDIDTVVPASVGGRLGLAADDRKMEKQKQSHFEKLNTLKLLLDIGHLTQDE YVERKNQIINEMTGTSSDRSHRSRPPSLQPIMKTVVPHNPPDFSHMIKESAEKLSFDP DSLEWNSSHVVIKLDLVPFATGQLRNAYFLQDLGAHGEEGQAKLYVAKVIMGEAEPSA YLCDVEMQAVCAHYAKLYNEHEPPLKVKYANSWLLKLRDRQDMVCSVEEYLPGAYVKY SNNNGYVGTATSSTVERERNTPQAFSHFTFVSSDYRLMVVDIQGVNDSYTDPQIHTAD GRGFGIGNLGTRGMETFLQSHRCNEVCRWLGLRNLNEEQFKPGGTAAPLYRMPHEAIK KSTSRITEERNAFARSVTVSEVLGSATQLHTETSSLLLPTSSSSSRPLASFARSCLRL VCCCFDPALP H257_00380 MVPASGERTNEGTTCGVAMVQRPPLAAISESKDEDIDTVVPASV GGRLGLAADDRKMEKQKQSHFEKLNTLKLLLDIGHLTQDEYVERKNQIINEMTGTSSD RSHRSRPPSLQPIMKTVVPHNPPDFSHMIKESAEKLSFDPDSLEWNSSHVVIKLDLVP FATGQLRNAYFLQDLGAHGEEGQAKLYVAKVIMGEAEPSAYLCDVEMQAVCAHYAKLY NEHEPPLKVKYANSWLLKLRDRQDMVCSVEEYLPGAYVKYSNNNGYVGTATSSTVERE RNTPQAFSHFTFVSSDYRLMVVDIQGVNDSYTDPQIHTADGRGFGIGNLGTRGMETFL QSHRCNEVCRWLGLRNLNEEQFKPGGTAAPLYRMPHEAIKKSTSRITEERNAFARSVT VSEVLGSATQLHTETSSLLLPTSSSSSRPLASFARSCLRLVCCCFDPALP H257_00380 MVPASGERTNEGTTCGVAMVQRPPLAAISESKDEDIDTVVPASV GGRLGLAADDRKMEKQKQSHFEKLNTLKLLLDIGHLTQDEYVERKNQIINEMTGTSSD RSHRSRPPSLQPIMKTVVPHNPPDFSHMIKESAEKLSFDPDSLEWNSSHVVIKLDLVP FATGQLRNAYFLQDLGAHGEEGQAKLYVAKVIMGEAEPSAYLCDVEMQAVCAHYAKLY NEHEPPLKVKYANSWLLKLRDRQDMVCSVEEYLPGAYVKYSNNNGYVGTATSSTVERE RNTPQAFSHFTFVSSDYRLMVVDIQGVNDSYTDPQIHTADGRGFGIGNLGTRGMETFL QSHRCNEVCRWLGLRNLNEEQFKPGGTAAPLYRMPHEAIKKSTSRITEERNAFARSVT VSEVLGSATQLHTETSSLLLPTSSSSSRPLASFARSCLRLVCCCFDPALP H257_00381 MSTESSKQKRMALHQSLVLEFPGRVECVVHYSMRVLVGTADGRL VLFDTRKDPNKPVGVHELPHKKRIQQILVVPHIRMVIVLANNTVTVHSATDLELVSSE FHLAKDVTHLSVNQRGPPHFRVCAASATKLQLFQFEAKEKRYKYLRELAIADPPEVVG WYRNKLIVGSRRGYALINDKTAEALSINLNVNTTPMVKLLPNEEIVVSAMDALGVFLL FTGEPVQRNSIAWTKAPVAIEYTSPYLVSMIPQKGIDVHSMQDGSLVQSIALPRITAM FGNGMKWDMEPRTGGDSEDVIVVAALTATGSTSIFKVEQMPMEQQVQELLDRGRIEEA SDLMKKSISSLNADKQKSRMRRFHRQVAITLLKRCEFDAAVEFIYRSGMDPREWLSFF PDLVSPSFAYEPTILTPDVLPRGSSASPDWNSVLAALLKDNAGNLAPELVANGHAKLY TKSSKALLKCLEMIKKHSSRDRSSSREGMFATPPRSRSTSRESEFSAAHSSGGSFHRA PVLSRAAATVSSSFPKDIQRSEAVDTALLRLYIMHESMSEIAALLTTIDHQGEGAHCD LGSSQALLMHHHLYFELGLLFERHGRVLDALDVYARMGSGEYIQNPLEDSTSGAQAAV DLLLTVEDTSLVLYHSKWVLEADPHEALRVFTHRPRHLKPFVAADVVAHLKEHASDPA VVQKYLESFVDGGDGATSTATDAENPHHTRLALEYLDEVLKAVQSGLDPSKSHPGKEP SPLGEARKRLLKYLKAPESAYDAGALMAKVKSTPALREELLVICGRGGFHEQALLALL ASKNVPAAEAYCVKYGIPRKGGSNYNGALLKLVELLFKHKDGDMAEYAHLLMARHAKA LNGTAVLNLIPASTPLVKVMDFLSQLLPHSAHEVGRRRWVVTIGCMFHVVGMMDLGAR EDVGAQPVQHLQPASPMRARGQVLRVGGDRHQDHMRRLSQTHRHQHLCCVPQWLCRAL CLWAKREHACRSDLWRDLWLNLIQSS H257_00381 MFGNGMKWDMEPRTGGDSEDVIVVAALTATGSTSIFKVEQMPME QQVQELLDRGRIEEASDLMKKSISSLNADKQKSRMRRFHRQVAITLLKRCEFDAAVEF IYRSGMDPREWLSFFPDLVSPSFAYEPTILTPDVLPRGSSASPDWNSVLAALLKDNAG NLAPELVANGHAKLYTKSSKALLKCLEMIKKHSSRDRSSSREGMFATPPRSRSTSRES EFSAAHSSGGSFHRAPVLSRAAATVSSSFPKDIQRSEAVDTALLRLYIMHESMSEIAA LLTTIDHQGEGAHCDLGSSQALLMHHHLYFELGLLFERHGRVLDALDVYARMGSGEYI QNPLEDSTSGAQAAVDLLLTVEDTSLVLYHSKWVLEADPHEALRVFTHRPRHLKPFVA ADVVAHLKEHASDPAVVQKYLESFVDGGDGATSTATDAENPHHTRLALEYLDEVLKAV QSGLDPSKSHPGKEPSPLGEARKRLLKYLKAPESAYDAGALMAKVKSTPALREELLVI CGRGGFHEQALLALLASKNVPAAEAYCVKYGIPRKGGSNYNGALLKLVELLFKHKDGD MAEYAHLLMARHAKALNGTAVLNLIPASTPLVKVMDFLSQLLPHSAHEVGRRRWVVTI GCMFHVVGMMDLGAREDVGAQPVQHLQPASPMRARGQVLRVGGDRHQDHMRRLSQTHR HQHLCCVPQWLCRALCLWAKREHACRSDLWRDLWLNLIQSS H257_00381 MSTESSKQKRMALHQSLVLEFPGRVECVVHYSMRVLVGTADGRL VLFDTRKDPNKPVGVHELPHKKRIQQILVVPHIRMVIVLANNTVTVHSATDLELVSSE FHLAKDVTHLSVNQRGPPHFRVCAASATKLQLFQFEAKEKRYKYLRELAIADPPEVVG WYRNKLIVGSRRGYALINDKTAEALSINLNVNTTPMVKLLPNEEIVVSAMDALGVFLL FTGEPVQRNSIAWTKAPVAIEYTSPYLVSMIPQKGIDVHSMQDGSLVQSIALPRITAM FGNGMKWDMEPRTGGDSEDVIVVAALTATGSTSIFKVEQMPMEQQVQELLDRGRIEEA SDLMKKSISSLNADKQKSRMRRFHRQVAITLLKRCEFDAAVEFIYRSGMDPREWLSFF PDLVSPSFAYEPTILTPDVLPRGSSASPDWNSVLAALLKDNAGNLAPELVANGHAKLY TKSSKALLKCLEMIKKHSSRDRSSSREGMFATPPRSRSTSRESEFSAAHSSGGSFHRA PVLSRAAATVSSSFPKDIQRSEAVDTALLRLYIMHESMSEIAALLTTIDHQGEGAHCD LGSSQALLMHHHLYFELGLLFERHGRVLDALDVYARMGSGEYIQNPLEDSTSGAQAAV DLLLTVEDTSLVLYHSKWVLEADPHEALRVFTHRPRHLKPFVAADVVAHLKEHASDPA VVQKYLESFVDGGDGATSTATDAENPHHTRLALEYLDEVLKAVQSGLDPSKSHPGKEP SPLGEARKRLLKYLKAPESAYDAGALMAKVKSTPALREELLVICGRGGFHEQALLALL ASKNVPAAEAYCVKYGIPRKGGSNYNGALLKLVELLFKHKDGDMAEYAHLLMARHAKA LNGTAVLNLIPASTPLVKVMDFLSQLLPHSAHEVREKTLARNLSNIYNLQVQCERVDK YSESVEIDTKTTCGVCRKRIDTNIFAVYPNGSVVHFACGPNVNMHVDPISGEIFG H257_00381 MSTESSKQKRMALHQSLVLEFPGRVECVVHYSMRVLVGTADGRL VLFDTRKDPNKPVGVHELPHKKRIQQILVVPHIRMVIVLANNTVTVHSATDLELVSSE FHLAKDVTHLSVNQRGPPHFRVCAASATKLQLFQFEAKEKRYKYLRELAIADPPEVVG WYRNKLIVGSRRGYALINDKTAEALSINLNVNTTPMVKLLPNEEIVVSAMDALGVFLL FTGEPVQRNSIAWTKAPVAIEYTSPYLVSMIPQKGIDVHSMQDGSLVQSIALPRITAM FGNGMKWDMEPRTGGDSEDVIVVAALTATGSTSIFKVEQMPMEQQVQELLDRGRIEEA SDLMKKSISSLNADKQKSRMRRFHRQVAITLLKRCEFDAAVEFIYRSGMDPREWLSFF PDLVSPSFAYEPTILTPDVLPRGSSASPDWNSVLAALLKDNAGNLAPELVANGHAKLY TKSSKALLKCLEMIKKHSSRDRSSSREGMFATPPRSRSTSRESEFSAAHSSGGSFHRA PVLSRAAATVSSSFPKDIQRSEAVDTALLRLYIMHESMSEIAALLTTIDHQGEGAHCD LGSSQALLMHHHLYFELGLLFERHGRVLDALDVYARMGSGEYIQNPLEDSTSGAQAAV DLLLTVEDTSLVLYHSKWVLEADPHEALRVFTHRPRHLKPFVAADVVAHLKEHASDPA VVQKYLESFVDGGDGATSTATDAENPHHTRLALEYLDEVLKAVQSGLDPSKSHPGKEP SPLGEARKRLLKYLKAPESAYDAGALMAKVKSTPALREELLVICGRGGFHEQALLALL ASKNVPAAEAYCVKYGIPRKGGSNYNGALLKLVELLFKHKDGDMAEYAHLLMVRTIIY ILLISPFWTHNRKIYNIYISFRPAMPRR H257_00382 MSSSAFSNDPFKVNSKDVKYTESHIEANYTYQTSFVEGNVVTPV SEQYLFKTNTKIPKLGIMIVGLGGNNGSTLVAGVLANKLGLTWTDKDGEHKPDYFGSI TQSSTVRLGTNAEGRGVYVPFKNMLPMVHPNDLVIGGWDISAHNLADAMVRAKVLDYD LQRQLIPHLETITPLPSIYYPDFIAANQADRANNVLTGSKQDNLNQIRQHIRDFKAKN SLDKVIVLWSANTERFSDIVEGVNDTADNLLEAIKNGEDEVSPSTVFAVASILENTSY INGSPQNTFVPGAIELAERHNVFIGGDDFKSGQTKMKSVLVDFLVGAGIKPVSIVSYN HLGNNDGKNLSAPQQFRSKEISKSNVVDDMVDSNRILFAEDEHPDHCVVIKYVPFVGD SKRALDEYTSKIFMNGTNTIAMHNTCEDSLLATPLILDLVIICELAERIQITKQGGKT ERFHSVLSILSYLLKAPLVPRGTPIVNALFAQRECIVNILRACLGLPAENYIQLENKL ASEINKRTHA H257_00383 MLRFLRTRALPPVHAHLSFSTKSPPTLPERARIALVGRTNIGKS TLFNRLTKTRGAIVHNVPGTTRDRRFAVGYLAGLDFDVIDTGGLADAPSGSLERGMLS QTERALHEADLILFLIDGREGVTEIDKHFARWLRKADPQAPIQLVANKLEGDATQWLS NIHDSFQLGMGEPLVISAEHGEGLTDLVPLLVPLVEGHAEALAVQKAALAAELPAGDT MDELENPRSIKLAIVGRPNVGKSTLLNKIVRDDRVLTGPEPGVTRDAVEVKWAFHNRE ITLVDTAGIRKFSKRDHSNQIENLSVRDTYDAISSAQVVCVVVDVSEKQLIHLDLTIA QRVLDEGRALVLVANKADLVDDAQAEIERIRGELDGSLAQVRGVPIVSISALEGKGIR NILPEVVAAHDRWDLRVTTGRLNRWMAAMDRHHPPPTVKGKTLKVKYITQVKARPPTF ALFVNKADDVPESYRRFLLNQLRTEFDMTGVPARLLLRGSEDNPYKRGYSRAKPTTTT RSTSKPVAFGGRNTSPKAAVPAATGKLKAVPAPWTGKKVPTAKAKVVVRGTRKNKTSG FRGPSSGAKPKNGRGAASRPSSRREPTKKPSSAARGSSSGGRRPAEASSSKGRSSSSS RPSSKPSGGGGKQKGKSSGRHKTERTKLRDPSAKKASRWSDKQKENAKRHNGTRKPRT G H257_00383 MLRFLRTRALPPVHAHLSFSTKSPPTLPERARIALVGRTNIGKS TLFNRLTKTRGAIVHNVPGTTRDRRFAVGYLAGLDFDVIDTGGLADAPSGSLERGMLS QTERALHEADLILFLIDGREGVTEIDKHFARWLRKADPQAPIQLVANKLEGDATQWLS NIHDSFQLGMGEPLVISAEHGEGLTDLVPLLVPLVEGHAEALAVQKAALAAELPAGDT MDELENPRSIKLAIVGRPNVGKSTLLNKIVRDDRVLTGPEPGVTRDAVEVKWAFHNRE ITLVDTAGIRKFSKRDHSNQIENLSVRDTYDAISSAQVVCVVVDVSEKQLIHLDLTIA QRVLDEGRALVLVANKADLVDDAQAEIERIRGELDGSLAQVRGVPIVSISALEGKGIR NILPEVVAAHDRWDLRVTTGRLNRWMAAMDRHHPPPTVKGKTLKVKYITQVKARPPTF ALFVNKADDVPESYRRFVFVRSFVRTVTVLRYM H257_00384 MPSSTKELKRVVPHRKATHKDDPKAKARRATISGLFALPQHISN NMTGLAALAVQATLYRGRKLKQD H257_00385 MDLHIERKHVTADVNLRVPSRTKLHVHEAPLAMPQWDDEDDNSS DDDQPPTRDNHGDASQDDDNQPPPPLDPAAFNWDGVGDIQALQLKNSELVATIKDYKH HVTELQLLLEAVEPIPGLDVNTLRDVLQGAEVVDHDIRDVKIVHQAKKLRQAKIALTK EKSKGAGASGRIAELERQLHDAEERHLKSERLVHKLQLGEKPEPKHTESANTVPLKKF EDLRVKYEAMAIDLKKTQRALQREVGDDVPLAEILDGEGGGKRGRAQQIVMLKAKVKK LEKELQTRTAPDTGVDAKAEVELLALKADKQRQLDHVVADLDALKDANDKLSRKYDAQ KARMHTLEKDAGKHKTKLAILLDKSHNDDSLIDALQHEMDDLRRQISTNASALAKDQL HRARTADSGNIIDATNTVEALRTKCLEQKRQLAHQASTIESFRRDLQQAQKLESTKIG VNGMTDTANHQALAIEKERLVELVKSLQHQLTDAKKADAAVAPPPKPEIRSRLPRLNG SDSADVDKLRRAIEAKDEEIGTWKDALEQATKASAAQTNSRLVADLEEENERLRDQVD ELKKQVVLGHRPRKHGGSNGSS H257_00387 MAQPPRLPFFVYGTLMKGFRNFDRHVGKYASARFLAPRGSVADA SLMHFAAGYPGMYRGGSGVVYGQVLTADDPQEYDQLLEGLDALEDYYGADHPSNEYER VQVDVTCGTESLLAWTYFCVIPSDTAVDVVSSGDWRQYMTERQLQDI H257_00386 MGKVSSSRTDDSIESRVGGVVASFRDAKLVHSAGETAKDALCGG AIFFGGVSLTQLSMLLCRMSASTPAFPSIVGGIGVAASSILVGAFCLRRTDPTPVQMT AAAATGLLLFRLLGGRFRSLAPSDFRHPGAFGHANISLPATLEYADGNARAVIQSFGR LYGCHTCGVRSAKFHADHQPPVMVAKAENERLWNRLIAGPVVQRYYPQCDGCSNIQGA QVKKNAQKLKLHLWALRGYHATGFWMVLFGAGGLGGYIAQSPEADSSIVEQVAAHATD VWQPPTLARLREREAALKLERLAADTLRKKAIDIELAHIRERKATLKLAAKVAATNA H257_00386 MGKVSSSRTDDSIESRVGGVVASFRDAKLVHSAGETAKDALCGG AIFFGGVSLTQLSMLLCRMSASTPAFPSIVGGIGVAASSILVGAFCLRRTDPTPVQMT AAAATGLLLFRLLGGRFRSLAPSDFRHPGAFGHANISLPATLEYADGNARAVIQSFGR LYGCHTCGVRSAKFHADHQPPVMVAKAENERLWNRLIAGPVVQRYYPQCDGCSNIQGA QVKKNAQKLKLHLWALRGYHATGFWMVLFGAGGLGGYIAQSPEADSSIVEQVAAHATD VWQPPTLARLREREAALKLERLAADTLRKKAIDIELAHIRERKATLKLAAKVAATNA H257_00388 MCDNDMGGNATTCDLFHTNKSNLWIALGMSLVLPSVPQCLAFAA TVLVLTKAANRVVHPAMDCVTRKWRIGRALRVLPGPPSLPIIGNLHQLGKNMVNIHWW KVDMTRLYGGTYATRVDCLMDGSIVTSRPDNLEYILQTNSANYVKPKLLQDTCKEIMG ESIFSINPSSPLWALQRKLMSSMFSVNSFRKYMNSVFQEHTRQCIADLYAATTTSKCD ASGEQFGHGGEVVDMELILLTLTTNISFHIGFGGHVPDEMNSPQFHALFRDASSITAN RFTKPWYKWFGWCMPSEWQLKAAMTDIDNMFYRIIASRQQEAHNDGAVDILSQLLARQ RQGHHITDKFLRDMMMTVMLAGRETVASSLLWIVYLIALHPEVEAKVMAEVDAIKDAT DYDNVAQLSYLEAVMKETWRLFPPTALELKSALHDDVLPDGTFVPAGVNVEFSPFVMG RDPTRWENAGSFIPQRWLEPTFVTPTDYEFPVFHAGKRKCVGQRIAMLQVKYILTMLY QRFRFELVDKVTPPQLTLGIALFAKGGLPVRPIVREDLTIPAPSLSTPRPRASSAA H257_00389 MPSPTKRGRKTLAPSTSPVKPNPTDEKSVSVLYLDPIPTPDAST SLKATTQTGGPQTTVDVPPAYSVGMPMVLQTVPVVRKQRGDIEPKVFLPHERTVDQPP RQVEVERKKRRFEAADVGSLVGERLAQLFESAEYATVTSDTSGIALQLLEAMPLHWFD DKSFEVREAEEWMTCGKKKDGTIAIPVTALYGRPGVPNVWCEGHVIGFNANRRMFVVR FRNQGQDDDVQLHRNDVCFKAEDPMQFVERVVAAHKARLVAQSAIRKNVYIDCMPSDG LHKLGSENINKILKLASVPFVAKNIPVPDTARIVNEVHTDYLRTMSRIIFEHQQRAHP SPGMNFHLLADNGGDDDKKHVVTIQHHEVAPPIDYADQFIGFSFQTFLTSPETLAAVV KVNEECYRMLCMDVFALKTSRSLKLEEFQQRQNTQERLVHKIVHEDWPNKLTHAIKTS LVHVGKGWYNLQETRRDTYEFSKLRSFLRRVNLTMKDTLRYMSEQSLHSFRAFVDKAT EANVKIIDAKTSFLSYADLDARRAELGHTKYEHLCRQRQIQNPPALFTVNLSVSSELI VINQAAINDASRDIAQWKAEYEVKLKRLQDDDPDGDHQRDDEECPIAAVAPVMGNCFG YNTAIPLFGQMVVEVFNKSIDSFKDIKQVEQMVMDKLFWSSHPSIPYVNGNEAWVVRV RDDVQKLLDKSERPLRDYLKQYDRYIGFLNLNEEAYLDQFRTQDPPNLQDLADKIKQH NVDAVDIEDAIPATNIELGMYSVSCAAMRGQLAEKHRRLARRLLDCQLVNCINLAKDL HSKFEPINRQLQKIPTDIEQLTEMNKYIESIPSQLAPLLTSSQMLIKYRSVLDGFQYR MDKDDFMSIWRVRQCPNHIYDQVHKMNNILQMQNQQFLAEMRDQQVEFNESLRLLHQE VDGFKQYTDLARVEQVYKYVVNIDQKILKAEEDARLFNSREGLFGQDLSDYEQISRIR RDFEPYSMLWKTANNWIKDHKKWMDGPFLDIVGEEFEQFVETNWATITKATKYFEKMN IKGCLDIASHIKNEIAEFRPHVPLVIALRNPGMQDRHWAQMNQETHMSFRPDRGMKLS YVLGLGLDAHIDTITKICETAGKEYQIEKALNIMEEQWKHVTLSVVEYRETGTFVLKA VDEVQAILDEQITITQAMQFSAFKKPFEERINKWEKCLSTVSDVMEEWMAVQRAWLYL QPIFDSPDINKQLPMEGKRFATVDKNWRQTLQAAKAKPSVINFCNNDKLLDRFQESNK FLEQVQKGLSDFLETKRSSFSRFYFLSNEELLSILSESKDVKLVQPHLKKCFEGVVKV EFQEDLTITAMISAEGETVAMATPVNPNGKNVEHWMTEVEDMMRVSVRAVMFKAIQDY TQVSRVKWIQKWPGMCVLNGSQFHWTREMEEGMLAHGAKGVTKMLEHQLAQLADMVIM VRGHLDKLARISVGALTVIDVHARDVTLRLAQNQVSSKDDFMWSSQLRYYWSDDLFAE MVSARRPYGYEYLGNSFRLVITPLTDKCYMTLMAALQMILGGAPAGPAGTGKTETTKD LAKALAKQCVVFNCSDGLDYIAMGKFFKGLASCGAWACFDEFNRINIEVLSVIGQQVT TLQLAIRANEKRIMFEGSDIAVSPQFGVFITMNPGYAGRSDLPDSLAALFRPVAMMVP DYAMIGEIMFFAYGYEKAKECGAKMVTTFKLCSEQLSAQSHYDYGMRAVKTVITAAGN LKRADPHMDEEVLLLRALQDVNLPKFLSFDIPLFNGIISDLFPGKSRPQLNLGALNRV SKLVIQRQKLQPHPFFMLKVVQLYETLCVRHGLMVVGATGGGKSSNVRVLCDTLSELK KLGEQGFAYEEVILYQLNPKSITMGQLYGEFDASTHEWQDGILSTLYRAAASSANADR KWVIFDGPVDAIWIENMNTVLDDNKKLCLSSGEIIQMSQEMTMMFEVEDLSVASPATV SRTGMVYMEPASLGFDPLITSWLENLPHDFSNDTRKQLQYLFDAFLRPSLAFVTAHVK EWLPQIPNNLCQSLMRLLDSFISVLRGSEDKKEVRAEHVSFFSKHIAELFVFCLVWSV GATGNDAGRVKFDAYLRQEMLAHPSIHLPMPSEGLVYDYALDRPSESWKLWLDTIPKY IVPSDASFSELVVPTSDSVRSTFLMQLALTQGVHMLIVGPTGTGKTINVNQFLERVDS DKFVPLKLTFSAQTSANQTQDFLDSKMEKRRKGVYGPTAGKKFIVHIDDLNMPKQEEY FAQPPIEILRQWFDQAGWYDRKLLVFRNIVDVVMVASMGPPGGGRNPITPRFIRHFNI IGYTEMSDDNKKQVFATIVASYLAKFSDELKPPEIGLSIVKSSIYIYNTIIQELLPTP AKAHYTFNLRDLAKVFQGLLMGDAKRIIKLDQLLRLWVHENMRVFEDRFTTPSDHQWF HGQLQMQLALHFGPKFGLPDVQHDHDAMYDTKQKIWAIVVPSSNLLFGDYMVPGADPK IYEEITDMDKLVAQVEEYLNDYNAESSAPMNLVMFMNAIEHVSRIARIIRQPQGNALL LGVGGSGRQSLTRLAAYMAEYGCSQIEISKGYSVVDWRDDLKKCLMKAGVDEKPTVFL FSDVQIVHEIMLEDINNILNTGDVPNLYAPEDIDAITNHCRNLCVKKRIPATKLNIFA CYVGLVRQNLHLVLCMSPLGSTFRDRIRMFPSLVNCCTIDWFSEWPAQALQSVAMNAL TTVDLKLGDQVGAVVNVFQSMHQTVEQESNNYFQKLRRYNYVTPTSYLELLFTFKSVL QTKREEVTGTTSRLQNGVDKIIATKEQVAGMQEQLVALKPQLEKTQIEVEAMMIKITE DKKDADETKAVVEKEEQFANKKAADTKAIADDAQRDLDEALPALDAATQCLNRLKKSD IDEVKAMKNPPHGVRLTMEAACIIFGIKPTLKTDPDKPGQKIKDYWESAQKTILGNAK KLLEDMVKFDKDNIADKIVQQIDPYMEMEEFLPASVRKASVACEAICMWVRAMHTYNK IAKMVEPKKVALAEAQAELDVTMRVLADAKGRLTAVVDRLRELENGYNAAVDKKDQLV QDVRQCEIRLESALKLIALLGGEEDRWVITIKQLMDDLKNLVGDVVISSGTISYLGCF TSEFRDICIASWYNALAKQQVPHTKNCNIITTLADPVKVRGWQIAGLPSDNLSVQNGI IMARARRWPLLIDPQGQANRFIKNLGKDTSENGIDVVKSTDKGFIKVLENGVRFGKWI LMENVSEFLDAALETVLLQTKFKQGGQLMMKIGDTTIPYNAAFRFFMTTKLPNPHYPP ETCVKVCLLNFTITPTGLEEQALGVVVQEEMPELAEKKNTLVVSNARMKAELLDIENK ILYMLANSQGNILDDTDLIDMLGKAKVTSEDINEKMAEAEVTEKTIDETRELYRGVAN RASLLFFCIADLSIVDPMYQYSLSWFIENFIKSCRAAEPSDVLATRLVNLIEYATYSL YRNICRSLFEEHKLLFSFLLTIKLLQGRDEIDALEWRFLISGSTPSKDVDARNPNPLW IEDRAFSEFCNLTTLPKFDGLVASLTTEEDTWRAMFDSNDPHLSRLPVPFQFSLNTFQ KLCTLRCIRPDRMTEAIQLFVAEHLGQRFIEPPTFDLPGSYADSSVTTPLIFVLSTGS DPAKDLLLFADTMKMGRKLNSISLGQGQGVIAAKMIDDAISSGKWVLLQNCHLAISWM SSLERICEELNPDTTHRDFRLWLTSRPSASFPTSVLQNGVKMTKEPPKGIRANLKNSY MKMTDDMLDATGKPEKYRKLLFGLAFFHAIVIERKRFGPLGWNIPYAFNDTDYDISRA QLEMFLDFYDVVPYKVLCVMTSVVNYGGRVTDDKDMRTIDVILDGFFNDKILSDHYWF SKSGTYKSIPVSSSDNPKSPLAHYLNYIDSLPLNPDPEVFGMHENANITCAIAETFKN FDIVLSLQPRMSAGGGQSREMIIEMQAKEIEDKLPPMYDIDFISVRYPVMYEESMNTV LVQEAQRYNNLLYVMKTSLPLLQRALKGLVVMSADLETMSNCIFNQKVPPGWERKAYP SLKALNPWVDELLERLKFLTHWINDGIPPVFWLSGFFFPQGFLTGTLQNHARGFNIPI DTLSWDFIMVPDPVERLHIKPQKGGCYAYGLFIEGARWDTKQYSLVDPIPKELFSKMP VMHLMPVKHRQAPQSGIYRCPVYKILTRTGTLSTTGHSTNFVMWIEVPSNKDTIFRNS LVSETNLQMQFADQDYWIKAGVACFLSLRY H257_00390 MWRVALRLKPRAQHSAFACRHLSTWVTNEPGATPAAEATAVNNA KTIHRISPNHLRSQEDTRRVQLLIRTFKQYGHFVAHIDPLKKSEKSPELQKWNWGSRW VEKPYFDSFNLHSLAHSATLELRTHGFSEEDLDREFFIGDDLSIGPVATLRDIVTQLR ALFCGHIATEYQHLRNRDAAMWIRESLVKYNDHEFTPAEKVAIFNHMLQAELFEKFLG RRFSGAKRFSVEGGESLIPGLKELLQTASDLGVEAVYMGMAHRGRLNVLANVLERPLR AIMSQFQPYLPDEPDYPNNSDDVRYHLGTVSHLTMRNGNVMQVTLSANPSHLEAVNPV VLGEARAFQDIAKDTDRSRVMPLLLHGDASMFQGSVREAFGFSGLEDFKTGGTVHVII NNQIGFTTLPKQADSAVYCSDVAKISRSPIFHVNGDDPEAVVKVMKMAVEFRQKYKCD VVVDLVCYRRHGHNEQDSPEITAPVMYHCINQHPTVITLYFKQLQSQGILTAEQCADL ISDIERNLASEHDHSKTAPSFWDNLGDTPPPLPPTDVALSNVAKDTTTTGVNRKLLQE IGAQIFRIPAGFTAHKKVEAIMNNRLRAVETGARVDWATAEALAFGSLLAQGVNVRLS GQDCERGTFNQRHAVLYDQFEALSMRNTTYTPLNELSLEVLAAKVPEYDVPINVHPRI QVCNSPLSEEGVLGFEYGYSLQCPGALTIWEAQFGDFANGAQTIIDTFIVSGEQKWHR HSGLILNLPHGYEGQGPEHSSARMERFLQQSNEDSDGFTTDEVKADVDVNIHIVIPTT PAQYFHALRRQVCALYRKPLVMFTPKYLLHHRPCTSDLSNFSLDTTFQRVLGDHPDDM KRLVADDQVRRLILCSGKIYYPLVQSMRARNVQDIATARIEQLAPFPFAQVAAYMQQY PNADIVWVQEEPKNMGAWSYVQSRLATVLHSIDDRRRVGYVGRHPAASPATGQFEIHQ QEMRVIVDDALAS H257_00390 MWRVALRLKPRAQHSAFACRHLSTWVTNEPGATPAAEATAVNNA KTIHRISPNHLRSQEDTRRVQLLIRTFKQYGHFVAHIDPLKKSEKSPELQKWNWGSRW VEKPYFDSFNLHSLAHSATLELRTHGFSEEDLDREFFIGDDLSIGPVATLRDIVTQLR ALFCGHIATEYQHLRNRDAAMWIRESLVKYNDHEFTPAEKVAIFNHMLQAELFEKFLG RRFSGAKRFSVEGGESLIPGLKELLQTASDLGVEAVYMGMAHRGRLNVLANVLERPLR AIMSQFQPYLPDEPDYPNNSDDVRYHLGTVSHLTMRNGNVMQVTLSANPSHLEAVNPV VLGEARAFQDIAKDTDRSRVMPLLLHGDASMFQGSVREAFGFSGLEDFKTGGTVHVII NNQIGFTTLPKQADSAVYCSDVAKISRSPIFHVNGDDPEAVVKVMKMAVEFRQKYKCD VVVDLVCYRRHGHNEQDSPEITAPVMYHCINQHPTVITLYFKQLQSQGILTAEQCADL ISDIERNLASEHDHSKTAPSFWDNLGDTPPPLPPTDVALSNVAKDTTTTGVNRKLLQE IGAQIFRIPAGFTAHKKVEAIMNNRLRAVETGARVDWATAEALAFGSLLAQGVNVRLS GQDCERGTFNQRHAVLYDQFEALSMRNTTYTPLNELSLEVLAAKVPEYDVPINVHPRI QVCNSPLSEEGVLGFEYGYSLQCPGALTIWEAQFGDFANGAQTIIDTFIVSGEQKWHR HSGLILNLPHGYEGQGPEHSSARMERFLQQSNEDSDGFTTDEVKADVDVNIHIVIPTT PAQYFHALRRQVCALYRKPLVMFTPKYLLHHRPCTSDLSNFSLDTTFQRVLGDHPDDM KRLVADDQVRRLILCSGKIYYPLVQSMRARNVQDIATARIEQLAPFPFAQVAAYMQQY PNADIVWVQEEPKNMGAWSYVQSRLATVLHSIDDRRRVGYVGRHPAASPATGQFEIHQ QEMRVIVDDALAS H257_00390 MWRVALRLKPRAQHSAFACRHLSTWVTNEPGATPAAEATAVNNA KTIHRISPNHLRSQEDTRRVQLLIRTFKQYGHFVAHIDPLKKSEKSPELQKWNWGSRW VEKPYFDSFNLHSLAHSATLELRTHGFSEEDLDREFFIGDDLSIGPVATLRDIVTQLR ALFCGHIATEYQHLRNRDAAMWIRESLVKYNDHEFTPAEKVAIFNHMLQAELFEKFLG RRFSGAKRFSVEGGESLIPGLKELLQTASDLGVEAVYMGMAHRGRLNVLANVLERPLR AIMSQFQPYLPDEPDYPNNSDDVRYHLGTVSHLTMRNGNVMQVTLSANPSHLEAVNPV VLGEARAFQDIAKDTDRSRVMPLLLHGDASMFQGSVREAFGFSGLEDFKTGGTVHVII NNQIGFTTLPKQADSAVYCSDVAKISRSPIFHVNGDDPEAVVKVMKMAVEFRQKYKCD VVVDLVCYRRHGHNEQDSPEITAPVMYHCINQHPTVITLYFKQLQSQGILTAEQCADL ISDIERNLASEHDHSKTAPSFWDNLGDTPPPLPPTDVALSNVAKDTTTTGVNRKLLQE IGAQIFRIPAGFTAHKKVEAIMNNRLRAVETGARVDWATAEALAFGSLLAQGVNVRLS GQDCERGTFNQRHAVLYDQFEALSMRNTTYTPLNELSLEVLAAKVPEYDVPINVHPRI QVCNSPLSEEGVLGFEYGYSLQCPGALTIWEAQFGDFANGAQTIIDTFIVSGEQKWHR HSGLILNLPHGYEGQGPEHSSARMERFLQQSNEDSDGFTTDEVKADVDVNIHIVIPTT PAQYFHALRRQVCALYRKPLVMFTPKYLLHHRPCTSDLSNFSLDTTFQRVLGDHPDDM KRLVADDQVLTFPTRCFRWCESVCLCVGNTYRCAA H257_00390 MWRVALRLKPRAQHSAFACRHLSTWVTNEPGATPAAEATAVNNA KTIHRISPNHLRSQEDTRRVQLLIRTFKQYGHFVAHIDPLKKSEKSPELQKWNWGSRW VEKPYFDSFNLHSLAHSATLELRTHGFSEEDLDREFFIGDDLSIGPVATLRDIVTQLR ALFCGHIATEYQHLRNRDAAMWIRESLVKYNDHEFTPAEKVAIFNHMLQAELFEKFLG RRFSGAKRFSVEGGESLIPGLKELLQTASDLGVEAVYMGMAHRGRLNVLANVLERPLR AIMSQFQPYLPDEPDYPNNSDDVRYHLGTVSHLTMRNGNVMQVTLSANPSHLEAVNPV VLGEARAFQDIAKDTDRSRVMPLLLHGDASMFQGSVREAFGFSGLEDFKTGGTVHVII NNQIGFTTLPKQADSAVYCSDVAKISRSPIFHVNGDDPEAVVKVMKMAVEFRQKYKCD VVVDLVCYRRHGHNEQDSPEITAPVMYHCINQHPTVITLYFKQLQSQGILTAEQCADL ISDIERNLASEHDHSKTAPSFWDNLGDTPPPLPPTDVALSNVAKDTTTTGVNRKLLQE IGAQIFRIPAGFTAHKKVEAIMNNRLRAVETGARVDWATAEALAFGSLLAQGVNVRLS GQDCERGTFNQRHAVLYDQFEALSMRNTTYTPLNELSLEVLAAKVPEYDVPINVHPRI QVCNSPLSEEGVLGFEYGYSLQCPGALTIWEAQFGDFANGAQTIIDTFIVSGEQKWHR HSGLILNLPHGYEGQGPEHSSARMERFLQQSNEDSDGFTTDEVKADVDVNIHIVIPTT PAQYFHALRRQVCALYVVVLFTIVALSASTLC H257_00390 MWRVALRLKPRAQHSAFACRHLSTWVTNEPGATPAAEATAVNNA KTIHRISPNHLRSQEDTRRVQLLIRTFKQYGHFVAHIDPLKKSEKSPELQKWNWGSRW VEKPYFDSFNLHSLAHSATLELRTHGFSEEDLDREFFIGDDLSIGPVATLRDIVTQLR ALFCGHIATEYQHLRNRDAAMWIRESLVKYNDHEFTPAEKVAIFNHMLQAELFEKFLG RRFSGAKRFSVEGGESLIPGLKELLQTASDLGVEAVYMGMAHRGRLNVLANVLERPLR AIMSQFQPYLPDEPDYPNNSDDVRYHLGTVSHLTMRNGNVMQVTLSANPSHLEAVNPV VLGEARAFQDIAKDTDRSRVMPLLLHGDASMFQGSVREAFGFSGLEDFKTGGTVHVII NNQIGFTTLPKQADSAVYCSDVAKISRSPIFHVNGDDPEAVVKVMKMAVEFRQKYKCD VVVDLVCYRRHGHNEQDSPEITAPVMYHCINQHPTVITLYFKQLQSQGILTAEQCADL ISDIERNLASEHDHSKTAPSFWDNLGDTPPPLPPTDVALSNVAKDTTTTGVNRKLLQE IGAQIFRIPAGFTAHKKVEAIMNNRLRAVETGARVDWATAEALAFGSLLAQGVNVRLS GQDCERGTFNQRHAVLYDQFEALSMRNTTYTPLNELSLEVLAAKVPEYDVPINVHPRI QVCNSPLSEEGVLGFEYGYSLQCPGALTIWEAQFGDFANGAQTIIDTFIVSGEQKWHR HSGLDPTIKRDDKY H257_00391 MKIVATTVVAAAALGSAWAYRRSVRIQRAVEVKEKAHAILVVDI GSSSVRASAYVLRGDTWTLVPGSLHQLKMRALANDGTALVHVIQQAVERVKDLTVAWL TQQGAFRIVGVGFSCFAMSLVGVNSDGKPVTPVLTYAGRCASQAKALSQTLAHQGLQH ETYNRTGVPIHPAYAAPQLLKLQPNDRVVTWQSLVGVLLRQWLGGESPIPMSYSEASW TGLADFRDAVWDRKLLELIQIDPATLPSIQDPSIPATGRLQPAYAKRWPLLQDTPYFL ALADGAAANIGSHCVAPDRIGLTVGTSAAMRVLVPVGQIVKVPRGLWCYRVSATHAIV GGALTDGGSVFEWALQTLALSPDAIRQVGAMAPAEHGLSMLPFLNGERSPGWHDDATC TISGITAATTPAHILRAALESVALRLGAIYALLGEYVTSDAHLVASGTALSSSPVWRQ IIADVVGRPVWLETEAVELTSRGVAMFVGGHLGVHSSSSSPHKLLHRHFTCSTPSMQA HVQYLAARQRQDTLYSDVLGHT H257_00391 MKIVATTVVAAAALGSAWAYRRSVRIQRAVEVKEKAHAILVVDI GSSSVRASAYVLRGDTWTLVPGSLHQLKMRALANDGTALVHVIQQAVERVKDLTVAWL TQQGAFRIVGVGFSCFAMSLVGVNSDGKPVTPVLTYAGRCASQAKALSQTLAHQGLQH ETYNRTGVPIHPAYAAPQLLKLQPNDRVVTWQSLVGVLLRQWLGGESPIPMSYSEASW TGLADFRDAVWDRKLLELIQIDPATLPSIQDPSIPATGRLQPAYAKRWPLLQDTPYFL ALADGAAANIGSHCVAPDRIGLTVGTSAAMRVLVPVGQIVKVPRGLWCYRVSATHAIV GGALTDGGSVFEWALQTLALSPGEIWYPSTTHSSSYSLDAIRQVGAMAPAEHGLSMLP FLNGERSPGWHDDATCTISGITAATTPAHILRAALESVALRLGAIYALLGEYVTSDAH LVASGTALSSSPVWRQIIADVVGRPVWLETEAVELTSRGVAMFVGGHLGVHSSSSSPH KLLHRHFTCSTPSMQAHVQYLAARQRQDTLYSDVLGHT H257_00391 MKIVATTVVAAAALGSAWAYRRSVRIQRAVEVKEKAHAILVVDI GSSSVRASAYVLRGDTWTLVPGSLHQLKMRALANDGTALVHVIQQAVERVKDLTVAWL TQQGAFRIVGVGFSCFAMSLVGVNSDGKPVTPVLTYAGRCASQAKALSQTLAHQGLQH ETYNRTGVPIHPAYAAPQLLKLQPNDRVVTWQSLVGVLLRQWLGGESPIPMSYSEASW TGLADFRDAVWDRKLLELIQIDPATLPSIQDPSIPATGRLQPAYAKRWPLLQDTPYFL ALADGAAANIGSHCVAPECKTTGSRIGLTVGTSAAMRVLVPVGQIVKVPRGLWCYRVS ATHAIVGGALTDGGSVFEWALQTLALSPDAIRQVGAMAPAEHGLSMLPFLNGERSPGW HDDATCTISGITAATTPAHILRAALESVALRLGAIYALLGEYVTSDAHLVASGTALSS SPVWRQIIADVVGRPVWLETEAVELTSRGVAMFVGGHLGVHSSSSSPHKLLHRHFTCS TPSMQAHVQYLAARQRQDTLYSDVLGHT H257_00391 MKIVATTVVAAAALGSAWAYRRSVRIQRAVEVKEKAHAILVVDI GSSSVRASAYVLRGDTWTLVPGSLHQLKMRALANDGTALVHVIQQAVERVKDLTVAWL TQQGAFRIVGVGFSCFAMSLVGVNSDGKPVTPVLTYAGRCASQAKALSQTLAHQGLQH ETYNRTGVPIHPAYAAPQLLKLQPNDRVVTWQSLVGVLLRQWLGGESPIPMSYSEASW TGLADFRDAVWDRKLLELIQIDPATLPSIQDPSIPATGRLQPAYAKRWPLLQDTPYFL ALADGAAANIGSHCVAPECKTTGSRIGLTVGTSAAMRVLVPVGQIVKVPRGLWCYRVS ATHAIVGGALTDGGSVFEWALQTLALSPGEIWYPSTTHSSSYSLDAIRQVGAMAPAEH GLSMLPFLNGERSPGWHDDATCTISGITAATTPAHILRAALESVALRLGAIYALLGEY VTSDAHLVASGTALSSSPVWRQIIADVVGRPVWLETEAVELTSRGVAMFVGGHLGVHS SSSSPHKLLHRHFTCSTPSMQAHVQYLAARQRQDTLYSDVLGHT H257_00391 MKIVATTVVAAAALGSAWAYRRSVRIQRAVEVKEKAHAILVVDI GSSSVRASAYVLRGDTWTLVPGSLHQLKMRALANDGTALVHVIQQAVERVKDLTVAWL TQQGAFRIVGVGFSCFAMSLVGVNSDGKPVTPVLTYAGRCASQAKALSQTLAHQGLQH ETYNRTGVPIHPAYAAPQLLKLQPNDRVVTWQSLVGVLLRQWLGGESPIPMSYSEASW TGLADFRDAVWDRKLLELIQIDPATLPSIQDPSIPATGRLQPAYAKRWPLLQDTPYFL ALADGAAANIGSHCVAPDRIGLTVGTSAAMRVLVPVGQIVKVPRGLWCYRVSATHAIV GGALTDGGSVFEWALQTLALSPDAIRQVGAMAPAEHGLSMLPFLNGERSPGWHDDATC TISGITAATTPAHILRAALESVALRLGAIYALLGIIHHIHCI H257_00391 MKIVATTVVAAAALGSAWAYRRSVRIQRAVEVKEKAHAILVVDI GSSSVRASAYVLRGDTWTLVPGSLHQLKMRALANDGTALVHVIQQAVERVKDLTVAWL TQQGAFRIVGVGFSCFAMSLVGVNSDGKPVTPVLTYAGRCASQAKALSQTLAHQGLQH ETYNRTGVPIHPAYAAPQLLKLQPNDRVVTWQSLVGVLLRQWLGGESPIPMSYSEASW TGLADFRDAVWDRKLLELIQIDPATLPSIQDPSIPATGRLQPAYAKRWPLLQDTPYFL ALADGAAANIGSHCVAPDRIGLTVGTSAAMRVLVPVGQIVKVPRGLWCYRVSATHAIV GGALTDGGSVFEWALQTLALSPDAIRQVGAMAPAEHGLSMLPFLNGTVSGS H257_00391 MKIVATTVVAAAALGSAWAYRRSVRIQRAVEVKEKAHAILVVDI GSSSVRASAYVLRGDTWTLVPGSLHQLKMRALANDGTALVHVIQQAVERVKDLTVAWL TQQGAFRIVGVGFSCFAMSLVGVNSDGKPVTPVLTYAGRCASQAKALSQTLAHQGLQH ETYNRTGVPIHPAYAAPQLLKLQPNDRVVTWQSLVGVLLRQWLGGESPIPMSYSEASW TGLADFRDAVWDRKLLELIQIDPATLPSIQDPSIPATGRLQPAYAKRWPLLQDTPYFL ALADGAAANIGSHCVAPDRIGLTVGTSAAMRVLVPVGQIVKVPRGLWCYRVSATHAIV GGALTDGGSVFEWALQTLALSPDAIRQVGAMAPAEHGLSMLPFLNGTVSGS H257_00391 MKIVATTVVAAAALGSAWAYRRSVRIQRAVEVKEKAHAILVVDI GSSSVRASAYVLRGDTWTLVPGSLHQLKMRALANDGTALVHVIQQAVERVKDLTVAWL TQQGAFRIVGVGFSCFAMSLVGVNSDGKPVTPVLTYAGRCASQAKALSQTLAHQGLQH ETYNRTGVPIHPAYAAPQLLKLQPNDRVVTWQSLVGVLLRQWLGGESPIPMSYSEASW TGLADFRDAVWDRKLLELIQIDPATLPSIQDPSIPATGRLQPAYAKRWPLLQDTPYFL ALADGAAANIGSHCVAPECKTTGSRIGLTVGTSAAMRVLVPVGQIVKVPRGLWCYRVS ATHAIVGGALTDGGSVFEWALQTLALSPDAIRQVGAMAPAEHGLSMLPFLNGTVSGS H257_00392 MKWLFAEEDDAAATPLSSPRKDIGVPAYILSRVSQRFGYRTAAE PVEMPLIQSIQQAVLQTVKRNGGRAFNMAEVLEIKELCDRLLPSDLGLEVPRAVAADK LPRFRPIRYIEVYEDADISMGIFVMPPGTTIPLHNHPQMTVISRVLYGSMQVNAFDLV PPDEDEAFWVRKEERIRKAEIQHNRFPKFRPRHLKVAIQRSTDVVHGPATTELLPDRC NIHEFIAVGDVGCAIFDILTPGYNPLAGRDCTYYRSLLQVEGGTEEEPWYVLEPSNLP PSSFISVDLPYRGPELMPAHVAAPDDEHSATSSE H257_00393 MSNTNVDFEARLRALREQNNGNLPPPSTATPPPPPAPPTAAPPP QRQLAPMESFEFGGAYVDGSPIGSDIEASFNQVPLWRDTKNSANSYLSDEPAVPPPAA LLNMAKNVQERQQSFRQASLLRMGSTAIPHLPNTVEEFLDGGVSYEGAFRLVQLAVQM EADGNPAAAIQLYVDAGRMLVEVGKREVDPLLQKGIRQKSHEMLQRAEELDKWMNDVA EEARRAALPPALKIARTNVPLVEQSWKGRLPPHHDADEFKSMRYTAVATKDPIQFSND KYILRVHQLQRQIKVFITVTMYNEEGSELKATLTGLAQGIRYMCKEYGADYWQHVAIA VVSDGRTKASPTCLEFLTQLGSFDEEIMTVTSLGVDTQMHLFESTVQLVENQNFENFY PPMQLLYALKEHNGGKLNSHLWFFNAFAEQLNPTYTVLVDVGTIPAESSVFRLIRSME RNYQIGGVAGEIAVDKPNYFNPVVAAQHFEYKISNIMDKSLESVFGFISVLPGAFSAY RFEAIRAVKGVGPLPEYFKSLTSTTKELGPFQGNMYLAEDRILCFELLAREGRQWTMH YVKDAIARTDVPETLVDLIKQRRRWLNGSFFAGLFAIGHFGRVWRLSAHSPSRKLVFT LQFLYLAVQNFLSWFLLSNLYLTFYFVLTLALHSPTNATPNAILEVILTVYLAIVGGL LVFALGNKPEPKTASFYLFSCLYLGIVMMVVTGLSFYGLVAKGVTAVDPRQNLTDCPV SKLELTGGVVSSLGLVFMSAFLHGEFSILLSFVQYFFMLPTFVNILGIYAYSNLHDLS WGTKGLESGGGHGPAKQAGGNVKDVVAQQKKLEAARQVAAKEKEDVDNSFRAFRSTLL LSWLTTNALWMYIIMTCVATSCYLKYLSYIVACFNIIRFVGATTFLALRICRRFGIGG CVKGVSKDTYDRQLPPEWQAHRTGQAARFRPQETLQPSPRGPYNAV H257_00394 MQRQACAVTVVPLQALSAAAAMAVEMVPGTMCYIPDETHAWLQA QVISHDPSKKQVQVKVLKDPPHVLAESMRMVDFTDKKTVLLMSGKGQPNASIESLPVQ NEATNVDDMITLNYLHEAAILYNIKARFLTQHPYTYTGDICIAVNPYQWLGELYSDDQ HLAYQRLGRDELPPHVYATSVAAYRSMLHDHRNQSVLVSGESGAGKTETTKILMNHLA SIAGGLRDATIAKIIMVNPLLESFGNAKTLRNDNSSRFGKFTQLQFDAHGTLVGAQCK TYLLEKTRVVTHEPSERNYHIFYQVLAVRSRFPELHLDELATDYNYVGPLHTSAIEGH SDAAHFDKTQAALDRIGMDAATQRALFRVLAGILHLGQIQFAATSDEASQVASQCPHT NHVCTLLGVERTALERALCCRTMQARHDKYSVPLTKVEAEQCRDALAKGLYANVFEWL VALVNASLSHASRQKHHIGVLDIFGFEHFEHNSFEQFCINYANEKLQQKFTFDVFKTV QVEYEDEGIQWNHVAYADNADVLQVIESKLGLLSLLDEELVRPKGNEESFVAKAALLM KDDAVIEFPRTSRTQFVLRHYAAPVLYEVTGFLEKHKDAMLPDLATLMRSSSASFVSA LFADPAVPSPATSKRRQNSAQNRVQTVGVQFKTNLSELMGTIQATNVQYIRCIKPNAA KSPTSFDHAMVIAQLRCAGVIEAIRIARSAYPVRQTHVDFLATYGMCFPDILGRTQSS KDLCLQILAQVPSWTAPVHFQVGRSKIYFHARVVEDLEHRKRQYLYAKVVLMQRILRG CTKRRQYRRKLDAIVKIQSVVRCVLAYTRYNTLVRGTVALQSQWRGIKARQLYFVLQR QHKAHLVFAFVLGAHQRRRFLQLKASAVTIQSVVRMRLHRARFVQRMADVKSQQSMHQ QLRTLQERLQVEQNKKQHHHRHRRHDPSSADQLDEHDDGQQRRKSSAQLVMADAGGMI DRIEKENVRLRREVEELKAALATWKDDADKLKQDKEIATAAHHVKLRQAEELARDKDK TIAQLHKELDRVRGHPHGGGTPPSLLQYSSSRSRRTVMGSRRDRRGLERSEDSPEEVA AIHNGAVDVAAAVQKAIETNAFLIMSAQQLGASLDVDATATRMALSNGLVTANSLRAS PSVSSLKDRVAQMKNKYAQRQSEQVHRASEDSLRQSVSDSLYRGSMAVPPMPPGWEMR MSRSKGRPYFCHEGQRLTLWDPPTEENIEKAIAKRDSSVRKSVLHTPVSARSSSRGL H257_00394 MQRQACAVTVVPLQALSAAAAMAVEMVPGTMCYIPDETHAWLQA QVISHDPSKKQVQVKVLKDPPHVLAESMRMVDFTDKKTVLLMSGKGQPNASIESLPVQ NEATNVDDMITLNYLHEAAILYNIKARFLTQHPYTYTGDICIAVNPYQWLGELYSDDQ HLAYQRLGRDELPPHVYATSVAAYRSMLHDHRNQSVLVSGESGAGKTETTKILMNHLA SIAGGLRDATIAKIIMVNPLLESFGNAKTLRNDNSSRFGKFTQLQFDAHGTLVGAQCK TYLLEKTRVVTHEPSERNYHIFYQVLAVRSRFPELHLDELATDYNYVGPLHTSAIEGH SDAAHFDKTQAALDRIGMDAATQRALFRVLAGILHLGQIQFAATSDEASQVASQCPHT NHVCTLLGVERTALERALCCRTMQARHDKYSVPLTKVEAEQCRDALAKGLYANVFEWL VALVNASLSHASRQKHHIGVLDIFGFEHFEHNSFEQFCINYANEKLQQKFTFDVFKTV QVEYEDEGIQWNHVAYADNADVLQVIESKLGLLSLLDEELVRPKGNEESFVAKAALLM KDDAVIEFPRTSRTQFVLRHYAAPVLYEVTGFLEKHKDAMLPDLATLMRSSSASFVSA LFADPAVPSPATSKRRQNSAQNRVQTVGVQFKTNLSELMGTIQATNVQYIRCIKPNAA KSPTSFDHAMVIAQLRCAGVIEAIRIARSAYPVRQTHVDFLATYGMCFPDILGRTQSS KDLCLQILAQVPSWTAPVHFQVGRSKIYFHARVVEDLEHRKRQYLYAKVVLMQRILRG CTKRRQYRRKLDAIVKIQSVVRCVLAYTRYNTLVRGTVALQSQWRGIKARQLYFVLQR QHKAHLVFAFVLGAHQRRRFLQLKASAVTIQSVVRMRLHRARFVQRMADVKSQQSMHQ QLRTLQERLQVEQNKKQHHHRHRRHDPSSADQLDEHDDGQQRRKSSAQLVMADAGGMI DRIEKENVRLRREVEELKAALATWKDDADKLKQDKEIATAAHHVKLRQAEELARDKDK TIAQLHKELDRVRGHPHGGGTPPSLLQYSSSRSRRTVMGSRRDRRGLERSEDSPEEVA AIHNGAVDVAAAVQKAIETNAFLIMSAQQLGASLDVDATATRMALSNGLVTANSLRAS PSVSSLKDRVAQMKNKYAQRQSEQVHRASEDSLRQSVSDSLYRGSMAVPPMPPGWEMR MSRSKGRPYFCHEGQRLTLWDPPTEENIEKAIAKRDRYGVHRHPRDSNVCRYSSVRKS VLHTPVSARSSSRGL H257_00394 MQRQACAVTVVPLQALSAAAAMAVEMVPGTMCYIPDETHAWLQA QVISHDPSKKQVQVKVLKDPPHVLAESMRMVDFTDKKTVLLMSGKGQPNASIESLPVQ NEATNVDDMITLNYLHEAAILYNIKARFLTQHPYTYTGDICIAVNPYQWLGELYSDDQ HLAYQRLGRDELPPHVYATSVAAYRSMLHDHRNQSVLVSGESGAGKTETTKILMNHLA SIAGGLRDATIAKIIMVNPLLESFGNAKTLRNDNSSRFGKFTQLQFDAHGTLVGAQCK TYLLEKTRVVTHEPSERNYHIFYQVLAVRSRFPELHLDELATDYNYVGPLHTSAIEGH SDAAHFDKTQAALDRIGMDAATQRALFRVLAGILHLGQIQFAATSDEASQVASQCPHT NHVCTLLGVERTALERALCCRTMQARHDKYSVPLTKVEAEQCRDALAKGLYANVFEWL VALVNASLSHASRQKHHIGVLDIFGFEHFEHNSFEQFCINYANEKLQQKFTFDVFKTV QVEYEDEGIQWNHVAYADNADVLQVIESKLGLLSLLDEELVRPKGNEESFVAKAALLM KDDAVIEFPRTSRTQFVLRHYAAPVLYEVTGFLEKHKDAMLPDLATLMRSSSASFVSA LFADPAVPSPATSKRRQNSAQNRVQTVGVQFKTNLSELMGTIQATNVQYIRCIKPNAA KSPTSFDHAMVIAQLRCAGVIEAIRIARSAYPVRQTHVDFLATYGMCFPDILGRTQSS KDLCLQILAQVPSWTAPVHFQVGRSKIYFHARVVEDLEHRKRQYLYAKVVLMQRILRG CTKRRQYRRKLDAIVKIQSVVRCVLAYTRYNTLVRGTVALQSQWRGIKARQLYFVLQR QHKAHLVFAFVLGAHQRRRFLQLKASAVTIQSVVRMRLHRARFVQRMADVKSQQSMHQ QLRTLQERLQVEQNKKQHHHRHRRHDPSSADQLDEHDDGQQRRKSSAQLVMADAGGMI DRIEKENVRLRREVEELKAALATWKDDADKLKQDKEIATAAHHVKLRQAEELARDKDK TIAQLHKELDRVRGHPHGGGTPPSLLQYSSSRSRRTVMGSRRDRRGLERSEDSPEEVA AIHNGAVDVAAAVQKAIETNAFLIMSAQQLGASLDVDATATRMALSNGLVTANSLRYT KQQPLLKVAWSKD H257_00394 MQRQACAVTVVPLQALSAAAAMAVEMVPGTMCYIPDETHAWLQA QVISHDPSKKQVQVKVLKDPPHVLAESMRMVDFTDKKTVLLMSGKGQPNASIESLPVQ NEATNVDDMITLNYLHEAAILYNIKARFLTQHPYTYTGDICIAVNPYQWLGELYSDDQ HLAYQRLGRDELPPHVYATSVAAYRSMLHDHRNQSVLVSGESGAGKTETTKILMNHLA SIAGGLRDATIAKIIMVNPLLESFGNAKTLRNDNSSRFGKFTQLQFDAHGTLVGAQCK TYLLEKTRVVTHEPSERNYHIFYQVLAVRSRFPELHLDELATDYNYVGPLHTSAIEGH SDAAHFDKTQAALDRIGMDAATQRALFRVLAGILHLGQIQFAATSDEASQVASQCPHT NHVCTLLGVERTALERALCCRTMQARHDKYSVPLTKVEAEQCRDALAKGLYANVFEWL VALVNASLSHASRQKHHIGVLDIFGFEHFEHNSFEQFCINYANEKLQQKFTFDVFKTV QVEYEDEGIQWNHVAYADNADVLQVIESKLGLLSLLDEELVRPKGNEESFVAKAALLM KDDAVIEFPRTSRTQFVLRHYAAPVLYEVTGFLEKHKDAMLPDLATLMRSSSASFVSA LFADPAVPSPATSKRRQNSAQNRVQTVGVQFKTNLSELMGTIQATNVQYIRCIKPNAA KSPTSFDHAMVIAQLRCAGVIEAIRIARSAYPVRQTHVDFLATYGMCFPDILGRTQSS KDLCLQILAQVPSWTAPVHFQVGRSKIYFHARVVEDLEHRKRQYLYAKVVLMQRILRG CTKRRQYRRKLDAIVKIQSVVRCVLAYTRYNTLVRGTVALQSQWRGIKARQLYFVLQR QHKAHLVFAFVLGAHQRRRFLQLKASAVTIQSVVRMRLHRARFVQRMADVKSQQSMHQ QLRTLQERLQVEQNKKQHHHRHRRHDPSSADQLDEHDDGQQRRKSSAQLVMADAGGMI DRIEKENVRLRREVEELKAALATWKDDADKLKQDKEIATAAHHVKLRQAEELARDKDK TIAQLHKELDRVRGHPHGGGTPPSLLQYSSSRSRRTVMGSRRDRRGLERSEDSPEEVA AIHNGAVDVAAAVQKAIETNAFLIMSAQQLGASLDVDATATRMALSNGLVTANSLRYT KQQPLLKVAWSKD H257_00395 MILVICSVVILSETMRTLLQDSFAVLCQQLADSPLFYSLLLVVL STCCLIRASLLHTFNATALLVGGMGLASLRLNSVGEIDVHSLSLASAVSIFVAVYVVG LGCIWSVTQTLHDNQCDVKIDNPSADVIIVGAGTAGCSMAVGLAKQGRKVLVIEKSLE YQDRFVGELMQPGGLEALRSLDLIECAETSTDVKTLGYSILLPHSDHIMLPYPDRAPH TFLEYMGLSRADNGTGKQHGRGFHNGAFVQRLRARMLAEPNVTVVEGTVSKLLLEQPA KSSTSVCTGIQFRRKALSDDVEMPVETASAPLVIASDGLWSGLRRDLSTDVPKQISSF VAILMTHPAMEATVPFRNFGHVILAHPSPILMYQISPTETRVLVDVPGKVPSSSNGDL TKHLLTHVAPQIPDASRAAFVRAVETGPVKSMPNREFMTTQPAHIGRVVMLGDTFNMR HPLTGGGMSVALKDVVLLNNLLKNVDLSSGKDVAAVRRAFEQDRVHHSSTVNILANAL YHIFSVPSADDDDKHVLAARATLRESCYEYLKMGGVFMAGPLGLLSALTPKPFVLATH FFMVAGYAAIRCLAPFPTPYRLYHCFQVFHQACVIIMPLLERENVTILAWAPLRALIN VIFPYRK H257_00396 MRLRLGQGTVMDALSSRRVLKAANCRSFTAKSWLPSALSQELPG HEWAPETVTAQGLGWVDKNTAAISPPIHVSSTFQRDADNQYRSGRMYARADNPAFDQP ESVINTLEGGEDTIVFASGMAAATAVFLALQPGDHVLVPKVMYWSLRNWLTTYAVSWG LEVELVDMTNSEAIATALRPGQTRLVWVETPSNPLWTVTDIAAMAALAHAAGALLAVD STAATPVLTKPLAFGADIVMHSATKYLNGHSDIIAGSLTTRSVDNEHWQRVRHVRSSV GGTLGSFEAWLLLRGLRTLHLRVRAATASAQTIAEHFNTHSLVEAVLYPGLPHCQGHE IATRQMQGGYGGMLSIRVKGGEAAAVAVAAHTTLWKRATSLGGTESLIEHRASVEGVE SPAPVDLLRLSVGIEAVDDLIHDLETALKVAHNLNA H257_00397 MIVEAVEAVMAIVAVAADTETAAVDTVVVEVAAMVVGAAAATED AVAVVVVEVVAGSRTSLFPCRPSYGNAGRAIVVWANHFQVDLNVNRGDIFHYDVVFCK KGDTPLQAVPKKELCVRVLKALIKELKSELPAITVVSDARRNIYASAALPFNNRMIVV KEVFDSGKSKEWDVYVKAADPVAIPMHQVEEFFAGRLNFTPYDAIMALDIALRATANN KFVSAGRNFYTPSNKVALGEGADLWFGYHQSLRPTQTRLTLNIDIAAATFIKKMDLIE YVTTTAFGGRQARFDDPQAMRAASRAVKGVLVRVTHRGNLNQEFKINGLTTTPANATF FTTTDGTQHSVAAYFEATYYKLKHPEWPCAQTGSKSSPQFLPMEVCFTPGTQKSIRKE TPMQVATIIKQTCTPPYDRRQKIEAQVAEAHFENDGILAAFGLNVTQKMMAVEARQLP DPELEYLNQAIERPRDGSWNMRGKGFYEGMDLDSFAILNLGNPNDDRGIVNFFNKLVD QLGELKMRGPRGAAPPLLTRTRNQSVEDLFGEAVRAAEKVYGKPPRVVFCVNGGGDSA NYADLKRASDVNFGIPSQCMLAKHVGKASPQYIANLMLKVNMKLGGRNVVCRGALPKI SECPTIIFGADVTHPVSIDKSKPSISSVVATMDRFASHHAACVRKQGHRVEHIEDMEG ITNELLRSFYQATHVKPERILIYRDGISEGQFQNILSKEVQAIRQACESLEPGYRPAI TFVVVQKRHHTRIFPTAAMDADRSGNCKAGTVVDTGICHPTEHDFYLMSHSGLQGCSR PAHYHVLMDEIGFAPNELQTLTYHLCYTFARCTRAVSVVPACYYSHLVAERARLFLID GSSDGGSTVDGNFVESTGRILDVHGALARVMYYL H257_00398 MIRCTTIKLATNGMARHSLPPHLAHKSALAFVLTEDDSAYACVQ AIRQAHDRSFDRWPPHINLVYPFLATPSANIDDILARVAIAVHDVPAVSATFPAVQHF THSKKSATLFLEPDAVSTRPQLQSIQAALQAAFPECNHDTRPFVPHLSLGQTAGGASG IKALTHQMESSLREACSSPPDKGRNFFDDMAAAAMTSNAHVDPFVDEPAAWTLPWTIG RVVVLERRGFDDPFEIVGQIALK H257_00399 MGNLNCFEQCQAIREHDERAKFLQAGGAFTRKKYTFGLHTGTES VHLQLHADSDEILLWTSDKQADGAKPNEIEVGDIKSVLPSGTAGFQIYSMQGEVLLEL DAESAEVRSEWVTALQWICEEVRRTQELNGTQKKHPASASSLKQMVKDQANKQAYWMK RQTEMKQREKEAEERKKKVGAVGMKFTAMAMANRT H257_00400 MNCIATSLPSVQVERHPDKGRSLHVSSPRGIPSGTKLFQEEAFA KVVLSTYKGNVCAACLRPSDPDICCDDCSQVTFCSEACQASLAYVHALECETLDDIDM IAKKSAADRDLLRLLVRILCRRVCPCPRRYETDELLATTFSEVDQMVHATSRLESSWV ASVERGAELLLQSLPTKAHVSVAKIVGLAGRINENSYSLDAWTSSKAAAVGMFPVAAL LNHSCMPNCAWANDGRGHMEVRTTAFVAHGEELCFSYIDPTQPRPTRQRELLVTKHFQ CTCPRCSDSSIDGMDAMLEGVCCGVCLQLLQPGAGSSRCCHQPLQVDDTDVQRKTESA RQSLRQIQNQVDTKQFAAARVLALELLQAHSTKPDETSSIVLHPSHEILTRASQLVGE CDWKLGDYVSCVGRRLDWIARLEKTVAPMSLVLAHAHHDVVEAFKASLTHNAWPAGTD LHSKEALAAWHLQQCRHIHSVCLPARHPLNAIK H257_00401 MEASVEVKLQELVGLHLRTFEEQLKVFHEQVENNISIAGVHPIS HERATSVCSEPATNWTNYSRTSPGALPSDIAMANVRKACHKDGYIPSKLLLEHALKEA ARAEFTIKDKMQFAFILLRTLDKRKQNRLDADFQGMRSLYDEHIGLLLGWARQSAPYL HVDSRDQNLVQLVVHIVSHHPPTKSSTQEHVATTLRDLQNQAKNVRSEGAAPKERGTA DGSDELHEILTHVTFRRKETKNFSMYFAVYRANGGTICQKKSQLECAQAILKRSHTDG FNWRHLLVLNFDKADDDAQT H257_00402 MPFETAPFESLTASELDAFKQKDGKLHLFSNIGCPYGHRALWTA VEVNAPFHVVEVSLTDPPAAYSEKFNRYGTVPYLLSNGSPVYESAIIAQYLDTKHGGG ELFRRSNPEEAALTQLAAAKFEAGPLYQLLRKFSDENVAELKESLAEVEAVYRINGAD YRAKGPYLLGNTLSNAEILTATVLFRFEIVLKHYHNFDLLSDFPLVAAALAAVKTRPA FQQTIREPQLYIDMYAKFVAK H257_00403 MPFESTPFESLSESQLDAFKQKDGKLHLFNNIICPFGHRALWTA VEAHAPFHVVEVSLADMPAAYIEKFNRFGTVPYLLSNGSPVYESAIIAQYVDTKFGNG ELFRHHDPEEAALSQLAAAKFEVGPLYRALGGTSEDNVAALKETLGDVETIYRVHAKE FRSSGPYLLGSKLSGAEILIVPFLFRFDILLQHYRGYTLLDGFPLLQGVLAAAKARPA FQDTVREPQFFIDAYAAYANKK H257_00404 MAAKDDVKFLAIMRVADKLTVSTYTHAKVKADERSKYTDISKKV VSSPSWVTDVGRNSRHALDFEALKLHFMLDAGEFVYFAVTVRDYPLRVAHVMLNDLQA QFTATYVVAALALKAEHTLGSDCVKMLGSVASKYEDVTQVDKLAKVKAQVEGVKDTMK DSINIALNNTEKMDTLSQKAADLADSASVFKKGAIDMRRQMWWKNAKVSLAIALSMIM AILVLLYALGIFDHIGQSAKNTSTRLRH H257_00405 MALSHDNVKFLAVARASDKVIVASYAHTKKDKEDSPKYVDMLSK VLRAPTWKQQVTPNSRHTLDCDPNKFHFTMDNDEMVYCAITAADYPIRLAFKLITAVQ EEVSGKHGAKLAQAKENGLDCGKSLGVIATMYDDRTKVDKVSEVMAQVDAVKSTMQDN IQVVLSNTEKMELVEQKSNDLNEQAKVFRNAGKSLARTMWWKNVKMMIAIGLLVVLVI IVLLAMAGVFKSSTPAATAAPAATSSPTTAMPTTAKPVRALRDVIGHVNRVSHGV H257_00406 MDGLDETLQDPRFKRGITLLKEKRYEEAVAHFEDLLRTMVESEN KGDTLQVAPVYYEYGNALLSYAEATASVFGKDTVKTDEGEEEDENDLEVAWEMLEVSR VLLAKHEGEDPRIDTELARVYVRLGDLSMESDLFSQARSDYERAIALHQKHLVPLQTD TTPLADIYCCLAITCIYEYAKQPEIDEDGGDLVDETKEDDATPPTMTQAELELAGVKY YVQAGLVMVDNIYRQSEKCSSVVQAFVQAHIPPPRVKTPSSKGKAKAKVDRVEDLVLQ YNNGEYDQMRKQFLAAVTAGRPDLNPTSDDDMRQLEPEEKQVLDYLEIYTEVKEKVDG LRESYTTGRAEVAAALATTSSAAAATTADGPVTTIGFGSENKSAEVTAVGFDQATTST PEPTKRVASSTAVNVLPVTKKRKIAPSSTTEHNA H257_00407 MHVHLITAATWLMAMCAAEERHEKVTKQANDADIVLAANFAVDE LRKLSDTGIYTTLDLAQIKDASIQVGQFHINTFLQLELASPHYKSCLATESFSVVVMK STIDDVLSFAIDTFPVMDEAAIETFWIDMVERKRAARDAVFANWASEHHPHPLPLTGQ SSSSHSKDEL H257_00408 MPKQADDNRKGFLWGLVMLVSGTMCTIITKVQYGLRAEGTETCI VNGTATHVCLFDKPWFGVLQMKLAMAFCIVILMIRQKVQKRSYLETPVMNMQKHGKKF MATPKAAPGGRSTERTPFVATSSHATSWETIFWILLPSFLDLLNTVLANIGLLWVSSS IYQMSRGSVVLFNAIFSVRFMGKKLFAYHYLSILVVMTAVAVVAYAGIMQSNAAATSS SVEDQEKHSNQVLGLGLIFVSQLITAVQIVVEEWFLTTRHVSPLTLVGWEGIWGLAFF AVLTPLLMATPPGDSALSKIWHEDFVDTFVKMGNSWVVTATVLLYIVLIGLFNLAANF VTKYLSSVVRSILDTLRTLGVWVLSLFIFYVVRWTGANSPGEQWTVWSWLELAGFALM VAGTLAYKKIFHLPIKSLYEAEEKATAAALVKSPFMANMH H257_00408 MPKQADDNRKGFLWGLVMLVSGTMCTIITKVQYGLRAEGTETCI VNGTATHVCLFDKPWFGVLQMKLAMAFCIVILMIRQKVQKRSYLETPVMNMQKHGKKF MATPKAAPGGRSTERTPFVATSSHATSWETIFWILLPSFLDLLNTVLANIGLLWVSSS IYQMSRGSVVLFNAIFSVRFMGKKLFAYHYLSILVVMTAVAVVAYAGIMQSNAAATSS SVEDQEKHSNQVLGLGLIFVSQLITAVQIVVEEWFLTTRHVSPLTLVGWEGIWGLAFF AVLTPLLMATPPGDSALSKIWHEDFVDTFVKMGNSWVVTATVLLYIVLIGLFNVRLYF HQNNNDSMTLMTWHCCSSRPTL H257_00409 MMSITWTYAILGAATLFCGAMGTILMKVQFSLNVSGTELCYDTA TNATTTDCPFHKPWFGVLQMKLAMSLCLIYLLVRQKVTGKPYLESPVFKRKHFGTKYM PQPRSYEERRTLLQEAYDGPSWRTMLFLVFPALLDIVNTVLAFTGLLWVPASVYQMSN GAVLLFSAFIAMRFMGRELYCYQILSIMLVTVAVIIFSVAGILGGDHDTVSPYEIYAN LTTAYELPTIPVTSSDVHQAMGMLFILLAQVVLAAQFALEEHFMIERHVSPLLLVGME GAWGLVLVVGLVPLLSTTPAATDSIAAHLWHEDFTDTWVKLKGSSSLVVLGVGYMLCI GTYNIAALYVTKYLSSIVRSMLEIGRTVGVWVVSLVVYYSFNWAGPNSPGEAWSDWSW VQLFGFGLLALATLTYKQSVHFPCLGLYQNARGLPISAQQVVFLGDRR H257_00409 MMSITWTYAILGAATLFCGAMGTILMKVQFSLNVSGTELCYDTA TNATTTDCPFHKPWFGVLQMKLAMSLCLIYLLVRQKVTGKPYLESPVFKRKHFGTKYM PQPRSYEERRTLLQEAYDGPSWRTMLFLVFPALLDIVNTVLAFTGLLWVPASVYQMSN GAVLLFSAFIAMRFMGRELYCYQILSIMLVTVAVIIFSVAGILGGDHDTVSPYEIYAN LTTAYELPTIPVTSSDVHQAMGMLFILLAQVVLAAQFALEEHFMIERHVSPLLLVGME GAWGLVLVVGLVPLLSTTPAATDSIAAHLWHEDFTDTWVKLKGSSSLVVLGVGYMLCI GTYNIAALYVTKYLSSIVRSMLEVLYPYPTNDHALPDIGYRFVDITMVCLYVLLLLLY TRLVGQWACGLSVWWCTTASTGPAQTRPVKPGPTGAGCSCLGLGCWRWQR H257_00411 MGAHGSKRSARSIKQTMMSMMGRSAHSMNDDALMAAVSKVTPDD TSWNVEYRRPLASSDHYHHYMPTNMPLCPIYQESYVQECGRSWKLICKASTPKMRPYN KEGIVLFYDEFFHRLFQRDPGFYDIFPGIRKRIEVLIKAMKFMLNDAGLEETKVIERC RNLGYRHKTIPKVQPHHFAAYAQTFVEVAMYWLDVEATPDVGEAWSNLIGFNLKYMLQ AYLHDLVDESAWNQNIIAPVAARNSEDTAKKPPGVKPVKKKGQSKVKLDASTNVHH H257_00410 MGQPDNASSSPTTPPSGDIAPILVIGAGPHALALVLALLEEDAS SEFTERDTIHMGFWRQKLGRKKNQKGPRNQSKNLPTSSSSSSSCLRRQIRVLDPSGTW CSTWNGNFATFGISHLRSPVNVHLDPLRPEGLRDYATSTNQLKSQVSEPPPSIRFSRR NRAFTTNTSMFSENDRQFLGCPSRELFAEFQEHLIHQYGIASMVEKAAAVAIEPLDTS SSPLFKVTCAGGNVIVAKHVVVAIGTQNIPRIPAWATPLWQQTPTNLIVHSSDAALHA VAYAKRMRHKRVLIVGGGLTSVHLAREVVSTWGAKHVTLVTRKPTLLVQPYDVPLEWI SPLLRAKMLADFFDEDTLELKVQRIRDARRGGSVTRSALAQLHAVATPHNYHHRGNTV VTNVERIGACDDTQLRVTLSDGSSADEIVVDHIILATGSDIDVTKERLFDGMRHLGAP PVGGLPALDDELRWESDLNLFVMGGYAALQLGPTAGNLMGARSGANKLAELILEGVAT KAAKTRHHHLRALCGKENLYDFLT H257_00412 MDGPTSSGSPLLTTGERAKEDGGRKRSLKHKHKQKSSRHSTSGE EHEKPSSSKGHKDDGGASHLQDLLTSKIAQLQVGDSSLDVDISGIFSDSKLVDDASAS WEAASKDGGDVGQMDYIVQGMLGHLALLQQKLSEVHAKYIVMREQKMALVESNLKVNS TKGKLESLCRELQKQNKTIISESRRIAEEEDRKRQQLSQQFQTTIEDVSKKMEQQGKD YVSSLHDNEALQGKLKTFLAQYELREEHYAHQLQAKDLAVQLADAKLQHQIELTKRET EKVALTLEQTKHISEREVALQGQLASYSEKFEVVQETLSKSNTMFGTLRDEMDKMSKH IKRLEKENGNLKKKCDQYDHGAIEMLQERGRVAEEAKKAQDKISKLEGLCRMLQAERN RLMEQTKETAPAS H257_00412 MDGPTSSGSPLLTTGERAKEDGGRKRSLKHKHKQKSSRHSTSGE EHEKPSSSKGHKDDGGASHLQDLLTSKIAQLQVGDSSLDVDISGIFSDSKLVDDASAS WEAASKDGGDVGQMDYIVQGMLGHLALLQQKLSEVHAKYIVMREQKMALVESNLKVNS TKGKLESLCRELQKQNKTIISESRRIAEEEDRKRQQLSQQFQTTIEDVSKKMEQQGKD YVSSLHDNEALQGKLKTFLAQYELREEHYAHQLQAKDLAVQLADAKLQHQIELTKRET EKVALTLEQTKHISEREVALQGQLASYSEKFEVVQETLSKSNTMFGTLRDEMDKVYRQ KDMCCCIYLWRYIYIYMWC H257_00412 MDGPTSSGSPLLTTGERAKEDGGRKRSLKHKHKQKSSRHSTSGE EHEKPSSSKGHKDDGGASHLQDLLTSKIAQLQVGDSSLDVDISGIFSDSKLVDDASAS WEAASKDGGDVGQMDYIVQGMLGHLALLQQKLSEVHAKYIVMREQKMALVESNLKVNS TKGKLESLCRELQKQNKTIISESRRIAEEEDRKRQQLSQQFQTTIEDVSKKMEQQGKD YVSSLHDNEALQGKLKTFLAQYELREEHYAHQLQAKDLAVQLADAKLQHQIELTKRET EKVALTLEQTKHISEREVALQVPTTLLLLR H257_00412 MDGPTSSGSPLLTTGERAKEDGGRKRSLKHKHKQKSSRHSTSGE EHEKPSSSKGHKDDGGASHLQDLLTSKIAQLQVGDSSLDVDISGIFSDSKLVDDASAS WEAASKDGGDVGQMDYIVQGMLGHLALLQQKLSEVHAKYIVMREQKMALVESNLKVNS TKGKLESLCRELQKQNKTIISESRRIAEEEDRKRQQLSQQFQTTIEDVSKKMEQQGKD YVSSLHDNEALQGKLKTFLAQYELREEHYAHQLQAKDLAVQLADAKLQHQIELTKRET EKVALTLEQTKHISEREVALQVPTTLLLLR H257_00413 MLARLRSTPNRLNRCGAVPAFFSSSETTDSMADRSYQHRSGGRH GGGGRGGGGRGGRGGGGFRGGRDGGGRGRHNRDGGGGGRGGGRGGGRGGDSWFKQEGY NDGVASSVDEADVGIKVFRNDIPGFNGIVKQRFSDFVVREVSVGGRDIAHLTDLELPK GKSKNRSIHTMLADALEGYLGLSFAKSTATKDAHHASSAATKPSNAAPSGLETVVDAL SKRLVAMYLATRGDAKVTQAKKKVKTLLAKVEAMYDADEAAALGAFLNDIQNAEQDKT ELELVYHFQPSSSKDERTALHTLIRELGNEWVVGDTVAGPSGDQQIVRLRPLKVKGNK RKDVDQRGSKDLPWPHNRPNYLKFILFKKNKETVDAMQHMAKLLHMNPGTFSYAGTKD KRGLTSQWVTAYRVPRETVAKINANKNFKDFDAYPFLVGNFQYVSEPLVLGDLDGNQF SMVLRNIPSDVSDAQVDAAVSAWASSGFVNYFGLQRFGTKSIPSHVVGRALLRKDYKL ATDLILLPKVGDASKIKEARQHFQTYKDVSAALRMFPPYLIAEVAVLEGLQRHGLDEF HRAIQNIPPKLRMIYTHAYQSYIWNEAASVRLTTFSSTHPVVGDMVVRGSVVGSSGVQ VEDWEGADGDDASRDQPAKKRQRVMEHDIVFITEANIGEYSIEDVVLPVHGYNTLLPK NEIAAIYERCAREDGVDFASLKRNQSPEYNLPGSYRHIVCKPKAVAHTIKRYNDETIP LVESDVDRLMKRTAPPSIPDGRFRSICLDFILPSSSYATIAIRELLKQSSSIHVQLGL NEQGAGQAMDTTSKTNTAKSIPIGRPGFSLSSK H257_00413 MLARLRSTPNRLNRCGAVPAFFSSSETTDSMADRSYQHRSGGRH GGGGRGGGFRGGRDGGGRGRHNRDGGGGGRGGGRGGGRGGDSWFKQEGYNDGVASSVD EADVGIKVFRNDIPGFNGIVKQRFSDFVVREVSVGGRDIAHLTDLELPKGKSKNRSIH TMLADALEGYLGLSFAKSTATKDAHHASSAATKPSNAAPSGLETVVDALSKRLVAMYL ATRGDAKVTQAKKKVKTLLAKVEAMYDADEAAALGAFLNDIQNAEQDKTELELVYHFQ PSSSKDERTALHTLIRELGNEWVVGDTVAGPSGDQQIVRLRPLKVKGNKRKDVDQRGS KDLPWPHNRPNYLKFILFKKNKETVDAMQHMAKLLHMNPGTFSYAGTKDKRGLTSQWV TAYRVPRETVAKINANKNFKDFDAYPFLVGNFQYVSEPLVLGDLDGNQFSMVLRNIPS DVSDAQVDAAVSAWASSGFVNYFGLQRFGTKSIPSHVVGRALLRKDYKLATDLILLPK VGDASKIKEARQHFQTYKDVSAALRMFPPYLIAEVAVLEGLQRHGLDEFHRAIQNIPP KLRMIYTHAYQSYIWNEAASVRLTTFSSTHPVVGDMVVRGSVVGSSGVQVEDWEGADG DDASRDQPAKKRQRVMEHDIVFITEANIGEYSIEDVVLPVHGYNTLLPKNEIAAIYER CAREDGVDFASLKRNQSPEYNLPGSYRHIVCKPKAVAHTIKRYNDETIPLVESDVDRL MKRTAPPSIPDGRFRSICLDFILPSSSYATIAIRELLKQSSSIHVQLGLNEQGAGQAM DTTSKTNTAKSIPIGRPGFSLSSK H257_00414 MPWVFFVAATAAAALAHATIIPKTYSNPWISIIIDGEQKRVLLS PMTQGIVLFQDIAAAEQKHRSSQVSVAFNGHSVQTMIKIVRRPPHLHVPWTVDGVIGV GIKSTRTELLWPYVNGSDPNSMQYTYSGMDYATGAASLQFGPSSDTILWSEPMNTVDD FYYQRFTSFPMYQVSVCGKSVTEATSSYWDAVVDFRSPCLTLPKEFYATLLAWAPLSY NATVNLTVVRPGVAAADLPTLRFQLSHFSPLLSLPLASFVLPGNATLLPTYLCIQPGH SVKHVVGNHWAYPDIDDQGDVFTNPLDDNMRVPNMYRSPIVLGTMALQSLGLVVHAKH TRVGFHRPSPPPSNVSVEDTCNRPAVCVGHQTFRSNANKCSTPNCDRRFYHILDHPTQ TCIVNPWWQVVGVALVGLCSLYEVYFDVITQRFSRDVVATNERS H257_00414 MPWVFFVAATAAAALAHATIIPKTYSNPWISIIIDGEQKRVLLS PMTQGIVLFQDIAAAEQKHRSSQVSVAFNGHSVQTMIKIVRRPPHLHVPWTVDGVIGV GIKSTRTELLWPYVNGSDPNSMQYTYSGMDYATGAASLQFGPSSDTILWSEPMNTVDD FYYQRFTSFPMYQVSVCGKSVTEATSSYWDAVVDFRSPCLTLPKEFYATLLAWAPLSY NATVNLTVVRPGVAAADLPTLRFQLSHFSPLLSLPLASFVLPGNATLLPTYLCIQPGH SVKHVVGNHWAYPDIDDQGDVFTNPLDDNMRVPNMYRSPIVLGTMALQSLGLVVHAKH TRVGFHRPSPPPSNVSGDFSVITTPYLKDIDFSHKCKS H257_00415 MPRKGGKRKKTRTHVATGAATNADDKTPKSFVFKMGKAPACVLS LVQDMRQVMLPFTADRLREKKKNTIQDFVHVAAPLGVSHFLAFTNTDAGTNMKLVRLP RGPTLSFKVESYSLMKHIQRIQKRPVDASLAFKTSPLVVLNNFTGPEDHIKLLNATFQ NMFPAIDVQTIQLTECRRVVLFHYDKDADVVEFRQFVIRAMPLGLSKSVKTLVKSRVP NLGNMEDIADFVFGGTNTGMTSDSEVDDEAAHVVLPDKFRGRGNQKSERSAVRLAEVG PRMTLKLTKVERDMCDGDVLYHAYVQKTPEEAAKLKAKKDMANALKRKRREEQEENVS KKEHAKEEKKLAKATKKNAVENKRRQLMQYANTEEVSDLEDAEYYRQEVGEEPDAYMF SDRKLKEPKKPFVKKKFGTTTSRRDNNDKGKGKFANKKEGAKSTFNKFKGQSGGGAKK GGGAKKSGGRRKFDRQ H257_00416 MADTVDDHRLSMTQWRESQRLSTSSSSSCLVKPRPTEVKVAIVP APQDKVETKREYKGRIRTWPGLVLLAIFVTGAIVLIATSAQRAHQASKDRARVANELL FRNRSGTLLPSDILDSVIATPDDDGQVGNPKTYPASQCAQLDYQSKNGKIVAVMTNGT EVALSIKGVNWFGMETGLAVPFGLWENQKNGTTAFVLAAFLAANKFNAVRLPVCILSI LRNTKPEKSLVNVESNRALDLTNYMTTLQSLIKVLAYRRIGVLISLHTLTPMQSGGNW YSDALGVSKLDFLKAVDILTTNLCSNEYWNVMGLDAKNEPFEATWAEFADGAATIGNR MLRTPHGIPIHLLVLTVYVLEGCPQWSIYVEGVNKGTKSVTIDGTAFSYFDWWGGGLQ GAGATPVTVTTKHKVVYAPHYYNTGVFPQPYLYGPGGVGDELDDKTLKRRVEGTSFDM FGYLNLKQADAVVLGEFAGLYATDGHPLKTTRRVTDALIEIMLQQKCAGGFMWSLNPE SAYQYNPAPGHYTEGLLLDDWLTPNAVFVKGMAAMDAFPDLRMLPCDPTPPRRPL H257_00416 MTQWRESQRLSTSSSSSCLVKPRPTEVKVAIVPAPQDKVETKRE YKGRIRTWPGLVLLAIFVTGAIVLIATSAQRAHQASKDRARVANELLFRNRSGTLLPS DILDSVIATPDDDGQVGNPKTYPASQCAQLDYQSKNGKIVAVMTNGTEVALSIKGVNW FGMETGLAVPFGLWENQKNGTTAFVLAAFLAANKFNAVRLPVCILSILRNTKPEKSLV NVESNRALDLTNYMTTLQSLIKVLAYRRIGVLISLHTLTPMQSGGNWYSDALGVSKLD FLKAVDILTTNLCSNEYWNVMGLDAKNEPFEATWAEFADGAATIGNRMLQGCPQWSIY VEGVNKGTKSVTIDGTAFSYFDWWGGGLQGAGATPVTVTTKHKVVYAPHYYNTGVFPQ PYLYGPGGVGDELDDKTLKRRVEGTSFDMFGYLNLKQADAVVLGEFAGLYATDGHPLK TTRRVTDALIEIMLQQKCAGGFMWSLNPESAYQYNPAPGHYTEGLLLDDWLTPNAVFV KGMAAMDAFPDLRMLPCDPTPPRRPL H257_00417 MRQRLWLRMELFEHFAMQFQSRCVINLTPQNIINGVAALRQLGN THASVERRPEESTASSTPTQPISYAMWKNVAETTFQWKDNECRSFWLVVVCLHHQIPI LPSDDAQNKRDPSFLADEDYFLLEHVPLYKLATFLFLHMDKKSSKHRAKPSFDAVWRR EDQPMTSPGSSGASSPVHLAAPISPSSPHSIGLKDRLESETHALSFVKANLDLLVSLV LDTSLTADDAIITAPQFDLLGVLFCGGASHVQQYPRLSAAYPKWQQPHQLASKVLKWL RTRLALNDVLYPRVGTCTPNNSIIATLHLNMPVSQDLEVEQPPSDNGSTRALIASLPR PVVISLVSKATVIKRADEFMRHCDVTIFGCHDAYIYVLGPLRHVSVVASCNCKVVLGP ASGICTVDRCDNTTVSATCALLRVHNCLDSTFNVFTPRRSIFDGDNRGCYIGPFNAQY PHLRLHLVQSRLAYVPHSTGQWNKFVNLDTDEPSDASADAAVVLQTPLQFTEVCVPVK MDAVSPRGRLPVALPIEFEHAVRRAQDNVDGLRRLIDEFDTPVKKLLEQAIQAKFKEW LVVSGNMRQVLDLVQLEKARQHAV H257_00417 MRQRLWLRMELFEHFAMQFQSRCVINLTPQNIINGVAALRQLGN THASVERRPEESTASSTPTQPISYAMWKNVAETTFQWKDNECRSFWLVVVCLHHQIPI LPSDDAQNKRDPSFLADEDYFLLEHVPLYKLATFLFLHMDKKSSKHRAKPSFDAVWRR EDQPMTSPGSSGASSPVHLAAPISPSSPHSIGLKDRLESETHALSFVKANLDLLVSLV LDTSLTADDAIITAPQFDLLGVLFCGGASHVQQYPRLSAAYPKWQQPHQLASKVLKWL RTRLALNDVLYPRVGTCTPNNSIIATLHLNMPVSQDLEVEQPPSDNGSTRALIASLPR PVVISLVSKATVIKRADEFMRHCDVTIFGCHDAYIYVLGPLRHVSVVASCNCKVVLGP ASGICTVDRCDNTTVSATCALLRVHNCLDSTFNVFTPRRSIFDGDNRGCYIGPFNAQY PHLRLHLVQSRLAYVPHSTGQWNKFVNLDTDEPSDASADAAVVLQTPLQFTEVCVPVK MDAVSPRVKTAWIGWWMPGRC H257_00417 MVWLPFGSWEIRMRRWRGGLKNQLPVVPPPNPSRTQCGRMWRKP RFNGRTTNAARTIITPMTKFLQASHRFWLVVVCLHHQIPILPSDDAQNKRDPSFLADE DYFLLEHVPLYKLATFLFLHMDKKSSKHRAKPSFDAVWRREDQPMTSPGSSGASSPVH LAAPISPSSPHSIGLKDRLESETHALSFVKANLDLLVSLVLDTSLTADDAIITAPQFD LLGVLFCGGASHVQQYPRLSAAYPKWQQPHQLASKVLKWLRTRLALNDVLYPRVGTCT PNNSIIATLHLNMPVSQDLEVEQPPSDNGSTRALIASLPRPVVISLVSKATVIKRADE FMRHCDVTIFGCHDAYIYVLGPLRHVSVVASCNCKVVLGPASGICTVDRCDNTTVSAT CALLRVHNCLDSTFNVFTPRRSIFDGDNRGCYIGPFNAQYPHLRLHLVQSRLAYVPHS TGQWNKFVNLDTDEPSDASADAAVVLQTPLQFTEVCVPVKMDAVSPRVKTAWIGWWMP GRC H257_00417 MWHRFWLVVVCLHHQIPILPSDDAQNKRDPSFLADEDYFLLEHV PLYKLATFLFLHMDKKSSKHRAKPSFDAVWRREDQPMTSPGSSGASSPVHLAAPISPS SPHSIGLKDRLESETHALSFVKANLDLLVSLVLDTSLTADDAIITAPQFDLLGVLFCG GASHVQQYPRLSAAYPKWQQPHQLASKVLKWLRTRLALNDVLYPRVGTCTPNNSIIAT LHLNMPVSQDLEVEQPPSDNGSTRALIASLPRPVVISLVSKATVIKRADEFMRHCDVT IFGCHDAYIYVLGPLRHVSVVASCNCKVVLGPASGICTVDRCDNTTVSATCALLRVHN CLDSTFNVFTPRRSIFDGDNRGCYIGPFNAQYPHLRLHLVQSRLAYVPHSTGQWNKFV NLDTDEPSDASADAAVVLQTPLQFTEVCVPVKMDAVSPRVKTAWIGWWMPGRC H257_00417 MWHRYAMWKNVAETTFQWKDNECRSFWLVVVCLHHQIPILPSDD AQNKRDPSFLADEDYFLLEHVPLYKLATFLFLHMDKKSSKHRAKPSFDAVWRREDQPM TSPGSSGASSPVHLAAPISPSSPHSIGLKDRLESETHALSFVKANLDLLVSLVLDTSL TADDAIITAPQFDLLGVLFCGGASHVQQYPRLSAAYPKWQQPHQLASKVLKWLRTRLA LNDVLYPRVGTCTPNNSIIATLHLNMPVSQDLEVEQPPSDNGSTRALIASLPRPVVIS LVSKATVIKRADEFMRHCDVTIFGCHDAYIYVLGPLRHVSVVASCNCKVVLGPASGIC TVDRCDNTTVSATCALLRVHNCLDSTFNVFTPRRSIFDGDNRGCYIGPFNAQYPHLRL HLVQSRLAYVPHSTGQWNKFVNLDTDEPSDASADAAVVLQTPLQFTEVCVPVKMDAVS PRVKTAWIGWWMPGRC H257_00417 MWRKPRFNGRTTNAARTIITPMTKFLQASHRFWLVVVCLHHQIP ILPSDDAQNKRDPSFLADEDYFLLEHVPLYKLATFLFLHMDKKSSKHRAKPSFDAVWR REDQPMTSPGSSGASSPVHLAAPISPSSPHSIGLKDRLESETHALSFVKANLDLLVSL VLDTSLTADDAIITAPQFDLLGVLFCGGASHVQQYPRLSAAYPKWQQPHQLASKVLKW LRTRLALNDVLYPRVGTCTPNNSIIATLHLNMPVSQDLEVEQPPSDNGSTRALIASLP RPVVISLVSKATVIKRADEFMRHCDVTIFGCHDAYIYVLGPLRHVSVVASCNCKVVLG PASGICTVDRCDNTTVSATCALLRVHNCLDSTFNVFTPRRSIFDGDNRGCYIGPFNAQ YPHLRLHLVQSRLAYVPHSTGQWNKFVNLDTDEPSDASADAAVVLQTPLQFTEVCVPV KMDAVSPRVKTAWIGWWMPGRC H257_00418 MSVKMEENSLSRQLSQCNEEAWTTLARISEMMGDDENMLVSYEK VLSHNRVNPVALYGIGCCYEKAESYNKAAECFRGLVSLGGEQQNNTDAWGHLGYCYMM LNDLSNAHTAYQYAMYQNPQSQRDPTLWFGIGQLYERSGSLDHAEESFQAVLSFDPPF NMALEARIRLGIIAKQRGELDVAIDRLKSVLSIAQTNDMLSDVWTQIGHVYEMKAEVA LAQNSYMKVVDLNPNNAKPLQQLGWLCLKHNQHVEAIKFLKKAVSIDMQDGKGWYLLG RCYMAVREFEEAYDAYKHAVNSDPSNPNVWCSLGVLFYQLNQHLDALDAYSRAININP NICEVWYNVGTLYDACNQTNDAQDAYQKAAELGADAQFIRERLDLLRAREANQPTTGM PGANASPGPSEPPTTSPMPSFTSKSAPPPVNAPPPPSQGGSGNLQQPPGPSMGGPPMS LPHSMGQGGPSQQGGNPGSISSSGPYNPNGQPPPPGMMGGMPPGMSLGQGPPGSMRPM GHRGGPMGSLSGPISGGSGNIGMGVPRGMMEPQGRR H257_00419 MSAIRSILSRVKPTHYALCTGAVLTAALITESEHASEIAMVKSS LLASHDASPKTSFDDDTETDALRLMQTQPSFPHLLVLPPQDDPTCVMNRVLGDGTRID LDVHGTMLPIPPLHSLRHAWVGILRCLRSIQYTVESGFNDASYQSKIRAHQRTVVTTA LQQVREFDVADSISLYIAADRAALVRALDPTELSQLHLWFQGLCNNHLAHVVWTTSMA DVVGEFVVDQSQHDVCLLVRPQGGRFDNRDAAAKLSALMATYGLDGSDDDRQRILDTV GNSWVDLDALCAAIANGAYATDLVQQTCDGYQRDVAQSLRTFLHLDNNALDQADKATQ VDAVEKWQVFQRLCGLGSDLQMLAGPQALMKRDKRVAVNVGAVLELFSGAAGDRRFWE MIAAGWMQLQPQSDVDIGVVPCAPVELCRECHVALRPVVEAAFRCLWMDDVIWKQMHA LQHKIDVDSLRAQLTEYEADIAIAAADLNSKRRAVEQAWDAFTDAEKSQHQANLHLDE LNLHLQRDHVQRLRLVYQTQTEYKP H257_00419 MSAIRSILSRVKPTHYALCTGAVLTAALITESEHASEIAMVKSS LLASHDASPKTSFDDDTETDALRLMQTQPSFPHLLVLPPQDDPTCVMNRVLGDGTRID LDVHGTMLPIPPLHSLRHAWVGILRCLRSIQYTVESGFNDASYQSKIRAHQRTVVTTA LQQVREFDVADSISLYIAADRAALVRALDPTELSQLHLWFQGLCNNHLAHVVWTTSMA DVVGEFVVDQSQHDVCLLVRPQGGRFDNRDAAAKLSALMATYGLDGSDDDRQRILDTV GNSWVDLDALCAAIANGAYATDLVQQTCDGYQRDVAQSLRTFLHLDNNALDQADKATQ VDAVEKWQVFQRLCGLGSDLQMLAGPQALMKRDKRVAVNVGAVLELFSGAAGDRRFWE MIAAGWMQLQPQSDVDIGVVPCAPVELCRECHVALRPVVEAAFRCLWMDDVIWKQMHA LQHKIDVDSVGIYTVCSG H257_00420 MRGGGRGRGRGRGRGGRGGGGRGRRSTSQCDSTPGCPHDTESSS ESSDDCSSSSSEPSPRQRPPVGTSRSGRPLRTVSNPGFSHDASEERMLRLAMERSAKE VGYEEVAPVAPARVFYPTMEEFANPVAYINHVAKEGARYGIAKIVPPKGWAPPHMINY DNDTHMFETKLQKVHRLQEGKSYKDGKDHTLQSYRKAARAFKAAWFARKDIDPATWTD RDFEKEYWKIVETSYESLEVEYANDLDVSVVGSGFPRVARDVRHDARHEHVDFASRAY YDHTAWNLNNLPSAHGSLLRHIDAQINGVNVPWVYFGMLFASFCWHFEDNYLYSINYM HTGAKKHWYGIPATSCDTFERVWKSLVPHRFDKKPDLFFHLVTMVSPTVIRQHNIDVY SLVQEPGDIVLTFPQGYHCGFSQGFNCNEAVNFCLPDWLPFGRLCSERYRDFGRLSVF SHDRFMYVLAMRGLFPDDHKPGESTDEGALVHASRMLLDEMNRIVDEEIRLRDQLVQS GIVMVVAMAKRDDALTDDEMGYDDRRQCVACKHSLFFSGIACSCSHTKVACLRHAHKL CKCDPSKKVFLQWFTIPEMFVAMATLDDRLVALEKRAREPQVHSPRAAKRMKGEHDNE PVSCRTMLERNQASMAGNFSLSRILG H257_00421 MSSTNTAAHQAVLALLRRGFGDNDTVLLLGGMTPDNQNRLVEGI GSTIDLSVAEATAAQKALEEQKTKPPPCRPMVAPSKILCESLTMRSRASRALRSRKLH RLLALKSIKLDVAKFGGAESDKLLRWLVQVSTAADAQRISDDATRVAFAMSHLKGRAE DWAFSKRLTDRHCFPSFAQGKRSLQEFIHDLRILAANINEEESLPEPLRVTVFMDGLN QGPARTQLFRAYPDTFEEAVRIALSESFSTFAHARAASSDMDVSMLAQTSDDRTCFNC GHPGHFSRAFPAPRRVASAAPPSHGSSRTAPDTPSRRPPSGPPNHFNRESHGPLEVCG VLTHSKDLASDTDLLLCRVGLQPNKMICLELVVPGWEARHSVLIDCGASNNYASRSTL SRFNRLSSSDPSDRVRVKMADGHTASQPRIIVDRWDLIIGMSWLESHKPWIDWMAKSV HKVTWLNASQSWIHRRAADRLPPSTTNPLGPPLEAMSNDLASPSPTVRSLAPAPATPS DPTTVLHNTPFVPPTEPPSVVIVKATLPPPIVASTLATTFIEETCNLHELPRTFSEIL ALPEMSFHSLVESLRAHDIAALAMITVEEETDLFSTSTADDSVLAAPLKSQTWDFLRS NPYFYLLQEFEDVFPDEVPCRLPVDKGVQHEIDLVPGGKYCVTRQWPLPRDQVDAITI LRGPQGGGSRPREYFPSQQPNLLCEEARWEMAYCTRL H257_00422 MPHHPLPHDADSRCLFTCCSGRTKKCKQPSCENWRDKKTIELSY SWPNDLWDIDAAYGDVKADNPATFELKPMIAAARASSEQLLGSDCIPPRSKMAIHLPY AVDGETLTVTKLLTQAAMIVEAKVVNNDIPVKTVLWSDIR H257_00423 MATVISTNTVMIIITWTTMATETTLATETTLATTSSTIIAVVTE AVVLVKDCAAKARTHAVAGTVRLEDKDTLRVAVHAVLAQEHTIASASRAHDIPLRTLR EHVANARNGNSQPVSMGPPPVLPRPFELDLVA H257_00424 MPSSPKTNAYVVVKVYGRLFCHWVPLGFAYVAFSLGCNVGYMAL LTEYTTNDYWWRQFNTSGGQTFVADIFNAKINLGQSGPFDLYQSPILKNYGDTTTFID MPPTAARRHLMSTVPLEKAVMTIRQNSLYENVYSIVAHCWVDFDRRFEMAHTSARQLR CAARQLTNAGVYMETMLRNVDSDDLTLSAG H257_00425 MSGLHDKNRAAYPFDADCSSRLAALTDPVSPKPRRASSCLHRFA LADGLLMFQPTADSPPHIVMPGDAQLQTLGKTIAKALLTTGHTKLEKWGPAVETS H257_00426 MSTNSDFTIEGARRSRILDSTRLGYLPGIKQDRFDKSLGRLLSS LQRLAAGSDKKANDIGTHSIRKGSATFVSSDSTGGPSIVSVCLRCGVVGPWAMLWSVI FGTKPLAINLLVVLFAGSQ H257_00427 METAVIRTGRELSETEKIAVVRYLQDNLVNGKLARGAIARMSSA LPRPCSATGQPGDLEDFEFTHMWDVVHLDEKWFNSDKDRRKVYLTKRETPRRRSCKSK LFLPKVMFLAAVARPRWDIDRDSDFDGNIGMWPFVEQLPAAPNSRNRHAGTMVTQLVA VTSETYQDFVMNKHDNATPHRILNASLLRLASTDGWT H257_00428 MAYSGAIWSAVADAFVVNARVPCSRAVRSTLLANWWSCRFWLNQ LLKCTCVFVSNDAHQKSLDMADCVSWKNAYEPKIDVTMYSNPTKPSHACFRPNIGGGV GPDTSCWNVASRKDMRRVLLLLWRITVAQILKSNRVCFHGNMPDITHVTASKSLDDAD GPDECTKNASAAAGMSTLFGAAVRGGCRLGYLRAYVTMATSATTSDANWSPRRWATRS PLPSRTSPPVQATPRRKDVNVQSWATLACT H257_00429 MKMMSVSGWDKGRSGGRIGNESKDSALNRARRSGNVVTELKHGG VANKSAHTATGNMRKLDEDSENFKHQTVDHSLSQALQKARMDKGLTQKALATAINEKP QVIGEYESGRAISNGQIIAKIERALGCRLPRAPKKRLTAAEP H257_00430 MSFSQGQDWSVTGWDKGRSGGRIGNESKDAALNRARRSGNVVTE LKHGGVANKSAHTATGNMRKLDEDSENFKHQTVDRSLSQALQKARMDKGLTQKALATA INEKPQVIGEYESGRAIPNGQIIVKIERALGCRLPRAPKKRPTTSE H257_00431 MHVGRVIVGAFLWISSTVLAECPSCAYQSVSCGNSASIVPVCDA QGLIQSDDLFCDDLDCSCADGFVCASLRLGCPGVVQGGGRARCLSLAGMQTRYDAYRD AVLPPGPATYPHLNLSWVRFHNQDDCTSSDDCLAMQQFHACGAVLCGSAVVMWKDMNE WDEHAYRVVVEGTLTDRSAGVFDMVARWDPALRQLSCDSVTTTPLAKRYTRQTRTNPD DCVGQYVDFSPPVTFAEAALDSARRTTWITWIAIVSAMATAIAAITAVIYMTRSRQSC TTHKTPNQPISAIVTAKPSALC H257_00432 MHASSAKQGGRNSHSKNGFDVIQDHVRQSNNRLLRARMNAKKAT HDASTDKSDETQCNDNAAIAALGCYLDKKAKDVYDAAPEYPPIRSPHPRNTSHAVFGL AVKLTNITKFRAHKRRSGLKIKRTGTQQSDKLAKKDGKSYRDIQTAAMMHRQKHGNPL DMSEKVLTAFRAVAHLWLQRKQRRDQALKDNVTSRLVMRHSKMSAMSDNVFDIVSKNF VTAVPALQFFDDSDAVEVPKPVVSRLPSAPVHRPHTSRPAQPSRPPSPQATPPPCPAT SRATPSTPPATTISTPRGICYTINDDGQRLCKTEHNMSDLELDRTARGRFNDKVTSVE DAMLLNMNKRLKIRMGLKKSRLQDISTAFNLHQKQLTETLVATLAMHWAEQEDMHVKK LSVVCLNDTNMPSFRIDKEVHLSHLRHQKWRLYEMCKLVSLFGAMLKAAEDTPGDMTR SAMRVLSLFRILIEDHSTLTPIVLKSIVAQLTPADLQCPRVEVLLNVVHKRLTTWRRD DEPAIIRSPLVKAQTY H257_00433 MEKRRHGDANEDSDSDITVMSSPMSPNEWESPCLMEPRLSTHPQ KVHPIGEKHDDDSDDEATLILSQTSPTPSWCEESPERKAIGQGEAWTTDVHAGINHTL DYSSPHESVLTTDGDQRSHDRLSLKVTRTPRGTPAHTEGGGNVIYLVKDNGRPADKSF TSRWTSSKRLRIFHPPSPEDNINVPLELSPASQLCVLSQIGNLGASSTPWKQVSRPLP EKVPTEHVQPKEPRRSQNAYGKKVTAQAADWDTCSENAFDGDTSDGDMWAFEDLSDSD FD H257_00433 MEKRRHGDANEDSDSDITVMSSPMSPNEWESPCLMEPRLSTHPQ KVHPIGEKHDDDSDDEATLILSQTSPTPSWCEESPERKGQGEAWTTDVHAGINHTLDY SSPHESVLTTDGDQRSHDRLSLKVTRTPRGTPAHTEGGGNVIYLVKDNGRPADKSFTS RWTSSKRLRIFHPPSPEDNINVPLELSPASQLCVLSQIGNLGASSTPWKQVSRPLPEK VPTEHVQPKEPRRSQNAYGKKVTAQAADWDTCSENAFDGDTSDGDMWAFEDLSDSDFD H257_00433 MEKRRHGDANEDSDSDITVMSSPMSPNEWESPCLMEPRLSTHPQ KVHPIGEKHDDDSDDEATLILSQTSPTPSWCEESPERKGQGEAWTTDVHAGINHTLDY SSPHESVLTTDGDQRSHDRLSLKVTRTPRGTPAHTEGGGNVIYLVKDNGRPADKSFTS RWTSSKRLRIFHPPSPEDNINVPLELSPASQLCVLSQIGNLGASSTPWKQVSRPLPEK VPTEHVQPKEPRRSQNAYGKKVTAQAADWDTCSGNDFEQSIGISHSYRHSSS H257_00434 MTKTERISFSTTSHQQEVSVYGTASPVDNEDLPRVVLRSRNILS KLAVVGGSLLIMGCLAVVGHSLPRSSTPSVKAASVKPPASKGDPFAAFRKDSRAFLDE SVDPCHNFYQYACGGWLETATIPDDATSVDTSFSVVAAANTKIIDDIMTRRPPLIDPL YQSCLGGKDVDPRAIEAISLKLEHLASLTSVADVVAYAGHLYAVTGAVSLFSLDVGAD AKNASSNVLTVGQGGLTFPAKEYYTDSHKRSKYFSLFVTYAQALGHVKAFPNRNVSQF AHRILNLEASFANVSESSAALRDPWALYNPVAVADLPSKFPYVTKYLQGAGIYQRLVD TNATVVVEVPSFLTSQAALLESLTDLQILKSYVGFHLLDAQSQILGESFRQASHNFHG TLRGLVRKQVRKDFCFTLTQSLLGDVVGQYYMDQVWDTQTKEAAKRLVQEIESSMDDI LQREAWLDEATRAQAMTKLHQVFNLVGGPDDGAVPSLPFNISATDFWSNVMHFKGVAF QATLDGIGAPVDRNGWGMTASTVNAYYEPSENKMVFPAAIMQQPFYSARKLPDVANYA RIGMVMGHELTHGFDDEGRNFDSQGNMHVWWSANVSHTYDVKAKCLADQYSTFDVLTL DQTKLIGYVDGQLTLGENIADNGGLKLAYLAYLNSKHGAKEVETPAEKQADAQAYFVA FAQGWCGKHTDSYAELLLASDPHSPNKWRVNGPIMNSQTFADAFQCPVGAPMNPAKKC IVW H257_00435 MLCRVVWHQLPKQTGRCAAASVVALPRLAKLPLVNPTAFSQQLR TMKVYTKTGDKGTSQLFSGERRSKDDSVFMALGDTDELNAQIGVALTHFQTLGVETTL RPGLEFQAHLEEIQSRLFDVGASVATPLSSAGQSKIRRAEFEPSNVTVLETWIDEMDE HLEPLRNFILPSGGGMTSCHLHVARAVCRRAERRVVSIGDEDVDPVVIQYLNRLSDFL FVAARFVAFLEGKTETIWKKQDKQA H257_00436 MLRKMTADVVVVGAGVAGCSAYYGLAKRNGSPGDGTASSFKPLL VDATGPMSLTSARGTYQYRNWWPDEGDEAMMRLVSRSIDIMDDVASDIDLNRNGYLFV TRDLACVNALKAQGQRNAQLGGGAFREHHELSGYNPSTSVADRLDGSDLIWGQDNIAS LFPSLKHSNALAALHVRRAGSLNPHKLAQHLLSQANAFCPQAQTLRGKMIDIHACGGR ISGITVGLPNGDKEIVDTAALVLAPGPMLQQTLHLLRAKDLVDTPALTVHHELHARVI FDDPKQIATATSPLTFHADPVGRLQFSEAEVHANDPLCTRSFPGGIHVRPFTDGKAMA VWTYDVNLVEPVFPLTRVLDPRYGEICLRGLSRLFPQMASYAADPHIMATLNVDGGYY CKTPDNIPLIGRTKDGVDGLFFQAAMTGVGLMSSAAAGEVLAATVMGDIAPGKGVDDS TLPYASYAAALSPSRFDDVTYVSSLSSGRQTSGQM H257_00437 MDINTASLDVLQTIPGVGPTLSKRIVASRPFHEHVELLAVPGIG PKRFAILGQHLLPLPAKATGSNTQDRHTSLSPKEAVIPASRSSGTRPSKSYDLHNTTF PLALNHASIEMLQLIRGIGPVLGSRIIHSRPFATVDELQSVRGISTTLLQFFRQHLTV LQSNPGSGIVMLTAPSNATCCLASASFCERVPRCPSTPRLLLATWNIRHLSKHRPTSS LHRIVHVISRFDVVALQEVRDVMVVKRLCALLPGYTYVLSPPLGTTTTEHFCYFYRKS LGFQATVVDSDHKSMARMPFVVQFRGNGPSFVLVNVHVVFGRRHRRLREIQTLHRLLT SLRDCSYDCHVILLGDFNLPPFDIGPLLGGWYPLFRPPDTTTIFNNLYDNIWLPDSIS KVARGVVRVDHEFYPDTKHYPSYPITAPCFLVLV H257_00438 MATGTVIDIGVNLLNRQFQKDLPRVLKRSADENVHTIIATGTDL KLSERSIATIRSRQNIPLPRLFCTVGIHPHSAKDASPDFAVKQAALIQANRDVVVAVG ECGLDFNRDFSPRDVQIAVFRQQIQLACDLGLPLFCHERDAHAEFLAVLVPFLETGLL HASHVVVHCFTGNAVQLQRYVRLGFSIGLTGFVCMSRRGYDLRQAVKLIPLGQLMVET DAPFMHPSQAKQRCEPHHVHAVVQTIADSMGLPAADIAAATTANATRFFHLDSTILNH PPPPFLAPPQSSQPPPAPLAPSLKGDVISVDGSTLEGGGQILRLAFPLAALLRKNIDI HSIRAGRPKPGLANQHLCGLTLLKSMGQTWTLHGLHLRSTRAQLVHDESSTSGPVVLN GSAFHAAMDTAGAVTLVLQGVLPLLVLSSQRNAVELTLVGGTHSSFAPTVDWMQLGLA PVLDRMGVHVGITMTRRGFVPCGGGNVTVTCRSVTLPLRPLVVDTPSRVVHHVSCRVT CAAETDGHDAVLALRKAFRFAFGVGSHVEWTDEVVVDASLRTKKGTTLFVHVTMSLEH GNLLTAGGCPAKSVEAAVADVVAELGRVWDGEACVDEHLADNVLVYMAMAAGTSRLRI PRQAASQHVEAAIYVLELITGARFQVDDAPKSRLITCHGVGYNTHPLA H257_00439 MADSGHHDQSVPWTEHTAPDGRKYYYNAQTGESTWDRPAELNTG GVQHTAVPTAAAAVVNQQVRQQQQSLGQVANPQAQQGVPVAAGIGAAGQQPQQQQPYG GQPQQFIQGGAAYGAQGYPQPYGAPMGYPPYAQGGYMYPYPQPYGYAPQVAGPGPPIQ TTESGQGPPGCNLFVFHIPNDMTNQDLFNYFQTFGNVISARIMVEKESGRSRGFGFVS YDNAPSADAAIKGMNGFQVGRKRLKVQHKKEKGSGGGLYDDDLDEQASVAPQ H257_00440 MRRRFSKAVAQVFVHPSAKVDASATLSPFAVVEANAIIHANCIV GPGTVVGERVVLGENSNIGSHVTLTNCIVGKRTVIHAGARIGQDGFGFLLNDTGEHAK KPQTLLVEIHDDVEIGANTTIDRGSWRNTIIGVGTKMDNLIQIGHNVHIGQGCVLAAQ TGIAGSTTLGNRVYVGGQVGITQHLTIGDNVRIAAKSGVMHNLPSNATYGGVPAMPIM QYRRLLALHRESSAKKSPPPST H257_00440 MRRRFSKAVAQVFVHPSAKVDASATLSPFAVVEANAIIHANCIV GPGTVVGERVVLGENSNIGSHVTLTNCIVGKRTVIHAGARIGQDGFGFLLNDTGEHAK KPQTLLVEIHDDVEIGSHSILLQGFAHAKRRANTTIDRGSWRNTIIGVGTKMDNLIQI GHNVHIGQGCVLAAQTGIAGSTTLGNRVYVGGQVGITQHLTIGDNVRIAAKSGVMHNL PSNATYGGVPAMPIMQYRRLLALHRESSAKKSPPPST H257_00440 MRRRFSKAVAQVFVHPSAKVDASATLSPFAVVEANAIIHANCIV GPGTVVGERVVLGENSNIGSHVTLTNCIVGKRTVIHAGARIGQDGFGFLLNDTGEHAK KPQTLLVEIHDDVEIGSHSILLQGFAHAKRRANTTIDRGSWRNTIIGVGTKMDNLIQI GHNVHIGQGCVLAAQTGIAGIP H257_00441 MIRRRTMQSEQTTHKVLVTRHNPYLLEKLAQHPWIEVDCFEQST TAIPPGDLEARAKGCAGIICMISDKIESNVLDSAGSSLKVVSTISVGFDHIDVRSCRD RGILVGHTPGCLYESTAEHAVALTFAAKRRLFESYAGARNGEWGVVQIFCGTDVSRNT IGIIGMGEIGRTYARFMHRGFNCRILYTGPREKPNDVDAEFVDLETLLRTSDVVSIHS PLNEATTCMLNASCFALMQPHAVLINTARGGIVDQEALAHALASGQIAAAALDVTVPE PLPLDHRLYDLPNCIVVPHIGSATVATRHRMVDRAVENLIAGFISAILPYPVPTR H257_00441 MIRRRTMQSEQTTHKVLVTRHNPYLLEKLAQHPWIEVDCFEQST TAIPPGDLEARAKGCAGIICMISDKIESNVLDSAGSSLKVVSTISVGFDHIDVRSCRD RGILVGHTPGCLYESTAEHAVALTFAAKRRLFESYAGARNGEWGVVQMYQFCGTDVSR NTIGIIGMGEIGRTYARFMHRGFNCRILYTGPREKPNDVDAEFVDLETLLRTSDVVSI HSPLNEATTCMLNASCFALMQPHAVLINTARGGIVDQEALAHALASGQIAAAALDVTV PEPLPLDHRLYDLPNCIVVPHIGSATVATRHRMVDRAVENLIAGFISAILPYPVPTR H257_00441 MIRRRTMQSEQTTHKVLVTRHNPYLLEKLAQHPWIEVDCFEQST TAIPPGDLEARAKGCAGIICMISDKIESNVLDSAGSSLKVVSTISVGFDHIDVRSCRD RGILVGHTPGCLYESTAEHAVALTFAAKRRLFESYAGARNGEWGVVQMYQFCGTDVSR NTIGIIGMGEIGRTYARFMHRGFNCRILYTGPREKPNDVDAEFVDLETLLRTSDVVSI HSPLNEATTCMLNASCFALMQPHAVLINTARGGIVDQEALAHALASGQIAAAALDVTV PEPLPLDHRYTVLNLAVRPAQLHCRAAHWVRHRGNETSHGRSSRGEPHRRVH H257_00442 MSSSSPATDVLASLTGFYNVSFPIVMTVVVVLGSLSGLYIWVAS RQVRRPKKHATTVDESAQPSTPLGRHMRRMHSFNEADLEIEVKKQSKKDADEQAEEGG DKWTETQGWSTHQLEMFKVLRQKFFSTSDSKFVGELIGNVQVLTFHQGDVIFHRREYD GSLLFVSSGSVSLTAFHEDRSFVHTIKTHEGVTSTSAILRGVMEDEVDAMEMQAVAAE TPTLVLRIGVDKLKSTVSKYPQIAIFLAHLSLSQLERITMRSLVDYFGYLDDLFIPKT YFDVRTDDAAAVRAISTALGLDFAESHARFAHATQIRSLADGCLVETPSDEGDGVADV YFVLEGAVSVDILLCHQSSSEDQFVTLYTVPPGCCLGMASAIVGNTGLLKARSVVHAK AVGRTRVVQLNGEVFRTLLQSHPAFFNRCVQTVVRQYGSQVALLDNFFEWVHVDSGNA VYHVGDASDSMYTLLTGRLREVHNQASSTGKVVKHTRELVKGATLGAMDLLASSKRAS TVYAIRDCQLSKMPRVVLEYMLRAQPQVLIHFTREMAIHNTDKSDSEFVSNLATRSPM ELPVTTIAVLGGTNGIDVHEFTTALHKALHTIATTEVVSSKKAALHFRGQCRSLGMSA WLAEMESSHQLVVLEADVGLTPWTKLCIRQADHILLVCKDTDHELDLNDLNALLHQAY TIKNVEVNIVRMKSTQANVATAMPPFHQLEYVNYFHNIRVPLQDNPNDLLRVARRLTG RSIGLVLGGGGARGLAHIGILKALEECGLDVDVVGGTSMGAFIAALYAQHPKDLARVV QHAKRFSNKAASKIAKLWELTLPIASWFDGSGFNAGLQLEFGATRIEDLVLNFFCIST DIVKKCTGVHRAGTLWRYVRASMSLQGYLPPISEPNGSLLLDGGYVNNLPADVMKEEG VKIVFAVDVGRDTTREYFHYGDSLSGWWVFWNKVNPFAPTVQVPSMGEITDALAYAAF YQNKDYVINHYVDLFFKPPVQDIGTLDFDKLELTVQIGYDYALPKIRDWMKKNPHLIT HLRKHPPQAPKPHRSTAMR H257_00443 MVAGDNADVLALRVRTLEKYKQNYELLCSQLGELNTQVGLQLQR HEMDVAALHKIIRTLEKDKTELHAKVAESEAKVASHDMVLKHDKEYTERIREQLATAA DLLKTSERNHADREREMAAKVQHMQHLINVEMNEKSVLKRALALAEEQLQLHCSTPPP PPPPMPAPPTFEVEYHSLTKEHKKLKRRLEDQQNAIHGLQVQLQAGIEERSRFERELS TAKQYHAAQIAPMQEELVHLRAVAGESKAHAVALEGQLDQIDLQKQKWQAAQDKHLRD VEATNATLMAQVEDQSKSMHALERKVREVKGRWKADRDKLKAQREALKQECAAALQKL HDEVHERHAQCTLAQQKNALLTQEIHTMQQVFAQIQCMEDHVRDSLVGRARQRVLSDR HILEAAIRDHVQNHQPAPPPSPTPASPTASVSTRLYKR H257_00444 MRRPGVSMKGKSTITVNVYDIVESNSFTYAWGLGAFHSGVEVGG VEYSFAGGAGIFTLAPKSAQGAVFRESIDMGSFEGTYHDAKRILDDMRSEFHGSQNCN TFSNEACLRLVGQPIPPFINRIAYFGSCFSCLIPKQLMGEAPVQETKFSRFSRASSSS YGATNVPVFAGQGLTLNSSASSSLLASTDESDETLRREKRVAAALKRLENKE H257_00444 MRRPGVSMKGKSTITVNVYDIVESNSFTYAWGLGAFHSGVEVGG VEYSFAGGAGIFTLAPKSAQGAVFRESIDMGSFEGTYHDAKRILDDMRSEFHGSQYNL LTKNCNTFSNEACLRLVGQPIPPFINRIAYFGSCFSCLIPKQLMGEAPVQETKFSRFS RASSSSYGATNVPVFAGQGLTLNSSASSSLLASTDESDETLRREKRVAAALKRLENKE H257_00445 MLPQVLLVLHGTSTARTSVGRRVELYHVTMKCNRVTKEAKIRYN TLRKYLDLLGALENHPDELVVPAKHPFRSSSNQAVVDSRIREFRACFRALSATVLTAS QIELVVAMMHEANAFMCRYKMPSQSSQLSSNDSGRIDHVAEHSDQGGTHTPNNNDNEP DETSAATSNVALSRPSQLRPYENAFAALFKARKSNNDQPLARNTNDVSVARTTTSPEL SEYLKRLADDMLMELHAATPYRSDTIRLPRPPPSSSHARQSDVDSRLEYTEEDAAYLE RIAMGMWANALDANEIVLDHDHDQHDGMDDDENTFQLDRDWERALEAEEAATNRGKTE SAEDEVIHNLAQAYFPSYYDNSDDDDDDAFDVTKGKLVTEEMAKPKARSSMSHVLQGY IDDMYYGDDAQVSQATPTSPRPSISLTA H257_00446 MQPSATGGNVADTRPPALEWRPYGVLLLSGNTKVEKAAKEKLIT VLSGSLTPRERGVFKVVKRVDLALDSKSDPDALAAAFYKILDQDRVEYTTPKPPPATA AVVPPLATAPSAAATPTTPPMNTAARPAAPSPNMSKRETTRKISALDASAESEPVEED LMAAAEVALETDLRTGSPSHIYVFVDYPATVAEVKSLVTFKVTSTPQDSALNRPPTIT SLIDGAVLMTVAAAKDDSRRQSLVSISAVEATQTAAVAAPAPKATPPPTKGKSGKQPP QQVTPPPQPAPIPEATAPAVVVAAPDNPQPPTANVVDIGEVNRFFKDVQTAAQLGGLE WTDLTFDVVDCGDGPTGGEAKPLVTLTKELKTLLANLAVDKLVFKTWLSSVQIIPVPT TEVLPEATQPDALQRAYADILDVLYEPSVGISAIVYAMTETVVKSFVKPVAPAAAPSK KKQSTSAVEIPTEKTPAPKAPIPPSPPAFPPFIEYGDIASVRLARALHQYSTKHHSMQ AGEPRTLLGRPLDDLEKSLWLLNDLPGVGHGGRKGMPGVPTKSFVERSIDDTELMQFH SLAMADVHYTRKMIEFEAMLGPKWQGKLRSRTFHEHLAPHVLPQRLSALLGLNIRLVK RYYVPDDTLLLAVHVETPQGRKSVASWSAADFVRHRPPFKEWRQENLLPQEYLTPRTI QAMGAVVSLSMGELRAVSESVQSLYPSDHSIIQVLRTPYALNWLSIYKDTHVFGLRPS KSLSNHIAPLPTVPVLIKQVTSSRRVSVTATKTSMPSTDFPMTFHASFSDDSHLRIGR GQAKTIVLTYTVPSGLVLTVSSDGTIRQQYANSTTHAATPNEHEAYRVVLGKGTVVST HKDGSQTIMYASGKAATRRCTSDRFVTVDEQGVVTGGGPSTVNNVRVHIDVDPETRAV MAHRDHDEVIVVTHPNGAYLTQHGDGTRMYGNATNTHVVVQKEGYAEVSVDVEVNLTA QRHALGIQVAVTKGGIRTRSVVRTDDGTVIEIDYDTRVIASVNGIIRLRKPDGTVLVA SDNGVVEFRPQSLSLGDAPPTPRRENEEELDTSTGAYYFNCATGSLQMNDPEHNAYHV VVGDGTVEPVVEVALAGVVTTQDCAKYDVPPLPVQAVVNDPLEPFLLLLHGDGTATEI LRPSDVADYFRQVQRNAHVQRVPTMPPTGTHIYLHHLDRFEHNERWFADHHERRQLFD QVTIPARASPTILKRLHNTAPLQVPRVHIVRHIKEVTPFTPAQFASMLHALEAWHDWC AKREIAQDQYAVLDPRDSETMVATMAVQKKIQAAYKAARAKKKAERQKSKERDKHDAD GDTNMSTLQEVENEVDEDDDEDEVYHALDDSDNDSRDELEFNVDDDYELTLNAFGQAD TENTGRLNAVQTRRALVHALGTGVTQAEVNAAIPVFTECEDHRVTFEAFSRMLHAFRE DQDMATLGDLPTPRGALLPWKMKSPRSKMPSPRLKFGGGHLAAP H257_00446 MQPSATGGNVADTRPPALEWRPYGVLLLSGNTKVEKAAKEKLIT VLSGSLTPRERGVFKVVKRVDLALDSKSDPDALAAAFYKILDQDRVEYTTPKPPPATA AVVPPLATAPSAAATPTTPPMNTAARPAAPSPNMSKRETTRKISALDASAESEPVEED LMAAAEVALETDLRTGSPSHIYVFVDYPATVAEVKSLVTFKVTSTPQDSALNRPPTIT SLIDGAVLMTVAAAKDDSRRQSLVSISAVEATQTAAVAAPAPKATPPPTKGKSGKQPP QQVTPPPQPAPIPEATAPAVVVAAPDNPQPPTANVVDIGEVNRFFKDVQTAAQLGGLE WTDLTFDVVDCGDGPTGGEAKPLVTLTKELKTLLANLAVDKLVFKTWLSSVQIIPVPT TEVLPEATQPDALQRAYADILDVLYEPSVGISAIVYAMTETVVKSFVKPVAPAAAPSK KKQSTSAVEIPTEKTPAPKAPIPPSPPAFPPFIEYGDIASVRLARALHQYSTKHHSMQ AGEPRTLLGRPLDDLEKSLWLLNDLPGVGHGGRKGMPGVPTKSFVERSIDDTELMQFH SLAMADVHYTRKMIEFEAMLGPKWQGKLRSRTFHEHLAPHVLPQRLSALLGLNIRLVK RYYVPDDTLLLAVHVETPQGRKSVASWSAADFVRHRPPFKEWRQENLLPQEYLTPRTI QAMGAVVSLSMGELRAVSESVQSLYPSDHSIIQVLRTPYALNWLSIYKDTHVFGLRPS KSLSNHIAPLPTVPVLIKQVTSSRRVSVTATKTSMPSTDFPMTFHASFSDDSHLRIGR GQAKTIVLTYTVPSGLVLTVSSDGTIRQQYANSTTHAATPNEHEAYRVVLGKGTVVST HKDGSQTIMYASGKAATRRCTSDRFVTVDEQGVVTGGGPSTVNNVRVHIDVDPETRAV MAHRDHDEVIVVTHPNGAYLTQHGDGTRMYGNATNTHVVVQKEGYAEVSVDVEVNLTA QRHALGIQVAVTKGGIRTRSVVRTDDGTVIEIDYDTRVIASVNGIIRLRKPDGTVLVA SDNGVVEFRPQSLSLGDAPPTPRRENEEELDTSTGAYYFNCATGSLQMNDPEHNAYHV VVGDGTVEPVVEVALAGVVTTQDCAKYDVPPLPVQAVVNDPLEPFLLLLHGDGTATEI LRPSDVADYFRQVQRNAHVQRVPTMPPTGTHIYLHHLDRFEHNERWFADHHERRQLFD QVTIPARASPTILKRLHNTAPLQVPRVHIVRHIKEVTPFTPAQFASMLHALEAWHDWC AKREIAQDQYAVLDPRDSETMVATMAVQKKIQAAYKAARAKKKAERQKSKERDKHDAD GDTNMSTLQEVENEVDEGTSSVSPLYLCVLATSVGYRRRRGRSIPCAGRQRQRQSRRT GV H257_00447 MMVKVPLVMLSGLAAFTEAWPRAINLYSSQDQLDTRTFDPDDVP PHVPQFDEIVKAAYAVLTANFDDQMNATAPGPQEDIGFQHINSRDALLSAQALAHRDF SQATAQFKSLLRHQWSNGFMPDLIYGPSVGASLKWLPTNKTFYPGPAFWGSSTAPSDA RTNSSTSGILAPPLHAETAMRIFYLAPLDTSMSTPVYTHEAMGFLCDVFPSLYKFHAY LLASRQRTNTSLLSLRHPWESMAAINPGWKSALASVKAAADFAQVISRLQVPTVTAQA FVAQAAVFYPASSHDEIIQDIYYPMLYLASCVGNNSTITSKCATTFDVVDIEFNTIFL RSAEALAEMATLLYQPVTKLGFSCPVPNKVEVQNLAAVVTTLSATLQSELWDPVDLIF KSNSHKYITGMLPLYATKLDESIQMGLISHILPTPNTFHYLCDVFPVSIYPCEESTMA SGLATAAGPTPRIGLLIQNYLLYRGLIKNAMHGIARYLMHRTFALTIPTVASKYHFVT VFDTSSGQPPSSAHWTSSSSLSAAIVLNMGLPDVTMPPSPDTPPIDRDAILIVMCIEL VVAMAVAVSCVVFSVYFVVKRPREELAMTAPRGSERGGAAAAASPEPTSGPSGLPGSP DKRYLEESLLSDDDDHDEYGSFLSSPVLKPAVGMWSSMKGFVSSISPWG H257_00447 MMVKVPLVMLSGLAAFTEAWPRAINLYSSQDQLDTRTFDPDDVP PHVPQFDEIVKAAYAVLTANFDDQMNATAPGPQEDIGFQHINSRDALLSAQALAHRDF SQATAQFKSLLRHQWSNGFMPDLIYGPSVGASLKWLPTNKTFYPGPAFWGSSTAPSDA RTNSSTSGILAPPLHAETAMRIFYLAPLDTSMSTPVYTHEAMGFLCDVFPSLYKFHAY LLASRQRTNTSLLSLRHPWESMAAINPGWKSALASVKAAADFAQVISRLQVPTVTAQA FVAQAAVFYPASSHDEIIQDIYYPMLYLASCVGNNSTITSKCATTFDVVDIEFNTIFL RSAEALAEMATLLYQPVTKLGFSCPVPNKVEVQNLAAVVTTLSATLQSELWDPVDLIF KSNSHKYITGMLPLYATKLDESIQMGLISHILPTPNTFHYLCDVFPVSIYPCEESTMA SAAGPTPRIGLLIQNYLLYRGLIKNAMHGIARYLMHRTFALTIPTVASKYHFVTVFDT SSGQPPSSAHWTSSSSLSAAIVLNMGLPDVTMPPSPDTPPIDRDAILIVMCIELVVAM AVAVSCVVFSVYFVVKRPREELAMTAPRGSERGGAAAAASPEPTSGPSGLPGSPDKRY LEESLLSDDDDHDEYGSFLSSPVLKPAVGMWSSMKGFVSSISPWG H257_00447 MMVKVPLVMLSGLAAFTEAWPRAINLYSSQDQLDTRTFDPDDVP PHVPQFDEIVKAAYAVLTANFDDQMNATAPGPQEDIGFQHINSRDALLSAQALAHRDF SQATAQFKSLLRHQWSNGFMPDLIYGPSVGASLKWLPTNKTFYPGPAFWGSSTAPSDA RTNSSTSGILAPPLHAETAMRIFYLAPLDTSMSTPVYTHEAMGFLCDVFPSLYKFHAY LLASRQRTNTSLLSLRHPWESMAAINPGWKSALASVKAAADFAQVISRLQVPTVTAQA FVAQAAVFYPASSHDEIIQDIYYPMLYLASCVGNNSTITSKCATTFDVVDIEFNTIFL RSAEALAEMATLLYQPVTKLGFSCPVPNKVEVQNLAAVVTTLSATLQSELWDPVDLIF KSNSHKYITGMLPLYATKLDESIQMGLISHILPTPNTFHYLCDVFPVSIYPCEESTMA SGLATAAGPTPRIGLLIQNYLLYRGLIKNAMHGIARSLQVSFCDCIRHIVRPAAVVRA LDVVVVLVGSDRVEHGASRRDDAAVTRHAAHRPRCDFDCHVHRVGGGHGCGRELCRVF RLLCRQAAARGARNDGATGFRTRGRCGGGESGANVRAVRTSRVAGQAILGGVSAL H257_00447 MMVKVPLVMLSGLAAFTEAWPRAINLYSSQDQLDTRTFDPDDVP PHVPQFDEIVKAAYAVLTANFDDQMNATAPGPQEDIGFQHINSRDALLSAQALAHRDF SQATAQFKSLLRHQWSNGFMPDLIYGPSVGASLKWLPTNKTFYPGPAFWGSSTAPSDA RTNSSTSGILAPPLHAETAMRIFYLAPLDTSMSTPVYTHEAMGFLCDVFPSLYKFHAY LLASRQRTNTSLLSLRHPWESMAAINPGWKSALASVKAAADFAQVISRLQVPTVTAQA FVAQAAVFYPASSHDEIIQDIYYPMLYLASCVGNNSTITSKCATTFDVVDIEFNTIFL RSAEALAEMATLLYQPVTKLGFSCPVPNKVEVQNLAAVVTTLSATLQSELWDPVDLIF KSNSHKYITGMLPLYATKLDESIQMGLISHILPTPNTFHYLCDVFPVSIYPCEESTMA SGTQSSHILVALYHILSPCTVSHTGRSRDSRWTNPPHWVVDSKLPVISWTYQGSLPTD SCHFVDLRCCTDVVMYFCDRTRCTVSHGT H257_00447 MMVKVPLVMLSGLAAFTEAWPRAINLYSSQDQLDTRTFDPDDVP PHVPQFDEIVKAAYAVLTANFDDQMNATAPGPQEDIGFQHINSRDALLSAQALAHRDF SQATAQFKSLLRHQWSNGFMPDLIYGPSVGASLKWLPTNKTFYPGPAFWGSSTAPSDA RTNSSTSGILAPPLHAETAMRIFYLAPLDTSMSTPVYTHEAMGFLCDVFPSLYKFHAY LLASRQRTNTSLLSLRHPWESMAAINPGWKSALASVKAAADFAQVISRLQVPTVTAQA FVAQAAVFYPASSHDEIIQDIYYPMLYLASCVGNNSTITSKCATTFDVVDIEFNTIFL RSAEALAEMATLLYQPVTKLGFSCPVPNKVEVQNLAAVVTTLSATLQSELWDPVDLIF KSNSHKYITGMLPLYATKLDESIQMGLISHILPTPNTFHYLCDVFPVSIYPCEESTMA SGLATAAGPTPRIGLLIQNYLLYRGLIKVVCQLIRATS H257_00447 MPDLIYGPSVGASLKWLPTNKTFYPGPAFWGSSTAPSDARTNSS TSGILAPPLHAETAMRIFYLAPLDTSMSTPVYTHEAMGFLCDVFPSLYKFHAYLLASR QRTNTSLLSLRHPWESMAAINPGWKSALASVKAAADFAQVISRLQVPTVTAQAFVAQA AVFYPASSHDEIIQDIYYPMLYLASCVGNNSTITSKCATTFDVVDIEFNTIFLRSAEA LAEMATLLYQPVTKLGFSCPVPNKVEVQNLAAVVTTLSATLQSELWDPVDLIFKSNSH KYITGMLPLYATKLDESIQMGLISHILPTPNTFHYLCDVFPVSIYPCEESTMASGLAT AAGPTPRIGLLIQNYLLYRGLIKNAMHGIARYLMHRTFALTIPTVASKYHFVTVFDTS SGQPPSSAHWTSSSSLSAAIVLNMGLPDVTMPPSPDTPPIDRDAILIVMCIELVVAMA VAVSCVVFSVYFVVKRPREELAMTAPRGSERGGAAAAASPEPTSGPSGLPGSPDKRYL EESLLSDDDDHDEYGSFLSSPVLKPAVGMWSSMKGFVSSISPWG H257_00447 MPDLIYGPSVGASLKWLPTNKTFYPGPAFWGSSTAPSDARTNSS TSGILAPPLHAETAMRIFYLAPLDTSMSTPVYTHEAMGFLCDVFPSLYKFHAYLLASR QRTNTSLLSLRHPWESMAAINPGWKSALASVKAAADFAQVISRLQVPTVTAQAFVAQA AVFYPASSHDEIIQDIYYPMLYLASCVGNNSTITSKCATTFDVVDIEFNTIFLRSAEA LAEMATLLYQPVTKLGFSCPVPNKVEVQNLAAVVTTLSATLQSELWDPVDLIFKSNSH KYITGMLPLYATKLDESIQMGLISHILPTPNTFHYLCDVFPVSIYPCEESTMASAAGP TPRIGLLIQNYLLYRGLIKNAMHVASKYHFVTVFDTSSGQPPSSAHWTSSSSLSAAIV LNMGLPDVTMPPSPDTPPIDRDAILIVMCIELVVAMAVAVSCVVFSVYFVVKRPREEL AMTAPRGSERGGAAAAASPEPTSGPSGLPGSPDKRYLEESLLSDDDDHDEYGSFLSSP VLKPAVGMWSSMKGFVSSISPWG H257_00447 MPDLIYGPSVGASLKWLPTNKTFYPGPAFWGSSTAPSDARTNSS TSGILAPPLHAETAMRIFYLAPLDTSMSTPVYTHEAMGFLCDVFPSLYKFHAYLLASR QRTNTSLLSLRHPWESMAAINPGWKSALASVKAAADFAQVISRLQVPTVTAQAFVAQA AVFYPASSHDEIIQDIYYPMLYLASCVGNNSTITSKCATTFDVVDIEFNTIFLRSAEA LAEMATLLYQPVTKLGFSCPVPNKVEVQNLAAVVTTLSATLQSELWDPVDLIFKSNSH KYITGMLPLYATKLDESIQMGLISHILPTPNTFHYLCDVFPVSIYPCEESTMASGLAT AAGPTPRIGLLIQNYLLYRGLIKNAMHGIARSLQVSFCDCIRHIVRPAAVVRALDVVV VLVGSDRVEHGASRRDDAAVTRHAAHRPRCDFDCHVHRVGGGHGCGRELCRVFRLLCR QAAARGARNDGATGFRTRGRCGGGESGANVRAVRTSRVAGQAILGGVSAL H257_00447 MPESASSPLTPSQSDARTNSSTSGILAPPLHAETAMRIFYLAPL DTSMSTPVYTHEAMGFLCDVFPSLYKFHAYLLASRQRTNTSLLSLRHPWESMAAINPG WKSALASVKAAADFAQVISRLQVPTVTAQAFVAQAAVFYPASSHDEIIQDIYYPMLYL ASCVGNNSTITSKCATTFDVVDIEFNTIFLRSAEALAEMATLLYQPVTKLGFSCPVPN KVEVQNLAAVVTTLSATLQSELWDPVDLIFKSNSHKYITGMLPLYATKLDESIQMGLI SHILPTPNTFHYLCDVFPVSIYPCEESTMASGLATAAGPTPRIGLLIQNYLLYRGLIK NAMHGIARYLMHRTFALTIPTVASKYHFVTVFDTSSGQPPSSAHWTSSSSLSAAIVLN MGLPDVTMPPSPDTPPIDRDAILIVMCIELVVAMAVAVSCVVFSVYFVVKRPREELAM TAPRGSERGGAAAAASPEPTSGPSGLPGSPDKRYLEESLLSDDDDHDEYGSFLSSPVL KPAVGMWSSMKGFVSSISPWG H257_00447 MPESASSPLTPSQSDARTNSSTSGILAPPLHAETAMRIFYLAPL DTSMSTPVYTHEAMGFLCDVFPSLYKFHAYLLASRQRTNTSLLSLRHPWESMAAINPG WKSALASVKAAADFAQVISRLQVPTVTAQAFVAQAAVFYPASSHDEIIQDIYYPMLYL ASCVGNNSTITSKCATTFDVVDIEFNTIFLRSAEALAEMATLLYQPVTKLGFSCPVPN KVEVQNLAAVVTTLSATLQSELWDPVDLIFKSNSHKYITGMLPLYATKLDESIQMGLI SHILPTPNTFHYLCDVFPVSIYPCEESTMASAAGPTPRIGLLIQNYLLYRGLIKNAMH GIARYLMHRTFALTIPTVASKYHFVTVFDTSSGQPPSSAHWTSSSSLSAAIVLNMGLP DVTMPPSPDTPPIDRDAILIVMCIELVVAMAVAVSCVVFSVYFVVKRPREELAMTAPR GSERGGAAAAASPEPTSGPSGLPGSPDKRYLEESLLSDDDDHDEYGSFLSSPVLKPAV GMWSSMKGFVSSISPWG H257_00448 MPTHHRPREDPRKASLATQYEMTSTTGTAFERMVADNGANVLHC RHLLLKPVEMRNVEDVHMIKLFFNTSKIGKYCTHLDLQKESEFYKSLQLQIVDTANTY VFRQGDMGDKFYVIFSGTVQVRKSILNQNGDSVESAVCELRPGDCFGDRALTGALNET LPREASIMTISNTTELAFMDKLSYSRVMRDRTSEMRLDMPKVAGLDVTKRFRSNKDIV RAIFLQPAHERTERDLKFAVEYLKGVKFFARFSFEVRKQLCKALRLICAWTNTVVFEE GHPGYHFYIIFCGSVEVLINTTNRYDAAVQSVVSMLKEGETFGELALSEEDGTRRATV VATEYTEFLTLSRDEYIPLIQKYQNQYHTEYVRMLQQNPYFTGDEWDLHTLEAMCSVM VEKYVPFRGTVCEQGSRASEMFVVVRGECVAQYETTDPFTNEALTVGLGRFGPNSVIG CAEATAGKFNDVFIRPVSVVATSPVKVLVLSRFDIFHLLTPEARDGLQRWSYNADAET LDARVLKTIVWEKYRSNFIADSMLLSSKPTVEHRGVEDSSQKQLQKHGHLPPVKQTTD IHARKVVDSGELQLVSRPRLTYSSSQGQLSHPSHHSSRQPKPSPSADILILDPTNTGS TVSTTATPAAVPLSSSASMPALLASLVADAATPPLVVSAPAPRLPPASMGITHFNPKQ QQQSIVPSTPETTRSKTPISGGSHPTRQFLWSPLHGVYQPYAVVGFGRPVESAAPVTF RVCGKFRDLDAALRMFHDVCKLEPTPVNAIEDHSNFVVYKDGDVSLALRNMDRSDKPS TVSEEGPPGPPLAQSSSMHSIPPRQHLNALTSCALNTGPKESRLRQKRHSVVLTTSSS PNSKLPSAHRLADDALPDSSTIVAGIRQEGDPDVMGQRFACIGLKHHVDDDSRDLHVH VYHCFPTHQSAIRHSKQLVAMLNAFADNCLYVVPLFDWIHRQDLERYEARNPDLDSLL DGKLTISKFSGWKARKDAVRKRQGHIYHG H257_00449 MDDDQGLLPLDPNHKLTTTAAATDDSSEDVNLDAAYDEIEYMDL KVPGKEGCPRAEKKGQSTADKRGGPKQHSSLTSEMKTSRAVARVTQRLGLERVHEIQL EYASLKSKFGELDYDKANAMIRNLMAMGLSQIEIRGILGVGGYRLQRLYSAQAAKPSP TYAATDELVLPSPPPLTPSTLHSVDKSVHIPVLSSIPHLVNALTKKLSAFPLVASRIS FVAVDAAGLSVDSSSSALVEAAEIILGDAAACVLALPHTRHLKWLQLTSATVDIDLVV QPKRDFACTRAGGIHGPHVAQYVLGRIIAIERSFHKATQLQATRAFDPHELTYRSAKD VSVAILGYGDIGAHVAKLVAAAGFRVMALKRRTARASWMKADGAGGFDVTDRLDHVLA NADYIVNALPCTPHTHRLLAGSVLHLCAHKSPCLIDLSPWHVVDEATVVAAMEHKWLS SAVLDVPEPVPPTSPLWDHPQVTLTPRVAPPHQADAVADVFMQNLWHFIGHAPLQYAV EWTQGY H257_00449 MCSAQAAKPSPTYAATDELVLPSPPPLTPSTLHSVDKSVHIPVL SSIPHLVNALTKKLSAFPLVASRISFVAVDAAGLSVDSSSSALVEAAEIILGDAAACV LALPHTRHLKWLQLTSATVDIDLVVQPKRDFACTRAGGIHGPHVAQYVLGRIIAIERS FHKATQLQATRAFDPHELTYRSAKDVSVAILGYGDIGAHVAKLVAAAGFRVMALKRRT ARASWMKADGAGGFDVTDRLDHVLANADYIVNALPCTPHTHRLLAGSVLHLCAHKSPC LIDLSPWHVVDEATVVAAMEHKWLSSAVLDVPEPVPPTSPLWDHPQVTLTPRVAPPHQ ADAVADVFMQNLWHFIGHAPLQYAVEWTQGY H257_00450 MSTAAIMRIPVISNIALLSEKVRAALAALPSSAIENLRIEIVPV STSALTGPDAVDVATLELLRDAQVILGDPKHVLPALPYALNVKWVQSTFAGVEAVLTQ PRRDFTLTRAGGIMGLHMAQYVLGWVISKERKFHLAPLHQANHEFRSHDMRYRHYHDV TVGILGLGDIGSSIASLVSTAGFRVIGLKRSSSQPATTVPTTTDLHELLAQVDYVVNV LPSTIDTRDLLSRDTFQACHIKKPCFINVGRGDVVDEASLVTALDQGWLSSAVLDVFA IEPLPHESALWSHKHVTITPHVAALSMPEDVAGVFARNLSHFHASTPLEYVFQWSRGY H257_00451 MDRVRILFGAPSSTSTSVTSSVEAAVAAVSIKMPKGTAAPKEDT MSDMSFGCKSLTKVQRLYGFAICFCAGYLINLMSTFVLLGGSRNGAKFGILYSIGSLV SLCGSGFLAGPAEQVKSMANPVRRAATAIYLCSIVFVLVIAVTNPQLGLLILFLAMVQ FGAAVWYNASYIPYGRKLLKTFGSKCLGSMQ H257_00451 MDRVRILFGAPSSTSTSVTSSVEAAVAAVSIKMPKGTAAPKEDT MSDMSFGCKSLTKVQRLYGFAICFCAGYLINLMSTFVLLGGSRNGAKFGILYSIGSLV SLCGSGFLAGPAEQVKSMANPVRRAATAIYLCSIVFVLVIAVTNPQLGLLILFLAMVQ FGAAVWYNASYIPYGRKLLKTFGSKCLGSMQ H257_00452 MAIVGIGVDVVRSGRLRRTFDKHGERFLRRYLHPQEIEQFRSIK DSLAQTQFLANRWAVKEATYKAFKAWRIPFPDILLDKQRSVQPLRPHMGHLLPLHLAT VRTPVLVFDGSAHHLAAALQVTATHVSLSHDGDYTIAHVVLESSP H257_00453 MGTPEHFVAVARQALADALSSLHTTTPQVSIDATAAALSDVDAL VRQLRQAAAVVAQGDTIFAKSYGALKPSQLLPKDVQPEEKTPSIWSGFYNKTLRERMD VLSLMYPSAVPRRSSDAGDGQQVSPLRAPRKSSLGQIPSLSLSADEIGHLPSRTANLM IENCIGVLGIPLGLGLNFVIDGTSYSVPMAVEEPSVVAAASSAAKLVATHGGFHTATS GNVMTSQIQLLDTKDIPAAIQAIVAHREALIEYANTHLCGNMARRGGGVVHIYPRLVT DSSSLDNNDWYAAASDELRVIPSGSSPFIVVHIDVDVCEAMGANIVNTIAEGLSAQVA ALTDSRAGLRILTNLCTARRARASFSIPVAAMGWKGTEGSVVAQRILEANDFAIRDPY RAVTNNKGILNGIDAAAVATGQDWRAIEASAHCFASRSGRYTSLSQYRIENDHLHGIL ELPLSVGSKGGALQTHPGYAATHAILGRPTAQQLSGILVSVGLAQNFAAIRALAITGI NQGHMALHARNIAVAAGAPNELVTELCAYMLARGSINVETAKVYLKAHAVYLDIIQSE VQELEKKRQPSMFYVEINVPGLAKPVSVNLAFLSVRGDIPQTIVISKLKSIATQPTSM HAQLLGDKGYIQLERMFMFLATMRVVVGSETNDRTNLELQNKLLLLSILINLVAYQLM KKDKATCQAFVNKLVEHGMDVSNSSVGNVHWTEALFATQVDPVLSTGLPLLLALWQVF HYHVDQEVSNPVLQQALACEQAALLDSIANWTSPQPNPSTDASVCFVEYMETHAKRWQ ATMFLLVDCLALDNQSLTPARLGFVKQVGRYIEYQGTVAHDLARFERALVDPDERNVC VWFKTHVYPDSSLEEASVAFTKLSRANATAQWTALQELSHRHEGDVVHLEALQDVVKT IVLHYGDDASKARKMSF H257_00453 MAVEEPSVVAAASSAAKLVATHGGFHTATSGNVMTSQIQLLDTK DIPAAIQAIVAHREALIEYANTHLCGNMARRGGGVVHIYPRLVTDSSSLDNNDWYAAA SDELRVIPSGSSPFIVVHIDVDVCEAMGANIVNTIAEGLSAQVAALTDSRAGLRILTN LCTARRARASFSIPVAAMGWKGTEGSVVAQRILEANDFAIRDPYRAVTNNKGILNGID AAAVATGQDWRAIEASAHCFASRSGRYTSLSQYRIENDHLHGILELPLSVGSKGGALQ THPGYAATHAILGRPTAQQLSGILVSVGLAQNFAAIRALAITGINQGHMALHARNIAV AAGAPNELVTELCAYMLARGSINVETAKVYLKAHAVYLDIIQSEVQELEKKRQPSMFY VEINVPGLAKPVSVNLAFLSVRGDIPQTIVISKLKSIATQPTSMHAQLLGDKGYIQLE RMFMFLATMRVVVGSETNDRTNLELQNKLLLLSILINLVAYQLMKKDKATCQAFVNKL VEHGMDVSNSSVGNVHWTEALFATQVDPVLSTGLPLLLALWQVFHYHVDQEVSNPVLQ QALACEQAALLDSIANWTSPQPNPSTDASVCFVEYMETHAKRWQATMFLLVDCLALDN QSLTPARLGFVKQVGRYIEYQGTVAHDLARFERALVDPDERNVCVWFKTHVYPDSSLE EASVAFTKLSRANATAQWTALQELSHRHEGDVVHLEALQDVVKTIVLHYGDDASKARK MSF H257_00454 MQATADAVRRRWPLAAGVTATATALVLAGFQAYTTPLNNRAKQQ AEEAASSAAESSSNESAGTTLIPPRTPSPRKRGMTHTSVIHAPHFHYFLAPIVLGLFM GIYIVLLHFGFVDTSSYPSVWDIAARSSLLHVGVSHGLVLWPFLTETPRLRFFRISVM EWLASSSFMDIYVTSHIYFVFATIVTPMTFLLLEAHWQVISWSDGQFYVLLAVSDLTA SSVLWHYYTLAHLNSDLRHL H257_00455 MKIYVLTCCAVLHLATGTELVPSHDGLRFVKPTTCDERECPHGG CVFRDCNEPVSCKGGLCDFINCKSPDCGGGVCTIVEAEDGSCPGGLCNFVKPKRSLKE DYCTGGLCLVDSKGQVSSPGSLNVIPQETARPTPTEAPVIAPTPDQQSPVASQATTDA A H257_00455 MKIYVLTCCAVLHLATGTELVPSHDGLRFVKPTTCDERECPHGG CVFRDCNEPVSCKGGLCDFINCKSPDCGGGVCTIVEAEDGSCPGGLCNFVKPKRSLKE DYCTGGLCLVDSKGQVSSPGSLSE H257_00456 MESAPTSNALESPANNIKPNVDENILGPSLLDVMQTTRLSSLKA TYYSRSAVVARVHESLVGQDCSKRVQEFFVSTLQKLECDASGLVLVQDSSVCVILEST SDQFTDLCSELRSFSVLTDVKVLATCDDNATRLMKSLYFKKLSIAKPVDDADEFQLAK DVVFNLVTLMRRFGAMPASTIKKTLAAPSNSDLMLMPSNDTVVFLAKHESLMSLDEFL DIYKAPISIELESERVWPIHPLFTY H257_00457 MLLHSMVRKSTRRLVRISTGHRLRDSIDTNDNPGPKFSLIQPAD SHRLTRHLSILQERKYTVTDDTIKDEDGAECDAQDNSSQPFEMASVAMACRRRNGALA TSLGASKDQGVLPLMQVRTDLHGAQWNRALYRRALASVRQLNALGSLSLSKQPVPGGV DNNAPAPSPAEVSRQWFRDLQTKATAPPPLPTPGSYRHSKWHHVTYGSTIYLESCATR ACLLLGGSGKSVSNSQRRHAADPGTLLRMVDFADPFRRGYIYDGDAMWLRLDQFNPLL PKKRDPVALYLNDVQFFLSWSVAADGSSGQTVCIASALEALVPSIAHYGYDTSMAECL GENHAVMHLAKWTIQVVPSAADNDLDDEKDELYVGDEYCHGRAGNEPPDVNRPICNYS VVHLRQDDRLLVADKATGVASMQHVRSTSPRHTKAAQWRLCVRDPMPPTHPTGPLHLA GSSAEKATVNLHQSHRRTTAYFSTKNDMATDQMETHLASRTHLAMIHNAQETHAVEYF AHKYKTTALASHT H257_00458 MSALYAEAAGVLEGLFRRSGGLKTLTYADKIKSKRNCFALVCQT LRYKPLLDELIAAVPDLAKHLKKSTKAGKPDKADAKKAKHVTAPSHQALFYIAIYDLL FGKDKKIQGGGFVKKTVLLHANALKSAVVRMKIKAKVKTDEDLLPEENRMTPKLPRYA RINTLRATDISDTSDLAIVRSSLGFAADLPVSVDPHVGDILMFPPGTELHTHDSVTSG KLILQDKASCFPAFVLHGERGADDFGDVIDACAAPGNKTGHAAMLVARKQSASVRPIR VFAFDRSSPRLDLLKRRMAAAGADGIVEPCLASFLDVDVHDERYQHVRSILLDPSCSG SGMSNRLDHLLELSAMQQENDVEQEHQVEPNDTAARLQALADFQLEALVKAFSFPQVR RVSYSTCSIFETENEHVVVAALAANPAFKLVKCLPTWPRRGVVVPGLTEQDADCLVRA NGLEDGTNGFFVAYFERKGEVHGQEASVKRPREKTQAQKDRKKRKRNKKADNVAAGGG GQVAADDDE H257_00459 MSGIPAGGKGGKGGKGGKGGKGVASKKAPQSRSSRAGLQFPVGR IHRFLKKRVANNQRIGATAAVYTAAIMEYLTAEVLELAGNASKDLKVKRITPRHLQLA IRGDEELDTLIKATIAGGGVIPHIHKSLVGKAVLPIKKKPL H257_00460 MIRRLQPIHAINRPRMCLFSKESAMFQAIRYYRDSALQLQTLPQ LKVRDPPRVASGRGAMYEYTHRGIKAALARTVTTASVPSMTLVQRSEEGGKFWLVVAT LAWTCAAIASEVSIAKNDGWTEKKSMRETSIPAMEWREAAEEVHDAKVAVEARAVGDP RQRNALGSRLAMWALQHLHAQAHEPLTTLYEHVTDIHME H257_00461 MLIDKPDVVRHLNSAGNANSIYGIDAHPSDLKFATAGGDNCVKI WSLEVLSETDGPECELLATLTWHEKAVNCVRWSHNGRYLASGSDDHQVLLYELQDGPP APIPFGSNQVPNKETWVRCSMLKSHTMDVQDLAWSPDDRMLATCSIDNSILIWSMDHL SSVMTHPIQHLSGHQGWVKGVAWDPVGKYLSSAGEDKCIIMWRVDTWEAEEKISSPFE DGTTTSHFRRLSWAPDGSLVCGTHAFKSKQNVAALIERKTWTNDVNFVGHRGVVTSAR FNQRLLAKTANKEFACCALGGDDCTVSVWLADVSRPVAVIKECFDASVTDLSWSFDGF TLLSASLDGTICVFQFTPDELGTPITPQHQSRLLQQKYGSLAGQTSGCTLVENPLQLE LEGQHKQASMDKLAARLTPSNGNASGAHAFTLVAATPKPADTAAKPSVTTLTARPKAK KPPTSTTTPVTKPPPPPVATTGEIETTTHVPPIKRKRVVDHQPTNSNTLLVIPQHERV HQMPEIPGRSSFSVGVKSTEKVIECKVVTDDGMTMMYTSVACMEGSSVHWMDRIPGQA ACATGNLSFCAVGTTDGHLYIVSPLGRRLFPPIALGFPFAAIECSAGATPYLLVILTN GDVKTWTIQAKRVAVAASVCGLTTTKSTLIRAMVTSAGQPIVTLAVDGGGTSASLLHS FTYDLAMQCWMRVADESFSSSDFHTHLPSDAMVSSDIPVGTLRRLQNASTHTRSATSM VAGISNAIQQHHITRTHLEHQVAASLALRSTTEYMHWLKVYARHLSHDGDLLRLEEIC KEFLGPMSATTSTDTWTSTVLDVSKRELLQTVVLPQMASNRVLQRLVHKYQLLLDEAA NQS H257_00462 MKYEESHALKRYEVRVNVKEMLAVRTPQTTLMVTLGGEHHHLAM DHISNLLMEKHVLHSVVTHDGRKTLIVVDDMPSVWSCAMECGVTVNIHREKGPMSSFV VNALSCALVLLQVKASGSVHMGQNGTFTMNKQLRPDEVQCVQIHDLRLVGQHVVMSFS PVVYAMKTADTVIREMRANQGPLSDDKISQNVVTNALLYAIPGMNECRILRYSTAAPP PTNLMRLNLARDLCKFVPQTEAEFRSYWKGVHRIHLPNDFGGYLRVQFASGDEFTYPS SCVATNFHILHKQSRLEAQDIARMLLLTLKAGFGKAAVAMHDDHRESKTAQLLPASAL MGNVFHSGTTHADVYVVRKRPYSPSPASTKRRKGGRV H257_00463 MTSTSKTGHLLSLGTHGMWGFSPGFDLQEGVSEPRADSNQVDAS TSSSEPLCVLVLSPGDIRHVLATIARSRRWKKRPLHIYLYEKSPECLARALLLLQIVN DWEVPLRQRCNTFLEVFGNALVQGRTAEYIEEKAKQLVELVCNESGRLADVIDLSHLK MKSRDALVETFQSWHTNVPFNLERLRDQRLRHYYENRYDYRNNLVDWDYTMSLRKIQD ASVIHIKQFKEWRNTGIAFEFGDQQYTAPNRTMASYTDAVKKGHGSVSCRGYWLDIVV GPYISFGVDCYRSNKFADGIFEIHNKGSGCEQNRHNTTEVAVFNVLSHLHEIETGDIY KMKKAHDVYSGIGEIEDGKARDRPVQDNNSPEANNNGPRGDELSRFEELDHDDDAENV DARKRAQRIVESFEGVKVILLSGAAADLAKKRRYQRRFHHVYVSVHATNLVTSPDVDA PMTTLLADDAKVSVESSVYLLPFKDDQRVTYMQKLVEFAGSMGLTTSKRAFGASDAFK KDNAVLKFQYTRTQQQATD H257_00464 MSSEVTVYSFKAALYSLDESSQEWLLSDTNDDPVAQYYVFMDNA DVPKFRMVGWVEDSGDVVLNNALTKHCIWLPVNEYFVQFTTPDDITVGLSFADLEQAQ EASTIVLRILQNLVNVATSESNNAPREYSEGGEVDALSKTTSELKEYLTFVRTHGTSS LEYLQACAEAVHVVDDVDTLLSSEALDRVDDRFKHRSAPSFLSDDDRRSLRLQYAAAQ LHQSLVPPSRRSSSSAPPPPPAAVAMKDVDFRRGSAPLGCLMPPSLRRCSSSINSSLS SSSRCSSRRQSLANFDEVERATMAAVDSNLQDEEGGERTCNSPAFLRATFGTYTGVGP RTSSSSSATSDHTSVISRPYEAKQEMHVTFNAVLARYEGLPLAWVGLNKQFGLPMEAM PKRVVDGYDSKIPAVLQMMKEYLVLHGGLETEGIFRLAPDKEQCSRVKDAINNGSFGG CNDVHIVANLIKVWFRDLPTSLFNVIPDKIMYKTCTLKTPESVMDMLADVPEASKIVI LWLLDLMAEVVKHEKATKMSSKNMAIVLSPNLFSIESDNPMVALTMSQKVAEFTTVLL NARLALHHGYVK H257_00465 MLGMRKGVVTGRTPKLDRKTTKSHQVVEDKYRAKTASVSGETTG PLHTEDEEYMKSVIDKLEQDLARRQESYVRRERQYQVRIEELERMVSDSRAKKASSVS HEDTMTSLRGLHKSILGSISQVQERTGKILQEQEKDLLRAFRARLYSVQEELETEKNK TDDGASAWIDKSKQLETEVEWTKEMADRLDRLNQSLTRENQRLKTQFSMQENDREFLV RQLVTVKKDNVRLRKELADAVKEAERTREEASIQLEELEFAPRNGRQQQPPRKQPPHA LGSKMPSVVKRAPSTPALPGTTTFAPSLPEVDNRYKEIIKRLKRVLEVERRNLRQVRN AYTTDLQTHTELSIFVKQCIEDVKGQLHTHTHDATPSSTAIPSTANTISVLGTTFAAS DRQKVIDLLLSQERVLGLLYAKAFPLPGSSAAAHAADAADGMTKDDIRDIFNEAHQLD GGYNLHHPSSRHHQDENEFEGDDDGRPRHQDSVDPRH H257_00466 MDPRLMCHFDDASGCDSPRSSHLSDEDELQRVARLQAKKAMIVQ SPVCNFEAFSHFFHLPLKAAAEKFGVRATAFKKRCRSIGIRHWPYRKVRSLKRSLQEL NRCKENGILNDKQLYQFDTFKKQLDKLMSPVTYGIDPSGQLMHAFVDDDDDFDSGDDM CSVESPRYGASFSDCSISPSDMDKSSQAFPDYPNDMHTNSFDKLPSLRKPSMNTAFQC IPRTINVPVLSDMPALTLRMTSKDMSSMHASKMAPPSAAMDTYFDRPMHMHHLDEYMD HHHDAEGHDEHVCPMGDDDIMLTDIKYEDDDTAFHDGVDYGGERFFDDVFLQISPDYG CLV H257_00467 MGCKQSTTAADPAAISQAHSPPHSASSESTNAAVIVDHSIDEKG VVLYHVEAYGVAAKKRFNDFKTFHHDIQLVVPPMPEAGFFTALNRRDLGLIQARRLRF QDIIRAAPRDRVVLFLDPNANVVFGGNDVEKTLAVETCNASVPTD H257_00468 MTDAANQRSGESTRWSGGFTEAELQAAAFRRRMKEGGAKEVVEL QKEKKAKKAKKAKKSKKKHRREEKDEFGRDILPGFTPPTPRSPSECSRSSSPPPDPVR PDLDEQRHNIKRQRRPVSSRSPVRGRSFDRSRSRERISRRRSRSRSRSRSRGRRLDVT KKSWTHDAYFNRSPSPIRQVDPFYKPNPESWVSRAGGVYLPKK H257_00468 MKEGGAKEVVELQKEKKAKKAKKAKKSKKKHRREEKDEFGRDIL PGFTPPTPRSPSECSRSSSPPPDPVRPDLDEQRHNIKRQRRPVSSRSPVRGRSFDRSR SRERISRRRSRSRSRSRSRGRRLDVTKKSWTHDAYFNRSPSPIRQVDPFYKPNPESWV SRAGGVYLPKK H257_00469 MRATTRDWDWACSAPVVQCDDPTSSPPLPPHAKRPFLVEFMLAG SATALATIASNPMEVVKTRMQLQGELAGKNDRLAYRSFRHALYMIGKTEGIRGLQSGL VAGMAYNVVMNGVRLGGFGKLQQAVGATNPSDPTYFLRNAMAGAMSGSIAAVFGSPFF LVKARLQGQQRNPIVRTPLRHNSTHHYSSMMDGFRQIVRTEGPYGLWRGTRSQMARLA VGTAAQLSTYTSSKHAVQTWMQLPDGVWAHIGAAAVSGLVVTTCMHPFDVVATRLSNQ PVVAGRGQLYSGVVDCLRKIWATEGLRGLCKGWTAHYFRVGPHTMFTFVLWEQLQRLA HEIAGL H257_00469 MEVVKTRMQLQGELAGKNDRLAYRSFRHALYMIGKTEGIRGLQS GLVAGMAYNVVMNGVRLGGFGKLQQAVGATNPSDPTYFLRNAMAGAMSGSIAAVFGSP FFLVKARLQGQQRNPIVRTPLRHNSTHHYSSMMDGFRQIVRTEGPYGLWRGTRSQMAR LAVRYTVYTCVIISNMMTYQVGTAAQLSTYTSSKHAVQTWMQLPDGVWAHIGAAAVSG LVVTTCMHPFDVVATRLSNQPVVAGRGQLYSGVVDCLRKIWATEGLRGLCKGWTAHYF RVGPHTMFTFVLWEQLQRLAHEIAGL H257_00469 MEVVKTRMQLQGELAGKNDRLAYRSFRHALYMIGKTEGIRGLQS GLVAGMAYNVVMNGVRLGGFGKLQQAVGATNPSDPTYFLRNAMAGAMSGSIAAVFGSP FFLVKARLQGQQRNPIVRTPLRHNSTHHYSSMMDGFRQIVRTEGPYGLWRGTRSQMAR LAVGTAAQLSTYTSSKHAVQTWMQLPDGVWAHIGAAAVSGLVVTTCMHPFDVVATRLS NQPVVAGRGQLYSGVVDCLRKIWATEGLRGLCKGWTAHYFRVGPHTMFTFVLWEQLQR LAHEIAGL H257_00469 MIGKTEGIRGLQSGLVAGMAYNVVMNGVRLGGFGKLQQAVGATN PSDPTYFLRNAMAGAMSGSIAAVFGSPFFLVKARLQGQQRNPIVRTPLRHNSTHHYSS MMDGFRQIVRTEGPYGLWRGTRSQMARLAVGTAAQLSTYTSSKHAVQTWMQLPDGVWA HIGAAAVSGLVVTTCMHPFDVVATRLSNQPVVAGRGQLYSGVVDCLRKIWATEGLRGL CKGWTAHYFRVGPHTMFTFVLWEQLQRLAHEIAGL H257_00470 MTTKDRPHQVLAAVRRVLSADASEDDRRRADAFLQSYQKAADAI PIALMWLTQVHSHVEAHAQLFLVNAIYRAICSRGSKDMAKYQHRKEATPAISPAQLVV SCFHQLCRHIHESFSQASIPMNVANQFACCVTVCILGSTDAGVLPTLDALAGQERANT TTTNASMLYCIEMAVILVLQLVPEEIHNKRLLLRIDHRTSWESVVQTESVSVLTAVET CWRALHSHGLPKPLHDKMERAIFQAFATWVEHGRLPPAAVANSMLLSAVLEQSSQQLR NDSHHDIELLVEVIRDVTQVCVDVAYQPLVHVLLRYAIHVGPHVLQLGSHLPTDLLLQ VASLLADIGQQALVCQVLDATASETSLFLDVLLAFTAHANVDVAVKTVEFWADLRAWI AINPSASAKLDPYVHQVLHVLLQSTEYARLTDHASDDFYQYRKDMRTVFRSLTQPALH YQHHFVQELTTLLFEEFARNTIRLSKLEMYLHALSAMAKIIPDSDEMFVFRILDNLAR LCTSSSLDVVLKSLLRTTAVFLSVLHTWTAQHPAALPAIYVILSKCFECSEDDSICPM RVAEDHIGAVALVKMSSRCANLMASSSSELHWLQAMKAVYCANLHVAPKMTDKSLGLV LEAYAAVAAVPPDNYYDTATPAVVDLCGVMFDEMETLVPQSNDHARKSLMLVLGHLQT LVASLPPPLPPHSSRGTHPMLHVFQLNWSTLHLIFTHTQSLPIQSKVSVVFSTLFRHV GVDAASLALSVIPMFMDAYDATGCRGFLDAVASTLHCASNETADLNRLLVLTFSHVAS RASQLSLADDDLVAGVFDFVIIGGTSAPWLFGRAACFEFFFAFATEALSLGCANPSLF RFFQASWQWAHLAAASSSSNKAIMHPPNSFHHDVWSYVVPRMPAFFQRLFAATTRLGP TAVLDDTMDAVAETFLHAGRAFEPVQLELWTTQVLTSDAAFPKPGVAITVKNEFVELM RQPHVATARKLRRLLKQLCRN H257_00471 MSTDGLGGNKLRNQNQEARLVWKPDYELKFGLVPQDGDFHNHII TKASCGFCDRYGREGKERGFPDIEGAESAIGSLLPDAKRRRVGCKVWEAFRTDHIKRH LETEHPVKWAEYQNLSTEAKLRFFSQNPISLDGSDIDLHRLPFQKVPPVTLEKVGAIA ILKLNDPTRLNALTSDMGIRLEELVREIIDRVDEFSAVVLTGEGRAFSAGGDLAFLQA RIHDTATRNSAIMRAYYERFMSLRKLPIPLVAALNGAALGEGMCISLFADARVIAREA KVGFTFVNLGLHPGMAVTHYLPKLVGPDHAAHLLLSGKVISGEEALIFGLATKIVDKE DVLKAALALAEEMTAGSSVATRTLLRTLRMHQDAGAEIALAREVDCQATSFASADYQE GVNAVAEKRKPMFNISEHYHETTEG H257_00471 MSTDGLGGNKLRNQNQEARLVWKPDYELKFGLVPQDGDFHNHII TKASCGFCDRYGREGKERGFPDIEGAESAIGSLLPDAKRRRVGCKVWEAFRTDHIKRH LETEHPVKWAEYQNLSTEAKLRFFSQNPISLDGSDIDLHRLPFQKVPPVTLEKVGAIA ILKLNDPTRLNALTSDMGIRLEELVREIIDRVDEFSAVVLTGEGRAFSAGGDLAFLQA RIHDTATRNSAIMRAYYERFMSLRKLPIPLVAALNGAALGEGMCISLFADARVIAREA KGMAVTHYLPKLVGPDHAAHLLLSGKVISGEEALIFGLATKIVDKEDVLKAALALAEE MTAGSSVATRTLLRTLRMHQDAGAEIALAREVDCQATSFASADYQEGVNAVAEKRKPM FNISEHYHETTEG H257_00471 MSTDGLGGNKLRNQNQEARLVWKPDYELKFGLVPQDGDFHNHII TKASCGFCDRYGREGKERGFPDIEGAESAIGSLLPDAKRRRVGCKVWEAFRTDHIKRH LETEHPVKWAEYQNLSTEAKLRFFSQNPISLDGSDIDLHRLPFQKVPPVTLEKVGAIA ILKLNDPTRLNALTSDMGIRLEELVREIIDRVDEFSAVVLTGEGRAFSAGGDLAFLQA RIHDTATRNSAIMRAYYERFMSLRKLPIPLVAALNGAALGEGMCISLFADARVIAREA KVGFTFVNLGLHPGMAVTHYLPKLVGPDHAAHLLLSGKVISGEEALIFGLATKIVDKV H257_00472 MNNLLRLAATKSHVAGYRSLSTTAKASVTLEKHGQIGILRLNDP NRLNALTANMGDRVEELVQEITARADEFRAIVLTGEGRAFSAGGDLEFLQKRSNDTTS RNTVTMRKFYGRFLSLRSLPIPLVAALNGPAIGAGMCISLFADARVVAKDAKLGFTFV HLGLHPGMACTHYLPLLVGEEKANYLLLSGKVITGDEAFKLGLATKVVDKHDVVAEAI KLAEELTSGSSIATRSLLQTLRLKQDKDLDMALHREATSQAVCYATADYREGVDAIAS KRKPKFGSLERYYT H257_00473 MAGQLPHVLVISGVSSGVGKTSIAIGIMASLTHRGMRVQPFKVG PDFLDPMHHTLACGGIPSVNLDGAMMGRDGVLESFSRNCRASEADIAIVEGCMGLFDG RDGHSDCGSTAEIAKWLHAPVVLVVDAWCLGRTAAAIVHGYASFDPDIRLAGVILNKI GGESHAQWLRDAISSSVLLKGVLVLGCLPKSVAVVMPERHLGLHLPSSETHTTIQHHI RLLIDAHVDLDTLVSRMEDADSAVRAPTSALSLPVLPDTLNRDLSRLPPVRFGVAKDD AFCFYYHDNLRHLEAAGATIIFFSPLHDTTLPSGLHGIYLGGGYPELHGTILEANKSM RASVHAFASDGGLVYAECGGLMYLANTLHDGHGGSFAMAGLLPFDVTMTPRMVMGYIN ASPSPALASLLRLPINLVLKCQQFHFSEATNDVGKPVEQLGPTGVGIGWTGVAHPAFH TTIVRSSSHTPQSSPEGIVQASTIATYCHVHFGATPEMAPALVAAARRHMRYVSLEAT ATETLGAIWTTKDDEDGYTTNPSVLRGISEFCTSPAWLVRSLPKLTLSLITATTSQEI ERQVQELHATGLRDLHSIDTVSLQMSKPDVVFTQEACDRCAVTDSALLRALDAVGLAD VTSTVLCRPLTVNDMLSQVLRLAAVVGESRRGCILHAALTRRLQVIRDLIDAENPTKP RVLGLESAFPLVVSGQWLPDMRLRAGGVEALNESYPGCPPRRLQWDEIVAASPEVLVV ACCGKSATGSAKEVEEHLAILPGFWDLPAMQTSPPRLYVVDHDLSSRPGPQLVEGIEI LAALLHPTIEFPRQSLHKAVLQFVGPQRCLNLAEYFVPVNIKVGTKIDATQLETLEPS PIATSPRTLSPIQSLPAPTIATSQAPPSGAFPLAVSAHVLLSWNHKLLLLSGDTSPLD DATNLWEADVSLANDGAPSSLLVWRPVPCTAVYGEAVPTRRSNHAAVVWGDILVAFGG WDACGLHPLADMELLDLTTRCWTHGSTVGRPPSPRGNPSMVLASNRVLLVFGGWNGRD RFNDVVQLDLTSWSWSTLSAHDELHPASPSPRTDHTAIWWPSHSKNEHDRSGDDIMVV FGGSDKHQGPLNDVWAYDPSRAGTVDAWEQWICTGEVPPPRTSHAAILADSHVMLVTG GQSHLARSEACPSVMDSVYALDLTTRVWHRVSHVLPHPVCRHSMAMVRPTSNTLPSRV LSTSGVVVAVVGGYDGETSTPLVHFIPQPSLDMLDTLIVPADEVNEVGAEKPTSSSTP RPPSSQPPSSQPPSSQPPSSQPPSSQPPSSQSTPPSSNVVQHTWAPTTPLTWSDIVAD VTLAEDVQEIEDMDDEDGTDDLASERYRLLHRVACARGYRQYVDPGSGYTVFTALYLK DRACCGYKCRHCPWGHKNVKKGVKNPNPNLEW H257_00474 MATNGACEAPAKAERGLFGNFVIAAAATSLATIGSNPMEVVKTR MQLQGELAARGAPIVYRNSFHAFYTICRLEGIAGIQRGLVAGMFYQSFMNGARLGFFE QLQQVYGATDPNHYSFPLRNMAAGATSGALGAIMGSPFFLVKARLQAQSSAVSAINAQ YHYKGTLDAIRQIIAKDGVLGLYRGVNGAVPRVAVGSAAQLSTYASSKHLVLSTGLLE DGILCHLAASLVTGLAVTTAMNPFDVVSTRLYSQKVVNGQGVLYSGVIDCFKKTFGAE GVRGLFKGWSAHYMRLGPHTIFTFVFWEQAKKLAASLGY H257_00475 MPESPNSRLIRRDSRLTVDNLLREAFAKVDVDGNGVVNASDIQA GLSKAGVRLSTEDTLTLMDKLDRQHVGKVTFDDFHAIHERFIHHIFESFDTHQKGYLD DVTLQEAMQKLGIAVTVAEATSMIKELHPKDVSKITEQDFKYLYLLMRSKMVTNPNLE ALLWDPDVREFSKQWWKASVEIGEGGLRAPLPSDSTSHKKTVSPTVKFFAGALSGVIE AVILTPLDVCKTRLQLDKTGQYKGMIDCGKQLVKGEGAKGLYKGFVPWTSHVVLKNGT RFYFNAIYRKLLADGNGQVTGAKEFLAGALAGATEAVLIVTPFEVVKTRLQGQTIVRG EVPKYRGTLQSTLTIIKHEGPMALWKGVAPTIGRQGLNQACSFWSNTYLKKNLWQLKD GETLSPWKSMLTGMLGAIPGPCINCPMDVVKTRMMAQENGKGVAGKYTGLIQATTLIA REEGVAALYKGLVPRLTRLCPSYGIQWLVMDQVCAYFSQ H257_00476 MFYPDAPKAHAPKSGNPRLLMPLDAWLPRPVVAAAVGIGLLGAG AGLLYISLFDPSLDTSRTSIPLSADEEAVAKLALHSHEHVLAIKQRPGRSVQLFSQTW IPKRGVTSANAVVILVHGLNEHSSSMLQLVHTLLEENYIVYAFDHEGFGRSSGLHALI HSHESLVDDIHQHIKAVHANFPTKKRFVLGGSLGGALTLHRLLRDSSDIDGAIIQCPA LEIHATRQPPAIVQAIGHAVAYVAPFFAVMPSNGGKGSSECVRATVQKAKLQDPLYYT GKLRVGTAFQVKQCVETLQHQLVTQRALPVPLLLQHGTADVICSIEGSQEWFDRIQEC PDKTFNKYDQAAHDLLHEPVANQVIEDVVQWLNLRCYRPQMDFQSVTSM H257_00477 MSSPNTSSGKPALHNQGSTASLDALIFDEQKSAAATHADELKDD EPDAEEKKPRTSLSNVFPVDKLKNGASNAGKFFGSTLTSLKENSAKGWENAKQTKAGG ALASGLTTASVAASTTASRIKDTDAYKKTTTAAAQTFEKAKQGAEIGVEKVKQGATFA KDGAVQGIEKVRARVGKPGDEADK H257_00478 MEMQMTDKVEMDIPESVGIVMLDVGGTMFKTSKSMLLRMEGSYF HALLGSGLWKPDSAGDAYFLDLDPHLFRHVLTFLQTGEVSTSGFSDIECAEFEAMLEY LKLIVPKFQWDLTARAQYFTLSNYFRTIERKAAQNGKWTSVVVKQALVEGDFRIRVDS SHENHHFIIGLAPKRDASRITCCVSFYPSGEVYKQALVGTLRPIRAGDVLTIRRGPSH VEFIVNDGPRQNVELEDPSEELFPRLHTWRQGTKMTILGE H257_00479 MAEWAELERRIQENLANAPNIVTLDVGGTIFKTSKANLLRVEGS YFHALLGSGQWKPDSPDDAYFLDLDPHLFCRVLIFLRTGKLSASDFTDFERGQFDSML EYLKLNEVGAPQFQWDSKALVPGLTLSNDFRTIERLSSHDGNSTAAVVKRPLVEGRQC RIRVDACHGDNFCIGLATISGCNVSPFSRSNLTYRCNGNGYIYKKQTSTRALRQIEDG DVLTIRRGPMHVEFELNDGPPQYKVELMDPFEELFAVVLLYRKGTKMTILD H257_00480 MARRRASADSCELESPVFMAVGTPESCDEAPHTPNHRHSDMSPT LQAIQRRRHLESVAQADMDDIILSGIDANNDSTISDAVYRAQLAQCKDDNLRQLIRRV EMNYFTLKFAPKYEHAFVYVLHRRAIRRIRYTLLLGFISVLAKSLYGAHVTDGQALTY PESVALGLGMGIALPTIIAALVCTFVEAWTPWCEYYSSMAFLIVCLELTAEKLILHKP GPILPLFICFVPVFGITRLRFHVCWRMVAFTIALHLAALLVAGQENIPDIFFQGFSYL GGIVGGAVAHYRVEVLRRRNFVLYLPFCAESFDKFQVQCEMKDPKTSKHELLERVSLR FKNRHIEASFNRYWYLIDGSPFQNVHKCTLHQNAARTIRYAVQSSFLHQLLLAIQDWR YIYIAEQGPHKTYWIALGLRLSVVSAYFGAQGLMWRYGHRYSNYWERHGSKKLQNHEQ ESMSPPLLEAQATLPSNYVWKMQLWSASIVFLHALSMGLILVLFDVSGINSGVAAPCY YLALLNAIIFPHRSGFRVRFVFATVSTTVLSVVFAVICSALVPVHFVEYTTYVGVTLS LGMFISYEEESVRRSFFVRRAIRSHEFAAWHAAISVLRPHIRRKMAARRAAVALLRRV AVLPSSSSAVVDRAKAISATKIPTSNLLATASKYGMYFDAVQALVAVCILTAYLT H257_00481 MSVDEEAYKKAKELFVSGHNGTSVGEVALVLSVLSTSLWFYSEL MVVVEKLGLLGKSKLQRTLSLLVIEFVLLVLPILISFTFTEFTLPITLVFAVGAIALG TLSWRHASESDKKLARKDKLTFLMSTEKPAVTCFRAQMMICTCVAILAVDFTIFPRRF AKTETFGVSLMDSGVGLFIMSSALTSAYARGAVAKRASSSFSLRAIWLFLRPMLPVLV LGVIRYVSVKKVNYQEHVTEYGVHWNFYFTLASLYLTFSLLALFGSIATSVPVALLLL AGYQVGLTSYGIADFIFHAPRVDLFSQNREGIFSLCGSPFISSTTRFRLTIIMPRVLA VVFAVGGHRPSDFFHLQLDDLPALSPWRPLGRCDAVYDWNDCVGGDELSDQAPDPTVS PSNQRTLRWMDRVARRPRAHHALCVPPSLHVAAGAVDFHRHFAQPVVCVFDCQFDHGR RQPVHANAVRAAGRGIRHHAVVPVCRERPRSGPRMVSHQSQIVVVAIIWSEESRLSLY H257_00482 MQRLWGLTLWLMASDAVVDNAIYMPWRISTTATPSTSPPPPSNS YDVPPHTFPRCESARPEDITCHYRIRVVLATTLDATEVSMADAWLARLQSRTEGEVYK VGSQHCAISWETDEVYIPTMPTDHPCRYRIPVCTVFEATEISTNATSSNVTGSEDALL LGDRFRTIKSPSLSQHGEPCDVDAMVAFFGQAYDYHHLVQYANARGIPTAQFFFRHAE AHQLSESINAWTSEDSLFDVDLLGKFNLHYATESPYQCASVLANGMPVLYVDDGGIRR CSCQCRHGFELTSPGPSSLVCEEIPAMATDECVFNHRYYAYNIDTTFDHDNWNQCHIS QGHAIRHMPYPTHNRTDGKLLHVQVTSQSNNTLVFDQPNVPWLPPGQHRVDVLAPLVL RSPGVYLLQVDWTNSPIETNDYSVQPAIKGNGVACDACLAITDRFRPVSNVMCLADAA ALHELPPTLSSNVAIPTAEYSVRNLLKTDAFVTGHYAYGTDVRNDVCGSSEGGRCDVL KHTRRDFFDLTPIDQPFADGRTCLTDPVNESTLRRLQASPFGSDLERIQLDIPVPPGQ CTRCCTWETTLKEWWVNYQCPPHSPSAEPQCSGSGDSCVSSQCLVGFGPTFFQARAAI HPTVQAETEAMMSTVFPDVEYGPSSEVHVLLECTAFGQRNPSQKCSHGVPLSALLTWT SGLNDVSVVRTKSDQSFVSWRYRLITLHNSSTSVAMTSTGDSNSPPSNNEGNVLPWAS VDTDSFVRFDAARSVVEMEAWSQCGRVAAFQFHVMLHLTQATSTADAFDQMWYQTSTR GVSSQGLVLPEVLSPFPESAFAELTFDFAPVMGVETTSSSWTHQKQPFPHVPMWVSMG VQCTVQLGARSPALVVTSSKVNVSIVQRMAIALPRYGDSFVQVECNFTYKHVYFSNRT LDVTMLRKRFSILPQVDTVTFDQPECDLHRVCRGLLVQLSESGNSTEVVDTHFTTCRA STVCAPLFSLPGVSQDISQCVLEWDNVTLLQRWEQTLLWFAMPLGLLGLSGVLSVVVV VAAAWRKARRAVGAPGFDDDMYYYYALDI H257_00483 MKFVEVSSTTSREVLRQLAEVESRSFSTYESLGERIANEAEKPG HVLLVAVDGESVCGYVLFSSNSVAGRIVKVAVDPSKRRQGVGRSLMQEAIGRLHRSMS VSLHVSVKRSAALRLYQSLGFDIQETRQDYYQLGDHAYYMELQL H257_00484 MTHADPLAGAASMAPPVRMRDHRRSLHAELELIQDEKNDTVESF AAKKWSEIFRLHLLLTKSKRFQHLTLGALYEHLYRAFPHQMVTKPRLIGVLRTICGID ATKFTSMDGESKALVKHLEGLHYCFESTNTQTSTSTSKLTIDSSTLHMNWRLLLLSLK LLREPMLPESAYFWFGFQLFSSPGLLDDSPHLWITRHDLYNIFNFAASSHVCCRVINQ RIAQADNALPQSVLIRSQIQYEHFCQLQDHPVLHEVFETATQCTTYFIELMSPQIRHF VFQRRKFDKDRSKCRKFLSYYHTKSLRLYWALWLDQVQYRRHARRTVLRAMDQIAMSS RFQAFDKLRQHALRLVAATEIQRVYRGMRGRQRFLGAFTTLQAVLSIQRLYRDRGQFL KFVKLLKLKSKHAIKIQRIYRGRLGRIQARKQLLDFFATEMAKIQAQRDACAAADRRA AVRRIQVRLMTNPKGFVLCVVPRKRVLQRCYREHKTKVITKQADADNYDYQRVEMEMT RMLQVAERARQDHRAAVTAYFDQVREDTEAKVARDTIDARERHKVVVRRREREWAAIL AQRKSKLDAVSTSKRDRKAARDVEWRQKIESRALTRRDKLVQVLLRPNSKDDDTLKTA LLVKLDAKYKHIKASYKATGIYMASAEMQDRAQHDVLLDEMEAERARAREEWRVLELQ IAKQEQDDADNERRMEIERETNERFRAATCIQRGVKVCLARKLLRSKVERAFEKVYDV PTGQVVYLNTRTNGMCPKPSCLGAKDLPLADKWYICPDISGDVYYYNPKTMRMSWTKP DACVFCDGCSVKFAAVYCPNHMKGRYMDPLNLCAACYDEQVAQDPGLAVNASSFDGAT VTI H257_00485 MGLQGVHEDTRTGSTFSKAPRFQSRCQSNQQIKHQSKPSSVLAD IDTLLHSLGPLRPKSTPAPSKYHPNPAVTQPKIPSLPFSTTPRIKATRNTRLGPGIYD ACRADKVVARRIVGGSWPSPPPLTYSNPSPPTHNNQDCSDDDNDHDRSTDSDSSRSSV EKFVQQALCLERPSPPPKTRRAPRSLGYHSISYVLVDRRVAGTPLMAQAARSRTSVRR LRQRGIVCLLTKRSSSSRRHQIWLPPQAHSTWSLQTTRHRLVPGFGSQPPRRAATGRQ EWAMAQCHRQWSTRQGTMWSRQAGRDRIRCKFKGMKKVECFRAPLRRRGGEAPSQANS ESDTVRSMRSRGKVVQYDKALGRDDAVGPFGSNPYATERSWVLSESIDLTVDRKWVQP RLRVATIREPAWEIQNKSADNQLCQLDIDPQYNFGKKRLKGVVITKTPTSSSRIPMQK EQFARLNVEAVDVKYDIVKPNVKGIVAYQRPWTAATSVRGETSEQCILESNNLDLTRP RRGRAVDMSKCQERWPISPLDCEDRLLWIEAANKDKVALDLALSKISRTQGTVHMAKD TLQRFVPVKAKSYLNSDYDTSNQQMVLSTFENTNKSSVNMAKNNTSRNSHQLACDGTR LELDVENALRASSKSKRVVGAFNMAKQSSGPPRPKVAGHVLTLEPTRWTINTPRGFVS MTKHSGREDLFIQCKENPPLNLSLRNPTTVLSEFKRAQAHVNMSKSRGREAATKATNT LETLLSPKAAAALTKKVAMCVQMAKAVGREGFRSKLEPQTPAEELHLSPKLTSSTLSK YARVISHVNMGKSTGRSGH H257_00486 MGTSRLLLLAIAAIVSSGNYVQFNNEIGLHLQAQQAETPWRAMY TQASSEVVTMDLDGRGGFSFLDSSATAAVNAIVAPRCNQFPVVEGPCSIHVLFAVSKC LDTSTILVFSQWMQKLAAAERKFLTGGRACNVTFSTVLLAACTEAPRTATSSSSLSTT ELASYFTPFGNVYCSMAELGLNTTVPPAAVVSLFSDKISHDVVVAYEEERSVHTVFNF YVCPVGAVATKCQAAHKSFADDSTFLAQDADLFVHSPFKAVQSFHCANALPKGLPVVY VDPAGARQCFCKCPSGYEESTVNEKRVCVPAPKETCACYWSGRKYAFDITTASDGRSA ANTCRISNLYPQTINRIPYPRSNYVAQARTNDGDTNAVNVTDGSPLIQVSVTQVSGGS NELSYPVETTKRFAWSYFVQHREAIANDISLTGPGIYAIKMTAKGYRSAADCEVCVAV VDKFRPVSSSQCPKPFCDQDSCLDSTAVLKSQPVAEYTPKNIAAAQALIDAHVAYAAD KNVVNDVCGGSSGGRCDDKRFTRRNMFDAAYAEVASFDSGRTCFTDKVKPDIVAKLQE SPFGGDLGLLNVAVPVPAGQCTRCCKFETKLKEFWHNYQCGNSTPPAKVCGGSDPGCV TEQCLVGRGSTFFTASATVKAEYEVTTKELIANVFPTKGYQSETEIHLQLECSAFGRS DQGKCGHIAPLHDLFAVSSNLNDRSILVDEKQYVYWRFRVDGGDWRSFDDKVAVRFYG EHAVVALEAWSQCGIVKTFVFHVYQHLNQPISVNDDFDGMWYQSSSSLDSTTGGGLCN YAQSDFAELTFDFQPLAGLVVNQTQTLPWVSTGVACDVQYADTRLPVPLFASTARNAT VLRRFSFQMQSLPTTRADTTFSVLCKFSYASTYTNRTVTLPATKTFTIKNCDKPDWDC PFGECSDKCAAAGAPAPFSICGGRSVSTSASSETVVTFQRKACCATCGPAECQSVFGR SVVLRDEEDVLQCVVSEGAKSNYTTELLELQAHEDMTTSVSTAVVACVFLVLGVLLVV WGRRQVNTHCDDGSDMEGDLFVDYTMNGGYYPLLDRQ H257_00487 MHPLRISKATTFAGQSAMRKAVLGGAGKAEVSLEEQMQVDMHAW WQPLHDLIGHPTLRYHPAIETFLRKCADTEADKDGYEYFDIRKSVEGAPWFEAALTVQ TPATKKKNRYRDVVPFEKTRVRLRAPLSSCPAGDYINANYIWNEQYIACCAPPPSAIE DFWSMVWHDNVHVILMLTNFVEREMLKADMYWVAKGRAVDVGNFTVELQHEEESARGY TLRCMILRHPATNTSRRVYHVQLNTWPDHGVLQDFAVIKPMLCLVHGLNSLPDRTATE ADGVAGGRPVVVHCSAGIGRSGTFIAIDIILRQLRAVSTTSDDQLHDALDVRGIVHRI RSERPGMVQTAVRVIDFDRVFDGMLVGAISNDIPVHPSRVGRSELGPLADVGVGST H257_00487 MHPLRISKATTFAGQSAMRKAVLGGAGKAEVSLEEQMQVDMHAW WQPLHDLIGHPTLRYHPAIETFLRKCADTEADKDGYEYFDIRKSVEGAPWFEAALTVQ TPATKKKNRYRDVVPFEKTRVRLRAPLSSCPAGDYINANYIWNEQYIACCAPPPSAIE DFWSMVWHDNVHVILMLTNFVEREMLKADMYWVAKGRAVDVGNFTVELQHEEESARGY TLRCMILRHPATNTSRRVYHVQLNTWPDHGVLQDFAVIKPMLCLVHGLNSLPDRTATE ADGVAGGRPVVVHCSAGIGRSGTFIAIDIILRQLRAVSTTSDDQLHDALDVRGIVHRI RSERPGMVQTAEQYQMIYQYIRAVLAGQS H257_00488 MSDDWVSLVLVALVLVLSAWMLSRPQHNAGQGSLNRQSPQDPSA SARAGHCKAIVTESLTPTQKKLHAHLPLKAGVRPICLAGNVLLDSPTSRTFAEAAIAI VVDLIAVSDLFLLFHVQSEADKRDILHAVSQVPQFAWHPATQTGLQVHKILFCSTVAG KIALVRQIEPVVHVEGNQDVAIGLRPFLPSIVLLGTGGALPPSTSSTARDSIQLHPSL EAYYMSITA H257_00489 MEESLHADEVVHAMASLVQSSFGPLGAETLLFCPPEPPILTSSG YTILHYADMTKSHIAHPMKSFLMQKVRAVYRDMGDGVSQYLLLLDLILQRIEISRVWS TAFATAKSSIGPLFHATFDTSTIRTAVIFDAVTRLPSPSLHEAARAIVTTALTGLFNP SVVAYLADLTVDWMFKSVAASFHHLLPTTPADLHVYLDHIQRHANDTLLQLSMGPLDA SRITRRHEYLIRLSSHSNVTLRDEKLPMGQRIVLFVGSLDQLGASAVEVQVPSATTYL SSIHWSAQRVDEFVTTLKSQHQVNLVLCSECAPDHVVASCVQHGIVCLPFVDKADLFA LAARTGVSWLTNVFDPIDEARHVAVNTAPLRLIRAGGASFVVLDALTCPSTSSYSPTK EATEVRVVVPQVLLRAPSKGLCKQYYYAVKKGLRVLRFWCSSSSMTSPSSFCHDDVAT PQTYLASLGGAQAPELGLAHALMHSSPLSSLIPLPVRAILAQALVGTYSLLRANSTAS STSAPFPAVHHHPILMGRIDLDTCCDDTPNNQTTSRHEAIKGVVLDMRAVLTTHAGPV EVTRVVEARPEEYGVVHPLGHCCRLLEHVLGTLEEVCRLDKQFLRVRRRRTAKEREDD GP H257_00490 MAHASEACGSHFIALFTLLTGSMNTIIMKMQFDMVAQGTEQCDV GDGITSLRCAFHKPWFGVLQVKLGMTLCLVYLVIRKKLLHRPYLETPLPLHDPTHTHK LFIAVQEWPSTRTLVATVVPAALDLVQSIFSFVGLLWIPASVYQMSGGSMLIFSAFIS VKFMHVHLFLYNYISLALVAFALVLVSIAGSSHESESAPSDAWNTFVGMVFILLSRLG YSVNIAIEEYFMTTLHVSPILQAGMEGVWGLVLFVPLVPLLTWTEPGPSAVAKIWHED FVDTWAKLQQSPTLVGLVVVYVLSIAAYNVAANWVTKHMSSIVRSMIENGRTLGVWVI GLFLYYVCSRPATSPKMGEPWTSSSWLEVVGFILMVVATLAYKRVLRYPCVSFYRDDF APIH H257_00491 MQHLRSVVVRAACTQLRRPVAVAAVRSFPQASQALVQPAMSVRF ASSRRGPLVPTADDDDEDDDDFDYGDYFDGDDEDDEDNKVKYPAAKAFVGKPAPSFTA PAVVRGDISEISLSDYLGKYVVLFFYPKDFTYVCPTEIIAFNDRAKEFEAVGAQLIAA STDTPEVHLAWTRTPRNVGGLGKMKIPIVADVTKVISAKYGTLGESNGFPYRGLFIID GEGILQSYTINNNPVGRSVDEALRLVKAFQFVEEHGEVCPANWQPGQATIVTNPKDSQ EYFKNVK H257_00492 MSSAVHKDIAHVYKAAGLHSFETVKAVYLHPQPFSVENDLLTPT FKLKRHDAKEAFLPTMQVVATLVRVAIATRATCNTGMVLDGGDSKNMSPPSSTTPALP SPHSSKPKAIVSTINPLVRHCRQVLDGGDSRKMAPPFSTAPESPSPPSPHSSKPNAIV STIAFDTAARCRQ H257_00493 MALWRFLGDLFHVHDSAPTPAEIAQKRRPVKAKATVAHRRPLQT QSSSSLGYNDFLNAIVDTDDIKASHVGSSSVTSRPSSDLDDDPFTPRNHSTRHTRDPP VQVASMSYAGPQRPKRGFDTRLKAPPPPPSSSRLPRLRERSMQVPPLSSSTKAPSSFA KAPSSFAKATSSFTKAPSSFTKAPSSFTKAPSSFTKAPSSLNPAIQKLPPLSTHRRGS KWLFDYQDNQPSANVDSFVTPAVEKTRSNRVQAAKGVSSASTARAPRTPIDLTHFELQ LLPQQRRRSPYHTRNHAFNIASELSGRNSDEDGRSSTSSLRSPPVSTCDSMASYDTTT PRRPVAGRLTSSEYLARHASNDYLTVHPPEGGMCASKLPPPPTSSNTILSQFQAIRSR SDSTLVGLMRRPSMDFSTRLSEAAQRIPGDTLLPTCRRLARTSTPKTTKRVRFTD H257_00494 MAEKYLSDSTTRLKPDGSNYREWCVKTRAKINQQKLGKYLKKVR LADGTYPNGSNEEDDLVALSYIQLSIHADHLKFVKDTATTHETWESLKAIYESASEVN LVTLQLQMSKLEWSERTGLEAFADQFQEFMRKLSAAGDTTADKAHLNRFLCLLPPRFA NTVMYITRERRTTTAYDSMPPILAELKLDDERQKLHDPNLAKRANTMDDALNVGPDDC HYCGKTGHYKADCRRRSADREKGIYRQNVRQPPRTNGGRVDGGRGGGRGRGRGRGRGR SGWGRHDDSNYVKDDDDEDLFMVQEISIDQANGDMKTYRHETDGDKSGGPMELEDEID EVTADLANYATMLRDDDDECNNVLSSKAEVIVDSGATCHMTGDETILHDAIACKRGVR LADGHPISVTTMGNVRMKSRDTGRTVVFRNVLLVPSLTKTLISISRITDSADAASILF KKDYCNIRSRTHLSIVGKWNAARLYAVHGDVIKPRPAADQANSAEVAEPMLWHARCGH VPWNSMSAMIKATNGGPTNLRLPDSLSCEGCIRGKKTHTSPPKEGDRPRHKLGTCVHT DLWGPAKVESPNGAKYFVSFTEDATNYVWVKFLRKKSDTFAAMKEYLPWLERQSGAKL KTMRARISEVTQRRHKAVTAPETKPPFHPTRATARPHDADSPYMEAPAKTMRTTADRG ITTREYEDNAALAYDVCMNVADVDDDVPATFWEAMQTPDAANWLDACKKEVSNLQRMQ CYRVVTKPHGCRALKSKWVFKRKDMPDGSMAYKARVVIKGCAQRRGIDYDETYAPVVR GDSLRLTLAIVTERGMKCRQGDATNAYIHADSDRMLHMDMPDGFGDDSGRVWAIDKAL YGMKQSALMWYLHFKGILEDDGFAATRSDGCVFTRRTNGALQIITIYVDDILVCAETD EEVDAIFNHMQAHIRLNDMGPVSKLLGMEIYRNEDEMTMDVLQVTYIERMAAKYGLAS ANAVDTPIPPGTNLSEDIGAVLNDDKPYRQIVGSLLYCAMATRPDIVHAVTQLSRHLT QPHQLHMHMARRVVAYLLHTKTVGLSFTGGRRGSDKLVGFSDSSWADDRTTGRSTCGY LWMMAGGAISWRSKLQAIVTLSTAEAEYVGACLGAQHGMHLSNLLGEFGQKEDKPVVL YLDNQSAIAIGSNQASIQRTKHLALRFYFLRDLVKSGKFTLAHLPTNVMPADVFTKHV SKDKLKTAMAFMGMGGCCGFCP H257_00495 MSEAKYGSIHGNAPGTRAEGKGDRRALIYRTTTALLLAGILLFQ LNMAWTRPHSENSSSRQDLYSRSELSFLALIDRAAANDTSFPPSMSTEALFHRYGFAT TMSVTTHIKLTPQSYELHLVDAKQPSDVLATSVLVPSRLDRCSRPLDILAPVVHLVDS AAPINSTLVGNIALAPLGLLAENCRAIALAEAHNMAMLLFYASASSDNVDHGNISICP SYEVPLDLTPGYAATAGAPRLSLAAAEALQGLTLPLGHIASASGLDILTHLRSSSNVL LRVSRRCNHRVLAQHRVHATVEGTHPTKDMVVLSTTTSSSTPQSANSPLAKVLPALRA LLNTGWTPYRTIQLVASDLEGGLSADGDDNPTFHVHLAHTAPRTMPTATQLLPLHDTF THMTWHHVVTTSSSHLRQSITPNKDIGIVATQSADKFRQTARIDLYAHDGATTTTNNP PDLYSVKLWATLGLRAATQPLYRLALVAPLRLITSSASSFCSSTKHALMQTSIDELVA AAATQGRELDDMSAGAIQLWNAKWMALEALLAKHNEAPSSCMDDAAADNMSGLVKELV ASLLH H257_00496 MKRLLQNLLRHGKLVLGHDVCKHGKGTTFNGDCKCRREFQTTET VHESNRVNVITFARMFHNGALKEDSGDQCWIHKRHQPLDDLQTAVHPGHGRAEAVVVG LPTRRGGHGGQPLGQQMKHERRWSMCDGVFECPETSFQVIATPFVHEFEHRNHLFVIT H257_00497 MDLRQRIPSTQAPSSQAPSTEESKSTIIVAPDVVSLVVIFLMSL IAVGTSIYFLILHDLSAEDAAKIRFPTSLLVAKELGQELRDITAHSPGRVLIAHGLLY LFLQSWAIPGTIFVNLLGGALFGLLVGFPLCLLYNTLGSCFMYGLSARFGGKLVQKHL STRLHQMRVTIDAHRDDLTLYMIFLRIFPFSPNWFMNMSSPHVGIPLLQFAASVAVGL TPYNFLSCKAGLILSALQSKGDIIDTATTVQLIVVAVGGLVGLPRLKARFAK H257_00498 MSGVARSVDTTTNNLPPPPIVTSPRAVAYPVASIDRYGFLLADK RFSPRVNEAVKSRTSHPHHHPSSDTVWLENRRTQKWIAMTGGVDIEDWERTKQKQAAK LKSRVRKGIPDAIRGIAWPHLAGSSLMMKNNPCMYRDLLATPHAPCEDTISRDIGRTF PKHHLFKDATSLGQGALMNVLRAYSVYDPNVGYCQGMGFISALFLSYMPEEQTFWHVV ACLNQKKYGMADMYRPGMPRVMEIMWTFDQSMKQYLPKLADHLDAEGLHPTMYATQWF VTLYSYSFPFEFVTRVWDIYLHEGWKIVYRVALALLTVSEKTLLDASFEKIMEFFRDL PTSVHTAEVLAVALEIPLTTKQLTAWHDEYQSSQTPH H257_00499 MITDIAARSMLRSGLWKQAFHHLPRNLHTSGHALNSSMRMQGLV MPQTAAGPRRNFFNLTGRNNKKQLKKMEQDANANPEDAGRQVKFLQALNKDYPALVVR RVEEGRFALSQEAYREYIKALVRTNRMDATDTNLLLAKMQSAAPASAIASSNASALAT SGAPLSSALAAAQGLSPNEPMYVSMVDGGFKKNLWRTARVVALGFMVISALTALIEEK GLGGIGVKSKVTTAIGSDKKFADVKGVDEAKDELDEIVQYLRDPDKFTRLGGNLPKGV LLMGPPGTGKTLLARAIAGEAGVPFFYSSGAEFEEMYVGVGARRVRDLFDAAKKKAPC IVFIDEIDAIGGTRHLKEQSALKMTLNQLLVEMDGFDQNKGIIVIGATNFPESLDNAL TRPGRFDRHVTVPLPDIAGRKDILELYTSKIPLGNDVNLDILARATPGMSGAELSNLV NEATLRSSLLNQTVVDMSAFEFAKDKLLMGAERKSAIISPESARLTAYHEGGHALVAI NTPGAHPVYKATIMPRGQALGMVSQLPEGDQTSITRKQLLARLDVCMGGRVAEEMIFG KDEVTGGASSDIMQATQLARQMVTKYGMSDEVGIVFHDLKGNDTSAATRTLIDNEVRK LCDASYKRASSILMKNRSDLETLAKALLEYETLSGQEITDILRGVKLNRSKEIKKAKQ H257_00499 MITDIAARSMLRSGLWKQAFHHLPRNLHTSGHALNSSMRMQGLV MPQTAAGPRRNFFNLTGRNNKKQLKKMEQDANANPEDAGRQVKFLQALNKDYPALVVR RVEEGRFALSQEAYREYIKALVRTNRMDATDTNLLLAKMQSAAPASAIASSNASALAT SGAPLSSALAAAQGLSPNEPMYVSMVDGGFKKNLWRTARVVALGFMVISALTALIEEK GLGGIGVKSKVTTAIGSDKKFADVKGVDEAKDELDEIVQYLRDPDKFTRLGGNLPKGV LLMGPPGTGKTLLARAIAGEAGVPFFYSSGAEFEEMYVGVGARRVRDLFDAAKKKAPC IVFIDEIDAIGGTRHLKEQSALKMTLNQLLVEMDGFDQNKGIIVIGATNFPESLDNAL TRPGRFDRHVTVPLPDIAGRKDILELYTSKIPLGNDVNLDILARATPGMSGAELSNLV NEATLRSSLLNQTVLCDAYILVIICA H257_00500 MSVQVKKEKATKKAMAKEVVKEVSAVQEPAVVSDDEGNEDDTAL SYERIEVLQDAGINATDIAKLKEDGFATVGQLFQVSMKRLLQVKGVSEAKAEKLIQAA KKIVGEKSGFMSASTLLTNNKQKYFITTGSKQFDMLLGGGIETMSMTEVHGEFRTGKT QLCHTLCVTAQLPRSQGGGAGKVAIVDTEGSFRPQRVAEIAKTRYDLDAKDVLDNIIV ARAHSHDAQMDMVLKLGVLFADPERGPFRLLIIDSITALFRTDFSGRGELSERQQRLN VHLQRLVKHAEEFNIAVLVVNQVMADPGANAMFGPVLKPVGGHVISHACHTRVLMKKG RGDNRICKVIDSPCMPEAECTIQLAAGGVCDADE H257_00500 MAKEVVKEVSAVQEPAVVSDDEGNEDDTALSYERIEVLQDAGIN ATDIAKLKEDGFATVGQLFQVSMKRLLQVKGVSEAKAEKLIQAAKKIVGEKSGFMSAS TLLTNNKQKYFITTGSKQFDMLLGGGIETMSMTEVHGEFRTGKTQLCHTLCVTAQLPR SQGGGAGKVAIVDTEGSFRPQRVAEIAKTRYDLDAKDVLDNIIVARAHSHDAQMDMVL KLGVLFADPERGPFRLLIIDSITALFRTDFSGRGELSERQQRLNVHLQRLVKHAEEFN IAVLVVNQVMADPGANAMFGPVLKPVGGHVISHACHTRVLMKKGRGDNRICKVIDSPC MPEAECTIQLAAGGVCDADE H257_00501 MTGFAAFEAKMLAEGLSQAAIKAFEYSYSALQSGATGMIGESTI ESVNDIDYLEGRAGSIRESIKPDVSLLKKTVVLKLNGGLGTSMGLDKVKSLLTIKGSD TFLDLTAKQIIEMRKNYNSNVRFILMNSFSTSDDTLEYLQKYPEIVSDVDLELLQNKI PKIDAKTLQPAEWPLNASKEWCPPGHGDLYPSLLGSGKLDKLLAQGYKYMFISNSDNL GATLDLELLTYFAQTDKPFLMECCERTENDKKGGHLARRLADQRLILRESAQCESSDE AQFQNIDKHRYFNTNNLWIRLDKLSEELKKQGGLIKLPMIKNAKTVDPKDASSTPVYQ LETAMGAAIECFAGAGAVCVPRTRFAPVKKCDDLLLLRSDAYVVTDDFRLVLAPQTEG RATTVSLDSKQYKLVQQLEAALRGNVPSLVNCSRLTIKGNVGFAAEVVFEGDVTIVNN AKEQKTILSGRYANQTIDLTNQVGLGKLAVSAVATTPIDGQKPGTSGLRKKTKVFMQP NYLNNFVQATFDALPAKDLLQGTLVVSGDGRFYNKEAIQTIIKMAVASGVDRIWIGQN GLLSTPAVSAVIREREGGAVAFGAFILTASHNPGGIDEDFGIKYNCENGGPAPEKLTD EIFHNTKIVSSYKIAAAFPDVDVSVVGKTAVKSDDGSRTVVVEVFDAAEDHVHLLKSI FDFGAIKALLARDDFSFVYDCMSGVQGPYAHRVFVDELGASPASLINATPLEDFGGHH ADPNLTYAHELTHLLGVDAKGVAVYGQAKEVPAFGAACDGDADRNMILGSRFFVTPSD SLAVIAANANVIPFFRKKGGLRGVARSMPTSGAVDLVAAKLGISLFEVPTGWKFFGNL MDSKAVYNKEDYTPFICGEESFGTGSNHIREKDGMWAVLAWLSIIASKNATPGAPLVS VQNIVENHWATYGRNYYCRYDYEGVEKAGADKMVAAMASSPSLAGQTFHGFTVKVNDE FTYNDPVDGSVSAHQGVRYIFTDGSRVIFRLSGTGVAGATIRMYVEKYEAASGNLSQS AADALKTLIQVGLELSQLEHFTGRKEPTVIT H257_00502 MHAIWLCSLERDLMLAKTVEGNRATNMRPQTSEGDIRPVSSGGV KSSASTRKLRPLESTTDEAKKRKQAEGHACWVAWCEQKDQRRRAELESSSKHSTHQHK HDDRRGTSSQGVDAFFATWKRAKWKQKQERLEAKEKQQQHELELLEKFNAEKRALVQE RQAALAKLTTTKKKRKPKKRHVVVPPPVSFHKLMAVEVMSQSFQAEIEARMQHQLDLQ DKEASRNTFRDEIASKESKRFQAKIHSTHAHRADSLEQLRHWAKPTPEIYVLASLLYK LVALETPSAIQIVDVWRWLPWSILQTVFRNDLVQTLQAVPIADLAPRSLAVLYLHCAQ PRFTANSIDERSAVGTALRMWVNNVAAVHDLVDPATLHVKGIDSLKSCGVSCRDIGAL VLLLHVYPHINFKLKDCSAYTDKMAMVRHEDLALLRELYATQPPPGPSHTDTMTKLCA DHTPASALINLLDLPDFNRKAPKSRSKLAARLAARLHERHDMDESIPLPKDKGGPLHE DFVVVYKLTMPAKQEKDALNPINYIQQKRRDSTIKQASPWTVKPSRSTSHLPKKVVST CPLIRPTGSVKASAVDPSPFAPKVSKANCHPITTLEQHAESDAATDDRDVTSPGVSSQ ETSEQLHPATHNQFSSLNLNESVHGDSALAPLDQVATAKEITHDLPTTTTSIHDDDPN TATSMSLEDDIERLLTPVDPANWSDDGEAAYDRLDVSAMPDPHLDEDDTCDNIIDDDD ATRSSSSVDGDGDRYNHDEFEAPTTSNVD H257_00502 MAVEVMSQSFQAEIEARMQHQLDLQDKEASRNTFRDEIASKESK RFQAKIHSTHAHRADSLEQLRHWAKPTPEIYVLASLLYKLVALETPSAIQIVDVWRWL PWSILQTVFRNDLVQTLQAVPIADLAPRSLAVLYLHCAQPRFTANSIDERSAVGTALR MWVNNVAAVHDLVDPATLHVKGIDSLKSCGVSCRDIGALVLLLHVYPHINFKLKDCSA YTDKMAMVRHEDLALLRELYATQPPPGPSHTDTMTKLCADHTPASALINLLDLPDFNR KAPKSRSKLAARLAARLHERHDMDESIPLPKDKGGPLHEDFVVVYKLTMPAKQEKDAL NPINYIQQKRRDSTIKQASPWTVKPSRSTSHLPKKVVSTCPLIRPTGSVKASAVDPSP FAPKVSKANCHPITTLEQHAESDAATDDRDVTSPGVSSQETSEQLHPATHNQFSSLNL NESVHGDSALAPLDQVATAKEITHDLPTTTTSIHDDDPNTATSMSLEDDIERLLTPVD PANWSDDGEAAYDRLDVSAMPDPHLDEDDTCDNIIDDDDATRSSSSVDGDGDRYNHDE FEAPTTSNVD H257_00503 MHLIKTTVASNNGGSSSWMKPDSLSTSRFGDDGGDEGSSHLEHI ASQLIMSEDEDDSAPVMSAYMTRQAERAGITGLSPMEEMRLAHGELAAKVAKKRDLDD LPAASFRLNHYLRVPPVKKVLKRMHSSMTGISSSKKKRRDGFRNAPSVVCEAEVKRIT QELANNMDDMTAEQAINFVQSVQQREDIIKMRVDDYDAKRVPWFLISPASKFRVRWDI LSVVLITYNGFYIPFSIAFGRRTDVPALEVMDQFQIMFNVLYFMDVVVNFFSAFEARG RVEIRWTAIIKRYLLTWFIVDMLAATPVEAIYMALHRHDLTSSNLNKYFKYLRIVKLS RMLGFTHILNRVEYALLIKSTRSGLMKFCLLVCLTSHWFSCFFFFISNDVPGGWVDRH HLQAMPIYDQYVNAFYWSIMTMTTVGYGDVTGQNTHERGFSIFAMVVGAWIFAYGITN VVATVANLNHNDTEFQHKMDGINNFMERRDLPMELRTEIREFFMNSRLSTENTLKNES KILSELSALLRSKIALAINDSVLNKMPFFEGADHNFLMELALSMKMVCFPPHEEVIVE GEIGQEMFFIFRGAVEVMKDGDQIAVLGEQQYFGEMAIMNTNCMRLASVRTLCFCELR MLTRQKFLVALSHFPSMRKRIARIIHRRKVTHDQEMVHRKSSDFTGPTAPPSIKQKST PRGGIHRRGSASPTAMEDLAASMVQGSHNLLEKVVGPDIFALEADAIVGSTMQRISQM NIVPNRNNDGAMAEGPPSDELRMIIHTLLEQQEMLLTEVAKLEDKVRALLPPSDPVKA GPHESYLTP H257_00504 MRPRLRPKPSARTKKVSARGSDGRSQDLLAEEDVFKRQMMALPG HVEERTTEGEAALDENDEEAPIAEGLLQLPNGRGANLQSAYMMRHIHSEHVMGLSPIE EMKLAQGEFQAKAAINMRLAMSSVASSMMGLIHPPIHSADTSMKASTAVTPITHPVAP PVRKTSAAQALLAKFHPSGVDKHPGPHIRSMQQVTIDRLPEDDLRRLSATFNKDVDNM TPQQALNFVEDAVKTENIIKQKANDRKVKKLPWYLIHPVGPFRLRWDVVSLVLLLYTA TCTPLQLSFNSHLDMVLLAKLDMSIDGFFLVDLCLNCFSTYEVRGRVERRLSRIMHTY FRTWFCLDVVASFPYNVLNTYLLEFNDGLRLLKLLRLARLPRILTRLEYSLLLKSTVS GLAKFFILVMMTSHFFSCGFYKISEGDTVHGWVAKYRLGNLTLYDKYVTCFYWSIMTM TTVGYGDVTGQTTSERVWSIFAMVNGAWIFAYGITNVVSMVSNLNMADTQFQRKMDFV NKYMDVRDLPLSLRSEIREFFFNTRITVDTKLKNESKILSELSALLRSKVALAINDSV LNKMPFFEGADHNFLMELALSMKMVCMPPHEEVIIEGEIGEEMFFIFRGAVEVINGGQ QVAVLGEKQYFGEMAILNQNCLRTATVMTLCFCELRMLTRERFLLALTHYPGMRQKIS TIIRRRSQAVAKVAAAAAASRRVSTSIGKVIVQKKRREPQLAPIASENSYVEPKHGPS MSFPDVQGDAAVLEAVVDAAAAPVPADNGSVTPPSSYVEDDGSGKLTTDLTEPSLNPG STMDRIEFCQQPVNPADAARQQILELIQSQDELVNHVLLVHDKWTHLQSQMHLMHQEL AFYRATYGTAQRDATFSKLNNDDYVPPLTTAVAVSPAYKADYDELTSETMES H257_00505 MMRATVRSVLPSALRSAARPSMAKAAWTASRHQPVSLHNALCFT SRSFSDKIHVTFVEADGTKKDVSAVVGETFLEIAHNNDIELEGACGGELACSTCHCVF DPAVYATLPPITEEEEDMLDMAWGLTDTSRLGCQIKATPEMDGITVTIPDGSNNML H257_00506 MAEAKDGSDNELEQLQFKVIILGDGAVGKTSIAMRHTEDSFSNV YKQTIGLDFYLKRLSLPGDVQVALQIWDIGGQSIGGKMLKNYIFGAHAVLLVYDITNY DSFQNLEDWLRLVQRTFGESKLPYIGLCGNKCKLIVLLYCCLYPISNDMSCQGDLNHL RTVKAAKHKQFADENDMKSYMLSAKTGDQVNSTFFQLAADLAGVIVTRPEVEVTSPAV KATIINHDQNDPDVKAPDMRKNSKGCSIQ H257_00506 MAEAKDGSDNELEQLQFKVIILGDGAVGKTSIAMRHTEDSFSNV YKQTIGLDFYLKRLSLPGDVQVALQIWDIGGQSIGGKMLKNYIFGAHAVLLVYDITNY DSFQNLEDWLRLVQRTFGESKLPYIGLCGNKCDLNHLRTVKAAKHKQFADENDMKSYM LSAKTGDQVNSTFFQLAADLAGVIVTRPEVEVTSPAVKATIINHDQNDPDVKAPDMRK NSKGCSIQ H257_00507 MRYVAAYLLVALAKEDVTPADVTKVLTTAGLEIDAERLDKLFED VAGKSIDEIIASGSTKLAKFGGGAAAPAAAAAGGAAAPAAGKKEEKKEEEEEADLGGG IDMFGGSSDY H257_00508 MAPANKKTQAGKKTVLKFTIDATIPVDDQVLDVASFEKFLHDRI KVNGKTGVLGDVITITREKTKLHIAAQSPFSKRYLKYLTKKYLKKQQLRDYLHVIASD KSTYELRYFNIHNAKDEEADEE H257_00509 MSIRGSKFATSNSRNKHSSRSGGSSMHHRNAQSFARLNSLDYHD EIMDAYGGSYNYDYGVSSHHGSIQTMAHQATSAISEEESESNLTMLMNAFPEVDPSIV QDIFIAKNFDVCGTAEILSGLLPPSTEVPAVVVPTSGTSDTDGDDDGEWSYHDADDAA SVGSVDWVVVHDEWEVVDPNTSSTFGRSYSDVLLAPHAGLREPFETVASQSVVPSTPS TSSSPLTASSTKDAAEDQYSLCDDYYDIKEYGQRARLSRRPSSHPKAKKQLTPAK H257_00510 MMHSIPVHDTSVPDEAEMEDLHLHSPTSESSVSLIPLDASTARN IYGNGKKLVPSLSVPLWNKDTRFLGLYALQTLLTIIAVATIEHRSKVKAAAALANETT IAGSVRPDYDIADRGVPYIGYMVLVGALYSLSWICVFFYLPKDIYIRFSTISSFVGLA PLALVLVLSGSWGGFFLGLGVALIAFSDFLWTRKNKLGFDFVAAVFDLVAKVLLDLPS VLLAVVGILLLGTTWAFWCGQLLADVRDDEGWSFNLLWLFFHFYWTSHLVHTLISLLV SGTVMYWYHHWDNSNDAPHLFVDPPSSPRSLESKVSSVDLDDDIARRRGSKTKAVRAH VVVLHYTRMSMSYALGSACLAAIFCPIAHLLWNILRMANRDDSYRWLRVVVRPVAPSI EAFIQLYHKYSLVFVAGFGQSFATSAADAWKLMHDRGIEAIVDDDLTSRLLLFVANGC AGTMGTLCNIVLLGSHLRVYGTIVSFLVGYFVCQAATTMMNITVKTLFICFAVHPARL SRLNPIIYHRFMRLSELKSFGERHR H257_00511 MTSITSSRQLMERIAFELYDFHQDHCDDVEHAIQLAPTSYLRRF IRESLTTRRVSSTHTNETLFELVDKLLLLESRKRIVVPAASIPPLGQDGVLSRIALFE GDITTLAADVIVNAANTAMLGCFQPAHKCIDNIIHDRAGPRLRHACASVHPDSQGERL TTGHSSLTPGFSLPASFVSHTVGPQLQRNRGVRPSPSEEAALASCYTTTLDESLMLLG ATGQATVAFPCISTGLFGYPPDLATGVAVETVVTWLNAHPTLPWKVIFNTFLTSDTHL YQTYFTSKYNAKAIVDLPSSIARPSAIAEAAALIRDSDFVLISAGAGLSAAAGLDYTS PDVFAKHHPVMVKRGYRTMYEFIGPQDWTPALQWGYYFAQTNLVRYQWQPTTPVYTLL KALFHAKNTFIHTSNADGLLEQQGFPTQRIYTAQGDYSRLQCLKPCSQQSVWDIRPFL DRGMACLDPQTNEITDSEAIPRCPKCRGAMMLNVRGGRWFIESAQQKAAYEAWLDDAH TQVRERAKTLVVVEIGAGFNTPGVLRIPNEKLAETTGVALVRLNIHDHDVPLTSNGVG VSEDAAVALQVIMDSVL H257_00512 MLVLCEDEVGRWTDVRVEDACSILAQVPEPPTQLQMSCLTFRVP VTTQPILIVVELPLSACLVAADESVLQFAADILRCFPFKPVRRAAFESDSESDDDDET DRLTKKPKKSLGTKDDVDVSRIPGDDYFAAWNASDVQLVSGDDVAASLAPLSLPHHVI APHVTSKHAYIVAVLPPSSTSIEGVPNVGFLTTHPVVAPGFLTAIVQTCVDEDGTTVM WKQSVLSFNGCQELSEHGAGKTPPEMLDNIRRRHASGTFTKLVLPAMTWIDVVSKYAT TFPWIRGIQGDLRMRKIMEVILADPVSIRLHVV H257_00513 MSSNAGATTDEVLPIVDKTLARRQYFRERQRKCRRKLNADVVAI TEEFVHLQSVLDGLQAIRPPSVAPHEASDGPLSWHSIAAVFKRASHRVLTDRQSLIAQ TQQYQSLMHAMQRFVVMNIPPPMSRSKDAWHSATLVADPSARNLDDELYQLDMQAPDD HESITFLERMQYTLPGTVASFRRFFESHRMRDVFFMDLPEMVEERTNNTRLLHTNTPD GAFVNSLQGHFHEADRFIVVVRQVEHDEVHVCDPVLRQRHYRSWMEVRQVSPTHIIMR TVGHLSRVFRARDGFVSTTELAVLRGIDLTGIQDDQKDAYVWREFIRRGNANFVSWRR RFTALMQEESQHHHDNHED H257_00515 MVLGQIAKLYQKSVAKQLRQLGLRYDDALVETSEVQRAVHWLDR DQSLARTRRLSRAADLSFKRTYLPEEIQKIQEPYNFYLHDKVAEATELADERNKLTKW H257_00514 MGFLDLFGPPQESRRSKDSLPSVASTRPDKHRGADTFQVPVDLN TAWRYFRHDLTSHHDAETSLADAPPAAFTLWSPRAMDVNLLHISIRLEHGTIEHSVVG VLGPGFHLVLPCLKADSNSHTTACGMCVRIVDLDVHLRIHHVHATVGCRVEHRDICIY ERVPWSLLPTKFTSASVSLVLPRHAVFSMLRLVHMDQGTVVVSDVQPRSAAAVAGIRP GFRVVHVNDEDVTSVHQLYTLTTSTPSSPSSSYLSLHFAESDRHGDSLYQVTLHGSTD NVIFDWSRCGIKCRRVLDMVFVMEVGCSPYAQHCGIARGNCIVAVNGTRVPFMGFEAV SEFISGSPRFRLPLTLDLLDTTHEVYMALVDTERRLSTASLRLFHMDKATEQLRMLFV TVHSAVLVPLGLADVHDTCGLAALGLTTRRALLPFLLATSRRPQAPDFVRLVKTLQSQ FRRASALGPVAAQFVSIVLYTCCCADDGVVSREAAGAFREIIRMLPLNVVLLYVVPLV NRMKTSSKASVRLASIGVVAELITRVHQKTLAIRLHDQHDTKPDSPWPWTGVGDMEAL RWRHQMLEAGILFAELSTDNDPTVSCAARQYLPSTLADLMPFDVNWMWIVPLVEVLSK ALMPDSRLDALYMCLQLAAHHPTTSFWRMRLAGVFATLANDGNNSIRKAAADKFVDFL MKIHLRELADEFDDTCHGASSRHKASSSFLILSDTQRECPGDCAGSAESHVSDVRRRC MSSVDELPSAEENAHILFTLLDAFTNLLQDAPVEMQKIACRHVREVAALFGRDILVKF LVPAIQDVIVTDMHECKCHGSSAVYDSVHHILARELCCVTPLLTGMPDVITSDIVPFL ARLFQNVHHTHILVEIVENFDSLGYALGDARFLEHVAPLVAGIVDAEWKLRVAWAYNL APLLRWLGVDAFMATFQSSLEALTVDGVAQVRQLALEAWVAVVDLEGQHVPLTGDADD RMWTKTALAFVRQMTLTGNHHVRILCVHFYATVSHMLTSEQVESDVVPALTLLCQDVV PNVRVACARELRNMTVSHAHGEMLVARLQLDTDLDVKSYCSNHHHDDNDDVNAIQLHG H257_00514 MGFLDLFGPPQESRRSKDSLPSVASTRPDKHRGADTFQVPVDLN TAWRYFRHDLTSHHDAETSLADAPPAAFTLWSPRAMDVNLLHISIRLEHGTIEHSVVG VLGPGFHLVLPCLKADSNSHTTACGMCVRIVDLDVHLRIHHVHATVGCRVEHRDICIY ERVPWSLLPTKFTSASVSLVLPRHAVFSMLRLVHMDQGTVVVSDVQPRSAAAVAGIRP GFRVVHVNDEDVTSVHQLYTLTTSTPSSPSSSYLSLHFAESDRHGDSLYQVTLHGSTD NVIFDWSRCGIKCRRVLDMVFVMEVGCSPYAQHCGIARGNCIVAVNGTRVPFMGFEAV SEFISGSPRFRLPLTLDLLDTTHEVYMALVDTERRLSTASLRLFHMDKATEQLRMLFV TVHSAVLVPLGLADVHDTCGLAALGLTTRRALLPFLLATSRRPQAPDFVRLVKTLQSQ FRRASALGPVAAQFVSIVLYTCCCADDGVVSREAAGAFREIIRMLPLNVVLLYVVPLV NRMKTSSKASVRLASIGVVAELITRVHQKTLAIRLHDQHDTKPDSPWPWTGVGDMEAL RWRHQMLEAGILFAELSTDNDPTVSCAARQYLPSTLADLMPFDVNWMWIVPLVEVLSK ALMPDSRLDALYMCLQLAAHHPTTSFWRMRLAGVFATLANDGNNSIRKAAADKFVDFL MKIHLRELADEFDDTCHGASSRHKASSSFLILSDTQRECPGDCAGSAESHVSDVRRRC MSSVDELPSAEENAHILFTLLDAFTNLLQDAPVEMQKIACRHVREVAALFGRDILVKF LVPAIQDVIVTDMHECKCHGSSAVYDSVHHILARELCCVTPLLTGMPDVITSDIVPFL ARLFQNVHHTHVLYCYNHAIVLL H257_00516 MQSVVVRSSRGLVRVHAVVYLRPCYGQAAAMSTESPSAFQRLKK NVLDPFTLGAKELVRENREAWASRAKLQTTPGVVLTRREMFVLRQAPRDLLKSLPLLI AFAVPIAGYLAPVMGYFYPKWTLPWQFWTPTQKAQFFEEDVRQKESFYKDISQLVASV DTTNTFLRDAAASYTKDSSEDKMDPKMLPAFRDLFASPAALSALSTPHLKLLVQATSA SPFVKVITYLPKTHLVQRLEKRAGEITVDDHLLLQPGAVDVLSSAELVFACEERGLVV ASYNDEDACRAALNEWLSMYNVKQPVAHPPSLLLHAPILATFS H257_00516 MQSVVVRSSRGLVRVHAVVYLRPCYGQAAAMSTESPSAFQRLKK NVLDPFTLGAKELVRENREAWASRAKLQTTPGVVLTRREMFVLRQAPRDLLKSLPLLI AFAVPIAGYLAPVMGYFYPKWTLPWQFWTPTQKAQFFEEDVRQKESFYKDISQLVASV DTTNTFLRDAAASYTKDSSEDKMDPKMLPAFRDLFASPAALSALSTPHLKLLVQATSA SPFVKVITYLPKTHLVQRLEKRAGEITVDDHLLLQPGAVDVLSSAELVFACEERGLVV ASYNDEDACRAALNEWLSMYNVKQPVAHPPSLLLHAPILATFS H257_00516 MQSVVVRSSRGLVRVHAVVYLRPCYGQAAAMSTESPSAFQRLKK NVLDPFTLGAKELVRENREAWASRAKLQTTPGVVLTRREMFVLRQAPRDLLKSLPLLI AFAVPIAGYLAPVMGYFYPKWTLPWQFWTPTQKAQFFEEDVRQKESFYKDISQLVASV DTTNTFLRDAAASYTKDSSEDKMDPKMLPAFRDLFASPAALSALSTPHLKLLVQATSA SPFVKVITYLPKTHLVQRLEKRAGEITVDDHLLLQPGAVDVLSSAELVFACEERGLVV ASYNDEDACRAALNEWLSMYNVKQPVAHPPSLLLHAPILATFS H257_00517 MAVLQHNQRPWLVLVVSAHDVLVGTALKAALSVPAVDVVQLRHS STQPSPNSTSEFADAMRALPSMGKLLATPPLYVVNAPPDLPQNTPCLDGRHYPERYLA TLKERQQQWDGRGFFGVSVHAISSAVTAAALESSYLQVGTMFPTASHPEKTSVEGPEL MRAVRQALPSTRLIGIGGITESNCVDVMRAGANGIAVIRAILDADKPDIAATRLRQAL DNASVQDPSVNVI H257_00518 MNSHGTEHNQQLVSSSVIVERLILLLGETTLPVLEGADTDGGTN AASDNQPQADADGFGVERTPSEDQVFMVAVITTHAAGGAADATAQRGLDGRDGTGKHG FSSELTGKLLFLAILKHHGFLEQ H257_00519 MLGLRTLVALALFVACIATAHGDRKHASRHEEYEVDALGSLPGV SAETVREFKKFQQHNYPVHRSQVLPRKPAPSFTNVNAVVGEKFTKISLDDYKGKWLVM FFYPFDFTFVCPTEIVSFSDSVGLFQAINTEVIAVSTDSHHTHLAWIKTPRDKGGLGE MNIPIVADVSKRISANYGVLVTDEDDDMFGAALRGLFIIDPQGIVRSIQINDDQVGRS VPETLRILKAFQYATAHPGEVCPANWKPGHKTIKADQDAKYDFFDATYGQHERN H257_00521 MATPQPPSPMARQAVEKSLDLPLVAVFLLGTMIKLLLLPSYRST DFEVHRNWLAITSSLRRTQWYFDIGSEWTLDYPPFFAWFERLVGSVAFYVDPGMLNLH ALGYASYRTIVFQRLSVIVSDVVLFASIIKYCNAWPCVTTTEVAFNTNKRVVVVLLTF LDAGLLMVDHVHFQYNGVLLGLLILSVAYIREGEDVKGAFVYAVLLMMKHIYLYVALL YFVYLFGHYCFSVPSSPQTTQAPTTRLRTRSLSNIDTHETLAHLVAGRGRFSIARFVS LGIVVVSVFAVAFGSVILPDGSAPPHSVGEHLAQIASRLFPVQRGLCHAYWAPNVWAL YAFADKVLAVLLKVPAPVGAMSGGLVQDAVFVVLPAVAPWVCAVLTLLAMAPVLRDIY RFPDPTLFMPALVYCMLCSFLFGYHVHEKAILQATLPLGLLAVESTRDAKLYRVATLV GNISLFPLLFTPAEVGTRGLLTAAHALLAHLCLYPCHAHSLKLRRIKYTGIGLSLGQR MYLHAVVGTAVVAMLLPVVLPRYPFLPLLLTSVTCAVGVIYVWISAFEQHRRKLGALK SYLPQAPPSVATSVTDVKDTNHRFDIK H257_00520 MERTGNSSADCPCRKALLRKSIFTAAQDGDVDHVRSFFECRKAH LHIDFVDDFGYTSLHYASQWNRVEVVRYLLSRGANPDCAKCGATPLHRAAYSGAEESV ALLVQHGASLNLVDTSFGDHRTALHKAASRDHRAIVNMLIQASADTSLRDSTGHTYLT VPKELGWQEPSIIPANTSSKCASDEDALVVDGTSSVGLGRQCESCGVQCFAATKLACC GLLQCDACSDDRSRPCHRCGSWSNLTLSAINHSVQLPNQLPPTTKPPGGIPPTALKPR PVRGLFDIKQIHSRSSGSTTIITT H257_00522 MSEGSNYSLPPQTPSGQKKFNFGQAWADDAYVYGAERPGYMHPR RDQPSTPRGPDVSDKQVQEWINFMLINDIKHVLCLLTREELRFYSTPLLPAYEKAFTT VTHVDLAGDWRLSGLLASLTQATAAKERIVVHCTTGQTRAANVLAMWLHRTHAVGIDD AIHHVLETASLTGTMRNPTADGVLRLLRNVPATPLSSARSLPPPPVSLTSLPRTSFSS MPAAATAIPATPASTDPPDICFVHLGGCIDATLSRFVSNFHLDVGPPVACASILSAAG SPAALDSISICRKGHDVTTADLDAVVAALNTTRATLVVITMDVSVLWTTAAHLQPRRR HTQTIVCTGARVPACIPSASDAAFNLGCSLGAIRWIPPGVYVSLNGRVVPVQDDVASP QACDLMSK H257_00523 MPRSSAYDRDSADEGLERKSISKWTPEEDALMMELVQTHGTRRW SVIGSLLSGRNGKQCRERWHNQLDPSIRKDPWTSDEETLLKVAHHKYGNKWAEIAKLL PGRTDNAIKNHWNSYKRRGHRAMLHRAKASTMSPLPQPFMEPTPISEHVASDLSRHSM LPGNLKQEQQHFMYDQSSLAFPDTKQKQFAYMMLPHQFHPLMQQPLHSSPQNASSNTP LFPHQHHDLQLQHHHHNHPSTQNKENHGGSQPQLTVLADAAAVQTIVL H257_00524 MKSRVLCLHGHRQSAAKLKGKMAALLRAAKATTEFVFVDGPIAV PYEPTSDEHIQRMNELGIEGAEEQMQAVAQYAWWTFERRTDHDAHSYAGVEDTLSYLD NIIRTQGPFDGIFGFSQGGICAAYMLARQHQGDTRFQFSFGVFCAAAQMTDAAFKIAL DSPLPMPSLHIMGEQDELISIEKSRILAEQFDNPVLFPHPGGHYIPTQKDPRTVWKAF FEQQAAAAAAASTSA H257_00524 MNELGIEGAEEQMQAVAQYAWWTFERRTDHDAHSYAGVEDTLSY LDNIIRTQGPFDGIFGFSQGGICAAYMLARQHQGDTRFQFSFGVFCAAAQMTDAAFKI ALDSPLPMPSLHIMGEQDELISIEKSRILAEQFDNPVLFPHPGGHYIPTQKDPRTVWK AFFEQQAAAAAAASTSA H257_00526 MARKGGKGKGAAKRKAPLDVYEHGDTHDDLKEQRENDRMDVDGV YEYEAPEKISRDQDSEIDEDEAFNSDDDATFGTFFSGGNKKANAQGGGKSSADSDDNT VDDDVEDEDAGDLLSDMLGTAPASRLDTADDSGDDSSDDEDDDDDEKHASLLKMVHSA AKGPKRKFTHAETSEDVAPSAFSKKLGGSALTLEGLLGASITGLPGDDDGDDDDTVQS STAVKSLKQQLAHLEKDDSKLQAPAAPVVEARASRKVAYTDKKKQMDVFQPVVKANRE KETLDFRAQGTQRVENLTVASLTSKFVPEKPLENDVAALLEASGWSDKTMLKEEAAEL AANEVSVDEVRARQGELAKMRAMMFYQEQKNKRIKKIKSKLYHKIRNKQEDRKERMAL RELDPEFAAQMDADEAEKRAEERMTLKHTNTSKWVKHQLGRGIGADQGTRSAIADQLR RGDDLRKKMQSVNPDSEDDSDNSDDDAEHLDGMTETEKLQHRLQKKAAALVMDIEADG HSTDKVKGLQGMKFMQKAVEKQRERALDEAEALLKELRGDDYDAGDKTDDDDVATKSP PKKKAKVTAADRKIVDKDMVQGSLQTKAVMMDKSHKTRVASAIKIDFDGDGQSAAAVH TEAEADEAVAAVGTPAVPEENPWLSGSKVGKKRRKRHGKHKSEGSASVATAVATLAAV STADKDHPVTPLMPLNAINKKRKDVSSDAAGLEADDAPQKKAKSSSTSKQPPTAQEEL VRKAFAFAEDTENEIAKEKELLAARDADVKVGAEVARLTGMEGWGSWAGEGIKPSKRQ NARLALAHRTVQEAKAAALAKRKDHKMDAVLINEKKDKKAAKFMVNTVPYPFTSREQY ELAMRNPLGSDWNTARASNAMTVPEVMTRAGKIIQPLRLTNEQRAPKPPPKVTSKTAR QGKQRKAKF H257_00525 MPNVLVNGCFQRVLLNLDGTTVHRILVHRDFPLSTLQPLAHAKW ISVYIAEHSRWSVFPTPMHLMPCGQRLQVALDGHSLHVVLGSNHPVEMFGHGRCRKGR RDAWKASEVTLWTTHVSLVLSVNNSAKSIQLVNLHVGATDAKRVQTQLHFAHKKRPHQ VVVVAWAAAIAHNVHEVERKYQTILPPTSSQRSVTSRKFALTLDTRHHLRKFHAFRLT EASKQQCCSPADNRYRRTTFHQDTSVAFDSNNPWVVHLTAPRCAGGCGNVIETDQLTC NPTCERRAVLLGTAVTAAFSDEAVLPCTWCRNRPSQEETHDVDPPSGPRELVRDAGDV VANLTQYIVAYRQHVLWACGEWLQAWAHTCVAHRDPDKFRQRMAAWANVLPTAPQYGL AKEFASMRWDVVCVYALGRSVQSLRYMDDEVVPYLKLHHLLASAKAIFDLHAHAVSGQ ADAASRALSADDFLPVFIFCVCQAFLRSPLATLECMWSLCREDPLKGEVGFYLTMLEA ALEYIRTY H257_00527 MNKILLAITLLFAVFAFGTAEAQLNTTSIKNATDSGLHALNKTA DLIDDKADQLKDEIRDFFDITDDSTAEKVGKYAIVVAIAAAGVFVGTHGYKLTKPVSF ISGFGLGGVFFSAVFATLFPVSKAAPIAAFLVGGLILGLVAVYFYRVGIFILGGAGGL AIGAQVAQLAQAGHVLTIVLLIVFAIIGGILVLYLEKPANIISTSVFGSWMFVRSVGF FAGSYSNGSNFDTLTQAQKNAFFAYFGGLVVLAIIFSIVQFKYTAVGINYGLGKDDEK DAKKAENPAATAGAAKPNAQKPVNMV H257_00529 MPPHETTSVDFEACLPVCDRLTLPPHHKAPHHHHNRKRRKQRHH HHHHIKSLFYTLVAVVVACVLLLFSMMQRMTLDATLHDLMNAFVNADFIPHAVSKGIV ELRIPESRAVQPIDIERQLLGTLFTQLVSRKRKLMMEIVKGAHVVVENDQGRFYELFR TISTSTYSRISSHFSNDVQYGVPQGYLLDTLLTGTTSGNDSWFQFEGANWDPIGRPVD SFIHCLNYIEYKIRGVQVGPLGTSMYTDQNPLRIPFDNSTETASRPDAMYRP H257_00528 MNISIPNTKFSHSDYESKMMEAQSLFRRVVKAELQLLLDQSIPR DLAVKNLLQRIVKSATDPSESEVRKVMYQFQINRDDAVRALIVKQELGRLKQRGLNSF AAINELTLKMQLLLPLSPMSNRDTDDEAPEHVVPSIQDAATATPSSTAIDVANPSVLS DAPHHPTTTDTSPIKPKRKRKAMDLLTSPSHGLATSAASSSQEEPRVPSPPPVLAELD DVNAAALSKEVSLCQRIGNCSISSSPVATPDASSQSLVSVPKSSRKRRKVEGGDKASV MGDKSRYGTKKKLHLDVDHKFKAQFHDNFVKLTSNPPNKSSKRAWAKSSSSSDATTIE NDSIMDDAATTPRYNPKKHRTD H257_00530 MSHSAVPSDKASCSYKSRKCPNPRAVKRNGRVHTLCEYHRARQN EHQRKSDRKHKDTKHARQLMKQCGESNNAAHNHHHNCGDVADDAKPYPIMTTHIAASD ASLPSNAPEGVLYGILHKDLSFREAMELNEALRAVTASPGLM H257_00531 MSSVKIYYPLLACVLAWYCVTVASSQDTPDPTSSDGINGTSVAC PRIRKAWDRQTDVEKATYIHALQLSMDQGLYSKFMSIHQDAVSNRQAHGTCVFLFWHR QFLVGFENMLRSLDPPRTSCLTLPYFDYVQHNLDYVNQRCTTIESCSPILRDLGGSTQ AIRSTANIGGYTYPQFACVNASVASHFCADSQRSCSGCIPRGPWHSTFFNPDVNFNRV KRAVLPTGSSIAAVTSAIEFSVHNSVHSMLSGVMGNLFISPVEPVFYSHHTTIDLLHT IYHRCRVQPFVTGLTESSASDPRVFQGCSIPQTPNGGVTRNSSVFMQESGLRNNSLMR FFDGLPTTYGGLTDSTQLGQHSYSYDMGGLVGDLYTKCDAAGLGLPEVEERRRLTSGM RHVVRPIASADGNTFLGWRRAVFKRAQAQRIEDSDREMEKMMVMMYANCLPGNVTDYS PEFKAMWGIEGVVAPSKRLLDAIQDGSDTIKISNWTGLNQRYFGCGGAFPNDK H257_00532 MASAIAKKRRPAAKVSSKKASKMTTFFGRWPGDTQGAPKRIGAR GLPHGTVLGTCHGVDGYNCNYRNMVDDDSDGSHYLNGLYTGAKWQCVEYARRYWIINR RLYLPSIPHAAHIWTRVTHVGSLDNPHRRVALQKLPNFGTDKPIVGDLLVYKSTPGQY VGHVAVVVDVLEKTEGLWVVHVAEQNQYNDRLWKGGHYSDELTLNISTRGNHTTYGIT HKDTDLVLDGWIRPVQGQ H257_00533 MATSQRRWPGDNPDGAKSIPVGMVHGAVLGSYKGVEGYNNNYKN NPHAEHDDYDGSNFLNGVCTGMKWQCVEYARRYWIVNHGIVLPSLSWAAHIWDRVTHA SRLGDFAIVPLLKFPNFGTEKPVVGDLLVYKSTPSQWVGHVAVVADVVTKEGGTLALY VAEQNMHNDKLWAGGHYADELHLVTGTSRDGKTTYSITHPDPDLILDGWVRASLDDAV LRAPWSRPPPRLPLSGVYDKESATALQKFVGSYADGSHGGMTNTDLRNILRQHGDPSE APTTPNTAELVKCLRLFLARHWALVRSTGDDDSGKDLVAVCPGTTQCVCRVIRREEIH PASSEDGAAVEVCHTTKALQGFLNSVHHPHDLRSAAALVDRA H257_00534 MPWSCPHCTLINTSSSTCEACGYTNPLAVEIATPAAAAASNVAL PQPSPLSSYLPFVSTCFGCQNSIWPSSSTVQALGNTYHQACFRCTACSQPLPRGIRFQ IHANEPYHPECFRELYHPRCDVCDERLPITADGRIPYHQNLFWKQKYCPSHEQRDRCC SCRRLEPTASARHFEKLVDGRKLCGDCTHTVILDTDEVQPVVQDVWAFLASLGMHLPE LPVFLVDFDTLNAQSHCEHSTDSQAPAVYGVCLSEVKVTFHHFAHRAIQQLFRLDKPP SRRVNGIMILHGLPFDMTAYILAHEATHAYFKLHEGFPSSLPAQVEEGTCQLMGYLYL QYRKVMATPDESSQHAIQLRDWYIQSLVEDTSPVYGDGLRAALHAFNAVNSLQLLLDH IRETSGFPRL H257_00535 MPLYHVAASSRTKETSWLTPSSSSTATETLPMDESPVVPPVSDA AMAVYDLELRLLLTTALANNKRYTDQFGHILDDGYRLAMEKRHFRAFRRPTHTSKHDD FLVVGVVTTKLDDIGYALYADTSQASRTINSFLYGNEFVGGGILHTHTIKSPADPYRF FGVKHAIYQLLAPSLFKRREAVYLESMGSTTVDGLPALYTIRRSVDLPQYFGARGCVR MDIQLVNVFMALPSGHVRYFINMTINPHGNFPAWMSNQRSAKLYHVMSTLSQLAQIRR LIAAPTMPPPTSIIAAHSSQKCCMCPCVSKRWRWCRTCGQVTCIACAFHISKPGHLLR ASQAPSTVTASSSAPTSKQRPPTTLSSHAALSAMVAPHVKEDYCKKCFHKARNPQAFK PPDTALAGEFADLVPHDMDDTRATPRRRSSRASSAAQALAQYPPVMKHRTDSSGDMYT PLASTASSTTSSKGFRTPKGVPSRILHSEFNTPRHHKRLERTASDGQLFRPTTPKGDA PTGDKLLPLEESAIEFNPDLFESLQYQRKLLADMQALLLLSSSTKSSPRHATPSSSSH VA H257_00536 MLPLARAAAVVVWALCTCIRAADECSLPKSLLTVKCGHVVAVDS KTKICPFDPASCSVFVPGDCFDATGANQLAGFTLKCSYASSKCSSANETTFRSVFDTV DAANPSPFDAVPNAKSLTELGAVCFDRRGKDTGSVVLLRAERFCVVDTNCQPQPYTSE SKGTAQVLLDLKRAGENSASPAINLNLEALGPDLSVDGFNQTLTNVVTLDLRYNRLTS ISDTLFPTKMAFLDVSYNSITSIGNLQRNAPSLQIVLVANNNVNTLDGIKFPSNMTSI SFKNNSLQGTVDASALPSSLETIFLTQNQIAQVINAFPPDVSLISLATNKLTAFNMAS IGDKVRTLLLEENQIQQIQGTLPAKLTYLDISSNGITALPKFAPQSNYSVLNMSMNAF AWNGASPFPASVAALNLSGTPIQNGELNVSLLPPSLVALDVSNCGIKKVTGDLPPSLR SLRLDKNDLETWVVSSTTYKALVAMPDLVLPATSVFKCPESGTKYIKHFPVCVKDLVQ NTTTPDMPSSSSSTNYATYAIVGGGVIVIVLVGGYMWYQRRRDERSSIMSKSDPMDQD MSTFDFVHLGTSKRHDLGDEFNQFRIPMREINIINPLVDQGDYLAGARTMLYKAQFNE RLVVLKTLTTEGTEVSDAAAAFVQHIRLRSTLDHPNIVGFLGIVWGNRAKMAVTGYGL MLEFVGHGDLARLLAFDATKDPADRLLQWRPLVPANVSKLALLQQVASAIVYLHSFSP PVTHRNIQAKSVLLTETWDAKLSGFETDPSWIPSDLISPPEVLRGEAWTEKADIYAFG ILICELDLGRHPYVNDKNPANNSQIATLVKADLLQPTFSVECPLEVQDIATKCLHFDR KSRPAGVEMEFWLRKLVRTTAV H257_00537 MWIECDYPDDRIWTCPKRHTTNKSMPSASKKSKLRKVPIGPPPV KSRRRARELTTEFHRVTHELQRLKSSKAKKDDDASQRVQELEHRLKEMGGREAYQDAS ILSTSLHKTSRWVFQLLTKFELRPGKKMSPLRVLEVGAINTQLVVCPWLDVLAIDLIA RNPKIQQIDFFDLDLTKRFDVVVSSMVINCVPTPEKRGEMLQRTWTHLNDDGHLFLML PLLCLTNSTYMTVDHFETILKRIGFTIRDKKETPKIAFYCLQKQASDQTVGPISQQTL RPGPKRNDFSVVVL H257_00538 MGEAMAEEQPSSSPAVEAVAATPQVDEVDPSTVAVSVHVHGPAG NDEVLLEMVSPLDSVMSLRQMIAEYPVFAHHTSYHFELQVAESWVPLNDFVEFGEYTA LLDPSTTEFHTRIVLDRYDVRKVRAQVKRLRDVLANPPIPQQSSTSVVAATPEAAAPA KAKSAKEQTQEQLAKLKEIHRKLEGLVVPVTPTLSTFYDPVALHPPADSKNKALTTSS TSTSSKKGKKKQAATPKASTDKTPDQLPSTLPKCIDSIIISGFNPPPGPRKLAGDLAY LEVTLTDKKVLHVTAHVSGFYVNRSVGSKFDPLPAAAHHHLLVDVLLSASATFSEQYA SLLATAATWAAAGATSIDAMVQAGATASSGLPPWNTQVKPSSQHHAFDANRAQDELSV GLDERGVIRDWNEEYQCARELPNESVRDQIVRARVLYKVTSEFIEAATVGAVAIVDGS IPPINPMDDDNAWVYVYNHIFFSRAVEGQKHVHDDTEASHENAYSSANHDLQGVRAYN AVDVAGLHTLATVVVDYLGMRIVGQSIIPGILQGEAASKLVYGSVDSGVTIVAQPDMH EKMQKAGDALLLADRVMAADTSLVGPVEAKGILGADNRQYILDLVRITPKDYHFYFGH QDKTASESDNSGVTASTREGLDSAEAGKYTALLRPELIQLFARYKTNKDKTDAAKAAA DAAPATDSTEEATPPEADAPASSSAIALRFNANVFMSYPASADAEQAAADEALAKEAS TYLQTLVIPAFVADLRRGAMYPADGNALTDMLHACGINMRYLGRVAALSAAFEPNVSK FVVELLEVEMIARSLKHIVAALFAAQPELRSTPGVLLVDVLNALLGSSRKVAPATTAS ELALDKLSLTPTAASHQLSPATLWPRLQEDVARRFGYTLRLWPGPAKQKPSKGDNTPS RVHKGVLLRRVCQRLGWQVASADYDFDSDAPFDVAHVTGMQPLVKHSLPAHPFGHATT LLERARFLVSQGVLGPAYEMLQDASSLLFQVCGGAHEDAALVLASTATALYHAGDVTG AIASQRRALGLYTQLKGLDYYDTAFAHANLALYLHANADTAEAVGHIKRAIYLLELAA GPHFPETSVLYYKLGMMCQDVGHVALALVCHREALRRGELDRHQAGMCLHAMAMACAL AGGFREALSYEKKALGLYQEVVGDDDPRVVECLKYIEMFTAKAVEGAKGRQEIDAVAA ADAMANSLAEEWEVEVREADKKRQGGGGSSKKKSKKQTA H257_00539 MHSAVNKKLAGDLVDMAYIRQGSESLRAREKQLTSLLSHRKLPE HGWDDLSIQGVLHEFAQMDSNNFAHNVGAGEREARVASSLVANRCFHLAHGVGRSGDI CAIQPKAAGSSLMVQLTNLLVKDMLHIAGLKNAKCAIVLPVATGMAMLFALLTLQLQA RSAGHAAKRYVLWPRIDQKSCFKAMVTAGLEPVVVENVLVGDELRTDLEALEAKIVEL GAENILCVLSTTSCFAPRGYDRVEEIAQMCRRHDIGHVINNAYGLQSSKCTHLINQAI RTGRVDACVQSTDKNFLVPVGGSVICGPDVGFVQEIGKMYPGRASNAPLLDLFITMLH LGSDGYKALLAERKALVPYFKAKLTEVADSCGERLLHTPHNDISFCMTLQHRIPSVDA TTFLGSMLFSRGVSGTRVVSTIDVKSVGGHQFIGFGAHANAYPSAYLTAACAIGMTKS EIDVFAARLWKALVEFQTKSTFAMPTTTTPVQVPPSEANVCAQEDPSPPDSTA H257_00540 MSAAVVLLPPTLQCVHSSKAWSLRCTWDEGGDNIVAYTVDVFAC RHMPPSNPSADLVEGTYVLHSLDIPDVAVYRATPSSPIVFDIVPPPPVHGVVLAYVVQ LRASTASGHVCSPRSHVLRVIESDMDTKDVVFATQQAGFLALLHLAQQYPQHVNVASH VAAAIVRIMQRHRQSPEQGRSGEGSSSDDDDDVCEPGAMHNPDVVSDLVNVMLKRMES FAGDVNMQRWGLRAVSCILLHEHGKSPDAAFQGLAGTTSTYVHLVLRAMAKMCANASV ALWGSQQLTQLFTNHRQFISVMAERGGIEVCVDVMRTHTDNWLIQRWAGQVVLLCAFW DVRLQEAAKGEGLLHQCNSVLCAVSDESDADNNPMVDILLCLRLVMENVGKIHATNSD LLVSRYDDGTVASIISTDIIPNSLGGKVRHQAAITITRFFRFIVSSRRMDAGGGSSLL AVLKRVLAKQGDGAAVYDLGDDC H257_00540 MDTKDVVFATQQAGFLALLHLAQQYPQHVNVASHVAAAIVRIMQ RHRQSPEQGRSGEGSSSDDDDDVCEPGAMHNPDVVSDLVNVMLKRMESFAGDVNMQRW GLRAVSCILLHEHGKSPDAAFQGLAGTTSTYVHLVLRAMAKMCANASVALWGSQQLTQ LFTNHRQFISVMAERGGIEVCVDVMRTHTDNWLIQRWAGQVVLLCAFWDVRLQEAAKG EGLLHQCNSVLCAVSDESDADNNPMVDILLCLRLVMENVGKIHATNSDLLVSRYDDGT VASIISTDIIPNSLGGKVRHQAAITITRFFRFIVSSRRMDAGGGSSLLAVLKRVLAKQ GDGAAVYDLGDDC H257_00541 MTLLFKPMKLATTRVTSMPKGTLKQHRHLSSAASVAIWREAQAV CFDVDSTVCSEEGIDVLAAHCGQGERVAAWTTKAMNGGVKFEDALAARLDIIKPSQKD IADCLRVHPPQLTPGIEALIKGLHARDVHVYFVSGGFRLMIAPVADRLNVPSANIFAN TIHFNASGAYAGFDDTEMTSRDGGKPRVLDMLKQQHGYKTVVMVGDGVTDMQAKPPAD LFVGFGGVVERDVVKKHADWFVKDFADLTSALA H257_00542 MRTPNLLAHGSSTIHEHWSSALGQDDRTIQALKNRWSIVSRSAQ NFSGYVAQAKSRRASGNTDEDVMQAALALFLSLEHEVFQQEAAWRTLSACPKWQVLPT AKSSAIKKAVDDEDQETSEETCVEDTRRPMGTKQAKRKSLEHVDMTKKLDELVQAQNN KNKLFSDYMLMQMLTASSEPDDLQALNQLKSDYMAKRFRSCDN H257_00543 MTTSTGVSFPSYDNTVTLSGTITSPRGTDLRPAVVIVVGSGAID RDGSAGSIRLQVYSKLAEFFTNELGWICLRYDKRGVAKSVNGDSNLFLTSGMSDLALD VVEATKFLLAQPRVDSTKLVLTGHSEGAIIMPLVAQHLRDQGVTTPLGLLLLSGFGES IETAMRFQSEELWDEIVNGRTITTWLLRRLLTPKKLQDKIDDLKVKVNTTQADLIVKK CGLVKIPAKWFREHFAFNLSRIRELSASVTSHVLVITGAKDAQTRATHCTREDASVVF VGAASVTSCIPSQMTHHLRPMDDTPSLFSLMQEYKKTANNPLDAELARAITDWANSIQ KC H257_00544 MTHEFSRLPTNVKPIKYTLHYDVVDLTRFRFEGREEVSIAVTQD TKTITCHAIELWVHSVKVVRQDGTAVACLETRFIEEDQTITFVLDETLVAGTTATIHL AFSGLLNDALRGFYRSEYEHDGQKRIMAASQFQACDARRAFVCWDEPAIKATFEISMA TPVHLTAISNMHVLSTSVRPSTLTFNGAEKVWHFAETPIMSTYLVALVVGEFDTVSDY TDEGVLVNIYTPLGKAVQGQFALSVATKALSFFTKAFGLPYPLKKLDNLAIPDFAAGA MENWGCILYRETALLIEPGQSSKAKRTQVALTVAHELAHQWFGNLVTMEWWTGLWLNE GFAHFMEYDAVDHIFPEWNMWENFVQEVTLDSAFREDALLSSHMIEVDVNHPDEADEI FDAISYCKGASVIRMLSEYLGKDVFYRGIQIYLKRFSYSNAVTIDLWEALEEASDLPL SAMMNTWTKQTGFPVVTLSRTPDGVLSLAQTRFFSDPSVVDPDDSRWDLPLTAQVGHG SGGGGGSELHRVGIWTASDLPARDAAFEVHAPTSVGSKFPADSPWIKLNGLQQGFYID NYDADGWLRLQSPCQQLVLSEVDRMCLLDNAFVLTRAGKLDLANALEFSRAFANDPSY LIWKSLSTHLTFYGNLIHQTSTFPKFQAYVRQFYDPQLARLGWFTQDTDQESTAYFRS EVIRMLGAAKDPAVLADAVIHFQTLVTTPEASRVTSDLRAVIFRVVATHLQGQVYDQL RTLYESSDLAELKNDCLGGMGAVQTKWNDTLEWALSGKVRSQDIQYAFAGVVRSGIEG ADFAWAFIQANWDRLNDKYIPNKVGSLVCLAIGRFQSENKAVEVEAFLGDKKTTAYKR RLDTTLEGIRIKAACFRRDATHLDQWLTAQTL H257_00545 MKVRREDVAMEAAIVYTIKTPQVVIDMDMAKRAAAMGRVLMKKA TRRNQSKINQRRYRAEQKCTTDLLNQTVIQLRTDVARMEGRLEMMKQAIPPPLRTFEP ECNVANEYFRMFVYGYNLDPECAQHTTQFDFLNSTMSPDLVIMGNIGLDKLLQQWVLY VNTFEAFHMELHQAHVVSFSPNVVVHAQTTLHLRMSRKSIQLLFPHLLNNEPLTQKLI GRVLHLPIQQNFIFDHKCVVQELGTFANTTLALVNLLGNLDDVLAVIGDFHLGENAEI VVVSTDD H257_00546 MKVRREDVAMEAAIVYTIKTPQVVIDMDMAKRAAAMGRVLMKKA TRRNQSKINQRRYRAEQKCTTDLLNQTVIQLRTDVARMEGRLEMMKQAIPPPLRTFEP ECNVANEYFRMFVYGYNLDPECAQHTTQFDFLNSTMSPDLVIMGNIGLDKLLQQWVLY VNTFEAFHMELHQAHVVSFSPNVVVHAQTTLHLRMSRKSIQLLFPHLLNNEPLTQKLI GRVLHLPIQQNFIFDHKCVVQELGTFANTTLALVNLLGNLDDVLAVIGDFHLGENAEI VVVSTDD H257_00547 MMVHRPNFAMEAAIVYAMKTPQVVIDIEMAKRAAAIRKVLMKKA TRRNQSKINQRRYRAEQKCTTDLLNQTVIQLRTDVARMEGRLEMMKLAIPPPLRTFEP ECNVANEYFRMFVYGYDLDPACAQHTTQFDFLNSTMSPDLVIMGNIGLDKLLQQWVLY VNTFEAFHMELHQAHVVSFSPNVVVHAQTTLHLRMSRKSIQLLFPHLLNNEPLTQKLI GRVLHLPIQQNFIFDHKCVVQELGTFANTTLALVNLLGNLDDVLAVIGDFHLGENAEI VASSEYNSN H257_00548 MREPAADASSRSLPAKRRATTKKPAKRVPDDKLDLRRTQSKMNQ RRYRAEQLELMGRLQGEVASLTKDVARLEGRVGPLQASVPKNLRSFDPEISVANEYFR LFAQGYNKHSLDPKNVYQRDFLCSVMQPDLEFMGALGLEKLFTQWGLYTTLFQSVMMT CDKSCVVMCEPNIMLEGNARMQLRISRGTIEALFPHLLHNERLVQKLIGRVMVLSVLC QFTFDEHLKVQKFSTFANPVVALMDLLKSAEDTATAVSGCLLKENGELVPVTRQSLIP YGVTV H257_00549 MQHAVTTRLGLPVPRTPLKPEDRKARRRQQSKVNQRRYRAEQLQ YETGLDEDVLRLTRDVARLEGRLETLAFTLPKNMRTFAPESKAASEYFRVFANGYATT AGDAAYINQHDFVRGVMRDDMIFMDSVGSDKVFEQGELYATLFHSVGMKCHYSHVIST YPDVMLEAHATLHLRISRTTMHVLFPHLLDNEPLIQKLLGRVLVMDVLCQFTFDEDFF VTRFNTVANPVVALMNLLHSIQDTTTALAGLRLKANAELPAKGDAISC H257_00550 MDHKVVTDYTRVTACEHVKVYVRARPCADGSEPFDGMFERKREA PKNITIKDVDKSQYGEHAFSFDNIFWTETTQEEVFESCSKPLVDYALRGINSCCFAYG QTGSGKTYSIFGETGEKDGIIPRAADYLFEMIESNTNGHKPNKPVYTLVVSFLEIYCD RIRDLGREYVLSTNRTVSLQQSSGSDWYLRNKIMKRTDSNASVHSTGSAKDEPRFDYE RENLEIHEDAQGMVYVKDLSMIEVSNREEVNAIVQMGLTLRATHETKMNSVSSRSHTV FTIHIFQHDVALGQVMCGMMNLVDLAGSERLKKSESDGQRLKEALHINSSLSAVGKVV MSLDPESGFNYIPYRDSKLTRLLQNSIGGNSYTLLIATIHPMKEHYEECLNTMQFANR CRMVQNQPRVNYISQSGGDKDKRIRKLLEEIAMLNRKLEHIKNEQNQRMSNVLRELGF DVSEYTAEGNVRLKDGTVLMAQSPDAVLDMHGNPIPFSITDETTLGGRRTSFDTGRNL EMRRAIDFLRKERDHMKQKAEDVKSVVLASQVELKREKDELQRQLQQHKKEIARLEGV VQEKDVALDRIQAMGNSKHKEEIRHLLAHSHELNKHLHVQFHDAKPAAFTPSSVQQSE QLLHAQFHQRALALEKGKQDDIALVKTQYECLLTRKRAECDELTKIWSDKLAQSTHLC DTLKQEMADLYVYASRLFDAAQGPPHRGHDVLPWPKAILTNPNKLRAFKALLGSDPAV RARVTCDKKPPPLKGAPTSPTSRPVSAPVRRPMSAGFATALRGRVVRQAEETCPERPD HDVDRGQLSVDELREPQRRQRNDVPPLATSDHNADIVALKARLDDEMQKNRALRASNA ALKRQQDKAAMNQSTVKGIRRGASSSRAEDARVED H257_00550 MDHKVVTDYTRVTACEHVKVYVRARPCADGSEPFDGMFERKREA PKNITIKDVDKSQYGEHAFSFDNIFWTETTQEEVFESCSKPLVDYALRGINSCCFAYG QTGSGKTYSIFGETGEKDGIIPRAADYLFEMIESNTNGHKPNKPVYTLVVSFLEIYCD RIRDLGREYVLSTNRTVSLQQSSGSDWYLRNKIMKRTDSNASVHSTGSAKDEPRFDYE RENLEIHEDAQGMVYVKDLSMIEVSNREEVNAIVQMGLTLRATHETKMNSVSSRSHTV FTIHIFQHDVALGQVMCGMMNLVDLAGSERLKKSESDGQRLKEALHINSSLSAVGKVV MSLDPESGFNYIPYRDSKLTRLLQNSIGGNSYTLLIATIHPMKEHYEECLNTMQFANR CRMVQNQPRVNYISQSGGDKDKRIRKLLEEIAMLNRKLEHIKNEQNQRMSNVLRELGF DVSEYTAEGNVRLKDGTVLMAQSPDAVLDMHGNPIPFSITDETTLGGRRTSFDTGRNL EMRRAIDFLRKERDHMKQKAEDVKSVVLASQVELKREKDELQRQLQQHKKEIARLEGV VQEKDVALDRIQAMGNSKHKEEIRHLLAHSHELNKHLHVQFHDAKPAAFTPSSVQQSE QLLHAQFHQRALALEKGKQDDIALVKTQYECLLTRKRAECDELTKIWSDKLAQSTHLC DTLKQEMADLYVYASRLFDAAQGPPHRGHDVLPWPKAILTNPNKLRAFKALLGSDPAV RARVTCDKKPPPLKGAPTSPTSRPVSAPVRRPMSAGFATALRGRVVRQAEETCPERPD HDVDRGQLVRQTLLKLFSANGDVLIECGRTS H257_00551 MSRPSMHQPAAIKSRPVDTEAEALAARKRRFRAAQSLTVDGGIE SSFVSRFPAIDERFWAPFYEACAAGPNWVGQRPLMISYSLPQTINSKDTFGETMMTLA AIHGRAQVVTVLLGIKGDPWVFNNKGWSAVTAAAVYNHTALINLFASHSVKLDTQDDR LGYTPAHFAVQVNNIDMLRLLQDHRANLAVAAKNGYTLLHTAAEVAAEECLEFLLAKR LISVEARDTSHETPSHKAARRSHSRILEILRQHGASLKAENLDCTPGFAKCASIDKWR IRDGFITFPHYPSETLVRWTMSTHICHQMVLRRSLHKPPVNLFSASIRFNRCAMHAEP P H257_00551 MSRPSMHQPAAIKSRPVDTEAEALAARKRRFRAAQSLTVDGGIE SSFVSRFPAIDERFWAPFYEACAAGPNWVGQRPLMISYSLPQTINSKDTFGETMMTLA AIHGRAQVVTVLLGIKGDPWVFNNKGWSAVTAAAVYNHTALINLFASHSVKLDTQDDR LGYTPAHFAVQVNNIDMLRLLQDHRANLAVAAKNGYTLLHTAAEVAAEECLEFLLAKR LISVEARDTSHETPSHKAARRSHSRILEILRQHGASLKAENLDQDCVADVYLDNMHVT RPMELK H257_00552 MALRAAPLSSSRHSNQKGFFKDEAATKALPALDHSRSGSTNKQT LKPAWVASATTASEQGENQVIPFSFDEDDDERDGVEAKGGATPSDSEFDNDSGSDSEC QDDAKHVSVACSEWLHAASKDDAREETDSSDAKSKDQPNNSTSRDASASSIAPPKSKS QLAALDTKSLESAAGCNQSADVEFSPLHAPLGSMLTEGSIVRCNNGANKFAPQYQFFL KNKLVLLAEKQLHNRTSNYHIFDMSRGGCTGQKLTKKSGNYVGKLRSNFGKHENVMVS AQAERSELGAILFEAKVSSTKPRKLTVVCPPLSSQNEVISQGAKPDTFSTLLDQHKAD KRVTELLTVLENKEPEYEKGCYRLNFNGRVSIPSVKNFQLVVRGHAAKGIVLQFGKVS DKLFHLDFKHPLTPFQAFAIALSQFNY H257_00552 MALRAAPLSSSRHSNQKGFFKDEAATKALPALDHSRSGSTNKQT LKPAWVASATTASEQGENQVIPFSFDEDDDERDGVEAKGGATPSDSEFDNDSGSDSEC QDDAKHVSVACSEWLHAASKDDAREETDSSDAKSKDQPNNSTSRDASASSIAPPKSKS QLAALDTKSLESAAGCNQSADVEFSPLHAPLGSMLTEGSIVRCNNGANKFAPQYQFFL KNKLVLLAEKQLHNRTSNYHIFDMSRGGCTGQKLTKKSGNYVGKLRSNFGKHENVMVS AQAERSELGAILFEAKPRKLTVVCPPLSSQNEVISQGAKPDTFSTLLDQHKADKRVTE LLTVLENKEPEYEKGCYRLNFNGRVSIPSVKNFQLVVRGHAAKGIVLQFGKVSDKLFH LDFKHPLTPFQAFAIALSQFNY H257_00554 MVLRKKHVGGAKPTAMGKKDAEPSSDPNQETGLSLDEYFNSKKE YTVQTMKETSELLEKKEATKLKHDNAMVMTKDDDDDHMMESVDTIPICPMHGGSNDAD TEEDPYEDDYESDSGAKDDTSAGVAGLSLSDYLTGKSLPPKDKASSKKPPTKKQPAVV DENEIEGMSLESYLGAVPSSMHNDDDKKKKKGTAAVKAGVGAQASKLGLARHHDHNFA IKKRVSAPNVKKATDPVPAKKFVSDSDVLHFKRDKSQMDKAANKSKGSSTKALSHHTK GFHAAMSSRRKDIGVQAADADGDDHGDMTLFHPLPMLGGNKSGAPDHADDSISEDELD VSRLPPLPH H257_00553 MLMGYMSLDKLGVMIMTMEGTEEEKSQARKVLPLLNQGHRVLVS ILLLNYLGLEALPIWLNRLMPEGTAIVVSVTFVLFFGEILPSAIFTGKHQMTIAAKLA PLCHLVMAATAPLSYPIAKGLDLWMGDTNGMVRFRRNELKALISLQQHPHQSPSPTGE TGAVLPTWDSRSSSIRSTDLLDDEVTIINSALGMSLKKVEAIMTPFDQVYMVNDERLL DKALMEEIVASGYSRIPVFCGHRSNIRGILLVKRLIGTTNGYGITCYTNPTLCHRPIP ATPSYVQACWDNDVPIDPNMAAFHGVVTNEDVLEELIQDDFYDESDRVDMHLRRADTE TRRERCRAAGVQRAEMIDHHSVNVVGVPRPKSMFTGIIEEIGTVVSRVEKDDMQMWDG SVAKGTVLVVRLEVALDGAYIGCSIAINGTCLTATDIDRDTGHVSFGCAPETLRLTNL RVLEAGDKVNVERAMGAQDRNSGHFVQGHVDGTGKILELTKEGESLWVKIQAEPSLLA HVVPKGFIAIDGTSLTVCEVNSRQGWFNVMLITHTQQSIVLPTKSVGDLVNLEGDVIG KYAAKSTNAFALRLETLEKTQRNALLAAGIVGGAIGAAVALVATHK H257_00553 MLMGYMSLDKLGVMIMTMEGTEEEKSQARKVLPLLNQGHRVLVS ILLLNYLGLEALPIWLNRLMPEGTAIVVSVTFVLFFGEILPSAIFTGKHQMTIAAKLA PLCHLVMAATAPLSYPIAKGLDLWMGDTNGMVRFRRNELKALISLQQHPHQSPSPTGE TGAVLPTWDSRSSSIRSTDLLDDEVTIINSALGMSLKKVEAIMTPFDQVYMVNDERLL DKALMEEIVASGYSRIPVFCGHRSNIRGILLVKRLIGTTNGYGITCYTNPTLCHRPIP ATPSYVQACWDNDVPIDPNMAAFHGVVTNEDVLEELIQDDFYDESDRVDMHLRRADTE TRRERCRAAGVQRAEMIDHHSVNVVGVPRPKSMFTGIIEEIGTVVSRVEKDDMQMWDG SVAKGTVLVVRLEVALDGAYIGCSIAINGTCLTATDIDRDTGHVSFGCAPETLRLTNL RVLEAGDKVNVERAMGAQDRNSGHFVQGHVDGTGKILELTKEGESLWVKIQAEPSLLA HVVPKGFIAIDGTSLTVCEVNSRQGWFNVMLITHTQQSIVLPTKSVGDLVNLEVLCRW SRSSRRTGGG H257_00553 MLMGYMSLDKLGVMIMTMEGTEEEKSQARKVLPLLNQGHRVLVS ILLLNYLGLEALPIWLNRLMPEGTAIVVSVTFVLFFGEILPSAIFTGKHQMTIAAKLA PLCHLVMAATAPLSYPIAKGLDLWMGDTNGMVRFRRNELKALISLQQHPHQSPSPTGE TGAVLPTWDSRSSSIRSTDLLDDEVTIINSALGMSLKKVEAIMTPFDQVYMVNDERLL DKALMEEIVASGYSRIPVFCGHRSNIRGILLVKRLIGTTNGYGITCYTNPTLCHRPIP ATPSYVQACWDNDVPIDPNMAAFHGVVTNEDVLEELIQDDFYDESDRVDMHLRRADTE TRRERCRAAGVQRAEMIDHHSVNVVGVPRPKSMFTGIIEEIGTVVSRVEKDDMQMWDG SVAKGTVLVVRLEVALDGAYIGCSIAINGTCLTATDIDRDTGHVSFGCAPET H257_00555 MLHQLGRRAYSSRVASYPSYVKIVEVGPRDGLQNEKTLVSTADK VALINLLSEAGLPVVEATSFVSPKWVPQMGDNADVMKQIKRKEGVFYPVLTPNLTGFD AAIKVNAHEVAIFGAASESFSRKNINCSIQESLERFHPVCEKAHSLGVRVRGYVSCVL GCPYEGAIDPAVVANVAKTLLDMGCYEISLGDTIGIGTPGSTLKMLQATKDVVPVEKL AVHFHDTYGQALANILVALQEGVSVVDSAVAGLGGCPYAKGASGNVATEDVVYMLHGL GIRTGVDLTKVVAAGDFISSVLGKATNSRVARALQKPSKL H257_00556 MDARQVDPDVATFLDAALLSGHTTRILHALLQGPDSWRLRLQLQ KLAGVQRVLDTASVASCFLPVAAPITKLIASDRSEVVKQACRHMSTALNKAQVFRQAG KDCLSKITSVSKYDIKILVYLYARRLIVQQVTTVVTVWPKPELAEHCDDLLLLLTGAI EDPKGFVRLLARQSLCAFAEAWSERMEQFAEILPLPQRPLIIVEHPSGATSAMTSVAF SADHPPRGKRGYSVVDEDSLCNAAQAPWWSLATCVPKAVFGCCEVDALLGLWTDAVDL HLGDVVQR H257_00557 MPVGAKDALLLCAAGVALARLLSWKWKTSNECVCVWCKRKSPKR IILVRHGQSEGNENPDLYRVTPDNAMHMTGLGRLQARAAGKCMKEIIGNESVRCIVSP CVRTIETFEEILSAWGEDAHHIPWTEEPRIREQDFGNFQDPEQIRQCKSQRRKFGSFF YRFPSGESPADVFDRISSFLESLHRMFARHPQQNYILVTHGVTIRVLLMRYFKLPISH FDQMENFHNAEFIVLEHVGCSSSFKMVKLVHANVNPDTKEAAPVVSPCLRLRDCGAVT QSKYASSFEKEALY H257_00557 MPVGAKDALLLCAAGVALARLLSWKWKTSNECVCVWCKRKSPKR IILVRHGQSEGNENPDLYRVTPDNAMHMTGLGRLQARAAGKCMKEIIGNESVRCIVSP CVRTIETFEEILSAWGEDAHHIPWTEEPRIREQDFGNFQDPEQIRQCKSQRRKFGSFF YRFPSGESPAGTYFFVLYSLILTDSCDLRRVRPHFVVLGIPPSHVRATSAAKLHLGHP WRDHPRAAHALL H257_00559 MALPLDKLGGMLIRALTKPLVGEMKTLSKSHPWMQQTCERIGQR VNRWSLESVLAMRLGGNATITVKELPADQAFKKGAEILGETFIFLVAVAVLTVDYTRM SAKSALKDKAEVERNYDEFLEMEARFRLLETSMHRLERVQAELHATLDNLSWEYHKDL NDK H257_00558 MHRSHRSDYRSNRSRSRHRDAAGGREMSRYGPAPSSRDDRRFRD DRDYTRPTNSRHEGRDRRHHYSGNYPSDRHDDYPRRGGRSRSNRRSHRRNRERSMSRE TYRRSRRTKRDGRSSVSMERGGYDYQHTAPHKSNKVDKTKDLSDDSASHDDTIGSYEG VPGDVIDKRYEILRDAGLGTFGRVVLCKDLLSKNKDDIVALKVVRKVEKYSESAKIEA NILKHVNDKDVRGDSLCVRMHRWFEFQGHVILVFEQLGGSLYDYLKQQDYKPFPLDSI RAYAWQLLTSLKFLHHIKLIHTDLKPENILLVRDAACAGDRAKACQRKGYLVPPLHDQ VKLIDFGGATYDDESKSGIINTRQYRSPEVMLGVGWSFPSDIWSAACIIAELYIGELL FVTHENLEHLALIEKCIGSFPADMVARADRQAQKYFTDHGALCWPQGAATRESVDHVR KMKSLEEIIDGGGDDDDGAHELLDLLTRMLAMDPTERVTAADALQHPFFKGVTLQTLH G H257_00558 MHRSHRSDYRSNRSRSRHRDAAGGREMSRYGPAPSSRDDRRFRD DRDYTRPTNSRHEGRDRRHHYSGNYPSDRHDDYPRRGGRSRSNRRSHRRNRERSMSRE TYRRSRRTKRDGRSSVSMERGGYDYQHTAPHKSNKVDKTKDLSDDSASHDDTIGSYEG VPGDVIDKRYEILRDAGLGTFGRVVLCKDLLSKNKDDIVALKVVRKVEKYSESAKIEA NILKHVNDKDVRGDSLCVRMHRWFEFQGHVILVFEQLGGSLYDYLKQQDYKPFPLDSI RAYAWQLLTSLKFLHHIKLIHTDLKPENILLVRDAACAGDRAKACQRKGYLVPPLHDQ VKLIDFGGATYDDESKSGIINTRQYRSPEVMLGVGWSFPSDIWSAACIIAELYIGELL FVTVRKPRHMPALYIDSM H257_00560 MGRSQERRDDRGYDRSRGPSDRDSSDRYRSERPRDDRSSDRSRD DRDQRPSDRDRSGRGSDRFSDRPSDRDAPSDRGGRSYAERDDRGRDRRDDRGGRGGGH DSGRDRDVGASRESYSRDSGSHYGDNGGGGSSYDAYPPPEPAGPWDPKAEAEKDESWA RIYVSNLPNDVTTDELQSIFGGIGVIAKEKQKRGYKDQWPWKIKIYTNDDGTLKGDAV ITFEDANAARTAPGFFNGSDIRGNTITVELAGKPEPPAGGWVGGPGGRGGRGGGGRGG GGRFGGGGRGGGDSRYRPY H257_00561 MQPILLKGHSRSITMIKHNREGDLLVTCAKDHVPSLWYSHNGER IGTFHGHTGAVWACDISYNSEYLLTAAADASVKLWDLQTGKEIFSFTHTGSARSVNFS LGDKSFVSVADQFGDHPATVFVYDLAQNGEKQSSQPRLAITKHGHQGRVTGAYWTALN EAIITTGEDGYVKLFNPETGALISETKVHNGVITNLAFNKDKTLGITSSKDNTAKLID LDAMQVLKTYETDRPVNSASISPTKEHVVLGGGQEAMTVTVTAGRAGKFEARFFHQVF EEEFARVKGHFGPINSITFHPDGKSYSSGAEDGYVRIHHFDQDYLSRED H257_00562 MSVVGKNIAGTRSADFFQWADKDIDDKLFNGPFQAEQRIQREIR LNSRNLKSIYEVPDDMDVFIWQYEHLRQVVKELNILVALLDGACTKQSCTIMKATDDW VFLCAAHKQPKECCAFDYIMHTLDNANQLLTSSRIFPSRVSINRDATQYFQSVARRLY RVFSHTFFHHPDVFQHFEASTFLCHRFVYFSIQFNLIPKNLLIIPDMGY H257_00563 MGNKPATLKEQLRENKREINRAIRDLDRERTTLQVSEKKLILEI KKMAKENQIASVKIMAKDLVRTRQHITKFYTMRSQLQAVSLRMETAKSAEAMTSALQG TTKVMKSMAKTMNLPKLNKIMMEYTKESEKMEMQQEMLGDTIDDVMDADQDEEEEDKI VSQVLDEIGIDMSGALLEAPSARVPTAVAAPAPSHAASLPPAPVDASGGGGDSAVSEL EARLNNLRRN H257_00564 MRCVGLLAFAATSVVAALDTTSTYVPLALWSNTGSLEHPVSDIA GKNVNADYSAIQVSKTLSFLQDNDAGLEDALDAHTPYEVFYPRAKAVVLFVRDTLRLD EMEHFEGTALEAIYRNATSAVSYPHTTRASAADTLTAALAPQHSITLENVKEFLHQNT PFGKAGTTALVIVTVSNSLPWKDVAQLIRTSVTLFDHATSNRVIYGITGDHTTTPPSH FAGFHRALMSAASVVAPLVCPPGSFLSTAQDLPFCFTHYVNITPTILAALVLGFFFLS CVYVGLYALDGIQTPLKYPSIAPPKGKEY H257_00565 MASSSMPSYYDALGVSPTCSTEQLKRAYHAAALKNHPDKAITLG KTADTEDFQSAHDAYQVLRDVESRRRYDQTLAEAKLRSELRISDDVLLEAFTLEDEIY TFGCRCGEYYVLTEDEVEDLVDIVPCDGCSLVIRVEYPRTQVDAI H257_00566 MSGVAALDALEREYQAVVRQKPINPVNLDFVPARPKPPADANDT EDGGMEYDDLQSICSYAATCDDDLERERLNSDDEDTQEYEYEPLREEEWEVADGIEEK PSTVKAPQSPPVNPVNFSENDKEAIKKAMQQISLPPPSWGGQLSDAQLVDLAKEATNV KDVVMEP H257_00567 MLQTTPPRRHAYLVVLSLLSCGTCMLVFSAPDLFDDIHRDSSTN SASRVVGAIATLMFVQVGLTPLCGALSDGYGRKPLFLAACIVHTSVMLALACVPSKFA FQGCFLLFATLNATFAMGYAMAGDCSLKGQLTPCFGLVDAAFGVGVTSGLVIGRFLST RHSAMPFAAATMINLAGLLITRRYVYETLDDVHRKPSLTVISAWSASFRLCCREPAVL SLLLVICCMHMCGTVHVILYFYMNYRFGWQLKSQLVFFSFEGFCIVFCLTVGLKALWH RHWTNRQLVLGGVVLQSTSLAASAFMTSGWQVYPIFGLGTLHMTAFPALRSIAHQLVS PHDRGCLHGRLAFVTTATMVIAEPVVKLIVRISIADDLQKKHPRPCPLGYRGVLDGYS NCGGMPGLVFSAASCLLMVVFAMAYLLVAPPATQRFKITLGPPVPRSACIEESSS H257_00568 MRSVTCSTPTNIAVIKYWGKDNVALNTPLNSSVSVTLNQDQLKT VTSVLASKDFPADRIWLNGAEQNIQNKRIQVVLKEVRRLATSNAVDAHGRAIAKADWD LYKLHIVSINTFPTAAGLASSAAGYACLVAALVELYAAEEEYEGQFSAIARQGSGSAC RSLHGGFVRWDKGVKADGTDSLAIQIADDKHWPELEAIICVVNDKEKDTSSTDGMNTS KDTSELLGFRAREVVHKRLAAIEAAYKAKDFETFGKITMMDSNQFHATCLDTYPPIFY LNEISRSIIHLVHKYNHHAGAIQAAYTFDAGPNAVIFVEKKHTKDVLALLSHYFDSTT EPLEVRGPVDTTNLPHVDPTLLASIKAQPTPGALKMLYHTTVGDGRRVLTAADSLIDI ATGLPKQKEN H257_00568 MRSVTCSTPTNIAVIKYWGKDNVALNTPLNSSVSVTLNQDQLKT VTSVLASKDFPADRIWLNGAEQNIQNKRIQVVLKEVRRLATSNAVDAHGRAIAKADWD LYKLHIVSINTFPTAAGLASSAAGYACLVAALVELYAAEEEYEGQFSAIARQGSGSAC RSLHGGFVRWDKGVKADGTDSLAIQIADDKHWPELEAIICVVNDKEKDTSSTDGMNTS KDTSELLGFRAREVVHKRLAAIEAAYKAKDFETFGKITMMDSNQFHATCLDTYPPIFY LNEISRSIIHLVHKYNHHAGAIQAAYTFDAGPNAVIFVEKKHTKDVLALLSHYFDSTT ECVVPSHSRRGWLSGLS H257_00569 MLRNLRRIKLPLALRNRQAAVSTNGVRSFHANSTSVATSIRLNS RAKFCVAQIRSFASVDIPVPSMGDSISEGTVVEWVKNVGDHVEADDVVVVIETDKVSV DVRSPHAGVITAHLADVDANVNVGAPLFQLDQGASSGSAPAASSPAPVASTPAPAAPT SDAAPVTVNVPSMGDSISEGTVVSWTKGPGDHVEADDVVLVIETDKVSVDVRAPQAGV IQQSLVNVEDVVTIGAPLFTLIPGASGATKAAAPATPVAPTPVAAAAPAPAKKQAEPT KAAPAVATNISSPLLGGSLPRGTTREKMSRMRLRIAERLKESQNTSASLTTFQEVDMS KLMALRSTYKDSFEKKHHVKLGFMSAFIKASAAALLENPGVNASIDDKHAEIIYRDFV DISVAVATPKGLVTPVLKNTESMSFADIEKTLAQLAEKARAGEITMEDMAGGNFTISN GGVFGSLMGTPIINVPQAGILGMHATKMRPVVLANGEIVARPMMYLALTYDHRLVDGR EAVTCLKSIADKIADPERLLLDL H257_00570 MNTYMAPSMPKESQELIDDIASSVALAAQLDRAKRHSTGSNATD RRREQCRVSQRSFRERKKKEHEGIETTVQSLEEEVQRLEVQKAQLVRCVPLSTILVHQ PDFDGGVPTKLVRKYISVFKSGYIPSKRRMSERQEKFIRAIAHEHINYNGSIGVHSIL ANWERYTNSFASVNMEALSCDVMKTDDGAIVRGVTKSTLKMNRQSINLFFPNCPDCLK PSLIGQNLVVIATMHWSFDEHDVLTLLSGRADFTNGLLALLRNPDDVEAVLARSRIIP PVVTHAPPASLNATDTTSFKMHVDFQS H257_00570 MNTYMAPSMPKESQELIDDIASSVALAAQLDRAKRHSTGSNATD RRREQCRVSQRSFRERKKKEHEGIETTVQSLEEEVQRLEVQKAQLVRCVPLSTILVHQ PDFDGGVPTKLVRKYISVFKSGYIPSKRRMSERQEKFIRAIAHEHINYNGSIGVHSIL ANWERYTNSFASVNMEALSCDVMKTDDGAIVRGVTKSTLKMNRQSINLFFPNCPDCLK PSLVRTIHPTNDRTNDTNLVSRLDKILS H257_00571 MDLTKRGGTTTSKGFRLDKRRRDCMINQRRYRERKRGRVMQVEQ YVRDLSDATSMMEVRASMLRSTVIEQFVQAGQHRCRSISSYLRMFQRGLFQPHEDQFT TQMDLVRGVMCDSLQFNGEVGPDALIRQWKSYTMSFPYLFMRSGEVRAHGTENDIVIA HSTLVLVFTRATLAGLFPHVLAREDIVQRLVDREIEFTLRLSFSFDHARVAVMDANVQ MVPGLVRALASIADALFVLEGPQGEAGRYFTPNGLIVTPDAPDVKRRIDFVLG H257_00572 MFPTAEVGQDFLEFFIHFDESSERDASSYEASMQEELDVFLQGY VWQKEPLVLGVSTTLPRALHGKMLVGDNVEDEWVATAALNALTKKHSNITVQVWDADG EFLLIEAAEALPAWLNPDNSSNRIFLRRGHLHIVHHNPTERHMELHTALSFVTDPETP STRANKVMDAIVLDRLGHATSYSMQPDINHHHVQCMLPPAAALVFQALPHSIAYAVEA FYYRDPTEATQVCRHMQRFPVPSTSTGMAMAMVPLTRCMYAQVKQQQFAPPKPFQPTT PRPDADANVVAAAELGMKLCCGLELLCHSRVQDWRGTVWRDAIDALLQDPARKFVPPS DLRPSDDDSWLYVTPEGLEAMLDAADSKLQHQSANDDEDGGESGGQALQTMASLFDKF VHTKSDYEGVATETLPTEVSFNMHALMEILKSGNVGDNLHESPRSEQDTNVDDYFFES EDDEVDMDAMMAEMDSELTESKMAKSFLSQPHQPGPPIEHDGDNVKPVDVDFNLVSNL LASVASQDGGAGPVSNMLRDMGFQIK H257_00573 MTTRHLPAAMERRTSRMIPPLASMEIPLSDCLDLRKVALSPAPS HSPTEESYLAPGDDIHAQSSDSSSHTHVREVIAVPATSSDVSNEPSSTGVALHEYCQP RVDIVASVDAMKQVFSMPYNSTPKGIAVHRVGRRLVVGTSAESESQRISRRYKKTASP PPSTPVLTLTPPPPAVSAQNPFLVNNWDIHDDDRDEGMDHQLVTDPETGEIFLVCDDD PVPCLEDIAQTSVQRGLHDRFLHDAFSRVSFPLELTMTEHVPPSLLHLSSYQQLVRWQ FNSMEMLLGSNTVIFKHKADDASENVDTPTSVTLYDRSTLNALACLDTWLDNVMNNLH QTAFCYHRDGHVQGYHMVRTEDLPFMQAPELFDAHAVFDNAQMILSFLQEHCVDEAQT YWVTKVSDKVHLFQLPTNVFTTADHTVGMLCFQLANSIATHDVRRAQRLYTKCIRMID AKACPDVAAQACLALGTTFLRPHLVTSLPLRLLETASPHAMVNDLSAALDACEAFARQ DGTPKIATLFEIAQRYLPTKKPPPPPPPSDDVRMDDQYPPPLLDDEAQEKEDFEQALV VFGLGMKWAQEVTATESSLVDSLSACYYVLAHFALHEADLGTALSHTHTLLALLPSYE YPQVTLLVAKLHLRLAATSSVDAHRTRFFATAQRTNDLKRLMTKQRAAVAAPAWVHMS ETFATKWPAGDKELHLNVAVACAMHVGTSKATHQTLPLLSVGRDPVHDAFRATLRDAY VSQTRQFVVSGRLTKGARHAEQGLVLFSSTGDAAAAIEMRVLLGEIALRLATDSSGFH KAIGAFTRALSDLTSGSHEDVGNEWTHALQGHVLLLLRLAHAQHALYLQEWLSRKALR TVDDVGGWTAAQSAVRVELTKCLTCSQDALRLRPSCVKTRWRVADAHYLLACLYASHL GLQQHGTSPSVDAVQLMKTCEDHYAAALDALPLAFETCVHHLLLRLDAVKFLLSTGVK IEANATTPPSEAAPWRALMCLVQCAPLYSLPSGNDASLEDRQRVSMLLQGLFRLIEQQ VHGCMKALIKLKHPRTDHLKDCYLTWIKHASSAPPKALLARALESLSQLPAVELMRP H257_00574 MGSSVVAIAAVLVLFATANIEAKTAKEICASPTCNRAGTTSDPI SMDRALVIAPCVGEDMGCFGYGANGACPFANMVDCSKQPPPPTTVASTTSVSPSSTHA PTTATTPTTSPKPTDAESSGSNYPYIIAGGAVALIAIGALVFILIRKSGGRNNQEDDD IEVANYAKAVPVQGKDDPSAYGYPEQENKSFGEVPMHQRHNKSFGNQDLRRQNTGSFV TPAQPIARPNTTSFLQNNQGLVVVPHVETKEQFYVPESTQDYHHPGAPAAPEPNRRES FEF H257_00574 MGSSVVAIAAVLVLFATANIEAKTAKEICASPTCNRADMGCFGY GANGACPFANMVDCSKQPPPPTTVASTTSVSPSSTHAPTTATTPTTSPKPTDAESSGS NYPYIIAGGAVALIAIGALVFILIRKSGGRNNQEDDDIEVANYAKAVPVQGKDDPSAY GYPEQENKSFGEVPMHQRHNKSFGNQDLRRQNTGSFVTPAQPIARPNTTSFLQNNQGL VVVPHVETKEQFYVPESTQDYHHPGAPAAPEPNRRESFEF H257_00575 MFVHLHSSPLVVRQSCSPLSNPINATRPFGLSSDFQPIEILDVK EERRLLLQCLQECGKQVKWRSEVADVHTFRKVISHGCRALHFSGHGVPGKVIFESATC EAHFLSQQELKTLLLAGDQPCPESAMRLVFVSACHSESVAEAFVSAGVPHVVVVPKED KVLDQKAMEFSKAFYTALLAGHSVLKSFEIGQVQANIVTDTHQSKFKLLGCGNHAASH LFSDLPPGPYEDLTPPLPVNECDAVAEAFIGRSLEVHAVFTALAEGARMVSLVGDAGM GKTEVALQACQYATDRHLFERVFFLRLSAVPPAPNLTRYVLTRLAKCFGLLVQGNDLD GLCEHIRDQLDALKADKRLTFLLVLDGCNYLLTNPNERSQLSTIQAILSQLLRRVRSL SLLVTSTTRLGGGAGLDCGVGERIIPIEPLPPWDAALLFTLRAPRRLQVHEMGGGLAP FAQSQIMDALGGHPKTICAVAQLLENHDLSRDEGEFLHYLIPAVIAGLDPASKQHFST DYCPLLPYTVSSKKEPPSDMSRTHDDKLVPVAALPIACPPPPLHQPPSSSSTPASDGL SPQQLQLFAIKRQVEGSIASSEGCMIWAHAVVEFNKSTASSVDVCQVAALTSVPFEFI GQQVSRYFASKIRQDAVLRPLSTRCMEFLSSSDRIWGRAGVSPNTRRGLVDLDMFGAF WTWFQPLLSCIKTSQLWGFKHPRLLHGFISKSSCVNMLSPCVPGTFLLRFSETKASCV VVVFVTSGGRVEMVPVEYKHTVPRRFEVYLQDSGAIVSFSTLPELVLNVHVLKFVYPH TPKQNVFQV H257_00575 MRLVFVSACHSESVAEAFVSAGVPHVVVVPKEDKVLDQKAMEFS KAFYTALLAGHSVLKSFEIGQVQANIVTDTHQSKFKLLGCGNHAASHLFSDLPPGPYE DLTPPLPVNECDAVAEAFIGRSLEVHAVFTALAEGARMVSLVGDAGMGKTEVALQACQ YATDRHLFERVFFLRLSAVPPAPNLTRYVLTRLAKCFGLLVQGNDLDGLCEHIRDQLD ALKADKRLTFLLVLDGCNYLLTNPNERSQLSTIQAILSQLLRRVRSLSLLVTSTTRLG GGAGLDCGVGERIIPIEPLPPWDAALLFTLRAPRRLQVHEMGGGLAPFAQSQIMDALG GHPKTICAVAQLLENHDLSRDEGEFLHYLIPAVIAGLDPASKQHFSTDYCPLLPYTVS SKKEPPSDMSRTHDDKLVPVAALPIACPPPPLHQPPSSSSTPASDGLSPQQLQLFAIK RQVEGSIASSEGCMIWAHAVVEFNKSTASSVDVCQVAALTSVPFEFIGQQVSRYFASK IRQDAVLRPLSTRCMEFLSSSDRIWGRAGVSPNTRRGLVDLDMFGAFWTWFQPLLSCI KTSQLWGFKHPRLLHGFISKSSCVNMLSPCVPGTFLLRFSETKASCVVVVFVTSGGRV EMVPVEYKHTVPRRFEVYLQDSGAIVSFSTLPELVLNVHVLKFVYPHTPKQNVFQV H257_00576 MNFLGLTLVALATRVFSWEPMPDGYELLDGPALRDRASIDAWRA EWGTWKRKELQSVRYDPDGVCNVYNLPSLQWTQRSFVQVFAMLHDRTLYDRANNAYTV DKFVTSFDLPIDSVLLWPSYPNLGIDARNQYDFWSILPGGLDGLRSLVDDFHAHNVTV FLPYQPWDTATRNSDPTLPRYRSDISTLHTIVDAIGADGINGDTMYGVPASFFNCSAP TATCPEGGLPSHTLGVNPMSWGYYFGFSQFPPVARAKYLEPRHTPLVCARWSLSRSVE LQMAFFNGAGYVVWENIWGIWNAMTEREWQELKRTSAILRRFPEAMHSTTWQPYVPDL PANVHGSAFPTPHHPSIVFTFIHTSPDDFTGVVTLDLPGLTHDVAVFDLYHGLELNAT VDATHSGDGSTVSVPVAIEGYGYGALFVVHRSEVGGLDDWLPGFLSYMHQLTALPLRA YGTARPLLSQSITSIKPVTTIVSAKEIEASPKAAASALSDLDLVSIPGSEAWKFVVDG VQIEPVPAWTPHGDDLYGVGVQFPWESRPSPHHATELWVDPFHIMRHPVTNGQYQSFL LQSNYTPSDLSQFLQTWHHRYAAEPTTYEPVTSWRYPPGAADHPVVHVSVEDARAFAD FYGLRLPHDWEWQYVASNGPTNQSTLHPWGDSADLTRVPSILHGEVDSLVSVGGFPDG CTTAGVCDLEGLVWEMTDTFCDTHTCSLLLRGGSRYQPVASSLNDPNWYFPHVKHVQE HGKWLQLSPSYDRSATVGFRCVLDSSSVYD H257_00577 MVKHSHLLKLFCVSFLLVSGVAAQDDGTPAPTTTRGRTTRAPIT FSPITISPITISPITVEPTTVEPTTVEPTTSRATTLKPTTAFPTTTPVKTTVEPTTLT APTTPTVTETTEAPVATTVSLETTLAPLKTTTAAPTTTRRPTTTKPVTTQAALIEVTV APTTTLRPTTSAPVDDDSSSLGVYIGLGVGGAVLLAGIVFLLLKLKQPRDDDDDDYDE VQTYKITAPSYTKAAPPVAVLNAYSPPVKAPQVVTQQYNPYSNHTSNQQQRSLQPTAN PVVVGSYLNTTSTHRDSEFEYEAQNSAVLPPQSAGGYDTDRESEFASQSFLNNPSGAH SVYEFKDSIDSRDSGLSEDLQPSPKRNGRARVSSVEL H257_00578 MRGLLFSILIAAISVSAVQANNCESTLQCQVQDGTICQTTEGHC PGCLSRTYPAGSDYRRCIPSNAGVCATGYDMCSGSSDFPANPPTSTAAPSTTTSVQPI ATPTMTLKPTTTAQTQDKTTASTTLNVPTTTSTLSTTLVESVDAASSSSSMSTPIAFA VGGAAFVCLAVFAAKKYRSRQHDDNSAEEYVHQPSTMPASSEPTSSDFVVFSTPVVFA KTNGDVLPPSVTRESRHGNRTSMEFEIHAVPSPMQDNQLSFGGDLWDEVEKINSQREL DERTS H257_00579 MMRHAYALAVAAVLATTTGVTRAATSDVCLSRFCLRDNDATCDR KFEKCPVCLSLDIKVIRCTSPTLGFCSVLGFGDKKCNVTWEAPDNPENPTTAPVETTE APTTTVKPIRTTAAPTTTRAINTTTAVPTTTKASVTTKPTTTPVATSALEVPTTQPTT TAPKQDDAGTGAGWITYAGIGVGAAVVIAFVALLVLRMKRREEDDEDDEISSYKQPTA AEQAQYNPYSNNNNPPPSEQPAVAASNLRANLDPPTSQVSDNVPGGVAAPAAAAAVVT EGPRQKSVHTDVWGNVPSSYRENDVASYSGNDSFLSDGSSLRRTGNSASGDGKIRDNE TRLSVEF H257_00580 MFSTTGHVCNTKRRVREKRWPPEVNSWVSEHAIAHPCFYIEEVA EALRLQFPSLNNISASTICRALMHDLGLTRKEKRAREASEFELRDYNRRLSPYYSYPD QRVFVDET H257_00581 MQGERLNVEFPDSSRCQVATKLTISTEKAGSTAIRRATSSNIAT SALRVADYIRDQNIVLGPLQTDYTGVVAARLPVTAPVEIPSNATMDQLGHIDSMLAQH AAARHRESFSQSTDTYRRVRMHLSTMASSPVDIFLAVEDLRGILGIPPFDLTPIFRAP VVGDNPVASVNVEASDHINE H257_00582 MALRMFVLEQVDVAILEVGLGGRLDATNVIEKPVVCGIAALDYD HTRILGGTLTKIAREKAGIIKAGVPVFTITQASEAAEVLVACAATEQSPLTVVPSLDT CYYDLIPDVLAMALSMHGQYQQVNAGLAVALASTWLAAKADTPMPPLPDAITPTVLKG LQGTTWIGRAQRVVDPQSGAIFHLDGVHTPLSVACCVTWFKSSVADDLSPPTTLFFNC HHERDIVVLFQPLVGTRFDRVVFCATGTGRASICWDVPLQVAAA H257_00583 MVISSASSKSTSDLGLLVFDGKKSSFRVWSQSFVSYLEGMTLEM VGNYLKEPKSLPEPQIKYEDWLHCEPPIISTGNEAHDRWHLYNRKRTEQKPFDNIQSW FGEMKSLKNLINAQSRQHLGRDTNQGKRHDTGKAFSKATSKSRKCFYCEGKYNVNGVD HMKWDCPKRQDDFRRGWARSSIFEDPRRIEDAVPKGRDVRTEVACGAVVRREEVALST SPPSRQEFDLPGMSMDDMFSCPDDSNLLSYSPALAVDTTSTPRVETMAASMPDLSVKK DQS H257_00584 MTAVLTVRANGDKLPILFVIRGAPGGRIETSELPLFPRGHVYAV QQKGWMDNTVWNITCGRCLQTTCPTTPLSYSTTSRLMSTMTPTVLFTRSWVACFVPFP PKPRHFVSHLVTVFGVMAPFKR H257_00585 MPPRKAASSRADASTERTISKRVLWTKDAVGDGKSSMDAVIAWM SVETNCVRWKGGDKHSGSTKASLASEVVERLKTNGIHHRTTKDVVQKIGDIERSYRSA CDWLANTGQGIVDEDSIPKEVQRLCPYYYVLDEVMRDRASTAPLVTSDNLQDGNSSDE DVPPTPVNAPNSAKKRTAAAAKMDDWSEISARAYALKKEQLEFTRDVEKQKLEVDKQR EARLTEETKLNVRLLTIQAEEAHWKFELERETAEVDARIRKIQTRKDLKERGWSDVDI NLACPM H257_00586 MVKISDRQLTLRHTRSQVERRRIMRLCRDLFDESDSDEDDLDHE LLHILRTIEHQCYSVVRSCDPFTRTRFHHFLYEIKESRFRKLFRMERRSFNSIVALID QQSVFAVVRGKVAKSPVSHHLLVFLYYMGANGNAVSNEHMASFFDIGAGTVSLFIGRT TDAIVLLRGQFIYWPNHAETLSIAAEVKSMCGFSNCVGFIDGTLFPFEFKPTLHGEDY YSRKGCYAVAAQIVCDHRAIIRDIYTGWPGIVDRATSQLQLQC H257_00587 MDWIALPWFPIVYGAVDGCLVMGIPAFNLTALVDDQKRFMAYSL RPGSQNDRMLFRNSIFGSARCIPSGGFILADAGYTF H257_00588 MELDTPNTSRGGRRHVYPMSLKKRGIALLQHKSIHKVAGDISVS YSVVRNWKRISHKIDKFKGNLRGAGRPPVRPEPEALLQFMDARRHQERALTCTHMVNF LKQHQNTWLQDCIRRQKEGSGYDILLKILQRFCGRHGYTHQQACAT H257_00589 MVDHGRDVLVHVKDQGTWVKELYLSLDEDEQKDVLLEIGRLSRV SATTATKQPQSSRRPFCRNMIAAAWGEERVGMIEEEHRALFEVIRNSAPIKNIFDDNI YKTMFNNAWDNLPTQPTLDHLRAFCGGLRQHHVHRTKQLATISEMLGLIAQRDAD H257_00590 MKAPSQTTHRPPTTLIFNCHHQQDIAAMFQPLIPTVEEVSQNTS IVLPQDVPLPAVAASALPVNTDMKWQQVCATVWMRLKASQGQPSFSPPPRFFPSMKHA LEWVRKRTKAAERVLVTGSLYTVGDALQALGWHEQISPST H257_00591 MSRRRDYPAIPTPTPPPTPCAFATHCLKSAGGYAQIFAATPLPP APSRPLPRRLRVHSRPILKKRWDNNHGSVFSGADDGPTLRQCNMCSSKFHHMCIVEEA ARNGWPEAEEEAKTSEGSEEQGEGARCARRVYTEEARAATEVIVSANMPSTPSEVDVT PIPVGPLRETQIHKNDKKLLAFYAAYQNFMLSGVVTEVRYVVGSEKDPDIDTPGKNTK AEAKAMVALYKITWNHTRFQRDEPWITARLFYKGEPTYERGTKLPANLLKSEDESHDL TERDNKFIANSSFDEETKSDEETNDGSLAPQDDDGSEDEWSEYVDRGWKKHGHYDATE DFEGLEDVEWTCGGHYAGPTDLYEHEDNKDDTPVDELRISEEFKHLFKDPVKDFLGFM PLEFWKIVTLRTNAKAVALQAAHPKGYVGGREFKKSIELVEVMKFVGLLIMMSVVQGG EYSLYWSKPSMSFLMPPTENFGRVMPIDRFKQLRACITFNDVVEPADPLWRIRPLINL LKASFKNFVVAGREISVDEACIPCRSSYARALIVYNPKKPLGKYHFRIYTAACATTCK VDPDDGAEHPRDEVKPSALRQHVIDITKQWEGSHRVINMDNWYSSVQLCLTLLKMGMY CRGTVRSHRAHNPRFGMFDKKQIKSVMRVVNMLSTADATAKSYVHRRIGSETRQQECL SLVGLYNKYMQGVDRHDHLRERFSIASGASF H257_00592 MPSTIPFSKDPQVAHCVVGTSWTLQSSSTVMHALFRACCIEEIE EIIRQVPHHDRQTLRSLAKKSGIAKTTIVRHMKDNPRLKARSSYVKPFLTPTNIEARL RFAMGFVRPLPNGTFSFISMDNYVHVDEKWFYLTKVNRRYYVYDDEEVAARTVKSKHF ITKVMFLAAVARPRFDPHTRRVFDGKIGVWPFVEVVAAKRRSVNRD H257_00594 MRSVKRLENAQSSSRPFKCDLAKATRPAEEFFGLRFAEFVNIQL DQLQTRSRYEGILEGAAMCHHGGSLLFLRSPFSRERRGESIQGFSDLFFQCLLGGSSF GDREFDRATDAFHKASLVVGSHPPEEKRSIVVAKTATQKWRLEPTVEEVFQNTSMSCH KTFPCRRQQRQHCQ H257_00595 MFLPPNSDVRYRSKYLACKQWKRPLQEFIHDLRFLAANINDEES LPEFLRVAVYMDGLDQGPARTQLFRAYPDTFEEAVRIALSESVSSSFAHARADSSDMN VSMFTQALDDRTCFNCGRPGYFSRACPVPSRVGVNRAYLTRFLPCRARYTLASSSERS T H257_00596 MAVQTSRLSPLATKFSTSQRYPPMHSAPARSTPPVALARSPSKA ANFTRLSGPHGARDFVLLQIHLLGPVQAREGQLSNDLELTTIGSARRTPLTLTACRAW RCSPTPFPTNRAALAPWLTTPLDTEEVTAQTPPITNGISNAHTTAIVRSRWTAMGQRL AVRVGPTTTWHPKDYIKQTLPRVRAAYTRWRRSARTERASKRPCFCCRTPAKLDSEVA NRPAVSGSSYATRCLVHQASTQTLQNTQAMSHHCKARSAPRPQSSAPGAPVHTTRALR P H257_00597 MTAGAHLPDYTAEEVEHFRSLGVDGAMDILLGMPGRPSYTCVGD SREVAVEMMESYLTRLQMDISRLSIVHVAGTKGKGSTCAFTNSILRAHGVKTGMFTSP HLIHPTERFRINGKPISEALFLANFWAVWDGLSATTSKAGVYPPIANFFRFFTLMALR LFQAERVDVVILEVGLGGRLDATNVVKNPVVCGITTLDLDHTRVLGDTIDKIAREKAG IMKANVPVVDEYSADDIAAFRGSGIDGAMNMLLSMPNSPGNIMPAAGTWTRESANAMM RHYMKQTNVDASTLSVVHVAGTKGKGSTTPRSSTAYVFLLHPHYTSASIGPRPDVPGV RRTRHCNPSWGLSPENQARLVEGIGRLIDQAVTHLTNHAALESQLSTMAAHGRTFEES LARSATAVERLTYVLHTSEQQSPSRLKPIKLEVTKFGGAKSDKLLRWILQVETAANAQ RILDDDTRVAFAMSHLKGRAEDWAFSKRLTDPLCSPSLDDFMHEMKSTFLPFNSDFRY RTKFLECKQEKRSLQEYIHDLRFLAANVNDEESLPEAMRVTVFMAGLNQGPARTQLFR EYPTTFEAAVRIALSESFSSTLAHGRTNSSDMEVSTVAHGTEDRKCFNCGRVGHLLRA CRSPRRVNPDHPRPQHTPSGPPNRFLRGPSRPQPRSFQNPAGAENGRSQ H257_00598 MSTQAHVEPSRVLFLENLAPQATDADIEQALERYGDVDKVIILR KKHIQSSPSDPSKNTQNALVQMSNLDDAIAAHDAGNDQPVLLQGLPVSISYSKNQELR GQSNAPSQPSPAPAQQRATTSTKRNTREHADDGQNRILLVTVQNPLYPITTDLIGKVF NVYGQVEKVVIFMKPVGLQCLVQFVHVPDAVTAKSKLDSEAIYPDCCFMVISYSNLSE LSVKENSLKTRDFTNPNLPVPVMDTAINAPQSTISLPLSPPNGDAASPSTAQKLHGAG DGHDAYSPVLLVCNLKETVSCDKLFNLFSCYGNITRVKKLHSKPDHALIQFVNETSSG SALTHLRGFVLEGRSLEIRFSKHRYIAGPRAGGAEVEEEDEHATAKEYALAANRFTGK YANYTKHIYSPTKVIHISNLVEEFDQAELTEHLREFGRVDRVKLRVFENAKGHPQLLA EFPTIENATNLLAGAHNSEFAGKKLKVAFSRNNAN H257_00599 MPSHFEYPSARRSDHQDVLHGNVVADPYRWLEDPDSPETEAFVA SQNELTQKVFQDVPFRQEFLARNTEMFNYEKYSSPFQRGNRYFFFKNDGLQNQSVLYV QDSLTSEPKVLLDPNTLAEDGTAALGTFSFSEGKADSGILYLSYGVSKGGSDWETVKV LALTADGTIEHLEDKLEWVKVSNLSWTHDDKGFFYGRYPAPKTFATAAEQKSAGTETD LNTNHQIWYHKIGTPQADDKFVFSFPEAPKYYVYAKVSDDGKYLLLRVKDGCIVANMV FVAEISAVLAFLATTDNQSSVRVHRVVNNMDFQYLYLINNGPEFYFVTNLDAPRKRIV KVDNILSDTIVWTEVIPEGSDVLSSAHAVRNDLLVVEYLKDASDTIRLYTQSGEFIRN IALPSIGTVGVSCKRDSPELFFKFISFLYPGTIYREDLTDPSTSSPAVFREATVPGFS PEDFEAKQVWYPSKDGTQIPMFLVSKKNLARNGDTPTYLYGYGGFNISLTPSFSASRI IFVQHFNGLLALPSLRGGGEYGKAWHQAGTFGNKQNVFDDFQGAAEYLIKEGYTNSSK IAIHGGSNGGLLVAACANQRPDLFRCAVGAVGVMDMLRFHKFTVGHGWTTDYGNPDKA EDFEFISKYSPLHNVPSFEAKSTGLANDHGGFPAYLLTTGDHDDRVVPLHSLKLIAEV QHKLGHHSSQTNPLLIRVETNAGHGAGKPTSKVLQEAADVYTYIGWALGATFV H257_00600 MIVVGDDISFSHDQFEGLILERTLRRVGIKATDAANPITSVAPT PYGPRGRREGGRRGPTPCRQYSSRKHPQRHEVVAQRRSSLPLHFPKARVLVTPLRIMP EAPEADQTNRVLRQYGYLVNLSKVPELRGKPDQGKLSVGGDPGPNLPPRHTSTFPSDE SRSHVRP H257_00601 MNRDEFNAARKQYKDEADEDLNRKMNGHLPHSSPILSACVSNLK FEVTSTRLHQFLEANGIFSITKCKVKIENGRSVGRATVTVASSSDMDKLLGLNDVRFF SRPMRVQIDRKSVPILSRWNGTSMSVGTLPDASDEFRPYWTTSSNMALEITERGKHVL AMEFAKSRRIEFKVRDVVRCCVHAAATDDDGCSLWFHVRRPPMCFQKVGLHLFKDDDD DWVRSTDPSGASVFGQCFAYAVELPMSRALVSTTLQRYGVKATKHCTYHKPVVPGIPP EQVLGKWSGGDDRRFANVPWTVRYALHVLVVQRKIDFLDAAFATHVATACATSKVPPA VLSHHLVALRVFPRRSIADKLLALLDPSTPLPSTPTGHTIRRVLVTPLRVVPEAPEAD QTNRVLRQYGHLVDRFLRVTFVDENFASIFNVNRSPYVFDRIRQLVRTGLNVSGDQFD FLAYSNSQLRSQSCWFFKSATDGEAGIPSVDEIRRSLGSFQAIAVPGRRGARIGQAFS STTPTLDVPAHRVRVQADIERHGYTFSDGVGYMSPSFAERVATFMRVGCVPSALQIRY GGAKGVVSVMPTPLDVDMVLRKSMVKFASDHTGLEICNVAAATPFYLNRQVIPLLSCL GVHDASVMALLNDMLESMASSASTAADAIVLFEKHAPLDPPLRLLKAGCTLDDPFVFE WTQSLRRRLSLDLQLKARILVPRAVCLMGVLDETHSLEEGQVFFQTRSNRYEVPPTGA LLAVGRCPCLHPGDIRLMRRAHVPRLGHLYDVLVFSSNGGRPDPNKMSGGDLDGDIYF VLWDKSLLPTHEYPPMLYDPPTPNHASTGGSALSHSDQVAEFFVEYMRNDNLGQISSA HLVFTDMTDAGAKSDECLELAEQASVAVDYAKTGVSVQGRIRSSPVYPDFMENATKAS YQSDKILGQVYRRAKHANPPSMSHCTWRHDARLVVPGHEAYMNDADDQCFAYSTELWD IACKYHVHSEIELISGNVRSLSRQICRRKGLKASKDVSDRLQLVVRQVRTKYEAKFWA EFGNDVNDPRALQKASAWYYTAYTYEWEHGDPPYLSFGWLALAPMCRLLELACSP H257_00601 MNRDEFNAARKQYKDEADEDLNRKMNGHLPHSSPILSACVSNLK FEVTSTRLHQFLEANGIFSITKCKVKIENGRSVGRATVTVASSSDMDKLLGLNDVRFF SRPMRVQIDRKSVPILSRWNGTSMSVGTLPDASDEFRPYWTTSSNMALEITERGKHVL AMEFAKSRRIEFKVRDVVRCCVHAAATDDDGCSLWFHVRRPPMCFQKVGLHLFKDDDD DWVRSTDPSGASVFGQCFAYAVELPMSRALVSTTLQRYGVKATKHCTYHKPVVPGIPP EQVLGKWSGGDDRRFANVPWTVRYALHVLVVQRKIDFLDAAFATHVATACATSKVPPA VLSHHLVALRVFPRRSIADKLLALLDPSTPLPSTPTGHTIRRVLVTPLRVVPEAPEAD QTNRVLRQYGHLVDRFLRVTFVDENFASIFNVNRSPYVFDRIRQLVRTGLNVSGDQFD FLAYSNSQLRSQSCWFFKSATDGEAGIPSVDEIRRSLGSFQAIAVPGRRGARIGQAFS STTPTLDVPAHRVRVQADIERHGYTFSDGVGYMSPSFAERVATFMRVGCVPSALQIRY GGAKGVVSVMPTPLDVDMVLRKSMVKFASDHTGLEICNVAAATPFYLNRQVIPLLSCL GVHDASVMALLNDMLESMASSASTAADAIVLFEKHAPLDPPLRLLKAGCTLDDPFVFE WTQSLRRRLSLDLQLKARILVPRAVCLMGVLDETHSLEEGQVFFQTRSNRYEVPPTGA LLAVGRCPCLHPGDIRLMRRAHVPRLGHLYDVLVFSSNGGRPDPNKMSGGDLDGDIYF VLWDKSLLPTHEYPPMLYDPPTPNHASTGGSALSHSVLYYDLARVG H257_00601 MALEITERGKHVLAMEFAKSRRIEFKVRDVVRCCVHAAATDDDG CSLWFHVRRPPMCFQKVGLHLFKDDDDDWVRSTDPSGASVFGQCFAYAVELPMSRALV STTLQRYGVKATKHCTYHKPVVPGIPPEQVLGKWSGGDDRRFANVPWTVRYALHVLVV QRKIDFLDAAFATHVATACATSKVPPAVLSHHLVALRVFPRRSIADKLLALLDPSTPL PSTPTGHTIRRVLVTPLRVVPEAPEADQTNRVLRQYGHLVDRFLRVTFVDENFASIFN VNRSPYVFDRIRQLVRTGLNVSGDQFDFLAYSNSQLRSQSCWFFKSATDGEAGIPSVD EIRRSLGSFQAIAVPGRRGARIGQAFSSTTPTLDVPAHRVRVQADIERHGYTFSDGVG YMSPSFAERVATFMRVGCVPSALQIRYGGAKGVVSVMPTPLDVDMVLRKSMVKFASDH TGLEICNVAAATPFYLNRQVIPLLSCLGVHDASVMALLNDMLESMASSASTAADAIVL FEKHAPLDPPLRLLKAGCTLDDPFVFEWTQSLRRRLSLDLQLKARILVPRAVCLMGVL DETHSLEEGQVFFQTRSNRYEVPPTGALLAVGRCPCLHPGDIRLMRRAHVPRLGHLYD VLVFSSNGGRPDPNKMSGGDLDGDIYFVLWDKSLLPTHEYPPMLYDPPTPNHASTGGS ALSHSDQVAEFFVEYMRNDNLGQISSAHLVFTDMTDAGAKSDECLELAEQASVAVDYA KTGVSVQGRIRSSPVYPDFMENATKASYQSDKILGQVYRRAKHANPPSMSHCTWRHDA RLVVPGHEAYMNDADDQCFAYSTELWDIACKYHVHSEIELISGNVRSLSRQICRRKGL KASKDVSDRLQLVVRQVRTKYEAKFWAEFGNDVNDPRALQKASAWYYTAYTYEWEHGD PPYLSFGWLALAPMCRLLELACSP H257_00603 MSSRRTSSCCLGLVICGIVSVIGLLSLILLYWSIPSSNPIPHHL TKVSAERFTSDAELHEASPGLGHASHLHSQVLFTWQHPLCAETPDVHKHGPVQSRFHV QYWLDRTWHDLLRSLSSFNTGEPGSIYTNDCFAVLENASTSRQISFRVRSRVDPGVIF QLLQPQWSAWSDTVVLAPSRKELLVCEHADGREPYHWDQLWWGLTLISVVAAVYSRWR STPGSIRGAAKRKISALQDEVHDLKQELSDAETENKLLMRLKGYGLDTLTWKELHELE HELHLGLDIIEQYKEALHPVLVDAPTSPSSSSCSSSS H257_00603 MSSRRTSSCCLGLVICGIVSVIGLLSLILLYWSIPSSNPIPHHL TKVSAERFTSDAELHEASPGLGHASHLHSQVLFTWQHPLCAETPDVHKHGPVQSRFHV QYWLDRTWHDLLRSLSSFNTGEPGSIYTNDCFAVLENASTSRQISFRVRSRVDPGVIF QLLQPQWSAWSDTVVLAPSRKELLVCEHADGREPYHWDQLWWGLTLISVVAAVYSRWR STPGSIRGAAKRKISALQDEVHDLKQELSDAETENKLLMRLKGYGLDTLTWKELHELE HELHLGLDIIEQYKEALHPVLVDAPTSPSSSSCSSSS H257_00602 MPTGSHSGSNSSSNMSEDGYDVDSKYTSGVDVMGGYLVKDLDSG RSYRVEEIDQHYSLVTLDSAKEQLNQTEDLLSMYSADATTVVEIEPPVSDSEQEEDHS IEASAYGDVKERTMKLLPCLLAKCTTQHTRVSGYCPVHEVQAKEKEDSRAQAVYLIPV GKEASFVRIKGHAFVEDAMSRLYTVYIIEMTCADHQWCVYRRYRDFTALFDQLKLKKV AIKLPPLPPKKIIGSFEPDFISKRQTDLGLWIDSLLTQEPSAVPPQTCDDIVRFLTWK ADQPPFLVEQPSAFLNSNANEPRVTLQDFKMIQVIGRGSFGKVVLVGHHATKKLYAMK MLNKANIVKRKQVEHTRTERRVLGYTKHPFIVGLHYAFQTPQRLYFVLDYCPGGELFY HLTRMKKLPEHMACYYAAEIALALEHLHSLGVVYRDLKPENILLTKQGHVKLADFGLA KEGITDGVNGTNSLCGTPEYLPPEILDRLGHGTAVDWWNLGMVLFEMLTGLPPWYTND RQKLFDRLRSARLHFPPYVSHKAESLIRALLNRNPSERLGARGANEVKAHPFFETVDW PALLALKTPPPFRPCRTTANEETPLNFEAEFTRLPLPSVEILEKAQRDRTTSDTFSGF AYECPDDMDAVGS H257_00604 MGTSASKQLFDSAGSNDVVKLRSLIKEGIDVNMTAGPEGITALH AAAEKGHQRTVALLLENGAEVDRKTAEGRAALYIAAKRGHVEVVKSLLEHGGDIETNR KNGRTAIVIASKKGNVEVVKYLAGRGANLEACRNDRCTPLYYAAKKGHVEVAQFLIDS TAALEASSIDGTTPLMIAAQKGRPVMVEVLIDRGANVEACRKDGCTALYLAAKMGQLR IVKLLLEHGANADARDTILGITPLAVAAIEGHLEVVKHLLANAHASFTSTNQDGSTQI VNAAAQTYSDVADAIAELENPDKQLTMDKVKKAFMF H257_00604 MQEGITALHAAAEKGHQRTVALLLENGAEVDRKTAEGRAALYIA AKRGHVEVVKSLLEHGGDIETNRKNGRTAIVIASKKGNVEVVKYLAGRGANLEACRND RCTPLYYAAKKGHVEVAQFLIDSTAALEASSIDGTTPLMIAAQKGRPVMVEVLIDRGA NVEACRKDGCTALYLAAKMGQLRIVKLLLEHGANADARDTILGITPLAVAAIEGHLEV VKHLLANAHASFTSTNQDGSTQIVNAAAQTYSDVADAIAELENPDKQLTMDKVKKAFM F H257_00604 MGTSASKQLFDSAGSNDVVKLRSLIKEGIDVNMTAGPEGITALH AAAEKGHQRTVALLLENGAEVDRKTAEGRAALYIAAKRGHVEVVKSLLEHGGDIETNR KNGRTAIVIASKKGNVEVVKYLAGRGANLEACRNDRCTPLYYAAKKGHVEVAQFLIDS TAALEASSIDGTTPLMIAAQKGRPVMVEVLIDRGANVEACRKDGCTALYLAAKMGQLR IVKLLLEHGANADARDTILGITPLAVAAIEGHLEVVKHLLANAHASFTSTNQVR H257_00604 MQEGITALHAAAEKGHQRTVALLLENGAEVDRKTAEGRAALYIA AKRGHVEVVKSLLEHGGDIETNRKNGRTAIVIASKKGNVEVVKYLAGRGANLEACRND RCTPLYYAAKKGHVEVAQFLIDSTAALEASSIDGTTPLMIAAQKGRPVMVEVLIDRGA NVEACRKDGCTALYLAAKMGQLRIVKLLLEHGANADARDTILGITPLAVAAIEGHLEV VKHLLANAHASFTSTNQVR H257_00604 MGTSASKQLFDSAGSNDVVKLRSLIKEGIDVNMTAGPEGITALH AAAEKGHQRTVALLLENGAEVDRKTAEGRAALYIAAKRGHVEVVKSLLEHGGDIETNR KNGRTAIVIASKKGNVEVVKYLAGRGANLEACRNDRCTPLYYAAKKGHVEVAQFLIDS TAALEASSIDGTTPLMIAAQKGRPVMVEVLIDRGANVEACRKDGCTALYLAAKMGQLR IVKLLLEHGANADARDTIVRVGRLFTD H257_00604 MGTSASKQLFDSAGSNDVVKLRSLIKEGIDVNMTAGPEGITALH AAAEKGHQRTVALLLENGAEVDRKTAEGRAALYIAAKRGHVEVVKSLLEHGGDIETNR KNGRTAIVIASKKGNVEVVKYLAGRGANLEACRNDRCTPLYYAAKKGHVEVAQFLIDS TAALEASSIDGTTPLMIAAQKGRPVMVEVLIDRGANVEACRKDGCTALYLAAKMGQLR IVKLLLEHGANADARDTIVRVGRLFTD H257_00604 MGTSASKQLFDSAGSNDVVKLRSLIKEGIDVNMTAGPEGITALH AAAEKGHQRTVALLLENGAEVDRKTAEGRAALYIAAKRGHVEVVKSLLEHGGDIETNR KNGRTAIVIASKKGNVEVVKYLAGRGANLEACRNDRCTPLYYAAKKGHVEVAQFLIDS TAALEASSIDGTTPLMIAAQKGRPVMVEVLIDRGANVEACRKVRDADSYVNMERISLK HHDRMDAPRCTWRPRWGSCG H257_00604 MGTSASKQLFDSAGSNDVVKLRSLIKEGIDVNMTAGPEGITALH AAAEKGHQRTVALLLENGAEVDRKTAEGRAALYIAAKRGHVEVVKSLLEHGGDIETNR KNGRTAIVIASKKGNVEVVKYLAGRGANLEACRNDRCTPLYYAAKKGHVEVAQFLIDS TAALEASSIDGTTPLMIAAQKGRPVMVEVLIDRGANVEACRKVRDADSYVNMERISLK HHDRMDAPRCTWRPRWGSCG H257_00605 MDPETVSSESPPPREAPDGSHAFDCNICLDHVKEPVVTLCGHLY CWPCLYRWICSNSQCPVCKAGVTQDNVIPVYGRGSNSVDPRKQSVPLDVGVPDRPRGQ RPEPVQRRGRFAPEQDSTQDVGFSPMIGFFPSLFGLQFQHATHSPVADTGPVSEAEAQ QRVQHVFLSRFLLLLGTLVMLSLIVF H257_00606 MFHDLLGQCDEVGRIAVGALYHVQHKDGADDKPLGGHEGRRRVE ADARGAIHKRIVHEAGVLQRVQHDGGVGAHSVAEADGARDLRESKSHTGFEPLPSVVH EAHCGDGARIRDDRVAADGVEELVRLCVQDIVTAQYVHSLLVVLVGPIEFSYVVAAAD ARQLSRRKERECSGPFWQRPVRRLPLRLLPRIVHAVRGFVEVVEDSGSQEDQGGHVAY VVVQVCAVVEATHGPDDVASRSRDRGGRVEPDVGLCDEGVVREPVVQERVWYGDGAAG RYRAEAHAARHFRHGQAQCGLEPLPVLVDERHGSARAIQCLGGQVAYNVKHVVFGCVE NAELVQRLETFVFVGCNGKGSRRGFTGHHLVWGWKGDGE H257_00607 MTTVPGDVGLLEAKLHGASAGEQVVIVDAHNNVIGSSTRAVMKI FNLPHRATYVVVRNSAGLYYVQRRSSTKDYCPGLLEPMAGGVVGFGESYDESAYRELD EEMGIRNTPLTHITTFSYSSPPMLVWRSLYDCVYDGPVTKQDEEVAEVLLLSEQQILA REHDITPDGMFAFRTYLTTSRTTAK H257_00607 MTTVPGDVGLLEAKLHGASAGEQKIFNLPHRATYVVVRNSAGLY YVQRRSSTKDYCPGLLEPMAGGVVGFGESYDESAYRELDEEMGIRNTPLTHITTFSYS SPPMLVWRSLYDCVYDGPVTKQDEEVAEVLLLSEQQILAREHDITPDGMFAFRTYLTT SRTTAK H257_00607 MTTVPGDVGLLEAKLHGASAGEQVVIVDAHNNVIGSSTRAVMKI FNLPHRATYVVVRNSAGLYYVQRRSSTKDYCPGLLEPMAGGVVGFGESYDESAYRELD EEMGIRNTPLTHITTFSYSVHTHHPETCTTNWRLIGMYYIRTSSRHPCSFGEACTIAC TMDR H257_00607 MTTVPGDVGLLEAKLHGASAGEQKIFNLPHRATYVVVRNSAGLY YVQRRSSTKDYCPGLLEPMAGGVVGFGESYDESAYRELDEEMGIRNTPLTHITTFSYS VHTHHPETCTTNWRLIGMYYIRTSSRHPCSFGEACTIACTMDR H257_00608 MNQRNFAINNMQASLEQKLNSLTAREQVEIVDLDNNVVGKADRS VMRVFSLPHRATYIVIRNSAGDFYVQRRTLIKDYCPGFLDPMAGGVVQAGESYEDNAL REVKEEMGVSGVPLTFVCTFFYQDASTVVWGGMFECVYDGALTLQPEEVSQVLVMSAS DIIARADEFTPDGLFAMRLYLEESTKATAAHPHA H257_00608 MNQRNFAINNMQASLEQKLNSLTAREQVEIVDLDNNVVGKADRS VMRVFSLPHRATYIVIRNSAGDFYVQRRTLIKDYCPGFLDPMAGGVVQAGESYEDNAL REVKEEMGVSGVPLTFVCTFFYQVSMHPSLVLCSSPSPTYL H257_00608 MLVGRGDFYVQRRTLIKDYCPGFLDPMAGGVVQAGESYEDNALR EVKEEMGVSGVPLTFVCTFFYQDASTVVWGGMFECVYDGALTLQPEEVSQVLVMSASD IIARADEFTPDGLFAMRLYLEESTKATAAHPHA H257_00609 MLDAREMVPLLAILNEDEKSLEAVVSLFLRSFTKNDHFKVGCMI CLLVVDNLLTNAQRIVGFTILSEVFRNENNTNPFFPCLVEAVDAPLVDPAEKRFLLHL LSRMSLSGNSSEPSKKHMNAKQVLNFFRNEPKAASINLDLDKQLYVEKTPRVPERRAQ GVRGVLSHSPLEFQEHGNHNLLLAPSDISAVNTDDEAPLNVQDLHLDTCTMLSVDPSF VRPPPSILEPDVTEFMWLNPDYCPTLLWDTAMYEEVDVDCGRELRDLMSKAFHGPLVP SQQQKVLADLDADPKLVYSCQLTPTRLPDLVENNPMIAIECLLKLMSSNQITEYLSAL VNMDMSLHSMEVVNRLTTAVELPTEFIHLYISNCISSCENIKDKYMQSRLVRLVCVFL QSLIRNKIINVQDLIIEVQAFCIEFSRIREAAGLFRLLKTLE H257_00609 MLDAREMVPLLAILNEDEKSLEAVVSLFLRSFTKNDHFKVGCMI CLLVVDNLLTNAQRIVGFTILSEVFRNENNTNPFFPCLVEAVDAPLVDPAEKRFLLHL LSRMSLSGNSSEPSKKHMNAKQVLNFFRNEPKAASINLDLDKQLYVEKTPRVPERRAQ GVRGVLSHSPLEFQEHGNHNLLLAPSDISAVNTDDEAPLNVQDLHLDTCTMLSVDPSF VRPPPSILEPDVTEFMWLNPDYCPTLLWDTAMYEEVDVDCGRELRDLMSKAFHGPLVP SQQQKVLADLDADPKLVYSCQLTPTRLPDLVENNPMIAIECLLKLMSSNQITEYLSAL VNMDMSLHSMEVVNRLTTAVELPTEFIHLYISNCISSCENIKDKYMQSRLVRLVCVFL QSLIRNKIINVQVHITNI H257_00610 MRKAPLPDTIQSMVKQRKSTATALVDEKDDGSPTVPPPPKSGLF KSKIRLPFQRDRIDSTLSEARVSDVSDDASDEKDKSPTPVPGKKTPPKPTGTSECHMC RTHLGLRRYKHHCRNCGNSVCSSHSKNQLPLPQFGILRAVRVCDRCTKDVLQQRVGIK RGTSLFQESASVTNDSSIGGVLYSGMVEEQDATMDSVLYLGSLKMTGRSLASRNMNLN VAIWKDRMLVITPAEILCFKHYADSGLGEVRTTVHMTDILHVYINDKYPTILTTVRAD GRIFRIRAKDKDQCHAIHDILTRTMQLFQDALYKLQRGVRPEDFSVTSVTSQHASSLP ETVVMAFPQLGDVMAVHVFPSTLVRVYVAGPIASGVAVYTYDMLTQNAFHQPLNAQDI VRQYCEMPHSVEDPHGLEVHVTSGDSSSHNKFNTKDWARLVVVAAVGALGWLYLLPGI FILGLVVAAAVAVFWVPSDMRGHLGVMWATRRWQTATLRIISCKQVSVGLKCGGGGSG GSDEDTPDNDVYRRFVEGASGDVELAKRKYFFMMSWRKTEDIDNILAKPHDNFAAFKE CMVSYIHKRDKCGRMVLVDKSGVMKKSMQALLARGLTVDDACYHTAFIMEYQWKVLDP RPYPDGQMLRIIDLKGISMDAMSSEVFGFVKKLGFIVGHYNAERIYKVIIVNPPAWFN MIWKVVAPMINPKTRDKTIVVRGAAEITKALLEFIDLENIPQEYGGTCACEGGGCMTH SPEEIELRAFVTKLNDRDVAGAQELLQQIRDRPHALSSVQEDQQLDQQS H257_00611 MEGRRPDEGNSEVNTQHALTVGMTFQSGKDFVAYVRESALRANM HVTLDKLRSYRASYVLYTRAVVLTPRSFRPKYRSCILKCGKQSPYGLPSKATCPRLPG QERADVLPAFLEQFVVLSTLAAQASVRATSTGTSSVELLHSVLPLSLKPECRKSWAST ALIARTSCTTESIWFLLAETET H257_00612 MKAFEDLIPSSIKGCIHKVDRQLNKLAAYIKEQHEGDASDNDSD RSDGTNGGSSDGSDSSSSNDSSSSETVM H257_00613 MPSEPTQPSDVDRAIAFVKRSRSRYVTQNEILDMIIVNVTLRQD NATASTRTTARLLRRNPQLVQQVWKEFVEKGSTTTKPQAPRDMSLRTRLPVTSDQFDT ESQSSTQAAYRPSHVRS H257_00614 MYCSGGVILKYIPQWRSIFVSSLQSAPALNLDKTIATLSEHATA FVRSLIFSGHLKEFVSHGPAAVATSCVISVTVGDKKADIDMLVATFDRKTCGCSLDAR RLVNILSEYYSTSTSSAARSATDVTEVAVAPMSEDIMVCGASDATSNRKRKRGGDYDM LDERAKLATAQPFQYTQVLGRREVQLVMQRIDDIATTQQESKQAAPKTVWNVDMFQVL LKLRLRTYASLF H257_00615 MKLTVHFAMNSAVVAQVLMHPNGDDDWIMIDEDTSPGTKDGDAL DRANETWNDDPMMQWNSVGNQDWSLVAKNGTANFLGAPMECFLCQRTLQYPPTAVPCC GFAYCNDCIQQWAVESDTCPCCLDRPALHLPVASSNQARSVDMTWQLNLIAASQCDVP IMTRFLLSPPSSGGVQCDIHFHRGLFSLVMPDSDVVLCTATQFGHIDAQVTHTFCPFN TSTPIAHNIRTVNSTDFSLHTAESPTSELAIVQTTMSPILKSHNMLVVIGSHGDCLGA CSTHLLTSRQLAGASFDCHASFELVALDTLDVVLSFGRDSGGQSWVVHFCSPCSPLQA FAVALGSLLVVFES H257_00617 MGRKGPPRPTRRDERQQQRQDDAKARKRDASLAERAALALGQFQ RAATMPETRAGRLDLRRALAEKGLVLQRYGEPHANDKLGRGDMCTLISSQHDESFDGL DEGTSEEDIVADEAPDTAARLYECATTLEGSSGDDWIDLVDPFGDDLVFL H257_00618 MPRKGPPKSTRRDDRDKERQKAAGERMRAEQKEERSKQALRDFH THKPEMPETRGVQRDLRRGLAAQGLDLERYGDSESQRLARGNVMEKSEVVGRAVPRGF ASYDDATADDEFGYDSS H257_00616 MQRWDDSANPHTPARFARRLRVVTEPRRSNSNKMLRTRTLSELV FTSPRNSPRVTPRNARFDMDIEVSTSFAEGDGMVDLLSSNPMYSAAPDGPSMEQSLIV VEDSESPDEMFRSHSGQTSLLEDLDDQVFSDRMSVDDDEIDLELEDVEDEANAIIDTP TTVTTVEDNQDEIRQGRPTGVSEVVDMLFEIHTALKSRHMGQRTAASALAASNLVSSV AYPANPMPRDEQLEVLDNTRKMLECAICQEIFTGATEALCCGQVFCKLCIERWVSERS SCPMCRDELSIAQLATSRHCQRMANEMTVPCPYCSENMKKAVLEDHMDTCADAPLEPP PPADVALRANLLAAARLTTQMMTRFLVAPSPPEALITQCYIRTRGSGNYELYTQDTDV LLCTAVRRRQLDMSVTFSIYASSAADATIARLERNFVGSQYTVFNTTEEHNTELGAVQ YAATFGKTPRQMRVALPTVSRRALASTADELNAWGVDQYTPSSRDDSMLAQIDRPDGH RAINLINKPPVWIESLEAYCLDFGGRVAAASVKNFLLSHPDDMDKTMMLFGRTSDRQV YSMDYRHPFSPVQAFAIALSSMDSHLVTFD H257_00619 MDFNTSIDYANEITKLDPHLFSPRRLSSRIQPLYNGRTSVISHI ANQCVYFSLVAHRLRLLASSTRVESSPTKVGIIGGGRVGVEIANTLLAANWPACDLAI SSRQPHEPRWMSRVDSQVARYNDNAKLAEESDVVILAMPPSQLASVGIQIKHALNLGT KALVSILAGVGNERVSKVCGSPGTLLTRVSLPQAGSPADSNPSDAAAMQFALDNSSGL HGLCLDLEHFAMQVGIPKESAREVVVDIVLGRHGSEAQRRAHLPHWHKSWDAILKSAQ GIFSQAINQIDVPLIENNSSGHHRR H257_00619 MDFNTSIDYANEITKLDPHLFSPRRLSSRIQPLYNGRTSVISHI ANQCVYFSLVAHRLRLLASSTRVESSPTKVGIIGGGRVGVEIANTLLAANWPACDLAI SSRQPHEPRWMSRVDSQVARYNDNAKLAEESDVVILAMPPSQLASVGIQIKHALNLGT KALVSILAGVGNERVSKVCGSPGTLLTRVSLPQAGSPADSNPSDAAAMQFACTPSVRW YFFGHDRRNRSGQFVGASWTMLGLGALCHAGWHTEGISKGSCRGHCVGPPRFRSATTR TFAALA H257_00620 MRYLISGASLHNTKSLGGSLGRTLLGLNSLATTHAWQIVSRRAD EADMLTRQLKLSLGANVASSFNSVVHGSLVPNHAENIAKEFKSAKATGLVLLPNAIEP SQWSESQALLAAAKFANLQHIILISTYASHASSLLPSGVAWAALEKEAEETKIPLTII RPTTLMQSMLNGTWGESICGRNLSVSMNRARISFVHSLDVAEVVNHTMAKPASPSTTL HLTGPEALTWDEVASTFSRHLDSPVRLSKVPLWVVQPSLWVRGKNPDEIREIINLSKY YEAGGESEVTSTVESILGRPPISFESFVVANKSQWPHLHQ H257_00621 MVSAVTAYLCLIITLLAVATYAFYVTYLSPVNTINAYISAKKTQ TPWALGWCMFASGVGSWALYAFPDTAVQVGSWGIIGYWLSIVLGYGVLAIAGPAIAEE LGDGVTLSDYAGNRFGTPQKLYVLLIALFYQLISIAAELTAIGGLMVLLAPDVSKAWA IIIVSVITCSYTLFGGIKASIATDAIKGGFVLLLVVIICIAAFSYTALPVDAFATTGV AAFTTTGFEAVFTLTIAIVSSNLFLTGFWLRTFAAKNNADLRKACAYAALAATPFIVL LGVVGFMGFIMHPEAPVFDGGFFFYILLDMPEAWSVLVLIVVAALVSSTADTVQTGMS AEILSHFQSRLSLTTARLISVVINIPAIFIALTDTSVLKLFLIADLLCAATVGPIFLG IWKRTHPWAVLAGCVSGLVTIFVSGWTREGNFVDGFKEFVLEEGLFTTHSMVLFILTL VIPIVVTVGLTFALPPAPKRRTPQNDHADLLVDAPVNHTSDHHFEATKSPAVV H257_00621 MFASGVGSWALYAFPDTAVQVGSWGIIGYWLSIVLGYGVLAIAG PAIAEELGDGVTLSDYAGNRFGTPQKLYVLLIALFYQLISIAAELTAIGGLMVLLAPD VSKAWAIIIVSVITCSYTLFGGIKASIATDAIKGGFVLLLVVIICIAAFSYTALPVDA FATTGVAAFTTTGFEAVFTLTIAIVSSNLFLTGFWLRTFAAKNNADLRKACAYAALAA TPFIVLLGVVGFMGFIMHPEAPVFDGGFFFYILLDMPEAWSVLVLIVVAALVSSTADT VQTGMSAEILSHFQSRLSLTTARLISVVINIPAIFIALTDTSVLKLFLIADLLCAATV GPIFLGIWKRTHPWAVLAGCVSGLVTIFVSGWTREGNFVDGFKEFVLEEGLFTTHSMV LFILTLVIPIVVTVGLTFALPPAPKRRTPQNDHADLLVDAPVNHTSDHHFEATKSPAV V H257_00621 MVLLAPDVSKAWAIIIVSVITCSYTLFGGIKASIATDAIKGGFV LLLVVIICIAAFSYTALPVDAFATTGVAAFTTTGFEAVFTLTIAIVSSNLFLTGFWLR TFAAKNNADLRKACAYAALAATPFIVLLGVVGFMGFIMHPEAPVFDGGFFFYILLDMP EAWSVLVLIVVAALVSSTADTVQTGMSAEILSHFQSRLSLTTARLISVVINIPAIFIA LTDTSVLKLFLIADLLCAATVGPIFLGIWKRTHPWAVLAGCVSGLVTIFVSGWTREGN FVDGFKEFVLEEGLFTTHSMVLFILTLVIPIVVTVGLTFALPPAPKRRTPQNDHADLL VDAPVNHTSDHHFEATKSPAVV H257_00622 MALRKKKFLVSASGEEICRGLVVPEAYVADPNDDADDPDAIELI QTHMSMVFLRRDVVYKVKKNVDFGFADFSSVQKRMQACLAETQLNQRLAPHVYLGVVP IYKKDTALFISTYDMWTDERDKDASYYVNDTLGEIVDWAVKMRRLPNENTCLHLLTTG RLNATLLGLVAAKIAAFHTTARKNATIDEFGKPAVIKQNMDENFTQSASHVDAGLVDG HVYHRVKLLSERWFADLLDTFEHRVQHKYISDTHGDLRLEHVYFLPKAANVSGTKPSM ASYTLTDDISAATTDVVVLDCIEFNERFRYSDPLSDAAFFAMDLYRVGRHDLATAFNV AYLDKSKQTSKANAELLRFYAAYRSVVRAKVSGFQALDPLIADKTRSIARSKCHWLVA YTLLAPPSDRPCLVLVTGLPGTGKSTVAQGLVAADERWVWVRSDVVRKELAGVNPTER TPDDAMTDVYSTAFTQKTYMECWAQAQEALQRGRRVLVDATFREHAFRRLFLEGAKKE GAMAAVVVCECNREIVKGRMAKRASEAVQISDATWDVFEKVEQSWTTFESASGLYAVT DQEVFAVNTEKHLDLAITRVHGFLRKLGLE H257_00622 MALRKKKFLVSASGEEICRGLVVPEAYVADPNDDADDPDAIELI QTHMSMVFLRRDVVYKVKKNVDFGFADFSSVQKRMQACLAETQLNQRLAPHVYLGVVP IYKKDTALFISTYDMWTDERDKDASYYVNDTLGEIVDWAVKMRRLPNENTCLHLLTTG RLNATLLGLVAAKIAAFHTTARKNATIDEFGKPAVIKQNMDENFTQSASHVDAGLVDG HVYHRVKLLSERWFADLLDTFEHRVQHKYISDTHGDLRLEHVYFLPKAANVSGTKPSM ASYTLTDDISAATTDVVVLDCIEFNERFRYSDPLSDAAFFAMDLYRVGRHDLATAFNV AYLDKSKQTSKANAELLRFYAAYRSVVRAKVSGFQALDPLIADKTRSIARSKCHWLVA YTLLAPPSDRPCLVLVTGLPGTGKSTVAQGLVAADERWVWVRSDVVRKELAGVNPTER TPDDAMTDVYSTAFTQKTYMECWAQAQEALQRGRRVLVDATFREHAFRRLFLEGAKKE GAMAAVVVCECNREIVKGRMAKRASEAVQISDATWDVFEKVEQSWTTFESASGLYAVT DQEVFAVNTEKHLDLAITRVHGFLRKLGLE H257_00623 MSSSCAAASPTSLEFPPGFMWGTATAAYQIEGGWNEGGRGMSIW DAFSKTPGKVINGDTGDKAVDHFHLFKHDVKLMADMGLKYYRFSIAWPRILPTGFATH VNEAGIAFYNALIDELVAHGIEPIVTLYHWDLPLALQTEFDGWLGGQVVVDAFAEYAR LCFARFGDRVKNWLTLNEPWCSALLGHGTGEMAPGRKHKCKTETYLSAHNLLLAHAHA VDVYRKDFQSQQRGVIGITLNCDFREPKPSADPAVFKENQAAAERALLVELGWFADPV YFGDYPDVMKKQLGARLPRFTEAESTLLRGSSDFFGFNHYGTGYAEPSAAFRNKVAPG YDGSIWEDSGVDVTSNDKWLKTDMGWNAVPWGFRKLLMWIQARYSPKGGIVVTENGCA VADVDRDAAQQDTFRIKFYEAYIQEMHKAMTEYGVDVRGYFAWSFVDNYEWAFGYSKR FGLHWVDYDTMERVPKASAKWFAQVIKLNKVDVTEENAIAMAAAETDLAVPY H257_00623 MSSSCAAASPTSLEFPPGFMWGTATAAYQIEGGWNEGGRGMSIW DAFSKTPGKVINGDTGDKAVDHFHLFKHDVKLMADMGLKYYRFSIAWPRILPTGFATH VNEAGIAFYNALIDELVAHGIEPIVTLYHWDLPLALQTEFDGWLGGQVVVDAFAEYAR LCFARFGDRVKNWLTLNEPWCSALLGHGTGEMAPGRKHKCKTETYLSAHNLLLAHAHA VDVYRKDFQSQQRGVIGITLNCDFREPKPSADPAVFKENQAAAERALLVELGWFADPV YFGDYPDVMKKQLGARLPRFTEAESTLLRGSSDFFGFNHYGTGYAEPSAAFRNKVAPG YDGSIWEDSGVDVTSNDKWLKTDMGWNAVPWGFRKLLVRRSLKTNLHINEPVDVDPSA VLPQGRHCRDGEWVRGGRRGPRRGAAGHVPHQVLRSVHPRDAQGDDRVRRGRARVLCM VVCGQLRVGVWVLEALWAPLGRL H257_00624 MAMRLDGSFGEPSYISVGDPYKSAREAKVHHIANTKQFLTTGPK RGQTGSNWGPGARKFVGLSGSYQEAYKQESQYRLENTKKNVKPNGFVYSSYTKKSCGK GDYFGTFHDPKEDDTAPKPPPSAPETTRINPKDRQFEKRNVLTSPSKKGTLGYTGTLI GGKPIPAMPAEYDSIHNAARNDMQYHKAKLGDRKAFKSISHALDYFDTNDHVAASRVF EWDEGCKKKPPEREETMNPKERAVAHAATYKSWKPTHAGKEGEPGTFVKFPERVPDPY DERMVTRAMLPNRRNPVELATQGLSESIRERKAFKPSSFPKQKFTKGTCLLGINKHKL H257_00625 MECPHSWLRITSCLDPRSLVSWIGTCRSHRTWFLTQGKRWRELQ AGVAMSRYIHRHVHIQVQVHAQEMQSHSIPRSDDPRVPPRVETVWIEHGAIPSESMSL PPVVTSVLHHPRRDVVTLQVDCRPMAPPPMLVHTVHGPKCLYSVIRVLVTPSSTSDAP RELRRYVYHKHNGDLSVVSVADKRKWSVHGRSPFRYDVLSIDGSCQMELHVPAHLDAM VDCYHIQHVGVLLSKSELFPLPPPRALTDLSPALHIHLTFFDLTHANLLCRLHAIGSI VEEAVPLPSQPTRNPRTAAETLQVFTATVDAASMKHPPPSDLRMTLTRPGIMCLNVSS GRQRAFRSVALHGGTSTSSSSLSVAWVPGVLEYALSPQTLTRRNLKGSITLSFDPTSG APVSVRLMLQYLEPGRRQQYAVAWRSSTGLP H257_00625 MECPHSWLRITSCLDPRSLVSWIGTCRSHRTWFLTQGKRWRELQ AGVAMSRYIHRHVHIQVQVHAQEMQSHSIPRSDDPRVPPRVETVWIEHGAIPSESMSL PPVVTSVLHHPRRDVVTLQVDCRPMAPPPMLVHTVHGPKCLYSVIRVLVTPSSTSDAP RELRRYVYHKHNGDLSVVSVADKRKWSVHGCVVHSYDDGTQRPVFPSRRSPFRYDVLS IDGSCQMELHVPAHLDAMVDCYHIQHVGVLLSKSELFPLPPPRALTDLSPALHIHLTF FDLTHANLLCRLHAIGSIVEEAVPLPSQPTRNPRTAAETLQVFTATVDAASMKHPPPS DLRMTLTRPGIMCLNVSSGRQRAFRSVALHGGTSTSSSSLSVAWVPGVLEYALSPQTL TRRNLKGSITLSFDPTSGAPVSVRLMLQYLEPGRRQQYAVAWRSSTGLP H257_00627 MKLKEAVKEFDIPDAAHTTRTRLGYAQLSHLTQDSSPCSDTSLD TDELKGWEDLVSSNSSKGPMPSPYAILCAIISIFGFFFLLFLGSTVASNSIYIRVKPK EGHAKSSLAANIFLAAFLYLVAFVGSIVSLLRARRAQKVFQLSLDIHADK H257_00626 MKALAVDGNTQERREHAADYTLVSSPMKLRCKNADVLSTEDQVA TNGDDDVEPYRFVYLNNPLENAKLKYIGNTITTSRYTLFSFLPKVLWYQFSKLANAYF LMISVMQCIKRISNTDGFPASLPALTIIVLIDMVFIAMEDYRRHTSDRKTNDIPVHRF DAANGTFEERPSRSLVVGDIIKIFNREVIPADCVILGAFEQNPDQPRGICYVETKSLD GETNLKMRQGVECVYTTLRSDADLAHLHGHVECEVPNNSIHHFNGTLALGSSHNECIG ANSILLRGCTIRNTEFVFGLVVNTGRDTKIMMASLNKDTVKWSNMELRLNRQILYIVA LMIVLCVTGASIGTKWNLKNLSLDRNEKAWYLYRGPRDRVLTSPTENYFMLCLYYFLL LNSFIPVSLYVSMTSVKFIQAYFMNADVKMYHPDTDTPCQVRTMALNEELGQINYVFT DKTGTLTCNVMEFRKCSIHGISYGVGDTEVGLAAKMRQNVTYNPAHASQTRPVVAPFV NFQDDSIFEASTCPHLALFWEHLAVCHTVMPESASDGTLRLSASSPDEQALVAAAACF GHSFYARSPGEALVQTPSGAVTYKILDVLEFNSTRKRMSVVAEKPDGSLALLCKGADT VIYERLADTSDAAVLRVRDQTLEHMETFASEGLRTLVIAHAVVDRAKYTAWSAEYKKA SNNMAEIEKRRRGDPNQIDALMDAMETDLEVLGATAIEDKLQSGVPDAIAKLRDASIK VWMLTGDKEETAINIGFACQLLHMDMDMVVISGNNHHDIDQIVATLEKHQPTIMTDKT TDKALVVDGETLGLALLHCPALLLNVAKQCAAVIACRVSPAQKAELVTLVKDNVRGSI TLAIGDGANDVSMIQAAHVGIGISGQEGMQAANSSDYSIAQFRFLIRLLFVHGRWNYV RMSTLILYIFYKNVMMNMTQYAFMAYSGFSGQKFFLEWGLQGYNLVFTALPIVLVGAL DQDVPDYLCEAFPKLYSVGQLNRKFNGIVVGRWILACVWESAVICIFTIYGLKLDNAS VPMWTFGACAFTIVILVVNLKLMLNQHLHTVWHGLVYVASIGLWWVLAAVVSSSTFSF FWRNAFSLVKGHGFWLLVPVALVAALARDVYWKGFLRNFNPSYANLAQEVHCFHLADR AAYLLQYPPPSMFASRGDVESSPHPVLDSPAHVHHRISVRGVAFSYDAETVMAQSFLA TQHPEVRPGPGLQVSFKRHASIGSLAFQQDEPYVASPHRATSSPSTTPSSLRSFIINH LRTTGTLETNRRGGGSRRLRRSTSMGDGDNDPEHVAGGLRQSDVSHVLRRHLNSVANR PSFLQEKPTRRRSTTLM H257_00626 MELRLNRQILYIVALMIVLCVTGASIGTKWNLKNLSLDRNEKAW YLYRGPRDRVLTSPTENYFMLCLYYFLLLNSFIPVSLYVSMTSVKFIQAYFMNADVKM YHPDTDTPCQVRTMALNEELGQINYVFTDKTGTLTCNVMEFRKCSIHGISYGVGDTEV GLAAKMRQNVTYNPAHASQTRPVVAPFVNFQDDSIFEASTCPHLALFWEHLAVCHTVM PESASDGTLRLSASSPDEQALVAAAACFGHSFYARSPGEALVQTPSGAVTYKILDVLE FNSTRKRMSVVAEKPDGSLALLCKGADTVIYERLADTSDAAVLRVRDQTLEHMETFAS EGLRTLVIAHAVVDRAKYTAWSAEYKKASNNMAEIEKRRRGDPNQIDALMDAMETDLE VLGATAIEDKLQSGVPDAIAKLRDASIKVWMLTGDKEETAINIGFACQLLHMDMDMVV ISGNNHHDIDQIVATLEKHQPTIMTDKTTDKALVVDGETLGLALLHCPALLLNVAKQC AAVIACRVSPAQKAELVTLVKDNVRGSITLAIGDGANDVSMIQAAHVGIGISGQEGMQ AANSSDYSIAQFRFLIRLLFVHGRWNYVRMSTLILYIFYKNVMMNMTQYAFMAYSGFS GQKFFLEWGLQGYNLVFTALPIVLVGALDQDVPDYLCEAFPKLYSVGQLNRKFNGIVV GRWILACVWESAVICIFTIYGLKLDNASVPMWTFGACAFTIVILVVNLKLMLNQHLHT VWHGLVYVASIGLWWVLAAVVSSSTFSFFWRNAFSLVKGHGFWLLVPVALVAALARDV YWKGFLRNFNPSYANLAQEVHCFHLADRAAYLLQYPPPSMFASRGDVESSPHPVLDSP AHVHHRISVRGVAFSYDAETVMAQSFLATQHPEVRPGPGLQVSFKRHASIGSLAFQQD EPYVASPHRATSSPSTTPSSLRSFIINHLRTTGTLETNRRGGGSRRLRRSTSMGDGDN DPEHVAGGLRQSDVSHVLRRHLNSVANRPSFLQEKPTRRRSTTLM H257_00628 MGQRRWRMDRKGRLVVVRKTSYERVRCYWWMLRPSKGRGFQSRR RRVQAILSASASRAGMVPLGFIWRQKKVPSTGSVVHTAPVTRQHGSLSNERCFNVRGN VNLDVVRTAGARGMPAKPAVVAASVESERLEAQVAVGVVRPQLGRDHKEMVSSTKARE PTDQFLHPWPREFAVVEAEHERDHAMVGHVGAFFNHKPAVVDLEPRTSGGGIVEGREC PGVDRFPHMRRSFPHHLAAVKGRPWPPRHPIPDILLAVGGKYHVERRRVHAISAKSEP MNLYRIRTDSGNVVRLLQVDAVGKRSQEKLVEAAPAVVGRCRLVPQLAKQVRHGASQG GRAFDHVLIPAYKSVVDAHAIRPKRDQVQSRQPVVNQVEATLPRESYKVVP H257_00628 MLRPSKGRGFQSRRRRVQAILSASASRAGMVPLGFIWRQKKVPS TGSVVHTAPVTRQHGSLSNERCFNVRGNVNLDVVRTAGARGMPAKPAVVAASVESERL EAQVAVGVVRPQLGRDHKEMVSSTKAREPTDQFLHPWPREFAVVEAEHERDHAMVGHV GAFFNHKPAVVDLEPRTSGGGIVEGRECPGVDRFPHMRRSFPHHLAAVKGRPWPPRHP IPDILLAVGGKYHVERRRVHAISAKSEPMNLYRIRTDSGNVVRLLQVDAVGKRSQEKL VEAAPAVVGRCRLVPQLAKQVRHGASQGDAHAIRPKRDQVQSRQPVVNQVEATLPRES YKVVP H257_00629 MSTLSQWAEDDDADEDDNILWDESTEEKLAENRGGFHLRKQNSQ GGGLRTIPLCKTPPATDPRLITMSPPTASTLTTRLAPPAPVQGLLKKKDAKKASKFGL GERPLGSPSNGAPSGAQTVKLNGSFGGGAKRRSTKTKSGDIGSASSGGGSVSNSAALK MSETPEQVRRFLLAEVKQMSIERLVADAKRYLYLEDFHQKHTATSPPTHPTTPSTVAS TSASLSPK H257_00630 MASPPPSAWEGMVAGAVAGGLTRVIAAPLDLLKIRFQVQVGANA THFPKHYTGLAHALSTIYREEGLRTFWRGNIAATFLWVSYSGVQFGTYQALHNILMDG DGRNHWAWNSVIGATSGVVATVSTYPFDRCRTVMSSQGVPKVYRNMRHFFAVSIHREG IKGGLYKGLGPTVSQIIPYMGLSFGIYATLSEITASATHSRFRWVLQTVGNGAIAGFV SKLLVYPLDTIKKRMQMQGVPRHADYGHTIPSYASAVHCGRDIFRHEGLYGLYKGTIP SLLKSMLTHSCTFTIYELTAQSLHNLNCLIHY H257_00631 MSNTRSKNPQSLRVGVLGAGRIGTIHTQILSRLGVTIVSVADPG ADRAKALADEFGIPNFTTDPNDIFEDPTIHAVLICSPTDHHAHQIHLAAQHKKHIFCE KPIALDLAVVDAAVKAVEDANVKLMVGFNRRFDANFKRVRRAVVDGEVGAVSMIRITS RDPSPPPVSYIKVSGGMFCDMTIHDFDMARFLVGSEIDQVFVLGRACDAAIAAAGDVD VAVVCLQFANGVIGYIENSRVAAYGYDQRVEILGSKGAVSCDNNYPNTVTVSTAASIA RDLPLHFFIDRYMDAYRDELAAFVDVCLNPGAVPPVSGQDGRQALKLGLAATLSLKEN RPVKLSEFHSGYPTGRL H257_00631 MSNTRSKNPQSLRVGVLGAGRIGTIHTQILSRLGVTIVSVADPG ADRAKALADEFGIPNFTTDPNDIFEDPTIHAVLICSPTDHHAHQIHLAAQHKKHIFCE KPIALDLAVVDAAVKAVEDANVKLMVGFNRRFDANFKRVRRAVVDGEVGAVSMIRITS RDPSPPPVSYIKVSGGMFCDMTIHDFDMARFLVGSEIDQVFVLGRACDAAIAAAGDVD VAVVCLQFANGVIGYIENSRVAAYGYDQRVEILGSKGAVSCDNNYPNTVHKHQKQSHV DCGVLQVTVSTAASIARDLPLHFFIDRYMDAYRDELAAFVDVCLNPGAVPPVSGQDGR QALKLGLAATLSLKENRPVKLSEFHSGYPTGRL H257_00632 MHARRKDGAATAPNQISNSKRSALQDALVAKLVYKYAKRDDEFG RIIVSLVSKLVRTTAKLVEADISALEKTVKKLHDERGRKQRRDDERVGFQAPPPEDAL DVKVAELGPRLSSPRFQPAVKAETINLKTQDEWVLINALNSVEFEDSEKHNIETKHIK TVQQRQWLDSQKKEKDKKVKSLLDEKHDMYEHQVSDYTRWRAEEVDKARKAKDAVDHI RMERDRQLRESKELHAKLEEKRRQEEELEVQRCKDELKRTEMEALERKRVQHARMQSL LKENIQVQREKEKKKSLDQERDVKLMEEYAQKLAKEEAERVAALQHKLTRQDRIQNGI ALSIHEQLKLKEMEDEQRADDYQRHKLESDREKEQIIAKRRKEEALDRKKYLTFQHEV KEEKKKKLNKEEVEYSNKYREEGELALREMKQKQDNARVRNKEYQKLLLSQMQEQKVR KAATFKSMDPREKSLNAILLRKLEVDEDLSQKVVAKLSPDKMVRAKPRNNIF H257_00633 MPCEGDKPKGHTGPRKRRPKTKKKHEDIPSIAMASVAMAVPSPQ KSTRTTKKADAAPATPETPKRTAGLCAKADSFSPTTLNTMSPDRTIEAATRAYWTQWA IDAAEQERTRRLHQLADIQREEDMERARRQAWAREAIEMEQHSRTSRLFLEAMQNTAW FQATISPSILNYEVVCPHSWFGCTFSCMLRHIEEHLVDCPYRQVPDTPTVEDMDLHSY DVVCPNAVLGCITICSRDTIGAHLSVCGIRSAETELAERMQSQLSVIAASETERRRRM NEATAMSSEVHKLHDAQTKDLQARLHAEVSAFGAAHHTSAQLRRPGVLRVLEVVAHIV QTAWPQAAVEPYGSFATHLNLPSSDVDLVVGPFHSVRTDSLGDVQQLGDLLAAHSSSS CSDVTFTSIQILAHAAIPLLKVVAAVGGADLSVALDVTFWSPSHQGLASAALGLELCQ GIPGLKEVTLVLKYYLAKRGMNDVYRGGLSSYGLLLMVAHVCLQKASRHPTPTKSAAV DEGDGAVALSDSQRAKGVRVAAALMAHVKAEAAAPCLGKLLMEVLHYYGNNFQPEVDV VTVGTSFSDDMRRGAAATLCVLDPLDGTNNVGRHCYRISHILRSFQDVSNSLTSLIVR LRRGKDVGGGSLLDRMFNL H257_00634 MAAAPLEGTKSIDTLLAAENVSASGRRVPISTSESGLPPGRVLF INDRSATSAAMAKLGLTCASNVVRTSKYSVLSFIPKNLMEQFRRVANFYFLIISLLQL ATPYSPTNQYSTIGPLVLVLVATMIKEAVEDKVRHDADKTVNRAKTLVFVDATGGYEE RMWQELQVGDVVKVLEGECFPADLVLLESSNIDGQAQVETANLDGETDLKTRTRPDWK LDWVNVSMFPSMVTAEIRCEAPNRRLYTFDGVVKIKQEGRLAWEVPLTITNIVLRGMK LSHTTYVEGVVVASGAETKLIQNTKQSPSKFSRLDVIANRCILVIFSVLCIVCCVSTA WSTWRSTMYFNRVGSKMTTAFGNTTNWSYLWDQDIPSTFITFLILYNNLVPISLYISL EVVKWYQAKQIESDPEMLDPMTGRSVQARTSNLNEDVGQIRYLFSDKTGTITKNEMVL KLISLGHVVYDNIPVRDKRIDSSPSLHRDAPVDQVDRITTRLRAYSANVMPYSMLTQH GTYPDHGAGCDATTVMDDTGDLSRGVLVQTFFRCVLLCHTATLSPENAIRASSPDETA LLRGAVELNCVLKGRTTTTMDISLFGRPESYEILALNEFDSTRKCMSIVVRQLTATCQ ESITKDDVLWVFCKGADTTMMASGDHRHHTAKVPTRDSITSHLHYFASMGLRTLVFGY KRITLAEFMAWSAAYAKAKTSLVDRESKLIECARSMETRLTLLGATGVEDQLQDGVTE CIETLSAAGINIWMLTGDKDETAISIANSCGLLSDQSQLVVLNETSQQGCLYQLTNIR KQLRKQGLWKPDVPSREIALVINGDALECLMNETRPEADNDEKVSTGRFADPNESTHS VLGVDESNHALGYRDSSFQRTRPTIKGLQDTHSNSDDNDAALALFMQLVTQCCSVIAC RLSPIHKAQIIALIKRSKSRPVTMAVGDGGNDVSMIQEAHIGVGIFGHEGMQAVRSAD FAIGQFRFLSRLILAHGRWNYRRVSIVILFSFYKNMTLVMTLFMYSFLNGYSGQTMYE SYLIVGWNVLYTLFPILVLGIIDEDISSNTVLKYPFIFRNNQMGQELNLSKMRMWVGK ALWHSFLVFSLGTFLTYNAFGSYSMADSSIFLYGTAVYGILVVTVSLKAALIMQRLHR WTRYHYFAIVGGPVSYLAFVLSYSEAYGIVRLTTFSDFYGLGSVIFGGAAFWITICLV GFTSIVADVVGMYISRMYLPTNQDIIEEIDSHLDDPCDDVATVATTASRSKTGSVVMV NMKQVKIEEVAQRKILSPGVGGVRKDLGFLTEHEELCRKLMRQERAISREVGVHRQEV FDVGISSTSQISLHPITVEFMGEDHDVLEAGYTKLFVAREVRRIHVLVAMFLFLLPFY AVVEYYWEKDQSRYLIRVGMFCGTLMYLTFLHSRFFLSHYQLAILVPMAMGGIAFTQA IEYTGLLLVTIFTILLFSVVRVKVVYAICLAVFNLLYFDLSPRLGFRSSTKSSETTAT EIVLFLVFMMFLIACSAFGSYILQVSMRTDFVQNRILVYEERRSREILSNMLPEHIVR RMQNGEKLISEEEKDVTILFCDIADFSSLIKRYSPTEMVMLLDRIYSLFDAMCAKHGM RKMETVGKTYLACAGLQGSVKGKEAALRAAGVAQDMMAAISRVKASNGNGLKIRIGIH SGRVISGLVGMKKQQFSLFGDTINTASRMQSTGVTGRIQVSQVTYDYLCHDFRFEPRT VEAKGKGTLTAYLMGKSATVLGDRAMRGHWDAFPDPRTASQSRQALRATFEMMIIYHI REWLRRLFAPTNRIEVMASTVENTEMSMPTRMRLQGIHTELSMEIDPIWLFFVKPELE LAYRKARCFIRQNGARRTMIMLAIYLAYTILRDLVHDMSVDNTGVLQNNATICDHSCK SAIYASTAIRGFLIGYAGWFEYSNFYARRAVDQPWLTDALKAFIRPVHATIFVYYIVT SVILVTPNIFRWANEQQSILYSYISMDIIMPMFLVSSGGSLLNKFTSYFNGLLMALST IIYLYAYNQALPDHSKVEGLKVYPTALTLFVGLFSTMARRDIEFFCRRKYWFQARAQM ETKKADRLLYKMLPQSVVNQLKEGELVCDQHHHVGILFSDIKGFTSIAARADTDIVVH LLDSLFSAFDVLTEKHGVFKMQTIGDAYVIVSGLPYVDMSSKELNHLTEPNADELVTS HEAGLQSIHHKPGTPSSTVKPHSSGSFRHLESLPPRLHIRNLIRMATDMQREVAKVMD PNSGEPLQMRIGIHIGSIIAGVIGTSTLRYDMWGPDVLTANEMETSGVPGRILVSSAV KNVVRHHIVYASKWSQHVHQAEDCPDLRFTFHKSIDFTGIAKMDTYIADFIDDKVEKH NLPVENKLHEKPPLPSDGSTKVLAPSTTFEKAS H257_00634 MAAAPLEGTKSIDTLLAAENVSASGRRVPISTSESGLPPGRVLF INDRSATSAAMAKLGLTCASNVVRTSKYSVLSFIPKNLMEQFRRVANFYFLIISLLQL ATPYSPTNQYSTIGPLVLVLVATMIKEAVEDKVRHDADKTVNRAKTLVFVDATGGYEE RMWQELQVGDVVKVLEGECFPADLVLLESSNIDGQAQVETANLDGETDLKTRTRPDWK LDWVNVSMFPSMVTAEIRCEAPNRRLYTFDGVVKIKQEGRLAWEVPLTITNIVLRGMK LSHTTYVEGVVVASGAETKLIQNTKQSPSKFSRLDVIANRCILVIFSVLCIVCCVSTA WSTWRSTMYFNRVGSKMTTAFGNTTNWSYLWDQDIPSTFITFLILYNNLVPISLYISL EVVKWYQAKQIESDPEMLDPMTGRSVQARTSNLNEDVGQIRYLFSDKTGTITKNEMVL KLISLGHVVYDNIPVRDKRIDSSPSLHRDAPVDQVDRITTRLRAYSANVMPYSMLTQH GTYPDHGAGCDATTVMDDTGDLSRGVLVQTFFRCVLLCHTATLSPENAIRASSPDETA LLRGAVELNCVLKGRTTTTMDISLFGRPESYEILALNEFDSTRKCMSIVVRQLTATCQ ESITKDDVLWVFCKGADTTMMASGDHRHHTAKVPTRDSITSHLHYFASMGLRTLVFGY KRITLAEFMAWSAAYAKAKTSLVDRESKLIECARSMETRLTLLGATGVEDQLQDGVTE CIETLSAAGINIWMLTGDKDETAISIANSCGLLSDQSQLVVLNETSQQGCLYQLTNIR KQLRKQGLWKPDVPSREIALVINGDALECLMNETRPEADNDEKVSTGRFADPNESTHS VLGVDESNHALGYRDSSFQRTRPTIKGLQDTHSNSDDNDAALALFMQLVTQCCSVIAC RLSPIHKAQIIALIKRSKSRPVTMAVGDGGNDVSMIQEAHIGVGIFGHEGMQAVRSAD FAIGQFRFLSRLILAHGRWNYRRVSIVILFSFYKNMTLVMTLFMYSFLNGYSGQTMYE SYLIVGWNVLYTLFPILVLGIIDEDISSNTVLKYPFIFRNNQMGQELNLSKMRMWVGK ALWHSFLVFSLGTFLTYNAFGSYSMADSSIFLYGTAVYGILVVTVSLKAALIMQRLHR WTRYHYFAIVGGPVSYLAFVLSYSEAYGIVRLTTFSDFYGLGSVIFGGAAFWITICLV GFTSIVADVVGMYISRMYLPTNQDIIEEIDSHLDDPCDDVATVATTASRSKTGSVVMV NMKQVKIEEVAQRKILSPGVGGVRKDLGFLTEHEELCRKLMRQERAISREVGVHRQEV FDVGISSTSQISLHPITVEFMGEDHDVLEAGYTKLFVAREVRRIHVLVAMFLFLLPFY AVVEYYWEKDQSRYLIRVGMFCGTLMYLTFLHSRFFLSHYQLAILVPMAMGGIAFTQA IEYTGLLLVTIFTILLFSVVRVKVVYAICLAVFNLLYFDLSPRLGFRSSTKSSETTAT EIVLFLVFMMFLIACSAFGSYILQVSMRTDFVQNRILVYEERRSREILSNMLPEHIVR RMQNGEKLISEEEKDVTILFCDIADFSSLIKRYSPTEMVMLLDRIYSLFDAMCAKHGM RKMETVGKTYLACAGLQGSVKGKEAALRAAGVAQDMMAAISRVKASNGNGLKIRIGIH SGRVISGLVGMKKQQFSLFGDTINTASRMQSTGVTGRIQVSQVTYDYLCHDFRFEPRT VEAKGKGTLTAYLMGKSATVLGDRAMRGHWDAFPDPRTASQSRQALRATFEMMIIYHI REWLRRLFAPTNRIEVMASTVENTEMSMPTRMRLQGIHTELSMEIDPIWLFFVKPELE LAYRKARCFIRQNGARRTMIMLAIYLAYTILRDLVHDMSVDNTGVLQNNATICDHSCK SAIYASTAIRGFLIGYAGWFEYSNFYARRAVDQPWLTDALKAFIRPVHATIFVYYIVT SVILVTPNIFRWANEQQSILYSYISMDIIMPMFLVSSGGSLLNKFTSYFNGLLMALST IIYLYAYNQALPDHSKVEGLKVYPTALTLFVGLFSTMARRDIEFFCRRKYWFQARAQM ETKKADRLLYKMLPQSVVNQLKEGELVCDQHHHVGILFSDIKGFTSIAARADTDIVVH LLDSLFSAFDVLTEKHGVFKMQTIGDAYVIVSGLPYVDMSSKELNHLTEPNADELVTS HEAGLQSIHHKPGTPSSTVKPHSSGSFRHLESLPPRLHIRNLIRMATDMQREVAKVMD PNSGEPLQMRIGIHIGSIIAGVIGTSTLRYDMWGPDVLTANEMETSGVPGRILVSSAV KNVAEDCPDLRFTFHKSIDFTGIAKMDTYIADFIDDKVEKHNLPVENKLHEKPPLPSD GSTKVLAPSTTFEKAS H257_00635 MGAKSKHNKPAAKQAPTAKPSKGPSGTKHTTGGRKDTVATNAKP QHGTKPQGTSKSTTNSTPQQRNKPQGGGNASHGAKPHFGNKVQGGGKPYQYNKSNDRR DGGKKDFSKFGKTQPQQDKKPYVKPTEEEAVKPNEKLISMLKSMKDQSVAWHEAVKAL PNESKDVVKHKASDPIVDEKKRLAERLMEAELKAADSKGSLSSDEKYLKTMIKSGTLA DRIAATTLSIQASPVHNLARLSQLVTMAKNKGRREAQMAIDSLKDLFLTNLLPDRKLL FFHQRPIHRTDATQAHVVIWYFEHCVKVAYAQLIAALASGMDDAIEAHKRACIRAVIA LLTDKPEQENVLLTMLVNKLGDPDRKVASFVLHQLQELLKVHPVMKRVVVDDVERLLT RAKVTERTKYNAVLFLNQMYLSASDADLATHLIKVYFGLFSKEVHRDQGKADTGLERK LLSALLVGVNRSFPYAKCTSADFQDEIDTMFRVVHTAHFSTSVQALMLLFQVMSSTNS VPDRFYSALYTKLFDPKMHTTSKHTLFLNLLFRAIKQDVSPARVHAMIKRLLQVSLTM PPAFCCAALFLVSELLQHNKSFRALIDQPEHDLTQDQVPPSNNKKDDDTVESSAEDED ASDDQALEAPVPAEEDEGEADLEAERKRSAALLKSMGLDVAPDDDRRSAVKRVSGGVV VVTPYDPRKRNPLYAGAETSCLWELQPFLVHYHPSVAQFAKQLVDGAISYKGDPLNDF TLSVFFDKFVNKKPKSKDGPHRYSHGGGRGDDGDDKHAVVGVHSEHFLAQDEAAVADT DRFFYTFFKERAKRVPAKPTKKKDDLTGDALASDEEDEEYEAFANQLAESIMEDNDDE DPDMDDWSGDESGDNEDGDDDDDGDDDDDGDGAEMNDEDMMFDDDEDLDDDDDNEVQF GDDDEESAAPPPSVSKGKKKASDKRKSPFADADEYLEAIERGERDDQAAQQTPTPKRR PLKKRK H257_00636 MDKLKILVLNAGSSSLKYKLFAEKSGTALTPLISGMVELGSQKQ VKHTTYTPTSTVKASLPPVSLPDHKTALSYVIDLLTDSTHGGLKHKNEIHGIGHRVVH GGEAFHDHSLITPAVIKALEDNVDIAPLHNPANILGIQVAHELFDCQHVAVFDTAFHS TLPPAAYLYGLPYSLYQDYGIRRYGFHGTSHQYVAQQAAAHLGKPLEKSNLVTLHLGN GSSMSAIRDGKCIDTSMGFTPLEGLIMGSRSGDIDPAIIPFLHSHAKLSISEIDTLLN KKSGLLGLCGESDVRTIQDRVVAGDAQANLALDVFAHRVRKYLGAYVLQLYPKVDAIV FTAGIGEQSSVLRERICANLAHVGIQVDTSKNVPGPTSGVREIHVQGSPIPILVIPTD EEKSIAETTVRLIN H257_00636 MDKLKILVLNAGSSSLKYKLFAEKSGTALTPLISGMVELGSQKQ VKHTTYTPTSTVKASLPPVSLPDHKTALSYVIDLLTDSTHGGLKHKNEIHGIGHRVVH GGEAFHDHSLITPAVIKALEDNVDIAPLHNPANILGIQVAHELFDCQHVAVFDTAFHS TLPPAAYLYGLPYSLYQDYGIRRYGFHGTSHQYVAQQAAAHLGKPLEKSNLVTLHLGN GSSMSAIRDGKCIDTSMGFTPLEGLIMGSRSGDIDPAIIPFLHSHAKLSISEIDTLLN KKSGLLGLCGESDVRTIQDRVVAGDAQANLALDVRTVYCFYII H257_00637 MSHQFTTKSVASQSTMLRVRPFLSSRKAAITLLPRATTSRFFTD DATKKNDRLLVMTNGGVAKHSHLLLGLMNKLSYTFPSVGYFRPVAPNFHSTHGDHHVD LIRSEFKIKDEPYQLVGMTQADITHAHLEGDTDSVIDTMLSKFEYLREKHDFVVMEGA VLDTSPELSWELNVDIAKSLNAPVLLTVDADDLTVDPALHWTAAESVAWLADQITTRV LLAKDMAHAEGLTHVGTIVNRVKTDDALELRDLVHAQIKARGFDPTKLLGILPLDPVL NSKRLNEVVAQLHAKQLYGNPMSNSVVVTDGLMATTELKDLFKHINKHDDGLLVIVSS ERTDVILGLLASRLSGALPQISGIILTNGGIPQNECQDILIGLAQIDKASVPIYSVEL DSYRTAIALSKVTCDILPTSQNKIQQAYILFDTNVESDELLSHLIERTGGHGRTPKQF KHFLFEASRKADQHIVLTEGEDDRILQAADEVLRRGIARLTILGDVESINARAKTLRL DLSQATLLDPSKADKLATYADHYFEKRKSKGITPELAKETVGEATYFGTVMVDLDDAD GMVSGVCHTTANTIRPALQLIKTRPDIPLVSSVFFMCLEHDVVLYGDCAVNTDPTAQQ LAQIAVQSAESAVAFGIEPRVALLSYATGDSNKGPIIDKVREATKLAQSMAPGVSIYG PIQYDAATNPSIAKQKVKGLKQSEMEVAGHANVLVFPDLNTGNNTYKAVQQSTDCLAI GPMLQGLNKPVNDLSRGATVGDIVTTVALTAIQAKQSKN H257_00637 MSHQFTTKSVASQSTMLRVRPFLSSRKAAITLLPRATTSRFFTD DATKKNDRLLVMTNGGVAKHSHLLLGLMNKLSYTFPSVGYFRPVAPNFHSTHGDHHVD LIRSEFKIKDEPYQLVGMTQADITHAHLEGDTDSVIDTMLSKFEYLREKHDFVVMEGA VLDTSPELSWELNVDIAKSLNAPVLLTVDADDLTVDPALHWTAAESVAWLADQITTRV LLAKDMAHAEGLTHVGTIVNRVKTDDALELRDLVHAQIKARGFDPTKLLGILPLDPVL NSKRLNEVVAQLHAKQLYGNPMSNSVVVTDGLMATTELKDLFKHINKHDDGLLVIVSS ERTDVILGLLASRLSGALPQISGIILTNGGIPQNECQDILIGLAQIDKASVPIYSVEL DSYRTAIALSKVTCDILPTSQNKIQQAYILFDTNVESDELLSHLIERTGGHGRTPKQF KHFLFEASRKADQHIVLTEGEDDRILQAADEVLRRGIARLTILGDVESINARAKTLRL DLSQATLLDPSKADKLATYADHYFEKRKSKGITPELAKETVGEATYFGTVMVDLDDAD GMVSGVCHTTANTIRPALQLIKTRPDIPLVSSVFFMCLEHDVVLYGDCAVNTDPTAQQ LAQIAVQSAESAVAFGIEPRVALLSYATGDSNKGPIIDKVREATKLAQSMAPGVSIYG PIQYDAATNPSIAKQKVKGLKQSEMEVAGHANVLVFPDLNTGNNTYKAVRV H257_00638 MTVVREWPKSELAEHCDDLLLLLTGAIQDPKDFVRAAAREALCA FAETWYGRERGHGTTRRDPAAAPTTNRPMIIAEHASAQLTAALLKKQVSATSVARPHG RLPLRRQLHSAPIPRQIRF H257_00639 MTVVREWPKSELAEHCDDLLLLLTGAIQDPKDFVRAAAREALCA FAETWSEGMEQLAEIPPLPQRPMIIAEHASAQLTAALLKKQVSATSVADLTSAFRYDA SCVQRRPPPRGKRGYVCGDYSGSCCPHCIGIQVHWANAWLRAYPRRSLAVVKTMLCSV CGLMQWIYTWATSYNVDTPSQGVTTA H257_00640 MAPSASTNNPLLSDWSVRPFSLPPFEEIHASHFEPAIKVGIAEH LADLQQIAENPDAPTFDNTIKALDCAGALNGRILGVFHNLTSSICPPELRSVERNLVG PMADHHAAITSFPGLFERIKHVHGDKEAAKLTGEELRLVERIYVDFVRAGALFDKETQ DKYNGIVKELAQLMTIFRQNITADESEVTVPVTEDELKGSPDYIVESARQAGVDRNSG TPVVTLARSMVEPFLTLCANADARERVWQAWNFRGESTPDRDNNPIAVRILELRIAQA KLHGFNTFAEYQTSDMMAKTPAAVMDLLERVWVPAKEAALRERDTLLAFASSIGEATP VLRPSDWRYYAEKVRSATFDLDDSAVKPYFSLDRMVEAVMDVAYQLYGLAFVHRPDIH AYHPDVKVYEVRFENEVVAIFLHDNFARPFKRGGAWMSQFRGQHRNTPDGSNVIPIVI NNNNFTKGYPATLLSFINVKTLFHEFGHGCHGMLSNATYKRLGGTQVPKDFVELPSQL MEHWMSQPQVLAKHARHVDTNEPIPEALLEKVTAAMRFQQGFATVEHVACTLVDQALH ALDTVDGLDLLAFEKDILAKLDMPEGIVLRHRLPHFNHLFGGASYAAGYYVYLWAAVL DADAFQAFVEAGDIFDKDTADRAKKFIYSSGNTRDQMEAYRAFRGREPSIDALMKKKG FVV H257_00641 MHHKLMLRRLSQQKHRVGRATTQIQGMSLSTGLRQQTTNLRLPS VPRLLQLHRSFSGCGSSDKKPSSTERTVRPRVVAEDEYYFNEFRQFLALETPTDDEAR LARCRLDEVVAMTLSKEILGIQATALSLVADKLGDHALVLQVYRAQREKRIQPSPLTL KVAVTSCAAISPEDDVHAWETALDVVAQMHEAVHLMPVSEEMYQQAIEACAKAHQWLV ALRLVDEMLRHNRPPAADTWLTVAKVCLTHRETEAALSLVEKLRDVELDVDFDVDHVL EAILMVGVSTHNSAFTLKVLNDLYSHQRARNNRLGGNLSSWFGLKQLHQDPLVVETLS TNDLHAIVDSLATDRQWLAMDKWLPSLGYPDYKCPTIQYGHRDYFPLAKHIYQSIEAP SAQFKNAYLLTCGRLGRLDEAKDALRAHPRADFTSYYAAICACNDDVNESAQLYDLAA TANERLYDHPHHHHTRVDVLNGYLTTLSKASKHNDVLRLVSRDLNADDATNHRTMSAV LLAHVGLGHWNDVVETFKSIKTHGFPCSGYVYGGVILAYTKLGHFKHAAMLFQHIQHS DPEYMHHPAVLASVFYLFRVDDNDVNAAMALFRSLDLSEEKNGRVALNAEGAVHLLHT LYGMRNDDGRNDDGDGGTTTTLGLLEEVWPKLERLPTLFTNHKGPHPYVVDTALLAAA KAQAVDMAEDIVTWAMDQSIPFSAATYTHMMRVYSQPPISELDHFVDWTAPPAFPVRF MYWWDAMQDNADVKPNVRTITSLLAAIRRRILTDVTAKDVLDTMDSVWDVPLRVEHCE MCLRIWAAELTQGEGHVVWTHVLQLVQRMKDEGILYRPETIAAAAACGQAADGNLVDR EAWLHMLAKAAASDGENMASLIKALQTSTDVVVVLEACDRKLSAKVLAHALESCGGDT TSTNMRRMCLWVQRHNIQLALPSTITTEFKERLDRWGEDVQEDPWRTVLLTESS H257_00642 MDEVGIDRKLVIVGCTDTGKTSLTIRYCQNNFNTPTAATIGASF LQKRIMLDKHKMTLQIWDTAGQERFRSMAPMYYRNAKAAILVFDVTKEDTFAKVKECL NELRKHVDDDIVLAVVGNKCDLATSFDFGLTEAFAREIGATAHRTSARSGQGVTDMFE TVSRALLKKHLESERLAPSANRPVSTPDPLLRLEQKTTPPAKPSGGCCK H257_00643 MADSVDAHAAQHPARNEVLVDGLTFSSTFDSGNMLSVDRGRDLD GGGCEYVITAAPDAAKPDAQPEAPLTSTSWFHFSIAGASAGQVVSLQVVNLIKHSSLY DQDMRPVTRSLPSQKKWERLRQGVTYQVQKDGEFSIRFTHTVVKAGETQFFAFCYPYS YTDLQRMLRRLDSEFSQDPQDGKQQPMPVVRSRLRSQPIYYHRETLAHSLDGRKVDLL TISSLDNILDEREDALPGLFPDHPAMPRPRHFQNKRVVFVTARVHPAETPASFVLEGV LSFLLKDDPRAHKLLKECVFKIIPMLNPDGVARGHYRHDSLGANLNRHYIRPTLAAHP TIFATKAAVLATCHTHSKLALHVDLHAHAAKRGCFIYGNRYDTMPEQAASQVYPKLVG LNSVHFEYDQCNFSELNMHLVEKRDAGLSKEGSARVALHRETAAMCPPVYFYTLECNY NMGRQACSIPHSGSGLMSTAPLSPEVARKSYVPKYTTASWEDVGKGMMVAVLDWLGTN EWSRIPHSPFRTMAGLVQDIRHHVAPSFDLKGFAKDKAPPHVSIVATKPPLTTLKNED RKLSKSDKHEMKRFGYVGLPPTTTPKKDRTRSTSNSNNGGGSAPPTAALRSLSKPPIL RSSPNNNNSNHSI H257_00643 MLRRLDSEFSQDPQDGKQQPMPVVRSRLRSQPIYYHRETLAHSL DGRKVDLLTISSLDNILDEREDALPGLFPDHPAMPRPRHFQNKRVVFVTARVHPAETP ASFVLEGVLSFLLKDDPRAHKLLKECVFKIIPMLNPDGVARGHYRHDSLGANLNRHYI RPTLAAHPTIFATKAAVLATCHTHSKLALHVDLHAHAAKRGCFIYGNRYDTMPEQAAS QVYPKLVGLNSVHFEYDQCNFSELNMHLVEKRDAGLSKEGSARVALHRETAAMCPPVY FYTLECNYNMGRQACSIPHSGSGLMSTAPLSPEVARKSYVPKYTTASWEDVGKGMMVA VLDWLGTNEWSRIPHSPFRTMAGLVQDIRHHVAPSFDLKGFAKDKAPPHVSIVATKPP LTTLKNEDRKLSKSDKHEMKRFGYVGLPPTTTPKKDRTRSTSNSNNGGGSAPPTAALR SLSKPPILRSSPNNNNSNHSI H257_00644 MHKRVKVCIRVRSASKDHAGIQVNEQEKTISVLNQLNGNGTCFH FDDVLGSQVTQEQVYQRVAAEASESVLHGYNGTVMAYGQTGAGKTFTMSGGKTSFSDR GICARSIASVFQAIQNDSEHTYSVRVSYVEIYNEQLYDLLDFSEHDTNHKDLVVQDND KGQTFVKGLAKPIVDNEGAALDFLFQGDTNRTIAEHCLNSASTRSHCIFSVHIEKRSC HDTTSPVVESKLNLVDLAGSERMKKTLVTGTMLKETMHINKSLTFLEQVVIALGDHKR EHIPYRQTTLTNILKDSLGGNCRTLLIACVWPDESQNDQTLATLKFATRMMRVKTSAV VNVSQEQHIQAKKLTDEIKRLKGELALHDTLAGRSRVVYDAMSPEQMAEMKAAIERFV AGDGSQGLLPVVNVVQVQTLFQLFRQVALEHKAAAGPEVDGMPPAQPTIVESPVTSLD HKEDDSNDNALLHQQPSDIQPSTRTDDEPERTNDDAALLDEFKQHLAAQLEQAKQNFR KAKKQAVQCATDVNLAKSDIDALSKALSTSSDDDQRELLGQLKDAKKTYRTAFDRLTD AQAELTYLKKTKDQLVASAATDFDTWKRARTAGHHAQRATSM H257_00645 MQAAPLWRGGGSFARQSQRLSSSSRAFSQSADSLATTKLKRRSL VDVHVDSLDDHGNGVGRDITSNALCTVVGAIPGQRWKGRVVNDRCTPARVVGMELLTQ SDRYVEPLCPYFKDCGGCKTQHVPYADQVAAKYASVQRLLHPIESASADHATHTFHYR NKTEFTVSAGRWLTQHDAPDSNHSHPFTIGFFPKSSGSSRKWDGRVVAINACVLQHDV ANRILQSLLAVAERLDVVAYDFLGHTGDLRNVVVRVGTLKDSSKQVMVGLSTATLSSD VGPQLASALLAALPPADAASIASIVQFVDPEMQRRHHHRHHESLRVLHGHSYIHDTIL DSTFRLSLHSFFQPNTAMASVLYAHLVAFVASYSPTSPPVVWDLFCGVGSIGICLAPH AKHVVGIEIVPDAVVDAAQNAADNGVTHKTTFVCADVLKAENEAVLTALPHPDIVVVD PPRPGLSKPLIAYLCDVVQPGAIVYVSCNPSTQARDLQLMLATYAVVGSQPVDMLPHT PHVENIVYLERRHTN H257_00646 MRPQFMQRTLRNMTTISEPLLNIHLSMEKTAAREGSGFHVELHP PENVRVARENVRGASFTKAVTTPLPQPKLVVTSPTALRLIQDPAPNDNATLSDDAKKA LTNLIAGTGPIEGLAHCYAGHQFGHFSGQLGDGAAILLGGTGKWEAQLKGAGLTAFSR TADGRKVLRSTLREFLASEHMHALSIPTTRAGGVAVSSTETVLRDVFYDGNAQHEPCA TVLRIAQTFVRFGSFELFKPIDATTGRAGPSATLPLDVQRSTLRAMLTFVQREYYDIH GQDDDLEGSTHRFLHALTQRTAALVAKWQSVGFCHGVLNTDNMSIVGDTLDYGPFGFM EYFDAGHICNTSDNSGRYAYDNQPEICKWNCHMLVNQWTLLFNDTVLADLHALVDATF DATYQSEFTTLVERKLGLPRHDPDTNAALVASFWATLTDTHADFTCVFRALSGVSAVD GASTDGVLQTLVEVSHSLAQAQEAAQPPVSPAQLAHLKDLLATQPHVARRYGFNQETL DTLTKQVADYEAFVASDLTPQGFKQTQENRWQLWLDQYQKHLAKYGTDADADVARRQA MNATNPKFILRNHVAQKAIDAASAGDLATVTHILHLLTHPFDGANECDAAIYSQPSDP NAPPLLVSCSS H257_00646 MRPQFMQRTLRNMTTISEPLLNIHLSMEKTAAREGSGFHVELHP PENVRVARENVRGASFTKAVTTPLPQPKLVVTSPTALRLIQDPAPNDNATLSDDAKKA LTNLIAGTGPIEGLAHCYAGHQFGHFSGQLGDGAAILLGGTGKWEAQLKGAGLTAFSR TADGRKVLRSTLREFLASEHMHALSIPTTRAGGVAVSSTETVLRDVFYDGNAQHEPCA TVLRIAQTFVRFGSFELFKPIDATTGRAGPSATLPLDVQRSTLRAMLTFVQREYYDIH GQDDDLEGSTHRFLHALTQRTAALVAKWQSVGFCHGVLNTDNMSIVGDTLDYGPFGFM EYFDAGHICNTSDNSGRYAYDNQPEICKWNCHMLVNQWTLLFNDTVLADLHALVDATF DATYQSEFTTLVERKLGLPRHDPDTNAALVASFWATLTDTHADFTCVFRALSGVSAVD GASTDGVLQTLVEVSHSLAQAQEAAQPPVSPAQLAHLKDLLATQPHVARRYGFNQEVN ACIYDAYFRWDGTL H257_00647 MSHQQTVEPVHNHSHDHDHDHSHEHNHEHDHHEDKVVLNRAESK ARKAMQKLGLKQIPGIIRATIKKNQGVLFVISKPDVFKSSASETYVIFGEAKIEDANS QPQVPQFEQEDDAPAAETKAVADAADDDDAEVDESGVDPKDVQLVMTQSNVSRAKAVA ALKNNENDVVNAIMELTM H257_00648 MDTRPLVNRTTSDVEDWSEEFSLRPLPMYPSLSHLEVDLAVVDE KDGDDEHDDGNDEEEDEDWDMELIGTAADDAQREESNSFFRALLATTDGPDACGVGGG DTGAGVGRAYTLPDTYKLIENAKHCFEATHRRPSSGVVYPPATTLLTLELDGFPLYAN KQLEEWLQHIVKPKEQAAMGLEKQWSHVCPDDVLPGLAPDTLHRFSQLLVYFVRRNHV PEAKVLLQAFANQLDEPRWYQLQDTSAVHGKPTEDDEWHNLWGGRSVEIAQVAIEICH PPQGESLFANVVSKCHQLFPAWRHAMTLVECRYVSHHWAYFQSHQYVWQRPLEVVVEP VVFATPYPSGQDLLRRYLALYTALNDDDVKQHAGNLSGADMGLLSTSTRATSVQVLVL CDVQNLVDRLSPITDESIPPIFHDDQMSSRHSHDSEPQDALDELFHPDNCMLRMESLE ASYVKLAMPHDVLVKAKCAHVLSRMMLGHTEMWPLCESLAMEALRLLDIGFPLSFPKG ESQRQGLFGHVGRHVLETLGAVLAHNNKYRFAIASYEAAQQLYSFQYLNRRGYEKLDR VCCGLCLHQGDLDRALQYHDRVLQWTKEHENCNEFVYITQMINSILLQQSQFRLAAHR LQDALMALRDPLAVLPPAYTKSAAPARRLFHYKFHANGYDAWFLHDIQLHLCLRDVYK ASGRGQEALHVLQHVLSYEPKFKLPRGRRIHLTMLVAEDALKLRQLELCISMLRTIEH DIAMDNNNTATTTVLESKHAWEVMATFRYLKCRARCYFYKGQYHRCALWLAVAASKGL SVRQRADVDALGSRCLLQLHHKQLQDGEFAPLQRTMSGRWGFTAQEPSLGLLLSTKSE QDSFHSAMDDHWNIRSYTDACTLLCWKAFDLYGTLNDPVRQAKVVLTLVRLDMLVLEK HTSDVSSLHQAMALARQALDLAAESAVPMKMLTALVYTAQLQCWWAKCNPDHDTHELV ATTDEALRLLFAIFLRQVRGSHDSIHVVPLLPFPPSVLMQLEAVVGTLLHVGATITQL DGVDVPALFSWRDLESAYHCLNQCTYWYSAQPETPASDDSNPQPVPARPVHVRVGRQP QHQKQLSLSSISDIVSFSFFRRPDSFTCADHGTPLPLSPFAYVGPNKSRGVSSAATGD ARSRSQSAPATNDADPSTAPNPDDWDDGELEVEPDGVDFWRACNQEYRKQHMVQWSSN MYAADSDALWGIWYCHRVTDRKFKSGRVTPSAFRLASLKLIFDIRLLSHSTALHLPTT LGTTDSFGVVLHERDCISVVAHSTTINTSTPVDVFTFPASNALSLHQGIAGVPFQNQA VTSAQVERVLLYLGPKVLMKVLSSMLLEIPLIVVHSSTSVVQEVLFVLTHLMRPFRWP FPMLPALPMSCTSMFADLIQDYTKAKQKHRPMAPFVAGISMDMWRECTYRLSTVQSSS DCATCISVLQVNLSSKCKFQLASNRSSAVYMQPRLRRYVVDAVTTTSGGPASAALEVA LQEVYRVILQSFQKAPSFKQWFRLESQEFTKLFQATATCQAYLNGGDGRLSSTVV H257_00648 MDTRPLVNRTTSDVEDWSEEFSLRPLPMYPSLSHLEVDLAVVDE KDGDDEHDDGNDEEEDEDWDMELIGTAADDAQREESNSFFRALLATTDGPDACGVGGG DTGAGVGRAYTLPDTYKLIENAKHCFEATHRRPSSGVVYPPATTLLTLELDGFPLYAN KQLEEWLQHIVKPKEQAAMGLEKQWSHVCPDDVLPGLAPDTLHRFSQLLVYFVRRNHV PEAKVLLQAFANQLDEPRWYQLQDTSAVHGKPTEDDEWHNLWGGRSVEIAQVAIEICH PPQGESLFANVVSKCHQLFPAWRHAMTLVECRYVSHHWAYFQSHQYVWQRPLEVVVEP VVFATPYPSGQDLLRRYLALYTALNDDDVKQHAGNLSGADMGLLSTSTRATSVQVLVL CDVQNLVDRLSPITDESIPPIFHDDQMSSRHSHDSEPQDALDELFHPDNCMLRMESLE ASYVKLAMPHDVLVKAKCAHVLSRMMLGHTEMWPLCESLAMEALRLLDIGFPLSFPKG ESQRQGLFGHVGRHVLETLGAVLAHNNKYRFAIASYEAAQQLYSFQYLNRRGYEKLDR VCCGLCLHQGDLDRALQYHDRVLQWTKEHENCNEFVYITQMINSILLQQSQFRLAAHR LQDALMALRDPLAVLPPAYTKSAAPARRLFHYKFHANGYDAWFLHDIQLHLCLRDVYK ASGRGQEALHVLQHVLSYEPKFKLPRGRRIHLTMLVAEDALKLRQLELCISMLRTIEH DIAMDNNNTATTTVLESKHAWEVMATFRYLKCRARCYFYKGQYHRCALWLAVAASKGL SVRQRADVDALGSRCLLQLHHKQLQDGEFAPLQRTMSGRWGFTAQEPSLGLLLSTKSE QDSFHSAMDDHWNIRSYTDACTLLCWKAFDLYGTLNDPVRQAKVVLTLVRLDMLVLEK HTSDVSSLHQAMALARQALDLAAESAVPMKMLTALVYTAQLQCWWAKCNPDHDTHELV ATTDEALRLLFAIFLRQVRGSHDSIHVVPLLPFPPSVLMQLEAVVGTLLHVGATITQL DGVDVPALFSWRDLESAYHCLNQCTYWYSAQPETPASDDSNPQPVPARPVHVRVGRQP QHQKQLSLSSISDIVSFSFFRRPDSFTCADHGTPLPLSPFAYVGPNKSRGVSSAATGD ARSRSQSAPATNDADPSTAPNPDDWDDGELEVEPDGVDFWRACNQEYRKQHMVQWSSN MYAADSDALWGIWYCHRVTDRKFKSGRVTPSAFRLASLKLIFDIRLLSHSTALHLPTT LGTTDSFGVVLHERDCISVVAHSTTINTSTPVDVFTFPASNALSLHQGIAGVPFQNQA VTSAQVERVLLYLGPKVHTP H257_00649 MAAARPVVSVLSVGDDSVKVVSQVALPAVLTAPIRPDVVTFVHT NINKNNRQAYGVWKKAGHEHSAESWGTGRAVARIPRISGGGTQRAGQGAFGNMCRSGR MFAPTRIWRKWHRKINVNQRRFAVASALAASAVPSLVLARGHRIETVQEIPLVLDDSV ESTQKTSAAVKILAKIGAAADVEKVKASKKLRTGKGKGRNRRYTLRKGPLFVYSNANG IEKAFRNIPGVELINVERLNLLTLAPGGHVGRFIVWTKSAFEKLDSIYGTYAKKSAEK SDYSLPRHVITNANLGRLINSDEIQSVVRAGIYKGHRRHQKKNPLKNLGAMVKLNPYT LVARRTELRAEALRKERKAAIVAEKRNIKSTKNDPKRKAQSKALFAKNASD H257_00650 MPLTSGRAHAETTSGGILHKRTASSTTIPVILAEPPVGRALFIN DAAATASAMTGLGKEYAGNAVRTSKYTLVTFLPKTLLEQFRRVANFYFLVISLLQLGT PYSPTNKYSTIVPLILVLFATMVKEAVEDKARHDADRMVNQSKAEVFDVDAQAWMEML WKDVRVGDLVRVMETQSFPADLVLLTSSSNDGQVYVETANLDGETDLKIRCCPDAKGI ELDAGLFPLELAGEITCEQPNRRLYTFAGALKIKEQHSQQQSVEIALTINNLVLRGMT LTNTSMIVGVVVAAGNETKLMLNSKKTPSKFSRLDAIANRCIVLIFTVLFLVCCASTA MSIVTTQRHGNRIAHMAHVADINDDPEASFLSSFLTYLILYNNLVPISLYICLEMVKW YQAKNMESDQAMVDPVTGRSVQARTSNLNEDVGQIKYLFSDKTAGTITRNEMVLKYIH VNNRVFEMSPPVCPYHPPTPTNTDLLNCQTNTMVRRYPIINTRCNARRPFNADTLWPS SKREQPQINATRPTFSTTNYVTSQSTVLDGSTKFMTAGQLAQAFFRCVLLCHTATVSA TGDIRASSPDEAALLRAAKLLNCEFIGRTENILDISVFGARERYELLALNEFDSTRKS MSVVVRVLKATNISNEDDMWVFCKGADSTLLSATNNYLMQKSTEFMSRHVQYFASLGL RTLVFGYKRVSFVEFTTWFVAYCKAKTSLVRRATKLRECARSMETNLTILGATGVEDQ LQDGVADCIEALSEAGINIWMLTGDKDETAVSVGTASGLISDHSHLVVINETSKRGCL EQIATARRKLKKIGLWRQGVASRDVSLVINGEALDSLLSVEDPSSASVSRVSNILSSN HDRMLSNAATANTTMALSPVASGEYSRKRLENRSPSATSTEWMGQRPSGGMLRHSTSM GRVGAHSRSHLKNNTSGDLQSEASLTSLLQSRRQLAKKTTPEVASTKLMQVEPLDHRS SEVESSSASPGDSNRATSNVLSFWRSMLMASNKSFGDLPRTTKPNLGDAPKVPYPSKG GVATSQSLATLHPPQWKVKAVALSSTLGSMAELPASPSLVQLCRENLAEQRRRSSTSM ATWFGGQVNAVGRMAKNLVSKGTLSPVATSSATPPLPLAVTSEIGSLLVPTSLAALHR SMSHLSLQLNAAIRSSTTAKHAARVPRSNDDDDEFPVLRLKHLDSDLAVIMFLQLVTQ CRSVIACRLSPIQKAQIVALIKSSKHRPMTMAVGDGGNDVSMIQEANIGVGIYGHEGM QAVRSADFAIGQFRFLSRLILVHGRWNYRRVSIVILFSFYKNMALIMTLFAYSFLNGH SGQTLYESYLMVGWNALYTFFPILVLGIKDEDISAETVLRFPFIYRSNQLDKELNIEK MRLWVGNALLHSFLVFILSTFLIYKLDTYSIPNASLFVYGTAVYGILVVTVCVKAAMI MQHMHRWTRWHYLSIVSGPVLYAIFVASYSEAYDVLHMGAFSDFFGLGGVLFSSITFW LGVVVVSFSSLLLDFIVMYLYRMYLPTNQVIIEEIDCRLERRPSMSTRLRNGASSAAS FLFLGDWHKQLHKSTHFTEHEELCWQLAKFEREISRETNEMQQQQSDDKEMGFIITSM PPIHPITMEFMGEDFEPLEAEYNRSFAERQVLRVRVLVIILLVFIPPYAVAEYVFEQD TDMYPSRILMFCCVLGYLLYVRTERFLTTYHSSVAIPLALAGLVLTQSIKYTGKFSVT MFSIVAFSVVRVKFVYALWLVLFNFAYFMLSSEMGLTSVEPSSDSTVDERVVFTIFMA YLAVFAAYDNYQLQITMKLEFVQLRILKYEEHRSRDMLKNMLPSHIVKRLENGATLVS DEEKDVALLFCDVGDSASLTKRYNPREMVVLLDRIYSLFDKLCAKHGVRKMETVGKIY LACAGLRGSAKGKEAVLRVAATARDMAAVMGKCRTRNGHTINLRIGIHCGRVISGLVG MKKQQFSLFGDTVNTASRMQSTGLPGRIQISQEAYELLEHDFEFEHRTVEAKGKGTLA THLMGKPMTALAQRACRGRLGTKSAKKTNDSRRLSLTQELQKSLYCGNIPFATHDIRW TWQSWPCFRSVKVNVKPISSLQSVQEEYVAAQINSNVLAFNDFITEASYLRAKWSERH EGARRTMLAIAVYMIFATVRDNVRQGQATDGQSSSHVHVKIAFGVARALFIGCVVWWK VLRHRRWKTKVMSSPRKTHASLSAPNFTLYVVVYFVATVLLVVPNIVRWIDNQQSNTY SHIGLDVVFVVFLASTGGSIMHRHTVLVNVVFLVSATILFAVLVGVYQHPTNAEGEKL RIYPLMLSYCVGVSNVMSRRDVEYYCRRRYLLYTRTGKEAKKADRLLYKMLPSSVVAQ LKNGDTVCDQFQEVGILFSDIKGFTSIAAKAETDQVVQILASLFIAFDKLTTQHGVFK MQTIGDAYVIVSGLPYNDVPLPDEIPTEIAGDCCFNGRMRAFQSGDTQRSQRSTVEKT TGSRLANSRIPKDPLVTREHIQRLIHMAHDMHREVAKIKDPISGDPLLMRIGIHVGTI IAGVIGTSTLRYDMWGPDVFTANEIESHGVPGKILVSSDVMQVARKCQNIQLTYHSTI NLTGINDLDTYLAEYSELPFPSGEKDMDHSTKAKRDTSFIAA H257_00651 MDKPKAAPKDDHGKGGKGQDATKAKHHVSKDDLHHTLHAHFQTS KGKENDKVKANIRKHVVSFLQVKKVKKVHNSNKRLFNSFGSSKCKLLCITTHEAMNPD SAAPHTVVQLHHVNFLSNFTIESRKTWSLESLESIENCLDDAQLFPKGAFRTQFDDKE HEPAQWIVDDDESPTAMMEFIWSILAYSADTLHTLPKTTFSLDDLHLLSQQLNWAKKF ALDVDLARFQATTSTPFASSSKLARQRSASVDASAASVAEASSWKSIEYDDAVSLFGR IQWGDCTAESVQLQWQQRLKLLEDDNIDFLLTLQAKDKATTIDVITSAVDVVLQQVHR AEKWTEEAEATLATTAANMSQFETLNNHMEIHFKNSVALQQTLDAMIQDTDIPRDTMG LLLKPVTIFPHDNNIPEGEASSSGPSLPKLLDAIQRLERAIKSVDSYPAKNMMAFLAR RDELLALGGSFAGKVATAFDTYMHTLAKATHSAATADQFGQSRRRSLSGGHEAASPRK NMFSRDHREGSDAKDDRTRRPSSTNHDDVDWRFGNDKMHSNLIKYQDIFQSIVALSPK SAVILRDVYAKYVVPVYTAHIQAVFRTLKDKVPKPKPHGLKASQWNINLSFSHAPSES TISVSASTLLQQALEHIVPLCIAEQQFIHAMFFASTKKATTKPEPLELTLLMEKLFEK LPKRLVEFGDAGVSANVFEAVSMIVTCQQQLKALDTPTEYVVNTLLNFQLHLKRSLSK YMEDQELWLASTHPDTRLVGVLTPVQKMMNLVTRLDEAAAGISNDESDSPLPAIYDRI IVGLFAWLDKAAATKPKYSHLVRLENYHFIHGKLQGLSEHSVPLKRYTDDSFAAYSTN LDAYVMWLWECEVGKFTALFATIETHLESLPVKEVQFHLPKQDVRKAGELIHQNLDKS IKHIGERLKKHLSHSGDMAAVVVQCLRATILKTYERHAMLAKKCYDLELDLTVDRLRT SLEKLH H257_00651 MMEFIWSILAYSADTLHTLPKTTFSLDDLHLLSQQLNWAKKFAL DVDLARFQATTSTPFASSSKLARQRSASVDASAASVAEASSWKSIEYDDAVSLFGRIQ WGDCTAESVQLQWQQRLKLLEDDNIDFLLTLQAKDKATTIDVITSAVDVVLQQVHRAE KWTEEAEATLATTAANMSQFETLNNHMEIHFKNSVALQQTLDAMIQDTDIPRDTMGLL LKPVTIFPHDNNIPEGEASSSGPSLPKLLDAIQRLERAIKSVDSYPAKNMMAFLARRD ELLALGGSFAGKVATAFDTYMHTLAKATHSAATADQFGQSRRRSLSGGHEAASPRKNM FSRDHREGSDAKDDRTRRPSSTNHDDVDWRFGNDKMHSNLIKYQDIFQSIVALSPKSA VILRDVYAKYVVPVYTAHIQAVFRTLKDKVPKPKPHGLKASQWNINLSFSHAPSESTI SVSASTLLQQALEHIVPLCIAEQQFIHAMFFASTKKATTKPEPLELTLLMEKLFEKLP KRLVEFGDAGVSANVFEAVSMIVTCQQQLKALDTPTEYVVNTLLNFQLHLKRSLSKYM EDQELWLASTHPDTRLVGVLTPVQKMMNLVTRLDEAAAGISNDESDSPLPAIYDRIIV GLFAWLDKAAATKPKYSHLVRLENYHFIHGKLQGLSEHSVPLKRYTDDSFAAYSTNLD AYVMWLWECEVGKFTALFATIETHLESLPVKEVQFHLPKQDVRKAGELIHQNLDKSIK HIGERLKKHLSHSGDMAAVVVQCLRATILKTYERHAMLAKKCYDLELDLTVDRLRTSL EKLH H257_00652 MMPTALLPLTKSPTKVKHPPQHGDGHSSPSPRKAKQMLKSSELR GLEFTSRDHVNILPGNYAEYNSNGTGTLQDIFQFDQKLRDAAPMAQLLHPKLNLHDWS VAKQDGKAEQLTLLASNKLTFEILTSDRGQRVVSSLVKQWEADKHSDNGRSLWAIVET FFTSLPFVFVREADMNSKLVLRYMSALSALKEVIVQGLFCTNVSAAMAVTATLTPTHH EKMPLYWYCHQLEREVQLLKNSTSDQSWEMVHSSDIGLLQEATANVLLNFWKLPKRER LAVLVQVFSNVSEIDTDLVRVLLDSSTYILQRVLEDLGFEAKANSPTKQQPPQPSKLS TIGAVVSMSVQASNQARQLHKWRKHMRSHDDKQTLEPIPVRHKGIQVNGGDIEIECAL GRLDSPPPRTPNTRLRRSVIAADKGMKLVLMQDYQPFGRNNHPHLLTKDMWTVSTYSE NLVHHLHAFVEYSNDTTTVPGDIVLVATMLLEWLMHEKMNAAYHAKDASMEVLDSVHF QQCAIALLGLFQTQPDHHVPDNIKMHATSLVQFIQRRNDSSDFIPRPKATVEATNTAV TPADLRAYLRKGFPADVVNQILNITNEEVGKEKESKTLLLIEQLEHHMLGKLHPRPGA TKNNPATQPPPHHPDPIGFGELSTTASRRHSHTSTVVKTLTDQMKALLIDASDGKVND DKASALLLAFVNQHKRESELGHDRAKMLNIESLCSVLEKKVELELHAGPLDKSTRAHV ATLQKFINKLVPEGLPRGGAKIAPKPGSVSELHQLLDQALAKQSGIDAQVTTVLETIQ MVLAKTQVKHKGASLDTCLHTMVDALQASGDGCLVAFCSGLEDLVAMLKVLASAGSTG NDGPVANGSGSNDKYMSSANNGSTGIGPGGSPIANIDSVVNDPRINAIPLCIVDLSKQ LKSVVDSAALHQRSSLQDNGEPIASTKSGALLPTTLRHPSLKDDSQPVAQRVRKVQGG AQAAGNLPETLWENTKLKKPNVLGISATELSEMFVDNKTVLNIGTVHKLIYQVYCERY ECNLTEQDNPNSTAFIDFVYDWYLRKYGLRKLAMQHLSKLLLSLKKLKKKSKKAVLFC RFLGLLPPLFDYSALNFALGLLNVWTNGTFAVLSTANPVPLSTMSIILDDGYDRRFGP FCTTSFVKERLTRNACADDPTSIDQDVALEIALEEYVKMKSSVEAMLEDIYKAGDISG DDTMSFDEFATVVRNLAPCVSDREIVKMFKEALLDPTFVTITKQRFVNVVIDQGVLSS RVNKFKVPTSGATNSSTFPEYENEQFELLEESWRSHEADIVKTIESIPHKETSASLLL RVKILNMIIAKRIDSETAWLSHRMILRDVHRFKDLNEGAISEIKQKEENFKEAVTRIM KFKFGLFTQTHAPPEPAADGLGVGDRLSSGVVDYVQHMDETKSSAKDDDDISRLEESL RSEMLNMTDGASSDSVLESQLDQYDNAVKKVRRMTMHKATNAVKKLATVMKMAKLMGG SPSPPIPTLSEDVETLDADENVDL H257_00653 MTMYDRLIQRLLRVNQFPHTVKMGLRNSIALHEALGSPAKRFDT IHVAGTNGKGSVSWKLAKALESSGFKTGLFVSPHVSCFRERIQVNSALISEDQVEALL PHIFDKTSQLAIPATFFELTTALALQHFAHEEVDCVVLETGLGGRLDATNIVEPVVSV ITSIGLDHTRILGSTLPEIAREKAGIIKPTIPVVCGPNTQPQVMREFAERAKASISFL DPQAAYADDFNLENTDLAVLAAETLNQSAKSKVHIQLGNPLVRHAIASRPPCRFEQIT VATNNSDVTVVLDVAHNLPAFDRLLKLLQSSYQHHSFRFVCGFSADKDIAHVIDSIVA TRASHVHFVQGYHPRCASVVEIQHALGEKASSGLVSFHPSSHESETSVRVGVNAAIRA AAASSSDEVVVVCGSVFIMSEARQALGIDEPVDSHDVKQISGVGLKTAHEREQALPRT H257_00654 MPSKSKKSKTPAASAAALNVAVAAPPAPSKAKATPAAKPAQATP VVVVPTAAQLASRLEVLAGGKEKMSNDSKAAEEELARLLKNPKKRVQGKSEDLDDQMR KLEFRRNTTSMSLGDEKKLLREVENIKSLKVELVEFEEFNARVQYLKDKKKSLFDTLK QAEAQEKDLQLTLRKLRLAETLHVSITSLETVSITVPKDKMGLVVGKGFAKLRQLEEA YNVLLDVESGSQVVQSTSTRENNAAIESILDNIASASLHSVAVRPDTLKLLLIQKGRH LKALEASCFVKIDVNRADNILSFTSSADRVDAVERAIHLLTTSSVTLDVPQDVLPKLI GKKGEVISKIMEDSGALLDIDRVVNSLRIIGPLESVQIAQAAVEALIFDQGASTLDVF ATDEAYFGQWDATKFSVFIEYLMADKAVRLRDLRRDANDCRLQVVKAKQMFEANGNRT QLEALKGAIQKAVAEFQANVVSFDVDSNCLSLIIGKKGAKIKHIEKESGGARIDITGN TVSVLGTKEQSDVAVALVEEIVANNQRAIVHASSHLAPLLLTNKRAKLAEIEKETKSS IQLPQGPPKKTKHNADIAITITGTTAGIEQAARLIEALNRAHQVVYLPLDQDEVTVVI GKKGETIHKLEADTGCQLRVLDADESSPSRELQLAGSEDQLAVATAAIDSLLNSSHRQ VLSFDDFAMGVIIGRKGEQIKKLREEFPHVAIDAFPHGQVRVKGASKELVDSAVAAIL DLLQTTTVQETVKLPADSTSSFDTYFNDAATALYISELEAEGSVKTVVLEHGKLVKIR GSALGVGKVKHFLEMVTESHVAISIPLPSAGHVASLQGSAAGSLHENVQQIVKQTKTV IRFKPDKKSYSGVVLSIEGPSLPKVLEAKRRVEVVLQFFFPTHFKVLSPVPPSSIARV FQTAPLLSKFNAILSLGAKDAIKIFTDSEANTQVVYKTLQESLRAHLKEYKDVMIPSY LAPIIVGKNGDTIKRLSAESHATFSLSAVDNDAPTAPRTLTIHSKVEANVTAAINLVQ HLIDTYDSECVTAHVPVDLVDVALALKRKGAANVSFSVDKKGPAGAVIKIHAKDIGER AAALQRLEELVANSAALTIVLPSADIVGALIGKSGANIKALQAEFPGLHIDIKKDDAT GEGRVSLKGDKAAVALAKEWVDDKIASAVSNQRDFLKRQQAQAAYHAAAAVDKRAEPV QQETSENDVPPKPKSAGAYVPIGGEVKLNKTQRRRERKRADKQDGVLSMLVGGNDAPR SGTYGQAAAASSSQGVPGGGYYHSADGYSLRL H257_00655 MVADVQEPQWLTRSTSSSTLCMAEMTNPLPHADSTHQVTQDERS HYVRRLLQEIVKVYTMLRTPFDKAAVHETCKAFEYEVWSSCHSTDDYVRQIRGQVQSI SKRGRCLLQQREFEVATTSTLQATTASPPSYEHHTPSATPEDSMRHLQRAHLQRALSN SWLKSRRKASRFRWEGRLDVQEEAYVRSVEKAAGNDIYEAVRNLHFNQLVTYEEQLRL SLHAEHRDWVAYDLSVVQDLLATLNPISAPQHHNQHDVQALLCQIEKVFKEKALFDYM WEMERCKSVYTSPSSKCPSYLAP H257_00656 MPKGYALTLAQRGSIVAFCKAKLTIRRIADELEQSSSSGIKHDL HLTFSTRTVRRILFKAPHNKYTKRKANPRITLVHKKARVEKFNLDGPDDLQYYWHDVR KDEQKFLSRQNGGDGVMIWDRFSSQGRTAVAVLQGRQDSYAYCDTVANYVLPFVHAHH PDGLGKTARTVDSAKTVLVAYFQALKVDPNPARDVESKQYVVVYELSLLVNSLASSHM FVGALYRFLLCASYIGCFRISEMLNLTWDGVAVQHDGDSQYVSLRLR H257_00657 MSVKANPGDKVILAYSGGLDTSIILKWLVNKGFHVVCYCANVGQ FGENFDKVKAKAIALGASKVYIEDLRKEFVVDYIWPAVQANAIYESRYLLGTSLARPV ISKKMVEIANAEGAQYVAHGATGKGNDQVRFELCAQALNANLKTIAPWRDLEFIEKFK GRQDLIAYAREQNIPIDATPKAPYSVDENLYHTSYESGMLEDPMTAPIPEMFKMTVDP KVAPNESESIRIHFKAGIPVQVSNLSHPQVDETDPLLLFLELNRVAGKHGIGRIDIVE NRFVGIKSRGVYETPGGTLLRAAHLDLEGLCLDREVMKLRDSLSVKFAEFCYNGFWFA PEMDFVRHAIEFSQKNVTGYVDLELYKGNVTVIGRYSNVALYSADLASMDLEGGGANI EYNPSDAQGFIRINATRLKAHNLVQKRA H257_00658 MSVKANPGDKVILAYSGGLDTSIILKWLVNKGFHVVCYCANVGQ FGENFDKVKAKAIALGASKVYIEDLRKEFVVDYIWPAVQANAIYESRYLLGTSLARPV ISKKMVEIANAEGAQYVAHGATGKGNDQVRFELCAQALNANLKTIAPWRDLEFIEKFK GRQDLIAYAREQNIPIDATPKAPYSVDENLYHTSYESGMLEDPMTAPIPEMFKMTVDP KVAPNESESIRIHFKAGIPVQVSNLSHPQVDETDPLLLFLELNRVAGKHGIGRIDIVE NRFVGIKSRGVYETPGGTLLRAAHLDLEGLCLDREVMKLRDSLSVKFAEFCYNGFWFA PEMDFVRHAIEFSQKNVTGYVDLELYKGNVTVIGRYSNVALYSADLASMDLEGGGANI EYNPSDAQGFIRINATRLKAYNLVQKAAQSQKLP H257_00659 MTTATATFLAPAAVERKAAASKKKIPLAAKLVVGGFAGIFGVSV TFPIDIVKTNLQSSSAFTSPLHCFRTLVAQDGFRGLFRGLPPTLVGVIPEKAIKLAVN DYLRETLDTEGTGVLPLYKQVLAGGGAGLCQVVATNPLEIVKIRLQTQNHLPAAERQS ATQVVQELGLRGLYKGTTACLLRDIPYAVIFFPMYATIRDLGTDKDGHVSMASVVFAG CTAGATAAGFVTPADVIKTKRQMRGARYKGTIDCFQQVVASGGVAALFKGAGPRMMVQ APLFGITLLAFEVQKAYMESQ H257_00660 MPASDPPSNNADEADTLPHIRAAKSTGAMADRMQVIKAQLHQRQ IDHAQRYATKPDKPTSQSLEQLMEAKLMQLRKEQELQLSMHPKKDLPRALPGLPAAEF QALADRTSAFEHYANEKHHWEDAQRTAKAKEAAADAMAETLKNKAMDLINNGPNLCFD DRALLWRSIVATRTESETLRHDADATISTLEQTKLAHPYEHFNTLALPEVSATDGSIS PAQCDVWLLGRRGIIETAEKNSDTVLFYGAYFEASDEQLDTPKNDMRWLPCSDHAVDP APVVLHKRATVDSWIVSGAGLSEVNGVYVLSGKYDGASKYTSVMGIELFRKRFSFETN EFGNADDMAVSGSSTGEIMPLPAKFRALYNERDFRVMQQIGSWLATQEVKAKTRAEAI KESHRKVDHASKHADDKAITMQPVHTEASPMVPSRPHVVSRLCRAWVLGHCHVTPVCS KRHYFISHHERDTMKTWQLATEANLDLAILRAITARELTIERMTSVADKAMAKYMANM HEETVKQVQKLVVQLNELRLITVHVIEAIEKWRDHVQRIGRVTTLHTSDEDQVKFGWS ASITITTGKLLFKGSNAFHSKVKRYCRDVDVDGEAETQVRYLGYFPTKVEAESAYDCA VVTEAKRLHTTVDHMPKKRYVFLSCGVHFAIESDDATTGHHMTKKKKSSCIECRAKVN SNSEPWTPTYLWHGLNYLLKMGSDLDFLEHITPLQVHVGTDFPLLGNPFLIPRASIQD PDQFMTLAVPQSAVDASLLGPDIDVTVHDNQVVTWTNPETQETYIASPYHVITTEASS LSRTRYEILDMHRIQRAQDVFLHEVQRTNTTSTDQGAGKTTVALLQTDPVDDVVRFRR VQALYWDRCAALRIEHVRPARAFRQPNVWCRLDAGEWANFRVRGKHLRQLRFHKQLHD TGTKTQSNRRTFILKLRAYMQLGWEGVDRQDMEAFLLEAESLRGDVVKLEASNLVKFM AKFDRLNDAVEMVQRWWRQVVGKWKSSARTRALRQLARLRIQFQELVCKTVHQFVHSI TIAAIHTATAKIEKPAYSTTLKLDGEHVVVTWHALLHASLPTNVGLPCKYCLRQAYHA SIHVRGFKFQKDQMLCCCRSEHPPERLLVRAYNPATCQVYRLKLGNERVRQLLRPRSS MSVTYSSVGCCAALMAHTMALGVEKEAQYHETLAKTLWQKVMAWRALHTNNLQVKKHS WVAFQKATAQAKRADIAYKESTSQTKAAMAFSERARTSLTEEQPWDPLENANNWTLLV SKRRANRDAADKAALLEVARMEWFHAEMNEASTRASTAQANMRYEAAAAMAKRVRLRA NEFRAMANTAALMLGTALQTLSALLALRFTSSLPIRRNLVLLDTAQWHFHVKPTKLHT VVSRRDFDYNLLVARAKHVCAEPIRQTFQPRQHWMVEVRVLAETASLDAGALVSMYRP CDSQVVDMWVEARFVMLLTTRLRFRDPLATLIQGHMKVAATTTMHGNAASRKLLLMTE CAHALISLVKLNAFSGEITLGRVEFFRVRETLCVKIVQSHWWQDLRQGRKRGRGDEVY RQATNVSGRLCHVVLYENWGDLTVQVYEPRTRRYATCVVSLAETVLVLKGAPFKLQHW LLCVRTNRYSDAIFQPILQHLVYLPDNANGPTLSFQVAKANAGKIVFRGVRFVRHCYL LVTMREDLWQHLTITGLSPNCSIRGEISLDPSGRRAMFGVPTQLDEYAFLRDMIAIEP TQVSTSVPVAGNVVSNAILGFNAWIDKSRVWRNPAQKVPDQQIRAWACDVDATSLHSE YASEWSLVLRRKTEFEHVVDPVAFDKAVVYTKEGKAVHAKLEVFPRQGDVYLRLRAEL FSEWEIRLQRDRCREMESEERDTRNAVAFGMKRQMQATMQTWLREIQATQQRLRAKVD VLNALHTRHLDKQRQYLASQLILSMDIEEVELDTPKQYRLTIDHHNLQWLHKFPYAPT CIYLEPITTLHKVPPERLLAKTTKVAMFARPVQVEFSVQRTDDDDIWKVVAYGRGVGV VVTYHVDVSHVLGERTFGLRHIPREAARNRALTWLCGASSELAIAESMWPSCVQLGNQ SPWRTLCKIMATLHPLLQFPDQLLACTGLSGHQRGGVIRVTELDHALSTIQDGIQAQV RDVEQSVLSAMGSFHTTFCDPFGSTTNQLALTISPVRVITLRPSTPRSAWQLLIPPDI SQPIEEVFAHEPIDLAELATLLGSPDLALVDALHGHMVVQSPQPTDTEANVRASGLTA TFVCGQALYLCRSFPLVERLLQLSLNVGGPKKPRPGPKGAVWRSIASDRMRATREAKP SHTHLPLRGEEGYDGVNKSTAPMWPPSDQVQPAFVTRISKKELEYVGGVEDVSSLPTR VVDRFLQALEFSTMTWHALDTKRVLSTTMDVPLVDAGPVTLAVSMSVNDVLAPTSLVV TAKDPSQRFGTSEFSCIIPWEPLDKRPTHGRDWTSFASNCASTLMLLRCNGQVQLCSR LVIPSRATLDLSPTRNVAPFPANVLLYAALKTTNVPANIMSEHMGAARLNKHLRSSVA DRMRKRFYRVRVANGKSALEWPHMAEEDAFSAEFRGVLTLPLKQLHKLCKAFDAGTRD TPNEADQRRAVLSALGRSSLRLDGEVDMTPWAGFHVFAEWYRVADIERRRRESPPTTT VAP H257_00661 MERKEALVAHGREVLERFKGKELSHLTQPDMPSSSTSDDHTTTA HATDSSPLLPRQDKLWSTIVNSHVENGQLSESIERNALKLVDYILANDKSSHSNLAQI AAPIASAVRQLCHKKQQVEAAILRDIKLLGPPLVVDSTTTNSSAWVAASDVQTLVNAH TSSLQEELHAAQKANQSLEVKLHAYKLQLQQTSDMPPATTDMTISAKKPVELQLQEKD ELIAALKITLDEVARETSQMEKLVVVQMDMIAELKHATAAGPVPVMLPPPSTDDVDEG HHHPDSQQSEGEAVPVDDGLVHKIEDFQTPLPPGWEMRVTTSGNVYFVHITSKVTTWV DPRTHPIQVQTTGLDNTSFPSPSTQYSMEFHEKRRIGIMFQPNFPIDQGASVHRVLPD TPAALARQIHPGHQLVAVNGHPIHDASFKHVMLLLQGGYRPLILTFDRGNSDTPSGGD RVKSDDDLSFADRIITSVFSMMWSMPPEEKEPAHV H257_00662 MKDRTWSSENGHVHTVLGEPEQDQIRQLFMNNHLSDVVLHFPAP DNSRSSHHELYDGASTSTSLPAHRLLLSLRSGAFRTAFRESSTSYTSTVLGDKRLRLP LKMVIQDTPYVIFKELLRYIYTGTLQPPPVTPTANHEGVDTSKSLCTFWLELVKAATR YDVPALLVLCIEEIETLLVHCQNQIMDIIYFVDSASKTSQSTPTTDAVGLAKHRLMAL CMSTIMAMPDAQMQALMQANQCSTDRLLQIYRDRTNVPLVLAVQHQNFRVVDALLDGP SREEALRLPDDQGVLPFVAALNSANDAIIRRVLVPASLSWVTLTSSVSVWFLLACASG NVLHCQILADMHHADVNEISVVESSDEFGKGQTPLHIASRFGHVSIVQYLLSLHAVPN FQDEEGNTPLHYASNELIAEVFLAHNGKCNPNIPNNRGQVPLHLAAMRGDIGVVSLLV HHGADITAVDEEGQTAFHVAAANGYASVVLVLLKMSEDRAMESKDIAAADDTSEPQRE LDTDQPAFSIDADDYKSNTALHLAAMAPQGRVDKILQVLLENGADPNRPNWFGYTPLH LFCAHHDGPPSVVDMFIEHGTNIQVQGLDGSTPLHLAVGKASEAISIALVRAGAPVYV QDVAGRSVVNLAESTSQGVMVVPLLLNVRTPPPWVTDDTVLECLSCGEGFGMATRKHH CRHCGRIVCATCSTNRIPLPKFDQVPAARVCDICFDVLSFRKLM H257_00662 MRLRYRYDVPALLVLCIEEIETLLVHCQNQIMDIIYFVDSASKT SQSTPTTDAVGLAKHRLMALCMSTIMAMPDAQMQALMQANQCSTDRLLQIYRDRTNVP LVLAVQHQNFRVVDALLDGPSREEALRLPDDQGVLPFVAALNSANDAIIRRVLVPASL SWVTLTSSVSVWFLLACASGNVLHCQILADMHHADVNEISVVESSDEFGKGQTPLHIA SRFGHVSIVQYLLSLHAVPNFQDEEGNTPLHYASNELIAEVFLAHNGKCNPNIPNNRG QVPLHLAAMRGDIGVVSLLVHHGADITAVDEEGQTAFHVAAANGYASVVLVLLKMSED RAMESKDIAAADDTSEPQRELDTDQPAFSIDADDYKSNTALHLAAMAPQGRVDKILQV LLENGADPNRPNWFGYTPLHLFCAHHDGPPSVVDMFIEHGTNIQVQGLDGSTPLHLAV GKASEAISIALVRAGAPVYVQDVAGRSVVNLAESTSQGVMVVPLLLNVRTPPPWVTDD TVLECLSCGEGFGMATRKHHCRHCGRIVCATCSTNRIPLPKFDQVPAARVCDICFDVL SFRKLM H257_00662 MKDRTWSSENGHVHTVLGEPEQDQIRQLFMNNHLSDVVLHFPAP DNSRSSHHELYDGASTSTSLPAHRLLLSLRSGAFRTAFRESSTSYTSTVLGDKRLRLP LKMVIQDTPYVIFKELLRYIYTGTLQPPPVTPTANHEGVDTSKSLCTFWLELVKAATR YDVPALLVLCIEEIETLLVHCQNQIMDIIYFVDSASKTSQSTPTTDAVGLAKHRLMAL CMSTIMAMPDAQMQALMQANQCSTDRLLQIYRDRTNVPLVLAVQHQNFRVVDALLDGP SREEALRLPDDQGVLPFVAALNSANDAIIRRVLVPASLSWVTLTSSVSVWFLLACASG NVLHCQILADMHHADVNEISVVESSDEFGKGQTPLHIASRFGHVSIVQYLLSLHAVPN FQDEEGNTPLHYASNELIAEVFLAHNGKCNPNIPNNRGQVPLHLAAMRGDIGVVSLLV HHGADITAVDEEGQTAFHVAAANGYASVVLVLLKMSEDRAMESKDIAAADDTSEPQRE LDTDQPAFSIDADDYKSNTALHLAAMAPQGRVDKILQVLLENGADPNRPNWFGTVLLG IRRCICFAPTTTAHLPSSTCLLNMERTSKCKV H257_00662 MKDRTWSSENGHVHTVLGEPEQDQIRQLFMNNHLSDVVLHFPAP DNSRSSHHELYDGASTSTSLPAHRLLLSLRSGAFRTAFRESSTSYTSTVLGDKRLRLP LKMVIQDTPYVIFKELLRYIYTGTLQPPPVTPTANHEGVDTSKSLCTFWLELVKAATR YDVPALLVLCIEEIETLLVHCQNQIMDIIYFVDSASKTSQSTPTTDAVGLAKHRLMAL CMSTIMAMPDAQMQALMQANQCSTDRLLQIYRDRTNVPLVLAVQHQNFRVVDALLDGP SREEALRLPDDQGVLPFVAALNSANDAIIRRVLVPASLSWVTLTSSVSVWFLLACASG NVLHCQILADMHHADVNEISVVESSDEFGKGQTPLHIASRFGHVSIVQYLLSLHAVPN FQDEEGNTPLHYASNELIAEVFLAHNGKCNPNIPNNRGQVPLHLAAMRGDIGVVSLLV HHGADITAVDEEGQTAFHVAAANGYASVVLVLLKMSEDRAMESKDIAAADDTSEPQRE LDTDQPAFSIDADDYKSNTALHLAAMAPQGRVDKILQVLLENGADPNRPNWFGTVLLV QFRNDIYI H257_00662 MKDRTWSSENGHVHTVLGEPEQDQIRQLFMNNHLSDVVLHFPAP DNSRSSHHELYDGASTSTSLPAHRLLLSLRSGAFRTAFRESSTSYTSTVLGDKRLRLP LKMVIQDTPYVIFKELLRYIYTGTLQPPPVTPTANHEGVDTSKSLCTFWLELVKAATR YDVPALLVLCIEEIETLLVHCQNQIMDIIYFVDSASKTSQSTPTTDAVGLAKHRLMAL CMSTIMAMPDAQMQALMQANQCSTDRLLQIYRDRTNVPLVLAVQHQNFRVVDALLDGP SREEALRLPDDQGVLPFVAALNSANDAIIRRVLVPASLSWVTLTSSVSVWFLLACASG NVLHCQILADMHHADVNEISVVESSDEFGKGQTPLHIASRFGHVSIVQYLLSLHAVPN FQDEEGNTPLHYASNELIAEVFLAHNGKCNPNIPNNRGQVPLHLAAMRGDIGVVSLLV HHGADITAVDEEGQTAFHVAAANGYASVVLVLLKMSEDRAMESKDIAAADDTSEPQRE LDTDQPAFSIDADDYKSVSSTDCTYVQT H257_00663 MARVEGYRCPITMRTTTIQPYDVPRFVSDIISQLHEIGHWTTRC CAVLNHTTPSSSTPAIISSTVTPRDARVPTTILTEMDLIKRNLNVVEKQHNTRLMSEI AYLQCALRSEAEEKMTDFERYVETKINQCVEEHTKKVRQEQMETSIALGNLQDGWRSM QSDVASLTQQLQKMQSTMEMAASRSVEMISTLHDQLKESSKLRDKHETSLGNQLSHVK LQLQESSQATKITVDQLTTRVDMARNEAKYAMDQLKLQIQQHARTLNRVAKGSNLFEM MSPVRFTVSTCCYNIYDRYLQPQTILALNVLWEMNRLGGRLHRRVSGDQCLLGLRAHR SQHMCSQRATAPVASNGSFNIQGKMCHVSTPPDYADDSVDVACDGGNNQHSTAPPPEK YAGGTTQCFDHRMVPPCYVMEPHKRPNNDAAPQPTTFGLESLGKTCDQTRHDANDRTR PAKAPLTRAVPKAKWGPQPRQKIPQRPTSARPRPTTSISKASAASSKPVPDQNAQPPP AHIGDA H257_00663 MARVEGYRCPITMRTTTIQPYDVPRFVSDIISQLHEIGHWTTRC CAVLNHTTPSSSTPAIISSTVTPRDARVPTTILTEMDLIKRNLNVVEKQHNTRLMSEI AYLQCALRSEAEEKMTDFERYVETKINQCVEEHTKKVRQEQMETSIALGNLQDGWRSM QSDVASLTQQLQKMQSTMEMAASRSVEMISTLHDQLKESSKLRDKHETSLGNQLSHVK LQLQESSQATKITVDQLTTRVDMARNEAKYAMDQLKLQIQQHARTLNRVAKGSNLFEM MSPVPTAPDNTSPQRIMGDESTRGAFAPPSKRRPMSARASSSSQPAYVQPAYSTHAFE FAQRATAPVASNGSFNIQGKMCHVSTPPDYADDSVDVACDGGNNQHSTAPPPEKYAGG TTQCFDHRMVPPCYVMEPHKRPNNDAAPQPTTFGLESLGKTCDQTRHDANDRTRPAKA PLTRAVPKAKWGPQPRQKIPQRPTSARPRPTTSISKASAASSKPVPDQNAQPPPAHIG DA H257_00663 MARVEGYRCPITMRTTTIQPYDVPRFVSDIISQLHEIGHWTTRC CAVLNHTTPSSSTPAIISSTVTPRDARVPTTILTEMDLIKRNLNVVEKQHNTRLMSEI AYLQCALRSEAEEKMTDFERYVETKINQCVEEHTKKVRQEQMETSIALGNLQDGWRSM QSDVASLTQQLQKMQSTMEMAASRSVEMISTLHDQLKESSKLRDKHETSLGNQLSHVK LQLQESSQATKITVDQLTTRVDMARNEAKYAMDQLKLQIQQHARTLNRVAKGSNLFEM MSPVRFTVSTCCYNIYDRYLQPQTILALNVLWEMNRLGGRLHRRVSGDQCLLGLRAHR SQHMCSQRIQPTHLSLRREPPHPSHPMDHLTFKGRCATCQLPPTMPTTASMSPAMGGT TNIPQHPLRRNMLEAPPSVLTTGWSLHVM H257_00664 MDSAAADLGGANKTSSVWTTTQMQEAKWGERRMDLDDVTMKCDD VKHALHAMSVVAQYTERKLWYGPATWSSGVKPAEGETKCEVKRYGRT H257_00665 MASWASINSGRPSASSPLRVKRIRLSNDVGSGVDSPMSPSTAAW KKKKSASVSSETEEALEARLTASYDKALRSHHVPEVAISMYADMLEHVQGMGRTPSFT TRKILYLCLKNMARLEAKYDPPFLQDALQHYAEALEIDDTDCIVWYDMGCISFQLHQF ALARMLFERAFCIDATFWPLVYKLASTLYILQDDSAALQVIDHILMHDPHHETALYLK ASITHPSPAPTSRVPPGLVDVLKCRNDVTRCDTVQHEALSPLVYKLLDRSWESLGCLL LEIHDANVQTSAGDPLRPTTHVPIYIALDSASRITDTLQEPSDEVLPQQPQPPPTMEI TPVQTPTIETLEKLESTAADATPGDDVPQDMSSSCAPLSPSSHHYRRTSHRTLKRLQD EQLAASKFAMEKDVSYRLMAFLGAESDLPPPATLIQSPTRAELSGDGASLHIMVSDGA AVSHHLALTKAGASSPAKSTPMKTEPAGDVALPFDTSSFVAMCASGVPSNKGIGDVML RYIETCVERCDVVLGDNLVRVLLWMDKCIHDTLGPLPLPVSHVQSKLSRAARLFILEL QVDDLISNYDPMTHSRPDFDLALHRCQCLLNLMQLEDPVDDQVLKPRLLWLQIHLSEY AGRFNHVAALVADLKAILRDDVHLGHVKAFPTISTSAIEAKVHKFQLASLVIDARALF QRGESTDQQIADLVLPHYDPTLGQAGHINELVTDVCVYLRNYPANTAYIHKPSPDHDN LPLLPVLIQCLSRLQRFDKCFEVLVLCFFYALQRTTPPGNASIQCIKYIASQLKLVFD KPFAKPALFTHLLDACCFGYRDVMLTHHKSAKMLHRLAYLLSPFHKIRFMGSILQTCH GIMDLRSKPPHDAMVSMVLGALQELNRCFIPTMQSTRLLDAQLAQSLCNPIAHIIKHQ VDVGKYTPQLRRLLYTSCTSFALVWFDVHKGLATPCVELVRLLHDIMTTGDGLQGRGL CTLETHACSFLQVAKSMLSQSQEDDTDEELAQCFACLYGYSLLPTCVSHHGHDLVKAT APTTLMELFQFCQRTDKKLVRKESATLFTSVLELPDTTATLQTLVPVNDFQNALKDYL NPVATHATHVICPMSFSGDVESANHKQQPNPIKALWFDLASNFALPKVKRRGRDYPQL LVYEVQCIKYIQYLRNDLYLHPRRAESYRLIAVCIKSLRAMLVDHWIVAWGNFTYPKE QSCVDLTPANALSFDAVTSYPFFKQFMEWIEFVQQAADDDDTSRREVLYKTREMSLVY AQYVAVLGELMLRCCDMAAILDPTMATACYEEAGLLVWRLLAESTCGDEWSVTSLAEH YFALGLLQPDVADEYGLRLNYMLGKVTKYAIKKDRSDCLDEWKVALEYFHTAETYRQR SDTLESLPHAFYQLHACRLKLLLAPVNKSGEKQTRDELAPSVHALRLVNEYFYVKRPV KPSTSSDMSTDDGLDWTQDRIGQLLAGDDASLWKARQHLLWNCLEAMERIPLDDRYFH PAYYMYAWGIRYGQAVVDLDNEFWADRCTLPSAVKAMKPLFDRKRQQVVAIWLSEADT NNLEELHQQQAKYDQLRLKYFTFYLHTMTLAGDSQRVSDLTSWVLSSKEEHWVVDAML LKALSASSQLARGKLLDLFVKCLFPSQEEANYQSKVLAHLNRMYTIYLEYNEAWHRVR TSHEQSMGSWIWEVALSYALYVVVHEQNIKWCDLAVAHVERTLIQYSQSPAAGPDVLM LDLYQELKMEEQPYWSTLVDEALTFCSAKWPDKTKTKAKPHVPKSKLRFLTSAS H257_00666 MRHTMLPKVDRRANPRGRTIVNAGTAPTAFEPPTPRTQPRRLHS VKRGSGGMGSSRGAERPFLVVPGLKPSVGCLVEPDWTEGAAAVAPTATLGLPEMVGST SVTRSVVHPIVAGEELRWRGLRPHDPNGNRRGSDRLPPTWRHLLLPV H257_00666 MRHTMLPKVDRRANPRGRTIVNAGTAPTAFEPPTPRTQPRRLHS VKRGSGGMGSSRGAERPFLVVPGLKPSVGCLVEPDWTEGAAAVAPTATLGLPEMVGST SVTRSVVHPIVAGEELRWRGLRPHDPNGNRRGSDRLPPTWRHLLLPV H257_00667 MPLHGTMSHTAHSKKKAMDPSKLSRYVDSPSSFNFDGQCRPATH LGTMHRSWNGENCDSTAFSRLSTSAKVVLLENDSIQATVLGTTPLFNPNARIRCLESE IMANAGTPQAGMHMMLLSHEALDNSTQVATAKVGYARVASAGLQEGIMTPSQRRERLF LDTASQQANAVKKTAEMKERRLTQIMQHRYRCGVLGLDGPTNSESVTYATSAANIDKK RSHDERQHHSREEHLQRHMSIIPALGYSYLSHDMAVKPPHVTKVCQEKIKLHGKHPDT HLRIFNETPPVWHTERAQHLRDQGQAGRPYDIVNKARIEHFPPSIREKEHPRQAHPSM AIHSIYKRD H257_00667 MHRSWNGENCDSTAFSRLSTSAKVVLLENDSIQATVLGTTPLFN PNARIRCLESEIMANAGTPQAGMHMMLLSHEALDNSTQVATAKVGYARVASAGLQEGI MTPSQRRERLFLDTASQQANAVKKTAEMKERRLTQIMQHRYRCGVLGLDGPTNSESVT YATSAANIDKKRSHDERQHHSREEHLQRHMSIIPALGYSYLSHDMAVKPPHVTKVCQE KIKLHGKHPDTHLRIFNETPPVWHTERAQHLRDQGQAGRPYDIVNKARIEHFPPSIRE KEHPRQAHPSMAIHSIYKRD H257_00668 MASSNRIAAYLIDGQIVQSMAATYFRSYAASDIEGYVAAFRRDG FVVIDDVLTSDECIASCAEIWDYLERDGKVHRDDAASWGNDSWPREVCRNGGFVGRFP FWKRMKKLEPTFLNKQPQSWRNRQNEVVYTAFANILEADQLWVSIDRYGVMRPGKVAH ELLESAVEATEPNKNLDWTTKDEWLHWDLSPFHFGTSAAGFLPNEELGHVREQYGGVR VQGLIALRDCPVESGGFHCVPGFTDDRFFNWAKDHEHSYGSLPEVAARNFIEVPKDDP MRREITRVPMKAGSLLIWNSQLPHGNFPNNGVDFRMVQYVKMIPTKDKEFLPAMKLST FDRTAWFPADFTPSKLGAKLLGLDEW H257_00668 MASSNRIAAYLIDGQIVQSMAATYFRSYAASDIEGYVAAFRRDG FVVIDDVLTSDECIASCAEIWDYLERDGKVHRDDAASWGNDSWPREVCRNGGFVGRFP FWKRMKKLEPTFLNKQPQSWRNRQNEVVYTAFANILEADQLWVSIDRYGVMRPGKVAH ELLESAVEATEPNKNLDWTTKDEWLHWDLSPFHFGTSAAGFLPNEELGHVREQYGGVR VQGLIALRDCPVESGGFHCVPGFTDDRFFNWAKDHEHSYGSLPEVAARNFIEVPKDDP MRREITRVPMKAGSLLIWNSQLPHGNFPNNGVDFRMVQYVKMIPTKDKEFLPAMKLST FDRTAWYVYKCPAVVASF H257_00669 MVPARLLLASALTVVAASVPSISIAGVSYVVRLHSSVPDPLKHD DSDGENPLNLLGDPVPMTSSNGKRYLCYVPVQADLQDENEPNAKLSLLDIARDAITRL KPQCLPTVETSLRGAYEICHGKSIAVSEVDGVVAKHDTKRKLGSFQSDSFQPGFSNYD FRNAERYPDDAQVVARLARGDDVYTQLYGHTPDDVAVVVQYACSTSPVTALLGRRPPN PSAATELDRAVAFVFGSRWFCFDNDAESINDIHVTPFARPSFESSPCVRRTEGWWTYE YCLGHHVSQFHREQNGETTSEFSLGVHAMDTNAELGKSRKDTIATEFLDDTYDKPQPA FEQVYEGGTPCDEVDRARSTRVLLFCPTLKKQAPYIISIQESATCAYVLKVAVPSVGL FIPYANACIHHNGYCSCVTIRTLPRTNDFAWIRSPKRFIAFPPRKLPRPPPTHKSKCP LHFPSRPRRQTTSCNQRTKADVS H257_00669 MVPARLLLASALTVVAASVPSISIAGVSYVVRLHSSVPDPLKHD DSDGENPLNLLGDPVPMTSSNGKRYLCYVPVQADLQDENEPNAKLSLLDIARDAITRL KPQCLPTVETSLRGAYEICHGKSIAVSEVDGVVAKHDTKRKLGSFQSDSFQPGFSNYD FRNAERYPDDAQVVARLARGDDVYTQLYGHTPDDVAVVVQYACSTSPVTALLGRRPPN PSAATELDRAVAFVFGSRWFCFDNDAESINDIHVTPFARPSFESSPCVRRTEGWWTYE YCLGHHVSQFHREQNGETTSEFSLGVHAMDTNAELGKSRKDTIATEFLDDTYDKPQPA FEQVYEGGTPCDEVDRARSTRVLLFCPTLKKQAPYIISIQESATCAYVLKVAVPSLCD HPYFAKDERLRLDSQSQTIHCVPATEAAATTADAQVEVSTSLPIKASTSNDEL H257_00670 METRSCPSMNELRGVARVMGMKYFRHLSKLELHQALQRQLHQVE RAQAKADLPKPKGKDAKGRGTRRFWWRKSKNKNKGNAATTSSDVQVLNTLDPIMLSEL GPHTFQFVRPNGTVVVYNIDTLVQYILATGNFSEPETRIAFSDDVLKQLDNAVRDQYP MCMESHVETAQATAAGFKFASVVTAQQQRAVFEQERIKRDGLLGLERCMGEVVTEMLH IIEDDDGEEGEMRLVMDVFPSFADLFNQLKSNDREYASHCHKHYVEYLRGPPNRPTVD ESGLFGVVLDFMKQMAGKAPTAQRYGA H257_00670 METRSCPSMNELRGVARVMGMKYFRHLSKLELHQALQRQLHQVE RAQAKADLPKPKGKDAKGRGTRRFWWRKSKNKNKGNAATTSSDVQVLNTLDPIMLSEL GPHTFQFVRPNGTVVVYNIDTLVQYILATGNFSEPETRIAFSDDVLKQLDNAATAAGF KFASVVTAQQQRAVFEQERIKRDGLLGLERCMGEVVTEMLHIIEDDDGEEGEMRLVMD VFPSFADLFNQLKSNDREYASHCHKHYVEYLRGPPNRPTVDESGLFGVVLDFMKQMAG KAPTAQRYGA H257_00671 MKVFFRRGDVPSKSRLQLSNRIMDEMGEGYGYGSIDGEDVLSKL RGQRFRHLEGQVYLDHAGATVYGSHQVEEHAKLLMQNVFGNPHSSSSPSSQRTTDSID SVRRDLAAFFNTTLEEYDVVFTSGTTAGLKLIGESFPFSRQSSFAYSMDSHNSVLGIR SYAAAHDARIFALPVDVLDSIGQQPTSSLPPLDDDSRTHEVCNLVAFPGECNFSGAKH SLSTIDWVHHHNALNDMKTLPEYQVNSNRPSGDRPLGKWFVLLDAAKLAATNPVDLSK YKPDFMVMSFYKLFGYPSGLGALLIRRSSAPYLAKPYHGGGTLAASFPTLLDASRPHA ATHRRFEDGTLPYLSILACTIGLQSLRNLTMPAIQRHVAALTTYAWHALTALRHANGA RVCTIYGTHHRDPDAGSIIACNFSTPDGAWVGYSEFSTLAALHDIHVRTGCFCNPGAC QAHLGLTTDEMLAHMAQGHVCGDAMDIIHNRPTGAIRVSFGYMSTQQDVDAFIMFVAT YFVSSRTHLAASRLQVGHDVPASIRLVKIAVFPIKSCGAMHVDRWRVGARGLLFDREW ALVDPATGAAFSQKHMPLMATIRPVVDLDAQQLHVSCDNRSSKLSIPLHYVPNSPTRF QLCAEHCQGRKYNDTVNAWFTSVLGRPCALVRATSTGTHHTTRTNGFANEAPFLVLSR ASVRLLHSKFGGTDTISEDGFRANLILDGCRPHEEDEWGEFFIGPHAFRCVGPCGRCT MVNVNQATGTSRTAPLKQLASYRRHRGKIHFGQYAAFNATDEVAPCWIHVGDSVTVLT PQSMDNGSSELEL H257_00671 MKTLPEYQVNSNRPSGDRPLGKWFVLLDAAKLAATNPVDLSKYK PDFMVMSFYKLFGYPSGLGALLIRRSSAPYLAKPYHGGGTLAASFPTLLDASRPHAAT HRRFEDGTLPYLSILACTIGLQSLRNLTMPAIQRHVAALTTYAWHALTALRHANGARV CTIYGTHHRDPDAGSIIACNFSTPDGAWVGYSEFSTLAALHDIHVRTGCFCNPGACQA HLGLTTDEMLAHMAQGHVCGDAMDIIHNRPTGAIRVSFGYMSTQQDVDAFIMFVATYF VSSRTHLAASRLQVGHDVPASIRLVKIAVFPIKSCGAMHVDRWRVGARGLLFDREWAL VDPATGAAFSQKHMPLMATIRPVVDLDAQQLHVSCDNRSSKLSIPLHYVPNSPTRFQL CAEHCQGRKYNDTVNAWFTSVLGRPCALVRATSTGTHHTTRTNGFANEAPFLVLSRAS VRLLHSKFGGTDTISEDGFRANLILDGCRPHEEDEWGEFFIGPHAFRCVGPCGRCTMV NVNQATGTSRTAPLKQLASYRRHRGKIHFGQYAAFNATDEVAPCWIHVGDSVTVLTPQ SMDNGSSELEL H257_00671 MKVFFRRGDVPSKSRLQLSNRIMDEMGEGYGYGSIDGEDVLSKL RGQRFRHLEGQVYLDHAGATVYGSHQVEEHAKLLMQNVFGNPHSSSSPSSQRTTDSID SVRRDLAAFFNTTLEEYDVVFTSGTTAGLKLIGESFPFSRQSSFAYSMDSHNSVLGIR SYAAAHDARIFALPVDVLDSIGQQPTSSLPPLDDDSRTHEVCNLVAFPGECNFSGAKH SLSTIDWVHHHNALNDMKTLPEYQVNSNRPSGDRPLGKWFVLLDAAKLAATNPVDLSK YKPDFMVMSFYKLFGYPSGLGALLIRRSSAPYLAKPYHGGGTLAASFPTLLDASRPHA ATHRRFEDGTLPYLSILACTIGLQSLRNLTMPAIQRHVAALTTYAWHALTALRHANGA RVCTIYGTHHRDPDAGSIIACNFSTPDGAWVGYSEFSTLAALHDIHVRTGCFCNPGAC QAHLGLTTDEMLAHMAQGHVCGDAMDIIHNRPTGAIRVSFGYMSTQQDVDAFIMFVAT YFVSSRTHLAASRLQVGHDVPASIRLVKIAVFPIKSCGAMHVDRWRVGARGLLFDREW ALVDPATGAAFSQKHMPLMATIRPVVDLDAQQLHVSCDNRSSKLSIPLHYVPNSPTRF QQIYMYGRSDSNCVQSIAKVVNTTTR H257_00672 MFGRIARSVRGQALLGTSATGCGVALGMYNSQSPTLLDTKKNKV DASEFLYVPLSKDKTPPTSIEFDTTAPMKERMKAMIFRVQDEICAGMTAIDGTEFRQD EWERPGNGGGGRSRVIQDSKVFEKAGVNVSVIHGELGKAAATQMRAQGRDLNAEKALP FFACGVSLVLHPRNPLAPTMHLNYRYFEVETGKIGADGKPKKLSWFGGGADLTPSYLF EEDARHFHAVYKTILDKTDKSYYPKMKATCDKYFYIPHRQEGRGVGGFFFDDMEDNQE ATFQMARQCANATVDAYGPILRRRIHLPFTQEQKDWQQIRRGRYVEFNVMYDRGTKFG LNVPGSRIESILMSLPLTARWEYMHTPKPDSWEARTLEVLKNPVDWLDVDAVDLQTLS TKELLKEIARRSETQ H257_00672 MFGRIARSVRGQALLGTSATGCGVALGMYNSQSPTLLDTKKNKV DASEFLYVPLSKDKTPPTSIEFDTTAPMKERMKAMIFRVQDEICAGMTAIDGTEFRQD EWERPGNGGGGRSRVIQDSKVFEKAGVNVSVIHGELGKAAATQMRAQGRDLNAEKALP FFACGVSLVLHPRNPLAPTMHLNYRYFEVETGKIGADGKPKKLSWFGGGADLTPSYLF EEDARHFHAVYKTILDKTDKSYYPKMKATCDKYFYIPHRQEGRGVGGFFFDDMEDNQE ATFQMARQCAVRRP H257_00673 MAADKTPRNDDVEDVQDDGGAVNESLQADTRLVELLQSGDLSEA VMEKFQTMIEPFQEQGQLLDPLLRDMVTPVVRRIQSVFSSMASTSPSSAFPFQVLDAA TRIHLHRACQILYLLCKVRGYKTIVKLMPHDVAEFEPVLLLLQSQDRDDFSTWEIRFV LLLWLSILALVPFDLKSIDSSLDGHEEHGESIAIVADIVAICKTYLQDSGPTQQAAAL CLARLLSRPDMEDQYLVAFLDYVKVELDAFCTASHAADSSQARIRQYKITGIMLCLSY ICKFTPRDKHIVLMGEYFGHVMHVIDAIRAQDAATVVSSTVHRKLSTKLLQRMGLLYL PPKVMTWRYARGVRSLAANLAAAPHPVADASAAIDKTSNPFENDDDDMQVPAELEQVV DVLLCGLKDRDTVVRWSAAKGVGRVTSRLPFEFADDIVHAVLELFSANESDAAWHGAS LAMAELSRRCVLLPDRLPQAVHVIGLALMYDVRRGAHSIGAHVRDAACYACWSFARAY EPALFLPFLKQTLAPIMLTVCALDRELNCRRAAAAAFQESVGRQGVGNFPHGIDLLTK ADYFTLASISHAYLDVSRHIAQYAEYRYVLVDHLASVKLFHWDVNVRVLAASALGQLA PLDVAYALSAIVPPLLVASISPSSDVIVRHGATLALSEIVLHIASVPAFIDGEVMRRL KLIPIEMDKRRLYRGRGGEMIRVAVCTLMQTIAKLGWPLPITLAKKYLITVEECLKNP NELVRTVAIAAFQELATRYLAKLLDSPDHALYIQAIVPRFLSSVKDKSMLNPNVAARR GFLKALGVMPYVLLHPHVHQCVDLMIVAAVASYHTPDEQDAESRVAAIQALVDIVTKN RHEMTVDMLTRVLESLLKCADEDYSMDERGDVGSWVRREAMQGLHVIVQHYCAVGTRT GDDMYNQRVAVTGFGTGVIVETRALKRKMVSVQFAKPALGYFYFPPNGVGIFADDRAL HVPTPRGLPSLEARHVITSSTHSTTPSSSVVGVPPAIVSKFCLVLVKQLSEKLDNIRS IAGTILVELVASATWIDGVPDRPHLTRWLHSSEGVNWSMAHDTFPIVIGLLDSPSYME AVVEGLVVSVGGLTESVVKASKRALLGWLTARVQSQHFNAASQFAHLCVGLFQRHAKD DRVVVPLLKTIAFCLDQGAFAFLLQDGGALFGHALYDAVRAEMAKSSEVHKLSAGLAV LVGLLPSEVSVEKRSLRGICVCLGHRFPKVRKLAAEKLYTRLILHEDLVDQAKIGQVL AVLCDTAWDADLSLARNKRDVLVELLALDKIETIKSSDSRANLPSSPTSSRPVEDTSY KSLVKEMGY H257_00673 MRRRAFTCIARVKFCTSCAKYKTIVKLMPHDVAEFEPVLLLLQS QDRDDFSTWEIRFVLLLWLSILALVPFDLKSIDSSLDGHEEHGESIAIVADIVAICKT YLQDSGPTQQAAALCLARLLSRPDMEDQYLVAFLDYVKVELDAFCTASHAADSSQARI RQYKITGIMLCLSYICKFTPRDKHIVLMGEYFGHVMHVIDAIRAQDAATVVSSTVHRK LSTKLLQRMGLLYLPPKVMTWRYARGVRSLAANLAAAPHPVADASAAIDKTSNPFEND DDDMQVPAELEQVVDVLLCGLKDRDTVVRWSAAKGVGRVTSRLPFEFADDIVHAVLEL FSANESDAAWHGASLAMAELSRRCVLLPDRLPQAVHVIGLALMYDVRRGAHSIGAHVR DAACYACWSFARAYEPALFLPFLKQTLAPIMLTVCALDRELNCRRAAAAAFQESVGRQ GVGNFPHGIDLLTKADYFTLASISHAYLDVSRHIAQYAEYRYVLVDHLASVKLFHWDV NVRVLAASALGQLAPLDVAYALSAIVPPLLVASISPSSDVIVRHGATLALSEIVLHIA SVPAFIDGEVMRRLKLIPIEMDKRRLYRGRGGEMIRVAVCTLMQTIAKLGWPLPITLA KKYLITVEECLKNPNELVRTVAIAAFQELATRYLAKLLDSPDHALYIQAIVPRFLSSV KDKSMLNPNVAARRGFLKALGVMPYVLLHPHVHQCVDLMIVAAVASYHTPDEQDAESR VAAIQALVDIVTKNRHEMTVDMLTRVLESLLKCADEDYSMDERGDVGSWVRREAMQGL HVIVQHYCAVGTRTGDDMYNQRVAVTGFGTGVIVETRALKRKMVSVQFAKPALGYFYF PPNGVGIFADDRALHVPTPRGLPSLEARHVITSSTHSTTPSSSVVGVPPAIVSKFCLV LVKQLSEKLDNIRSIAGTILVELVASATWIDGVPDRPHLTRWLHSSEGVNWSMAHDTF PIVIGLLDSPSYMEAVVEGLVVSVGGLTESVVKASKRALLGWLTARVQSQHFNAASQF AHLCVGLFQRHAKDDRVVVPLLKTIAFCLDQGAFAFLLQDGGALFGHALYDAVRAEMA KSSEVHKLSAGLAVLVGLLPSEVSVEKRSLRGICVCLGHRFPKVRKLAAEKLYTRLIL HEDLVDQAKIGQVLAVLCDTAWDADLSLARNKRDVLVELLALDKIETIKSSDSRANLP SSPTSSRPVEDTSYKSLVKEMGY H257_00673 MTWRYARGVRSLAANLAAAPHPVADASAAIDKTSNPFENDDDDM QVPAELEQVVDVLLCGLKDRDTVVRWSAAKGVGRVTSRLPFEFADDIVHAVLELFSAN ESDAAWHGASLAMAELSRRCVLLPDRLPQAVHVIGLALMYDVRRGAHSIGAHVRDAAC YACWSFARAYEPALFLPFLKQTLAPIMLTVCALDRELNCRRAAAAAFQESVGRQGVGN FPHGIDLLTKADYFTLASISHAYLDVSRHIAQYAEYRYVLVDHLASVKLFHWDVNVRV LAASALGQLAPLDVAYALSAIVPPLLVASISPSSDVIVRHGATLALSEIVLHIASVPA FIDGEVMRRLKLIPIEMDKRRLYRGRGGEMIRVAVCTLMQTIAKLGWPLPITLAKKYL ITVEECLKNPNELVRTVAIAAFQELATRYLAKLLDSPDHALYIQAIVPRFLSSVKDKS MLNPNVAARRGFLKALGVMPYVLLHPHVHQCVDLMIVAAVASYHTPDEQDAESRVAAI QALVDIVTKNRHEMTVDMLTRVLESLLKCADEDYSMDERGDVGSWVRREAMQGLHVIV QHYCAVGTRTGDDMYNQRVAVTGFGTGVIVETRALKRKMVSVQFAKPALGYFYFPPNG VGIFADDRALHVPTPRGLPSLEARHVITSSTHSTTPSSSVVGVPPAIVSKFCLVLVKQ LSEKLDNIRSIAGTILVELVASATWIDGVPDRPHLTRWLHSSEGVNWSMAHDTFPIVI GLLDSPSYMEAVVEGLVVSVGGLTESVVKASKRALLGWLTARVQSQHFNAASQFAHLC VGLFQRHAKDDRVVVPLLKTIAFCLDQGAFAFLLQDGGALFGHALYDAVRAEMAKSSE VHKLSAGLAVLVGLLPSEVSVEKRSLRGICVCLGHRFPKVRKLAAEKLYTRLILHEDL VDQAKIGQVLAVLCDTAWDADLSLARNKRDVLVELLALDKIETIKSSDSRANLPSSPT SSRPVEDTSYKSLVKEMGY H257_00673 MAADKTPRNDDVEDVQDDGGAVNESLQADTRLVELLQSGDLSEA VMEKFQTMIEPFQEQGQLLDPLLRDMVTPVVRRIQSVFSSMASTSPSSAFPFQVLDAA TRIHLHRACQILYLLCKVRGYKTIVKLMPHDVAEFEPVLLLLQSQDRDDFSTWEIRFV LLLWLSILALVPFDLKSIDSSLDGHEEHGESIAIVADIVAICKTYLQDSGPTQQAAAL CLARLLSRPDMEDQYLVAFLDYVKVELDAFCTASHAADSSQARIRQYKITGIMLCLSY ICKFTPRDKHIVLMGEYFGHVMHVIDAIRAQDAATVVSSTVHRKLSTKLLQRMGLLYL PPKVMTWRYARGVRSLAANLAAAPHPVADASAAIDKTSNPFENDDDDMQVPAELEQVV DVLLCGLKDRDTVVRWSAAKGVGRVTSRLPFEFADDIVHAVLELFSANESDAAWHGAS LAMAELSRRCVLLPDRLPQAVHVIGLALMYDVRRGAHSIGAHVRDAACYACWSFARAY EPALFLPFLKQTLAPIMLTVCALDRELNCRRAAAAAFQESVGRQGVGNFPHGIDLLTK ADYFTLASISHAYLDVSRHIAQYAEYRYVLVDHLASVKLFHWDVNVRVLAASALGQLA PLDVAYALSAIVPPLLVASISPSSDVIVRHGATLALSEIVLHIASVPAFIDGEVMRRL KLIPIEMDKRRLYRGRGGEMIRVAVCTLMQTIAKLGWPLPITLAKKYLITVEECLKNP NELVRTVAIAAFQELATRYLAKLLDSPDHALYIQAIVPRFLSSVKDKSMLNPNVAARR GFLKALGVMPYVLLHPHVHQCVDLMIVAAVASYHTPDEQDAESRVAAIQALVDIVTKN RHEMTVDMLTRVLESLLKCADEDYSMDERGDVGSWVRREAMQGLHVIVQHYCAVGTRT GDDMYNQRVAVTGFGTGVIVETRALKRKMVSVQFAKPALGYFYFPPNGVGIFADDRAL HVPTPRGLPSLEARHVITSSTHSTTPSSSVVGVPPAIVSKFCLVLVKQLSEKLDNIRS IAGTILVELVASATWIDGVPDRPHLTRWLHSSEGVNWSMAHDTFPIVIGLLDSPSYME AVVEGLVVSVGGLTESVVKASKRALLGWLTARVQSQHFNAASQFAHLCVGLFQRHAKD DRVVVPLLKTIAFCLDQGAFAFLLQDGGALFGHALYDAVRAEMAKSSEVHKLSAGLAV LVGLLPSEVSVEKRSLRGICVCLGHRFPKVRKLAAEKLYTRLILHEDLVDQAKV H257_00674 MSANGMWTRMGASGSSVVIAPKTISKEMEERMRVCIEAFMKKAK PDQAKSLKLVRQHVEKSLSLSLTHHKDVLKRLMHGILQRGQAVTTQPEVPVRPEITIA KTKATWWKQDERREALLMGSHRLFQFAVEHPECGLDAIQTFCDLSSIMNDPELHQLLT AFARQLGSGYVDQDLLPEWTLHTQPSPLQVLDCVSSMYTLERIGVQHSRSADLRSFLD HGSSLYSPEDYFGWNPALGVPSSDPEQSGFQKMSNALTLLSYAYTLNISLGCTYASVL HWLPSFYPYQGPSTMDETDYLDQCYLVCRSILTLTNWGSFELAVDLMPNEYYFLQAHL DVQIGRGDCHLIGEFTRALKCFGASVDRGVAFALCYPHSFVQVAHESPDQVVHKAAVA LHALTEPQFNGYAPAIPDAQVLAILQRNASTEQQRRVENSATFESDLKRSQMKQALRK LLDKAATMDVKLIPLDDTLHRIQLTLESTTDVKALDATLAMAMLQDLNAMKLTMETLK ETGLGRSVNKLRKHPSDQVAAASQALVAKWKKEMLGQ H257_00675 MLSRVIRRFAPRVARPAIAANVDLDTETHGLRFLHLPLLPSKFP QPAPQVCKRPSLLDPLLKLHLIRSQLLYGLSVVGVPPPKEPVDVPPHITFDLNSLLET SPHLPPNEIQQDYSFDRRSSIDEIPMERYVALGQHLYQSMMWTTHPCAWHAVGQRCA H257_00676 MEKVLEEASTVATSVLASIPQRLARVNALLASEFPVNTPSQLAV AFTPLVSANATSNPQLGRLVTLVLDEIHVAIHELQRLERWIQLLTPRVADGNNFGVEV QKSVQLQISASRTALQKSWDAMTDYYWQRATAYEKFAAKVSKDKKKSVSTTKEEGGKD GAVNKTSQVESTDESSSESSVIPDLLVYVVAVDVKWYFNLQRTLESVADHYAFTLDAV EKNSSKIKLPRGHGERGMNMF H257_00677 MSGGGGNPVSMQWKYPPDLLFNDESLLDIILGLRTKIELLEKNE MGTGEIPQWLVDAMQNIANNSEAMVECQSLKDQLKYMKQNIDALQKDIVILRRDVVAA KTLSKRRGGVSRGGDDEPDRSEQSSRRGSVLSAEIRRGITVAGINSTNDTIHNGNAMP TIVGGGDTSQPNTSRQPSSVAEEAMKLTVALQGPSDGGQAISSAAMEQLLEPVVHSIE RQKEEFAVMRENSQAAKDSVMRLQAEMKRRDALIQARNSKHEAGVKILMDKLNHDLRA CVTHNEMIGFEQKIIVLQKQEVSRLTDEFSALFGRVQEDLYMVRSSQEDINSAQAEAV QTNQSKIQVLNERQDESQRNQDRFARTTEELKRNIQSEHQQIQTIVTQYGVMKDKLQA LAEKQAKTEVFCSDMSQALENVNMSKVKSDENLKLVIHQRAESLYNEIKRIDDVLESC SLATMADDMKFCTEKLGVVGTLADDNKKRISTVEVKMADNETIYNTNFSNIYEGMEKA RKESVEALRRETTRIGVKLVEHGDVQAQLGKFITDVKTEAERNFAAMRTKHENHELET DAMRANTESALQNLKEKIFFCEEANLALRAASDTMQLETKSAFQTINTENKSMHTILD SMNLTFEDVGHKQASIEKQLDVLQHDFRCEITVTTAKLSEAVAKEGQRTEALYAAFAE KQAKFAEIVAKSSTRNMPIASVNKELDKLCDAIVSECWKFEISPRQEGQSGTPTRGDN NGSSRKQFSERQQVWVVKNCQFFADLICAKAEYDVMRSYSNKETKTQGALEAKMLRMQ SEILEKLQLRIEAKVSNNKNCGEQFDRSTLERREVFIETIQNLTDGALARRTLVGGGV SSEDSTAGRGSVMTLDGSLSCVESVRLQGTGRPSTNGFESPSSRAKKRLSDVGARLDS TSTGDSGPGGRRMIQSPHANSPFVFRGGFRIPNRNTGLNAVSDAYRELHAEDENATDE IESNDQGIIHGELSMTPSVSLPAL H257_00677 MSGGGGNPVSMQWKYPPDLLFNDESLLDIILGLRTKIELLEKNE MGTGEIPQWLVDAMQNIANNSEAMVECQSLKDQLKYMKQNIDALQKDIVILRRDVVAA KTLSKRRGGVSRGGDDEPDRSEQSSRRGSVLSAEIRRGITVAGINSTNDTIHNGNAMP TIVGGGDTSQPNTSRQPSSVAEEAMKLTVALQGPSDGGQAISSAAMEQLLEPVVHSIE RQKEEFAVMRENSQAAKDSVMRLQAEMKRRDALIQARNSKHEAGVKILMDKLNHDLRA CVTHNEMIGFEQKIIVLQKQEVSRLTDEFSALFGRVQEDLYMVRSSQEDINSAQAEAV QTNQSKIQVLNERQDESQRNQDRFARTTEELKRNIQSEHQQIQTIVTQYGVMKDKLQA LAEKQAKTEVFCSDMSQALENVNMSKVKSDENLKLVIHQRAESLYNEIKRIDDVLESC SLATMADDMKFCTEKLGVVGTLADDNKKRISTVEVKMADNETIYNTNFSNIYEGMEKA RKESVEALRRETTRIGVKLVEHGDVQAQLGKFITDVKTEAERNFAAMRTKHENHELET DAMRANTESALQNLKEKIFFCEEANLALRAASDTMQLETKSAFQTINTENKSMHTILD SMNLTFEDVGHKQASIEKQLDVLQHDFRCEITVTTAKLSEAVAKEGQRTEALYAAFAE KQAKFAEIVAKSSTRNMPIASVNKELDKLCDAIVSECWKFEISPRQEGQSGTPTRGDN NGSSRKQFSERQQVWVVKNCQFFADLICAKAEYDVMRSYSNKETKTQGALEAKMLRMQ SEILEKLQLRIEAKVSNNKNCGEQFDRSTLERREVFIETIQNLTDGALARRTLVGGGV SSEDSTAGRGSVMTLDGSLSCVESVRLQGTGRPSTNGFESPSSRAKKRLSDVGARLDS TSTGDSGPGGRRMIQSPHANSPFVFRGGFRIPNRNTGLNAVSDAYRYRVYIWHVVEKR WGIVGQGTACRRRECDRRD H257_00678 MADGGSHDMDVLEVPKKHKLQEDFDAAEEEIEEERASPERSIAA FQKILAYHGADDNLEVVHKVKELSIYKLGQLYIRHGREKELAALLQNVRPFFSTIPKA KTGKIVRTIIDMVSKVKVLDATKALQFQADLCYDSIAWCNLEKHTFLRQRIQARLASI LFEQQKFQAALDLITELLREIKKLDDKPLLVDIHLVESKLYHALRNVPKAKASLTAAR SIANTIYIIPRTQANIDHMSGILHAEERDYKTAFSYFFEAFEALVPLDPVEALACLKY MLLSKVANGQSADVPAIVSTKNAIKFTGIDIEALKAVAKAHDQRSLELFQQATTTYAA QLVTDPLIKHHLGLLYEKLLESNLIKIIHPYSCVEINHVANLIKLPLVQIETKLSQMI LDHKFHGILDQGRGQLIVYENPTEDKTYKAGLGVIENVGHIVDTLFRRADKLSA H257_00679 MERYQKIEKNGTIGEGTYGVVYKAKDLKTGHTVALKRIRLEVED EGIPSTALREISILRELEHPNIVRLLNCLQDSGKLYLVFEFVDRDLKRHMDKTLGTVD PMLIKAYMYQLLKGLAFCHARGVMHRDLKPQNLLVSESGTLKIADFGLARAFSMPSRK YTHEVVTLWYRAPEILLGQEVYSPPVDIWSCGVIFAELIKKKALFPGDSEIDQLYRIF RMLGTPDESIWPGVSGLRDYAATFPKWRPQDLEPMFPKLDSDGLDLLKKMLAYSPSER ITAKDATRHPYFNDLPSEYI H257_00680 MQLLTPSKQVTKTTTCICPAHVKTKLLVRSKSSQKSTTTVMLGG QRTAEKTTMSYVTFNGDLTPRAKQQLTTTELIKRAEKLDYYLQLAYGYAKQIEDMAPI ATVSSPLVQHAWECRRHVAPPPFRASPEFTHMQYTAVRTQDPINFSRDGYVLRVKECG NDVKVFVTITMYNEPASQLESTLVGLAKGIAYMCEQHGYDYWQHVVISIVADGRSKIN PSMLTYLSTLGCFDKPTMVQMSMGIAAEVHLFEATVQMPTATDGLFYPPMQVIFALKE ANNGKLHSHLWFFNAFCEQLAPKYTVLVDVGTIPAETSVYRLIRSMERNAQIGGVAGE IAVDRPQFFNPVIAAQHFEYKIANIMDASLQSVFGFIGVLPGAFSAYRYQAIRAIDGE GPLVEYFKSLTASKKELGLFVGNMYLAEDRILCFEILARRDCNWTMHYVKDAIASTDV PETLVDLIKQRRRWLNGSFFAGLFAIWNFGRVWRDTSHSFARKCIFTLQFTYMAVLNV LNWFLLSNLFLAFYYILSLALFYRSPVLLRVTLTMYFVLVGSLIVFALGNKPGRRTAG FYKVSSYIMGFIMLGATAISLFALTGQVHFMDIRPDLVSCSVADWELPAGAVSSIGLV FLSAFSHGEFSILASTIQYYFMLPTFINILGIYAYSNLHDLSWGTKGIDSSHHSGGHT GGTSIKHMVALQLNTANLDVTMEADKQKQSVAAEHEDVDSSFRVFRSVLLLTWLLSNG AWLYMATSFISCSCYLKYLSFTVAVVNIIRFFGAIVFLAFRIMRGATQCAAKVCYLTA AADCLPRFRQDTSNV H257_00680 MQLLTPSKQVTKTTTCICPAHVKTKLLVRSKSSQKSTTTVMLGG QRTAEKTTMSYVTFNGDLTPRAKQQLTTTELIKRAEKLDYYLQLAYGYAKQIEDMAPI ATVSSPLVQHAWECRRHVAPPPFRASPEFTHMQYTAVRTQDPINFSRDGYVLRVKECG NDVKVFVTITMYNEPASQLESTLVGLAKGIAYMCEQHGYDYWQHVVISIVADGRSKIN PSMLTYLSTLGCFDKPTMVQMSMGIAAEVHLFEATVQMPTATDGLFYPPMQVIFALKE ANNGKLHSHLWFFNAFCEQLAPKYTVLVDVGTIPAETSVYRLIRSMERNAQIGGVAGE IAVDRPQFFNPVIAAQHFEYKIANIMDASLQSVFGFIGVLPGAFSAYRYQAIRAIDGE GPLVEYFKSLTASKKELGLFVGNMYLAEDRILCFEILARRDCNWTMHYVKDAIASTDV PETLVDLIKQRRRWLNGSFFAGLFAIWNFGRVWRDTSHSFARKCIFTLQFTYMAVLNV LNWFLLSNLFLAFYYILSLALFYRSPVLLRVTLTMYFVLVGSLIVFALGNKPGRRTAG FYKVSSYIMGFIMLGATAISLFALTGQVHFMDIRPDLVSCSVADWELPAGAVSSIGLV FLSAFSHGEFSILASTIQYYFMLPTFINILGIYAYSNLHDLSWGTKGIDSSHHSGGHT GGTSIKHMVALQLNTANLDVTMEADKQKVR H257_00681 MASWIGSWMNGDAKPTNEEEKTDTVPVNQPTAEELRQKRLDRLA ALEASTAASNADVASAPTPPPVQRPPPANQVKAITPAPIEHGNHGPSPQLSNAPDLTK RKSSTRRDPLHNALQQILGVTLTKSHAGSTFVYVATDSDTINSDNLSEVLYLRLLVDD PTYSSALEYLFAVYQRVRTESSGLNDADRLVVQSVQEQCINYSVTCLLEPEMFPSKVT PLEAFDALVRSPNASTSSYLEALAAGLEAQGGNAAVGRVGGPILQKLVSEVFLGSQSI LALESWSSPIQTIGSLVRIKGFATVFTNIPGFLLTPPLNGRRLQDATALGILLRFSTD APDPAIKDLFANITKRSRVDVNKSVESLRSKLSLLHNIVTDIFRSLLKGGAHSKTRTI QWLEQAMVVNVEGSKENPNPALVSTAGMLINLNVVLLRLCGPFLPPSTKHALIDATYW KCCSSPLFPQDTTKLVAPSSSSEQQQPAPPSAALASFNFITQCFFLTLRAVHIGPVAT IGKYMRLLRQLSYMQNHMNDDPRGRAQFEMLAATKMIIDAKLLQPELLHDLVRFALLS ANVTCRLCLSPNGNAVALAGLDLLPLVTPADALLVPSVPEHVVEDILSIVLFVARFAP DELKSFEFGDFLTMALIFLSSPQLIRSPHLRAKMSECLFEMCLPSHESEDRPTAAIPS AVAALVQSKLAQQHLAPCLLALYGDVEQTGFYEKLEHRYNIACLLKYLWKCPDHKAAF VMISQNQPAFVKFAHGLMNHINSLLTDALTNLPEIKSLQEESQSPQWLSLNEAVREQK QSLLAEKERTVTSSLQLANETIHMMSYLTSEIQAPFLTAELEDRLVGMLNSVLVKLAG PRGLDLKVTNPEVYKFRPKVMLCEIVETILHFSQYESFQLAVATNGLYDASVYRKCAG ILQRTQVVDAAKVAAFDSFAQTVEDLFNSNTQDEAMLGDIPDEFMDPLLWQLMKEPVT LPSGYVVDRATITQHLMNDASDPFTRSPLTVDQLVPNAALKAQIQAWVAAQHK H257_00681 MASWIGSWMNGDAKPTNEEEKTDTVPVNQPTAEELRQKRLDRLA ALEASTAASNADVASAPTPPPVQRPPPANQVKAITPAPIEHGNHGPSPQLSNAPDLTK RKSSTRRDPLHNALQQILGVTLTKSHAGSTFVYVATDSDTINSDNLSEVLYLRLLVDD PTYSSALEYLFAVYQRVRTESSGLNDADRLVVQSVQEQCINYSVTCLLEPEMFPSKVT PLEAFDALVRSPNASTSSYLEALAAGLEAQGGNAAVGRVGGPILQKLVSEVFLGSQSI LALESWSSPIQTIGSLVRIKGFATVFTNIPGFLLTPPLNGRRLQDATALGILLRFSTD APDPAIKDLFANITKRSRVDVNKSVESLRSKLSLLHNIVTDIFRSLLKGGAHSKTRTI QWLEQAMVVNVEGSKENPNPALVSTAGMLINLNVVLLRLCGPFLPPSTKHALIDATYW KCCSSPLFPQDTTKLVAPSSSSEQQQPAPPSAALASFNFITQCFFLTLRAVHIGPVAT IGKYMRLLRQLSYMQNHMNDDPRGRAQFEMLAATKMIIDAKLLQPELLHDLVRFALLS ANVTCRLCLSPNGNAVALAGLDLLPLVTPADALLVPSVPEHVVEDILSIVLFVARFAP DELKSFEFGDFLTMALIFLSSPQLIRSPHLRAKMSECLFEMCLPSHESEDRPTAAIPS AVAALVQSKLAQQHLAPCLLALYGDVEQTGFYEKLEHRYNIACLLKYLWKCPDHKAAF VMISQNQPAFVKFAHGLMNHINSLLTDALTNLPEIKSLQVGISRNIYIYICVLVGRKP KPAMAELERSSAGTKAKSTG H257_00682 MINLPSITEIAQAAPGTARRGSNQPGKTPSSPRKASELRAHPSP RRGVTKMHSNLMVPNDVADRALSKLGVSEETLRIEKLMKKLGVCDHDLEEYDDLQRHS AVLELSIDQLTKEELLCGYSKKQMLRAKAVRSLGTSEEEIIDDHAQRVSGLGAQSSAT PRNLSPRLRQYEYPFPFL H257_00683 MRIYIHVDPSGGYSEWTYVCKTPLTHVHEAVTAFVDAYNCKFPT QQLTPSLLVAMANNKPLEPTKKISTLLDDHDSCELALVHVATSPPPQPVVTSVEPRKP NHGAVDMLLGHANKHRQNNAWRSAKALWEAVLVDMDTANASAMQGMVDLYMQSTQWTK AKSVLLKLLLADPTHQAPRLQLATCEMHLANSGRAITILQELLSTPSLTPDMDHDASI LLATALYECGSIKDQDKAVSILVHLLDKSNHTDMDAMALYSQVAHDRGKPAQAMQMML KVLVDRPKDKRVQAKCAAFLEAPRGFEYLQLALDPTSPSTAPAYAYLASVAKDHGAMT ACVSCFQQAVAQCPSDVMFALNYVHALEVCGRYGDAFVVVKQFVHNTPTTVVGMDLTC QDIAAVLAPYSTLDDASGHWTEEAAMAWKGTHVCVYHNDAKFERAVATTVDLTGQQLD LLALLCTLVKILFLQGCLRPVPALVDAIEPLRYHYGHLLHTTSIRNEHAYYSCITQLV TIPSLHVPRPRPSNIIYVCGDSHALATAWRSVGAHVLVPALVTGLKHWHLRKTSTFYP KVHFFNVIKSIPRGATVVFVFGEIDCREGLLVAVEKCRYETLEEGMAHTMSIFMDVVE DLVREFGFKAFIHPIVPVLDETRHIVQLYNRLFQAKVQGSTLCHWMDFFDSLLTPYNK LQPSYVLDGTHLHPSYLSLWATTLEPHMSAI H257_00683 MRIYIHVDPSGGYSEWTYVCKTPLTHVHEAVTAFVDAYNCKFPT QQLTPSLLVAMANNKPLEPTKKISTLLDDHDSCELALVHVATSPPPQPVVTSVEPRKP NHGAVDMLLGHANKHRQNNAWRSAKALWEAVLVDMDTANASAMQGMVDLYMQSTQWTK AKSVLLKLLLADPTHQAPRLQLATCEMHLANSGRAITILQELLSTPSLTPDMDHDASI LLATALYECGSIKDQDKAVSILVHLLDKSNHTDMDAMALYSQVAHDRGKPAQAMQMML KVLVDRPKDKRVQAKCAAFLEAPRGFEYLQLALDPTSPSTAPAYAYLASVAKDHGAMT ACVSCFQQAVAQCPSDVMFALNYVHALEVCGRYGDAFVVVKQFVHNTPTTVVGMDLTC QDIAAVLAPYSTLDDASGHWTEEAAMAWKGTHVCVYHNDAKFERAVATTVDLTGQQLD LLALLCTLVKILFLQGCLRPVPALVDAIEPLRYHYGHLLHTTSIRNEHAYYSCITQLV TIPSLHVPRPRPSNIIYVCGDSHALATAWRSVGAHVLVPALVTGLKHCTTFDCLYDPL WTYNGTLHTGHLRKTSTFYPKVHFFNVIKSIPRGATVVFVFGEIDCREGLLVAVEKCR YETLEEGMAHTMSIFMDVVEDLVREFGFKAFIHPIVPVLDETRHIVQLYNRLFQAKVQ GSTLCHWMDFFDSLLTPYNKLQPSYVLDGTHLHPSYLSLWATTLEPHMSAI H257_00683 MRIYIHVDPSGGYSEWTYVCKTPLTHVHEAVTAFVDAYNCKFPT QQLTPSLLVAMANNKPLEPTKKISTLLDDHDSCELALVHVATSPPPQPVVTSVEPRKP NHGAVDMLLGHANKHRQNNAWRSAKALWEAVLVDMDTANASAMQGMVDLYMQSTQWTK AKSVLLKLLLADPTHQAPRLQLATCEMHLANSGRAITILQELLSTPSLTPDMDHDASI LLATALYECGSIKDQDKAVSILVHLLDKSNHTDMDAMALYSQVAHDRGKPAQAMQMML KVLVDRPKDKRVQAKCAAFLEAPRGFEYLQLALDPTSPSTAPAYAYLASVAKDHGAMT ACVSCFQQAVAQCPSDVMFALNYVHALEVCGRYGDAFVVVKQFVHNTPTTVVGMDLTC QDIAAVLAPYSTLDDASGHWTEEAAMAWKGTHVCVYHNDAKFERAVATTVDLTGQQLD LLALLCTLVKANTDIWKSATLTYIYIACLDLVPARVPSPRARFGRCNRTVAVPLRPPP AHDVDPQRARVLLVHHAAGDHPVAPCAAAPSVKYHLRVWRLACVGDGMAVRGCPCPGS GARDGPQTLAFAQDIDVLPQSALFQRDQVHSTWGHCRVCVWRNRLPRRASCGRGKVSL RDSRRRHGAYHVHLHGRCGGFGAGVWVQGIHSSDRARARRDPTHCAALQSPISGQGAR H257_00683 MRIYIHVDPSGGYSEWTYVCKTPLTHVHEAVTAFVDAYNCKFPT QQLTPSLLVAMANNKPLEPTKKISTLLDDHDSCELALVHVATSPPPQPVVTSVEPRKP NHGAVDMLLGHANKHRQNNAWRSAKALWEAVLVDMDTANASAMQGMVDLYMQSTQWTK AKSVLLKLLLADPTHQAPRLQLATCEMHLANSGRAITILQELLSTPSLTPDMDHDASI LLATALYECGSIKDQDKAVSILVHLLDKSNHTDMDAMALYSQVAHDRGKPAQAMQMML KVLVDRPKDKRVQAKCAAFLEAPRGFEYLQLALDPTSPSTAPAYAYLASVAKDHGAMT ACVSCFQQAVAQCPSDVMFALNYVHALEVCGRYGDAFVVVKQFVHNTPTTVVGMDLTC QDIAAVLAPYSTLDDASGHWTEEAAMAWKGTHVCVYHNDAKFERAVATTVDLTGQQLD LLALLCTLVKILFLQGCLRPVPALVDAIEPLRYHYGHLLHTTSIRNEHAYYSCITQLV TIPSLHVPRPRPSNIIYVCGDSHALATAWRSVGAHVLVPALVTGLKHWHLRKTSTFYP KVHFFNVIKSIPRGATVVFVFGEIDCREGLLVAVEKCRYEVIPSVEAYDFDSYSKLSK RQ H257_00683 MRIYIHVDPSGGYSEWTYVCKTPLTHVHEAVTAFVDAYNCKFPT QQLTPSLLVAMANNKPLEPTKKISTLLDDHDSCELALVHVATSPPPQPVVTSVEPRKP NHGAVDMLLGHANKHRQNNAWRSAKALWEAVLVDMDTANASAMQGMVDLYMQSTQWTK AKSVLLKLLLADPTHQAPRLQLATCEMHLANSGRAITILQELLSTPSLTPDMDHDASI LLATALYECGSIKDQDKAVSILVHLLDKSNHTDMDAMALYSQVAHDRGKPAQAMQMML KVLVDRPKDKRVQAKCAAFLEAPRGFEYLQLALDPTSPSTAPAYAYLASVAKDHGAMT ACVSCFQQAVAQCPSDVMFALNYVHALEVCGRYGDAFVVVKQFVHNTPTTVVGMDLTC QDIAAVLAPYSTLDDASGHWTEEAAMAWKGTHVCVYHNDAKFERAVATTVDLTGQQLD LLALLCTLVKANTDIWKSATLTYIYIACLDLVPARVPSPRARFGRCNRTVAVPLRPPP AHDVDPQRARVLLVHHAAGDHPVAPCAAAPSVKYHLRVWRLACVGDGMAVRGCPCPGS GARDGPQTLAFAQDIDVLPQSALFQRDQVHSTWGHCRVCVWRNRLPRRASCGRGKVSL RGDP H257_00684 MPSVKAEVGVAIALGVGLGYVWKSYADGELKTIDTFYQDLKKKA ARNNE H257_00685 MDCPRDDGATAVDLNEIQVEVRPPAEEDKAPSTEEDADEEVKGP STKDAIVEEEQKGPSSTEVVATVSLSAMTTENLLRMLECAICKDYLATATQTSCCGTV FCKRCIRTWVRAKGTCPVCRKSHSLENLVPAHYVQRIANEMRADLCPPDEDVDMATTD VATPPFPNGAQAAHVHPPNDPILPNQNAPPVVRHVPVVHEDLPHPLDLRIVTNTIDGV RQLDIRSGPNGSFIFTCPFTIGVVCTAVRNRNAVDHSVRMTIKSADNVVAAHVELLPH SNQYLAMDHWNQDLAAISYAATNPIVAVPLPEWRGLDGPNDMIMRAYEPQSRRDTIWT QVRRPDTARVFSMVAKSDPFWNFSRSRNWHGKNGRVRDESTTLLVLVRPTSIDEPMLT LARSGSDRQRFWLDFLGPIAPAQAVFIALTCLDRHRRFLRSRDDS H257_00685 MKCGPTFALQMKMWTWQRRTWRRPLSPTALKPPTSIPRMTLSSP IKVRQLHWPGLIHPVDAPPVVRHVPVVHEDLPHPLDLRIVTNTIDGVRQLDIRSGPNG SFIFTCPFTIGVVCTAVRNRNAVDHSVRMTIKSADNVVAAHVELLPHSNQYLAMDHWN QDLAAISYAATNPIVAVPLPEWRGLDGPNDMIMRAYEPQSRRDTIWTQVRRPDTARVF SMVAKSDPFWNFSRSRNWHGKNGRVRDESTTLLVLVRPTSIDEPMLTLARSGSDRQRF WLDFLGPIAPAQAVFIALTCLDRHRRFLRSRDDS H257_00686 MSDNIAVAPADEEIETNVQEDEGPPAAQEARDPWEEEAVKLSAA STENLMRMMECSICKEYLVKATQTSCCGAVFCKRCICMWVRAKGTCPVCRMQQIPSKL TLARYVQRIADELKDDLCPTNDAITDGGTGPSTEVQHRLDQLFTPTGAQNPLDQVFQA NVLINEGGDDDGPVDAADNDDPGRPYLYAIPGAEDRAHQCTIRLGQNGSFSLLLEHTQ RVICTAVRTRGDGDHSITLTIKSPDDMVVVATLELLPHSDQYLAVDHLGRDIMAVDYM SKDPVLVLPKPVQILNEGSDMTPFAPQSRRDSIWMQVRRPDTSRVNPFEGKSDPYYDF NRKHSWLRHGGRYVQGARTILVLVGPKNNDMPFLSVAYANTERSRVWVDFLHPIAPLQ AFAIALTAMDRHRRVLKARDGP H257_00687 MTKETTCKVLCVDAICTQQESGICISYALFDGTPGDENATGDLE SLLEMDVDIYGEQDQHLGFDPCVSLETLALQSPHGSNTNEKTWNEEGENNDVAPIDST ADTAKQDRRRLSLRKELFQHRIKAQDLSSPGPKSENDGMTVCRPCYEQPEYDLASPLA LPPSPTSFYEPDETLDIDGESNSNQDDARPDPMLSKASTKLIRGRKVKHGGIYSLLLK GLKRTDDYNDSAVLVDSAKENIMAKNHAYVTLTTDAAGEVAEAAAHTPSKRMSPSPSK MQVRHGGIYRMLREKT H257_00688 MPRKKLAAWDEFDEIPTDENSQMKMGRMECKHCKGTVSKSVHRL LSHLQSCPNFEGDLPAAVLHDACSIDALKAESDASYHPLSKYIDHTLLKADATPDSIA VLCNEAVDNGFFSVCVNGIYASYAREVLDGLMHQSLRRGCRRRHVKVCCVVGFPLGAS ATAVKAFEATQCLQDGADEIDMVIAVGKLKAGDHAYVLRDICGVVTACKSKRAMCKVI LETALLTDPEIESASHLAIAAGADFIKTSTGFSTRGASIDDVMLMSRIAHPRHVQVKA SGGVRTLEDAQKMVAAGATRLGTSGGIAITHGDDVAHGQY H257_00688 MPRKKLAAWDEFDEIPTDENSQMKMGRMECKHCKGTVSKSVHRL LSHLQSCPNFEGDLPAAVLHDACSIDALKAESDASYHPLSKYIDHTLLKADATPDSIA VLCNEAVDNGFFSVCVNGIYASYAREVLDGLMHQSLRRGCRRRHVKVCCVVGFPLGAS ATAVKAFEATQCLQDGADEIDMVIAVGKLKAGDHAYVLRDICGVVTACKSKRAMCKVI LETALLTDPEIESASHLAIAAGADFIKTSTGFSTRGASIDDVMLMSRIAHPRHVQVKA SGGVRTLEDAQKMVAAGATRLGTSGGIAITHGDDVAHGQY H257_00689 MGRKRGAVWNEFVELPENGEPQMKMPRIECKHCKATVSKSSSRA VSHIRSCPKFTGELPESNDADDHHLEAVYMMRAPAVKDEDVKKFHPMSRMIDHTLLRA DATADDVSVLCREALENGFYSVCVNGIFAAHARQVLNLHEKKRSKQRHSHSVKVCCVV GFPLGASTSEVKAFEAAQYIDAGAQEIDMVIAVGKLKGGDHAYVLRDISAVVAACKRP HTNAVTCKVILETALLTETEVEIASRLAISAGADFIKTSTGFSTRGASVHDVQVMARL ARPHNVQVKASGGIRCVEDAQLMLHAGATRLGTSAGVAITKGANPTSQFQA H257_00690 MPRKKGVIWSFFNDLPDDESSRLKMSRVECKYCKGNVVKSTSRL RSHLRICPNFDGALMPPLDDDDDDDGVVDFKDPKLVKDDGGKGDSFHPLSRYIDHTLL KPDATPHDIAALCREAHENGFYSVCVSSVYASHARQVLDGFEKKSKRTNGHHVKVTCV VGFPSGATPSEIKALEATNCLTAGAEEIDMVVPVGYLKGGDHAYVLRDISAVVSACKK FSAVSKVILETALLTDPEIETASHLAISTGADFVTTSTGFSTRGASVHDIQSMARIAH PRNVQVKASGGIHSMEQAQLLIQAGATRLGTSAGLIIAHLPTPPPVVSDYNMSL H257_00691 MVAEDGESLGRYASYGFVLSSMLHATGKDEFMATSEVYRWKLRC IRTKIRHQQFDDDVSFLRDVQVLLMCVSSQLVRESLSCKVLSSMATVGLGNELPVPEA RHIPNKHVLATGATPTMPLLDSILRRDVQLALKQKAYSASALQASIAALEAVESPRPL DLSKVHPSLLRRTSSMIKPKKPADPSTRKPYVDGRRVYIPLEPEISDKRELKLLQSRR PQVEVNMCPHLQGQLVTVYSAKRDRFVSDQALGELRDGRVYDIPSKRLLTLERFFATH VRANRNGRPAAQHICLLAPTRQSLDLHLLASVFERVSAHAKSLDMRSLRRLPRRGREL RRDHRRGCAAVQVAGNSPTDRDRRRRYHPDEEAGTKARSIEEGSGHVDGKCAKDVEAS VGRAVAASSEECQVPGTVCCCSCSSLGNERDLIYCGKCRRTSSKRRCRETALRNLMYG VSYEMAFEDARV H257_00691 MVAEDGESLGRYASYGFVLSSMLHATGKDEFMATSEVYRWKLRC IRTKIRHQQFDDDVSFLRDVQVLLMCVSSQLVRESLSCKVLSSMATVGLGNELPVPEA RHIPNKHVLATGATPTMPLLDSILRRDVQLALKQKAYSASALQASIAALEAVESPRPL DLSKVHPSLLRRTSSMIKPKKPADPSTRKPYVDGRRVYIPLEPEISDKRELKLLQSRR PQVEVNMCPHLQGQLVTVYSAKRDRFVSDQALGELRDGRVYDIPSKRLLTLERFFATH VRANRNGRPAAQHICLLAPTRQSLDLHLLTCDHYDDCLDGDVSSDVITDEDVPLSKLL EIAQQTGIEEEGTTPTKKRGRKPGPLKKALDTSTESVRRTSKRRLDEPSPPPRKSARS QKDVVETALPRNGTTKPHVRRKLRNGF H257_00691 MVAEDGESLGRYASYGFVLSSMLHATGKDEFMATSEVYRWKLRC IRTKIRHQQFDDDVSFLRDVQVLLMCVSSQLVRESLSCKVLSSMATVGLGNELPVPEA RHIPNKHVLATGATPTMPLLDSILRRDVQLALKQKAYSASALQASIAALEAVESPRPL DLSKVHPSLLRRTSSMIKPKKPADPSTRKPYVDGRRVYIPLEPEISDKRELKLLQSRR PQVEVNMCPHLQGQLVTVYSAKRDRFVSDQALGELRDGRVYDIPSKRLLTLERFFATH VRANRNGRPAAQHICLLAPTRQSLDLHLLASVFERVSAHAKSLDMRSLRRLPRRGREL RRDHRRGCAAVQVAGNSPTDRDRRRRYHPDEEAGTKARSIEEGSGHVDGKCAKDVEAS VGRAVAASSEECQVPEGRRRNGVAAKRHYETSCTA H257_00691 MVAEDGESLGRYASYGFVLSSMLHATGKDEFMATSEVYRWKLRC IRTKIRHQQFDDDVSFLRDVQVLLMCVSSQLVRESLSCKVLSSMATVGLGNELPVPEA RHIPNKHVLATGATPTMPLLDSILRRDVQLALKQKAYSASALQASIAALEAVESPRPL DLSKVHPSLLRRTSSMIKPKKPADPSTRKPYVDGRRVYIPLEPEISDKRELKLLQSRR PQVEVNMCPHLQGQLVTVYSAKRDRFVSDQALGELRDGRVYDIPSKRLLTLERFFATH VRANRNGRPAAQHICLLAPTRQSLDLHLLTCDHYDDCLDGDVSSDVITDEDVPLSKLL EIAQQTGIEEEGTTPTKKRGRKPGPLKKALDTSTESVRRTSKRRLDEPSPPPRKSARS QVQYAAAPVLPWAMNET H257_00692 MADEADDVDTNKGDEGSKEPRTRDDTAVTAMGNALEKLRILGYE KEMSKKKNFVVFTDTSFALAAQNASAQFKSFLEIVVYLMKVCEQDFVVDKYDDPNTSV NKLILSLKIMGFPLDFPAAKLKQGFGEAVCSTLEFICDKALAAKGFAWAKPNYPKEEY AEEAEVDENVEVEADDEVPVEEEDEELYAMRGKAEENDLDESAKQIILTSVDPLLWKT ELERVGPKLKAKTKEDEGKEWHAHIEQTKKHSMTITENLSGTTAQLKAITTQLSEVIQ RMAEKEKHINNEFDHLRQEYHQIKEKLQGVTERCKEGSEKVNAMTTQHSDITEQLRET KSDMDSKGSKMTDTSPLVQIKAALQTLKVEIKNFELRIGVVGHTLLQSKAKQKAAHGK RSNEASSVDPEYDNSDDDLD H257_00693 MAVMNPWKVRVLCSSRDTFMESSLMSKLPFNPRQFEYHPRDPSL LVFGTFSGQVVVWNHALNRAHYVSKAHQLSPTEQVLGLSWLHKPEYQDRFIVGTQKGT ISMCSMDSTRSHEPTKQFVPFPHLSSVHVNLNDQHVLVSGNSHSVRIYDVQTGQIVRT FDDIHEKEINLSRFANLSSTLFATCSFDKTMKLWDTRSPDTTPIYTCTSQGENLTICF SPDDQRLLVSAIDDEFNQFSLLNGKLDWGLTPQRQGDKPSYSRSYYTSSGNLILSGST DHSVVRMYCSHTGRLLHGSIQYSGRKHSALHTLSLRANPHDELKFCALVAYSDADHIN ELIENTMVGTEQSSDVEFIHTFCPSWEFKQATTTALLDDTATADVVLTVENQSIPAHS LVLSCRSHRMASVLACIREGRVYESPVSFDQVTDDGSQLTLCGLSLRVHCSLPSLRLF LVYLYSDDVDLPSYDPSTCQHLVHLALYFDLRHLVSLVEMKCSQHLSIQNIRSVANFA FQNQLHQLLSSCLRYLVVHRGVLGALTGFFPSIRYLLSEELKGCTHSHGVPECYGHLC LLDPHHRLLVVGGICHSTMHPSYISPKHILVLDMDASYGTKVDTTGECPSSLVFSAAC ALDAHQYLVCGGGHAQQPNDELLVFDTRAFIWSKLPPLSTPSPSLGRVGHSLTRDPTL QGDGCRLFLFGGCNVRTKEYYNDVHCLNVAPDNHLDWTCPTVLGTPPLASMAHSATTI RAHNSYGDACSVMVVFGGAGPGYLLSTLNILHLSETYLRWETPDSRGSIPGARYGHSA VWIQPSTTSSTNSILVFGGALSAPCQDLYMVEIIVGAHEQPSQATWSLVQTQGVPPSP RYRHTAVLSASARHMLVCGGIGNDSDKHSTSDVVVVLDLETREWANSTVKTIEPNLDH SVIVQQSSWYTDIVSLVDSPVQSDVVFCFDTNDGPLHAHSLFLTRSLHMRRMLHTGML ESLCGAVSLNKPKSTVRALLEFVYTDRLRVLPGDLMDLLDTAHSFNMSILSMLLQGIA VNLLDEANVGSMLVFADIHGLKSLKLGCVTFILQRWRWEEVERMFRSEVSVGLRDELE LWGSTSGHAYMYNQAQYHIAV H257_00693 MAVMNPWKVRVLCSSRDTFMESSLMSKLPFNPRQFEYHPRDPSL LVFGTFSGQVVVWNHALNRAHYVSKAHQLSPTEQVLGLSWLHKPEYQDRFIVGTQKGT ISMCSMDSTRSHEPTKQFVPFPHLSSVHVNLNDQHVLVSGNSHSVRIYDVQTGQIVRT FDDIHEKEINLSRFANLSSTLFATCSFDKTMKLWDTRSPDTTPIYTCTSQGENLTICF SPDDQRLLVSAIDDEFNQFSLLNGKLDWGLTPQRQGDKPSYSRSYYTSSGNLILSGST DHSVVRMYCSHTGRLLHGSIQYSGRKHSALHTLSLRANPHDELKFCALVAYSDADHIN ELIENTMVGTEQSSDVEFIHTFCPSWEFKQATTTALLDDTATADVVLTVENQSIPAHS LVLSCRSHRMASVLACIREGRVYESPVSFDQVTDDGSQLTLCGLSLRVHCSLPSLRLF LVYLYSDDVDLPSYDPSTCQHLVHLALYFDLRHLVSLVEMKCSQHLSIQNIRSVANFA FQNQLHQLLSSCLRYLVVHRGVLGALTGFFPSIRYLLSEELKGCTHSHGVPECYGHLC LLDPHHRLLVVGGICHSTMHPSYISPKHILVLDMDASYGTKVDTTGECPSSLVFSAAC ALDAHQYLVCGGGHAQQPNDELLVFDTRAFIWSKLPPLSTPSPSLGRVGHSLTRDPTL QGDGCRLFLFGGCNVRTKEYYNDVHCLNVAPDNHLDWTCPTVLGTPPLASMAHSATTI RAHNSYGDACSVMVVFGGAGPGYLLSTLNILHLSETYLRWETPDSRGSIPGARYGHSA VWIQPSTTSSTNSILVFGGALSAPCQDLYMVEIIVGAHEQPSQATWSLVQTQGVPPSP RYRHTAVLSASARHMLVCGGIGNDSDKHSTSDVVVVLDLETREWANSTVKTIEPNLDH SVIVQQSSWYTDIVSLVDSPVQSDVVFCFDTNDGPLHAHSLFLTRSLHMRRMLHTGML ESLCGAVSLNKPKSTVRALLEFVYTDRLRVLPGDLMDLLDTAHSFNMSILSMLLQVRF TLATKYHSKLNIYIYICRESP H257_00694 MLARESGPVLSQLQSEMFLKQATRCVEAKGTLVRALSTRSGAVS VRPSMPPRFALLKARGNNLSLRITAMGVLGFAGARVFMSPSTLCQGGVSEAPATRTAV FRNGGTNDRNNDDPSNFIATVLRFLCGAAVGSVVCPIVTEAAIPLAIGVVFGVHVASL TGIFEFTWTDVEKSFVSTYIAKGQVKWGQLRVDVMQFLKLEESPELMHAVAGFHKWAK HNLYSVEFALGVLWYV H257_00694 MPPRFALLKARGNNLSLRITAMGVLGFAGARVFMSPSTLCQGGV SEAPATRTAVFRNGGTNDRNNDDPSNFIATVLRFLCGAAVGSVVCPIVTEAAIPLAIG VVFGVHVASLTGIFEFTWTDVEKSFVSTYIAKGQVKWGQLRVDVMQFLKLEESPELMH AVAGFHKWAKHNLYSVEFALGVLWYV H257_00694 MLARESGPVLSQLQSEMFLKQATRCVEAKGTLVRALSTRSGAVS VRPSMPPRFALLKARGNNLSLRITAMGVLGFAGARVFMSPSTLCQGGVSEAPATRTAV FRNGGTNDRNNDDPSNFIATVLRFLCGAAVGSVVCPIVTEAAIPLAIGVVFGVHVASL TGIFEFTWTDVEKSFVSTYIAKGQVKWGQLRVDVMQFLKLEESPELMHAVAGFHKWAK HNLYSGTTTVTTDGILLPSLRS H257_00694 MPPRFALLKARGNNLSLRITAMGVLGFAGARVFMSPSTLCQGGV SEAPATRTAVFRNGGTNDRNNDDPSNFIATVLRFLCGAAVGSVVCPIVTEAAIPLAIG VVFGVHVASLTGIFEFTWTDVEKSFVSTYIAKGQVKWGQLRVDVMQFLKLEESPELMH AVAGFHKWAKHNLYSGTTTVTTDGILLPSLRS H257_00695 MLTQSIRAVEHKSSLVRTLVARAVRSSAVSAPLKFNGQHLAVRM TALGVLGAACVSTFNVAQCQAAITRTLKAENASGGGSSGKKSDDPVSRLIDQYAGSVG QVSFGGAVGFCAGMAVKSIGKVAAVAIGVVFIGAQMAASAGYIQIDWKKVEKDAIAAV DPNGDGKITPDDFKIWWKKFLALSKHNLPSSGGFAAGFFLGLTYA H257_00696 MRTNSPPDVGSNNWGRDMGSRREDSSVPPLDKMDPGLDITDFDR QAKAKHVFVPRPRRVTSPELIFRGASLDRCEKEELCSFNEESVDMDVECGDKWNFRSI GRPDVNLDTPPTRAGNPVIMDERFHEHSNVSSSMLYRPIARPMTAMPNAERVAWWNAA SS H257_00697 MTLTHAEILAKPYVRGETDLFEYLTALMSTKILIFDGGMGTMIQ KHKFSEEEYRGDRFKDWPHLVKGNNDLLSITQRDVIKDIHKEYMTIGGAQLIGTNTFS GTTIAQADYHMEDLVYEINFESAALAREACDELTALDPLTPRFVAGSIGPTNRTLSIS PNVEDPGFRNVTFDELVQAYYEQIEALMDGGSDILLVETIFDTLNAKAAVFAVNKYQA DKNKKIPLFISGTIVDMSGRTLSGQTTEAFYVSLRHSKPFCIGLNCALGANQMKPFLR RLANVAECFVSVYANAGLPNAMGGYDDDPLLMAKYCGEFCEEGLLNMIGGCCGTTPLH IKAIADEAHKSPPRPQYVPKEPFMWLSGLEDMVVTKERFSFLNVGERCNISGSIRFKK LIIKGDYGTAMEIARAQVEEGAMVVDVNVDDGMLDGVAAMERFLKIAVTEPDVSKVPF MIDSSKFHVVEAGLKCVQGKCIVNSISLKVGEDEFVRHAKIVKSHGAAVVVMAFDEYG QAATEAEKVRICKRSYDILVGPRVGFPPEDIVFDPNILTIATGMEEHNNYGVDFINAC KVIKEQNPYCKISGGVSNLSFGFRGVNVIREAIHSVFLYHAVQAGMDMGIVNAGMLQI YDDIPKDLLQIVEDVVLNRNPEASEALLERSLLEREKADAAKKGGTGVVVAQQEWRTK PVGERLTHALVKGISDYIDNDVEEMRLLCDRPLHVIEGPLMDGMNVVGDLFGAGKMFL PQVIKSARVMKKAVAYLLPFMEEEKLAQQAKDRADGIVSEDMDEDSMYAGKVLLATVK GDVHDIGKNIVGVVLGCNNYKIIDAGVMVPCEEILRLAKEHNVDIIGLSGLITPSLDE MVFVAKEMAKAGMTMPLMVGGATTSKMHAAVKIAPQYSTIDHPVIHVLDASRSVVVVG NLLKPEEKADFAEEILEEYEEMRDDYYASIDDIKMIPYEKICAKSFKINWATNPPFGK TNQLGNRVIDDVPLDDIVPFIDWNPFFQTWELRGRYPNRGYPKIFDDENVGAEAKKLF DDAQTMLHEIIANKSMQVRGVCGIYRAARKDQDVVLYDPEHRDRELASFCMLRQQAEK ETDEPYMSLCDFIAPVETGLEDHLGMFAVGCFGVEELAAAYDAKHDDYSKIMAQAIGD RFVEAFAEYIHREMRTKLWGYAQDESLVQEDLLKVKYDGIRPAPGYPSQPDHTEKKIM WDLLQAEALGLKLSENFVMMPASSVSALCFAHPESQYFAVGKVGKDQITAYAERKQQS VEFTEKWLSPILNYDRD H257_00698 MLRLSTRMLAHPILLRGGIHHPTRTLSTHAFRSHTCGELRVDDI GKEIKLSGWVDAIRSFGPMTFVSIRDRHGTTQLVFGKDNHVDSANLLKPETVIRVTGQ VRGRPIDMVNANMGTGAVEVVVDAVDTLNTTSPLPLQVSTGSEVANEDTRLRHRYLDL RRPALQQNLALRSNVSMTARNYLCQLGFLEIETPTLFKSTPEGAREFLVPTRSKNQFY ALTQSPQQYKQLLMVGGLDRYFQLARCYRDEGGRADRQPEFTQIDLEMSFVTQNDIMD VIEGMVKSIWKCANVSLDHAFPIMSYSEAMDRFGVDKPDTRFGLELKDLSDIIPVDVF GSSTTTTSSSTDVVRAINVKKLAKGGFSRKDMADLEALAKRLSVDGRGVYAVKIEDNI KWKSSVAKKLSAAQLDQVNDRLDVEDDDVLLLTCGSYANVCTLLGRMRLQTSQLLYAR GQLQEELDPFKYNHLWIVDFPMFEMDNDGLSATHHPFTAPREDDLAKLKALLATGKNA WEDPAMQNELLTIKAQHMDLVCNGWELGGGSIRLHSMELQQSVLQQVLNLPDVQKKSF EHLLNALGHGAPPHGGIALGLDRLVAILCGAPSLRDVIAFPKSTTGNELMTGSPGPVT ADQLSEYHICVAKTDE H257_00699 MAKESTKKIKTSTVADVVSRDYTINLHKRLHGATFKKKAPKAVR EIKKFAQKAMGTADVRIDSKLNKFVWSQGVRNIPYRVRVRLSRKRNEDEDAKEKLYTL VQHVQVSTYKGLSTENVEE H257_00700 MFGFGKPAPEGTPAAAPAAAAPAAAGGNQGGYSFDPTGLERAAK AARDLEKAKYAKEAFQMAKETEKTKQLEHQAKVKENEALYKAYEVQRIQKEGEETRKN MEAQTEHNQRRSQYQDQLKRKQWADQQAAQKLFKEQELKKQEEMLARQEASRRKTMEY EAELRTKTEMSKVAAEVEGRIKQERLNHDLHLEEARVRAKEYRETVMEGIKLATNTIG TGVMAFLGDSEKLSATVLSLSVLALGVYTAKVSTGVTGRFIEARLGKPSLVRETSRRS VVQVLANPIPSIKRVLRLGTPTDALAGVVLEPKLDERLRSVALSTFNTKKNRAPFRHL LLHGPPGTGKTLFAKALARHSGLEYAILTGGDVAPLGREGVTEIHKLFDWASHSNRGL LMFVDEADAFLQKRSNAVMSEDMRNALNAFLYRTGEASDKFMIVFASNQPEQFDWAIN DRIDEMVEFILPGLDERVRMLQQYVDKYLLQSNSKAKKIVVRDIETADLVAVAERIDG FSGREISKLVIAFQAAAYGNANSEFTKDLMDEVLEHHMVAHRQKEEWKAYEIPQNVHK LVSE H257_00700 MFGFGKPAPEGTPAAAPAAAAPAAAGGNQGGYSFDPTGLERAAK AARDLEKAKYAKEAFQMAKETEKTKQLEHQAKVKENEALYKAYEVQRIQKEGEETRKN MEAQTEHNQRRSQYQDQLKRKQWADQQAAQKLFKEQELKKQEEMLARQEASRRKTMEY EAELRTKTEMSKVAAEVEGRIKQERLNHDLHLEEARVRAKEYRETVMEGIKLATNTIG TGVMAFLGDSEKLSATVLSLSVLALGVYTAKVSTGVTGRFIEARLGKPSLVRETSRRS VVQVLANPIPSIKRVLRLGTPTDALAGVVLEPKLDERLRSVALSTFNTKKNRAPFRHL LLHGPPGTGKTLFAKALARHSGLEYAILTGGDVAPLGREGVTEIHKLFDWASHSNRGL LMFVDEADAFLQKRSNAGTYESTIDRQSCDFLWLLLE H257_00701 MQRDDRHKNFKKGIDTDDARRRREELTLNIRKTKKDEQVNRRRR MVGGSAPVDFEGMSGDFQSSDSAASASSNAQAYLSQLPEMVSELNSQDIEIQLAAVTK FRKLLSIENNPPIQEVINLSVVPVFTTFLKADNHPKLQFEAAWALTNIASGTSDHTRI VIQNGAVPLFVRLLMSPNDEVREQAVWALGNISGDSPACRDLVLEAGAVLPLLHQLSE RASPSMLRNATWTLSNFCRGKPQPRFDLVRPALTTLARLIYNQDQDVLTDACWALSYL SDGPNEKIQAVIEAGVVPRLIELLLHTNSSVQTPALRTIGNIVTGDDLQTQFVINEGA LTRLLPLLQSPKKGIRKEACWTVSNITAGSAEQIQAVINANILPPLIQLLATGEFEVR KEASWAISNASSGGTREQLHYMLQQGCVPPLVQLLESTDSKIICVCLDALENFLRMGS DEQEEHLTDDNKVAMLVEEYDGVNKIQQLQYHEAMEVYRKSLSIIETYYGGEDEEEGD LLPTEDPAAQQFTFGTGSGTMFNFQGQQH H257_00702 MLQPKRPKKKCVNLELPSITLYEPVLSVKPTRHHVPLDEVQAPT EGLQSPSKPKSPLRPVDKVATALGSKASHLAHRVIIRPIFEDRKELQAELARQILEQR MLDEDELDDTLPISDHVLHQSHDIFHARVLLPLDDIEPPTPRPNQVAPAVTSVPHTHR NPPRLHSPTVDHIVLSSPSSLQFSSSLSSFGGSSAPPSSLKMQTSTSSFPESDDRTSN RRRSVRPRSRDKSNDHSHAIFMVPEKLLCATKRASLPSSPSVASLRHPFQKLLLAASR LFKDDSGVDVDCTVSIHMLSTGDVTVAVSEMQVSVVLMAHATGTLPFEAKGLTLSAHD ASTFFLDEDLEVYSPLWAATLASHVSVEHSQVVLLPPTSRHVVLSMQQDVPSDLSPHP LLVQAVAVHNGLCLRVSPDHDLKAKSPPLHLHVSNTELARIILRMDNMHVSADHVAQL TQQKAWCAMFLRDMHALVELTLRQRQLSPLPVESTSATTADVTVLKASIAEEPAPHPP STASTREPGFASEDLNDVCRVAQSLAEIVLSNTVLWLQKQEAALLYSRAYVKSFSRRP GAADMVLASYQAKEHERRAMLQILDDLVVQFLHYECRVELHVAKASGGYNDIIATRIQ SAFRMCVERRKYTLHQRVRNSAAKLIQTLQRGISARKRYIERKLERERYLYFGFRSTL VAQSKWDNPSTRLAQRCANIEARRVTFLMGVLTGAFLFHKPMTTAMTMLPVSDVVSLL NRHGLVVGCPSNLGSTVAAAFQRAYGCHHSSTEVVSVAAVQTALISVLRGLQDDTFGR PLHHASLLPSSPEQVGEAVVPRWLLKATPSLHAANLAKVLALREATVESGRQSAHLIA YHFRVAVLRPMSFEQCRDEWHKDMTARYDELCRLAQSSVDELVLWGVEKLQEAVKVWG WDPDPMFEVFNVCLIHRLGKPALRQMELKFNEFAAAMNNHAKRVEIHPLRDEIDEELQ GFEKLLAWRCLNAAHDDHVTPASELLHVLLRGQHATDHLRMALATVLPVATYLEKVDI AIRLLADYASDSYGHSIVLLLWSCLDAAENATLEAGQLLEKLAKPSETGLSSLARALE LLTGSHLFCLLEFCVKVCPLNERVRDAIRAHVAPYVSEEGHVRGMKLEAHRICMTMCH MADGPERRRVVASRFGHAEMQTATEFWVIYERLFGEMDQGYAQVSMELHASTSH H257_00703 MIRAPSPASATLTTKDDVTMVFAGAAKFARYYFDREPVVVLATA LGAVGVLAPLVVVPIRRSLGYPTDQYDGPIIPDSLKPKQN H257_00704 MMQADDVTYGEEIELESQPLIEAPAPAVSILNLRVKTLSEKPLN IDISATASVGELKDMIKAKGEAEGKFLRLIHQGKMLNDDKAALLSCNIKQNDFIHCAM SNAPPKSLVQQMTHQQEEAALDEPTHRRGFDCLRDTLSREDVQALRLHFYPQVSTMIS QSVAREGESVEDRIYRIEEEWMAAQGPQSEFALNVRPRGGPMTIHDSAHHRIDMPDMS SVDNEGTTVDMVWGVAMGFVLGFFMLFLLWERTIPRRQKLGIVIGVAINLLLNFMQRL TPEGG H257_00705 MELAGPAPNKDDTEKDGNGSLSSPNPSMATTLSIVFAAPKVVVS EPRELVTTGDEVASAAVDKPLPEETEVTVMSQSPVNAEDTPLQLALQFESSYELERSG HQAPPIVEPRSLTKEAPTTPVKPAMGDLLALDNVNIELPPPVPVPLLPGYSTPTLDSL AESSNPLPVASPFRLDLQDIAMSPQDHKLASSSSFASSGRKSAPVARLTLDATASSAT PRQKLSPLHVEVPASPRRSSKTSMASPPQPISVPPSEDVASDWMVCRTDAGDIYYYNV KRQESQWTTPQPLEIQDHPPPPPPLHAPIDYEADCLHVAVSNGDLVRVQDLLAAGIAT DGLDDDGRTPLWYALEHLEIAVLLLNQGHSSKHQIVAPDMYGTTLLHVVTRQRNIEML TLLLLQADPSDESAPFSEPMDVDARDSHQQTALHVAATFGFRKCVEMLLAHGASPAVL DENSQTPIMLATLGGHVGSVQLLQVALSALLKQTEVAVQSEPVPDATRVHQLQEQVAA SAKALEENQRANDALRLEVDTYRYNLSVQLREKEALETAYRIANSRLAMLEALAKRTK EDHDHEKLLWQQKEAGYVEAHRHSMEATKSLQHDVDALLSTHFPSPHLKSSTPRSSPV PTPSKDTSPGKRSRLPSSNAVTEDISYEHAWALDHHDTDPMDGHQALYHEHHQLDQHQ LYPTHDFTPNQHTSPPRPSAARVDAVWAQFFTNAAAAASTKMDDEPSDRGLMQAVLDA DMDDVQARLTNGETPNMRDSLRRTSLHLATDRGDTAMLALLCDFLGDIEARDAKGNTP LHIACFRGHVGCVKFLLESASEVHVVNADGESVVHAAASGGSLPCLRLVLEYGASPLD RNHDGETAYDMLLELDGPVAPLLDCLQEAMAPPPKHTKKTFRGTPVPPAQYTSVEDDI DEFVSPPSSPLPQLPPHEVHIYDHEDDVVHGDLQHRGMTPDGWGGWIRGTASSMFGLA RVKPEHHEREEAATSPLKPPTDAEVLTTTKYHELVPPAHVTEAMQSSKFATPAATLLE LPQDVAHAMRATKSAPNRYAMAAGHHPKSMQRSMSRYVDTFNPTE H257_00705 MELAGPAPNKDDTEKDGNGSLSSPNPSMATTLSIVFAAPKVVVS EPRELVTTGDEVASAAVDKPLPEETEVTVMSQSPVNAEDTPLQLALQFESSYELERSG HQAPPIVEPRSLTKEAPTTPVKPAMGDLLALDNVNIELPPPVPVPLLPGYSTPTLDSL AESSNPLPVASPFRLDLQDIAMSPQDHKLASSSSFASSGRKSAPVARLTLDATASSAT PRQKLSPLHVEVPASPRRSSKTSMASPPQPISVPPSEDVASDWMVCRTDAGDIYYYNV KRQESQWTTPQPLEIQDHPPPPPPLHAPIDYEADCLHVAVSNGDLVRVQDLLAAGIAT DGLDDDGRTPLWYALEHLEIAVLLLNQGHSSKHQIVAPDMYGTTLLHVVTRQRNIEML TLLLLQADPSDESAPFSEPMDVDARDSHQQTALHVAATFGFRKCVEMLLAHGASPAVL DENSQTPIMLATLGGHVGSVQLLQVALSALLKQTEVAVQSEPVPDATRVHQLQEQVAA SAKALEENQRANDALRLEVDTYRYNLSVQLREKEALETAYRIANSRLAMLEALAKRTK EDHDHEKLLWQQKEAGYVEAHRHSMEATKSLQHDVDALLSTHFPSPHLKSSTPRSSPV PTPSKDTSPGKRSRLPSSNAVTEDISYEHAWALDHHDTDPMDGHQALYHEHHQLDQHQ LYPTHDFTPNQHTSPPRPSAARVDAVWAQFFTNAAAAASTKMDDEPSDRGLMQAVLDA DMDDVQARLTNGETPNMRDSLRRTSLHLATDRGDTAMLALLCDFLGDIEARDAKGNTP LHIACFRGHVGCVKFLLESASEVHVVNADGESVVHAAASGGSLPCLRLVLEYGASPLD RNHDGETAYDMLLELDGPVAPLLDCLQEAMAPPPKHTKKTFRGTPVPPAQYTSVEDDI DEFVSPPSSPLPQLPPHEVHIYDHEDDVVHGDLQHRGMTPDGWGGVRLPHARSMIMTI ACSGFEARRRRCLDWHVSSQSTMKGRKRRRRH H257_00705 MELAGPAPNKDDTEKDGNGSLSSPNPSMATTLSIVFAAPKVVVS EPRELVTTGDEVASAAVDKPLPEETEVTVMSQSPVNAEDTPLQLALQFESSYELERSG HQAPPIVEPRSLTKEAPTTPVKPAMGDLLALDNVNIELPPPVPVPLLPGYSTPTLDSL AESSNPLPVASPFRLDLQDIAMSPQDHKLASSSSFASSGRKSAPVARLTLDATASSAT PRQKLSPLHVEVPASPRRSSKTSMASPPQPISVPPSEDVASDWMVCRTDAGDIYYYNV KRQESQWTTPQPLEIQDHPPPPPPLHAPIDYEADCLHVAVSNGDLVRVQDLLAAGIAT DGLDDDGRTPLWYALEHLEIAVLLLNQGHSSKHQIVAPDMYGTTLLHVVTRQRNIEML TLLLLQADPSDESAPFSEPMDVDARDSHQQTALHVAATFGFRKCVEMLLAHGASPAVL DENSQTPIMLATLGGHVGSVQLLQVALSALLKQTEVAVQSEPVPDATRVHQLQEQVAA SAKALEENQRANDALRLEVDTYRYNLSVQLREKEALETAYRIANSRLAMLEALAKRTK EDHDHEKLLWQQKEAGYVEAHRHSMEATKSLQHDVDALLSTHFPSPHLKSSTPRSSPV PTPSKDTSPGKRSRLPSSNAVTEDISYEHAWALDHHDTDPMDGHQALYHEHHQLDQHQ LYPTHDFTPNQHTSPPRPSAARVDAVWAQFFTNAAAAASTKMDDEPSDRGLMQAVLDA DMDDVQARLTNGETPNMRDSLRRTSLHLATDRGDTAMLALLCDFLGDIEARDAKGNTP LHIACFRGHVGCVKFLLESASEVHVVNADGESVVHAAASGGSLPCLRLVLEYGASPLD RNHDGETAYDMLLELDGPVAPLLDCLQEAMAPPPKHTKKTFRGTPVPVRPTV H257_00705 MELAGPAPNKDDTEKDGNGSLSSPNPSMATTLSIVFAAPKVVVS EPRELVTTGDEVASAAVDKPLPEETEVTVMSQSPVNAEDTPLQLALQFESSYELERSG HQAPPIVEPRSLTKEAPTTPVKPAMGDLLALDNVNIELPPPVPVPLLPGYSTPTLDSL AESSNPLPVASPFRLDLQDIAMSPQDHKLASSSSFASSGRKSAPVARLTLDATASSAT PRQKLSPLHVEVPASPRRSSKTSMASPPQPISVPPSEDVASDWMVCRTDAGDIYYYNV KRQESQWTTPQPLEIQDHPPPPPPLHAPIDYEADCLHVAVSNGDLVRVQDLLAAGIAT DGLDDDGRTPLWYALEHLEIAVLLLNQGHSSKHQIVAPDMYGTTLLHVVTRQRNIEML TLLLLQADPSDESAPFSEPMDVDARDSHQQTALHVAATFGFRKCVEMLLAHGASPAVL DENSQTPIMLATLGGHVGSVQLLQVALSALLKQTEVAVQSEPVPDATRVHQLQEQVAA SAKALEENQRANDALRLEVDTYRYNLSVQLREKEALETAYRIANSRLAMLEALAKRTK EDHDHEKLLWQQKEAGYVEAHRHSMEATKSLQHDVDALLSTHFPSPHLKSSTPRSSPV PTPSKDTSPGKRSRLPSSNAVTEDISYEHAWALDHHDTDPMDGHQALYHEHHQLDQHQ LYPTHDFTPNQHTSPPRPSAARVDAVWAQFFTNAAAAASTKMDDEPSDRGLMQAVLDA DMDDVQARLTNGETPNMRDSLRRTSLHLATDRGDTAMLALLCDFLGDIEARDAKGNTP LHIACFRGHVGCVKFLLESASEVHVVNADGESVVHAAASGGSLPCLRLVLEV H257_00706 MVRTPVTTVARASQFSELLLPPPQSPTRVATSQGNRRPRKESLM YPEGSPHRIHAMHKQDNVLELIQGWSSRVGDLCPYIAPSAVQGVVRHSHGSPKQRRPL RHSATTTQCTATKLPSPKRPAWDTSTQPTTSAETACNLHIILHNHKLALVHRTSHLST PPTYLSKLQGTAPVYGLAMLSPADEARRAAPVDFAKSATWTLKVHPLHAINLVDPCRM RKAHVCSRLLRPFVEVVCPDGGGAAKRCKPSVSSGPAPIWSNGWLVVPLSIPPSTSSC PTEFQVCVLNKCCDNDIVVGTTSVSFGNADGNHQGCAFYTLRNRRGKPTGQIKLMFVL QPADTSPPVLPQCQQLEAALSPRAKFLFGDELRMKKSNLKHVETSHVVVVKAPIQRSI EETQAMLSRIEASVRGSTTGVQLWPERDFGGEVPVGEGIHACVKQVQMNGTMLAVKEY RYQTAQVVPPHGVVRAFQHEVDMLLAIHHDNIVGLVGVVLHPRLALVTEYMDCGSVYL CRHNPRLWTSITLEQKGYIALQIACAISYLHQASVIHRDIKSHNILLSGLSNSTMPTA KLCDLGSAFVYTNTKPTEEVGTSGYIAPEVASGHEYSFPSDIWSFGIFLWEVLTPSTC SNPFVGQTNDTFVDQVTSGIRPSLAFAGVYAPILDECWRLIPSERPSADTLVAQLTAL LQ H257_00706 MVRTPVTTVARASQFSELLLPPPQSPTRVATSQGNRRPRKESLM YPEGSPHRIHAMHKQDNVLELIQGWSSRVGDLCPYIAPSAVQGVVRHSHGSPKQRRPL RHSATTTQCTATKLPSPKRPAWDTSTQPTTSAETACNLHIILHNHKLALVHRTSHLST PPTYLSKLQGTAPVYGLAMLSPADEARRAAPVDFAKSATWTLKVHPLHAINLVDPCRM RKAHVCSRLLRPFVEVVCPDGGGAAKRCKPSVSSGPAPIWSNGWLVVPLSIPPSTSSC PTEFQVCVLNKCCDNDIVVGTTSVSFGNADGNHQGCAFYTLRNRRGKPTGQIKLMFVL QPADTSPPVLPQCQQLEAALSPRAKFLFGDELRMKKSNLKHVETSHVVVVKAPIQRSI EETQAMLSRIEASVRGSTTGVQLWPERDFGGEVPVGEGIHACVKQVQMNGTMLAVKEY RYQTAQVVPPHGVVRAFQHEVDMLLAIHHDNIVGLVGVVLHPRLALVTEYMDCGSVYL CRHNPRLWTSITLEQKGYIALQIACAISYLHQASVIHRDIKSHNILLSGLSNSTMPTA KLCDLGSAFVYTNTKPTEEVGTSGYIAPEVASGHEYIYIAPTCTSPMTSYVC H257_00707 MLRMFSKRESNNSITVSSKHQSRSGICTDEDDPTTEFPALVLQL AVAEEKLKCASSLLVKHSNALAEVLQTTTDIKALTSTQFQPRDGASNTTALAREQKLF SDSVAKFRTTAIAPLQALLSSFPQLKHRIDHRKSALGTWRRYQKKVDDMAAKDDSSAR LHRNRLKCMAAQERFQQLDKDVQREMGHVLSLDLGMLIDVPMLQLADAQQLWAATMQS SAAHLRSFIASAPPQPPTPPPSPPRPPHPTLVQATIRQPGCCASTSDEDNNMRLSFKH QHSSMDGGGDSDEPEALISIKLPPRMGRGVAEDDVNVLDKARSMRPKKQHTMGTRTWH MHQHAKQSLAQGLDVLDAVKLPPGFGREEWVAVHAQDFFNEVSLLYGTISELCTPATC PEMSAGPCYTYLWADDNGGTPAPCSAPAYVTKLLMWIDGQLGDPDVFPDSGFESNKAF AVVSRNMFKRLFRVYAHIFHSHLPDFAALHAESHLNCSFKRFVSFVLEFDLVEAKELN ALRKLICDAVPGKL H257_00708 MRSSSPGSPAEAAAVEHALRLQVVILKADLEALRLEKNDQETFY KLRIESLEREIQSLRDFSSSSTSATTAPMVPPPTSRHWLRYIGQLGRKSAPRHQLSKA PAGGFHTIQHATADEDLQPPPGHPSEHKGMYQRVLGIFRIGRLRTLWPRKKHQRPVTQ RASTNEWHAKFGDNGRVYFVNPNAATTTASSAETMDLPFSNSPSRRLGDGRSRAKLNN ISGDSSWV H257_00709 MRGQYDSLESPKAGIMSAEKYDKLVGEVSKALGHLNTTVRAVDQ KVSLFGTSLDSHSSHEKLRELLGNGNKLISKIEKRLKALGDDIKGQSGPVVRARSNTL KKLSTDFKTQHDLFSQSSQNAKVAHVNLPSAAQPSAINQPRPAPGGFTNYHEDQFLAQ AQVTTYDEDDLVRREEDIIHINHQLREINAAYKEVDGLINDQHEVVVEIADNVQEARN ETHGALEHVQKADGRSNYCNCSKRKLYCYGGLAFIFVLMVLGVIIAVTK H257_00710 MSLEMVPGAKCYVPDEKDVWLPAEVIGQTPGTKEIKCKVWLVDG TTEERVVNLDDKKTRALMSGKGESEDNVETLPFQNENVGDEGIEDMITLNYLHEAAIL YNVKTRFLKELPYTYTGDICIAVNPYKRLHDLYAEHQHIRYLNFPREELPPHVYATSV ASYENMKTASRNQSILVSGESGAGKTETTKILMNHLATIAGGMNDGTIKKIIEVSPLL EYFGNAKTVRNDNSSRFGKFTQLQFDRVGTLVGAKCKTYLLEKTRVISHEHPERNYHI FYQVIDSGDTASELFLDPAAHYRYIGEKSTAMIEGQTDFEHFNITADRLSLIGFDHAA QMDLYKTLAGILHLGNVSIMSNPANDEESMISPGDEAAAHAIALMGLTPESLQKALCS RTMRARNDVYSVPLKKELAMDCVDALSKAIYSRVFDWLVELINVSLADDKRMDHHIGV LDIFGFEHFKHNSFEQFCINYANEKLQQKFTSDVFKTVQIEYDEEGIQWEHIEYADNQ DVLNVIEDRIGIISLLNEELKKTKGSEKGFMSTITSINKDLYKPSVIEFPRTSQTEFI IKHYAAPVKYESIGFLEKHKDNLLPDLSDLMRSSSMAYIQALFHEKKVEAKVEPTTKR RGGSMMNISTVGTQFKDSLTELMTSIQSTSVQYVRCIKPNSIKSSSTMEHAMVVSQLR CAGVIEAIRISRAAYPNRQTHDEFLAKFRLFVPSGPGSSKEKCQQLMDKLKLVSPTQY QMGWSKIYFQLGVLEELEDRRKKFLDKKARFLQNVMRGWTQRLKYLHTLEAIVTIQAF IRCVNAMHRYNTFLKGLTLLQARVRGVQGRKVALAVKRNHCAVLIQRHVAGYAKRHTY QRKRKVIVRVQSYVRMHLQRPKFLAALREKKMEADMKYQLQALQNRLAEEQKRSAELE QEKQAKDEAIMADAGDMIGSLKDANEALKKENDDMKHQIAAYKADLDKLKAHTEIQLS QNFVKLKQEQDQTQALQKKVDAQAAEIVKLKEQVAKGGSPAAASSSSSSAVAGRLRNK LFRQPANKNIAPPAVVAGPMMDSVTEDKDVFPSSTSVTVSSAVDVLKKATQFKRPTFW RSDSTTAHLAEPAKSSELQRDSDPDGTNKPSDYFEFKTSATSSSSITNDSTTKTDLDS LESAPRSSVINSAMAQGRSGISSLTNRMVKNILGGKKDEKNGDAKDGRSSLTNSVTAA AAAAVAKQPSRPVTAPQESFNLDSLPEAPLPNGWDAKVSRNNGKVYYVNKTLKLTQWD RPSIETLKLMKQAKKQQELPPQ H257_00711 MTVYSWGRGEDGQLGLGDTSDQYRPVVVEALRERIVVQIACGSG HTVVLDDKGDVYTWGRGDDGRLGHGDNGWKFVPRLVESLQTKQIKQVTCGSYHTAAVT VSGELYTWGGGMYGKLGHGNEVGHSVPYLVETLSNLKVDQVACGSRHTVVLLQNSDVY TWGDKENGVSGQGDTDGHQYLPCAVEELKNKGIKQIAACGFHTAALSDKGELYTFGEG KFGRLGHNNERNQIVAKVVDTLVSSPIRQVACGGFHTAAVSESGEVYTWGGGEHGQLG HGDKVNKTIPSLVEKLNDRVVVQITCGWSHTVALTNTGEVFTWGNGDHGKLGHNDQVK VTLPRAVDGLHGKRVVSVASYNEHTVALVDPVLAFRPLLLSSTYASDMQTLVDEPDFA DVVFLVESRRIFGHRAILAARCPHFKAMFSSGMRESRELEVPVPSIRIPVFLALLEYV YNDVVAADMTAEMAIELYACADMYGLDRLKGLCEVLVQKGLVVDNAGVLLQAADELHA SRLREICMHFIIRHFDYVTKTEGFHMLSRDLILETLQNR H257_00712 MDMDDQELLQQSMQQGLSGEEAQEHSDLNLRPKTMFDVKGRARP SETANDNYLPQWSKDGEGFKKQYSLAEDRDRRKNKVVIRYSREELLAMHVVSSGPPEC PEGTPVLALDSLPPVANIPFDYDEIYKQWAANKERTRQPGRGRGQPRADGNTSGATPS TANRTNSSTANAAGGRVGGNDSNNRHTSEDLNDASSGRKWNDKRTGGEDSWERGARIV PSPNGGNDKDDLWDDVDPSGGEFKDMGLSNFAEAAELFRREMDEMHRAGGARAELRQQ PTMESEDQFADSVEEEDEPPMWDMPASEPVAADLSSWSTFNDHQPPPHVTPVPVDAWF YLDPQGLQQGPFKSAEMREWFEAGYFKPHLPIRFGLEGDFASLANHFRHGQIPFSTVP SPTTTPGANHQQQLLLQKQQQQQLLEQQQFLANQLQQQRVLEQRRAQEDQQRRALQEQ QRVRLEMQQRIQLQEQAGRALQQQQQQQQQFHYQRPQAILPGAAAIGSGWGRPRDNIV PGAGDSMMGGLGMYAAGPPTQAPKPVTTEYAAPSWDEDTTGSSLWNSSVKNDPELLGG AWDKPADEEPSAAASWGGADSSGWSKEKQQQPTTPVVSWNKPPESDGWGGPEPAAPQA SPKSSWSKPDVVPQLGSWGRQTSEADVPDVVKEADSTWEATTPNTPSLKQIQQEEQES MRRRLKPSSSSSSSSSSSQQPPPSPTPDQQPHLEDMGQQLKRMLGVSNPSPSKSWGSK TAAATPPAPITRSLSLREIQAEEERLAQLKRQEKPVASSSRWSSVVTGMPPPYSSARP APVEVKATPPKDRDASFWNFDASAATAASPSSHTPAYNNGSDDLVAWSSKQVKKLGGT EDLTLIQYCATLEDPGEIREYLAAYLGSTPKVSAFATEFIQKKKKHVAGKKQSAADAK AASQQKKKPHH H257_00713 MKSSFVAACMSAASVLAYDIYRLRIPNGLTTTTDGVSAVGHVNK YGRGRATPFGRDFERLGGKWTQELCEKDSDGDGATNGQELGDPCCTWKVGRPVLKDPT SPGHKNSFTQAQLAALKCTDDTIASREKKQRHGVPDEL H257_00714 MFEYIRTTALRNDRRNRCLKDPSVGHSPLATRSFAMFFWRNPSP ASGHDGDDLSVGRTKEEMLRCNRCDEFVMLPIEIVANIVECLTHMEIHHLEAAHPRFR MQVEGYNCWKQDVVRRIVLDKTQWFRTASATMTHFSNNYRAMSVWKRLGCLAHLAGSS KYGQDNLIADVLKFSSADRESEGPGNTLRPSKCWGEIQRFSDELDTPYNLQELSSFSM FRLLTRRQASLGETIQMLCGCSSGNSCYWSSAASTTQNTEESIYYRMRGHCVVRAVSV LPYRVFWHPNAPTYGPQQVSFSFYANERNVDSEHEVPFYVSPVFDVVNDMVEQSFELP VRVLLQDGYMRVNLIGRHQAQTFDVPTWMQAHGDDNTPKYYCCLSQVRAHGVMMDFDT SSP H257_00715 MSIARRLGITAVSVAGLAFGVDTATNRVPTRVGRVAKAGAETFV DFKRNVPVKPDDDTEDANDFTRTLLRNEYKAALREYNLRTAERLLHVCKQNGGVFTKI GQQLASLNHALPVEYTSTLSVLQDRALPVSFEEAKAAVERELGGPLDKFFREFNTKPI AAASLAQVHHAITQDGNEVAVKIQYPQLAKTLASDLWTVRQAIYAVERIWDINIRWII PEIEQALEAEMDFNAEKQNSRNIQRLFRSTPFVYIPDVYDELSTTRVLTMEFIHGVKV TDLDSITQTLGLDPVDIAGKVSAMFAEMVFCSGFVHCDPHPGNLFVRRHPHRPSEAQV VLLDHGLYRQLDDDFRRTFCRLWKALLLRDNKLLLECGDRFHVGPYAKFFPLIFTYRS MDSKTIMGGQMSADEKLALRRDLKALQGTNVNEFFEHLPRDMLFVFRSTNLTRSLNKE LGGNSRQRFQIFGKYALKGLVQDQAATGAVLTTWQSIWWTIEYANLVTRLRLIDWGMY LYQSYYGISVDPDKSVG H257_00716 MPDITRDAELLKNLGRLVETIPSINHETGTSIAAFGDLEVIGAE EKPHVLFEFQEVADPSIPFYLQGSAQFQEADALEQRRQLKLSHNVGEAILQYWHVFPK LVVIDEHVITKQEYMQVMLLIFKVLRNDFERSTATVQIEKDWIIDSKSSQYMNTTTFF DALFEVVDVWTCDIQEATYIGFLNLLYRRISVRAIVFFDGTVIKVTLDDKTKTLHELM AEAVPLATLSAFSHIARYMGDSSITTMGDLAKAEPVDIERMRLAYIQKNDLSTEMFGS DLFQVLELMGRVASGEVNSLNSLVSLTRADPALIDCVRQAFISARHISTTEQTVMERI LEELLKFGVNPQTLAGESMAKETYMNLFRLFVVKTGEEIADLAKRDLIRIKDQMERHG LYVPEDMLEAKYKEFFETVINTTGAEVVQGAKQWIMTHSNEGSLASLIQKEYDEFKPL DEAKAFTADDAEFTALTAEAPDDDDDDNDTNVVIPARKIPPKPAKAALAVLAPKPAPP SKLAPPPVVPLKADTPKPVAVVPEPPKQPVPSPVLKVVEDQSREKAESTREESDYDQQ SVQVEVDNNSRKPSEMSTSETPVPFTVPVAEVTRAPTPEESRAVEQQNESWPSEAESM ADNDTYETPMTPPRQDKAEEVRPPSPEKAPAPVQVDKSIVDEFLARAKPPALARDPSR KSTLVEYNVLPPPPRSPKEPKAKRKKIKDPPPARLAKILVGGLTQESVPSIARYIHLL GFGEVLHAKTKEEAVDMCDPNLGPGVDLVCFVIGSRLEAAIPVLRVLMGLVGPRVILI GGDLNDPIKTSAKALESVAEGALYFATIPIDYMALRGKMQVVLENAPQKFIFRRKQEQ PSSPLFKALAQSSDVKATKQTTEAKTSPTYSSSKPLDNSFKAPPALTPPVHGDPPTIM IKRPSKRSWFGARLPSLRDMLGGRLQSSKSSFMSLRVKMSSKEGADTPVVPPTPKPKS TSFQAIKRFTASFRE H257_00716 MPDITRDAELLKNLGRLVETIPSINHETGTSIAAFGDLEVIGAE EKPHVLFEFQEVADPSIPFYLQGSAQFQEADALEQRRQLKLSHNVGEAILQYWHVFPK LVVIDEHVITKQEYMQVMLLIFKVLRNDFERSTATVQIEKDWIIDSKSSQYMNTTTFF DALFEVVDVWTCDIQEATYIGFLNLLYRRISVRAIVFFDGTVIKVTLDDKTKTLHELM AEAVPLATLSAFSHIARYMGDSSITTMGDLAKAEPVDIERMRLAYIQKNDLSTEMFGS DLFQVLELMGRVASGEVNSLNSLVSLTRADPALIDCVRQAFISARHISTTEQTVMERI LEELLKFGVNPQTLAGESMAKETYMNLFRLFVVKTGEEIADLAKRDLIRIKDQMERHG LYVPEDMLEAKYKEFFETVINTTGAEVVQGAKQWIMTHSNEGSLASLIQKEYDEFKPL DEAKAFTADDAEFTALTAEAPDDDDDDNDTNVVIPARKIPPKPAKAALAVLAPKPAPP SKLAPPPVVPLKADTPKPVAVVPEPPKQPVPSPVLKVVEDQSREKAESTREESDYDQQ SVQVEVDNNSRKPSEMSTSETPVPFTVPVAEVTRAPTPEESRAVEQQNESWPSEAESM ADNDTYETPMTPPRQDKAEEVRPPSPEKAPAPVQVDKSIVDEFLARAKPPALARDPSR KSTLVEYNVLPPPPRSPKEPKAKRKKSGHVDLLCRLCVPSIARYIHLLGFGEVLHAKT KEEAVDMCDPNLGPGVDLVCFVIGSRLEAAIPVLRVLMGLVGPRVILIGGDLNDPIKT SAKALESVAEGALYFATIPIDYMALRGKMQVVLENAPQKFIFRRKQEQPSSPLFKALA QSSDVKATKQTTEAKTSPTYSSSKPLDNSFKAPPALTPPVHGDPPTIMIKRPSKRSWF GARLPSLRDMLGGRLQSSKSSFMSLRVKMSSKEGADTPVVPPTPKPKSTSFQAIKRFT ASFRE H257_00717 MIRHSILRAASQVRVTGHARSNLPVRHMSFFSRLKEEIQAELNK DVVKETTDKSQEAKEAFEKRAKQTKDTFEETLRQAKTEASKSEYYQDAKSKFFDPLND MKSKVVGSAPEGVQETLKETLYEVFGWNKKKSVEETLTSSLADTRAPKETSSDDDDEA AATPAYTGTTAMVVVKGEQTAWERVASRLRETPIIQGLLDAANQAAKTEAGKVLGSTA KKARDKVGDATEDVREYWETSQNPWVYRMSSIYDGLFGETPESIAIKEIRRADPSFDV ETWKDAVAENVVPHVLDAFLRGNSRDLKQWMGEAAYNTVNMAIRERKADGLVVDPNIL AIRNVTVIALSAEDKQAPIIGIQLMAQQINCIRNREGEIVEGADDDIQANFYIFAFRR EYDEEASALKWKIVEFGVIGSVPYI H257_00718 MVIGAGVGTVVCLEVPVGSEFGVDYEAFRTAEKFRGVKLIPSGL HFVFYASNGEHDNGNGIRQGFFVEIKPNDVVIRTWSSDTEELVPMTREADVENLTRAV HGFQLDGNLGAYPQKHAKTWRRLSKYITKEVLAQCGLTVGGTISPGDPDTLSLESNDL TTLKPFFPDVAQVARFTPLKKPSTTQRSAGDLTLYHVDSSEHLEWLLSTHFHNDWHAL LGELQLSFVLFLLLSSLDALHQWKQFVWLLCSCEHAVTTQPALFAAFLQLMHVHLEQV GADFFQDEIAHDNFIKTSLASLFEILHDDTLDAKLLQRAAKLEQFLKQRFQLQFDVMG TYAFGGDDDSAPTVLLPDELPSFVFTQDAADTDEANRRIAQHLHSTRTSPS H257_00718 MVIGAGVGTVVCLEVPVGSEFGVDYEAFRTAEKFRGVKLIPSGL HFVFYASNGEHDNGNGIRQGFFVEIKPNDVVIRTWSSDTEELVPMTREADVENLTRAV HGFQLDGNLGAYPQKHAKTWRRLSKYITKEVLAQCGLTVGGTISPGDPDTLSLESNDL TTLKPFFPDVAQVARFTPLKKPSTTQRSAGDLTLYHVDSSEHLEWLLSTHFHNDWHAL LGELQLSFVLFLLLSSLDALHQWKQVHTMRSWHDECCCITITISSLSGSCARVNMPSR RNRHCSPRSCSSCTSTSSKSGQISSKTRSHMTISSKLRWRRSLRSCTTTPWMPSCCNV LRNSSNSSSNASSCNLT H257_00719 MTARKAALVFGGLSVYATATLGTYIYMYDPDKGNAKPITGGERQ ARFDKNSAEYDNEIDWDETMVGIKLMRRFLLRNASGSVLEVAAGTGRNVPYYNQDSNV LLTDLSASMLGQIKHLPSHIRTSVMSAEDLDAPTHSFDTVVDTFALCSVDNPVRMLEE MQRVCKPNGRILLLEHGKSSYSWLTYVLDKFALKHAERWGCFWNRDILKIVADAGLEV ESSYRFHFGTTYYIVARPRQR H257_00720 MKYLAVSGGTVSGLGKGITISSIGVVLKACGLRVTSIKIDPYLN CDAGTMSPFEHGEVFVLDDGGEGDLDLGNYERFLGITLTKDHNITTGKVYQQVIRRER RGDYLGKTVQVVPHVTDSIQAWIERVAQIPVDGDSTPADICLIEVGGTVGDIESMVFL EALRQFQFKVGVDNFCLVHVSLVPVMGSVGEQKTKPTQHAIKELRSAGLTPDVIICRA SSQLEPATRAKIGMFCQVSQNHVLSVHDVSNIYHVPLLLARQGAASILLSKLRLMDKY LEPNLDAWAAMAHRVDNFTKTTKIALVGKYTGLQDSYLSVIKALKHAAMKVDHDLVIE WIESVDLDLVTRNAEPEKYAEAWAKLKGCAGIVVPGGFGDRGVDGKVLCAQYARENKV PYLGICLGFQVATIEYARNVVGWKNANSGEFDEFTEKKVVVFMPEINPNEMGGTMRCG ARSTVLEPSRDSKRSLASYLYQNKPEILERHRHRYEINPDFVADIEGAGFHFVGRGDK GLRMQIAELPRDVHPYYFGTQYHPEFKTHPSDPSPPFYGLLLAATGGLDAFIQASDAA DFHS H257_00721 MGNSMHKDKLALSFGGPGVPETDMSKVDGAVRELYVNATRLVEE NKHTLSKIEDYKGCQEHIRKSMSSPTNESYRRDSLSHLIPNVELIQDFYNAAHNQGVV FHELVSMLAGRGSQAHPALYKRLADVLVFCLTFDTLKVGTTLDRSFFHHHVVVSFQAT NPSIQNDLSHYRRYIAANKDNQSDLVPETMANSLSFFVADHMPMLKAIIHTLHDDNNP HTRRSVAQLANLTCTYVVSHRDRLDAATELYCLRAMTAAILVYDHTSEKGAFRTKSTV KIKQCVQALQAAKKDNAAVAPLQDCLQYLSRHFNDTQTPHRIRSMFE H257_00721 MGNSMHKDKLALSFGGPGVPETDMSKVDGAVRELYVNATRLVEE NKHTLSKIEDYKGCQEHIRKSMSSPTNESYRRDSLSHLIPNVELIQDFYNAAHNQGVV FHELVSMLAGRGSQAHPALYKRLADVLVFCLTFDTLKATNPSIQNDLSHYRRYIAANK DNQSDLVPETMANSLSFFVADHMPMLKAIIHTLHDDNNPHTRRSVAQLANLTCTYVVS HRDRLDAATELYCLRAMTAAILVYDHTSEKGAFRTKSTVKIKQCVQALQAAKKDNAAV APLQDCLQYLSRHFNDTQTPHRIRSMFE H257_00721 MGNSMHKDKLALSFGGPGVPETDMSKVDGAVRELYVNATRLVEE NKHTLSKIEDYKGCQEHIRKSMSSPTNESYRRDSLSHLIPNVELIQDFYNAAHNQGVV FHELVSMLAGRGSQAHPALYKRLADVLVFCLTFDTLKATNPSIQNDLSHYRRYIAANK DNQSDLVPETMANSLSFFVADHMPMLKAIIHTLHDDNNPHTRRSVAQLANLTCTYVVS HRDRLDAATELYCLRAMTAAILVYDHTSEKGAFRTKSTVKVTRHFQLHYIKNSLE H257_00721 MGNSMHKDKLALSFGGPGVPETDMSKVDGAVRELYVNATRLVEE NKHTLSKIEDYKGCQEHIRKSMSSPTNESYRRDSLSHLIPNVELIQDFYNAAHNQGVV FHELVSMLAGRGSQAHPALYKRLADVLVFCLTFDTLKATNPSIQNDLSHYRRYIAANK DNQSDLVPETMANSLSFFVADHMPMLKAIIHTLHDDNNPHTRRSVAQLANLTCTYVVS HRY H257_00721 MGNSMHKDKLALSFGGPGVPETDMSKVDGAVRELYVNATRLVEE NKHTLSKIEDYKGCQEHIRKSMSSPTNESYRRDSLSHLIPNVELIQDFYNAAHNQGVV FHELVSMLAGRGSQAHPALYKRLADVLVFCLTFDTLKATNPSIQNDLSHYRRYIAANK DNQSDLVPETMANSLSFFVADHMPMLKAIIHTLHDDNNPHTRRSVAQLANLTCTYVVS HRY H257_00722 MQLASSVLSVEEDELPWQSLQQKRIRTFIPDLDDILGGGVAVGE ITEICGVPGVGKTQLSMHLALCAQYCGASASAESIYIDTEGSFLVPRIVSLAQSMAIA RRDDVPTGTPPLTKEDLLRGITYFRVYDYQEQHDVLVSLSRHLASRPKVRLVLLDSAA FHCRHAFDEMQGRARALHNMTVVLRKLVCEFPIAVVLVNHVTTSVGLPGSDSTIVPAL GEAWSHAISTRLLLGWEHQTRAVQVVKSISEGPSMAHFEVTEHGIQPSSRKRSRSPSD GCDDAVSSPMC H257_00722 MGVSAFDVFQQSLQQKRIRTFIPDLDDILGGGVAVGEITEICGV PGVGKTQLSMHLALCAQYCGASASAESIYIDTEGSFLVPRIVSLAQSMAIARRDDVPT GTPPLTKEDLLRGITYFRVYDYQEQHDVLVSLSRHLASRPKVRLVLLDSAAFHCRHAF DEMQGRARALHNMTVVLRKLVCEFPIAVVLVNHVTTSVGLPGSDSTIVPALGEAWSHA ISTRLLLGWEHQTRAVQVVKSISEGPSMAHFEVTEHGIQPSSRKRSRSPSDGCDDAVS SPMC H257_00722 MGVSAFDVFQQSLQQKRIRTFIPDLDDILGGGVAVGEITEICGV PGVGKTQLSMHLALCAQYCGASASAESIYIDTEGSFLVPRIVSLAQSMAIARRDDVPT GTPPLTKEDLLRGITYFRVYDYQEQHDVLVSLSRHLASRPKVRLVLLDSAAFHCRHAF DEMQGRARALHNMTVVLRKLVCEFPIAVVLVNHVTTSVGLPGSDSTIVPALGEAWSHA ISTRLLLGWEHQTRAVQVVKSISEGPSMAHFEVTEHGIQPSSRKRSRSPSDGCDDAVS SPMC H257_00722 MHLALCAQYCGASASAESIYIDTEGSFLVPRIVSLAQSMAIARR DDVPTGTPPLTKEDLLRGITYFRVYDYQEQHDVLVSLSRHLASRPKVRLVLLDSAAFH CRHAFDEMQGRARALHNMTVVLRKLVCEFPIAVVLVNHVTTSVGLPGSDSTIVPALGE AWSHAISTRLLLGWEHQTRAVQVVKSISEGPSMAHFEVTEHGIQPSSRKRSRSPSDGC DDAVSSPMC H257_00722 MQLASSVLSVEEDELPWQSLQQKRIRTFIPDLDDILGGGVAVGE ITEICGVPGVGKTQLSMHLALCAQYCGASASAESIYIDTEGSFLVPRIVSLAQSMAIA RRDDVPTGTPPLTKEDLLRGITYFRVYDYQEQHDVLVSLSRHLASRPKVRLVLLDSAA FHCRHAFDEMQGRARALHNMTVVLRKLVCEFPIAVVLVNHVTTSVGLPGSDSTIVPAL GEAWSHAISTRLLLGWEVGSTYCSQYLLLPSFQVTRS H257_00722 MGVSAFDVFQQSLQQKRIRTFIPDLDDILGGGVAVGEITEICGV PGVGKTQLSMHLALCAQYCGASASAESIYIDTEGSFLVPRIVSLAQSMAIARRDDVPT GTPPLTKEDLLRGITYFRVYDYQEQHDVLVSLSRHLASRPKVRLVLLDSAAFHCRHAF DEMQGRARALHNMTVVLRKLVCEFPIAVVLVNHVTTSVGLPGSDSTIVPALGEAWSHA ISTRLLLGWEVGSTYCSQYLLLPSFQVTRS H257_00722 MQLASSVLSVEEDELPWQSLQQKRIRTFIPDLDDILGGGVAVGE ITEICGVPGVGKTQLSMHLALCAQYCGASASAESIYIDTEGSFLVPRIVSLAQSMAIA RRDDVPTGTPPLTKEDLLRGITYFRVYDYQEQHDVLVSLSRHLASRPKVRLVLLDSAA FHCRHAFDEMQGRARALHNMTVVLRKLVCEFPIAVVLVNHVTTSVGLPGSDSTIVPAL GVNNALSMTME H257_00722 MGVSAFDVFQQSLQQKRIRTFIPDLDDILGGGVAVGEITEICGV PGVGKTQLSMHLALCAQYCGASASAESIYIDTEGSFLVPRIVSLAQSMAIARRDDVPT GTPPLTKEDLLRGITYFRVYDYQEQHDVLVSLSRHLASRPKVRLVLLDSAAFHCRHAF DEMQGRARALHNMTVVLRKLVCEFPIAVVLVNHVTTSVGLPGSDSTIVPALGVNNALS MTME H257_00723 MRAAVRASWQRRDRDLLGRFDFSWDGQGDPKLLEYNADTPMILV EMAVGQRLWWDHVHRKEDEASHRIKWCFNTIENQLAVAWPRVVPPKTSLCVAGTNASV EEQEHAAFVAKTAAASGIAVTLAGMDQLSVANGKVVTTWDNTPVPCYEWLAEESLGID LFADDGSMSSSDEKTVWIEPAWKLVLGNKALLSLLWELYPGHPNLLPATYNQLQARTG VVYSHEFDNPHEFLTAAIDAATVSLPAEGDTLDRVANLFGHTNQDPTLYLGHPVYQAY HETAKFSGRRIVVGSWVIHGQPTGTCIREGGADTTNDSSSFVPHYVDRELYVSLYPPV DDSYAGHVQRYFGYGGGGGSGVPVTSPNLTTQNAARTQTLGPSSGVAIPHDTARPDEA AKGAVLASRRARLAEQMAAETG H257_00724 MSGVVLATAGYDHTIRFWEAASGTCTRTVKYPDSQVNCLQITPD KKYIVAAGNPHIRLFEINTNNPNPVRSYDGHSGNVTSIGFQKHGKWMFSGSEDGSIKI WDLRAQGCQRNYECSAPVNSVTLHPNQAELVSADQNGCIRVWDLTSNTCSTKFSPDGE NAVRTVDVAKDASTLIAANNHGTCFAYTPKSSDNYELRTSWQAHNEYVLKARVSPNAQ YLATCSSDKTVKVWNMADMSLLHTLQGHQRWVWDCAFSAVSSYLVTASSDQTARLWDL STGDTIRQYNGHHKAAICVALDDSVPEVVDPSSR H257_00725 MARRVNQGNKRASKTYERPGLSEEEIEEIREAFNLFDTDGSGTI DPKELKAAMQSLGFEAKNQTIYQMIGDIDKDGSGSIDFEEFLDMMTAKMSDKDSKEDI QKVFNLFDDDGTGKISLRNLKRVAKELGETMSDAELLEMIERADGDQDGEINPDEFYA IMTKKTFT H257_00726 MPIFNCIGPSRRRRAAAHASDSIRGSQSTYFISIAKRNAACKDN SHCNANFDIPLELHLTQKAWFGAERERTLAKPLYCPSCAVKKGIVIAEYTEVVPPAFT DPTARQLKSYNAHVAGAVEAVAGPFTSN H257_00727 MNVQSHQSHAITTMAIVREAFSPSTADGGGHHDDSAGHDSTSDD DDIAPSSKFLADLKTESVRCRNELAKQEKRFEELKKVHHASMENIVSIYTTLEKTFDA HLGQLEAHSSSLLAQQHRLEELLTKDYSVVSSPSKANELKRDASSVEAATPPRKRSKA AAASPTPVRLLPPRKAAPAHELPPTLRGHPGKPPARGRPAKADDSEVHDVTEFAYHGR WDVARCGQFSEIDQQGRRMRSTSSGWNVVIGREPADSFVVRVSFPTTKQKNSVAIGLT KEPNFWKEPLQATHSAFDFNQFGWFLNVQRGALCSKAGHDNAPYCTRFKTGDVLAVVL DTDTSTVRFFKNGKDLGVAFTDVTERDMYPAVITYDRGIKLELL H257_00728 MLLHFQNMLRSDYTLLEVAINLQQGEDVLGHLVEHVDVNLYSNG QTLLHQAIAVDNVAAATLLLRCGADIHCDDERNATPLHLAKSDDAVSLLLQRGACVKR QRYDGATPLHMCDLPTIAHLLVAHGANVHARTFGGDTPLHGCKLAQKVKCLVDDGGAD VEAANANKHTPLHVACSFGRWQVAVALLERNASLNSLDYSGRTPRDVVKAVRSIFVQL DTSDRLDLDRTLATLDVWAAGRQRGHDNKVIYDQLVWHSLRPVCRGVKNALMDALALP FDIVNLIVVQWVGPWAVTVA H257_00729 MDTTFDLSNPDFEGELTKRSVWLKEWRTRYFVLKGNKLYFCRVK GESPHGVIDLSECLTVKSAEEKTNKRYCFEVATPEATYYMHAESEKQKEEWIGAIGRT IVKFSSSFTGEEGANDEDV H257_00730 MPSVVSWRVLRYAVAVACFIIVAIAHSVQDTKMSCDGLPSSTCS QPLRVALHSQAHSSSDSFVVGSEMTCRGLGKAFKDQGHMVQIMFPGNYSSIADNAWDI VFIEGWFPAIAAFIHEIRRLSHGRAKIYFFCLDPDFPGLDTIQQLDVDAFFTNSHEAL ELLKPHAPHTEFMLLAAESPSNTAPRPVSTASTVVFVGNAIGISTKRDLTTMLREAIP FGLTIYGYAWDLVQEFTPYWGGILPPEDLPRVYSSARVVLGATMDGQRRSGMINNRVF EVLAAGSILINDHYGALEALLGDHILYYTKPGDVTRHMRQLSAFPYSPFELQAFVRSA HTYDHRVRQVVASHTRLPTSQPCASRIHCPTFVVLLDKALMASNYLVALYVQDVIEPA LDNLRDVYRVQFAASVDEILQADLTFHDFVLALTCWQCEVDLSLRRKLPHTTVYGKGL YFLEPPPSATHVSLDYYDVLYFTNPFDDDTLSNVRTNRQHAFGLPSRPRPSARPIVSS CDWLVVGDWTDDRQRLVHILDHPTGRSSEEAAINHVVFPEHRRHTLTHTVAYLNASHP DLSVHFASTPTQVIHMLLDEFQCRALYLPSTDDAGGGDWVVGIAATLGLTLHVQPDTN RWSTMFNAVLNSGASWDIQYVADALRFGMTQRLCLGQGGASVRMIWPEDGAAVGDVFD VRFETTRFDLPRDGSVCLFVNGKVHGCLVRPSLHFSVEWPDQKRNRTLDLQLGLRSNI YGNDFAKTSPISVTYASDVLRTQEETGGPVVKSKFHAYHIRLADLNN H257_00731 MEPKRLPPSPRPGPPPRLERPGVSSTLPSPKAAPPHVPPLLQPQ PTTTTRHTVFNESTWLRDDEFICDAYYASQPKTRKTVHVVSSHSVQNNLLHKQCAETI AQCSQSLQERRQQMAALSLPFTPLRKKSMTNSSSRDRYASPAPATSRLFDSTLSPIVP ENCPPQPTPEPSCDVRKRLSFLDDAMDDDKTLDTVASVTTSGDLSAQSINASDASLND SKAEGTML H257_00732 MSTAITHGGSCHCRAVRFEFDAPTNLVAFDCNCSICAMKRNVHA IVPASSFRLVAGEDHLSTYTFNTHTAKHKFCRVCGVQPFYIPRSNPDGIAVTIACITP GTVTQVNVQPFDGHNWDVSYASSGIAKYSK H257_00733 MTDTDEATKKRVIYVSSRKSDLALYQTREVISMLQEHHPDIRFE IGLQDTFGDSVLDKHLTQLGGVGVFTKSLEDELLAGRSSFAVHSLKDMPTQLPPGLVL GAICKRESPEDAVIIHPKHKAEGRLTLRQLPEGSIIGTSSLRREALLLKLYPHVTIKT IRGNIQTRLAKLDDHDDYDAIIVAAAGFRRGGLGKRIDQLLDPEVFGYGVGQGSIGIE CRGDDEEILTMLAQIAHEESSQCCKAERSLLRSIEGGCQISMGVNTTLDDDTIKLTAT LLSRDGQISITESTRGSRFDAELLGENLAREFLKNPHARPLLGPAGQKRALTYGYMEA PGDAAAAAAAKDQEENGSPKKACIRDD H257_00734 MANVATSLLGLTDHEFGHVFAQDGAVSEWLFDEMKAVLQDADTD EFYTSHHGDNLLLVAVRVVASEDHGLDLAQLVDRGLLSLPRIIAMCSLYAQSNSHVMQ WWLQRVFACAPHLANQVPMLHDIFYHALLTIHHQVASLKSPCIIDATRLQTQLCDIAK TIQALLTSHDMFLSILTPPKATDLGHCFDGQTLLHAILVCYETDLPLLNKLSRNDKLV PVARRHVLLSIGLWLDKTFLSVLKSEPAQAEQRTEWFFGVLNSLLHNADASEPASLLS DLCRLLQFKTTCRRAFDEAAAVDDAQRDYLALLLSELPERARSAKGDEPKPNGIVDSQ DDKAAIVHSIGQQVKDIFPDLGAGFLQLVIAACDYSSDKVIMALLEDALPPAVASLDR TLTKNDPRFAALVATSSLAASPPTVDEATPTPAKADPTQIWVGKKKQADKYKPESVRA NPEYMAKQLQLAQTYDQEPAWTEETHVDEYNDDYNDELDEYEPFGVHDDEPTEYEEII ARNKVVRAREAEDAFWESMRNPNHKPTASDDNDEDDDEDGDEGETKPAARPLRQGLVP AKKTTEATSANSRGGRQEQPQKKSTPSVLDKRSAAASQPPSANATTSAKKGPATGDDP NKQLINRARKEQNKSSVANHRRKDKALKKQGGGGGLF H257_00734 MMVTLMLSQTRRDQIQALLTSHDMFLSILTPPKATDLGHCFDGQ TLLHAILVCYETDLPLLNKLSRNDKLVPVARRHVLLSIGLWLDKTFLSVLKSEPAQAE QRTEWFFGVLNSLLHNADASEPASLLSDLCRLLQFKTTCRRAFDEAAAVDDAQRDYLA LLLSELPERARSAKGDEPKPNGIVDSQDDKAAIVHSIGQQVKDIFPDLGAGFLQLVIA ACDYSSDKVIMALLEDALPPAVASLDRTLTKNDPRFAALVATSSLAASPPTVDEATPT PAKADPTQIWVGKKKQADKYKPESVRANPEYMAKQLQLAQTYDQEPAWTEETHVDEYN DDYNDELDEYEPFGVHDDEPTEYEEIIARNKVVRAREAEDAFWESMRNPNHKPTASDD NDEDDDEDGDEGETKPAARPLRQGLVPAKKTTEATSANSRGGRQEQPQKKSTPSVLDK RSAAASQPPSANATTSAKKGPATGDDPNKQLINRARKEQNKSSVANHRRKDKALKKQG GGGGLF H257_00735 MNSRDSVGSYLTSLRNERKIVDKIDEGVPFFVPQLSKVKTSAMC TKTQTHLLFGYERLVNQGESYRKQGNAALYTDEKMLERHNLQNDRQVQAALGRFWDTF GSIRNGKSSIEELEYCDVFVKFFKALVPPQEFSVPEARIIVEKDWARDVGENCEVMAK STFYKSLFEVADLWTVSIGVDEYTKFLTKLFERVTMTVFDQEKALWLTKFAELDMIKS SWNDENQDNKSPSPKRAVGPTTAVAKLKKKSHTIAAFKLTPTPEGGVLDPSKANDAPN LPDLASPDRPPRRPLRPRPTPKPADDITATNDELKLLNSGAEGPTSPVASPVKTLSPK KSKQLTDTTTNQDRVAMPSIYLSPDLDVPREDDIAVKRRQRENTKLVQRLRRTTY H257_00736 MEHTLVPKQETTASDFLKLFPQYDGRNVVVAIFDTGVDPGAPGL QWTPDGRPKIIDVVDATGSSDVDMTTVIKAKDGKLKISPKTTWTLNPDWNAVNDRFRV GYKRGYEFYPQPLVARLKEAHKAEWVKSQRPFINATQRALAEWTRSHDPKTLCLSDID ARNELLARLAVLEDSVKTFDDPGPVYDCVAFFDGSYWRAAVDATGTGDFSTANAMANF CVAQEFAKLSDESQLNYALNVYDNGDVLSIVCDAGSHGTHVAGIVAAYHAEDPVNNGV APGAQIVSVKIGDSRLGATETGVGICRGILAVLQHKCDVVNMSFGEHAARPNYGRPIE MIQELVEKHGVMFVASVGNDGPALGSIKSPGGLSSCILGVGAYVSPAMMAAEYSMQER HEGGAYTWSSRGPAMDGDLGVNVFAPGGAITSVPQWTLTKKQLKNGTSMSAPNCTGCV ALLLSGLKAVGIHTNPFQLRRALEHTAVKVPHVDSFVQGRGLVQVVPAFEYLKQHSNA ASNSQPLYYDVRITRPGTTAFGRGVCLREPADVVGLSSVEVQVKISPVFHVDAPNADK LQLDMSIALIATRPWILAPPTLALFHDSRVFSAVVQLDQLSAGVAHFGEILGYDSHDR AKGPLFRVPVTVIKPTRVAMPETTLTPTVAPGDEFRAFLAVPAGATWVDVRVVSGTPF PSVRRHRTVVLHLMQYETYTRPNGTSLLKRFQLDASDAGYSMAVRPLSTIEVCVAPMW NTGGGALPLQVDVVFRSIQPDPSAVVVQGGEGSARVNLVALLAQENILPQARLTAWTQ RFRPTEFAVSPCSERSTWPENRVVYQLVVTYKFTKGEEGKVVLRLPILNGRLYDAPFE SQLVLAFDANKKLLGASDAMPKELTLPKGPIVVRAQVRHEDYTLLGKLADMVLFADHN IKDIVVPVYDTSDGASLGSKPMASTVLREGLYVPVYVGEPEKLPKGASPGDVLSGRVT YGKKGVTHWKGSACKPDGFPLTYVVGPSEPKRKDVEVVASPPPADDTDDDEALRDFIS TRVHKAVGKDAFDALWGKAIASYPAYAPLLKSKLHHVDHEKKRVQQLQQVVEAATAVE TLMEPLLPAMTAFYGVRQLPGTTPNKSNMDKDKAMLIDAWTRKARALGDLNKQVEFQK TVATLQQWANVADPKFLHVGLFDHLFKNQYGLALQRIQKWQAVDATERDKIMSPKKAL EKKLDIYALLGWTSLMEYEKAWATINAPTSFTLF H257_00736 MTTVIKAKDGKLKISPKTTWTLNPDWNAVNDRFRVGYKRGYEFY PQPLVARLKEAHKAEWVKSQRPFINATQRALAEWTRSHDPKTLCLSDIDARNELLARL AVLEDSVKTFDDPGPVYDCVAFFDGSYWRAAVDATGTGDFSTANAMANFCVAQEFAKL SDESQLNYALNVYDNGDVLSIVCDAGSHGTHVAGIVAAYHAEDPVNNGVAPGAQIVSV KIGDSRLGATETGVGICRGILAVLQHKCDVVNMSFGEHAARPNYGRPIEMIQELVEKH GVMFVASVGNDGPALGSIKSPGGLSSCILGVGAYVSPAMMAAEYSMQERHEGGAYTWS SRGPAMDGDLGVNVFAPGGAITSVPQWTLTKKQLKNGTSMSAPNCTGCVALLLSGLKA VGIHTNPFQLRRALEHTAVKVPHVDSFVQGRGLVQVVPAFEYLKQHSNAASNSQPLYY DVRITRPGTTAFGRGVCLREPADVVGLSSVEVQVKISPVFHVDAPNADKLQLDMSIAL IATRPWILAPPTLALFHDSRVFSAVVQLDQLSAGVAHFGEILGYDSHDRAKGPLFRVP VTVIKPTRVAMPETTLTPTVAPGDEFRAFLAVPAGATWVDVRVVSGTPFPSVRRHRTV VLHLMQYETYTRPNGTSLLKRFQLDASDAGYSMAVRPLSTIEVCVAPMWNTGGGALPL QVDVVFRSIQPDPSAVVVQGGEGSARVNLVALLAQENILPQARLTAWTQRFRPTEFAV SPCSERSTWPENRVVYQLVVTYKFTKGEEGKVVLRLPILNGRLYDAPFESQLVLAFDA NKKLLGASDAMPKELTLPKGPIVVRAQVRHEDYTLLGKLADMVLFADHNIKDIVVPVY DTSDGASLGSKPMASTVLREGLYVPVYVGEPEKLPKGASPGDVLSGRVTYGKKGVTHW KGSACKPDGFPLTYVVGPSEPKRKDVEVVASPPPADDTDDDEALRDFISTRVHKAVGK DAFDALWGKAIASYPAYAPLLKSKLHHVDHEKKRVQQLQQVVEAATAVETLMEPLLPA MTAFYGVRQLPGTTPNKSNMDKDKAMLIDAWTRKARALGDLNKQVEFQKTVATLQQWA NVADPKFLHVGLFDHLFKNQYGLALQRIQKWQAVDATERDKIMSPKKALEKKLDIYAL LGWTSLMEYEKAWATINAPTSFTLF H257_00736 MEHTLVPKQETTASDFLKLFPQYDGRNVVVAIFDTGVDPGAPGL QWTPDGRPKIIDVVDATGSSDVDMTTVIKAKDGKLKISPKTTWTLNPDWNAVNDRFRV GYKRGYEFYPQPLVARLKEAHKAEWVKSQRPFINATQRALAEWTRSHDPKTLCLSDID ARNELLARLAVLEDSVKTFDDPGPVYDCVAFFDGSYWRAAVDATGTGDFSTANAMANF CVAQEFAKLSDESQLNYALNVYDNGDVLSIVCDAGSHGTHVAGIVAAYHAEDPVNNGV APGAQIVSVKIGDSRLGATETGVGICRGILAVLQHKCDVVNMSFGEHAARPNYGRPIE MIQELVEKHGVMFVASVGNDGPALGSIKSPGGLSSCILGVGAYVSPAMMAAEYSMQER HEGGAYTWSSRGPAMDGDLGVNVFAPGGAITSVPQWTLTKKQLKNGTSMSAPNCTGCV ALLLSGLKAVGIHTNPFQLRRALEHTAVKVPHVDSFVQGRGLVQVVPAFEYLKQHSNA ASNSQPLYYDVRITRPGTTAFGRGVCLREPADVVGLSSVEVQVKISPVFHVDAPNADK LQLDMSIALIATRPWILAPPTLALFHDSRVFSAVVQLDQLSAGVAHFGEILGYDSHDR AKGPLFRVPVTVIKPTRVAMPETTLTPTVAPGDEFRAFLAVPAGATWVDVRVVSGTPF PSVRRHRTVVLHLMQYETYTRPNGTSLLKRFQLDASDAGYSMAVRPLSTIEVCVAPMW NTGGGALPLQVDVVFRSIQPDPSAVVVQGGEGSARVNLVALLAQENILPQARLTAWTQ RFRPTEFAVSPCSERSTWPENRVVYQLVVTYKFTKGEEGKVVLRLPILNGRLYDAPFE SQLVLAFDANKKLLGASDAMPKELTLPKGPIVVRAQVRHEDYTLLGKLADMVLFADHN IKDIVVPVYDTSDGASLGSKPMASTVLREGLYVPVYVGEPEKLPKGASPGDVLSGRVT YGKKGVTHWKGSACKPDGFPLTYVVGPSEPKRKDVEVVASPPPADDTDDDEALRDFIS TRVHKAVGKDAFDALWGKAIASYPAYAPLLKVHKEGSLVWNELHRFISSQSCTMSTTR RSASSNCSRSWRRPLPLKR H257_00737 MLRVLVLSVLLACCAVDAAPARVSVDTSLDLPWFSYEGDSHFEF GEALGKQFRVEINDRLRLSSQLQGVLLPFYATPLGKSTYDKYLSAHNHTFPDYVEELQ GISAGSGVPFSTLFLINIVEEYGNSIPLPNAFESQLHCSDLVLHTSDVCVVGHNEDSG AGDVNRTALVTAKIKNNPWFTAFTYLGDLPTGAFGANQHGVAFSMNYVEPLDIDVGGL GRGFISRDLLGATSHDDAVARITRPGQASGHNFQLMDVPTARVLNIEVASFNRTNIRE ITAGSAPFFHTNQYQSLLIRQPVFPSSYHRLRRYTHVTPPSSVASILALLGDQGDTSY PIFHDDKSHAKGELSNWTLITVLFDVKNGVLYLLRPRVNPGEARVAMVVDLLDVQHVK LLHTASEQDLAKTNMLATTKARS H257_00737 MLRVLVLSVLLACCAVDAAPARVSVDTSLDLPWFSYEGDSHFEF GEALGKQFRVEINDRLRLSSQLQGVLLPFYATPLGKSTYDKYLSAHNHTFPDYVEELQ GISAGSGVPFSTLFLINIVEEYGNSIPLPNAFESQLHCSDLVLHTSDVCVVGHNEDSG AGDVNRTALVTAKIKNNPWFTAFTYLGDLPTGAFGANQHGVAFSMNYVEPLDIDVGGL GRGFISRDLLGATSHDDAVARITRPGQASGHNFQLMDVPTARVLNIEVASFNRTNIRE ITAGSAPFFHTNQYQSLLIR H257_00738 MPSVQFSPATEIVPKHETLASQLVQLYPEFDGRNTIVAIFDTGV DPGAPGLQFTSDGKRKIIDVVDATGSSDVDMSTILKPTDGKLVLSSGKVLTLNPAWTA SEFRVGSKRAYELYPDQLVTRIKKERKEKWDAKDREAINAVQKDLADWTAANGANPSQ SAIDARKDIQARLAVLEANAKSFEDPGPIYDAVAFFDGTHWRAAVDTSETGDFSSSPA FTNYRVAHEHGKFSDASQLNYALNLYDNGSVLSIVCDAGAHGTHVAGIVAAYHEDDPI NNGVAPGAQIVSVKIGDSRLGSMETVLGVTRGVLAVLENKVDVVNMSYGEFASKHDSG RVVDVVRDLVEKHGVTFVSSAGNEGPALGTVGAPGGSSSCILGVGAYVSPSMMQSEYS MRDTPESSVGLYTWSSRGPTFDGDLGVNICAPGCAITSVPNWTLTKKMLMNGTSMSSP NAAGNVALLISGLKGRSIGYNPFSIRRALENTAVSVPTAEAFSQGQGLIQALPALEYL FKNQNAFDGTHEFPLFYDVRVPTHQNNRGIYLREHEQLTETDIAVNVEPIFHKDARND HRIQFELALKLVPTKPWIATADHLTLMHQGRTFKVSVNTDSLAAGTSNYGEVIAYDTK NPGRGPVFRIPVTVIKPEVIPVAQQHTTLQLVKELASANISRTFYQVPAGATWVNISV SRRPKSVHEPASALYSLHLMQLEKHERQSATSLRKNFYLNTTGQVTHSFAVKGSATLE LCLAQYWNSIGSSTVQLEVDFRGIVPDERSVHVAGGAGATKVNLFAHLRNESIEPSAV LTKWTQRLRPDSAVVSTLGSRDVFADNRHVYQLVLTYTFEQVEEGKVTPSLPLLNGRL YESPFESQLILIFDTKKKYLGVSDAYPRPTSLKKGKYVLRAQVRHEDPSVLDGLKSML AFVTHDIKDVSVPVHDSPNDPSLKGKSLPATPLKKGVYRPVFVGEPSFDKLPKGAVAG DILTGKITYGRKSTDVKGVTQKPDGYPVTYTVPAKPSVEKEPEAKEPEDVRDEDVVAD EAVRDLLVKRLVKLQGKDSFLGHWTKLVAKYPTHLPLLQTRVHHFDNDSSKRRSALKD IVDAAAAIVSAVDTQALAAHYGVKLLPGDIAQAKLRKDKDAEKAALVDALSRQARALG DLKDEAAFVQVFQALQKWVDTEDNQYVYAAVHNDLRQGHKGLALKRLQKVSELPSDDL EKIIPLKEVQDMRAQLYTELGWTHWVEYEKNWKILNNPASYALF H257_00739 MNRYTKGKKLGEGTYGNVYSAVQKATGRPVAIKEFKRGQFKDGV NFTAVREVKLQTELNHPNVTRLLDAFVYNDTINVVFEILPKNLDDVIKDKSIVLSRGD IKAYMQMLLRGVDHIHSNWILHRDLKPENLLIGGDGQIKLADFGLARIYGSPNRNMTS EVCTIWYRPPELLFGAREYSGAVDMWGVGCIFAELMLRTPFLTGQNDLDQLGKIFHAL GTPTEDQWPGMSSLPNYLEFTPNKAPPLATLFSGASDDALDLLRQLMLYNPSERITAA EALAHAYFENDPAPTAPEKLPYQLDNDS H257_00740 MFQRLRHAVGWGSSSGIGMHMSGGQSRVEFQVHAQLGHGETLYV VGDAAGLGNALSTYVDSGHLHSPLSLPSSSLSFNAPSPNAPVLKMSFSDPGDEAPPEL KHSSSTGLGPPTVSSCRLVTTPEMYPLWYNADPVVLNANTNLTYRYAVCSNGKFVRYE SFERSATIAGDSHQVTDVLDQRSVDQSSSSENGEGRSQATVVPRLSSGQAFVDRRATL ASTDAEGAAIHHHHLSSRGSKRGSLLQESFSIPEGDEEAESLKPTKQYIIDEEATNPL NQGGIGLGQRRRTSGNYSLNSPRHNHHHHKEGDVTASPISPQSNNLTIQPTDGVIIVV HRLPVLVTKIGDGEYQIDWEDDNLLCPSGLIKENQSNGLLYRDGTASAMRLTWVGMVH CNAVIPKEDQDKVARQMHAYHCVPVFIDPAMSQTFHSFCYGTLWPIMHNIVDVYGKLP TRWWNPSQQKNAWSSYMHVNRIFVNKVIEVYNEGDLVWVHGLHLLVAPSFLSRRLPYV NVGLFLHTPFPSSEIFRTLSVRADLLRGMLSADHIGFHLYEHARHFLTSCRRILGLKY NAQAGGYIGVEYNGRMVAITISHIGIEPPFVDRLSVKDQVLHETARLRALYGAKKIVV GVDQVERLKGITLKMLSLEQFFRTNPAYRDTVQVVQIGIVDGADTSEAKLKLRKEIQT IVDRINATYPQPQGVEPVVVYQELNNTDLSIRLPLWNVADVMLLTSVRDAVSLYPFEF VYAHNVTKSPGVVIVSEFSGSSRVLTGSLGVNPWKKKEVVEALVTALDMSDEEKAARH AHDFEYIAVNTRTKWAERILVDLKRTSKAASTVGMQYMGYGLGLGYRMLEFHAGFKML ETDQVVRAYRHTFRRVLLFDYGNTLAQENLPQNNFSKYIKGDDGGGLSGDLEHDTPKA SPELLKALSKLCSDPRNTVFVLSGKDRQDLERTLGGVPGLGLAAEHGYLYRWGDNDDG WLCTKENFDDSWKDITHSVMDIYTQRTHGTYIELKGSALLWQYRDADPEFGQLQAKEL HEQLLQVLESYQVEVLPGNDYLEVRPEGVDKGVMVDRILSSLESRGGSPVDYVLCIGD DLSDEFMFSYLEERNLPKTFTVTVGKKPSAAKHFLNDVDQVTEVLNALTKVTTTSNRN LSMNDLRAMDHHRWLDTSVVPEASTPVVGGSVVPPSFPPPSLGGFSSSMKSAKQSMSM TSLSTITAADPKPDFRRSATASTYDVYISHIQEDDDHGGIFF H257_00741 MHHVEAEYAQDDIAIDLSFENAEFVNEDGDDESTDDKLHVLPQS KSLAMKNALQELKKEFTTPSLTSEALASVDVISEYLEQLEGQVQDLTVKQAMHTIDMH NMRQLYRASVEENEKLATTTSLPTTSAPTELSDAMDTTTSQLREYMELDMEVHQAKQD RDKFRTQNERVVALCAQFQQEIMWRNIDVAEGEKRCLAMSRQLEGTRQLYEESQRENA ELKGRVEAMAQHIQTLVQHKKVLVHEVKSLQKYSHVNITGLEQDAQEARMMQKSLTMQ LESAHAERDELKAKLNAHNITTV H257_00742 MNRRRTVGIILLVSIGACAGQRLAGTALNACASTGDCLPCAKSE MSEAFCKASGQKQELLCLVNGVNTTTFKSCTLVSTTYQGFHNVVMFEVLMLLVLLLAF QALRKEKMKHVSSFDIRKDPKQPSQPFQI H257_00743 MAERFELKTANEVLEHFKVNETDGYNDAQVEEARQIYGWNELDK EEPTPLWKLVLEQFEDALVRILLGAATVSFVLAWLDEDSHGEGIGAYVEPLVILVILI LNAIVGVWQEANAESALEALKNLQPENARVLRNGVMTTLAARDLVPGDVVEIRVGDKV PADMRLISLKTTAMRAEQSQMTGESVSVNKEIDELPAGTEDIIQAKSNMLFAATVIVN GLGRGVVVKTGMKTEIGQIQQSVQDASEEEESTPLKKKLDAFGEQLSKVIGVICLVVW LINYKNFFDPIHGSVFKGCIYYFKIAVALAVAAIPEGLPAVITTCLALGTKKMAAKNA IVRKLPSVETLGCTTVICSDKTGTLTTNEMSCVTFSHAGASETDLISYDVEGHTYAPI GTISTLSPANDKAVNSVAAVCALCNESTIEYDNGKYVRVGEPTEAALRVLTEKIGVPD KSTHASVAARRQSDPASVVQHANTYWLDTYKKLATLEFSRDRKSMSVLCAQATDISRR ATRSTPATQNVLFVKGAPEGLLTRCSHVQLHDGRVVPFTDKGRQAVLAKVTTMAQKSL RCLALAKKEDLGDLSTYDGDRRHPSHKKLESTDNFAQIESNLTFVGLVGMEDPPRPEV RPMIQVCASAGIRVIMITGDNKLTAESVCRKIGIFTETEDVSQKSFTGAEFFGLPTAK QVELLASKDGNGMAFSRTEPKHKQLLVKMLKQAGEVVAMTGDGVNDAPALKQADIGIA MGISGTEVAKEAADMVLADDNFATIVSAVEEGRSIYNNMQSFIRYLISSNIGEVAAIF FTAALGFPEGLIPVQLLWVNLVTDGPPATALGFNPADKDIMTKPPRRANDDLINKWTF FRYMVVGVYVGFACVGVFGHWYMFYDGSNDGHSLITFDQLTHFGKCATWTDFHVNNFN GLDFSQDPCAYFTTGKVKASTLSLSVLVAIEMFNALNALSEDGSLFTMPPWSNPYLIV AMVVSFGLHFLILYVDWLAEIFSVCPLDFNEWLLVLAYSLPVILLDEILKMFGRHMAA RELAARLKTKKHLKED H257_00745 MSANKPPANSGNSKPIPISMSNPILAAAPPNPGPSSTAMSIGNP NTSIAMAPAPSAAMVAAAAAALTSQQQQQLKRMGLGMGMQMPSGANLNALQQLNNMNV AAMQLQMNQQQHRMNVASAQAHNRAFKPSNVNVNSAVAVAVASASTVPVPVNTAGAGA LNLSGTWELDRDASDSTNDYLEAMGLPLIARQAADKLDLTVIILQTAGEFTITRRTRI FLETKQLKFGQEVIIKTNTIKVMGEPTSIKTVTHLSGFRGILHDSRTVDELGRMRVEL TLTLPDKDKPNVVITRYFKKTSDSTSLDNLPPYEPFPDAPSDKRKR H257_00744 MPPTHRRGSPLSSWHDMHSSLEPLLEKLRPVHVGLVIAISTCVC ILATYHAFRPKDKPDASISTLCRSCSSNMTASKVVYLNNANTILDYSVGARGEDFEFD DCKHVAQTIYPGFRDANADDIVIKIICGGITNRLYRLTWQDKSVLLRIYGEHTEVFID RDIDNETFAELSRRGFAPMYHGRFRNGRIEGWVDGVPLEPHQMGDPKLLPLIATEVGK MHAMDMKFPTTPCLWKKIHVFEELASLVRFDDPLKQKALASLRLKQIQQRVQWAQTIL PSAQNGHGKQLLETFRGSPIAKLATAFLQESVFSHNDMLSGNVLYNPSWSKVQVIDYE YGGYNYRGYDFANHFCEHCGLDMNLNAYPSQPKQVQFYKAYLAAASPALLHSLTQDHH LDEFVAALHDAGNLYALASHLFWGLWAVVQASNSTIEFDFLEYARVRLEAFDVHADMF GAKPQSVE H257_00744 MPTALFPIVVYLNNANTILDYSVGARGEDFEFDDCKHVAQTIYP GFRDANADDIVIKIICGGITNRLYRLTWQDKSVLLRIYGEHTEVFIDRDIDNETFAEL SRRGFAPMYHGRFRNGRIEGWVDGVPLEPHQMGDPKLLPLIATEVGKMHAMDMKFPTT PCLWKKIHVFEELASLVRFDDPLKQKALASLRLKQIQQRVQWAQTILPSAQNGHGKQL LETFRGSPIAKLATAFLQESVFSHNDMLSGNVLYNPSWSKVQVIDYEYGGYNYRGYDF ANHFCEHCGLDMNLNAYPSQPKQVQFYKAYLAAASPALLHSLTQDHHLDEFVAALHDA GNLYALASHLFWGLWAVVQASNSTIEFDFLEYARVRLEAFDVHADMFGAKPQSVE H257_00746 MLQENLLEAAARDEGEVFQAKEEAYRRGKAPSRWSDDTWDNVSR VAFISINFIATMLSSSLVCSWITDGIGALRQRRDSQQFSIDAAIMSFNTYGVVYSMCM DDAIRDSLMQVAQQWKAEQDKFKYALKTSEAAMNTLTLYTSECKDELRRVQATFQASK GNLQCTTDAELAELETLNNAWSIRQPAIESWLFLELQQSNSTRNSSPAAEDQVETNIE NHKARIVQSLNATNLAIQRVVASGSTEVNLRLSWVQQIATSLQDSLTFPSAATGQPIQ MNQALKSTQESIRGIRTMWTALEPALEMSGAKQVSPMSMLGEADANLVQLIQVELSLS GKLHELQESVNDTQVNIQQWQEAVMAYTTDTSATLDALAGAVLNQSKGDLYAYSNWTI RTALLQQQQRLIGPVFPNLEMANLTNSSSTANDFLPKSHGLDIQLEGMAFALPVDLIG RVVLAIFLGIAVWRKSYVNVPQLDNQGITTIRTKADWVDVFRCRHNVCSIIYSLSTIN LMPLLWTMLIMSVCFGATFGFLIPINRTHTMVCTNHANGGKSSGGLGGLLVSLVAKQF QSNGDLQAIEGFGQLQSIHDRTCTSYELQMDKMNIAAHANASALLDDYSDLHAVVKQF RQCLLPLTTTNGSNASTIAACGLKPEVLRGMSAVSNWTCPRSNLTLASIKAPCVTTLT QDFKDTEGKVHGCGLERLILESVVMWWVWLILFGTLNFMRGILMEAVAITLWRALSGG RMPFVGFVGGDGTVLDQCQLSYRFERQLDEYLWLRKYYGVCAGLVFGCGMIIVVATLG SLP H257_00747 MPFGQVVLGPPGSGKTTYCNGMQQFLEASGRKVAVVNMDPANEQ LPYVANIDISELVCLENVMDELHLGPNGGLVYCMNFIDQNFDWLAERLDALPDSTYVL FDFPGQVELYTHEESVHNIVQKLEKRLEYRVSVVHLVDAHHCTDSAKFISVVLLSLSS MVRLELPHINILSKMDLIQQYGHLSFNLEFYTDVLDLRYLLDRLEAQDYGDTYDDIED EDALQPPPAVAARKLKVRERFRKMHEVLIEVIEDFSLVSFLPLQIEDVDSLQKVVNAI DKSNGYVYEGKAAQVISGDRDFQSERIGDMEERYVRQ H257_00748 MGCGSSLGIQSKVADKNHAGDDRAQQLDNSPLTADEIRSRIVCS DKPVKSQLTPSLSIEYAFVTQRGYYPDAVDKPNQDSYTIIPNFAGDPTKIFFGIFDGH GATGDLCSVFARKEVPDRLQKLMGKANASVPFTEIYSNCFTDTNAKLHTSRIDDSLSG TTAITCFMDGNIIHIANVGDSRAVIAKTDAAGIVASPLSVDQTPYRTDERDRVKRYGA RVLTMDQLEGITPIHENWATAVNEEVDEDGDPPRVWSPTGNFPGTAFTRSIGDEIAES LGVFATPEIASLQLTSNDRYVIIASDGVFEFLTNQAVVDIVKTYDNPLEACEKVVAES YRLWLHYELRTDDITIICIYLEHAVVPETAESTQRLASQQANDLLTTNILNTRVTEQR PVRRGMSKQKRRDQMKKDMAKMVTDEDLNYNMSDHVVAKSKLEMDKILEITAANWLFK QLNAQQRTDVYKVMIRVNVNEGDVVIRQGDPGDHFYCVQSGDYQVTVKSESTTGQRED IVHMYRGDTHPSFGELALMHNAPRSSSVVALTKGVLWAIDCRAFRLVFMKSPTNVIVQ TLKKVDVLKSLTTSQLERLATKLTEITFEDGDYILNQGTIGDTFYVIKEGACICTMWD TAPGEGERRSREVLRLRQHQYFGERALFNDAPRAANVISVGRTKLLQIGRQTFEEILG PLQQIIDNDRAQREAKHLFQSAVSNLRDSTAIAIAAAAGTQDRSTLKDDVLRGAVVPK YVTQSTEVGLLVTYETFSHVPLTVRTISKKSTKSFNKMEEVMREVHLHKNLRTNCRVA SVPPLLGTWTDANGLYMAFETNLVCDFATLMTDHDAKLPEEAVRQYAAQLLVGLESLH DAGYVYRNMNPENLVLDTFGYLQLHDFRYAKCIDDTRTYTLCGTAEYTAPEMVSAQGH SFGVDIWGLGILIYEMLFGVTPFACDDLENDKDVVLAVYSKISRYDKKDLVMPDHERS REVTDLLTQLLHHSPDDRLGCQGVVDITTGGSVIRSHPWFASVDWIKVAAGVQAAPHV VEITTKAKQLEVQATPCILAEYSDSNVWFDGF H257_00748 MGKANASVPFTEIYSNCFTDTNAKLHTSRIDDSLSGTTAITCFM DGNIIHIANVGDSRAVIAKTDAAGIVASPLSVDQTPYRTDERDRVKRYGARVLTMDQL EGITPIHENWATAVNEEVDEDGDPPRVWSPTGNFPGTAFTRSIGDEIAESLGVFATPE IASLQLTSNDRYVIIASDGVFEFLTNQAVVDIVKTYDNPLEACEKVVAESYRLWLHYE LRTDDITIICIYLEHAVVPETAESTQRLASQQANDLLTTNILNTRVTEQRPVRRGMSK QKRRDQMKKDMAKMVTDEDLNYNMSDHVVAKSKLEMDKILEITAANWLFKQLNAQQRT DVYKVMIRVNVNEGDVVIRQGDPGDHFYCVQSGDYQVTVKSESTTGQREDIVHMYRGD THPSFGELALMHNAPRSSSVVALTKGVLWAIDCRAFRLVFMKSPTNVIVQTLKKVDVL KSLTTSQLERLATKLTEITFEDGDYILNQGTIGDTFYVIKEGACICTMWDTAPGEGER RSREVLRLRQHQYFGERALFNDAPRAANVISVGRTKLLQIGRQTFEEILGPLQQIIDN DRAQREAKHLFQSAVSNLRDSTAIAIAAAAGTQDRSTLKDDVLRGAVVPKYVTQSTEV GLLVTYETFSHVPLTVRTISKKSTKSFNKMEEVMREVHLHKNLRTNCRVASVPPLLGT WTDANGLYMAFETNLVCDFATLMTDHDAKLPEEAVRQYAAQLLVGLESLHDAGYVYRN MNPENLVLDTFGYLQLHDFRYAKCIDDTRTYTLCGTAEYTAPEMVSAQGHSFGVDIWG LGILIYEMLFGVTPFACDDLENDKDVVLAVYSKISRYDKKDLVMPDHERSREVTDLLT QLLHHSPDDRLGCQGVVDITTGGSVIRSHPWFASVDWIKVAAGVQAAPHVVEITTKAK QLEVQATPCILAEYSDSNVWFDGF H257_00748 MYVQLHTSRIDDSLSGTTAITCFMDGNIIHIANVGDSRAVIAKT DAAGIVASPLSVDQTPYRTDERDRVKRYGARVLTMDQLEGITPIHENWATAVNEEVDE DGDPPRVWSPTGNFPGTAFTRSIGDEIAESLGVFATPEIASLQLTSNDRYVIIASDGV FEFLTNQAVVDIVKTYDNPLEACEKVVAESYRLWLHYELRTDDITIICIYLEHAVVPE TAESTQRLASQQANDLLTTNILNTRVTEQRPVRRGMSKQKRRDQMKKDMAKMVTDEDL NYNMSDHVVAKSKLEMDKILEITAANWLFKQLNAQQRTDVYKVMIRVNVNEGDVVIRQ GDPGDHFYCVQSGDYQVTVKSESTTGQREDIVHMYRGDTHPSFGELALMHNAPRSSSV VALTKGVLWAIDCRAFRLVFMKSPTNVIVQTLKKVDVLKSLTTSQLERLATKLTEITF EDGDYILNQGTIGDTFYVIKEGACICTMWDTAPGEGERRSREVLRLRQHQYFGERALF NDAPRAANVISVGRTKLLQIGRQTFEEILGPLQQIIDNDRAQREAKHLFQSAVSNLRD STAIAIAAAAGTQDRSTLKDDVLRGAVVPKYVTQSTEVGLLVTYETFSHVPLTVRTIS KKSTKSFNKMEEVMREVHLHKNLRTNCRVASVPPLLGTWTDANGLYMAFETNLVCDFA TLMTDHDAKLPEEAVRQYAAQLLVGLESLHDAGYVYRNMNPENLVLDTFGYLQLHDFR YAKCIDDTRTYTLCGTAEYTAPEMVSAQGHSFGVDIWGLGILIYEMLFGVTPFACDDL ENDKDVVLAVYSKISRYDKKDLVMPDHERSREVTDLLTQLLHHSPDDRLGCQGVVDIT TGGSVIRSHPWFASVDWIKVAAGVQAAPHVVEITTKAKQLEVQATPCILAEYSDSNVW FDGF H257_00748 MYVQLHTSRIDDSLSGTTAITCFMDGNIIHIANVGDSRAVIAKT DAAGIVASPLSVDQTPYRTDERDRVKRYGARVLTMDQLEGITPIHENWATAVNEEVDE DGDPPRVWSPTGNFPGTAFTRSIGDEIAESLGVFATPEIASLQLTSNDRYVIIASDGV FEFLTNQAVVDIVKTYDNPLEACEKVVAESYRLWLHYELRTDDITIICIYLEHAVVPE TAESTQRLASQQANDLLTTNILNTRVTEQRPVRRGMSKQKRRDQMKKDMAKMVTDEDL NYNMSDHVVAKSKLEMDKILEITAANWLFKQLNAQQRTDVYKVMIRVNVNEGDVVIRQ GDPGDHFYCVQSGDYQVTVKSESTTGQREDIVHMYRGDTHPSFGELALMHNAPRSSSV VALTKGVLWAIDCRAFRLVFMKSPTNVIVQTLKKVDVLKSLTTSQLERLATKLTEITF EDGDYILNQGTIGDTFYVIKEGACICTMWDTAPGEGERRSREVLRLRQHQYFGERALF NDAPRAANVISVGRTKLLQIGRQTFEEILGPLQQIIDNDRAQREAKHLFQSAVSNLRD STAIAIAAAAGTQDRSTLKDDVLRGAVVPKYVTQSTEVGLLVTYETFSHVPLTVRTIS KKSTKSFNKMEEVMREVHLHKNLRTNCRVASVPPLLGTWTDANGLYMAFETNLVCDFA TLMTDHDAKLPEEAVRQYAAQLLVGLESLHDAGYVYRNMNPENLVLDTFGYLQLHDFR YAKCIDDTRTYTLCGTAEYTAPEMVSAQGHSFGVDIWGLGILIYEMLFGVTPFACDDL ENDKDVVLAVYSKISRYDKKDLVMPDHERSREVTDLLTQLLHHSPDDRLGCQGVVDIT TGGSVIRSHPWFASVDWIKVAAGVQAAPHVVEITTKAKQLEVQATPCILAEYSDSNVW FDGF H257_00748 MGCGSSLGIQSKVADKNHAGDDRAQQLDNSPLTADEIRSRIVCS DKPVKSQLTPSLSIEYAFVTQRGYYPDAVDKPNQDSYTIIPNFAGDPTKIFFGIFDGH GATGDLCSVFARKEVPDRLQKLMGKANASVPFTEIYSNCFTDTNAKLHTSRIDDSLSG TTAITCFMDGNIIHIANVGDSRAVIAKTDAAGIVASPLSVDQTPYRTDERDRVKRYGA RVLTMDQLEGITPIHENWATAVNEEVDEDGDPPRVWSPTGNFPGTAFTRSIGDEIAES LGVFATPEIASLQLTSNDRYVIIASDGVFEFLTNQAVVDIVKTYDNPLEACEKVVAES YRLWLHYELRTDDITIICIYLEHAVVPETAESTQRLASQQANDLLTTNILNTRVTEQR PVRRGMSKQKRRDQMKKDMAKMVTDEDLNYNMSDHVVAKSKLEMDKILEITAANWLFK QLNAQQRTDVYKVMIRVNVNEGDVVIRQGDPGDHFYCVQSGDYQVTVKSESTTGQRED IVHMYRGDTHPSFGELALMHNAPRSSSVVALTKGVLWAIDCRAFRLVFMKSPTNVIVQ TLKKVDVLKSLTTSQLERLATKLTEITFEDGDYILNQGTIGDTFYVIKEGACICTMWD TAPGEGERRSREVLRLRQHQYFGERALFNDAPRAANVISVGRTKLLQIGRQTFEEILG PLQQIIDNDRAQREAKHLFQSAVSNLRDSTAIAIAAAAGTQDRSTLKDDVLRGAVVPK YVTQSTEVGLLVTYETFSHVPLTVRTISKKSTKSFNKMEEVMREVHLHKNLRTNCRVA SVPPLLGTWTDANGLYMAFETNLVCDFATLMTDHDAKLPEEAVRQYAAQLLVGLESLH DAGYVYRNMNPENLVLDTFGYLQLHDFRYVVALFFVLWTYGGKTLPS H257_00748 MGKANASVPFTEIYSNCFTDTNAKLHTSRIDDSLSGTTAITCFM DGNIIHIANVGDSRAVIAKTDAAGIVASPLSVDQTPYRTDERDRVKRYGARVLTMDQL EGITPIHENWATAVNEEVDEDGDPPRVWSPTGNFPGTAFTRSIGDEIAESLGVFATPE IASLQLTSNDRYVIIASDGVFEFLTNQAVVDIVKTYDNPLEACEKVVAESYRLWLHYE LRTDDITIICIYLEHAVVPETAESTQRLASQQANDLLTTNILNTRVTEQRPVRRGMSK QKRRDQMKKDMAKMVTDEDLNYNMSDHVVAKSKLEMDKILEITAANWLFKQLNAQQRT DVYKVMIRVNVNEGDVVIRQGDPGDHFYCVQSGDYQVTVKSESTTGQREDIVHMYRGD THPSFGELALMHNAPRSSSVVALTKGVLWAIDCRAFRLVFMKSPTNVIVQTLKKVDVL KSLTTSQLERLATKLTEITFEDGDYILNQGTIGDTFYVIKEGACICTMWDTAPGEGER RSREVLRLRQHQYFGERALFNDAPRAANVISVGRTKLLQIGRQTFEEILGPLQQIIDN DRAQREAKHLFQSAVSNLRDSTAIAIAAAAGTQDRSTLKDDVLRGAVVPKYVTQSTEV GLLVTYETFSHVPLTVRTISKKSTKSFNKMEEVMREVHLHKNLRTNCRVASVPPLLGT WTDANGLYMAFETNLVCDFATLMTDHDAKLPEEAVRQYAAQLLVGLESLHDAGYVYRN MNPENLVLDTFGYLQLHDFRYVVALFFVLWTYGGKTLPS H257_00748 MYVQLHTSRIDDSLSGTTAITCFMDGNIIHIANVGDSRAVIAKT DAAGIVASPLSVDQTPYRTDERDRVKRYGARVLTMDQLEGITPIHENWATAVNEEVDE DGDPPRVWSPTGNFPGTAFTRSIGDEIAESLGVFATPEIASLQLTSNDRYVIIASDGV FEFLTNQAVVDIVKTYDNPLEACEKVVAESYRLWLHYELRTDDITIICIYLEHAVVPE TAESTQRLASQQANDLLTTNILNTRVTEQRPVRRGMSKQKRRDQMKKDMAKMVTDEDL NYNMSDHVVAKSKLEMDKILEITAANWLFKQLNAQQRTDVYKVMIRVNVNEGDVVIRQ GDPGDHFYCVQSGDYQVTVKSESTTGQREDIVHMYRGDTHPSFGELALMHNAPRSSSV VALTKGVLWAIDCRAFRLVFMKSPTNVIVQTLKKVDVLKSLTTSQLERLATKLTEITF EDGDYILNQGTIGDTFYVIKEGACICTMWDTAPGEGERRSREVLRLRQHQYFGERALF NDAPRAANVISVGRTKLLQIGRQTFEEILGPLQQIIDNDRAQREAKHLFQSAVSNLRD STAIAIAAAAGTQDRSTLKDDVLRGAVVPKYVTQSTEVGLLVTYETFSHVPLTVRTIS KKSTKSFNKMEEVMREVHLHKNLRTNCRVASVPPLLGTWTDANGLYMAFETNLVCDFA TLMTDHDAKLPEEAVRQYAAQLLVGLESLHDAGYVYRNMNPENLVLDTFGYLQLHDFR YVVALFFVLWTYGGKTLPS H257_00748 MYVQLHTSRIDDSLSGTTAITCFMDGNIIHIANVGDSRAVIAKT DAAGIVASPLSVDQTPYRTDERDRVKRYGARVLTMDQLEGITPIHENWATAVNEEVDE DGDPPRVWSPTGNFPGTAFTRSIGDEIAESLGVFATPEIASLQLTSNDRYVIIASDGV FEFLTNQAVVDIVKTYDNPLEACEKVVAESYRLWLHYELRTDDITIICIYLEHAVVPE TAESTQRLASQQANDLLTTNILNTRVTEQRPVRRGMSKQKRRDQMKKDMAKMVTDEDL NYNMSDHVVAKSKLEMDKILEITAANWLFKQLNAQQRTDVYKVMIRVNVNEGDVVIRQ GDPGDHFYCVQSGDYQVTVKSESTTGQREDIVHMYRGDTHPSFGELALMHNAPRSSSV VALTKGVLWAIDCRAFRLVFMKSPTNVIVQTLKKVDVLKSLTTSQLERLATKLTEITF EDGDYILNQGTIGDTFYVIKEGACICTMWDTAPGEGERRSREVLRLRQHQYFGERALF NDAPRAANVISVGRTKLLQIGRQTFEEILGPLQQIIDNDRAQREAKHLFQSAVSNLRD STAIAIAAAAGTQDRSTLKDDVLRGAVVPKYVTQSTEVGLLVTYETFSHVPLTVRTIS KKSTKSFNKMEEVMREVHLHKNLRTNCRVASVPPLLGTWTDANGLYMAFETNLVCDFA TLMTDHDAKLPEEAVRQYAAQLLVGLESLHDAGYVYRNMNPENLVLDTFGYLQLHDFR YVVALFFVLWTYGGKTLPS H257_00749 MRHGARLWLSTRPPCSTAVVCWLLVQSLAWSSVAARATTHDLHE QADAAAECAVERWIDAMTDASTCALRNTSPLTTPHDGNSEPHWLWNDLADGIISPYSG FSNLTWPDFLNQSTDWTAIRASFIECVLATWSAVIAWLFLLRLLSVPVLAGLLMVVES LLPHTRSLVLSAYQSFRSLAPGTQAVTLASFLCLVVAWRKGYFARAVLAWKRFKSRCR QAHSNFRRQVAVKSKIAAFLLPHVIYAIVCHVVATFSPAPVLGLLSHGLVFAWLSTFY PVYKSIRAVKAHRYTDQPATVYLERSLHYWILWAFYVTAHCLVTTLLPRFVLGFFHPS PLRVNFFLYWLHAMNGAQVLYRFAVNYVYPYYASQSDAAASLSVATIEHAPPVASTNV VLRTLTAVGLVSNRTANVMGDLMAQGPALCGLVFLVTPGFLTNVGCGAVAFAFPAYVA LGTLARNQRRTHEWWVCYFTVVAVAEYSFGSLHSVWAWVPFVYHVKLVGMLWLQFPYF RGAQWLFDVFFNNVLVDPRRSLELGPSADMPSNPPDLAVPQPSD H257_00750 MEETQQLGPLRFRARPALKTGPKKRPNKYHNVHVTYKMKQSVID SFDEVGMAATLAKHFPQLSGTRLNTTRKKVYGWLKHRAHIRVKATNRRTYDHLCSRDL GMATTLPREYEEQLARWVNSMRQDGDPVTPQMIQIMALETAIDTGLDEASVTASWQWL RGFKRRFKLSWRALTRSGEGDCDAALAKFSARAAELVREHVQVASPEASNTEAIESEE EFVSPKMMSDLVSISGVDETIDPTDDIDNSVQLL H257_00751 MAHENLMKAQQRQKAYYDKRHSNVTFKEGDQVLLATRNLPLKHA QMLDKSERPKLVPRFIGPFEIVQAINDNAMRLKLPRSMSRVHDVFNVDRLKHYHTNEA KFASRSIPKATPVILDESTGEEMYIVEKLLKKRQFNRKLEYLVKWHGQPESEATWELM KDIKHVVHFKQLVQDLESRRFKV H257_00752 MVQFSAAAHKAKGMDQVADEESCSSCQDIFKTKGSGAGEHSREQ AALAQRIFNQVLSGGEVSSQVPRDLFEDYWASRSIYNALAA H257_00755 MAMVKFTKDCWLFGGVDRATKRWFVILVGEDRTKLTLLALMKKH VRPGTLIMSDKFGSYVSTNVAHSLANNRYLQDIKYGHQWVNHTDNFVGPTTGAHTQCI EGMVPGLLPPFLDECLWRSWYFPSGTSGTTYFKGLAV H257_00756 MADECKKRKASEIVEDADDSVEEAEATAQQVEVVDLTAESNDDD RAAPNEDAAEVAQRKADWEAKAAVKRVRLGQLEQQAAADLVKSAMQTVGTEGKGKARG KEAKAIAAAAAKAADKAAKEAAETVRKATSEGVIGTPSGDGEHPNATDIGGKEGKASG EGAVVKTESKVKVELGGPERTGLARDEVFERELGFHWDCSRIFTPTGWGGVDSGYVRD VTRCLTVVGEFDKTRDNWGYQTANAAGVEHLRLFAIAQSFELRLARVSFHRFDEFKGG MRELHERERLEHWTVDVGRIELCNDETLLVYLVAFVWTLVGQDSGRHGQLPLTAGRLA KSLSNFAGGARLAQRTVDPSLSIAIPRSRSRGRSAVPGCSPPRGQATMSAAAQVQGAW LTPSWFRCSLRAPGRAYGFADGEFLDVGARRCAGGSAIVCGAAGESVCGDGQGYRARA RAVAAPQQVLRPMSQTATPGAQGEEEKSMAAEEEEPPRDPEDRLPSLHRS H257_00757 MTAWLFFLRLLSAPMLAGTWHWLRWPETSAEPTSGQYGRVGGRV LVRAPTQGVGVGAVCVPSQTDWEVVAAFPYFRGAQSLFHVFFNKYSDN H257_00758 MNNEAEAHGSGDAAEAWRAFGSDTEAGRLLKKLYCGNSKPVINY PKVKTKKQQTPAGPFIPGGGGIGADTRSNNLAASNTARSIKVPTLGNQSTHQYHAIDV IPAHRRHKDVIDKELGQIKRSIEGFRPSVASYPGSQAEKQKLQQRFTYAPGTILPQEM LPGSDLLDKELTHLDAKREGRPTTLQELKKLRSQVLGDIDSRKKYIADMSALGKNAET DQMHHDLQQLLLELNQINALMNQTS H257_00758 MNNEAEAHGSGDAAEAWRAFGSDTEAGRLLKKLYCGNSKPVINY PKVKTKKQQTPAGPFIPGGGGIGADTRSNNLAASNTARSIKVPTLGNQSTHQYHAIDV IPAHRRHKDVIDKELGQIKRSIEGFRPSVASYPGSQAEKQKLQQRFTYAPGTILPQEM LPGSGKCIHLSRYDNPVHRLARQGADAFGCQARRKANDVARAQEIAVSSSRRHRLAQK VHCRYVCVGKERRDRSDASRPAAIAA H257_00759 MAYMQDMGWPMMPFYSGAANALKMPPHQHLHQSSSDDGGGAGLE TAHSCTEPGCHHVFEDAQSLKDHLTQHTWGPHTIHMAPNGHKTFVCLSCGKSVTDRKV LRKHLLTHQEKRFMCSFDGCDKKFYERAKLKRHMLVHTGEKSFVCAFDGCGKQFAYKA NLKTHLRTHTGLKPFACMVPGCNRTFAQASNRNSHMQTHNRPSHPSPPPSAPPPHLPR KPPSSSSVLQPQPPPSQHFHPSAEEMHSLLDHVDSVMGDMAMPASFKSGPMTMSRSTM PISVLPLPLQELLDENPSNMYQRIPTPPFVRDKQPSVMRFKQKAHSNDLVAPLDLSVD GLKSSELYSMLPTPGSFIGSSVFTFEA H257_00760 MEVGGGGTSGFKDFDDIFGECEPEDKPTSLADVVPWDVEPKPPS LVQEDAHSSVATPVGDAFLVTWDTSVATMEFKTSESLDFLDIPSERSGFGEAPRESFA DVLAPVDSLTEFLDIASERSGFGEAPRESFADVLAPVDSLTEFLDIASERSGFGEAPR ESERSSFGEAPRESFAEFSAPVDSSTEFLDIPSERSSFGEAPRESFAEFSAPVDSSTE FGDFSAPADDYADFTPSSVADASSADDFGDFESSGVTSFGDFTFVPPPPHVASTPVPF DQAKITSLLAQGFPILSSTRPSLFLPTTSSSTTPHQILGSVDFENTQKPIVCTSLLDV LVKDIHTNHVKWDASTASVREEAAYALQQCKATLAQKVNEAVVHHALFSETSTAYAEY QSTIHSSDRSAILAALRKLQLDIFEDMSTKATLSMAEQAALSAQASIASHAQQHKDKA PGLKFQFAWHSKDKPDHDDRVASIRVLTPTGASISKLHRNSFSNMHATTNTASGGGSE GEHTSGSDGDGDNWETASATSDCTTGGTADDHRPSQPSRTGSGSLAGAVTGSGLMKKL STKLGFSSLRSTLSLASTKTKVVSLSLRRKGDSAVRTFDAPMDSISGGFDELKWKCAV FLYDADEVAAVAPSQIQVIGSNGVTVSSTDRFALQKLLKDKGTVWTIDVGTDAKESTS DT H257_00761 MGKIAFFDCSAGAAGDMILASLIDAGAPLDAIKAGLLTISAIRG EWDIYTERVWKGTGLIAATKVHVSSIHQHDPLPAPQHDVAQVKGASRESRHDDHDHDN SSHSHSHDHSHDHSHDHSHSHDHEENHDHSYKHHNDDYSHQDQGHHHHHHHHNDHHHE HRNFETISNMIDGSGLSRWVKENSKRVFHCLAEAEASVHGTTMDKVHFHEVGAIDSLV DTIGSVLALELLGVDQVHCSFLPFSKGFVRCLHGIMPVPAPATLRLFHGIPMGPAPNG AVGELVTPTGASLMKALAKTFGQPPPFLPTASGSGAGTKDFPNHANIVRVVIGTLTDP SATVVNAHWTPMPSSTTAAPTDATIENVAVLETNLDDMNPQLFGHVQGLLLQRGALDV WLQPAQMKKNRPAMVMSVLCEPHQVGDLSTIIFQETSTLGIRRQSMDRIVLRREKMDI PSQYGIASIKIGYLNGRVVNVQPEYEDCKTLALASNVPLKNVLATITSCAMDIIGASS KQDGHVNVQP H257_00761 MGKIAFFDCSAGAAGDMILASLIDAGAPLDAIKAGLLTISAIRG EWDIYTERVWKGTGLIAATKVHVSSIHQHDPLPAPQHDVAQVKGASRESRHDDHDHDN SSHSHSHDHSHDHSHDHSHSHDHEENHDHSYKHHNDDYSHQDQGHHHHHHHHNDHHHE HRNFETISNMIDGSGLSRWVKENSKRVFHCLAEAEASVHGTTMDKVHFHEVGAIDSLV DTIGSVLALELLGVDQVHCSFLPFSKGFVRCLHGIMPVPAPATLRLFHGIPMGPAPNG AVGELVTPTGASLMKALAKTFGQPPPFLPTASGSGAGTKDFPNHANIVRVVIGTLTDP SATVVNAHWTPMPSSTTAAPTDATIENVAVLETNLDDMNPQMKKNRPAMVMSVLCEPH QVGDLSTIIFQETSTLGIRRQSMDRIVLRREKMDIPSQYGIASIKIGYLNGRVVNVQP EYEDCKTLALASNVPLKNVLATITSCAMDIIGASSKQDGHVNVQP H257_00761 MGKIAFFDCSAGAAGDMILASLIDAGAPLDAIKAGLLTISAIRG EWDIYTERVWKGTGLIAATKVHVSSIHQHDPLPAPQHDVAQVKGASRESRHDDHDHDN SSHSHSHDHSHDHSHDHSHSHDHEENHDHSYKHHNDDYSHQDQGHHHHHHHHNDHHHE HRNFETISNMIDGSGLSRWVKENSKRVFHCLAEAEASVHGTTMDKVHFHEVGAIDSLV DTIGSVLALELLGVDQVHCSFLPFSKGFVRCLHGIMPVPAPATLRLFHGIPMGPAPNG AVGELVTPTGASLMKALAKTFGQPPPFLPTASGSGAGTKDFPNHANIVRVVIGTLTDP SATVVNAHWTPMPSSTTAAPTDATIENVAVLETNLDDMNPQLFGHVQGLLLQRGALDV WLQPAQMKKNRPAMVMSVLCEPHQVGDLSTIIFQETSTLGIRRQSMDRIVLRREKMDI PSQYGIASIKIGYLNGRVVNVQPEYEDCKVNQHSYSSHV H257_00761 MGKIAFFDCSAGAAGDMILASLIDAGAPLDAIKAGLLTISAIRG EWDIYTERVWKGTGLIAATKVHVSSIHQHDPLPAPQHDVAQVKGASRESRHDDHDHDN SSHSHSHDHSHDHSHDHSHSHDHEENHDHSYKHHNDDYSHQDQGHHHHHHHHNDHHHE HRNFETISNMIDGSGLSRWVKENSKRVFHCLAEAEASVHGTTMDKVHFHEVGAIDSLV DTIGSVLALELLGVDQVHCSFLPFSKGFVRCLHGIMPVPAPATLRLFHGIPMGPAPNG AVGELVTPTGASLMKALAKTFGQPPPFLPTASGSGAGTKDFPNHANIVRVVIGTLTDP SATVVNAHWTPMPSSTTAAPTDATIENVAVLETNLDDMNPQMKKNRPAMVMSVLCEPH QVGDLSTIIFQETSTLGIRRQSMDRIVLRREKMDIPSQYGIASIKIGYLNGRVVNVQP EYEDCKVNQHSYSSHV H257_00761 MGKIAFFDCSAGAAGDMILASLIDAGAPLDAIKAGLLTISAIRG EWDIYTERVWKGTGLIAATKVHVSSIHQHDPLPAPQHDVAQVKGASRESRHDDHDHDN SSHSHSHDHSHDHSHDHSHSHDHEENHDHSYKHHNDDYSHQDQGHHHHHHHHNDHHHE HRNFETISNMIDGSGLSRWVKENSKRVFHCLAEAEASVHGTTMDKVHFHEVGAIDSLV DTIGSVLALELLGVDQVHCSFLPFSKGFVRCLHGIMPVPAPATLRLFHGIPMGPAPNG AVGELVTPTGASLMKALAKTFGQPPPFLPTASGSGAGTKDFPNHANIVRVVIGTLTDP SATVVNAHWTPMPSSTTAAPTDATIENVAVLETNLDDMNPQLFGHVQGLLLQRGALDV WLQPAQMKKNRPAMVMSVLCEPHQVGDLSTIIFQETSTLGIRRWVFSALTSGAYYLCM LANPWIASSFVAKRWTFRRNMASRLSRLGT H257_00761 MGKIAFFDCSAGAAGDMILASLIDAGAPLDAIKAGLLTISAIRG EWDIYTERVWKGTGLIAATKVHVSSIHQHDPLPAPQHDVAQVKGASRESRHDDHDHDN SSHSHSHDHSHDHSHDHSHSHDHEENHDHSYKHHNDDYSHQDQGHHHHHHHHNDHHHE HRNFETISNMIDGSGLSRWVKENSKRVFHCLAEAEASVHGTTMDKVHFHEVGAIDSLV DTIGSVLALELLGVDQVHCSFLPFSKGFVRCLHGIMPVPAPATLRLFHGIPMGPAPNG AVGELVTPTGASLMKALAKTFGQPPPFLPTASGSGAGTKDFPNHANIVRVVIGTLTDP SATVVNAHWTPMPSSTTAAPTDATIENVAVLETNLDDMNPQLFGHVQGLLLQRGALDV WLQPAQMKKNRPAMVMSVLCEPHQVGDLSTIIFQETSTLGIRRWVFSALTSGAYYLCM LANPWIASSFVAKRWTFRRNMASRLSRLGT H257_00761 MGKIAFFDCSAGAAGDMILASLIDAGAPLDAIKAGLLTISAIRG EWDIYTERVWKGTGLIAATKVHVSSIHQHDPLPAPQHDVAQVKGASRESRHDDHDHDN SSHSHSHDHSHDHSHDHSHSHDHEENHDHSYKHHNDDYSHQDQGHHHHHHHHNDHHHE HRNFETISNMIDGSGLSRWVKENSKRVFHCLAEAEASVHGTTMDKVHFHEVGAIDSLV DTIGSVLALELLGVDQVHCSFLPFSKGFVRCLHGIMPVPAPATLRLFHGIPMGPAPNG AVGELVTPTGASLMKALAKTFGQPPPFLPTASGSGAGTKDFPNHANIVRVVIGTLTDP SATVVNAHWTPMPSSTTAAPTDATIENVAVLETNLDDMNPQLFGHVQGLLLQRGALDV WLQPAQMKKNRPAMVMSVLCEPHQVGDLSTIIFQETSTLGIRRQSMDRIVLRREKMDI PSQYGIASIKVTNLTPICQPP H257_00761 MGKIAFFDCSAGAAGDMILASLIDAGAPLDAIKAGLLTISAIRG EWDIYTERVWKGTGLIAATKVHVSSIHQHDPLPAPQHDVAQVKGASRESRHDDHDHDN SSHSHSHDHSHDHSHDHSHSHDHEENHDHSYKHHNDDYSHQDQGHHHHHHHHNDHHHE HRNFETISNMIDGSGLSRWVKENSKRVFHCLAEAEASVHGTTMDKVHFHEVGAIDSLV DTIGSVLALELLGVDQVHCSFLPFSKGFVRCLHGIMPVPAPATLRLFHGIPMGPAPNG AVGELVTPTGASLMKALAKTFGQPPPFLPTASGSGAGTKDFPNHANIVRVVIGTLTDP SATVVNAHWTPMPSSTTAAPTDATIENVAVLETNLDDMNPQLFGHVQGLLLQRGALDV WLQPAQMKKNRPAMVMR H257_00761 MGKIAFFDCSAGAAGDMILASLIDAGAPLDAIKAGLLTISAIRG EWDIYTERVWKGTGLIAATKVHVSSIHQHDPLPAPQHDVAQVKGASRESRHDDHDHDN SSHSHSHDHSHDHSHDHSHSHDHEENHDHSYKHHNDDYSHQDQGHHHHHHHHNDHHHE HRNFETISNMIDGSGLSRWVKENSKRVFHCLAEAEASVHGTTMDKVHFHEVGAIDSLV DTIGSVLALELLGVDQVHCSFLPFSKGFVRCLHGIMPVPAPATLRLFHGIPMGPAPNG AVGELVTPTGASLMKALAKTFGQPPPFLPTASGSGAGTKDFPNHANIVRVVIGTLTDP SATVVNAHWTPMPSSTTAAPTDATIENVAVLETNLDDMNPQLFGHVQGLLLQRGALDV WLQPAQMKKNRPAMVMR H257_00761 MGKIAFFDCSAGAAGDMILASLIDAGAPLDAIKAGLLTISAIRG EWDIYTERVWKGTGLIAATKVHVSSIHQHDPLPAPQHDVAQVKGASRESRHDDHDHDN SSHSHSHDHSHDHSHDHSHSHDHEENHDHSYKHHNDDYSHQDQGHHHHHHHHNDHHHE HRNFETISNMIDGSGLSRWVKENSKRVFHCLAEAEASVHGTTMDKVHFHEVGAIDSLV DTIGSVLALELLGVDQVHCSFLPFSKGFVRCLHGIMPVPAPATLRLFHGIPMGPAPNG AVGELVTPTGASLMKALAKTFGQPPPFLPTASGSGAGTKDFPNHANIVRVVIGTLTDP SATVVNAHWTPMPSSTTAAPTDATIENVAVLETNLDDMNPQLFGHVQGLLLQRGALDV WLQPAQVVSKFRLFVC H257_00762 MLQLRWFSSIRKGKSVSSARWLARQQNDHVVKQAKREGLRSRAA FKLRELNAKYDLIRKGNVVIDLGAAPGGWTQIAIDECRKGNVNPPSDARVQVVAVDLL PFDAFPGVGVVVGDFRLPDVREKISVLLDGRKADVVLSDMAPSFSGLGFRDSEEQLRL CQNALRMAQLYLKPGGRFVSKILRSPAGDQFRQEMKPFFTDVKTFKPESSRTESVEIF AVGLGFRTPPP H257_00763 MVKLSLKDVDVQGKRVLMRVDFNVPFDKKTGEISNSQRVDAALP TIQFALDNGAKSVVLMSHLGRPDGSAIAKYSLKPVAELLKKKLNRDIIFLNDSVGAEV EAACQNPANGSVILLENLRFHVEEEGKGKDLDGNKISATKEQVAAFRASLSKLGDVYV NDAFGTAHRAHSSMVGCDLPVKAAGFLMDKELVYFSKALDAPERPFLSILGGAKVADK IQLILNMLDKVDEMIVGGGMAFTFKKVIDQMEIGSSLYDEEGSKIVQNIVAKAQERGV KLHLPVDFVIANKFSSDAETRVVDDSEGIPQGWLGLDVGPKTNAIFAAAVARAKTIVW NGPMGVFEFDAFAQGTKNVMDAVVAATAGGATTIIGGGDTATCCVKYDTEDKVSHVST GGGASLELLEGKVLPGVAALTDVITTSSLSPISPKNVASIDNKPVAAVPAATRPPSAS PAAQDWTPVYVAVAVAASVLAVAVAVFRKK H257_00763 MVKLSLKDVDVQGKRVLMRVDFNVPFDKKTGEISNSQRVDAALP TIQFALDNGAKSVVLMSHLGRPDGSAIAKYSLKPVAELLKKKLNRDIIFLNDSVGAEV EAACQNPANGSVILLENLRFHVEEEGKGKDLDGNKISATKEQVAAFRASLSKLGDVYV NDAFGTAHRAHSSMVGCDLPVKAAGFLMDKELVYFSKALDAPERPFLSILGGAKVADK IQLILNMLDKVDEMIVGGGMAFTFKKVIDQMEIGSSLYDEEGSKIVQNIVAKAQERGV KLHLPVDFVIANKFSSDAETRVVDDSEGIPQGWLGLDVGPKTNAIFAAAVARAKTIVW NGPMGVFEFDAFAQGTKNVMDAVVAATAGGATTIIGGGDTATCCVKYDTEDKVSHVST GGGASLELLEGKVLPGVAALTDV H257_00764 MDELNAWVADAVRYQLGEHYAGQYMHSRNQVCTARGRDRGADLQ VYFASQVFKEHIRALHETKQPHDTPLRTTIQTPYGLVYPKEYATVTDLATALVEHLSS NTHPLVADVRRDGRGMLKITTKAHLEWHAIMGRFPCSLCGFYFNGAKGLRVHREIGHR QSYVQAHDDAIAATNTQLIVYTAPASTLHLWTQSAEATKRSRRALEPGLDAARRGDVQ TIQALLQQGWDARSVRDLHGNNAMLWAAIEGHLDMCKYLHEQVGLDAAALQGKLGRNA FHWAARNGHLHVCQWLVDDVKMDADSATLDGTTPLHYAVYGQQMGIVRWLVYVVHALN YADQCYATLGKLGATFIA H257_00765 MTGSVDILRFLVENGLDCTILNRNGHSALHKAAMKGHEDVCMWL LLATSEGGGGLQRKHMQADDEGFTPMTFASANGHSRLGLRLQAAYDALPFAMGDLST H257_00766 MVATAKNADEGRGHHYRTLELSIKKSGVASVGALLTSLLVTPLE VAKTRLQAQGPAATVVTANTSTVNYCHCTHFQFSNGLMDHMICKQNSQLFVDATTKRI PIACPVHTPTPVQLRGTMHALSHIVRSEGIGALYAGLPPTLLIAVPSTVLYFTSYDLL LAAAKRKYPDHTDAVPFVSGSLARCVAATVVSPIELIRIRMQAAPNAGSFAAVIQRSI AGGFVALWRGLVPTLARDVPFSAMYWGVFELLKKRLAALPALQATDNPAQTQLGIAFV AGATSGSLASVATQPFDVIKTKQQIETFSSSAETGKPLRVWRLMHEIVRTEGVGGLYI GLSARVAKVAPACAVMISTYEAGKQFLNVE H257_00767 MSPGIRCSLWVVLCLIALCHVDAHPHLRLPEHDPIPAIKGLISR TLGPQYNSQIEVVVTAKDERDHDVSSFDEHNGRVRVSGSSATAIGFALHKYLKDVVHT QADWDNHALVVPAVLPLPPSAVTIAKNTKFTYYLNVVTTSYSFWTWDWPQWAKHIDWM ALQGINLPLAFTGQEKIWLETFKKYNVSTAGMQHFFAGAAFQAWGRMGNVRDSWGPFG PIPLDFIEDQYRLQLRILDRMKEFGMLPALPAFAGHVPAELVTLYPHANVRQSSQWAN FPEKFTCVHMLDPTDPLYLDIGRTFIQVQTQLYGGYTSSVYQTDTYNELLPHTSDPAY LRASSKAVIDSMLAADPNAVWIMQGWLFYFMKDFWTNDKIEAYLGGVANDRLIMLDLW TESFPVWSRTSNYFGKPWIYCLLHTFGGNLGLHGNLPKLATNPITSLAASDGHMIGLG LTMEGIFQNYVVYDLALEMAWRSTPMPLDKWVQAYVHQRYHVENEHATKAWAFLKTSV YRDEGLVDSVATTRPRWQLAVNETDVDGNLLLPKRVSSDAVSAAWTELVLAGADSVGK VDAFQHDLVDVTRQVLNNVMVEYYTELVQLYLNPKTSSSVVCSKADQILDLLHDMDTI LATSTAFLLGRWIRDAKARGTPATAGYFEYQARNQLTRWGVGTLNDYANKQWAGLVGE YYAGRWRVWLKAVCDAREAGIPTDDAKIDGQINDFEVAWQTQTNEFPTDPVGDSVEIA TKLHAKYGTKQHRVVGVLDLGSTLDDL H257_00767 MSPEHDPIPAIKGLISRTLGPQYNSQIEVVVTAKDERDHDVSSF DEHNGRVRVSGSSATAIGFALHKYLKDVVHTQADWDNHALVVPAVLPLPPSAVTIAKN TKFTYYLNVVTTSYSFWTWDWPQWAKHIDWMALQGINLPLAFTGQEKIWLETFKKYNV STAGMQHFFAGAAFQAWGRMGNVRDSWGPFGPIPLDFIEDQYRLQLRILDRMKEFGML PALPAFAGHVPAELVTLYPHANVRQSSQWANFPEKFTCVHMLDPTDPLYLDIGRTFIQ VQTQLYGGYTSSVYQTDTYNELLPHTSDPAYLRASSKAVIDSMLAADPNAVWIMQGWL FYFMKDFWTNDKIEAYLGGVANDRLIMLDLWTESFPVWSRTSNYFGKPWIYCLLHTFG GNLGLHGNLPKLATNPITSLAASDGHMIGLGLTMEGIFQNYVVYDLALEMAWRSTPMP LDKWVQAYVHQRYHVENEHATKAWAFLKTSVYRDEGLVDSVATTRPRWQLAVNETDVD GNLLLPKRVSSDAVSAAWTELVLAGADSVGKVDAFQHDLVDVTRQVLNNVMVEYYTEL VQLYLNPKTSSSVVCSKADQILDLLHDMDTILATSTAFLLGRWIRDAKARGTPATAGY FEYQARNQLTRWGVGTLNDYANKQWAGLVGEYYAGRWRVWLKAVCDAREAGIPTDDAK IDGQINDFEVAWQTQTNEFPTDPVGDSVEIATKLHAKYGTKQHRVVGVLDLGSTLDDL H257_00768 MRESLMPMPDDSEDNHVDIPNMIVAVRIRPISQAEQLNGHRSCC RVAGDQTVVIEKPGIPLRHLKSQRGFTNEYAYDIAFPDYASQGDVYTKTVRNIIPTIL KGFNATIFAYGATGAGKTHTMMGSERDGNIFRLINSARADEEDASVAEGLGFQWSVVV TYLEVYNEQIRDLLNPSSRPLALREDPVKGVVHVAGLHHVTVETSSQVLQLLRSGNRN RRTEATAANQVSSRSHAVLQVTVKHMTTTMFRHNNPHEATTEGVLSLIDLAGSERASN TQNTGLRLTEGANINKSLLALANCINALSSSMKERRRSDSSVTLRVRDKPAPRTKYRD SKLTHLLKSSLEGDCRLVMIANINPSHACFEETHNTLKYANRAKNIRIRPKKHVVTAE MTHIQRADRLEQENAALRQALLDARGGGGVKRKSVDADMLNDLQLDMDAKRAKHHESV SLQRTIDQLTREKQQLQARVANLEAENRQLKQLQNGPRRVSVASTSQLHRSAVHPVAY PKSSRQSLIPRFNPQRP H257_00769 MLEHSMLLGRRAKPWIRAHETFPRIAGTRWPNRACCGTAARGRQ VCARRMLARPCSGPSPREMSPRRAQHGMARGTGCARRLPARVFRRAHSIRTWIACRLQ ARVRTRRRERCRSRSRPHGFRSQATTGLYWQR H257_00770 MMMIRAVARTRPATAKLVRGFSAAAQPEDDSALMQQIKEETLAR SHSVLPPHASDDQAPSLVEPIQYPEECALVSGVGEWTKGRKAVLYKPARNQMQSTKAF THHWELRFGTSANWQNPLMGWNSGADPVADLVMKFDTKEDAFAVAKRQGWTVEVFEPK EEEDFEGKIAYSHNFLPLHVENQLKKYGKKATPIFKHPTGGHSHWVKTLKYHGNGDVA QHGGEAKAQ H257_00771 MVVPMKYISIVFLTLASNLARGYQHPPHSPSTSSAHRMTCPALH NIANGSVQITGSAAGATASYSCQTNYAMTGTSSRTCKHGAWSGSEPRCRVVYSRDSDN SFKAGHKGYKIGMK H257_00772 MAWEAQPVNLTTWLPAYVHSRYHADNANAAQAWRTLLQSVYSVG DGGGVTKNIVAVRPGWDILHLSFQPTDISYDPRLVVTAWTHLLAAADKVPHTDAFLHD VVDVTRQVLCDHFLAHFKAIKRAFVGHNVSIEEFADTTENMLELLWDLDVILGSHKDF LLGRWLAQARALSGNHSDVATYLEYEARNQITRWGDSNDNYLSDYAAKDWAGLMASYY HPRWRIWLTEVTAAYESHRDVNTKAATDALEAFELGWQLETVAYPTSAHGDPVAIARR LLTKHAPRLARAQADGTRPSNNYHHPRHVPVFGPQFVLDQRRNGMHQSSKDCGLDCLS H257_00773 MLGLTVWQIFGAMCSATLVVDAMLDAPQHDAVAAVKGLVSRRLG EKYVDQFSFEVIPAIDDGKDVLEIGNDGGKVHIRGSSGTALAYAVQWYLKQEVHTQTN WDDHVLQLPDTLPQVSSTVRVEKVSKYTYYQNVCTVSYSMWTWGWEKWESHIDWMALN GINMPLAFTGQEKVWQATFKKFNVSDAGLNKFFAGASFLAWGRMGNVRGSWVKGPLAQ EFIDGQFDLQVKILARMREYGMIPALPAFAGHIPEEITHLFPHAKTYRSPNWGNFPDT YTNVYMLASSDPLYVEIGRTFLEEQTRLNGGFTSSLYQADTYNEMDPSSGDHDFLHEA SKAVIDSMTQADPHAVWLLQAWTFNRGFWGHDQIQSYLGGVPDDKMILLDLYSETIPI WPRSSNFFGKKWIYCLLHNFGGNTGLRGNLPQYAQEPINARHQSNGTMVGIGLTM H257_00774 MQLLLHVVTAVALTAASVLGSIQLTPPKHDAIKATEGLIERRLG KEYLDQFSLHVIPATTDGRDVLEIGSNGDKVAIKGSSGTALGYALHWYLKHVVHTQTD WEDHQLYLPKALPRINSVVRVERSAKYSYYENVCTVSYSQWTWGWTKWEKHIDWMALN GINMPLAFTGQEKVWQSTFMKFNVSDAGLDKFFAGAAFLAWGRMGNLRGSWVKGPLPQ QFIDDQFDLQVKILDRMREYGMIPALPGFAGHIPQEIATIYKNATISRSPNWGNFPDE FCCVYMLDPTDPLYTSIGQTFIAEQRRLYGYTSSLYQADTYNEMDPAQSDPAYLAKAS KAVIDSMTLADPNAVWLMQGWLFLSEYWTNDRIQAYVGGVPDDKLIILDLYSEVVPIW QKTHNYFGKAWIYCVLHNFGGNMGLRGDLPTLAADPVAARIASNGTLIGIGLTMEGIF QNYVVYDLTLQMAWEAQPVDLITWLPEFVHSRYHIDDANAKHTWTTLLQSVYNVTKVF GGVTKSLATIRPHWKMVQDGFMGTKIVYDAKQVVVAWRSLVAAGTSSPELKLVDTYLH DVVDVTRQALSDLLYKHYQGLERDFHNDHTPLKQIQGRARVILDIMQDMDRILGTHQD FLLGKWLYDAKALAGHDGMSDLSLYYEYEARNQVTRWGDANGNVLGDYATKQWAGLVS SYYLPRWQFWLKDVVAAFEQRRPVDEAAVRKVTEAFELAWNRETKSYPIAPQGDPLAL SETLYNKYMHVAVFDATSFLPLS H257_00775 MSPDFNVLDLGFFNSIQALQHRQVVTGIDDIILAVHGAFDELDF RVLDKTFMTLQKVMEESLKMDGDNSEPSLGM H257_00776 MRQRTCMGVEKRREPHRWNDHGYANVLHQVSSQTGRGCNTSRCH PRGFHPHEDRVAQCRTEEEGVGQRRHQWRREVATVFEKVRQYTHLVVKVGNPKAKERV RTPSGGGMRQSFKAKEVSALRRRLPFLKHRAQ H257_00777 MTSTIPPLKPSLESSPWVQVHRNTLKEIVTVDMLPVRPNPLARD SQDSFSKTGLQDVLKFDVHGNATMLRMRRADVLKMTQQAAAALGGSPHAAKQPSDGTP VGPSITGRLPNPSHPHGLSVSDAQMVHMRDLRKLDYAFVESYEPSIVVRKQAILINAD PIRSVIMRDCCLMFVPDTNHALVDLLKQNFHDTHGEDDDNQMAFEFRALEAILSTVCR FLANDFERMAPIVNSSLERLASAQMSSGELETLRTLKNAMNEFESQVNGLRRVLMEIL DNEVDLHLLYLTQLFANPIMMNELWSFDAEEAESLLEVYLQDIHNTKTKVALMLHRIQ NTESVVMLKMDAVRNYLLTADMLFTLIMVCMTFGMFVTAAFGMNLTSGLEQTQGAFVA VLAITVLCAVVSVYVGIAFFRKRGVII H257_00777 MLRMRRADVLKMTQQAAAALGGSPHAAKQPSDGTPVGPSITGRL PNPSHPHGLSVSDAQMVHMRDLRKLDYAFVESYEPSIVVRKQAILINADPIRSVIMRD CCLMFVPDTNHALVDLLKQNFHDTHGEDDDNQMAFEFRALEAILSTVCRFLANDFERM APIVNSSLERLASAQMSSGELETLRTLKNAMNEFESQVNGLRRVLMEILDNEVDLHLL YLTQLFANPIMMNELWSFDAEEAESLLEVYLQDIHNTKTKVALMLHRIQNTESVVMLK MDAVRNYLLTADMLFTLIMVCMTFGMFVTAAFGMNLTSGLEQTQGAFVAVLAITVLCA VVSVYVGIAFFRKRGVII H257_00778 MAWFSSGTTNDELAMALKGHDIIKSKEVLDAFRQVDRAFFVPRT MLSRAYKDYPIREGTLHLSAPHIYAQVMEALELHPGASFLNVGSGSGYLSCLVGAITG KDSINHGLEIDPGVVDSCRASLTQYANHQRRGSARDAPPNNQVDDSDDEDGGTSELSD EDMHEVEVPQQGIERANKQVDASFSVCHVVCGDVFRMNVAKNMKYDRIYVGARAPERL QDMMKELLNPFGIVVGPFEGKLKKIRRRDGDQFSEVVLGNVTFAPMREVSNNGDPIED AICYFPPQVWSQQRHQTYPRRFKEAVHSLMTTDSRLPSTLWLRVFEFCSYDWFHEELN DMAKLRVLLEIESNSREDAEKRALKAEVERDKFRLLLWRQQNQIQHLMARLARGELQE NANNPIRAGHEGDAGDDDELVSSDDDMSDDDDDL H257_00778 MAWFSSGTTNDELAMALKGHDIIKSKEVLDAFRQVDRAFFVPRT MLSRAYKDYPIREGTLHLSAPHIYAQVMEALELHPGASFLNVGSGSGYLSCLVGAITG KDSINHGLEIDPGVVDSCRASLTQYANHQRRGSARDAPPNNQVDDSDDEDGGTSELSD EDMHEVEVPQQGIERANKQVDASFSVCHVVCGDVFRMNVAKNMKYDRIYVGARAPERL QDMMKELLNPFGIVVGPFEGKLKKIRRRDGDQFSEVVLGNVTFAPMREVSNNGDPIED AICYFPPQVWSQQRHQTYPRRFKEAVHSLMTTDSRLPSTLWLRVFEFCSYDWFHEELN DMAKLRVLLEIESNSREDAEKRALKAEVERDKFRLLLWRQQNQIQHLMARLARGELQE NANNPIRAGHEGDAGDDDELVSSDDDMSDDDDDL H257_00778 MAWFSSGTTNDELAMALKGHDIIKSKEVLDAFRQVDRAFFVPRT MLSRAYKDYPIREGTLHLSAPHIYAQVMEALELHPGASFLNVGSGSGYLSCLVGAITG KDSINHGLEIDPGVVDSCRASLTQYANHQRRGSARDAPPNNQVDDSDDEDGGTSELSD EDMHEVEVPQQGIERANKQVDASFSVCHVVCGDVFRMNVAKNMKYDRIYVGARAPERL QDMMKELLNPFGIVVGPFEGKLKKIRRRDGDQFSEVVLGNVTFAPMREVSNNGDPIED AICYFPPQVWSQQRHQTYPRRFKEAVHSLMTTDSRLPSTLWLRVFEFCSYDWFHEELN DMAKLRVLLEIESNSREDAEKRALKAEVERDKFRLLLWRQQNQIQHLMARSCP H257_00778 MAWFSSGTTNDELAMALKGHDIIKSKEVLDAFRQVDRAFFVPRT MLSRAYKDYPIREGTLHLSAPHIYAQVMEALELHPGASFLNVGSGSGYLSCLVGAITG KDSINHGLEIDPGVVDSCRASLTQYANHQRRGSARDAPPNNQVDDSDDEDGGTSELSD EDMHEVEVPQQGIERANKQVDASFSVCHVVCGDVFRMNVAKNMKYDRIYVGARAPERL QDMMKELLNPFGIVVGPFEGKLKKIRRRDGDQFSEVVLGNVTFAPMREVSNNGDPIED AICYFPPQVWSQQRHQTYPRRFKEAVHSLMTTDSRLPSTLWLRVFEFCSYDWFHEELN DMAKLRVLLEIESNSREDAEKRALKAEVERDKFRLLLWRQQNQIQHLMARSCP H257_00778 MAWFSSGTTNDELAMALKGHDIIKSKEVLDAFRQVDRAFFVPRT MLSRAYKDYPIREGTLHLSAPHIYAQVMEALELHPGASFLNVGSGSGYLSCLVGAITG KDSINHGLEIDPGVVDSCRASLTQYANHQRRGSARDAPPNNQVDDSDDEDGGTSELSD EDMHEVEVPQQGIERANKQVDASFSVCHVVCGDVFRMNVAKNMKYDRIYVGARAPERL QDMMKELLNPFGIVVGPFEGKLKKIRRRDGDQFSEVVLGNVTFAPMREVSNNGDPIED AICYFPPQVWSQQRHQTYPRRFKEAVHSLMTTDSRLPSTLWLRVFEFCSYDWFHEELN DMAKLRVLLESTSTIRHDLMCV H257_00778 MAWFSSGTTNDELAMALKGHDIIKSKEVLDAFRQVDRAFFVPRT MLSRAYKDYPIREGTLHLSAPHIYAQVMEALELHPGASFLNVGSGSGYLSCLVGAITG KDSINHGLEIDPGVVDSCRASLTQYANHQRRGSARDAPPNNQVDDSDDEDGGTSELSD EDMHEVEVPQQGIERANKQVDASFSVCHVVCGDVFRMNVAKNMKYDRIYVGARAPERL QDMMKELLNPFGIVVGPFEGKLKKIRRRDGDQFSEVVLGNVTFAPMREVSNNGDPIED AICYFPPQVWSQQRHQTYPRRFKEAVHSLMTTDSRLPSTLWLRVFEFCSYDWFHEELN DMAKLRVLLESTSTIRHDLMCV H257_00778 MAWFSSGTTNDELAMALKGHDIIKSKEVLDAFRQVDRAFFVPRT MLSRAYKDYPIREGTLHLSAPHIYAQVMEALELHPGASFLNVGSGSGYLSCLVGAITG KDSINHGLEIDPGVVDSCRASLTQYANHQRRGSARDAPPNNQVDDSDDEDGGTSELSD EDMHEVEVPQQGIERANKQVDASFSVCHVVCGDVFRMNVAKNMKYDRIYVGARAPERL QDMMKELLNPFGIVVGPFEGKLKKIRRRDGDQFSEVVLGNVTFAPMREVSNNGDPIED AICYFPPQVWSQQRHQTYPRRFKEAVHSLMTTDSRLPSTLWLRVFE H257_00778 MAWFSSGTTNDELAMALKGHDIIKSKEVLDAFRQVDRAFFVPRT MLSRAYKDYPIREGTLHLSAPHIYAQVMEALELHPGASFLNVGSGSGYLSCLVGAITG KDSINHGLEIDPGVVDSCRASLTQYANHQRRGSARDAPPNNQVDDSDDEDGGTSELSD EDMHEVEVPQQGIERANKQVDASFSVCHVVCGDVFRMNVAKNMKYDRIYVGARAPERL QDMMKELLNPFGIVVGPFEGKLKKIRRRDGDQFSEVVLGNVTFAPMREVSNNGDPIED AICYFPPQVWSQQRHQTYPRRFKEAVHSLMTTDSRLPSTLWLRVFE H257_00779 MAKSRLVDTDMTKYGSCNTSEPPNWVFGQFGTPTKALIEHDFCT SHEHGLLLGLDALNSKRMVLKFDTSGKMEYVEMARSDVLKVVQDATRPDKDHRKAPSH RNASVAPTSHMTSGQRLRRRASFSQLPVNAEVQALHMRDLRNLDDNMDNATSITIRRQ VILVHCGPLRCVVMRNALLMFVPTGADTLIQILRDKVAQCCAEDDDIAFEFRALEAIF YTLCKLLSGDCEKLVDKVSLALTRLSSASFASGELETLTILKNKVHEFESQILDTRRI LMELLDNDQDMRLLYLSKLYHNPTIVADSMGLDVEEAEALVEAYLLVRGVDGVETVVE IDGWCGVCPVGHSRDAHQGGAASNAHGQHGKHCDAETRLGPQRPALDRHDLWHGDARD ELGHVRVECVWHEPRVWLRNAAASVLGRLGRVHDRRGGPHLCGHSILQNQRCDLVITT ARRRTTATPTARSGRAPRRRERPYETSPKVSTDHTSQPGCALDG H257_00779 MAKSRLVDTDMTKYGSCNTSEPPNWVFGQFGTPTKALIEHDFCT SHEHGLLLGLDALNSKRMVLKFDTSGKMEYVEMARSDVLKVVQDATRPDKDHRKAPSH RNASVAPTSHMTSGQRLRRRASFSQLPVNAEVQALHMRDLRNLDDNMDNATSITIRRQ VILVHCGPLRCVVMRNALLMFVPTGADTLIQILRDKVAQCCAEDDDIAFEFRALEAIF YTLCKLLSGDCEKLVDKVSLALTRLSSASFASGELETLTILKNKVHEFESQILDTRRI LMELLDNDQDMRLLYLSKLYHNPTIVADSMGLDVEEAEALVEAYLLDIHAMRTKVGLL QTRMVNTENIVMLKLDSVRNALLSIDTIFGMVMLAMNLAMFVSSAFGMNLVSGYETQP HLFWAVLGVSTTAAGVLIYVGIRYFKTKGVILL H257_00779 MAKSRLVDTDMTKYGSCNTSEPPNWVFGQFGTPTKALIEHDFCT SHEHGLLLGLDALNSKRMVLKFDTSGKMEYVEMARSDVLKVVQDATRPDKDHRKAPSH RNASVAPTSHMTSGQRLRRRASFSQLPVNAEVQALHMRDLRNLDDNMDNATSITIRRQ VILVHCGPLRCVVMRNALLMFVPTGADTLIQILRDKVAQCCAEDDDIAFEFRALEAIF YTLCKLLSGDCEKLVDKVSLALTRLSSASFASGELETLTILKNKVHEFESQILDTRRI LMELLDNDQDMRLLYLSKVRSLPSRVSVRS H257_00779 MAKSRLVDTDMTKYGSCNTSEPPNWVFGQFGTPTKALIEHDFCT SHEHGLLLGLDALNSKRMVLKFDTSGKMEYVEMARSDVLKVVQDATRPDKDHRKAPSH RNASVAPTSHMTSGQRLRRRASFSQLPVNAEVQALHMRDLRNLDDNMDNATSITIRRQ VILVHCGPLRCVVMRNALLMFVPTGADTLIQILRDKVAQCCAEDDDIAFEFRALEAIF YTLCKLLSGDCEKLVDKVSLALTRLSSASFASGELETLTILKNKVHEFESQILDTRRI LMELLDNDQDMRLLYLSKVRSLPSRVSVRS H257_00779 MVLKFDTSGKMEYVEMARSDVLKVVQDATRPDKDHRKAPSHRNA SVAPTSHMTSGQRLRRRASFSQLPVNAEVQALHMRDLRNLDDNMDNATSITIRRQVIL VHCGPLRCVVMRNALLMFVPTGADTLIQILRDKVAQCCAEDDDIAFEFRALEAIFYTL CKLLSGDCEKLVDKVSLALTRLSSASFASGELETLTILKNKVHEFESQILDTRRILME LLDNDQDMRLLYLSKLYHNPTIVADSMGLDVEEAEALVEAYLLDIHAMRTKVGLLQTR MVNTENIVMLKLDSVRNALLSIDTIFGMVMLAMNLAMFVSSAFGMNLVSGYETQPHLF WAVLGVSTTAAGVLIYVGIRYFKTKGVILL H257_00780 MAVRAPSRGGDEERAFDAELILFVPEEERVAVMVEACSKHSRSF VAHVYRPFLSYALQHPHATSLPALLRRFLEEVDLAKRVSMIRIGWEELEKVLAANHDN NTVCVVALESFLATCPMQVESMVDFIERTMTCDLSKDVRKQVMQSVYRCNLSDDAKRW YFVQAMQLESTSHLREFALGYLQKMDLSHDAALHAIVNQLRDKSKRMHTMALSFP H257_00780 MAVRAPSRGGDEERAFDAELILFVPEEERVAVMVEACSKHSRSF VAHVYRPFLSYALQHPHATSLPALLRRFLEEVDLAKRVSMIRIGWEELEKVLAANHDN NTVCVVALESFLATCPMQVESMVDFIERTMTCDLSKDVRKQVMQSVYRCNLSDDAKRW YFVQAMQLESTSHLREFALGYLQKMDLSHDAALHAIVNQLRDKSKRMHTMALSFP H257_00780 MAVRAPSRGGDEERAFDAELILFVPEEERVAVMVEACSKHSRSF VAHVYRPFLSYALQHPHATSLPALLRRFLEEVDLAKRVSMIRIGWEELEKVLAANHDN NTVCVVALESFLATCPMQVESMVDFIERTMTCDLSKDVRKQVMQSVYRCNLSDDAKRW YFVQAMQLESTRYVHQESLMSPSRVQPPARVCFGIPAKDGPLP H257_00780 MAVRAPSRGGDEERAFDAELILFVPEEERVAVMVEACSKHSRSF VAHVYRPFLSYALQHPHATSLPALLRRFLEEVDLAKRVSMIRIGWEELEKVLAANHDN NTVCVVALESFLATCPMQVESMVDFIERTMTCDLSKDVRKQVMQSVYRCNLSDDAKRW YFVQAMQLESTRYVHQESLMSPSRVQPPARVCFGIPAKDGPLP H257_00781 MGKKVCNPISPHFWTHEEHCRFLEALEKCGHCTPSSAVWTMIAD YVGTRNFKDVKLHANRYFLQLQMVNTQKRKEMHAMQVVDSRWTRADDQLFEELLAHYS NCAYYPWEIIATKFANKSAKGVRERYQKLLFDMALIESGHHVTMHLQHPSAPVDRATE DEHDDAEFRIYDCSVTITVDEEDMLLTALEEASVPQTASTDLLAIVASAVVAISSQSN KKPPSRTQMAFTKQDADSAIAKILALPTLDAPTVLDTLLVALNLKDDPNFLPPPDTKQ ALRPLLPHSMALECPRPHHPLHQHRHQEHHHHHQQHPPQVPSYHHQQHQASPDMRQGH ASATCTMHTGYNI H257_00782 MFARAHLRGDAVRHDAQGHGVPKSLQVDGGQARHVHEFPRNKVD VEAEERKRVRVLGIVLVQVLRGLSLCPRGCRLAKTFHPQRWRHLSRRGAHEFIDGRVQ RETNVTQLFVGGMAHVVG H257_00783 MQVLTLGLVGAIICLWFTFDAGTSIFIMLVMFLLVSYVANRVLL ESSPAHFHDIDVDHDEDVIFDDEYEEGVVKSAPKRSHPGEKQSWNVPALHIRELVMPS TTSQLRKDTLQVGQELWPNSDTPVEIENEYFSGRILFLLKTEPRSPTWGQLFVGRRRL FWIQLQGKFKKQPQGIVYVGGEIPNKMKLGFFAKGLCRVLLSVINCLVVGLHNSFGRL YPGDVAAVDDEELPHLAFPLHSSVDEFICTPAGEDPPALGADGFMETREERAHRRSGK HPYEFNTHDTYSFSFFNFYLDFENWKVVNAPGVPDIHLAQFWGNMPLRIVSYSLAQPS PRHTRALKLYHFCFELTPPTSQLDSSFMRTAADVAAIDVDAEELEFLRDEQNAHTQLA NELSCFVFTVPAWLEYFSTHDSAKGPGQRRVAYVFDILEYADSSRTRLKRHHVAIHGA SQSHMPLTLANEHPTGDAFGKQFEFTVESKLESGAAIEKERVDMESRLVEISRDRIMA TDTDGQNHWQRRSIAHLIAAKNQLKRLLTSPSTVLPYAPFFAARGLVANATQCHVVRM VRDSHWRNEWMILDTGKSKALRFFRMSSSTACVTIHVADILALSSAAALNLPATGNCN GMHWFQIETLARVHVVAVASYQEFEFWTSALAGEVDKIVADGKDVLARSVLGQPFRSI ATTLGKVRHPKDVFAPTHDGRIMLNDRRIAARFGQHPSSTSTDLKRFADVCGIAEKAL RMVLVLTKHPTVCLTSEVLTFLDMVSSLKRTLPLLASMQSAPPAAHRTAFFLNVYHIL VLHGSFLELLPTVKPKLHWSSFYHGVSYDIAGMSLTPAEIDHAIVRASLCPLKPPFPA FVVPRFADDDPRSALKLPTADYRLDFALNCLTKSCVQVIAVFRGDDLDRQLDYICRVV LSTLMSTDSKRHVIYLPRICEWYHADFPGDDQVLSKVTTLASHLDGDIKRAVDALLSH PTKLSIKYLKYDYGYHNTIYLTGDE H257_00784 MSERKAVIKNADMHEDMQQDAVDCASQALEKYNIEKDIAAFIKK EFDKKYNPTWHCIVGRNFGSYVTHETKHFIYFYLGQVAILLFKSG H257_00785 MPFDLGEHCSKPGCHQNDFLPFACDCCAGVFCLEHRTYDAHSCP NAGRKDSRAITCPLCRATIPLTNDQDVNVIFEQHTRAGNCNPESYNDRKKAKARCEAE NCREILTASNTMQCPTCRKKVCLRHRFETDHQCKRQRHVPPSHPKASTTRTTPSSSTA QLQQRLNSVGTSVSSTVSRLVGNAKNAFPASTTSAAVTTSTDACPICQQTFRYTSQLI AHVNNAHPDVSGSRNARPATAVAAPPPAPGTAEACPYCRATFSEVTQLVAHVERDHGN VQNKSECIAM H257_00785 MPFDLGEHCSKPGCHQNDFLPFACDCCAGVFCLEHRTYDAHSCP NAGRKDSRAITCPLCRATIPLTNDQDVNVIFEQHTRAGNCNPESYNDRKKAKARCEAE NCREILTASNTMQCPTCRKKVCLRHRFETDHQCKRQRHVPPSHPKASTTRTTPSSSTA QLQQRLNSVGTSVSSTVSRLVGNAKNAFPASTTSAAVTTSTDACPICQQTFRYTSQLI AHVNNAHPDVSGSRVSCP H257_00786 MEEQGQVVQLTQEEHAAHLAQMEQQLKVFWAGQLAEMEKLEVGS EQDFKNHNDLPLARIKRIMKSDEDVRMISAEAPVLFAKACEMFILELTLRSWGYSEKN KRRTLQKEDIQTAIRNTDIFDFLVDVIN H257_00787 MSSADGPHHGHHEAKKVKTTLTIEVALDDGEFINPTFRYRFLDG SKKVTPPVGTAGSWVAIAADGSVVVPAANTPAVNAASKAPSSARQGQGTVDIAEAGPK YFRHEQHIPDMEITEVFATKLNDNPIVTFFLGDQSPAPVATAVVEHNHTTTPGTGRNF ITFFEVDTSPLLAGELVVEHQWGFDSAPKDMHLPLGAPMVNAHGIRSVVIRVKVDHAM LSSTLQQSLNPLTVCIRKATNLPGITVQSKPLLQYITPTPHTALHKCCVPAYASLRLP QCPNRIVRTPGILQDSVVAWRHKTTFLCGSLDWPSLQETFQAGYVQVELHDRDVHVDR EYSELVKKWESYVGGRVPDSSSHGADHHSTSNAKLDLFQVDDIAKQDIQHLFYVRAGD RNAHGLCTYRLYALLNTTNLKKQEPGKPFMALKFTADVVQQKRRLPPKEGSAEEINLS QVDKLVRLPGSYLNCMTSLTLEATLQFPLTGPPPSTATTQGGAFTRMVVVIPYNDVAT LQNISKAMEAVNSAALPGVPLRSYQLTPAQKIACDGGDLNLVTGFQVIDSHYRMLFLE GIADAGLATMYKLVPRTAANSRTGFRMFANLDVRFIHRLYTAFDVDMKRIKLRDPLPD IAKRPELYMRSKVSENCFQALNRLGNVRAADRLVELKDLNLFPTVQMLLEVESKYGES ITLEDIHGSHGSHDQAKQHVLKQLQGGSSTISATTGTASTTSHNTIETTTALSTSVPG EAAAVAVRYKAETDATNNAFDLAKKNWTPKDYIQARRVDRQLADKAYALVKTANAGAD DGEPVYIYSGQKLRTKDLAKDAMRQRLSRDRKATYTYSKDFNSLTMSMVDDTKLAVLA EAESRAQFTTPSGFVYPAPRQPSEFYKHKDAPSAARCQDLHSPWIENLYHPQPLSRGG EGETSPFFNSLPSKDMVFGGTNADGSVNAEYFKSVHLVGDGLAKEMEEAKAKDQKEWM DKLVVDRDNLRFIAHGDIMGQGRARPSPLDKPCDILAGPVRSKPLRIVRAAKLPSGKA VPLQAMPVTIMDQDVYTGGAKKVIVRDKDSALFIAKNDRGDAKDFLFPSITQILVPDV NKHTTLLKPRKPLGDAEKAGLRWTNPNQDSR H257_00787 MSSADGPHHGHHEAKKVKTTLTIEVALDDGEFINPTFRYRFLDG SKKVTPPVGTAGSWVAIAADGSVVVPAANTPAVNAASKAPSSARQGQGTVDIAEAGPK YFRHEQHIPDMEITEVFATKLNDNPIVTFFLGDQSPAPVATAVVEHNHTTTPGTGRNF ITFFEVDTSPLLAGELVVEHQWGFDSAPKDMHLPLGAPMVNAHGIRSVVIRVKVDHAM LSSTLQQSLNPLTVCIRKATNLPGITVQSKPLLQYITPTPHTALHKCCVPAYASLRLP QCPNRIVRTPGILQDSVVAWRHKTTFLCGSLDWPSLQETFQAGYVQVELHDRDVHVDR EYSELVKKWESYVGGRVPDSSSHGADHHSTSNAKLDLFQVDDIAKQDIQHLFYVRAGD RNAHGLCTYRLYALLNTTNLKKQEPGKPFMALKFTADVVQQKRRLPPKEGSAEEINLS QVDKLVRLPGSYLNCMTSLTLEATLQFPLTGPPPSTATTQGGAFTRMVVVIPYNDVAT LQNISKAMEAVNSAALPGVPLRSYQLTPAQKIACDGGDLNLVTGFQVIDSHYRMLFLE GIADAGLATMYKLVPRTAANSRTGFRMFANLDVRFIHRLYTAFDVDMKRIKLRDPLPD IAKRPELYMRSKVSENCFQALNRLGNVRAADRLVELKDLNLFPTVQMLLEVESKYGES ITLEDIHGSHGSHDQAKQHVLKQLQGGSSTISATTGTASTTSHNTIETTTALSTSVPG EAAAVAVRYKAETDATNNAFDLAKKNWTPKDYIQARRVDRQLADKAYALVKTANAGAD DGEPVYIYSGQKLRTKDLAKDAMRQRLSRDRKATYTYSKDFNSLTMSMVDDTKLAVLA EAESRAQFTTPSGFVYPAPRQPSEFYKHKDAPSAARCQDLHSPWIENLYHPQPLSRGG EGETSPFFNSLPSKDMVFGGTNADGSVNAEYFKSVHLVGDGLAKEMEEAKAKDQKEWM DKLVVDRDNLRFIAHGDIMGQGRARPSPLDKPCDILAGPVRSKPLRIVRAAKLPSGKA VPLQAMPVTIMDQDVYTGGAKKVIVRDKDSALFIAKNDRGDAKDFLFPSITQILVPDV NKHTTLLKPRKPLGDAEKAGLRWTNPNQDSR H257_00787 MVPITAITVGGLTTYAHDHDIYVEAKKVKTTLTIEVALDDGEFI NPTFRYRFLDGSKKVTPPVGTAGSWVAIAADGSVVVPAANTPAVNAASKAPSSARQGQ GTVDIAEAGPKYFRHEQHIPDMEITEVFATKLNDNPIVTFFLGDQSPAPVATAVVEHN HTTTPGTGRNFITFFEVDTSPLLAGELVVEHQWGFDSAPKDMHLPLGAPMVNAHGIRS VVIRVKVDHAMLSSTLQQSLNPLTVCIRKATNLPGITVQSKPLLQYITPTPHTALHKC CVPAYASLRLPQCPNRIVRTPGILQDSVVAWRHKTTFLCGSLDWPSLQETFQAGYVQV ELHDRDVHVDREYSELVKKWESYVGGRVPDSSSHGADHHSTSNAKLDLFQVDDIAKQD IQHLFYVRAGDRNAHGLCTYRLYALLNTTNLKKQEPGKPFMALKFTADVVQQKRRLPP KEGSAEEINLSQVDKLVRLPGSYLNCMTSLTLEATLQFPLTGPPPSTATTQGGAFTRM VVVIPYNDVATLQNISKAMEAVNSAALPGVPLRSYQLTPAQKIACDGGDLNLVTGFQV IDSHYRMLFLEGIADAGLATMYKLVPRTAANSRTGFRMFANLDVRFIHRLYTAFDVDM KRIKLRDPLPDIAKRPELYMRSKVSENCFQALNRLGNVRAADRLVELKDLNLFPTVQM LLEVESKYGESITLEDIHGSHGSHDQAKQHVLKQLQGGSSTISATTGTASTTSHNTIE TTTALSTSVPGEAAAVAVRYKAETDATNNAFDLAKKNWTPKDYIQARRVDRQLADKAY ALVKTANAGADDGEPVYIYSGQKLRTKDLAKDAMRQRLSRDRKATYTYSKDFNSLTMS MVDDTKLAVLAEAESRAQFTTPSGFVYPAPRQPSEFYKHKDAPSAARCQDLHSPWIEN LYHPQPLSRGGEGETSPFFNSLPSKDMVFGGTNADGSVNAEYFKSVHLVGDGLAKEME EAKAKDQKEWMDKLVVDRDNLRFIAHGDIMGQGRARPSPLDKPCDILAGPVRSKPLRI VRAAKLPSGKAVPLQAMPVTIMDQDVYTGGAKKVIVRDKDSALFIAKNDRGDAKDFLF PSITQILVPDVNKHTTLLKPRKPLGDAEKAGLRWTNPNQDSR H257_00788 MTVPGPVFRANLLIRGEDHVVALYDTEPAGVLCTVINTTTTNRY TRAFSADELKAAKLTKTQADYFHLVESLFFAPSGGVNELQLHSSLAGMRPPVAFATAA AAQHYLTNAQSGNERFSQVLSRGLMMLCKDKPMGLQAITRLGKWLLENNPNKPKVVVK K H257_00789 MQSCSRSTPTREGMTGVPWDTRWLEHDRWTSTLRGTAHEGPFAR PVAVSATGASLRRCTVSRTRTHGTACYHDRHTEESWWLPPASSSLPRCRLGTDALLAS LRSPDEAFSS H257_00790 MPIEDSSDDEPLASKAAALQNPSPAASTTATTALPPTARPQVQM VPIDASVYKSPVLKKVNQLTQALASGGQLPTLAELNVFNVREVREMVPTEVSTEIESM VVGVVSSILRGEGFRYAVPSRTTANQIYVTELDRIVLADKLSVRDFTNMSAVRKTAIT TKVMELVHQLLAKGIHVTKRDLFYTDVKLFKDQSESDTILDDVACMVGCTRSSLHVVA SEKGVVVGRIAFRDDGDLIDCTRMGVGGKAIPPYIDRLTDITSDAKFILLVEKDAAFM RLAEDRFYNKYPCIILTAKGQPDVATRMFLKRIQNELHLPVLGLVDSDPYGLKILSVY MSGSKNMSYDSASLTCRDIKWLGVRPSDLTKYKIPDQCRLPMKETDIKTGKDMLEEEF IKKNPLWSKELELMLKSKEKAEIQALSTFGFQFLTQEYLPQKLRDGDWI H257_00791 MPTGLWTKVATVAAGAAAAAYVDKKLYLSHDIMTYWQHAISLLQ AKYLIARNTRVADLWEELVDAMPSKVLVMFEGKKYTAVQLETEANRIAHWAMSVGLTP GSIVALLMENRPAFLTTWIGLSKVGVVAALINTHVAEEGLLHCINVSDASVVIFGAEC TEQMHRVLDRLPPRISGLYVYNDEATSSPPLFARSLDEELKRVSSLRPLASQRQSVSS NDMMLLIFTSGTTGWPKAARVEHQSLLGRSMVFVRAANVTPFDRLYCPLPLYHTSGGV VAVGVMLLSGCSISLARKFSTTHFWSDVRATEATMVQYIGEMCRYLLHAPPSDLDRAN VVRVAIGNGLRPDIWAAFQDRFGIPTVCEFYGSTEGVAGMLNVCRERKDQGHLGQYGY LATAVSGYTIVEYDVDADALRRDANGHLIQCAVGTVGELLLPVRSYSPMHKFQGYFKD DAASATKLLANAFQKGDLYFRTGDLFRMDDHRRFYFVDRVGDTFRWNGENVATCEVAE ALSGFPGISDICVYGVALPGRDGRAGMAAMVFESLDMDAFAKFCLSKLPSYAVPRFLR QVPAMHVTGTMKHEKAKLRAQGVQLSGGDRLFYLDRSNPSQPTYLALTDANVHRIVTA SRL H257_00791 MPSKVLVMFEGKKYTAVQLETEANRIAHWAMSVGLTPGSIVALL MENRPAFLTTWIGLSKVGVVAALINTHVAEEGLLHCINVSDASVVIFGAECTEQMHRV LDRLPPRISGLYVYNDEATSSPPLFARSLDEELKRVSSLRPLASQRQSVSSNDMMLLI FTSGTTGWPKAARVEHQSLLGRSMVFVRAANVTPFDRLYCPLPLYHTSGGVVAVGVML LSGCSISLARKFSTTHFWSDVRATEATMVQYIGEMCRYLLHAPPSDLDRANVVRVAIG NGLRPDIWAAFQDRFGIPTVCEFYGSTEGVAGMLNVCRERKDQGHLGQYGYLATAVSG YTIVEYDVDADALRRDANGHLIQCAVGTVGELLLPVRSYSPMHKFQGYFKDDAASATK LLANAFQKGDLYFRTGDLFRMDDHRRFYFVDRVGDTFRWNGENVATCEVAEALSGFPG ISDICVYGVALPGRDGRAGMAAMVFESLDMDAFAKFCLSKLPSYAVPRFLRQVPAMHV TGTMKHEKAKLRAQGVQLSGGDRLFYLDRSNPSQPTYLALTDANVHRIVTASRL H257_00791 MPTGLWTKVATVAAGAAAAAYVDKKLYLSHDIMTYWQHAISLLQ AKYLIARNTRVADLWEELVDAMPSKVLVMFEGKKYTAVQLETEANRIAHWAMSVGLTP GSIVALLMENRPAFLTTWIGLSKVGVVAALINTHVAEEGLLHCINVSDASVVIFGAEC TEQMHRVLDRLPPRISGLYVYNDEATSSPPLFARSLDEELKRVSSLRPLASQRQSVSS NDMMLLIFTSGTTGWPKAARVEHQSLLGRSMVFVRAANVTPFDRLYCPLPLYHTSGGV VAVGVMLLSGCSISLARKFSTTHFWSDVRATEATMVQYIGEMCRYLLHAPPSDLDRAN VVRVAIGNGLRPDIWAAFQDRFGIPTVCEFYGSTEGVAGMLNVCRERKDQGHLGQYGY LATAVSGYTIVEYDVDADALRRDANGHLIQCAVGTVGELLLPVRSYSPMHKFQGYFKD DAASATKLLANAFQKGDLYFRTGDLFRMDDHRRFYFVDRVGDTFRWNGENVATCEVAE ALSGFPGISDICVYGVALPGRDGRAGVMQCRGFFDRCQRCM H257_00791 MPSKVLVMFEGKKYTAVQLETEANRIAHWAMSVGLTPGSIVALL MENRPAFLTTWIGLSKVGVVAALINTHVAEEGLLHCINVSDASVVIFGAECTEQMHRV LDRLPPRISGLYVYNDEATSSPPLFARSLDEELKRVSSLRPLASQRQSVSSNDMMLLI FTSGTTGWPKAARVEHQSLLGRSMVFVRAANVTPFDRLYCPLPLYHTSGGVVAVGVML LSGCSISLARKFSTTHFWSDVRATEATMVQYIGEMCRYLLHAPPSDLDRANVVRVAIG NGLRPDIWAAFQDRFGIPTVCEFYGSTEGVAGMLNVCRERKDQGHLGQYGYLATAVSG YTIVEYDVDADALRRDANGHLIQCAVGTVGELLLPVRSYSPMHKFQGYFKDDAASATK LLANAFQKGDLYFRTGDLFRMDDHRRFYFVDRVGDTFRWNGENVATCEVAEALSGFPG ISDICVYGVALPGRDGRAGVMQCRGFFDRCQRCM H257_00792 MELVAERKKPEDAKLLRPLASQKFPYVGGNAVLEAIPQVVHFGG FRLNHTLTQKVRILNKGTASTRMHIVTPTDGPFHVENNRRGTIYPGMSEDLVVTFTAH EFKYFYDCVKVHSEGGNFIIPMHAYPVVNKVNFPRQIHFGTQPLGFASTRTVTIGCSV PIEFEFRIQVKRAHSSITVHPVHGTIPANGEAEITIAFQPIVMANVFCEIELLVSQFD FQPMTCVISGASAPGLQVPSNDQAEVEDNDPAVDPDRQLEGDATVDTMLEPHQPANDN PPPSKRPPKKTKKTATSEVDDVDIMDGIKIPKHMDGITATNFVLTQQPGKLKPKDLKR AIDENRALRKRQKAEQEALRLKTGSTGGGRLSFDVLLMEESVSTKPTTRQLKELVFLQ ELQEIDKMESELEFQSNREFVGDSLLAPRDIDFIYAVRTYHKLERERNAREVLRTTFA SHGGSVSSIPPVRAVLPAFHTPTHVPDFNPYKNDLWAKRKRMLARFVQVVSKLITRNR AKRRLRLLQAWIGPATTRLEVRKMVDRDWKFAQVSITDTKQKPPLSDSQHSDDNASFM LNSLAASPVVPASDVSSIVVVHSYPLYLESESRARFPVAVATDTASFQDFNLFPLDVP LEANLMGYRPQQPLPIPQYVPLEATRSHRVGAQHEAGVRVPRAIVPVEAIPLVPHAEL VWRFTLEPSVFIFPPATLRVYTPLTSPLETDPEYILQPRRRERQVARTTLNVMADTPG TISLAIKTPYMLHTAWVGWRDRSNETTAALWDAPPGAPALIDTSKTIPIDMLSDSESD NEDTSLVKVPTLDDAKRLFEDDMDLALLATFPRYDAWLRLENEYQTYRNDLCMQLPKR MEAIAKHVRNPTTPFVLEGHGDVLPLHAWDEHGVSTREF H257_00792 MELVAERKKPEDAKLLRPLASQKFPYVGGNAVLEAIPQVVHFGG FRLNHTLTQKVRILNKGTASTRMHIVTPTDGPFHVENNRRGTIYPGMSEDLVVTFTAH EFKYFYDCVKVHSEGGNFIIPMHAYPVVNKVNFPRQIHFGTQPLGFASTRTVTIGCSV PIEFEFRIQVKRAHSSITVHPVHGTIPANGEAEITIAFQPIVMANVFCEIELLVSQFD FQPMTCVISGASAPGLQVPSNDQEDNDPAVDPDRQLEGDATVDTMLEPHQPANDNPPP SKRPPKKTKKTATSEVDDVDIMDGIKIPKHMDGITATNFVLTQQPGKLKPKDLKRAID ENRALRKRQKAEQEALRLKTGSTGGGRLSFDVLLMEESVSTKPTTRQLKELVFLQELQ EIDKMESELEFQSNREFVGDSLLAPRDIDFIYAVRTYHKLERERNAREVLRTTFASHG GSVSSIPPVRAVLPAFHTPTHVPDFNPYKNDLWAKRKRMLARFVQVVSKLITRNRAKR RLRLLQAWIGPATTRLEVRKMVDRDWKFAQVSITDTKQKPPLSDSQHSDDNASFMLNS LAASPVVPASDVSSIVVVHSYPLYLESESRARFPVAVATDTASFQDFNLFPLDVPLEA NLMGYRPQQPLPIPQYVPLEATRSHRVGAQHEAGVRVPRAIVPVEAIPLVPHAELVWR FTLEPSVFIFPPATLRVYTPLTSPLETDPEYILQPRRRERQVARTTLNVMADTPGTIS LAIKTPYMLHTAWVGWRDRSNETTAALWDAPPGAPALIDTSKTIPIDMLSDSESDNED TSLVKVPTLDDAKRLFEDDMDLALLATFPRYDAWLRLENEYQTYRNDLCMQLPKRMEA IAKHVRNPTTPFVLEGHGDVLPLHAWDEHGVSTREF H257_00793 MNSVSLNELRSHVKGLHKERSKARCLNLKPLDRVNERLTFEKER DLWWHHAESTEGASPGKMHAGFNFKQIEKELRLSEEREWMKKHKKARPHEFTIAEKRI LRDWFDLLDTDSSGTVSTDELQEMLLTLGLAFTTDESNQIIRSIDADSSGYVDFEEFV LALTPQHGPAHARLDDLDRSASFTGLKKSMEAQSRGLLDAKTHVSIERRRFLVNAIME TTCSTGVEGTTVDGDDRKRRKRKSKLPVNTKLRLHGLEHAITRNARSRKADGASGGDL SVATRHIELEAVARQEALRRVEARQYLRQGGCVSEASTHHDDEVSQGRLRHASSHAHV DHTILPPIYS H257_00793 MNSVSLNELRSHVKGLHKERSKARCLNLKPLDRVNERLTFEKER DLWWHHAESTEGASPGKMHAGFNFKQIEKELRLSEEREWMKKHKKARPHEFTIAEKRI LRDWFDLLDTDSSGTVSTDELQEMLLTLGLAFTTDESNQIIRSIDADSSGYVDFEEFV LALTPQHGPAHARLDDLDRSASFTGLKKSMEAQSRGLLDAKTHVSIERRRFLVNAIME TTCSTGVEGTTVDGDDRKRRKRKSKLPVNTKLRLHGLEHAITRNARSRVRTS H257_00794 MLTVCTYNIRFILDRWPERKPLVEQVLRRAKADLYSLQEVNIGG YKYGQHIQLPATALPTDEPFATFGAPAARRYLETIPFVGWLFTCANPLAALTYDLCAW FNERYLASILGGHVQWLYYHPVLQIVTFLGLGTAWVFGTSIHARQSLSPKDHTQLLIG GWKVAQRVQATVDGHDIVVVNVHLASDRDEEPFRVEQVRLIVDWLETTSDVANVMIMG DFNCEPDKECYLYLEKRGFLSAHKVVHGEEPPVTFHQGLEAPTKDVGTEVCLDYVFYK GQLTPQSISLVGTKASPQDSTLYPSDHFGLLATFQVGPNH H257_00795 MDTIGSPFSLRMITPIQALVCALVIILGQCSTAKPVATASTHLC SRQLSREAMHIIHQKVFLPAQAMHFHVPDECPFVADHILHLEHEQRKERTHSGRYRCG FCKKQFRNEEYLDGHFDRKHTPVSSSLPTGLCMADYCDILNCPTYISQARHAKCTHSS ARRLKQKCQALFQACFPYQEPSFDATTLRRGEPEANQLYESMLVSICDTISCDAPDTV DPPSLFSIVAVALLKFVCLMGVLMGLIYAFDRPNVPMAKPSIHRPKATSMWRQPLAHA D H257_00795 MDTIGSPFSLRMITPIQALVCALVIILGQCSTAKPVATASTHLC SRQLSREAMHIIHQKVFLPAQAMHFHVPDECPFVADHILHLEHEQRKERTHSGRYRCG FCKKQFRNEEYLDGHFDRKHTPVSSSLPTGLCMADYCDILNCPTYISQARHAKCTHSS ARRLKQKCQALFQACFPYQEPSFDATTLRRGEPEANQLYESMLVSICDTISCDAPDTV DPPSLFSIVAVALLKFVCLMGVLMGLIYAFDRYNLYDHILYYLFV H257_00795 MDTIGSPFSLRMITPIQALVCALVIILGQCSTAKPVATASTHLC SRQLSREAMHIIHQKVFLPAQAMHFHVPDECPFVADHILHLEHEQRKERTHSGRYRCG FCKKQFRNEEYLDGHFDRKHTPVSSSLPTGLCMADYCDILNCPTYISQARHAKCTHSS ARRLKQKCQALFQACFPYQEPSFDATTLRRGEPEANQLYGILVQ H257_00795 MDTIGSPFSLRMITPIQALVCALVIILGQCSTAKPVATASTHLC SRQLSREAMHIIHQKVFLPAQAMHFHVPDECPFVADHILHLEHEQRKERTHSGRYRCG FCKKQFRNEEYLDGHFDRKHTPVSSSLPTGLCMADYCDILNCPTYISQARHAKCTHSS ARRLKQKCQALFQACFPYQEPSFDATTLRRGEPEANQLYGILVQ H257_00795 MIERLHQYPDRHLCSRQLSREAMHIIHQKVFLPAQAMHFHVPDE CPFVADHILHLEHEQRKERTHSGRYRCGFCKKQFRNEEYLDGHFDRKHTPVSSSLPTG LCMADYCDILNCPTYISQARHAKCTHSSARRLKQKCQALFQACFPYQEPSFDATTLRR GEPEANQLYESMLVSICDTISCDAPDTVDPPSLFSIVAVALLKFVCLMGVLMGLIYAF DRPNVPMAKPSIHRPKATSMWRQPLAHAD H257_00796 MARGRRASSASQACVVCCLNIDNKTRFQTVGSCNHLGCCSICAL RMRQLLKSKHCVMCKTEMDRVICITDDAMTFESFQDWGDNIGPSHTFDEASGMYFLKE NYSAVQGLRSLTCSARACPDKHSFPNIKALKQHLNTKHALSYCDICLDHKHVFLEEQE LYTASALKKHKTQGNPEHGFNGHPKCNFCASSYYGNNELHEHLRKNHFECEICLHAYG IENRYYKDYNDMEKHFRSEHFLCEVPSCLEAKFVVFKNHIEFQAHMTNKHPHIPVSKR IDVNFSVRRADREGRDEYKPRDDYTPLSVASDAASITVADFPALVGNADTLNFTPWQS QSIRIPRQEDFPQLASSTSAASSSSLYRNAIAPQPTLAMRAHMHGSDPWEYPEMQQAA EVLGANNPFLRLVKPTKKKKNKAAATPSPSPPPTPAAAAAPPVDEDEEKPAVRAYIST DESPQESVIESIQAALGSEAKYVQFREVCKKFRQKEIPAVSFYSLARAMFRPQDLHEL FPKLMSLLPDESQVTEVLVLHNNSKPVGYHDTKLRKRPSKVTAETATSPPAAAAATSS APKPSYANPAASTNAQSAPQPAARAKSAAEDWPAPELAPKPAQSTKPKKARQVPIPAA VGWGNALKEVGAVPKYSKNGHQMNVVLNNTDRDAFNARARNKKTKATPDNVVGWSSAA SWSEPVSAPIVSGGYTPESSKIQIVSAESVQGSLHDLQLQTQGKVPSRTRSDFPELPK AAPAIGIQHVQLLGKKPGMSVAAWGEDDPNHQTQQSTPQQASKKKKKNKKGKLTLAEF AMLSG H257_00797 MPDTKKQPPPPPPPSLGSPSRKRKKGKNGITHPLKRGRLEWGRH MRTKKRQFAATMIQRKFRAYRYHMDHRETRDNVRSTMQRVKLHRIFFDREFWEGVNLR DLKRAELEDLAFRLELPTTMCKKEHMIRSIQHWIDLRMHVQDVAIEAAMRATEKKLQA QGCVYVLPALPKGEPRIIRPLSGRNITIVAAGYESEALYAINSASGAVWLCKTSGQSA QVGYCSTLVNQDTFDLPYQSTWLANPMPMQTLRIGHIESIQVTHSHAMALAKAGEVYS WGSNAHGQLGADNATKHHQNPVVVGAIESFVTISIGVGAQHSMAVCNQVKGRDGVLFA WGSNSHAQLGLDEGGTVFTPVEVKALLGVTVRKVACGTLHSVVVTADGDMYSWGCNDG GRLAQAIGDNIVSQPRKVTGLIAPYNKAIDIACGPWHTAALMVDRLGQTSGVVFTWGN GICGQLGQGNVLHATSPHMVILPPMKRANEPLEFVKVVSCGMHHTAVLTETGSLYTWG SHQAFSPLPHKLPSLKGSRGRIATIACGASFTAFCILAMDEQLYEAKHRHLLWHTRST EVPKLDLSMCPPMPLQSSHACIPRLRPSAEMRAEDMLKKAAEDALDGIDLQDMLHPRC RLCWRCPGFESTLNKLTLCRICKHKREHHGKRKGSMGEYEAVRKLQGKFRQRQGVKFL HQTFLERIQRVFSIRHDAFFYFNTCNHHKSWARPTLLPLHLECPIRDPDDDDVIKPPY TVDDAARVIQSLYRSRKARKLVQAILRSRYETCVNKVDGRTYYRDRRTNAVRWDNPFH DVPKPIKRRRGMSEAEAIATVQRCVRGAKARKNLRLMMQKRFQAVVDTSTGATYYFDS KTKDVTWTKPRFFNETPTVEPRKPRPTTYTKATAASTLQRLYRGRKARRNLVALVQAR FQQAWDPTTQQPYFVDTVTKTTTWSKPALLKHVEIPPVYNTIIGHDSSLHHKRKKKKA YNMNDVDAAIRLQAALRSKLARKRAQKQLHRQYERVWDPETKAHFYHNIKTDVVTWTP PPLWNDAVYDKVVVEMAKEKEALAAATQAADKASRESVQAVASPTIISTPPRRRRPYT VLDPEEAAKIVQRHYRRHKAQVVSINALVSRFQKVYDPNTQRSFYYDHVNKTSSWTAP LLLQKRTRLTSPSPTKFKTQDSAAVRIQGIFRLRKARQEALHLAQASYEKVFDETVQA YYYFNLKTGESQWTKPKCFRASDAARVVNVDGEGQVVVEPSTNRIL H257_00797 MPDTKKQPPPPPPPSLGSPSRKRKKGKNGITHPLKRGRLEWGRH MRTKKRQFAATMIQRKFRAYRYHMDHRETRDNVRSTMQRVKLHRIFFDREFWEGVNLR DLKRAELEDLAFRLELPTTMCKKEHMIRSIQHWIDLRMHVQDVAIEAAMRATEKKLQA QGCVYVLPALPKGEPRIIRPLSGRNITIVAAGYESEALYAINSASGAVWLCKTSGQSA QVGYCSTLVNQDTFDLPYQSTWLANPMPMQTLRIGHIESIQVTHSHAMALAKAGEVYS WGSNAHGQLGADNATKHHQNPVVVGAIESFVTISIGVGAQHSMAVCNQVKGRDGVLFA WGSNSHAQLGLDEGGTVFTPVEVKALLGVTVRKVACGTLHSVVVTADGDMYSWGCNDG GRLAQAIGDNIVSQPRKVTGLIAPYNKAIDIACGPWHTAALMVDRLGQTSGVVFTWGN GICGQLGQGNVLHATSPHMVILPPMKRANEPLEFVKVVSCGMHHTAVLTETGSLYTWG SHQAFSPLPHKLPSLKGSRGRIATIACGASFTAFCILAMDEQLYEAKHRHLLWHTRST EVPKLDLSMCPPMPLQSSHACIPRLRPSAEMRAEDMLKKAAEDALDGIDLQDMLHPRC RLCWRCPGFESTLNKLTLCRICKHKREHHGKRKGSMGEYEAVRKLQGKFRQRQGVKFL HQTFLERIQRVFSIRHDAFFYFNTCNHHKSWARPTLLPLHLECPIRDPDDDDVIKPPY TVDDAARVIQSLYRSRKARKLVQAILRSRYETCVNKVDGRTYYRDRRTNAVRWDNPFH DVPKPIKRRRGMSEAEAIATVQRCVRGAKARKNLRLMMQKRFQAVVDTSTGATYYFDS KTKDVTWTKPRFFNETPTVEPRKPRPTTYTKATAASTLQRLYRGRKARRNLVALVQAR FQQAWDPTTQQPYFVDTVTKTTTWSKPALLKHVEIPPVYNTIIGHDSSLHHKRKKKKA YNMNDVDAAIRLQAALRSKLARKRAQKQLHRQYERVWDPETKAHFYHNIKTDVVTWTP PPLWNDAVYDKVVVEMAKEKEALAAATQAADKASRGDQGDSNATNGESVQAVASPTII STPPRRRRPYTVLDPEEAAKIVQRHYRRHKAQVVSINALVSRFQKVYDPNTQRSFYYD HVNKTSSWTAPLLLQKRTRLTSPSPTKFKTQDSAAVRIQGIFRLRKARQEALHLAQAS YEKVFDETVQAYYYFNLKTGESQWTKPKCFRASDAARVVNVDGEGQVVVEPSTNRIL H257_00798 MYISSAIGLLLEALVFGLYVGVPLVANRASIIERDWADALDFRQ STACVVWLALLRALLFVYVICVTKSSLYKTTRCFVFLIVCSQLCILTVLSLIVYIFQN DSKAFSLFRSDAWMTRYWWSVVLSSMVATAFQALCVICRNREREIPGNHEEEHIQQLL EEKKGISRNDPSSVAIDDALFSTKKKKLERWRDKWEKLVSTFRSTTDPTFNAVLRIYA HKDDAAMRLEHLYDADPKEFEFYIPQLCSFLLLGAFQQSSEGKLSLILLRVCKESHVF AQKMRWYLESYCVGSPAYSSEESRMRVQMLIDEISVRGLDPSKKLLTHQAMGEKPLAL PTANVVIAPESEILLKDNDTTHYQTFQPMHEVGAVNPFTWNHRFVSELVALSSNLRLI PRDRDQRNMHLRQVLAELQTTFLPSLSLFVPVGNPFHRIKKIHLNESFTFSTRERVPY LLCLEVVDFFSYEKKPMDRFAQLKNRFRLSMVASKADSSKPSTPVVRPGDLEGAETPV VRHVTDPDNLGFWSEPKMPETTLLDGLLGSLKPKALTPLSGPPFQSARAISSKEESLL PHHTASPLQVGASSFEYPYPAHLSPKVRVRPLSIHIPSSHVDHVLSPTPSEGMLTPTE AARLRRADSTDEFLASLRFADDAEPSSHHHPYHHHHPVPGPRSPSSSDLPCVIFKERW VDKEARLRATSPWGHLPTWRLLPVIVKSNDDLRQEQFASQLIRQFANVFSDAKLPVFL RPYDVLATSPTAGLVEAIADTISLDSLKKNDPEFVSLQDFYARRFGDPSSSTGLAAQK AFVESMAAYSIVCYLLQIKDRHNGNILVDADGHVIHIDFGFMLSNSPGNAAFESAPFK LTSDFVEVMGGPRSAAFRRFRSLCVRSFLVARKYRYRITLLVEMMVAGNEDLPCFQGD PRGTVDRLADRFRPDLSVHECEDFVHQLIDASLDNWRTRWYDKYQRWFVGVF H257_00799 MPCTCVGLLSLVMSVVAVVFSTTAAGLPLWSMLGVSPKREIAAA SFTAGVWGYCTELSYANTGTTTASLQTTGPLSGTHGQCYLYYTSNTKVRVNLNNDLNN TIVLPNQGICATFSQDNSSSAPRLFSTVEGIDQAVFDDFLTKTCGVKGKVTLAFSMMS PVFGIMGTLMIALGVCCSKNRSCLVSFALFMTLLAGVWSLIVCIVWSQQQPSGNGLNF ALSYYLEIAALVSYAIAVFFVAVHMTQGTSHGKAKSSQGGTAKLQEALEKHKKVAAAG KQPTRLV H257_00800 MASSLLVLLADVEASIQKVQGALHCEEDAYRHEIDMASILDGHE FELVQELADACLLAVGAAVDHVVIPVQAPVESTSLVDEIQELEILLGELLPKANPDEN DDDVDGGSTASTVSSCSSISSSSATPEPDPTTPRQADMNSPNRKKRNRQAGSRRRHEH RAMWDCFERSCLQTHADRHRVARLYRHEAQVKVQKCVRARLQTASNRQAKPLELLPPP PRASQYLDKYHQTPLTTKRRTWARSTLPAPTGTPSTLNDPVSILVSVYLNDNRDTMTR LYAHSWGDLQAKARYKLQVGNDTFDQWLREAAHINHADGRYNKFVVIESFSQLRHGDI LCAIHAKTYQHTPHRLSRHELSKRHSTFSSSPKSRPKVVDTSTRPAMSPRWDYNGRPV GL H257_00801 MEFSYPLVPIASIHNDQVVNDDLNDLWDTALKPFHQFEGIVDNS PCSEQSLLPSFFAIESTEYEQPAASSFKHEALDMESMLPSQLPNDSLEPMAFNPQNMT HVLNHFPELHPMDGSFLRSAFENLDGLPNTHSPRGTTPSTTHDSPTAATIYPTALSAI SLVGHLSPHMKSHHMPDSPPTSATGLCQVPQCGRRIRSKGFCKAHGGGRKCTLPGCNK SAQNGEFCIGHGGGKQCAHLGCPKAAQSHGLCKAHGGGARCKHQHCMKSSQGGGYCRA HGGGKRCQAEHCTKGAQRGNFCATHGGFRNCQIDGCVRTDRGGGYCEVHRRDKLCTVT GCKKLSKTNGLCTVHLRRVDKEVKKQAKNHQAIANAGQAAQQCVMLPVGMVFSV H257_00802 MLRLLLVLIAVTADGVDLYGFSQCTNNQRTLFYYSSIAQTCESN ATLVTLPPVNGLDCSFPCRQGTFLGADFSGPTPVSGCERCPSGSFSLGGGVSYSSMTN AWSRSLPPAFDTECDSRDANSGVWVNNCLPWTSDASGGYVMSGNNSNIASTYNADRLY AILRLTATFVRPGNLTFQFKVDAEPPYDGLIFMIDGIVAMTMVSTTNGWAEVTYGVNP GSHVFVWKYSKNSGGDWGLDQAAIRLVEFTGTSFADTSCLPCGGDMTRGSRRQCRLCD ANQYAGLDASRSFTCFPCPFNSFAPAGSMSIDSCVASRPCDVTDMAVYYTPCANNKRN VSRVWARPMTCNVSLPSATPLPVNEVNVECGDCTEGYYPDSTGVCQTCADGQVIDIYG NYSYAMNSTTSENSTNTVDTKEAGVISLCARCPPGTISIRSQLYGIITRRGWSLWPAI VDNVTAVRSGWKLTEQGITRDTALNPQWLPIAPLLFSTLHANRGVLEINYTLSGIPTT PGNKAWLELYVNDVQVAIANPSTNGTFLHQSQLSPRLDGNSTLAVLFVWRTGSSAADK ASNVLIRSVKLSGTVSGGTSGCGNCPTGYQPTANQTSCAMCPAGSAATILGGGGIGCT QCPSDTFSYAGAGICSPCGTNTYSVPGSTICRVKQLLMDSDAGVMYNLTALQALVDPL VDLDVASGYLPLSPVNSTTPIILNATTATLIGVFRPIVPQIPAQYVVASSSLVNTGAL LVGSPQSYVVGLAMSNTREAGSSFLYNADRFGLVQCTVPPQFNLFNVGGKLDVAPLSS GRGVRATYSLGSLCSTLGVANNYGYAKTSIEFICDPAAVSVTQPVLMPSSECNYKLTW TTAAACPLCDLPLFTPTKSACSLMGNQTVTYVPAVPCVGGRQPPSLVTVQTCASITLD QQTAGIAAGVVFLILLLIVGLIVGFVVVYRKYKATLLEFMYLKGQVTSHEMLESGSTR SADGAYEFDQKAGTLSPEASVVSDEADEEEEVNIKPKANVVM H257_00803 MVAFTSPSSSVGMQWPAKFGFPPILDLASANLNYTQGTRNVFKF GGTSVGSPERLFQLVTIVKAERKRVMSVVVSAMGKNTDLLLDAASAAASGDIDAALAL IDRVEELTIRNANDTQRLILGDDKPIEDLTKDIQAFHVPLRQLLLGVSLLREQTLAAL DTVLSFGERISATIVAKLLTANGVPAIYVDARKWVVTNESFGCAKVDFEASKAKLVAL APTWGSLLPVITGFIGKSTSGRTTTLGRNGSDYTATLIGASLQADYVVINTDISGVMT ADPRIVGSATSVSHLSHHEALELAIYGTRMFHTRTMVPLINSGVTMLIRNTMDPSGNG TYISSLASADKSVTCTTSLENLSLIEVRTRILQEADRHEHGNVGARVIQCLEHERVHI WLSIRAAHGQSISIVVPSHQEVVARTAIATELKSELQNNEVDTVNCVSPVTMLSIVGE KLNKASANAAKMFTALATAGIDVLAVGQGTSSRSLSCIVHGAKTKLAVRRVHDAFNAS TLVANLILLGCNGTTRSILDKIIGQADKYRQRHNVELRVVGFGSNCTPFQFDPNGIKL DTLVDQLQSCSKATPLRRDCGLYDHARPSDDIVSLIHDLSCPILVDCSGRSDNAAFYS SCFAKDIHVIVSNVRSANSVPKSSASPSLHALDPCYFLYNSVVGASLPIFDTLANLLN TGDHLHRVDAALSGTLGYVCDRVMRDGVTLSQAIRDAWDHGYMEANPKEDLSGDDVAH KVKVFARALGTSLALSDIEVTPFVPTSILDDLHWDNDVVDVEKLVQALEAYDATFDAT FVQPALQQRKRLRYVATLVMGTASLSARIELLLVDESHPSFRTQDNDIAVGLTTTEYN ARPLVLTGSGTGGSASATGVMRDILTITKSLQGV H257_00803 MVAFTSPSSSVGMQWPAKFGFPPILDLASANLNYTQGTRNVFKF GGTSVGSPERLFQLVTIVKAERKRVMSVVVSAMGKNTDLLLDAASAAASGDIDAALAL IDRVEELTIRNANDTQRLILGDDKPIEDLTKDIQAFHVPLRQLLLGVSLLREQTLAAL DTVLSFGERISATIVAKLLTANGVPAIYVDARKWVVTNESFGCAKVDFEASKAKLVAL APTWGSLLPVITGFIGKSTSGRTTTLGRNGSDYTATLIGASLQADYVVINTDISGVMT ADPRIVGSATSVSHLSHHEALELAIYGTRMFHTRTMVPLINSGVTMLIRNTMDPSGNG TYISSLASADKSVTCTTSLENLSLIEVRTRILQEADRHEHGNVGARVIQCLEHERVHI WLSIRAAHGQSISIVVPSHQEVVARTAIATELKSELQNNEVDTVNCVSPVTMLSIVGE KLNKASANAAKMFTALATAGIDVLAVGQGTSSRSLSCIVHGAKTKLAVRRVHDAFNAS TLVANLILLGCNGTTRSILDKIIGQADKYRQRHNVELRVVGFGSNCTPFQFDPNGIKL DTLVDQLQSCSKATPLRRDCGLYDHARPSDDIVSLIHDLSCPILVDCSGRSDNAAFYS SCFAKDIHVIVSNVRSANSVPKSSASPSLHALDPCYFLYNSVVGASLPIFDTLANLLN TGDHLHRVDAALSGTLGYVCDRVMRDGVTLSQAIRDAWDHGYMEANPKEDLSGDDVAH KVKVFARALGTSLALSDIEVTPFVPTSILDDLHWDNDVVDVEKLVQALEAYDATFDAT FVQPALQQRKRLRYVATLVMGTASLSARIELLLVDESHPSFRTQDNDIAVGLTTTEYN VRLRP H257_00803 MVAFTSPSSSVGMQWPAKFGFPPILDLASANLNYTQGTRNVFKF GGTSVGSPERLFQLVTIVKAERKRVMSVVVSAMGKNTDLLLDAASAAASGDIDAALAL IDRVEELTIRNANDTQRLILGDDKPIEDLTKDIQAFHVPLRQLLLGVSLLREQTLAAL DTVLSFGERISATIVAKLLTANGVPAIYVDARKWVVTNESFGCAKVDFEASKAKLVAL APTWGSLLPVITGFIGKSTSGRTTTLGRNGSDYTATLIGASLQADYVVINTDISGVMT ADPRIVGSATSVSHLSHHEALELAIYGTRMFHTRTMVPLINSGVTMLIRNTMDPSGNG TYISSLASADKSVTCTTSLENLSLIEVRTRILQEADRHEHGNVGARVIQCLEHERVHI WLSIRAAHGQSISIVVPSHQEVVARTAIATELKSELQNNEVDTVNCVSPVTMLSIVGE KLNKASANAAKMFTALATAGIDVLAVGQGTSSRSLSCIVHGAKTKLAVRRVHDAFNAS TLVANLILLGCNGTTRSILDKIIGQADKYRQRHNVELRVVGFGSNCTPFQFDPNGIKL DTLVDQLQSCSKATPLRRDCGLYDHARPSDDIVSLIHDLSCPILVDCSGRSDNAAFYS SCFAKDIHVIVSNVRSANSVPKSSASPSLHALDPCYFLYNSVVGASLPIFDTLANLLN TGDHLHRVDAALSGTLGYVCDRVRPNEWLSFR H257_00804 MFKFVVAIVGLIETASAHDHGAMNAATALPGGSKHCPICNMDVV SDWYIQMAHGQRIYSCSMIDGSQFKSGVRGFSHASLVGATMKDISSNPSCDNSCPDCA AGTVLDPMSGDVVSDLNFKYLCLNRGQKVYFASEATKQQFVQGSSTTPYFGVDKVVCG GSPCPDSFQIPPGVQAPWAEPAPPFCTGSSVMFSGFQTSAGGTCVKLFFQSWVLDTPI KYFFGLLGVFLLPLVNEYLVVFREDTRMHYIKTKVSSRYQGAWTKPGRKLVLTLLYMG QMTLAYLAMLVVMVYDSFLFLALIAGFGVAFACFKSDRSLGLKSTAMMRATWRFDESD FLTVLSVEGMMCMQNCGSTVQSALEQVDGVKHVYVGFSEKCAYVSGSAPTEALVAAVE AIGFDARVLRRPRAESNGATAYGSNSHLA H257_00805 MPARPRTCVPLPAGLLKLHDNAFWTTSSPHETNPLALIVINGIG LISCFDHLWEKARFTVCADGGANKLYDYIKRDKVVPPKNYIPQYIKGDLDSIRPEVAS YFELQGTQVIKDPDQNSNDLDKCLSLLRTLQRDLNANGHGDAVKLNVLVVGAMGGRFD QEMQNVNALFQWSSIFHRMTLVSDSTSATLLVPGTHCIRPNFAVEGRTCGLIPVGGSC EILTTKGLKWNLTNHSTAFGGLVSTSNHVLDCDVHVDTSDPLIWTTELSA H257_00806 MIPLRTCMVWVMVATSSWCVHATRTSKVFLLPEEQRDHLEPLLT AMHTLGIKEFDDRHADSWDAAAHTNEFDVVWSYEYPEWDMLGPLRPHTKVNHLPGNYA LVTKGHVYTNQLRLQHLYGKEHFDFIPQQFRLPDERSQFMAAFEASTNAAAAAVAVRQ PPSATAADDPSYGRRWLIKNQNHRGVHFFSGLNHLDKYMSSNDMVAQCIEPLLISGHK FDIGLYVTISSIDPLRVYIYHNALLRMCKLKYPKDLDDSADVESYVVDDYLPPWEMPD LKEYYKAIPSEDREGTSHFDVLKMYLDSINMDSSRFQQDIYGAVAKLVAGNRGHFVRT EAQFRAANHQPHGHFFEMYRFDFVVDDTGKPWLLEVNQSPNLAPKHFATGTDAKMKRN IVHDLLTLVGIQSPHEASLPNTIFQVHETYCKPKCQDTSRVVDMSCWRCPGWFSPHEA TTLHQSATEYLRRGGFQLVFPSVELDRFLDGGPSPHDLAFSRYVQSSSERDVAAGATC TSRSHCSMHGDCVNGRCACDDGYEGVACAGIQDADLTDALEHAKFEDELKQLHSMNLR VVGAATPPPQGASDTALVVLMLGNVVGVAIVYCVATFLYKSKASAKEH H257_00807 MTRFLPWRHCLVCLALWAVGDGMANQPDPPRARKVYVITQEDTS FVELLVAAFARLGLAPLQVDGVDTHSWELAAAHADEFDLLWSVTPLSPAQLGKLSHRH KVNQLPGHDLVVSPAKRYGRYITLQGDHGRYEFNFMPPEFYFPRHKGAFVKAFEAMRG MARFSDRVNADPHFKRRWLVTKTAPSADPSTAAVTILTDPAQLEATPDQQLRIVHVVE PMLFSGHKAHVGVFVVVSSIDPLRIYIYHNVLLRMSVQKYPAALDSSSPRESFEVSDT SWLPPWEVDDLKAHYTELPSTQSEGTSHLKVLLRHLEHVGVDVAKFHKDVYSNVVKTI AASRGHFAKQARQASPQPSIDSFFQLFRFDMEIDDFGKPWVVSVQAQPSLKPQHFGSG STGGLFSALTTDLVRLVGVLAPRDKTSAQLVVQADPTHCATHCDDRLRVWDMTCWRCP GWFTPPVATSLHSSATEYARRGRFHLAFPTTQGDYAEVVDGGLSPSDNAFHAYLTSFV VDGRRGGDAAPWDPSILCVNREQCSHHGNCINGRCLCDTGYEGHTCYLPFDPTYTMLP SRSIRVVANDIAPEQRLDKVLSSTHWGLVVAGLALACYGGYRMALHVMMTSDQSDKDN H257_00808 MFIFLSKKIAIPNGAQLCCSSWNPEQGWIACGGQNGLLKVLKLE SAPTKDGKGPRGIAAQSNLTMNQSLDGHAGSVVCATWNANYKKLTTSDENGLIIVWMM HRGMWYEEMINNRNKSVVKDMKWSSDGQKICIAYEDGAVIVGSVDGNRLWGKEMKVEL CFVEWSPDGKHLVFVTKDGDVSIHDGSGNKLSNMTLYAVDEKDKKYKIIGLHWYDGCE GHVSEDAPTLAIAFADGKVQITRGRYDDSAVLIDTGVELSQVKWNCDGTVLALAGTQT SRGASGDSREFNIVQFYDPFGRYLRSLKVPGSKIEALAWEGTGLRICLAVDSHIYFAN IRPDYKWGYYSSTLVYAYNRPDQVENCVVFWDTHSDERYIKYVKSLVGIKAAGDNAVL VSKIVNEPQQQLGNDHAPPVPPKQYSLVLCDTIGSPVESKLIDFEPLFLTMTPRHIIA ASADAIYVWQYRTTVSKLSSDSSSSTVPSTRGGRERIFYVDDSQGNDTESFRYVERQL DDPICAICASESWLVVGRASGMVHCFTLPHISLEMKYIVPCRPQILSLNSNSTQMAII DINGVLTIMELGPSSGNQNPVDAKILPFEKKDVWDVMWAEDNAELFVMMEKARMYVYR GLEPEEPVLSSGYLCSYKDLQVKAALLDDILASPEQTDKSLVLDYETRSLRDARELLE NVSLSDACDYIQDHSHPRLWRLLADAALEQLDFAMAERGFVKCGDYNGIQYVKRLQVL NDRIKQKAEVAAYFQRFDDAEALYRKIDRKDLAIELRKRLGDWFRVVQLVQSGGGDDT LLTHAWNMIGEYYADRHKWEKAIKYYAQASNVPALVQCYYTLGDFTTLDTLVNDLPEG SPLLEEMARKFTRAGLCNSAVNAFLKMGDIKSAIDSCVLLNEWERAVTLAETHHFPQI ETVLAKYGTHLMRNGKTLQAIELYRRANKSMDAAKLLGKLAKEVSKNPLRAKKLQVLA ALEVERFRRKMLDTSMMTTKAGGTMGGATTAAQVTAQTLESLVAHDAATSESRSLDNA WRGAEAFHLCLLAHRQLYRGQPERALRTSLKLASYDDIVDEREVYSLIAIAAYYTKHY EQCSRACNQLETVLVDKDKAALDALTLQIFSTTRPFDPPTRPYECPSCKHPVKEWAAK CDGCGRGFQTCMMSGATILDHRTYMCKTCRHSCIEHEIRDVSNCPLCHAPLK H257_00808 MFIFLSKKIAIPNGAQLCCSSWNPEQGWIACGGQNGLLKVLKLE SAPTKDGKGPRGIAAQSNLTMNQSLDGHAGSVVCATWNANYKKLTTSDENGLIIVWMM HRGMWYEEMINNRNKSVVKDMKWSSDGQKICIAYEDGAVIVGSVDGNRLWGKEMKVEL CFVEWSPDGKHLVFVTKDGDVSIHDGSGNKLSNMTLYAVDEKDKKYKIIGLHWYDGCE GHVSEDAPTLAIAFADGKVQITRGRYDDSAVLIDTGVELSQVKWNCDGTVLALAGTQT SRGASGDSREFNIVQFYDPFGRYLRSLKVPGSKIEALAWEGTGLRICLAVDSHIYFAN IRPDYKWGYYSSTLVYAYNRPDQVENCVVFWDTHSDERYIKYVKSLVGIKAAGDNAVL VSKIVNEPQQQLGNDHAPPVPPKQYSLVLCDTIGSPVESKLIDFEPLFLTMTPRHIIA ASADAIYVWQYRTTVSKLSSDSSSSTVPSTRGGRERIFYVDDSQGNDTESFRYVERQL DDPICAICASESWLVVGRASGMVHCFTLPHISLEMKYIVPCRPQILSLNSNSTQMAII DINGVLTIMELGPSSGNQNPVDAKILPFEKKDVWDVMWAEDNAELFVMMEKARMYVYR GLEPEEPVLSSGYLCSYKDLQVKAALLDDILASPEQTDKSLVLDYETRSLRDARELLE NVSLSDACDYIQDHSHPRLWRLLADAALEQLDFAMAERGFVKCGDYNGIQYVKRLQVL NDRIKQKAEVAAYFQRFDDAEALYRKIDRKDLAIELRKRLGDWFRVVQLVQSGGGDDT LLTHAWNMIGEYYADRHKWEKAIKYYAQASNVPALVQCYYTLGDFTTLDTLVNDLPEG SPLLEEMARKFTRAGLCNSAVNAFLKMGDIKSAIDSCVLLNEWERAVTLAETHHFPQI ETVLAKYGTHLMRNGKTLQAIELYRRANKSMDAAKLLGKLAKEVSKNPLRAKKLQVRL RVPPSSTFMMTTHENPVDTRY H257_00809 MPLVTFCGIPGAGKSWLASRLREHFESLGKEVVLVNDESERLER SVAYLDSRAEKLTRGSLKSRVDHHLNASCVVILDSLNYIKGCRYELFCMAKENSTTHC VVYVDTPVAISQQRNQDRDGDKFPDIMVDAIARRFEEPLEKNRWDSPLIRVLPDVDDT NVSLVLQHIEQVILHGKVTKAGWATQAKPVVETSFLQQLDAITNAIVDDLIGRQRDFD LVDAYQVPQATTKVCIGRPMSASELRRHRRQFIKISQLHPPAIHEIGTHFVDYLNEQA H257_00809 MPLVTFCGIPGAGKSWLASRLREHFESLGKEVVLVNDESERLER SVAYLDSRAEKLTRGSLKSRVDHHLNASCVVILDSLNYIKGCRYELFCMAKENSTTHC VVYVDTPVAISQQRNQDRDGDKFPDIMVDAIARRFEEPLEKNRWDSPLIRVLPDVDDT NVSLVLQHIEQVILHGKVTKAGWATQAKPVVETSFLQQLDAITNAIVDDLIGRQRDFD LVDAYQVPQATTKISF H257_00809 MPLVTFCGIPGAGKSWLASRLREHFESLGKEVVLVNDESERLER SVAYLDSRAEKLTRGSLKSRVDHHLNASCVVILDSLNYIKGCRYELFCMAKENSTTHC VVYVDTPVAISQQRNQDRDGDKFPDIMVDAIARRFEEPLEKNRWDSPLIRVLPDVDDT NVSLVLQHIEQVILHGKVTKAGWATQAVRLSIPPSIPCDTVETGRGDVVSATTRRDYQ RYRGRLDRSTAGL H257_00809 MPLVTFCGIPGAGKSWLASRLREHFESLGKEVVLVNDESERLER SVAYLDSRAEKLTRGSLKSRVDHHLNASCVVILDSLNYIKGCRYELFCMAKENSTTHC VVYVDTPVAISQQRNQDRDGDKFPDIMVDAIARRFEEPLEKNRWDSPLIRVLPDVDDT NVSLVLQHIEQVILHGKVTKAGWATQAVRLSIPPSIPCDTVETGRGDVVSATTRRDYQ RYRGRLDRSTAGL H257_00809 MTTESSHPAIDSRAEKLTRGSLKSRVDHHLNASCVVILDSLNYI KGCRYELFCMAKENSTTHCVVYVDTPVAISQQRNQDRDGDKFPDIMVDAIARRFEEPL EKNRWDSPLIRVLPDVDDTNVSLVLQHIEQVILHGKVTKAGWATQAKPVVETSFLQQL DAITNAIVDDLIGRQRDFDLVDAYQVPQATTKVCIGRPMSASELRRHRRQFIKISQLH PPAIHEIGTHFVDYLNEQA H257_00809 MTTESSHPAIDSRAEKLTRGSLKSRVDHHLNASCVVILDSLNYI KGCRYELFCMAKENSTTHCVVYVDTPVAISQQRNQDRDGDKFPDIMVDAIARRFEEPL EKNRWDSPLIRVLPDVDDTNVSLVLQHIEQVILHGKVTKAGWATQAKPVVETSFLQQL DAITNAIVDDLIGRQRDFDLVDAYQVPQATTKISF H257_00809 MTTESSHPAIDSRAEKLTRGSLKSRVDHHLNASCVVILDSLNYI KGCRYELFCMAKENSTTHCVVYVDTPVAISQQRNQDRDGDKFPDIMVDAIARRFEEPL EKNRWDSPLIRVLPDVDDTNVSLVLQHIEQVILHGKVTKAGWATQAVRLSIPPSIPCD TVETGRGDVVSATTRRDYQRYRGRLDRSTAGL H257_00809 MTTESSHPAIDSRAEKLTRGSLKSRVDHHLNASCVVILDSLNYI KGCRYELFCMAKENSTTHCVVYVDTPVAISQQRNQDRDGDKFPDIMVDAIARRFEEPL EKNRWDSPLIRVLPDVDDTNVSLVLQHIEQVILHGKVTKAGWATQAVRLSIPPSIPCD TVETGRGDVVSATTRRDYQRYRGRLDRSTAGL H257_00810 MQACPYPGDSRRMDTVDLPNHIQMRVVASDSSSSDDSEDDDSSS DEGDNSHPTPSDSLGFVPIVLGVVASLTCVGTVSWGCVHPRIDCRVSWPTLSDAARFR PQQTLFAVGVLLTSLLWVTTVLLLHWHSRLQPDTSRNRVLSSAILLCGLASSMCLLAL GFTDTRAHYADHRSATVGFFLTIWATAGCICALRRRRLTPPPRSITRVAEGATATGIL CLAFHSLASLCVNKVWPNSFSVSLSQMALSEYAVVASLVVFVATLQHELALLTPLVEA KYFVLLRRAKGK H257_00811 MHVALYLSCQPPRAIPCGRVFARVLHRCYWHELDGVLHVYVHRS FLRPPSSTLDEVPWRVTDSVRRCVRCHHRRLVHSLHFRLDSIYRNSYRRNHSVLPIRL VEDNAGPCRSTSPFKGTSSVVYVWLSWPHVVVSPRAHTSALRRDIFTRGSGAWCIGVG LASSLTFGYVYLARRQDPLAFSVALESSSELLAIVCQLLYMGTLPTDHPDFKLQSEL H257_00812 MGEAEAVKIFARLPSYNPFVGTFHHAPSAGNRGAAYFAHDIVGV AELEERKKFRNNPLTGTFHALPGYYDPLHGLKQLRQSDPTLDTAVGDSDSICSSENNQ DDANKEFVAVDMDDTVDCSIAASHDASAPMSLPLNLARSFRRRRGQKVTEKFPHRSTH DNMHPYESRRKSASSSTRIRCFSDSDVSFKPRHVVYPYADARVSALSPSSKRSSTLAT DVSMSSLERPRSSSVSSCGTSENGRLSSTLTNNNNSSHDEDDDDSIMLLDEHDQSDQE DYTRHHHMYRHYSESDATPSSLDILTPLVVKKVANDTADLVDGYVSTGKGIYRKCGFR TVDTPPSPSSAGAPSFRHQSSGPFDQLQPSAATDDCDEYEQGAPKVPSNPFVARRLVD EQNKLRLMSINRSIPRLPQTVMLEKSPKGGSTKPCPAETYFINPFFIHELAVTEHYEL CGEDQLGDGAYAVVKPAIRRSNGTQVAIKQVHKRYLLTDQAKAAVKTEVEIHLRLQHR NIVRLLEVYETESFLYLVMEKARHGTLKQLLMKERRFPEALAAKLAHQIVRGIFFMHE SGVVHCDIKPENVLLTDAAGPHTGGSSAATSNNPDVKAWDLKVELCDFGLSVKVPDVR FFKHTGDVHKVPFNGLTGSVGYMAPELFQSQPYGKPVDLWSVGIILYEMLTGYQPFYP PSICVDEPAEFTPRIWKKFSAEAADLVAHLLERDPTKRFTVEQALTHPWFDSAVFGKT T H257_00813 MHILTWNINGLRAILTKAKQSVDEFLDTCDADIVCLQETKLTRS EMTEALACPTSFDAFFSFSRFKKGYSGVVTFVRSSAVQTLDADTTSCVFQEGRVVLTV HPQCLVVNAYCPTTAGNMERKMQFLDSLLLQLDRWRTSHPDKPLIFVGDFNVIHQSID HSADHIPTEATTWLDALVAKAGDDSKQQYQLVDAFRHFHPDDDTAYTCWSQLTGGRET NYGVRLDYILLDKRLLSLAIDCWLWADKVGSDHCPVVLDIDTSNSTGAPTTAPACAKF FPEFAGTQQSLRGFLSRQPSSHIDVSSPQPPPARPPPALRQPSIASFFCTPKHPPTRK VDRPNDLAAQDDNIMLPLSSPGTLNASNSSAVLGRESITHLDEYQSVAASWKSLLPGK APPPPLCSGHKLPCVLRTVLKHNDNWGRKFYLCCRPEGATGDPTGRCDFFQWVVPPSK KRKAVD H257_00814 MFKRKKSAPVAGVAVDPVAAALGDPKAVATKFPQYTVKDIKAMQ AQFATWIDQANGSNKSNVTTTEAPSDVVVKQPEVVLCGLFALAIYARSGNTVPFHEYV EVLTLFHPKTSFLDKQKAMFQLYDVDRDGVVSPDDAHHVLTRYLDFNVDVVETIATSM RPFMKTSAVGLTPVEFNQMVTDSEVLSAMTIL H257_00814 MFKRKKSAPVAGVAVDPVAAALGDPKAVATKFPQCAWFFSASWA CTDMSTFPDTVKDIKAMQAQFATWIDQANGSNKSNVTTTEAPSDVVVKQPEVVLCGLF ALAIYARSGNTVPFHEYVEVLTLFHPKTSFLDKQKAMFQLYDVDRDGVVSPDDAHHVL TRYLDFNVDVVETIATSMRPFMKTSAVGLTPVEFNQMVTDSEVLSAMTIL H257_00814 MFKRKKSAPVAGVAVDPVAAALGDPKAVATKFPQYTVKDIKAMQ AQFATWIDQANGSNKSNVTTTEAPSDVVVKQPEVVLCGLFALAIYARSGNTVPFHEYV EVLTLFHPKTSFLDKQKAMFQLYDVDRDGVVSPDDAHHVLTRYLDFNVDVVETIATSM RPFMKTSAVGLTPVEFNQVHDQLTSRMGLGANKQAIGRW H257_00814 MFKRKKSAPVAGVAVDPVAAALGDPKAVATKFPQCAWFFSASWA CTDMSTFPDTVKDIKAMQAQFATWIDQANGSNKSNVTTTEAPSDVVVKQPEVVLCGLF ALAIYARSGNTVPFHEYVEVLTLFHPKTSFLDKQKAMFQLYDVDRDGVVSPDDAHHVL TRYLDFNVDVVETIATSMRPFMKTSAVGLTPVEFNQVHDQLTSRMGLGANKQAIGRW H257_00815 MGDTWKIGTYARIRPLHKGSTKAYTTTPRKDGVVNTITFPYPAA PDTRSNLKQRDELEFKYTQVFEHPTSQDTIFNEACLDIVTGAIDGYNGTILAYGQTGS GKTFTITGGESYQERGMVPRVISTLFETFESRSHDMSYKCFISYLEIYNENVYDLLDR AHMNKPVEEWTRIQVQLSDDDDDNAHFRNLGVYEAKSEEEALNLLFLGNVHRVTSDTP MNMASSRSHSIFTVLIEGHRINSEVVLHSKLHVVDLAGSERVYKRDGSERMRTEGRFI NLSLHHLEQVILALQTKPPPKKGAKSTGGKLPNHHHVPYRNSMLTSVLRGSLGGNSKS VFIGTLNPETEFVDESISTCRFMQRCSEVSVDVHVNEHIDVHAMVALLKAENDRLDGD LRLTQAALRDQMNANTKLTAAQVNSSVVMDLQGFDRTECDELVERFLVAEWDSPAQAN VMLEIQELGLAHAMYCLRAVKESLVFASNTTAEVQDALNSQTLRIVDMESRLVAQRAD ADALKRTIASLTTQIQSRADGTPQKESTKVHPVAGVAAPPPPPISKQPQPLQRLEAVA RPLQQLPNAPPLLPSKLPLEPPITTSTVSSTRGSDAIKRRMELLKQGSLFIKHGRQGN PHPRFVWCSPDLQYLNYRSVGGSPTQPIQIPTSTLHSFLSGQKTKVFQRRGDPTRASY CFSLLYDDAKRSLDLEVDEGDNVDRNKAKCVEWTEALQYLIKVKGARPKPTTG H257_00816 MPAAQGAIDSSKLGSSTSPLEGTWTNDHHRLGSHVVGAAQIQRS GSNETPSWVVRHDIKGSRSDATTAQSDPSRAFAANMSYQSGNAMESAHDPSRSDQNVG SIASGTSTNVYTDSTLHYQLNSPSATSCVIDMSSPTGGIGGVLSHQVSQDNQRYGSTI QSHTRKTTTQLPLSTYRGHLSDYKVDLSTQPSPDADSSPKETSRLLRPHDADLEGSCD KATTLIDPAALTPSRNDLTVAAVHDILDTLIPDMELCITTQGCLAIWREARVFLCMFP CVCFRFVVVQLILDTELIFVGHLGVPQLAGVGLVRFWVAIPFGFLYCSMRAVYVLGSM TRGNRPSAAGHWLQTALGFALVGTLAVSYYYVHVADLAHLAALDDVAISYAREFAPIV LLGLFPSLAMAAIDNFMRIQDIALPALVCATVACVLNIYLHFVFIYGAFGWSGWGFVG SPWATVTSLVTQVALYVAYTVLWKRYHVPYWSGWAWNSHRLHRYRLFWRVSVPLGGMV VVFSIACLIVGTIASYSPSPFTAPHRQLDDGGAGSERVGAWVISFCLFLLVLAVLLGV AEATKVRMLVYLTHGWPKLARQVLYVGLAYSALFALVFASALFMYKSVVFRIWTNDAG ILEQCVDVVRWIMLCLVLASVRVVLASGLIVLKKRPMVLLAQCIDVWCVQVPGSFVLP LLLQFQHLSGFWIAVAMGECVHVLLLVYALCQVNGDDDQALVKSVQAAVTLVNQTSSP FKAKHNERENADNDIDLGDLTKPLTLSHVVTDNALCDAPPTVQFVVTADVAIDVLTGS LSDHNHTSVCGADAPQLHVETRSTNECTLSHELATAFHEDGSSDDSNALKAGVSSVHS GHTKAGSTDGDTGITDTERDKHSSLLHIPVSTSLRGVGTDNPSTLATVALDGSPFPQV KHARSSAVPPRIYSTAAHAVECEAHSLGEAAPALFAPPKIVAQKSLPPRSETIELPPT ENEVAAKSSSPSHLNNANVDDACAPPRLHLRDISTPEIPPELSTLPRNANDLAPPKCL YRTLTPLKNPTKLGDLVLESNRQIKTHLPDAVCTLFRPQDQAAASATFNSSIVDDDDV RSAVPLVEVHVAGAQKLVPEDINVLEQIEVKLPLDNHSSTDVILLKPIRSVQAEVALL NETTSPQPPSDAGSDSSNDGTTGQVSESESGASSTSQRRRPKAAVPPSVKIETLGGVD ISTLHFGKRSKKRRTREAKQSKRVSFSPSPRQRRPSAYGIQPSLD H257_00817 MAPWSSDRCRQEELYFVQKHEATATLPRGQCWFLIDAKWNERWW AYATTADSPAPGPITNETLVEDSWRLRLHGDAPGNADTPCLGLQLTTDYVCVTSLVWC FLVELHGTSGLPPLARYTKDIYSVQVPSVLLDKVLKVPRLQAKVLVSSFVHHSTGQVS PRPPTVPIDNKASNAVASSWPFLAPIPLANWMQLQYCILLATDIAFHCHNGSNMWQGV ALASVWISVPTLLVQFAITTVRPLCSHASAVGAPLVVGVWFQTALVFAGVASVPVILS YVSMGKFLVWIVQDPSTVATARAFAATLAVGVPPQFAFSALVSVFGVVVQEGGGISPL VVAHMLTWILNGLLNVLFLHGCIGVPPLGVHGPAWATVMSQYVQVIAFALWALFVSPS WNCWGGWSLECIRRFPTFVASAMTTSWVWKTILDWACACAVLVFAASSSTMNATQTST QAFFLVLFHCGRVFAQSIGDASVQAEGSNARVHATVVVLGALGVFSASVGLGGDRFVG FFLGTDPQVVDAFRSVEPSFLWALGLLTGSTVMQVWLLQGADEEFFHRVGHMCATAFV YAPLSYVMGVTWQWGFGGMWWSMVCSQVWTILALCWVTVRGTSSVQSLVDEESRSLLP LQRAPSQETSRQHVSN H257_00818 MPLQPTASTANRPRNPRGPKGGKTHLDHDERRSIYESLLAVSSS GILPRGAIVKLARQHNCHPDTVQRVWARGQSSIREGHISADAHGRMSPAQGSFELRES IFDSKQHP H257_00819 MMHVDLLLNTASTMRYQDKVAMPQHPQQQPHYVAQSRSLPSLTT QGQHGGCDAWSLPPPTAHESQRYHHHHYDLPPPSMSPPSAYSTMYASPQYEAKHNPNT PPSRFPPTLHQPPTLTLAPLHISTDVKPLPSLHESISTPMAWTSHHHSWRVLPSIHIK EEPVARSPAVSMFSSVINASAIQRRLAIERRNLKRKIVPRRHHSTWPDMNSCSPIIVD VKNTKNRESAAAYREKRDRKMKVILAEIHRIQAAHPSVAFDDWIPAKLPKSERHEGES KEDYRRRTNRESAAGSREQQKEKLMHYTQQVIRMREFTSTAPCDDSRRDVQTQ H257_00820 MTPQPLPQSRVGRPRILQIMSSSPRSTCPPSERARAFDRAEKRA AARYLIQQQPARCVKDAPTTWNSSPNSKRVIPARALVAPPRRPLGRSGERGAAVVVQ H257_00821 MDKPNEKTSLVKKKLGSNAEIEMYGRGGNLSVNDFHDDNDDDAA KKHDLIPVKQEAMELTSMAFQLSLRQVVRQVMTITDAAFQGHIGTKQLAGVTLAGVYM GVPSAFIQNAIPSISTLCSQAYGAGNNTLVGVWLQTCIVFSIVGTIPVMVYYMFVGHI IALTLDDPEAVGYGQKFAMVMSLALIPQYLYGCLTTYFAAQGVIMPATVCSGITVVLN IVFNQVFIYGACGFDGLGFIGSPIATVVSSCLQLFMFVMYTIWYKQYHVKYWGGWTWE CVSKERLVVFLPLAVPMGASSVVDWASAALTSAFSGILGPEIAACQAVLNGVWGVVNS FVSGFSTATQIRMSRYLGEGSAVAAKRVLTVGAMILFGTGLSLILGVFLLNESLFRVW SPDPVIIAMCRSALIVFCLCISVAFCRFMMTACLNALSMSNVNLIANNIASWCVYVPL SYVLPITLDWSLAGFWWADGFGELVKAIILMWGLCRVNWAAAADYAQKSASVMDTTNA ADEEKRELLAYENEAMMTSQAYKSPVMQFGSAPHHTPSVMKRAMSLTPKMQRRSQQGD MVNV H257_00822 MTQTGTCDARLAQLVYRGMMTGVLWTVSIDTYEHLGLVSKGKVP LNPRFLFASTGKNCVAFTMFLGTFGGVSCAAEKLRGQKDPLNTFLGGFASGLLLTSSP GTRVSARTSFLTGLTCATFAAAIDALSHDHDA H257_00823 MTNKCKVRVHDTGSSNRVHPPSHLLSPRLTSPRCLRPTTAAPRK LLLAQKSPSPLPSSLTSKTNQYDQGTVEILPTVAMKPTLIPSEDSTPQQPAPPTRPGS ALKPPITPRQMAKRPHTAANALTVVRRSAMSSPSPTAAPSPPPPSRHSVEDAAALRSF HRLLQDDDPAKALRSAFLTWDTDRDGVVSEQQCRDMFTSMGWAAKLGDDATAHVLQCV VHPTTRLVALADFCRWQEVDGNAKHNELQHRQVPPLLTTRETISILRKRYDKESLATV FRTWDDTKAGHLTPAMLAHNLAKLHMAVEPSVVHDLVHTYDVDKDGALDFAEFNAFLD GPQIDGHDRSAVIERNRTFKSSAIVGTAPPIVQPGAMSNAVELLRDATEDAAAVEVAE RVFQKLKTYKSRIADVFREMDEDESGVLSYAEFRQGLKHKGIQLTEQEFHHLMTDVDK DKNGLVTFQELSAHLNACEKTVQLHLASVPRLTSWTEDDSTKGKLKYNFDQLRRKVRA PTRRGRTPHYDTRLLITGPASKHNACQADATTRFHVESNQFGPCPTSPSVSASDKAAR ASVHAMRQRRIADSRHGQDVYTAMERLKMQMLNDKKATYSPPERTRYYLKLQQRADHD ATAWEFGPPHE H257_00824 MAIATARHGASHEDSTAPWIKGGDTCRFVHRECSANPMFRSEYV RNNRSSGHKNVRCFPHCCGAHRESTFCGSSITVQCTDHQVMVYGRFEEADDNARMQPH VAIGTILKLSDILGDEKTAASPFGMWMVGASVGNHHYEINKSKLSWHYGWVSSRFNSK TLHRFQVYVFQQTTPQQVRCVAKLASPSFSLCSSRKTRKKAALVIIPTKVEPVASSSS SPPLALVKSEPPAPYVRMLPPPPPIKIEMPSFESFLRHEPTRPRTFSGIDQQEHAPKR LKTNTSVDDLQAVKPSAPASPTPSSTFSFSSFMNPFPHPHNAPSSPVPSTSNSCAYSF SSFTRGGNECKPSSPVPSIAPSTFSFSSFLPPKACVGHRPHKCPNSPKPTAPSSPVPS SVPSGVVTLFSDLIKDDYCRMLKDCPKQQRVSRYDYIDRAMCLSQIHDVASSIISFAS LDGTRWDDLAEATSPLHGFMVRSGEWESISIYECRPRTHDTDDSYDHVLTFCLNAMRH CIRTGMIARLRSFLHSHAASIFKPELLDQAYADIIVFFEQEIESYVFPRKRMQCAAFL AYLRRNLPLELVSQLDASSSSDESSDNEPLGYFELVTQLRQVYQFVKSEEQPVDMTPS FVSRTCVTGRWRRVQAPTHSSASWLFRVLGSFILLHWTLVETPSELLMKFDESLIPTL TPYLLNGDPMFLSFSPIGMSTGGMQGRAMAGYKAWRNDQNQIVIQWHNWPRGEKCIRR RYSRTLYRSDTDPDILCSHMIVEESGTIGHSLKSFSELNLSERINFPGEWRVILDEDS TFVRIKD H257_00824 MVYGRFEEADDNARMQPHVAIGTILKLSDILGDEKTAASPFGMW MVGASVGNHHYEINKSKLSWHYGWVSSRFNSKTLHRFQVYVFQQTTPQQVRCVAKLAS PSFSLCSSRKTRKKAALVIIPTKVEPVASSSSSPPLALVKSEPPAPYVRMLPPPPPIK IEMPSFESFLRHEPTRPRTFSGIDQQEHAPKRLKTNTSVDDLQAVKPSAPASPTPSST FSFSSFMNPFPHPHNAPSSPVPSTSNSCAYSFSSFTRGGNECKPSSPVPSIAPSTFSF SSFLPPKACVGHRPHKCPNSPKPTAPSSPVPSSVPSGVVTLFSDLIKDDYCRMLKDCP KQQRVSRYDYIDRAMCLSQIHDVASSIISFASLDGTRWDDLAEATSPLHGFMVRSGEW ESISIYECRPRTHDTDDSYDHVLTFCLNAMRHCIRTGMIARLRSFLHSHAASIFKPEL LDQAYADIIVFFEQEIESYVFPRKRMQCAAFLAYLRRNLPLELVSQLDASSSSDESSD NEPLGYFELVTQLRQVYQFVKSEEQPVDMTPSFVSRTCVTGRWRRVQAPTHSSASWLF RVLGSFILLHWTLVETPSELLMKFDESLIPTLTPYLLNGDPMFLSFSPIGMSTGGMQG RAMAGYKAWRNDQNQIVIQWHNWPRGEKCIRRRYSRTLYRSDTDPDILCSHMIVEESG TIGHSLKSFSELNLSERINFPGEWRVILDEDSTFVRIKD H257_00824 MAIATARHGASHEDSTAPWIKGGDTCRFVHRECSANPMFRSEYV RNNRSSGHKNVRCFPHCCGAHRESTFCGSSITVQCTDHQVMVYGRFEEADDNARMQPH VAIGTILKLSDILGDEKTAASPFGMWMVGASVGNHHYEINKSKLSWHYGWVSSRFNSK TLHRFQVYVFQQTTPQQVRCVAKLASPSFSLCSSRKTRKKAALVIIPTKVEPVASSSS SPPLALVKSEPPAPYVRMLPPPPPIKIEMPSFESFLRHEPTRPRTFSGIDQQEHAPKR LKTNTSVDDLQAVKPSAPASPTPSSTFSFSSFMNPFPHPHNAPSSPVPSTSNSCAYSF SSFTRGGNECKPSSPVPSIAPSTFSFSSFLPPKACVGHRPHKCPNSPKPTAPSSPVPS SVPSGVVTLFSDLIKDDYCRMLKDCPKQQRVSRYDYIDRAMCLSQIHDVASSIISFAS LDGTRWDDLAEATSPLHGFMVRSGEWESISIYECRPRTHDTDDSYDHVLTFCLNAMRH CIRTGMIARLRSFLHSHAASIFKPELLDQAYADIIVFFEQEIESYVFPRKRMQCAAFL AYLRRNLPLELVSQLGTPPVVYIQSKIGRMYIYIYIVSFP H257_00825 MGCMQSTDASGGLPDSFLRNLSQHHQGLDVFAYYKVVRELGTGA FGVVNLVQNISTGDQYAMKVITIGPNAKVSVLRNEINLWRGLQHPNIVRLVETYESPT HIHMIMELCTGGHMLNAVKTRKQAFPEDAAKEIVRKLTSSIYYLHLKNICHRDIKLEN ILYETDQDGSDVKLCDFGASTLFRDGVLMQTVLGSVVYMAPEFLEGQYTQACDMWSLG VVMYMLLSNSMPFHGNTEEDLIESIFAAKLSFQDEVWADVSVEAKSLVKKLLNPNVTE RYSSLQVLRHPWIASAKTNNLPTQDIDRIIAQLSEYASYSRMKRAALLAVAFCSPSID TTAIRKAFDQLNVLHNGVLTLKDLEQAALTDQYPTVDFEKIFTSLDIENSNQVNFLEF VSATMTVNLQGEKVLRKAYGLFSPDETKGGITELTLGRVLGHDFDEASVKDMIRTADV DKDGAINYSEFVHLLEAKPVGKQNSARRSFRISSRRKLTQQKSNDEDSRVSNEPQPEK GPNRV H257_00826 MAAEKSPLLKGGAPSVELKQARDPLQKHARQAKVPLGQETLDVA SMALQISLRQMVRQVMTITDAAFLGHIGTKQLAGVALAGMWMGVPSAFVQFSIQAIST LCSQAYGAGNHDLVGVWLQTAIVFSVLGSIPVMIWYMYVGNMIALTMDDAETVAYGRE FAHVMALGLIPQYVYGALTAYFAAQGIIMPATICSIVTMGLNIVLNQVLIHGVDGQWG GLGFVGSPLATVASTVLQLALFLLYTVVWKGYHTPTWGTGWTWECVKKDRLDVFLALA IPMGASAVVDWASATVAGAFSGYLGPNIAACQAVLNGLFGVVSAFVSGFATATQIRMS RYLGQGSAGNAKRVYFIGAGLVFVSAVVLLAVVVTCRRLLFGIWSSDVVVADMASDAI AAFSLCILVAFGRFLLTACMNAVSKADLNLVANNIASWLVFVPLSYVFPIRLGWGLAG FWWADALGELLKASILLYDMASLDWHAAADEAQLAAETSLANDYGDEDEHRELNAAKN EALLFTPRSFKSPSINMTMTPPVTPNDMRRAIGRSRSAANPNM H257_00828 MTAKKHEASALLKKEVEERNAIEMYGSTSSKDSTASTMSLPMIP VMQEIKEMSSMAIQLSLRQMVRQAMTLTDAAFQGHIGTKQLAGVALASMWMGVPSAFI QYSIQAIPTLCGQAYGAKNNVLVGIWLQTAVVFAVCTCVPVMLYYMFVGHMIALTMDD EDTVMYGQSFARVMALGLIPQFVYNACASYFAAQGVIMPATFCSGLTMVLNIVFNQVF IYGAFGWPGLGFIGSPIATVTSTYLQLALFLLYTVAWKKYHVAYWGGWTWDCIKRDRV TTFLSLAVPMGMSSVVDWSSAAVSSAFSGLLGPQIAATMSVLNGIYGVANACVSGFSI STQIRMSRYLGQGHPTAAKRVLLLGARVIMVSATTLLVLVVCGRNYLFHVWSNDPEII ALCGKALGFFIACVMVAFGRFLLTASMNALSMADVNLIANNIASWCIYVPLSYLLPIT LAWGINGFWVADTLGELFKVVVLAWGLARVNWQARADQAQAAAEASDVLQPELEEQRE LLAYENEALTPGSIRSPSLLLSSKSHHTPTLMQRATSLTPKQQPRHMHKEV H257_00827 MSSKGEKKELEVLCRYVPRIVLSQYAHSRVALTEPATQSFTAVM ALFDISGFSTLADRLTKEENVRPVIATEATKLSTSNGSIAKPIDVSRSASHRRISHAS GGRIDSVHHLSQRSENEKRHNPNNSFSLKEKRPTMGANTTNDVGLMRTGMAIEQLTKT LNQTLAPVIDIITQYNGDIIKFAGDAMIVMWQSDHIPLKTPTGGGAPTTSPPVDPGVL LFTAISCALQVLKALDTSQDVKQTHPPPPTTVSGGLGALKMHVGMGFSTVTGNHVGGL LNRWEFYVAGAATGQMSIAEADAHAGELVVSAESYRALVESSSVQPMHIMAEALPTGN YKITDLRSDANVKYTLPTLRLGRDLIPLVKSYVPGCIALSLGKGKIVINGMRSITAIF IKFTGILDIADATEQLHEVHRCLCAVQDAAYRVHATIRQFLIDDKGAVAIVVVGLPPF YHENNALHGIRMALYLKEKGVQASIGITSGPAFCGSIGSAVRAEYAVVGDVINLAARL MSMAQPGEILCDDTTHMATLTRFEFDKGTHVMVKGKGDFIEVFQVFHDAVGATSTELT PPGDFFMPSDVYDVLITRIQEFGTRPGYPTMPGASGPDTCQSIVLTGASGAGKTTLLR HLVNVHPNVYYSCGDSVEKNTKLFVWRKVIATIVFSKRHSIIPAPPPPPVDTAQPGSP VTANRRPSTLFRTVTKSPSQLLAAMHKSSLKSLGLNTTTTNTDVAPPMAVLPTPKSRS DGFSVSESQSHVDDGSEAEESSNTFIASTTDLNFGVGLQFVHAIVQSGRLAADLLPLL NVFIPHCFPETSHSLSLAQNDERFMHEVTQLVVAILREANERHPILIAWDDCQWIDAA SWDLLVHVLGVSPNITCIVGVRPDAPPPHVEFKKLEQVPHTLRFDLQNLSARDTSLFL SHFYGIAIMNSYLLEYVHGRSSGNPGSTIALMQRLLELETIYIDLERGVVHVLKDIHD VDLEISLQTRAKVMHHFDHMNSTSQLAMRITSVAIDYIHFDALHYMLRSVFAFEYNNS FTNAPDTDLNHELLPASLSSQNASIFVQALIGMSHAETHGIVALDHHNETIRFTSDDM RLVVYNVMLPSQRETIHRVFALWFENEVPVHRIPRFQHCYHLAYHLSRAHMYNQAMHY FAKGTEEALLRGVSEFALMCLTSAGAVLLLMDTSHSRHAAPRGLRRTSSSRAMHGGGS DPDDMEVTLHQCKIEFLTGLVMVQKSDWTTAVDNFNAAIAIHTEFRTHRKQRMWDKPA RRVRRWWEEASNMWRTTYGGITKVIPLGVPKKNHGMQIDPSVEKLLDEVESYVQVATR LKTKILRVEREREKTSTAIRLQGLRCISSIKDDSIANLEKTFVLVPNEQTAAKQPAMG LPSNSTNTNPRHPRKKSSASTKGGGSGIRTSSSVTFVGQRPLPQRRGSEGGGFNAVGS SVVPDAAQQSGSVARIQNHLTPVI H257_00827 MSSKGEKKELEVLCRYVPRIVLSQYAHSRVALTEPATQSFTAVM ALFDISGFSTLADRLTKEENVRPVIATEATKLSTSNGSIAKPIDVSRSASHRRISHAS GGRIDSVHHLSQRSENEKRHNPNNSFSLKEKRPTMGANTTNDVGLMRTGMAIEQLTKT LNQTLAPVIDIITQYNGDIIKFAGDAMIVMWQSDHIPLKTPTGGGAPTTSPPVDPGVL LFTAISCALQVLKALDTSQDVKQTHPPPPTTVSGGLGALKMHVGMGFSTVTGNHVGGL LNRWEFYVAGAATGQMSIAEADAHAGELVVSAESYRALVESSSVQPMHIMAEALPTGN YKITDLRSDANVKYTLPTLRLGRDLIPLVKSYVPGCIALSLGKGKIVINGMRSITAIF IKFTGILDIADATEQLHEVHRCLCAVQDAAYRVHATIRQFLIDDKGAVAIVVVGLPPF YHENNALHGIRMALYLKEKGVQASIGITSGPAFCGSIGSAVRAEYAVVGDVINLAARL MSMAQPGEILCDDTTHMATLTRFEFDKGTHVMVKGKGDFIEVFQVFHDAVGATSTELT PPGDFFMPSDVYDVLITRIQEFGTRPGYPTMPGASGPDTCQSIVLTGASGAGKTTLLR HLVNVHPNVYYSCGDSVEKNTKLFVWRKVIATIVFSKRHSIIPAPPPPPVDTAQPGSP VTANRRPSTLFRTVTKSPSQLLAAMHKSSLKSLGLNTTTTNTDVAPPMAVLPTPKSRS DGFSVSESQSHVDDGSEAEESSNTFIASTTDLNFGVGLQFVHAIVQSGRLAADLLPLL NVFIPHCFPETSHSLSLAQNDERFMHEVTQLVVAILREANERHPILIAWDDCQWIDAA SWDLLVHVLGVSPNITCIVGVRPDAPPPHVEFKKLEQVPHTLRFDLQNLSARDTSLFL SHFYGIAIMNSYLLEYVHGRSSGNPGSTIALMQRLLELETIYIDLERGVVHVLKDIHD VDLEISLQTRAKVMHHFDHMNSTSQLAMRITSVAIDYIHFDALHYMLRSVFAFEYNNS FTNAPDTDLNHELLPASLSSQNASIFVQALIGMSHAETHGIVALDHHNETIRFTSDDM RLVVYNVMLPSQRETIHRVFALWFENEVPVHRIPRFQHCYHLAYHLSRAHMYNQAMHY FAKGTEEALLRGVSEFALMCLTSAGAVLLLMDTSHSRHAAPRGLRRTSSSRAMHGGGS DPDDMEVTLHQCKIEFLTGLVMVQKSDWTTAVDNFNAAIAIHTEFRTHRKQRMWDKPA RRVRRWWEEASNMWRTTYGGITKVIPLGVPKKNHGMQIDPSVEKLLDEVESYVQVATR LKTKILRVEREREKTSTAIRLQGLRCISSIKDDSIANLEKTFVLVPNEQTAAKQPAMG LPSNSTNTNPRHPRKKSSASTKGGGSGIRTSSSVTFVGQRPLPQRRGSEGGGFNAVGS SVVPDAAQQSGSVARIQNHLTPVI H257_00829 MEVVTRTAGVVPKRLGLKYGPVPTLALEYEDISRQLKLAVVELP HLTLHATPSEIAAGIQKEHQLFGPSLVNEDQLVRLMQRLLQSQHERLIKQRDDQGTLR PTGTTLLNSSQRNEEAKNDEKEEGSDKGDNEDDDEGKEGSDDDEYEKVAPNTTSAGTV LKPDDAVAMSPSPVVQQPQAVEELAKDDSEFDESFEEESFVEGSADKGSFLTQSPTTN KFDVDEMSEATKGKFGSGIGRAEPVLSQATPGVVTEPELPAKVPNETKQSEKTSIKVD ESADDIPSEEELEYFSGGDESGDDDGF H257_00830 MAAQQQRALVAPVKWAQRKDSLYLTVDLADVTDEKVELTNTTLK FTGTSNQTKYEVNLEFLHEVDTEAAESKWAKADRNIHFYILKKDKDADFWPHLLKDKH LEKTNVKVDWNKYVDEDEEGEDSGFDMNALSGGGGFDINQMMAQQGANLPDEEDSDDE DLPDLEE H257_00831 MLSSHARLIARQSSKGDALSREGYLEQLMVECTNPSTPAKAKEQ CLAHLANFSYDPINYTFFLRLNLVDMFVDFVDEVLPVAAQLQPPTARASSTQRHHAIT IARLAMQAICNVAPDPRFQKILADNDAIPLILQATHAPDPVTCAAALSTMYFLLDAPS DILPAAALQDNEQVIGRIDICAEHDDVVVRNIALSFIAHRRDIESNRKT H257_00832 MHGIRKSDVAKSPEEEAKIEEHVRKYKEVSSQVMALKKAQQFDD HALRLSAVVVVLNPEFWIVWAFRRDVLRHLLEADGSRKSELGEAECKLTMEALMKNPK SYSAWFQRQWIIDNGMADISKEVRLCDALLDKDERNFHCWNYRRYLSKLAGTHDDLLE LCDRKINQNFSNYSALHQRTLSLPTPLPLAVLLNEVEVVKQAVFTEPYDQSNWFYYRW LLQAMPRGESSAWLNEIAWIEELLQEEPNAKLAWVTLAFVLESSNDADSAAARTARCR HIYTTLIDMDIDHKHYYTDQLRRLVE H257_00833 MSQSGYKIAVLPGDGIGPEVCEQAVRVLTLVGSLFQHKFEFTHG LCGGAAYDEFKSHLPQSTVDIVKASDAVLFGSVGGPIDAQETPKWKDAEKNCILGLRK NFNLAVNIRPAKIYAMLPDLSPLKPSIVAAGVDMVIVRELVSGIYFGEHSTENGVARD VMKYSEDEIRVPMKFAFETAMGRTKKLTVVDKANVLDCSRLWRKVAKDIALEYPSVSV EFVYIDNAVMQLIKNPSQYDVIVTGNMFGDILSDAASVLPGSLGLMPSASLGDHIHLF EPIGGSAPDIAGKGIANPIAQILSGALLLRYSFHMDKEAALIEQAVNNVLEQGVRTGD LTHDRADKTVGTTAMGDAIVAQIQALHDASASKS H257_00833 MSQSGYKIAVLPGDGIGPEVCEQAVRVLTLVGSLFQHKFEFTHG LCGGAAYDEFKSHLPQSTVDIVKASDAVLFGSVGGPIDAQETPKWKDAEKNCILGLRK NFNLAVNIRPAKIYAMLPDLSPLKPSIVAAGVDMVIVRELVSGIYFGEHSTENGVARD VMKYSEDEIRVPMKFAFETAMGRTKKLTVVDKANVLDCSRLWRKVAKDIALEYPSVSV EFVYIDNAVMQLIKNPSQYDVIVTGNMFGDILSDAASVLPGSLGLMPSASLGDHIHLF EPIGGSAPDIAGKGIANPIAQILSGALLLRYSFHVRGSFIGCIYYHSNIYIYIMMGGL H257_00833 MSQSGYKIAVLPGDGIGPEVCEQAVRVLTLVGSLFQHKFEFTHG LCGGAAYDEFKSHLPQSTVDIVKASDAVLFGSVGGPIDAQETPKWKDAEKNCILGLRK NFNLAVNIRPAKIYAMLPDLSPLKPSIVAAGVDMVIVRELVSGIYFGEHSTENGVARD VMKYSEDEIRVPMKFAFETAMGRTKKLTVVDKANVLDCSRLWRKVAKDIALEYPSVSV EFVYIDNAVMQLIKNPSQYDVIVTGNMFGDILSDAASVLPGSLGLMPSASLGTTLLSW LSSDVLLDKTIRRPHSLV H257_00834 MLRRPQTVGGLFSHTSPNAGAAVDLARDLAAARNAYKKHDSSAS IAAHKSCACSKAEGGMNEPGHAVNSMKNSLLKTMADAGVSAIGFNLLLLTLLSGPSSP KLLYLSVRMALASTVSSALFAGILAYRRNEEQRFEYERERRREMWELDNFPQGEKDEM VELYTGRGMTMKDARTVIDLMANYEHFFVDIMMIEELHMLPPDQTISSLLVGATTSLG TFTWGLVPWGLAASFHYLTSPVVVPSTTSLISPWVVSTVVSSGMACWLRMYTFSGSEH SKSYYIGGRLHIELPYAVELCVGLFVALAGATWLATSLADNTS H257_00835 MNSDVGAEAAADTVDQDAWEREMEMMLEQRDSRSRSRSFIEMHN SSVRPHPQVDKLPRPQSLGTTGFRSAQVDGTSRARGATADDESHDDDGYSYDDEGSVA RQAARSTKLYLAGSAPVDLDAIAMMQDGLHGCGSNRAAQHIEAGPLSAKALDILSQGL AMRADDSAERRSMTEEELEEKKMAEEEAIKLQQEREMPSSIGVVEEAPVDVAVVSSAM ASSGRVKKKGSLGKAFSGIKTKMFGKKPNGLASDAPSSSSSSSNRSSITAAIGTSRPA GPLAHRRASSSAMPEYAGRPGDQAKRKPYKIKLLLLGDSGVGKTSLMRVFSGDEFSES MLATAGVDFKVRSLTLEDEYDVALQIWDTAGQERFHRITSTYYKGANGIILVYDVGDK RGFDNVGYWMKNIQEHSPSNMPAMLLVGNKIDLATRVIVTEMGQAAADAYHCRYMETS AKTCENTSNALETIARDALIMTINTVITQKELLEREQQQHGAPLNKENCVIS H257_00835 MNSDVGAEAAADTVDQDAWEREMEMMLEQRDSRSRSRSFIEMHN SSVRPHPQVDKLPRPQSLGTTGFRSAQVDGTSRARGATADDESHDDDGYSYDDEGSVA RQAARSTKLYLAGSAPVDLDAIAMMQDGLHGCGSNRAAQHIEAGPLSAKALDILSQGL AMRADDSAERRSMTEEELEEKKMAEEEAIKLQQEREMPSSIGVVEEAPVDVAVVSSAM ASSGRVKKKGSLGKAFSGIKTKMFGKKPNGLASDAPSSSSSSSNRSSITAAIGTSRPA GPLAHRRASSSAMPEYAGRPGDQAKRKPYKIKLLLLGDSGVGKTSLMRVFSGDEFSES MLATAGVDFKVRSLTLEDEYDVALQIWDTAGQERFHRITSTYYKGANGIILVYDVGDK RGFDNVGYWMKNIQEHSPSNMPAMLLVGNKIDLATRVIVTEMGQAAADAYHCRYMETS AKTCENTSNALETIARDALIMTINSTIFLLESFLR H257_00835 MNSDVGAEAAADTVDQDAWEREMEMMLEQRDSRSRSRSFIEMHN SSVRPHPQVDKLPRPQSLGTTGFRSAQVDGTSRARGATADDESHDDDGYSYDDEGSVA RQAARSTKLYLAGSAPVDLDAIAMMQDGLHGCGSNRAAQHIEAGPLSAKALDILSQGL AMRADDSAERRSMTEEELEEKKMAEEEAIKLQQEREMPSSIGVVEEAPVDVAVVSSAM ASSGRVKKKGSLGKAFSGIKTKMFGKKPNGLASDAPSSSSSSSNRSSITAAIGTSRPA GPLAHRRASSSAMPEYAGRPGDQAKRKPYKIKLLLLGDSGVGKTSLMRVFSGDEFSES MLATAGVDFKVRSLTLEDEYDVALQIWDTAGQERFHRITSTYYKGANGIILVYDVGDK RGFDNVGYWMKNIQEHSPSNMPAMLLVGNKIDLATRVIVTEMGQAAADAYHCRYMGTT SHPYRTQIVVPL H257_00836 MGRLASKRKLKQCDPFFKGKKTVGTDSSKYDMAPTLSKRKKKMM NKEIDQAAIDRFVLGNGSTSGGGKKKKSGGTLADVEGRRPGETMRAFNHRMGTEVKRV LAQETKKTQKTTIKRKSFLESKKEKTRRKKLTEQERYELEFQETGSTKKDNFEGAERI RFGERYDAPPILPVLKGTLKRKADDAAANRAKKHKA H257_00837 MAGAKSTDVVRLLLHVEGHALPDVEGRLLHDFEATMRALGHTVE RHYNVDQGVPSRILWVLNDSSDSPGAIPYTNDPIAAYTRLQTMCVYIDLLYIHGNSPH AQDTYDQLLALPWHETAYCFDSPRGDLHLLAKVLATLLSNPCQRRLSQPEAEQIMRII H257_00838 MSRLKTASELPTHDRFHSRAPAVPVDVSHLSINQRLVLENRKRA MEKTRRRNTIEVERMLTTEPVEVGSQIWIPDSETVWRVVQVTKVHGEDRGMLLTVVGK HGEEKVDMRDIGDVYRVNPRVVDDMTSLYYIHEAGILENLNIRSRLDNQRPYTFMANV LIAVNPLRKVVEPNIKDYVKTQMGDRPPHPYAVAEVAFQQMALRSPSQNQSIVISGES GAGKTETSKIVLRYITTREHILNGNKDDSVVTMAKISSQELDRRLWDTNPILEAFGNA KTLRNHNSSRFGKFMKLQFQDTGKSTVDLHLVGAYIETYLLEKFRVVAQIPNERNFHV FYYLLAGASDDLSAQLHLTSPADYLYLNQSGCISDPNIDDELLFDDVCSALKSVGVDA AMQLNVWTVLAGLLHFGNVVLTNRETSEGDAGDITTATAGALQIAATHLGVDVAYLER VITTRGINTRGENFVIKRNAKEGMYVRDAIVKSIYQHLFDWIVNHINVSLGHGPPDLS FIGVLDIFGFESFDHNDFEQLLINYANEALQATFNQQVFIAEQELFSHEGIDVGKIAW PDNRECIDLISSKPHGVLPLLDSESRTQKPTDDKWNAALHKTHVGHGHFLAPHEKDKK FVFIIKHFATMVTYTVGNFIDKNNDTIPKDLEDLVLSSSSELMAQIYKSGVDGGVAAF KKPSVSFKFCDQMQTLVDTLNATRCNFVRCVKPNPTMSVGAFDNGYVVDQLRCTGMLA TCELLKVGLPTRVSYDEICRIYKPVLPPHVTPMFQCYNDRTFTEAVLWAFRVDPDAYR LGRTRVFFKTGKIALLDALLKVDMNKMGPWIVARLKKWLARRRWRYAAAKVMAQRSFL WLLEYVRNRKAAIVKIQSIARMYNVRKQFLVTRASSREAAKRKDAAVHRWKKAMAVVR GRNAFNRLFAASRAKLQAQHARVNRAATVLQTVARGKLARREAEKLRRAAQEAALRLR LQKEAERLQKEADARLQASKKSAKRRWHAALIAISAQRLLVSRLIKIRNGRLVAEMAE QRAREDEILRLQRLDAERVLQQQVQTQSAIKIQAAYRGKVGRDEASRVAAVAYAQSAA LAASVAADEAARAAELASKAYEAESAALRKLDSLEASRMAATVGVVPASVGVLGTAGV AQGVAGEAGALGALGSQGVAGALIDKQESLVEPDMSWMPKDTPAFSEGTIGNGLSADE LHQAGVDLFGEEGAQIQGPLISADDDDLRNPVERMQEFPTGPPIPYKGGIFTCTLLGH RKLQDENWGDEYTEYVLRVTWGRDILEQSKTAWLVGGRYNDFNALHQELKAAASGQKG KRAPWFPRFPKRHPFSSLIGKNQEEKFITKREKELNRYMTQVLTQMPDALLNVHMDRF LQLTLRTGDICEREAFAEARKQWEEEEREALAHAADAEPLNDGEIHEVEELVHGLLEK IIYAQGDIRTDGALQEMIHAVKVLQPRVTASAQIGAGVNMELVPLAMQLQDDIQDAFN QYNDTLLALRLGQEFD H257_00839 MKTSCFSIFLFCAAHQSSARYLRNQGGNDVIPGQNPLQLVPADG STLVQSKVDNVDADGPWNNDLVLNQFQLADSDPPLANVDDLKEQLTELPDPILLIQVE ELFQSPSSDAESFSARDEVDVGALLDDIHDVTDDLLDASDIVSDADEVLVQSPPNEVV ALAAEDEVDVGALLDDIHDVSDDLLDASDIVSDADEVLVQSPPNEVVALAAEDEVDVG ALLDDIHDVSDDLLDASDISPPNEVVALAAEDEVDVGALLDDIHDVSDDLLDASDIVS DADEVLVQSPPNEVVALAAEDEVDVGALLDDIHDVSDDLLDASDIVSDADEVLVQSPP NEVVALAAEDEANVSSYNIETVEEAAEPSRYDSSIELVDVLVDDQDTAVVDVLEDGSE GADLLSGDAEVDADGDDVAN H257_00840 MFAPRNVMHAMICTTKQLPGCTPTLFSGLVWASQHENATKLNKA APRDAALVKLTRHDLDGQHATRAMEPKNATVPKRTDNMDNANELFPTLPHDSTRNERK AAASTPVTDTKGVRSMSIGSDDDRNTSHTLRPATSSFWRRCGVFVVLSTIRPAE H257_00841 MPNETFVKSVACPVQQPKVTTLLVNDSSPKTLARLTKQDFAEQH VMHSSNVRQVNAPNPLLSAAKDNDALPKDPHDLETNALYSSGLSGVNNAVALPRSGKS QSAAWDEFISIAALFKVVATAVPLMRSAFVMSRDE H257_00842 MHCTAKGVVALVLCFVTAGLSWTQFIIPLWLVSDNDIGKSSAIQ SIGVAGLCFETNHSNGIVCASYFSAPSPINEVERANLPTSRPNLPYSLSNQSICALYA QTNDGDKLDIGGAYPKEVLNDEFLERTCGSLGSSTLTFAISTSILGTLMFVALAVWTC ATTTKSCMLALSKLLAFAALVANLLTITMWFVQQSSLHVGAGVSLGLSFFLSVASATL YCGCITAIGMLRLKERHERFREKSLRLQSIRDLERAASIKRAKSLSARALTVL H257_00843 MAATGDSKLQIVAGTYEGMLYGWEASTKDLTGKKPKSALKMVYG YPAHTECIKALAMMMEHDGKTLLTGGNDEMIKIYNVKKKVEVGILMQHKGAITCLEYY GKSHVLSGSADNTICIWRTSDWNCIHILGGHQGPVNSIAVHPSGKLAFSVSKDKTLRM WNLVKGRSAYIRRLDQEATCVFLSQDGNRYGLVMGNHVSIFGSANAELVGALEHKKKV HTAAFATNDLVVLGTDDGVLYLYRAQGQLLAKISHPDITARIRSLQVVAKVDASELPY VVLVSTNGVVQVYDLAQFSLSDSALEANNAVEPVASARVFGTALVTCLSACRLNVDVV DDKPTGAKKPKQAKSAAVEPKKTATAVLAAPTIVVEHEVTAPASKTKRKAQDSTDIIP NGKKTKVENHGPPAPNNNKAKKANKQRNK H257_00843 MAATGDSKLQIVAGTYEGMLYGWEASTKDLTGKKPKSALKMVYG YPAHTECIKALAMMMEHDGKTLLTGGNDEMIKIYNVKKKVEVGILMQHKGAITCLEYY GKSHVLSGSADNTICIWRTSDWNCIHILGGHQGPVNSIAVHPSGKLAFSVSKDKTLRM WNLVKGRSAYIRRLDQEATCVFLSQDGNRYGLVMGNHVSIFGSANAELVGALEHKKKV HTAAFATNDLVVLGTDDGVLYLYRAQGQYDIPFMRLPRGLTVACWFVGSWPRFRTQTS PHASEVCKLWPRSMPASCRTSCS H257_00843 MGGIDKGSDRQEAQVSPKNGVRVSRAHGMHQGVGYDDGARWQDP LDRWQRRNDQNLQCQEEGGSGHPHATQGYYNTTTTSFMGPIISISWCMAGAITCLEYY GKSHVLSGSADNTICIWRTSDWNCIHILGGHQGPVNSIAVHPSGKLAFSVSKDKTLRM WNLVKGRSAYIRRLDQEATCVFLSQDGNRYGLVMGNHVSIFGSANAELVGALEHKKKV HTAAFATNDLVVLGTDDGVLYLYRAQGQLLAKISHPDITARIRSLQVVAKVDASELPY VVLVSTNGVVQVYDLAQFSLSDSALEANNAVEPVASARVFGTALVTCLSACRLNVDVV DDKPTGAKKPKQAKSAAVEPKKTATAVLAAPTIVVEHEVTAPASKTKRKAQDSTDIIP NGKKTKVENHGPPAPNNNKAKKANKQRNK H257_00843 MSRRRWKWASSCNTRARSRAWSTMASPMSSVAVPTTRFASGGRR TGIASTFSVATSTTTIRRHHHVFHSTLHHHVLARGPVNSIAVHPSGKLAFSVSKDKTL RMWNLVKGRSAYIRRLDQEATCVFLSQDGNRYGLVMGNHVSIFGSANAELVGALEHKK KVHTAAFATNDLVVLGTDDGVLYLYRAQGQLLAKISHPDITARIRSLQVVAKVDASEL PYVVLVSTNGVVQVYDLAQFSLSDSALEANNAVEPVASARVFGTALVTCLSACRLNVD VVDDKPTGAKKPKQAKSAAVEPKKTATAVLAAPTIVVEHEVTAPASKTKRKAQDSTDI IPNGKKTKVENHGPPAPNNNKAKKANKQRNK H257_00843 MASPMSSVAVPTTRFASGGRRTGIASTFSVATSTTTIRRHHHVF HSTLHHHVLARGPVNSIAVHPSGKLAFSVSKDKTLRMWNLVKGRSAYIRRLDQEATCV FLSQDGNRYGLVMGNHVSIFGSANAELVGALEHKKKVHTAAFATNDLVVLGTDDGVLY LYRAQGQLLAKISHPDITARIRSLQVVAKVDASELPYVVLVSTNGVVQVYDLAQFSLS DSALEANNAVEPVASARVFGTALVTCLSACRLNVDVVDDKPTGAKKPKQAKSAAVEPK KTATAVLAAPTIVVEHEVTAPASKTKRKAQDSTDIIPNGKKTKVENHGPPAPNNNKAK KANKQRNK H257_00844 MDSWDDDEFEVPALNKSAPVAANWDDEEEEEEAPKPVVAKSNAA APTGPLKPKQLKKQILKEQEEKHRLEVAIARARAEDERNMTADELKAKQLRAIEHSDF ENTLDAFGLSANPNIERKKVSGPNEIETLVTGMKLASLADHEELGSLVGKRLANSNAK HVVEFMKTLISHASNNLTADDMKDITTIINVIKNDKIAAAKPKSKKKKITGKQGYAKV ERGGAAGVENFEDDGDDFDDFM H257_00845 MADVGDDVGGDAPGRFPMDANHNELLQVLVKSNQEWLDVYASHD VAHDDCFVAHQTVWLNDYFQKLRVLTDPSSRQAKGLVQKFQETVETSRPLLQKASDSS PSSDRVVEPLALILYCATQERCPEFRVESFLKEVCLFMAFPSIVARSNEAGLAAPLYR LLVRHSNLHARLLVLKILLLLAKADASAVAKGCEIGAQGQAILPTMFISTLAKRYPGC NALDDLTTQLIAVFDVRKRSLFLDLSYAPPNPSPVKPPKKSPVLLSSLSSPSFKPPTA HLRDKSPFLPQPKSAFGLRSTTSIPCPSPKHSPSADKPNKLVPPDSPARSRKLLPVSL APLDQIEQRLHAFDLAPPQSGARQDIPNKTCELALPLIHHPGPGVALPITAPPPRKSL RHTSHGLTSASGFEWWWRTLPSSHTSLAPHAKLKAVCVAAIKMHSTGVYDRAVELYTL ALSLALPVPPVALHVRPTPDDGDVEPVSLSLKLQLNLGSAAFSLGHIGDSIRAFESAI RMQPQHKWAHYKLGMAYQADGRVESAVAEWRAIAKLFPLAQAALDAAERGNAVSQTEH HTKMVKAKHGVAESPAASRGGVSKESKTAKQRLKDVVRHLAGMGVRMGIHFPQLFSRV DRYRLGVVTTVTFKEILRVSGLTLTSQEYADLARYLRDPNDPRYLSYAKLLGDATFVA VASASDPPVVPLDQMCFHGARKRTPMEKLCVPLHLIAQNECHQFFSTMASWALFGVSK AIAGGVILHSSWLRRLTYIPAAPPSVVSTEAGVFVRNVIASGSLQAKHLILVRRRVAK KLSLSLVAQAQYTACVHMCRWRKDGVHNVSVAMGRHVLDCAVAKILLKTRAQRARGHM ECQSAVHADCRYQGQRSTATVDRRRQVNVALDDVACRARASIVGKRKARAELARVATK ATTWTQSQVVAKVVLVGVVTTAKKRMDSMQQSRLRLAEYVQDARVALDNLRILAWEQL VDSTRLVVAQTTVSTILVTMVQTVAARGRVIDEAGGKARDEGGFGANSPTPQLPPCVN TDETGYEAADDGR H257_00845 MAFPSIVARSNEAGLAAPLYRLLVRHSNLHARLLVLKILLLLAK ADASAVAKGCEIGAQGQAILPTMFISTLAKRYPGCNALDDLTTQLIAVFDVRKRSLFL DLSYAPPNPSPVKPPKKSPVLLSSLSSPSFKPPTAHLRDKSPFLPQPKSAFGLRSTTS IPCPSPKHSPSADKPNKLVPPDSPARSRKLLPVSLAPLDQIEQRLHAFDLAPPQSGAR QDIPNKTCELALPLIHHPGPGVALPITAPPPRKSLRHTSHGLTSASGFEWWWRTLPSS HTSLAPHAKLKAVCVAAIKMHSTGVYDRAVELYTLALSLALPVPPVALHVRPTPDDGD VEPVSLSLKLQLNLGSAAFSLGHIGDSIRAFESAIRMQPQHKWAHYKLGMAYQADGRV ESAVAEWRAIAKLFPLAQAALDAAERGNAVSQTEHHTKMVKAKHGVAESPAASRGGVS KESKTAKQRLKDVVRHLAGMGVRMGIHFPQLFSRVDRYRLGVVTTVTFKEILRVSGLT LTSQEYADLARYLRDPNDPRYLSYAKLLGDATFVAVASASDPPVVPLDQMCFHGARKR TPMEKLCVPLHLIAQNECHQFFSTMASWALFGVSKAIAGGVILHSSWLRRLTYIPAAP PSVVSTEAGVFVRNVIASGSLQAKHLILVRRRVAKKLSLSLVAQAQYTACVHMCRWRK DGVHNVSVAMGRHVLDCAVAKILLKTRAQRARGHMECQSAVHADCRYQGQRSTATVDR RRQVNVALDDVACRARASIVGKRKARAELARVATKATTWTQSQVVAKVVLVGVVTTAK KRMDSMQQSRLRLAEYVQDARVALDNLRILAWEQLVDSTRLVVAQTTVSTILVTMVQT VAARGRVIDEAGGKARDEGGFGANSPTPQLPPCVNTDETGYEAADDGR H257_00846 MVIVSKVLRQHYGAKPLPVNPRKLNAQLNAMLRVIGAPGRRWDA GLLLTDDKHIQFMNKKYRHKDKPTDILSFPNYTIQTPGVLPGVRGQDARYLGDMFLSL PYIESYCLHNDTTLDERMPILVAHGLCHLMGYDHENDDDFAVMSAAEDALLERYVKHV PSMYQDKKITDNDAA H257_00847 MAMSSPVFLTTAGVPAAPVKKMQNPQVKFFIGAGVGWTYEFATG HFLEFIKVMKQTQSHKSYLELTRDITRAKGIIGIWDGFFPWGTVQALAKGSVFSWGQA FSRAQLSPLVKQGHISDRTAEIMSGGLGGGVQGIVLSPTLLLKTRVMTDPVFRTKMSG WETIVKSGKVGMNVIRNEGVPALMKGSMVFSLKRVADWSTRYFFCVVSEDYMYRQGDP NRKITVSEELAASLVGGTLSCLATLPVDVMVAQIQQASKAGANVGIVATFKDEYAKGG MKRLVGFSMGGFVPRCLHVAFTTMVMKTGCSAVYSMYEDYTDGN H257_00848 MLAQTAPSDQVPRVDHFRPIEKYYRRRGDGHKNSKQRRRERHQN TDGGVDYSDVIDVHCLERNTEAQRIRIRRVPLSPHATSIPGAILSPKHAEMFEIDGLP GLCILINAMPRSTQVEWAFRAVREYSQNPFTNVSNLTKERDATKNMWKHAWKEPCEAS WKAFHALRWANVGRHYDWTEREYLDTPDMPPLPLELEQLVHEVFEMTGMLATCKAAES GIVNFYPAGTMMGGHLDNAEDDMVNPIVSLSLGTQCIYLQGGLTRETPPTPLWLYSGD IVIMAGEARRSFHGVPLVTSILPDEFSNAMDELAASNTMMDPREFRAFREYIASARVN VNLRRVRSD H257_00849 MAKHIVSLSQMLENDNRRREHTLSVNGSRTIPYAAANAQPPHGM QPGPMLKKHRPTSKKYIAALPLPSLLQSRYSRMLMETSESRRVAPVPRDDIHERSSTT REQCDPLHAERLQQLMDTVQSLLQDKQKETEAKSVKATLKKRKLDELEQDKRQRRDAK EQQRQHEHAEKLRRRQTKQDIQREKDVQRQACKAEKAHAKQTAEDAKANRKLEKQTLK TAALEIEQAEHLARQKAKELKRLAKDKAAAKRVSELRALSTRVWKLEHQRAVDAKESK ALGDKIHLLAKTTKDLLAENKKLVKAVQEHKKVVTSTSSQLNSTVKQAKDTISTTSDN LHVTMQQWRDRGAGRLPKSTTTTPPPVNRILLDDEDNDEVFAIVDPTAAAAVVSSDEN NPDSFGSTFAQDMIQHAREKRQRKQQHNRRRG H257_00850 MAENGQILLPNVGVGHASIEDLAKLVKAKREFAQEKVISHQRVK LLREEIAECYLKSGVNHYVACKALREQYSVLVKDPWLAMKPIRFEGQDDE H257_00851 MLLNMAKDDLRAAKECTPLIQRSGAEDPPPPPTLAHSLYFVATD SAINLLLLCMPFAIYASYAQWSDTVVFAFNFLSMIPLAKIIGDATEEVAFHTGDTIGG LVNATFGNAVEVIIAIFALREGQIAVVQASLLGSILSNLLLVLGCCFVAGGVTQKVSK FNETGASANSTLLMVSAFALLVPSYYQYTTYDDKPATKAVVLGLSHITAVFLLLIYIQ LLYFQMKTHVHLFEPAEDNTHGTVDEDDNACSMTLSSSIVVLGLATVLVAILSEYLVA SIDGFVASTHVSKSFVGIIILPIVGNAVEHLTAVRVALKQKMELAMGVAVGSATQISL FVVPVTVLAGWVMDQPMTLAFPTFEAVTYVTSVVIVYAIIVDGKSHWLEGSMLLTMYG LIAVSLLWVHV H257_00852 MSENSSLLRNTQEAPVANARHWWFKYALAGGAVVVIGGIVWIAT AQGKPVVAITLQGQVTSFNVTTTSAPVTTATSAPENTTAATSTTTAAPETTTTAVPAT TNASIVRNATNATVLTSNTNNTIEAPYPAQFATFFKEFEANLDRSVDPCDDFYQYACG GWLKTTTLKPSDTTVDSSDYVVAQDNDRILKDILATKPTVIDPFYQACLTEGDVNADA IVDVSVRLNHIANIQSLDELLVLAGILNIESSVSSFLDLSVTTDPKNATLNVVEIIQG GLTLPSIEYYAADKLAPYVTSLQSYLATLATVDAFTGVTAKAVLNFEAQLAKVSLTQV ELQDPWATYHKYAVSDVTAKYPQIASFLSGAQPGLVNQTYVPVLVPTPTYFDSLGALL QATDLGLLKVYLSFRLIHTASPYLGETFRQANHDFNGVLQGQTLLGEYLGKLFMDKVF DGATKVQAQDLIHQIEASMVDLLNDATWLDGPTRQVGLEKVAQIRNFVGGPDTITPLP FNLSSNFYTNVQLFGDWKTSKSWKSLHKPVDPTVWDMFAFTVNAEYDPSANKIVFPAA ILQPPFYNARSFPAVTNYARIGVVMGHELVHGFDDQGRNFDPHGQLNASWSDAASATF DKKAKCLADQYSTFPIVSVDGHTVLGHLNGQLTLGENIADNGGLKLAYLAYQRVKKAN KAIAENLGTNDAKLFFTAYAQGWCQKRSDGHAILYKNIDPHSPGKWRVHGPLYNSQTF ADAFQCPTGSPMNPFKKCVIW H257_00853 MTGKKADMAELLRTNNLVNLEGFFESFSIQSHDLASKHNNIVDG QTITKNLVCRAASSPFAARAANNSPSFGQHESQDVLRHPPAQPHDHISREVYGTDTDW MGSDWRSSGGSTTFSCDGRRRRTSTHSCFGNGGKIDDGVGWVHTWSKTSMCRRVFEML VKKGDMRREVNVSSFHQLGNSLHHHHNIEDHSWFSRLKQLHPESRSEVDILNRDHRKL IELESRVASGNYHALVEFVEHLMDQFNREEMLSVPWLLEGTGEL H257_00854 MLSLGRDWVTCAIARRLVEQVQTTMAGRTSEAQKFLRDSSHTSP SSVDLEAPHRLASTASNGKATLTPIDDLASVQTDETSQPIAAESKSAPHPSQWRIVWS LVTGSYVNVLLLLMPVAAYSYIDKWGDIPIFVLNFLAMMPLANILGEATETLAEHCGD TIGGLVNATFGNAVEVIIAVFALKKGEIALVQSSLLGSMLSNLLLVLGCCFIAAHIGG VSETSFSGRSAATNMSLLFVTSFAMLVPTYYHYSNFASSAAVREEEVLVMSRVSAIFL IAMYLQLLVFQLHTHRPRPTVVPALEASAAVAGESLDEPDSHEPQMSLWMSVVVLAVS TALVSIFSEFLVASVDGFTESANISRSFVGIILLPIVGNAVEHVTAIKVALKNNMELA LGVAVGSATQISLFVVPFCVVAGWVMGQPMTLAFPTFDAVTYVISIVIVYAIVSNGTS NWLEGSMLLTLYCLIGVALIEMELIDD H257_00855 MVVDALAEVARWYRKEQQSVAPASIDEANATSSTLPCCPSTSIN RMLSTPPVRAFLEHKVESVRQALQRDHNNHILHASLALFLELLNGTDPRVLHHMAEAI ALAPSKGAYKAHRTRIQFNSTAAQKRAFLASSVAATSTEPWSRTMLPVPVEYPSSLSV DTFMTKYAGAGQPVLIKGGASLVMNASWSLESLVANPVVANTMVDTKRKVADSVRWAR LEDGPRQSVGSFIQAMQRDDWSAEPPLYIHDVSIPLHFPELTPQVTIPAYFARDYLQQ TPEGTMYRETWPSLFVGPRGSESATHVDSFGSNFWMALVQGRKRWRLVHPNDMHLLYP TWHAGSADVVYGVDLASTDESKGDSLYPLFRHARVWECVLEAGDILFVPAGTPHFVQN LEHTVAVSSNYIDATNWTQASSALKHQAYSDPRAAELLLHLQHVEAHRLPSDESSAQV LPPPSISFQEFKHPQIHVRSVRVASPPASPAHDHHTSKRLRSAYADLVADLASPSSSE GDDAVPG H257_00855 MVVDALAEVARWYRKEQQSVAPASIDEANATSSTLPCCPSTSIN RMLSTPPVRAFLEHKVESVRQALQRDHNNHILHASLALFLELLNGTDPRVLHHMAEAI ALAPSKGAYKAHRTRIQFNSTAAQKRAFLASSVAATSTEPWSRTMLPVPVEYPSSLSV DTFMTKYAGAGQPVLIKGGASLVMNASWSLESLVANPVVANTMVDTKRKVADSVRWAR LEDGPRQSVGSFIQAMQRDDWSAEPPLYIHDVSIPLHFPGTWPHHAPLLTCLLVELTP QVTIPAYFARDYLQQTPEGTMYRETWPSLFVGPRGSESATHVDSFGSNFWMALVQGRK RWRLVHPNDMHLLYPTWHAGSADVVYGVDLASTDESKGDSLYPLFRHARVWECVLEAG DILFVPAGTPHFVQNLEHTVAVSSNYIDATNWTQASSALKHQAYSDPRAAELLLHLQH VEAHRLPSDESSAQVLPPPSISFQEFKHPQIHVRSVRVASPPASPAHDHHTSKRLRSA YADLVADLASPSSSEGDDAVPG H257_00856 MSELGRLAMKMMERCALDESASPTTSMKDESNVNNGGNIGCPFL LQLIQPFEEIMPHNTKRELSAVNAYSIKLDTLPFSILETDMFRRAFPDKPIFHAILEH LDGMRALLTSNTTRIVVQNLSSVSIRVDGVDQEPKILSSVGSVADMTPGSLLTLFQFP PEHVRPCIALQLMPSSRVA H257_00857 MWGRRRPYANAAKALPNPYIIQPLDMTDTSDWCQLQLAIATTDG CTNSSSAQGNDIRAAVVSFAGTTQEGEAYTDIAVSVGDQPMQIPSSWGAFRPNELHAM AFLNEKKCLSMEIRSAHVCVGIHIPTYSAMSGSDKVNPSTPICKHMAIFMRWFIKHSR DEWSYPYMSELVDAPTDSTPFLSSALYQVVPPIPSSAAGDAFTPSPNLLPTLRRYQKA AIAWMLERERPPHVPSGPPPPFSIVEAVRAKSGVTYNPFTCHFSTAAIHGSAPPWSGV RGGILADEMGLGKTVQVLACILSHPSPAMDHVHAVEPSRLPRRAAANLVERSCVCNCP DDDVHGWIECTRCRVWQHRLCTGFQLSLDQPFYCEGCLRHVDPDWAIPSTLIISPESI HKQWEREILRHTRPDSLSIMTYGGIKALRQRLKGRPSAQWKYCRAPELAKFDVVLTTY EALRDDLHHVSDASSSCLRRRKRYRIVASPLTHVTWWRICMDEAQLVENTQAKASLMA LALKSTLRWCVSGTPFSTDLWEVYGTLAFLQVQPPCFQDKAWWRAVMGRFASSSPRLG EVVQGLMWRNEKKDVVEQINLPPQTVETSWLRLSEIETHFYNQQMDECTKHRKPTDSN EITVAMFNSLLRLRQACCHPQVGSHGLRALDENRPMSMDDVLTEMMLKAQRECEEAQR VLLAALNGLAALNVVEGAVETAVGLYFEALSLIQHNWAEFRADLLPRLHLVHNFGRLL ERFGAPRPPIMLSQVPFEDAKTNHCLPLLTAVKCLFEHFSKNDRPTDSFELLRQARLD LHHSATQIEAFYLSQCNLTHDTALHKYETAYRSLQAEHFPQVDAPESPHLPDSFHAAW MECLLAVDDPDALVDRVRAKLMSRSDLGMNLSQRIRSVVSLRVVLLHELDRLFGLRAE NHTQLMALSRHAPTKHDVYASGNCAFCREGRDGPKCQHCLVQPDMDTLGAMLGITSDT TPSSATNYNLGSLLLDIVGEVAKNATHGIPDMHQQLQTVLGDVRKERVLGVKLWKAQH ARLGALDELEMAKTTMQLREPDDPVRDVEKTYKLVAVEVPVKRMELEQERAESSANLA SKLSPLRYLLHLHRTKQQHLVVDECAVCHDRMPAQRRMWPCAHVFCLSCTAHLIKQPS ALRCPTCRTPTTERHILLVNDIHPQRPYDARHLGGTGLGTKVDSIVNRVLSLEAGAKC LLFSQWPDMLRLLHQALTSAGVRCLVVAHKKDFDATLHQFKHHPAECVLAMPFKYGAN GVNIVEATHVILVEPLLTPGVEAQAINRVHRIGQDKPTTVHKFVVHNSVEEGILVLQH QKQRDYCAKKHDKETMTWTDWTMLLQLDNAAFWNERVTWQSRTLTRQHAKEVFERTMS FELLQTRQRLVDQPMIAVCGVDVAVPVARQLLQECTTDDHSPHLVLLEWLAHRVQLHV THP H257_00857 MTDTSDWCQLQLAIATTDGCTNSSSAQGNDIRAAVVSFAGTTQE GEAYTDIAVSVGDQPMQIPSSWGAFRPNELHAMAFLNEKKCLSMEIRSAHVCVGIHIP TYSAMSGSDKVNPSTPICKHMAIFMRWFIKHSRDEWSYPYMSELVDAPTDSTPFLSSA LYQVVPPIPSSAAGDAFTPSPNLLPTLRRYQKAAIAWMLERERPPHVPSGPPPPFSIV EAVRAKSGVTYNPFTCHFSTAAIHGSAPPWSGVRGGILADEMGLGKTVQVLACILSHP SPAMDHVHAVEPSRLPRRAAANLVERSCVCNCPDDDVHGWIECTRCRVWQHRLCTGFQ LSLDQPFYCEGCLRHVDPDWAIPSTLIISPESIHKQWEREILRHTRPDSLSIMTYGGI KALRQRLKGRPSAQWKYCRAPELAKFDVVLTTYEALRDDLHHVSDASSSCLRRRKRYR IVASPLTHVTWWRICMDEAQLVENTQAKASLMALALKSTLRWCVSGTPFSTDLWEVYG TLAFLQVQPPCFQDKAWWRAVMGRFASSSPRLGEVVQGLMWRNEKKDVVEQINLPPQT VETSWLRLSEIETHFYNQQMDECTKHRKPTDSNEITVAMFNSLLRLRQACCHPQVGSH GLRALDENRPMSMDDVLTEMMLKAQRECEEAQRVLLAALNGLAALNVVEGAVETAVGL YFEALSLIQHNWAEFRADLLPRLHLVHNFGRLLERFGAPRPPIMLSQVPFEDAKTNHC LPLLTAVKCLFEHFSKNDRPTDSFELLRQARLDLHHSATQIEAFYLSQCNLTHDTALH KYETAYRSLQAEHFPQGCIVISTQGEQVHFDVCHTCIVDAPESPHLPDSFHAAWMECL LAVDDPDALVDRVRAKLMSRSDLGMNLSQRIRSVVSLRVVLLHELDRLFGLRAENHTQ LMALSRHAPTKHDVYASGNCAFCREGRDGPKCQHCLVQPDMDTLGAMLGITSDTTPSS ATNYNLGSLLLDIVGEVAKNATHGIPDMHQQLQTVLGDVRKERVLGVKLWKAQHARLG ALDELEMAKTTMQLREPDDPVRDVEKTYKLVAVEVPVKRMELEQERAESSANLASKLS PLRYLLHLHRTKQQHLVVDECAVCHDRMPAQRRMWPCAHVFCLSCTAHLIKQPSALRC PTCRTPTTERHILLVNDIHPQRPYDARHLGGTGLGTKVDSIVNRVLSLEAGAKCLLFS QWPDMLRLLHQALTSAGVRCLVVAHKKDFDATLHQFKHHPAECVLAMPFKYGANGVNI VEATHVILVEPLLTPGVEAQAINRVHRIGQDKPTTVHKFVVHNSVEEGILVLQHQKQR DYCAKKHDKETMTWTDWTMLLQLDNAAFWNERVTWQSRTLTRQHAKEVFERTMSFELL QTRQRLVDQPMIAVCGVDVAVPVARQLLQECTTDDHSPHLVLLEWLAHRVQLHVTHP H257_00857 MTDTSDWCQLQLAIATTDGCTNSSSAQGNDIRAAVVSFAGTTQE GEAYTDIAVSVGDQPMQIPSSWGAFRPNELHAMAFLNEKKCLSMEIRSAHVCVGIHIP TYSAMSGSDKVNPSTPICKHMAIFMRWFIKHSRDEWSYPYMSELVDAPTDSTPFLSSA LYQVVPPIPSSAAGDAFTPSPNLLPTLRRYQKAAIAWMLERERPPHVPSGPPPPFSIV EAVRAKSGVTYNPFTCHFSTAAIHGSAPPWSGVRGGILADEMGLGKTVQVLACILSHP SPAMDHVHAVEPSRLPRRAAANLVERSCVCNCPDDDVHGWIECTRCRVWQHRLCTGFQ LSLDQPFYCEGCLRHVDPDWAIPSTLIISPESIHKQWEREILRHTRPDSLSIMTYGGI KALRQRLKGRPSAQWKYCRAPELAKFDVVLTTYEALRDDLHHVSDASSSCLRRRKRYR IVASPLTHVTWWRICMDEAQLVENTQAKASLMALALKSTLRWCVSGTPFSTDLWEVYG TLAFLQVQPPCFQDKAWWRAVMGRFASSSPRLGEVVQGLMWRNEKKDVVEQINLPPQT VETSWLRLSEIETHFYNQQMDECTKHRKPTDSNEITVAMFNSLLRLRQACCHPQVGSH GLRALDENRPMSMDDVLTEMMLKAQRECEEAQRVLLAALNGLAALNVVEGAVETAVGL YFEALSLIQHNWAEFRADLLPRLHLVHNFGRLLERFGAPRPPIMLSQVPFEDAKTNHC LPLLTAVKCLFEHFSKNDRPTDSFELLRQARLDLHHSATQIEAFYLSQCNLTHDTALH KYETAYRSLQAEHFPQVDAPESPHLPDSFHAAWMECLLAVDDPDALVDRVRAKLMSRS DLGMNLSQRIRSVVSLRVVLLHELDRLFGLRAENHTQLMALSRHAPTKHDVYASGNCA FCREGRDGPKCQHCLVQPDMDTLGAMLGITSDTTPSSATNYNLGSLLLDIVGEVAKNA THGIPDMHQQLQTVLGDVRKERVLGVKLWKAQHARLGALDELEMAKTTMQLREPDDPV RDVEKTYKLVAVEVPVKRMELEQERAESSANLASKLSPLRYLLHLHRTKQQHLVVDEC AVCHDRMPAQRRMWPCAHVFCLSCTAHLIKQPSALRCPTCRTPTTERHILLVNDIHPQ RPYDARHLGGTGLGTKVDSIVNRVLSLEAGAKCLLFSQWPDMLRLLHQALTSAGVRCL VVAHKKDFDATLHQFKHHPAECVLAMPFKYGANGVNIVEATHVILVEPLLTPGVEAQA INRVHRIGQDKPTTVHKFVVHNSVEEGILVLQHQKQRDYCAKKHDKETMTWTDWTMLL QLVRDQLAAKGRSKL H257_00857 MTDTSDWCQLQLAIATTDGCTNSSSAQGNDIRAAVVSFAGTTQE GEAYTDIAVSVGDQPMQIPSSWGAFRPNELHAMAFLNEKKCLSMEIRSAHVCVGIHIP TYSAMSGSDKVNPSTPICKHMAIFMRWFIKHSRDEWSYPYMSELVDAPTDSTPFLSSA LYQVVPPIPSSAAGDAFTPSPNLLPTLRRYQKAAIAWMLERERPPHVPSGPPPPFSIV EAVRAKSGVTYNPFTCHFSTAAIHGSAPPWSGVRGGILADEMGLGKTVQVLACILSHP SPAMDHVHAVEPSRLPRRAAANLVERSCVCNCPDDDVHGWIECTRCRVWQHRLCTGFQ LSLDQPFYCEGCLRHVDPDWAIPSTLIISPESIHKQWEREILRHTRPDSLSIMTYGGI KALRQRLKGRPSAQWKYCRAPELAKFDVVLTTYEALRDDLHHVSDASSSCLRRRKRYR IVASPLTHVTWWRICMDEAQLVENTQAKASLMALALKSTLRWCVSGTPFSTDLWEVYG TLAFLQVQPPCFQDKAWWRAVMGRFASSSPRLGEVVQGLMWRNEKKDVVEQINLPPQT VETSWLRLSEIETHFYNQQMDECTKHRKPTDSNEITVAMFNSLLRLRQACCHPQVGSH GLRALDENRPMSMDDVLTEMMLKAQRECEEAQRVLLAALNGLAALNVVEGAVETAVGL YFEALSLIQHNWAEFRADLLPRLHLVHNFGRLLERFGAPRPPIMLSQVPFEDAKTNHC LPLLTAVKCLFEHFSKNDRPTDSFELLRQARLDLHHSATQIEAFYLSQCNLTHDTALH KYETAYRSLQAEHFPQVDAPESPHLPDSFHAAWMECLLAVDDPDALVDRVRAKLMSRS DLGMNLSQRIRSVVSLRVVLLHELDRLFGLRAENHTQLMALSRHAPTKHDVYASGNCA FCREGRDGPKCQHCLVQPDMDTLGAMLGITSDTTPSSATNYNLGSLLLDIVGEVAKNA THGIPDMHQQLQTVLGDVRKERVLGVKLWKAQHARLGALDELEMAKTTMQLREPDDPV RDVEKTYKLVAVEVPVKRMELEQERAESSANLASKLSPLRYLLHLHRTKQQHLVVDEC AVCHDRMPAQRRMWPCAHVFCLSCTAHLIKQPSALRCPTCRTPTTERHILLVNDIHPQ RPYDARHLGGTGLGTKVDSIVNRVLSLEAGAKCLLFSQWPDMLRLLHQALTSAGVRCL VVAHKKDFDATLHQFKHHPAECVLAMPFKYGANGVNIVEATHVILVEPLLTPGVEAQA INRVHRIGQDKPTTVHKFVVHNSVEEGILVLQHQKQRDYCAKKHDKETMTWTDWTMLL QLVRDQLAAKGRSKL H257_00857 MTDTSDWCQLQLAIATTDGCTNSSSAQGNDIRAAVVSFAGTTQE GEAYTDIAVSVGDQPMQIPSSWGAFRPNELHAMAFLNEKKCLSMEIRSAHVCVGIHIP TYSAMSGSDKVNPSTPICKHMAIFMRWFIKHSRDEWSYPYMSELVDAPTDSTPFLSSA LYQVVPPIPSSAAGDAFTPSPNLLPTLRRYQKAAIAWMLERERPPHVPSGPPPPFSIV EAVRAKSGVTYNPFTCHFSTAAIHGSAPPWSGVRGGILADEMGLGKTVQVLACILSHP SPAMDHVHAVEPSRLPRRAAANLVERSCVCNCPDDDVHGWIECTRCRVWQHRLCTGFQ LSLDQPFYCEGCLRHVDPDWAIPSTLIISPESIHKQWEREILRHTRPDSLSIMTYGGI KALRQRLKGRPSAQWKYCRAPELAKFDVVLTTYEALRDDLHHVSDASSSCLRRRKRYR IVASPLTHVTWWRICMDEAQLVENTQAKASLMALALKSTLRWCVSGTPFSTDLWEVYG TLAFLQVQPPCFQDKAWWRAVMGRFASSSPRLGEVVQGLMWRNEKKDVVEQINLPPQT VETSWLRLSEIETHFYNQQMDECTKHRKPTDSNEITVAMFNSLLRLRQACCHPQVGSH GLRALDENRPMSMDDVLTEMMLKAQRECEEAQRVLLAALNGLAALNVVEGAVETAVGL YFEALSLIQHNWAEFRADLLPRLHLVHNFGRLLERFGAPRPPIMLSQVPFEDAKTNHC LPLLTAVKCLFEHFSKNDRPTDSFELLRQARLDLHHSATQIEAFYLSQCNLTHDTALH KYETAYRSLQAEHFPQGCIVISTQGEQVHFDVCHTCIVDAPESPHLPDSFHAAWMECL LAVDDPDALVDRVRAKLMSRSDLGMNLSQRIRSVVSLRVVLLHELDRLFGLRAENHTQ LMALSRHAPTKHDVYASGNCAFCREGRDGPKCQHCLVQPDMDTLGAMLGITSDTTPSS ATNYNLGSLLLDIVGEVAKNATHGIPDMHQQLQTVLGDVRKERVLGVKLWKAQHARLG ALDELEMAKTTMQLREPDDPVRDVEKTYKLVAVEVPVKRMELEQERAESSANLASKLS PLRYLLHLHRTKQQHLVVDECAVCHDRMPAQRRMWPCAHVFCLSCTAHLIKQPSALRC PTCRTPTTERHILLVNDIHPQRPYDARHLGGTGLGTKVDSIVNRVLSLEAGAKCLLFS QWPDMLRLLHQALTSAGVRCLVVAHKKDFDATLHQFKHHPAECVLAMPFKYGANGVNI VEATHVILVEPLLTPGVEAQAINRVHRIGQDKPTTVHKFVVHNSVEEGILVLQHQKQR DYCAKKHDKETMTWTDWTMLLQLVRDQLAAKGRSKL H257_00858 MVGVSAVVGSTVLLLHMLGLIQASEGQKEIFALDGKGQPVAWWV VLKLPWQVRDAQGKYIPTPCDCDKPQCANVKVDVPEERKFGLCYLYADANNPRLRHFR DVGYDCLGQGGNDPVSHTLRQAKNATYWAIFNDQFNGIAEKQDKRRVCSGGDAFNAHA KGAVAFELDSGGYVLQSSTPNFPDPTIPTGDSDDDFIRLGCQHDNNVEYAQHLFAMSV DGEAIKAVGRGWQSARLCSTNHYHDMSQVLASAALRHNELEHPMAAALVDPDADDAPS TNVTVVTKVGSVRIRGLFKDKASAVPPWAMAAEAFGTDLSVASWWDENFGIPSLCDGD VYGAVAEAFCLKNNPLTLRPDGTFPYNVENLMQATYVGRTCLAYPGFTTKSRAYDNRT PWRLSCDDAAAVTWSLRGGRVRDGNHAKWAVGTPRGGSTSPTSQAALSIFADLNMEGY PCSKKCNGSQGGRGGSFYGIEDTVLHASLVGLVSDVCKCRPSTSHDDPFVTFRMCDHG CKNKIHEDFSKDDLPALSNASSSFWGTTDRRRPIVDDSAVANS H257_00858 MVGVSAVVGSTVLLLHMLGLIQASEGQKEIFALDGKGQPVAWWV VLKLPWQVRDAQGKYIPTPCDCDKPQCANVKVDVPEERKFGLCYLYADANNPRLRHFR DVGYDCLGQGGNDPVSHTLRQAKNATYWAIFNDQFNGIAEKQDKRRVCSGGDAFNAHA KGAVAFELDSGGYVLQSSTPNFPDPTIPTGDSDDDFIRLGCQHDNNVEYAQHLFAMSV DGEAIKAVGRGWQSARLCSTNHYHDMSQVLASAALRHNELEHPMAAALVDPDADDAPS TNVTVVTKVGSVRIRGLFKDKASAVPPWAMAAEAFGTDLSVASWWDENFGIPSLCDGD VYGAVAEAFCLKNNPLTLRPDGTFPYNVENLMQATTPWRLSCDDAAAVTWSLRGGRVR DGNHAKWAVGTPRGGSTSPTSQAALSIFADLNMEGYPCSKKCNGSQGGRGGSFYGIED TVLHASLVGLVSDVCKCRPSTSHDDPFVTFRMCDHGCKNKIHEDFSKDDLPALSNASS SFWGTTDRRRPIVDDSAVANS H257_00858 MVGVSAVVGSTVLLLHMLGLIQASEGQKEIFALDGKGQPVAWWV VLKLPWQVRDAQGKYIPTPCDCDKPQCANVKVDVPEERKFGLCYLYADANNPRLRHFR DVGYDCLGQGGNDPVSHTLRQAKNATYWAIFNDQFNGIAEKQDKRRVCSGGDAFNAHA KGAVAFELDSGGYVLQSSTPNFPDPTIPTGDSDDDFIRLGCQHDNNVEYAQHLFAMSV DGEAIKAVGRGWQSARLCSTNHYHDMSQVLASAALRHNELEHPMAAALVDPDADDAPS TNVTVVTKVGSVRIRGLFKDKASAVPPWAMAAEAFGTDLSVASWWDENFGIPSLCDGD VYGAVAEAFCLKNNPLTLRPDGTFPYNVENLMQATTPWRLSCDDAAAVTWSLRGGRVR DGNHGTSCLMS H257_00860 MDTIVDQVRKELSHEYGHMLVQWYEAVDWQEPLILGLISFHVLL LTAVLAFRHVYPVQVGLFVLICGTIYTSEYLNSVGRDNWQAFASQNYFDTHGVFMGIF VAGPLLAIGFVQLASNIHAMAHLVVAVKRHELNKTSKAKKSN H257_00859 MANHKTSNNSDARPTNSLVSPLLTDLYQITMAYAYWKVGRHEEQ AVFDLFFRKNPFKGEFTVFAGLEEVLGYLNSFRFSDSDIAYLQSVLPATTEAGFFEWL RTLDCTQVKVHSLQEGTIAFPRIPVLRVEGHLGITQLLETGLLNLLNYASLMATNATR FIKAAGKGKTLLEFGLRRAQGPDGGISASRYSYMAGFHGTSNVLAGKLFGIPIKGTHA HAFVQAHRDLDDVKVPFIGKDNLKELTLAYRAKLGFLDTNDGELAGFISYAVAFPHTF LALVDTYDTLASGVPNFLCVALALNELGYKPVGIRLDSGDLAYLSKEARRMFVTTAEA FKLDAFKTLTIVASNDINEAVLNSLNEQGHEIDSYGIGTHLVTCQAQPALGMVYKLVQ IAGQPRIKLSQEPSKVTIPGRKKAFRLKGANGSPILDLLMGCEEADPVPGVKMLCRHP FDEMVRVNVTPSAVVPLHSLVWDGENGGIVGDLPSLEDIRSYVKDQVATMREDIMRPL NATPYKVSVTKSLYDFTHVLWEKEFPVRDLH H257_00861 MGREGMDVRRSYVLQLLESLWRDKDADLSQITEDKALASFLDDT ACTLLQVRLGADKKISLFTTVQWDDSNNAAEGTSSQAVLLVKTLPQPLTADNLSTNIQ VSSMTNSPLYSLFQSVHNIYAPLLLNGGSASLSQKLKDVLLELDAGLQTTVREKGTND KGGDVNLFGIVTVQDEVQFWENNMTGRDRERASKFSSSLDAIQSRYGTLDALTFDDMS ELLDDTNNTVDDIWRADLDGAAQYPQKRMVHFFGLIDHALNSFIVLKAGTLNVWKGAF HAVQVTLTQSIALCEKWIGYMEQLTGTFWPSYAEHPWDGHAHDDALLPPLMKRLEEVL RLRTTFEELVSLCTDKALTASCFDVFDRVQPLQYNPYTANAWQRTVDEFEALLAPIEA QAGANLRERMATVISKPTTALRYLQQYRALLKRPAISRVLSGERDTLLAQIMAQIDQL GSDFENRANEDAPCGKNLSAKVNYIVWGTMLTNRITTILSLATTVLDDLPGFGGLKKA GDQVLQKIHGTITEHIRSWQEDVEENLSTSESSLKLSGRLMEIDKAGILTVNYSEFLV TLLRDVRQLTELNATDPGSKQRAPWVPPRVLQVALEAEKYYRYGVTLKKVANFYNNME SQIIDEQKPMLLDALVAFEDIVRRPGVKSAAASAKTTTSKQKPVDVTWNNLEECEEYV RQLQQAADALSVENRKLRRAHDKVSEELVVLMDVDLLRYPEKWKDKFDTIKAFVTTTV KKYDPSLTKKWLLFWDYQLYKVLEAGYQIGLESLNENLPEIKVELVFPQRGALAFKPP IEDLRANYYKAMKKFIGRPAMFKGFANPHVFASMCDMNAANIVQVYKNGEHLFAKLEH VTKDYDQWTYLTHASDLDAMLESMLGDVNDWDVNLKALKAKRKDSDKIPDFVKVDCIS VSLVPFKSSLDEYLSRLQDSLLLSLRKSLTSHLKLVEDFLDSSMDKLNKRPHSIEEIG QAKTEWKAIDGHKPSFQALVQKAEKKKTLLLSSAGGSIDTSDVVFRLSQLPSKWENFE IALEAFNDMIEEQRESLKGEIEAKIIECNQEIEKFSQRWNTLKPVDVTTWEDDAVKKI YDAMVEWREQFNEIVHRSTTLVDNCTTFQMTLPQFDGVPALESDIARVELNWSMYKEY NAEVEVIAQQDWITFREKIFDLADVATKWSDKLKPLDHTGVVDRILDHCGHIKRAMPT LKMCRGDPFKEEHWTQLFHKLGVAKGVSLVQLTVGHFLQCLDVLEAQATLQFVKVLHA RAQGEVTIRDALQELRAWTQTAELALLLHEQDGKTCCIIKDWKDITLQLGDNQSLLAS LKESQFFKPFADQASQYETKMALLDQCLVQLNLIQRKWVYLEPIFGKGALPSEASRFK RVDDEFRDIMRSVETDPKLFNLADEMLFPQLQDRLTTMVDQLERCQKALADFLEEKRS RFPRFYFIGDEDLLEILGQSQNPAVIQTHLKKLYQGIHRVEFSEKKDQIVAMCSSAQE KVVLNSPVTITSAVEEWLEALTGEMRKTLKLLVVKAAGTASPDYAEYPSQVLCLAEQI RFNVQCEAAIKNGTVSDLKAMLQDTLRELTSLDLSQEPLMHLKVKALVLDLVHHIDVC DQLVNTRTLTDWLWQKQLRFYLDKHNQCVIKMNDAVFAYTYEYQGNAPKLVHTPLTDK CYLTLTQGMHMGFGGNPYGPAGTGKTESVKALGGQFGRQVLVFNCDEGIDFQSMGRIF IGLVKCGAWGCFDEFNRLKEDQLSAISQQIQLIQDAIKNHVTSITLLNRHVDVDFNAG IFVTLNPAGKGYGGRSKLPDNLKALFRPVAMGRPDNNLIAEVVLSSEGFGEAKDIASK VVSLYTLSGQLLTPQQHYDWGLRALKAVLSTAGKLLQLAKKQVSGRMTSAQETEILIK AVRINTLSKLTFGDSQRFLALIGDVFPGIASADISGGDLEAAIRDVMEKKPFSCQVDD LQIRKMLQLKESLDQRMGCVVVGPSGSGKSTVWQVLQTAMVQCGQLVKTHVMNPKSMP RERLLGHMDLDTREWHDGVLTDAARKVVKEPETTRSWIVCDGDVDPEWIESLNSVLDD NHLLTLPNGERINFGPNVNFIFETHDLRFASPATISRMGMIFLSDEDMDMKRLVSKWL KTQPDSANLSKWIDELFVLALDEVARFDKTVATTTVGTIMNGLSHVTGSATRSEFVVA LIRGLGANLSMAHRVAFAKSVFLFANERPPDISAPLDCFCSGSTFSAYETKRATYDDS SAVISSTSVIQTVSMQRGLHTVAPWVDKMTPFILVGPQGAGKNMLIRQAFLATKGTSF CVLHCNAQTTADHVIAKIAQSCSLFSTNKGRVYRPREGDRLVLYLKDINLPKPDQYDT CMLIAFLQQLITFEGFYDPNLEFLGIERIQIVASMNAATTVGRHVLSTRFTAIVRVAY MDYPTGDELTAVYSTFLEAVSALQDATNRDKLARSMVELYESIRAKFSVDDHRHYLFT PRDLTRWTFGLLRYDLAGEEVLDVLAYEARRLFRDRLVDADSKSKFDAALNTILKTHW RHHAKLQDAYFTSLGQKRPDDELALVPLRRMATEDFSQVMAQGIVLYEREEKELHMLL FDEILDHVAMVDRILSEAGGSMLLIGQSGVGRRTATTLIAHMLGYKLFTPNLTRNYTA SGFKADLKTVLVSAGVEGQHTVLYLEDHHFVEDAILELTNSLLSAGEVPGLYTHEELE PLLGPLKEKMMESTIAYRTVYEFFVARVQTFLHIVLGMDSRHGQFVRRCESNPALYTR CTIVWMGEWSASSLKKIPEMLLTSSELLQDEVQKVFLLNMVHLIYESVQSDGATPREY ISFLQTWQDLYTEKSKQLVTEVKHLKSGLSKLVEASTTVDELSRSAGIKKKELSAAQV SADEAMDEIKHALDRASGNRREVEDLKKQLAKAEEATNGRKREIEDELSEITPVLESA KQAVGNIKSDNINEIRSLKMPPEPIHDVLSAVLMLLGIQDTSWNSMKKFLGNRGVKED IQNYDTRRITPDISKAVTKLLKAKSSSFEHENIYRVSVAAAPLATWVKANMKYSVVIA KIEPLEADLAEAKRSLEASQARLLSCEGELKAIDVKVDEMKHLFGEKTKEAEILRVGL ERAESTLQKAQGLLGKLGGEQTRWSAQVKELENRVVELPMKLLMASGFTIFLGQCSET KRLAVSKSWDAAMESSTSFEYRKLMSSESELLTWKSMGLPADNLSMENGLVVHYTKER TPFIIDPANAATGWLQAHLAKDTTRPLSVVQSQEPRFVSLVEQAVRFGKTLVVLEVDY VEPYLYPLIRRDLTHQGPRFVVHLGDKDIDYNDNFRMVLVTRNPDPELPPDAQAIVNV VNFTVTKSGLEGQLLGVTIQNEQPELEAQKSELLRSEEEFKVQLAALEKQLLEALATS EGDILDNTTLIESLTRTKSTSADIESALKKSAVKSEELDEQRAIYAPFARDGARLFFL VKALHSVNHMYRFSLASFIGLFRSTLTTKMDVGNVKERITRLSPMLETKVLMFVGRSL FKEHRPMFGLHLIHGMHPEAFEDNEWEYFVGDLLSDIKKETALPDWVPPDRRDSYNLF VDTFPKLAAQVKFDSSDVWLRWSKAIDCEVAFHPKVDKALSAFQRVVLVQALRPDRLQ TAIHNFICTLLKVKTLTPPSLDLKDLCMTEASSVTPVLLITTAGADPSKELEEVATEI VGRDHYFGVAMGGGQQEKALALLRSTADNGEWLCLQNLHLVVAWLVVLEKELNGLTPH RKFRLWCTTESHDAFPLILLEQSLKVTFESPPGLKKNLQRTYATFQIDGPASPQRMQL LFLLAFFHSLLQERRTYMPQGWTKFYEFSFGDLRAGLNVMESLSQAKDMDWDTIHGLM ENAIYGGRIDNPYDLRVLRCYLQMYFGNDVLSGKTSLCKGVKMPASDQRADFAALIDH LPDHDPPRMFGLPDNIERSVQRTASSAVIAQLRTLTSSEQASSKFDREKWRGLLGPLI ENWGKLTSSFNLDHNTTASGIPKDKVVTPVEAFVAMENAAATDLASSVNQSLQSLKKV IYGTGLLTPAIQTIAAALLVGQVPSDWSNRWEASEVVQVWLRSLALRKRALNEWKEDC AKGTLLSRPLDLSDVLQPGTFLNALRQQAARALKCSMDGMKLMSCWEKDKTTSGSIEW FAIGGMLLQGASFEGGTLQEPTSDGQELISVPTCYVAYTRDEEREPYAKDTYIKVPLY YSTSRERMLVEISLPVAGDPSRWIIGGVALFLGE H257_00862 MDGLLTLDLHAATPSTILQHATKFVAINTTTITQELQGPSLVMA QKIILWLLNDRAHLRQCNKHLKKELDNAVAAVGKSDVKIIKSRRSPKRAQVSPIKPAA QADGNDRALEPPLPTPPTPLNTPAMDSTLQLANPHAANTLGQEPTTLPLCSDIQPEPS AGGGSITLCSLSLNALCSVDTFQTCAQDQLAASTIIMPPAMPITCHLSNVTNTSSRKN EAGGAANPACTSHPNVNTARLQLQAFVRGYLARKRFRALLETILLAGDIDNDNA H257_00863 MGSPRQQQQQARGNEVDNAYRSNGDRGGYGGGGGKIREYPKHRS QHMASAGPYHSSPQRGSSYGGGGGGGWPQPHEERGRYQSNASAPDVGSSAGHAARDSG RRVYVGNLSWDVKWMNLKDHMRRAGEVDHCDVLVEATGRSKGCGLVTYRTVEMAREAI RTLTDTELMGRKIFVREDREDGAMGPPPPASSSLPFAGNGGGYPSQSGGRPSPYSSGG GPPQAGGPIKTSRVYVSNLSWSVKWQELKDFMKQAGNVIQADVLEEPSGRSKGCGLVE FDCPEAAERSIRMFNDTQFGDRKVFIREDREATRRPGSSLRPPPSGFPRGGGGPPPSR GADRGPPPRFESGPSSGRGFDVAPQRGYDVPPSRGYTSSAPPSRGYESSAPSRGFDAA PPSRGYDAVVPPSRGYDSTTPSRGFDAGPSSRGYDGGSRGYDPYNAPAASTSQRFDNN PPPRSYDVYDPPSVAPLSRPRYDSAPLSRENPGGFEAYEPAFVPPTRGPSAYSSPPRD GRPSAYDQPSRGYEPRSSYPPSSSSPPLATSKLFVGNVPFDVSWQDMKDLFRSVANID HVEIPSAADGRAKGYALVQCSSISDAQAAIARLHNSEFKGRYLEVRMDRPRFG H257_00864 MPPHAAATVVHIVDFAFVPSAITVQRGASVTWTHANSLARLHTV NSEDGAVESPDLVYGQQFSHTFSTPGTFRCYCHVHSFMSTLVTVVDAPDKTVTDQGRG QSEVLQTSDLHRMSTIDKGHDVDHHDTDGYRALCVAAQNQCMDTMALLVAHGATIHLK QTGGQTALHTACTWGKPQAVEMLLRLGAPVDLQDDNGQAPLHCACQHGDPLLVKLLLQ AKADPYIADEHHRIPNDIAHDWKRLDALRELNEYCATTYKQHMQQLFNVALEGLHQAI PSGVEKTIFDFLA H257_00864 MPPHAAATVVHIVDFAFVPSAITVQRGASVTWTHANSLARLHTV NSEDGAVESPDLVYGQQFSHTFSTPGTFRCYCHVHSFMSTLVTVVDAPDKTVTDQGRG QSEVLQTSDLHRMSTYDAFVHAASLNQTSVVQRWIDKGHDVDHHDTDGYRALCVAAQN QCMDTMALLVAHGATIHLKQTGGQTALHTACTWGKPQAVEMLLRLGAPVDLQDDNGQA PLHCACQHGDPLLVKLLLQAKADPYIADEHHRIPNDIAHDWKRLDALRELNEYCATTY KQHMQQLFNVALEGLHQAIPSGVEKTIFDFLA H257_00865 MLRQLYNIVFTGGFTRVGTVQARLAENFSVPVVDKLMADRVIQP KNTSRAMCIITTACVPEAAIDLRDFRDGSKHALQLTLAHVFSPSMVDFAVNRPDEAIS ADTYLQTTCTPRLHKNLIAFCAKQAKKNDGLVIPQPITECIISDGSFNVDDDDHSETV ALTVVCSLPYTNEPYENEGNAAELEQRIVAASDKDIDTANDAPAKPAAESPNTGGTLH VAATFRSAVDGEMDWILEDLKITGTKPIKIATKA H257_00866 MSTWSTKVAAMSVAVATVAVVSIYVHWTTNRSTSKRHQGQRRIA LADLNAQPNWVSLCGVVFHVDDENLLGRDGVYGPIGGHDATVAFSGRLCHDGINGETT ASVVELSLDTDIEDAITDNERTVLQSWLALFASKFRIVGQLSDLYCHRTWDQLRSRVL HTQSDSANVPPSCPLGFGTRLRTVSPPALETMDGCSRWIDFSGTRYNVSGTASFGPDS PFGTYVGHDITYALAIGSLDPNDLDVALTDDNQLTFAQQKVLAQYHHTFRTNLPVVVS SSP H257_00867 MSTVGFLESNLPFSKSSGTCSECLVKSSSLNASSVGSLTSLLFS SSVNVRSVVSVKSLPMWSLDRGRSLSRKDANVGRVVGRNDRHWLDMVVVMLAMMPTGG IKSGAVTMIPFQGNSERIPVCAAITPP H257_00868 MPPQTSKLMDDDAHEVDSYTTDNQCMSHDGATCRNDSLMSSCRS ISRSLQSTERSSIIGRVTTLWSKYAVALNDIEHVHIDSHKIVKCADARRLSTVAYSMD VYLAVAELGIYDHWTSRQPHYQVQHRYSDCRTLRLSLITSVLDAHRDQSCEFCKCMFN FFVSFPFPKRWPKVLVQTVPGWHSLLIRCRKQGLQNWVNRLLFMARHLTARGFASLRC DGYSTVMYILKDFLLEPSTRPSCQ H257_00869 MQESTPDPYRHLSFLSPDETQGLYALTSLHKWKHKPVHPNQDSD GDSDDANEEDSPIPTGSKRKRAKRAIGEELDPQSDKKALSTPRRIDTNVVILKQPPSE WYWCDDHSAMEFYMHVGLVASDNHCELLPLEMNAFGLWLMNEDGNGVFGHFKLHWTSQ ECATSNVMKLHVSLAVDTHDLPTQDDQIIRIHISYSNSELYNAHPMESDDISVRPHQL YATVMSPISATLSDSEPSLTASSPCDPLSDLSFILPLPHELPSTSIMLGVFATAPADF VVNWASGAASLLRAIESIPVAGTLLNQCPMCQCTQSLELPMIHSTTCSLKELLLRLAQ QSWPSMDGADLDESDDLAWHHSLVCLSPEFPSATMPQGSWSLLPQDLSSLSLDSEDTM TDNVEDKTADP H257_00870 MVEVAAAVFDAALIVVALAVLAGSTLHFTRHHRTRTHPGPVLIF ILFSSCMCILVRSIMHLAALNIGLSMDAWVTGPLDSDAPPPPLTLVDIAHAALFHASP LPGSVSIPFWAMLYFSSASTFWYLMLAMDLISSLSNPFLPFQANNFLHHAVAWPAAGA WVGAFYLLFRSKLEPTSSLRIWMVLPMYVVLAYIAIALFVTWKKSRVLETQAHTTTRR MAKLILPYLLVFGVGGVMDICLYAADVHVEASTGYRSWPVNTLHQVTQVLQLVAVFVL FQRKAGWVLCWRRRPAATPVQASASATLESPCAVPMDNTTRATEDDGRLSGDDLSVSN VLRQCIMKYTSMGIIESAQSAKSKPTTEVHWEDYTSVEHKSIVVHGEIDSSTLNFRDC APAVFGHIRSMFGVTEADYIDSFALHQNMNEHGSEGKSGNLFYFTVNKRFMVKSVPED EFEVLRSILPYYHGYLQSHPKSYLCRYFGCHSISLPVGTRRMYFVVMQNLFNEGPVDQ RFDLKGNTDRRQAIQSHHVEQYIVRSQNREPISKLMMDIDFLKLRQAVHIDDADEAEM QSQLIEDITFLASRSIMDYSILLGVKFLPPQDPTATSATMSKGRDRLYYVGMIDMLQQ YTWRWTLQRWFLGVVCCKDMTNVSAVPPNEYGNRLMHFVRRRFFYTPGKARCSGWTHI ESPAHSELQRPSGPSAWTTEEDFLSPSAAAMWRNAQSPFNMQMVPPHRQSGGFFVASS Y H257_00871 MNPWLSFLVLPRTEPYLCVRVLPPAPGLSNSETMLVLLTVVLAF LMPASGQRLTKEECARRHVCPREPILNFVCGSDGISYGNPCTFRFAQCGNPNLTLHSL GPCERKGC H257_00872 MAPMTILQRQETSLEDIIDSCLADSTKERYESGLRQLIKWIHLT GGTDLLKDDGMIDLRVFQYIHFVQFIVWVYQNTPVKVETMSGYRAALRWYYKREDVAM PVEYSTKLKTKFTGMHRLTATDEQTLTLKESGKRPLGFSMYEALCQESLKTSDSGFVH LYLVISWNLMARSKSTETIHIKRTIGHQRVFAAGDQFVGRVVAALPLNSADFAELPPY FDSTDNPVVTSAVRCMFPVLFKTGSLIGVLKLGLASIVHHADYLRTALPANHPVLHTA IFRDNAMMSSLKVFVRTTSATLKPTGLPPYVEIYRQLHTQQLTLATITSEVVAGVRNL LDEKELATGTVTSAYIDKLFSSIMERLARGTSAPEVTVVHHQHPRHQHMLYSWGGRQH KLPESFAFPNVDTATAWALWWLGKDSEIPFRTIDPHDLDTKKQRRILSEWRFFMHILW EYYVEIKGTDAPIDSTEEAVVEAFECAVHALDPVIEKTPNQRQRRFGQLMVVTVARII REKIGAKTKRAYSKRTRS H257_00873 MLSPMLAWHVLSLAMAIVVLGCTVAFFLRTRKARAHPGPVLVCL ILSSCLNMMFRACTHLVTPDAPSFVGIDFHHETESNSTLVLYWGTLYFASATTFWYLM LAMDLISSLSNPFLPFQSNSLIHHTLAWPLAGVWCLLYDVAVYQQPKAVPEIHLRMVT HLPLYGSLVYIMFALHAAGAKSRQLTTTIHATTRRMSKLIVPYLVVFGASGIAHLGIY VADVSNGKPLVYSSYVDQLVGIVSTTVLFVLFYFDSGGCRRRGLPPQDAQQPTILSPL DDIDEAGPHTQPLPQDPPFPRMQSTANFNVDIAAELRKMVMDLTRMGIVSVAARDASD HPTSANRVPEDFATVERKRITFWGGTAATVADALVFEDVAPSVFQSLRQLAGIDQSSY LHAFNAEENLREVCSEGKSGNIFYFTANRQFMVKSVPKEEFDTLRAILPQYYKYLVQN ESSYLCRYFGCHSITLPVGTRRMYFVVMQNLFIHGPPQQRYDLKGNTDRRQAIPTAQV EGKMHAAIMREPIDKLMMDIDFTRIHQCILLADADATTVKLQLKNDIQFLAEHRIMDY SILVGVRYTANACFHRVDMAVPSKDGMTMYDIGVIDMLQRYNWRWTLQRWFLGGFLCK DMGDVSAVPPAQYGNRLIRFVRQSMFNQARRESRSSLFTNSTQRSGLTVSEDHPGGST PATDIWFVSNLSDEMIDIHDCVLLQETS H257_00873 MLSPMLAWHVLSLAMAIVVLGCTVAFFLRTRKARAHPGPVLVCL ILSSCLNMMFRACTHLVTPDAPSFVGIDFHHETESNSTLVLYWGTLYFASATTFWYLM LAMDLISSLSNPFLPFQSNSLIHHTLAWPLAGVWCLLYDVAVYQQPKAVPEIHLRMVT HLPLYGSLVYIMFALHAAGAKSRQLTTTIHATTRRMSKLIVPYLVVFGASGIAHLGIY VADVSNGKPLVYSSYVDQLVGIVSTTVLFVLFYFDSGGCRRRGLPPQDAQQPTILSPL DDIDEAGPHTQPLPQDPPFPRMQSTANFNVDIAAELRKMVMDLTRMGIVSVAARDASD HPTSANRVPEDFATVERKRITFWGGTAATVADALVFEDVAPSVFQSLRQLAGIDQSSY LHAFNAEENLREVCSEGKSGNIFYFTANRQFMVKSVPKEEFDTLRAILPQYYKYLVQN ESSYLCRYFGCHSITLPVGTRRMYFVVMQNLFIHGPPQQRYDLKGNTDRRQAIPTAQV EGKMHAAIMREPIDKLMMDIDFTRIHQCILLADADATTVKLQLKNDIQFLAEHRIMDY SILVGVRYTANACFHRVDMAVPSKDGMTMYDIGVIDMLQRYNWRWTLQRWFLGGFLCK DMGDVSAVPPAQYGNRLIRFVRQSMFNQARRESRSSLFTNSTQRSGLTVSEGGGGAVA LSHRPSGYPQFYPEDHPGGSTPATDIWFVSNLSDEMIDIHDCVLLQETS H257_00873 MLSPMLAWHVLSLAMAIVVLGCTVAFFLRTRKARAHPGPVLVCL ILSSCLNMMFRACTHLVTPDAPSFVGIDFHHETESNSTLVLYWGTLYFASATTFWYLM LAMDLISSLSNPFLPFQSNSLIHHTLAWPLAGVWCLLYDVAVYQQPKAVPEIHLRMVT HLPLYGSLVYIMFALHAAGAKSRQLTTTIHATTRRMSKLIVPYLVVFGASGIAHLGIY VADVSNGKPLVYSSYVDQLVGIVSTTVLFVLFYFDSGGCRRRGLPPQDAQQPTILSPL DDIDEAGPHTQPLPQDPPFPRMQSTANFNVDIAAELRKMVMDLTRMGIVSVAARDASD HPTSANRVPEDFATVERKRITFWGGTAATVADALVFEDVAPSVFQSLRQLAGIDQSSY LHAFNAEENLREVCSEGKSGNIFYFTANRQFMVKSVPKEEFDTLRAILPQYYKYLVQN ESSYLCRYFGCHSITLPVGTRRMYFVVMQNLFIHGPPQQRYDLKGNTDRRQAIPTAQV EGKMHAAIMREPIDKLMMDIDFTRIHQCILLADADATTVKLQLKNDIQFLAEHRIMDY SILVGVRYTANACFHRVDMAVPSKDGMTMYDIGVIDMLQRYNWRWTLQRWFLGGFLCK DMGDVSAVPPAQYGNRLIRFVRQSMFNQARRESRSSLFTNSTQRSGLTVSEVHY H257_00873 MLSPMLAWHVLSLAMAIVVLGCTVAFFLRTRKARAHPGPVLVCL ILSSCLNMMFRACTHLVTPDAPSFVGIDFHHETESNSTLVLYWGTLYFASATTFWYLM LAMDLISSLSNPFLPFQSNSLIHHTLAWPLAGVWCLLYDVAVYQQPKAVPEIHLRMVT HLPLYGSLVYIMFALHAAGAKSRQLTTTIHATTRRMSKLIVPYLVVFGASGIAHLGIY VADVSNGKPLVYSSYVDQLVGIVSTTVLFVLFYFDSGGCRRRGLPPQDAQQPTILSPL DDIDEAGPHTQPLPQDPPFPRMQSTANFNVDIAAELRKMVMDLTRMGIVSVAARDASD HPTSANRVPEDFATVERKRITFWGGTAATVADALVFEDVAPSVFQSLRQLAGIDQSSY LHAFNAEENLREVCSEGKSGNIFYFTANRQFMVKSVPKEEFDTLRAILPQYYKYLVQN ESSYLCRYFGCHSITLPVGTRRMYFVVMQNLFIHGPPQQRYDLKGNTDRRQAIPTAQV EGKMHAAIMREPIDKLMMDIDFTRIHQCILLADADATTVKLQLKNDIQFLAEHRIMDY SILVGVRYTANACFHRVDMAVPSKDGMTMYDIGVIDMLQRYNWRWTVRSEVQSRVILF ALV H257_00874 MSAEDEQELKLLADWEQEDDLQELRNDVRKVCEFFVDQLHDQSS LLTQYERTFDAQHDSWDALSRRHAKLGWLELHRHLLWLKDEHVEATADVQRKLSQIRA AQSWSPLDEASMDSFPSIDAIVRVLDKLELRILHRFYSGNTRTMKHDLYPQHEDVAVW SGFYFGMHFGMMVVLFVWVIWDSVIDDSKHHNLWESSVMAVYRAIGVLVLLLWCWCVQ VYVFTRFGIPFVAIFDWKPTKSVQFVSLVRHAVSVTIAYLVNLLLYYKALRGDLPTLV PPCVFPLLLYLFLLIKLVYPFKQRRSLLTTMWRVVASPWSIVRFREAYVGDIFTSLVR VFVDLAWSSCYFFSGAFVEPSPTGLDVCTQSPTFHWMAVPLLSALPLWWRFCQNIRKY RETHRRFPYIPNAMKYACAQSVVLFAVFHPHWKHPDDDHMTTYQWCYLAACGVTTTYQ YAWDVYMDWGLGGQQGFLRPRRLYPTWTYYVAMVVDCVLRFGWTLTLIPAKGYGPFPS NVQVYLDPILASAEVLRRSMWGLFRVEYEHTIHLSWTGKTSFVEEDASTADDDDDDSE DDDEGDATYSCWVLVEILVVVTLVLVVAAVAILTR H257_00875 MKKAATKRLVYVVAGEASGDAIGGKLIRALNRRHADGPFQFRGV GGPQMMAAGGFSSLFPIQELSVMGLVEVLPKLFAIKRHHDHVVEDIRQSQPDAVVTID SKGFCFRVLKSLQGITNRMDHRPPAIVHYVAPSIWAFKHKHRNAASTAQSLGLFIDHM LVLLPFEARLFNHHRTWTTFVGHPSVETFMDAHDLFRRHDLLSSVVPPSITTELESLS MTSEWLQPVAPALWPMQTAIVHARRHHPRQRRTDEFAIAALVGSREDEVKQTIGLVKR AVESFASQHNTRVRVVFPTIPSVAHVLRKHLDSWAIPHAIHVQANTAALLQASDVAVA VSGTVVIESLLAGTPTVVMYRANWLTEVIAAAVARVRFVSLPNILFDRELVPELVFSK CTSASLAHALSKAFNAPARSSSHADDDVLVSAALSQLAVWKSDETSTTPCRASDIAAE VVVREMDKITASASSI H257_00875 MDHRPPAIVHYVAPSIWAFKHKHRNAASTAQSLGLFIDHMLVLL PFEARLFNHHRTWTTFVGHPSVETFMDAHDLFRRHDLLSSVVPPSITTELESLSMTSE WLQPVAPALWPMQTAIVHARRHHPRQRRTDEFAIAALVGSREDEVKQTIGLVKRAVES FASQHNTRVRVVFPTIPSVAHVLRKHLDSWAIPHAIHVQANTAALLQASDVAVAVSGT VVIESLLAGTPTVVMYRANWLTEVIAAAVARVRFVSLPNILFDRELVPELVFSKCTSA SLAHALSKAFNAPARSSSHADDDVLVSAALSQLAVWKSDETSTTPCRASDIAAEVVVR EMDKITASASSI H257_00875 MKKAATKRLVYVVAGEASGDAIGGKLIRALNRRHADGPFQFRGV GGPQMMAAGGFSSLFPIQELSVMGLVEVLPKLFAIKRHHDHVVEDIRQSQPDAVVTID SKGFCFRVLKSLQGITNRMDHRPPAIVHYVAPSIWAFKHKHRNAASTAQSLGLFIDHM LVLLPFEARLFNHHRTWTTFVGHPSVETFMDAHDLFRRHDLLSSVVPPSITTELESLS MTSEWLQPVAPALWPMQTAIVHARRHHPRQRRTDEFAIAALVGSREDEVKQTIGLVKR AVESFASQHNTRVRVVFPTIPSVAHVLRKHLDSWAIPHAIHVQANTAALLQASDVAVA VSGTVVIESLLAGTPTVVMYRANWLTEVIAAAVARVRFVSLPNILFDRELVPELVFSK CTSASLAHALSYRMYFILGMTYISL H257_00876 MDNDEFDEHGDLHALDELDIQLPTTGYGRTAMSTGGVDGIRQPQ RPTICHTSVVDLSYSQVLETKSKHRNHSKKRLNYTRPLSTLSETTSDDVPKDDVAKAG PHKRNAFAYNSDDVDDLAAEQQEQRAKEHDDDNEWSHGDISLPGDDVYRFVQPRRLSS WVQDDAVYACFKCHVQFSLIVRKHHCRACGRIFCNACSNQRLVIPVDYESTPVSHAIK NGSNHTYSSVLVDTTSHVIGSLGYYVWNYNTATPSLSSSANDFSEFHATSSSSFDDPT EMQKTPAELEREKFLRAMTSLESRGLVRFTAAATSGRVKIKLPPRIPPGTVLQRVCDD CASALQQRRQHYNTVQVFELCKWDIQTLRVLGQVCRKWHRASIMCLSTFRQVQYYLPS HPLSAKERHLVLRNRQFLAGHSKWLLQLVKAVAFDSDNDDDGTTQDILRLMVAPRTHN CMMTMCSRLCRHELACVDALEILSSDSIQNVTLRNLCVEALVLKASDDDWLSFLPVVL HALGAETSVSTLRSAIVRQATRDVRFCSDLYWGASVLAEDRRWRRKFDGFRQQLLLTL AAHDKRSVSSWTQDLLHGQAFLDVLWNLPHRADKDVVGRLLDTALRRTPVFSTHEEGL RLPVDPLVKARGVDFASIKVMRSAEAPIILTCTGIERPGTCLADDEKPPPPTSSSVYR VMYKRDDLRKDACVQNIIHIMYSILKAETRQFNIALVTYRVIPTSSYDGLIQIVENAH TLYSIVREHGTIMRFLHHYNGHRTLSDISSSFRESLAAYTVITFLLGVGDRHAENVML TQEGNLFHIDYGFILGKDPKPLQPPMRLDNYMLEALGGPMQVEAFKALCVVAFNCLRR HVSLFLIMLRLVVDAVPEVTDFGVNYTQADLDAFVVERFLPGQTDEEAATAIMLRMEG KLNEKIGLTLSDFVHAHASEKTVSKGVSSMKVGVESIGGAVQTVTSSLSSSASSLLNG PHNSIEQHNNGGMAALPVDTSQTDALNLQVLQRQDNQISDIVGTASHVVVYEFDQTEQ SWKRKEVEGSLFIVKRYSAPRFQMFVNNRLSTSNLTISIDAQLNVDNVDDFLILRCVD PAAPSTFKIYGIWFFPEEDRQKTLKLLERYTPHPSSHPSSRVHSFVRVLVTLKGTPPP AAAKPSPKNAAAVHQKGASRQPAHEVQSESKPLAASSSSQGPVESPKNGASSTVVYSK AEGIAAGVAIMGMISQQPSQGAPLAEATTVQLQVASGQPQPQTRQKKQRDRASPRTAK PPLTKDQFKEAFVQCLDDPAFLDQIYQAFAKKLPKQQQQ H257_00876 MDNDEFDEHGDLHALDELDIQLPTTGYGRTAMSTGGVDGIRQPQ RPTICHTSVVDLSYSQVLETKSKHRNHSKKRLNYTRPLSTLSETTSDDVPKDDVAKAG PHKRNAFAYNSDDVDDLAAEQQEQRAKEHDDDNEWSHGDISLPGDDVYRFVQPRRLSS WVQDDAVYACFKCHVQFSLIVRKHHCRACGRIFCNACSNQRLVIPVDYESTPVSHAIK NGSNHTYSSVLVDTTSHVIGSLGYYVWNYNTATPSLSSSANDFSEFHATSSSSFDDPT EMQKTPAELEREKFLRAMTSLESRGLVRFTAAATSGRVKIKLPPRIPPGTVLQRVCDD CASALQQRRQHYNTVQVFELCKWDIQTLRVLGQVCRKWHRASIMCLSTFRQVQYYLPS HPLSAKERHLVLRNRQFLAGHSKWLLQLVKAVAFDSDNDDDGTTQDILRLMVAPRTHN CMMTMCSRLCRHELACVDALEILSSDSIQNVTLRNLCVEALVLKASDDDWLSFLPVVL HALGAETSVSTLRSAIVRQATRDVRFCSDLYWGASVLAEDRRWRRKFDGFRQQLLLTL AAHDKRSVSSWTQDLLHGQAFLDVLWNLPHRADKDVVGRLLDTALRRTPVFSTHEEGL RLPVDPLVKARGVDFASIKVMRSAEAPIILTCTGIERPGTCLADDEKPPPPTSSSVYR VMYKRDDLRKDACVQNIIHIMYSILKAETRQFNIALVTYRVIPTSSYDGLIQIVENAH TLYSIVREHGTIMRFLHHYNGHRTLSDISSSFRESLAAYTVITFLLGVGDRHAENVML TQEGNLFHIDYGFILGKDPKPLQPPMRLDNYMLEALGGPMQVEAFKALCVVAFNCLRR HVSLFLIMLRLVVDAVPEVTDFGVNYTQADLDAFVVERFLPGQTDEEAATAIMLRMEG KLNEKIGLTLSDFVHAHASEKTVSKGVSSMKVGVESIGGAVQTVTSSLSSSASSLLNG PHNSIEQHNNGGMAALPVDTSQTDALNLQVLQRQDNQISDIVGTASHVVVYEFDQTEQ SWKRKEVEGSLFIVKRYSAPRFQMFVNNRLSTSNLTISIDAQLNVDNVDDFLILRCVD PVCCDDVL H257_00876 MDNDEFDEHGDLHALDELDIQLPTTGYGRTAMSTGGVDGIRQPQ RPTICHTSVVDLSYSQVLETKSKHRNHSKKRLNYTRPLSTLSETTSDDVPKDDVAKAG PHKRNAFAYNSDDVDDLAAEQQEQRAKEHDDDNEWSHGDISLPGDDVYRFVQPRRLSS WVQDDAVYACFKCHVQFSLIVRKHHCRACGRIFCNACSNQRLVIPVDYESTPVSHAIK NGSNHTYSSVLVDTTSHVIGSLGYYVWNYNTATPSLSSSANDFSEFHATSSSSFDDPT EMQKTPAELEREKFLRAMTSLESRGLVRFTAAATSGRVKIKLPPRIPPGTVLQRVCDD CASALQQRRQHYNTVQVFELCKWDIQTLRVLGQVCRKWHRASIMCLSTFRQVQYYLPS HPLSAKERHLVLRNRQFLAGHSKWLLQLVKAVAFDSDNDDDGTTQDILRLMVAPRTHN CMMTMCSRLCRHELACVDALEILSSDSIQNVTLRNLCVEALVLKASDDDWLSFLPVVL HALGAETSVSTLRSAIVRQATRDVRFCSDLYWGASVLAEDRRWRRKFDGFRQQLLLTL AAHDKRSVSSWTQDLLHGQAFLDVLWNLPHRADKDVVGRLLDTALRRTPVFSTHEEGL RLPVDPLVKARGVDFASIKVMRSAEAPIILTCTGIERPGTCLADDEKPPPPTSSSVYR VMYKRDDLRKDACVQNIIHIMYSILKAETRQFNIALVTYRVIPTSSYDGLIQIVENAH TLYSIVREHGTIMRFLHHYNGHRTLSDISSSFRESLAAYTVITFLLGVGDRHAENVML TQEGNLFHIDYGFILGKDPKPLQPPMRLDNYMLEALGGPMQVEAFKALCVVAFNCLRR HVSLFLIMLRLVVDAVPEVTDFGVNYTQADLDAFVVERFLPGQTDEEAATAIMLRMEG KLNEKIGLTLSDFVHAHASEKTVSKGVSSMKVGVESIGGAVQTVTSSLSSSASSLLNG PHNSIEQHNNGGMAALPVDTSQTDALNLQVLQRQDNQISDIVGTASHVVVYEFDQTEQ SWKRKEVEGSLFIVKRYSAPRFQMFVNNRLSTSNLTISIDAQLNVDNVDDFLILRCVD PVCCDDVL H257_00876 MDNDEFDEHGDLHALDELDIQLPTTGYGRTAMSTGGVDGIRQPQ RPTICHTSVVDLSYSQVLETKSKHRNHSKKRLNYTRPLSTLSETTSDDVPKDDVAKAG PHKRNAFAYNSDDVDDLAAEQQEQRAKEHDDDNEWSHGDISLPGDDVYRFVQPRRLSS WVQDDAVYACFKCHVQFSLIVRKHHCRACGRIFCNACSNQRLVIPVDYESTPVSHAIK NGSNHTYSSVLVDTTSHVIGSLGYYVWNYNTATPSLSSSANDFSEFHATSSSSFDDPT EMQKTPAELEREKFLRAMTSLESRGLVRFTAAATSGRVKIKLPPRIPPGTVLQRVCDD CASALQQRRQHYNTVQVFELCKWDIQTLRVLGQVCRKWHRASIMCLSTFRQVQYYLPS HPLSAKERHLVLRNRQFLAGHSKWLLQLVKAVAFDSDNDDDGTTQDILRLMVAPRTHN CMMTMCSRLCRHELACVDALEILSSDSIQNVTLRNLCVEALVLKASDDDWLSFLPVVL HALGAETSVSTLRSAIVRQATRDVRFCSDLYWGASVLAEDRRWRRKFDGFRQQLLLTL AAHDKRSVSSWTQDLLHGQAFLDVLWNLPHRADKDVVGRLLDTALRRTPVFSTHEEGL RLPVDPLVKARGVDFASIKVMRSAEAPIILTCTGIERPGTCLADDEKPPPPTSSSVYR VMYKRDDLRKDACVQNIIHIMYSILKAETRQFNIALVTYRVIPTSSYDGLIQIVENAH TLYSIVREHGTIMRFLHHYNGHRTLSDISSSFRESLAAYTVITFLLGVGDRHAENVML TQEGNLFHIDYGFILGKDPKPLQPPMRLDNYMLEALGGPMQVEAFKALCVVAFNCLRR HVSLFLIMLRLVVDAVPEVTDFGVNYTQADLDAFVVERFLPGQTDEEAATAIMLRMEG KLNEKIGLTLSDFVHAHASEKTVSKGVSSMKVGVESIGGAVQTVTSSLSSSASSLLKY VWGGPSSAH H257_00877 MALRGWTCCALLVLQSSCCLSFTFPVEPVVATRPFALQVGCNGA FPYVFPVATSPTIVLSFPVDDTSAEFVSFNFTWLHLPPNDYLRVRTAAPTNTSYTYRG RHPNGFLTTPLYTGHVLIDLISFGSTNSNCNYGFAITEYRYAATPPTKESSCDGSNSA ASPACDQRPTSPYWRGARAIVRLLVNSPDGSRWCTGWLVGCQNHVLTNAHCIGTADDA AATTFDLRAFGKCNENCAGGGRCSNGNMVVGSTLVATSQAFDYSLVQLNTPTNVSAGN YLRLHESYVVGSPVYIPQHPLGGGMVMAIKATGGQFGLVYTSTYANPECGLEGMLGYK LNTSPGSSGAPIISTATNGVVGMHSCGGCAVGTEASLNGGIPAPWIVQDLRRQNALPN CAVAATSPVDPTVNFATVRGTLYASARGVSLDVYELQVDSDGVIAVDVQSVEATERRT FTDIDRNCDASYFDSRVFVVDAGTGAVVAENDRSVRGNGRADSSVSDLDAFVNMYVDA GAYYIVVGTTDMTDVDARAAAVAFARGTPAHATGTLFECGLPTAAHGHYTLTLRTNMD VESITSFKTPDSGLPSTCSKATRLEPLRFPAKCPYHARPPLALQYMVDGTIHQRNGSV SIDQVPFEVVEAAHIGIEIVSYQILDDGTRMPNGYDDAGICGRTFVDAVAYVFEDTTQ GGLQLLDTTRLVAMVDDKPPGVRATTSRSSRDPYLDLYLPKGKYVLVVGLYPLHLHET VRMSTSIKEGFSPHKDDTSSDAGNYHVMFLTESHAVLLPPTSTPTFVEEPCSDL H257_00878 MGDNCIDQAVDGNNPFARFARGRSVVFVGEDATSKDSEKRICPE TSSPSCKRRRKHSAAADAPASTTHLSVESRMQVLHRLREIRRDGGAPVDEFGTDHIVD STAPPKCQRLHALLGALLSTQTKDEITAAAMRRLLQQPDGLTVATLRAMATDVLADLL SPVGFHSTKARQIKAIADILHASYNDDVPATLTELMALPGIGPKVARLTLLVAWNIVD GIIVDTHVQRIAKRLGWAGKGKDGRATAEATRKELEDWIPKDIWGDVSKMMIGFGQLT CSAVKPKCASCPLAAMCPSRQQA H257_00879 MVELSYFPALLRNIRWGNMESHEKEKHLREPLLCDSSTEDSSES DDDGVRERHMAYKSHVVVSVEPKLTRSERLLRSGWSLSTFSRHHHMNTVPSVETTQDI VNKALAQLAGLTIAAVGLLLFALYREAM H257_00880 MDNIRKFGSMDNVRRSLNVPRWNWAVVLGMGMVLWLHGVTVGMV FEGQKGLLNRFLWTFVIGVIPLVLFTQTTSHNIRRTAASLVYFELATFCMCYSNSLAW FRNPKNLLPLPDLGHDILPLWKDVTVYDKVYHHEAHKVPDVVMGALIASTTVFAVIHP LRWKIIQRFFIIYGSLCIMRSVTVICTSLPDSAEKCRQMTPLGNLESGAHGWEDITMR AVLVRSLKLLVPIGEITCGDMVFSGHSMLMVLCAMTWHTYYKWVPGSINYIKVSVWLV TIFGLVSIVWVRMHYTLDVVLALYFTVTVWSSYHRIANDVKIGHRFSMVWIFDALLIY PCIEWIERPDDDEPRAIHTAHVAYSSHDRRRSSSNVQGPLDSADHEGDEDDVTVHERQ SRSLRPRRSNSSGADKATPRRRLPRAVAATRRMPSTSTQ H257_00881 MGQTVSGPSIPDAESRALRDLFSALRSKSNQLRPTRTSLPNQSN YPPFNDGKDSWTGITVEMGHVVSIELPNSHLIGELPAAIGQLTYLRVLNLSSNDIRGR IPASLGKLRRLAILDLSCNHLGGPLPVEITSCGHLQHLSLQQNALSGALPRNLGQLTQ LRTLSLEYNSFSGPLPVSATQLLLLERLNVRSNCFSGTIPNDMGNLTQLKFLSLRNNA LEGAIPSSLGNCLHLTFLNLSSNQLSGTVPQSLTQLTALRHLYVFGNSVDFGQSLPPS IRPILQRQEVEYLKQVQGRHARVPTALLVASGSPSTSTAS H257_00882 MHYGGEMSMHTVAVYAPESVKHLHQKSSANSNTTNPMKIGRRQR TTTNESHASSATSNATNPMKIGRRQRITSNESDSSSATQSSRDCVQGMYDYRPEYHFN LSAMLLTLTVSVGNGNDPQPTSLAPSLSMGPPILSFHGGRKVRHTDQQHKGHEGPGGK RDKKFTDIFRADMALRM H257_00883 MSAADIGVVAASEVLPLSKATKAAWKRALKQLKLKDVGKKVEGI RYCAEDSRFFREGGGLALLMAFLTRAKQHEILSQALAMFSRLVSEPNQPCALNAVYIL DEGRSSETLWVGGEYFLAVGGMVVDDDLKLTALRIFTKLVEFALALPPDYPNRSELVT NVCTRGDPVSQLTLAIRLSNVELQFASLKALYTLACGHPDTNELIQSLHVSNATHTIL SYLLHDDSRLSLLASKYIEHNTRYESGRLSFAQTNALVDLTTKLQVLTSALNVDGGNE AATGDIICQGLELTALTLTRLLVTATNSDESADLRLQVASQLATALSSPLLKGKIRAS SALVLLSSLGRLLERHEDCRTRVKELSFLPNLVHYLMLDETSSAPAGDASDQQEPVDT KAKPGKGGKPVKDSPKKDKETAPPAVTLAAAIPGLDSDAAIVDVQAVVKAEAAKTTLL NVRNAAEKMLHVCATVDGGVDGRVFGKVASGGEPWLVYEGFHVVFSAPDPTTVLRGVR FAAMVLRHNENGLRMGVAGTTSLLTILAEQAKTLDRIDDDPTKRTALVADIAQCLVYL AATSVDVCELCGDDALSPVNAFASFVLQHAGDDAVEVLSPLNYTWGVADSSIVDLTVP PRTIKPQVLCAQALAAFARGVLEFANVPSKAEEAQDKGKAPPLAKEKKQKDASLLPGE KVATRVAAFSLQLLQLLATLHDFDLHVEVLNILQSMPYLPNGRKALLRQAQEQLVLER EPPPSDVAGAVDALRINPPDGLTAGAAPFAQPWTEPLQAYARILEPVLHVFHRSDSPI PDIFAALKVLQGLLVDEKCPQDEYDLDLFTNVAVGQGGLVVLASLLDLPRLHDVPSCP DHDQALVQLLENLLHHLIQWGTHAQGSVLAKLEAYIAEEEDSSDDAKQAIARTKTIAS RWTSMLAASHDYARFSLVGVSALLVAVELCHHNLTKLLLQAGVRAEVADQGNNSALMK ALAAGHYGIVDDLLAGGANVNTLNNRDQSVLKFCLVSAPQLQSPAIETAMNTLRQAES CMPTGAITSPQCLQVSALEASIVLDSIVVTNAAAPAAYLQVFLEKGSDPNISDDDGSF PLHWVLSSARIRTQIRGCRVCLRFESTQVDAAAVLHLTALLLAHHAHVNVCNKRGQTP LHVAILNGHGEAALLLLQHGAHPFMTDGLGCLPLHYLCAGACGPDTIQVLDTILTLST KFEVTPASFVDLRKGKSEAEKTLVELDAILDDGLEALVAPRALTTSPSSKSDLLIHSS KSGLFPFHYACGVREPELDMTFESNSHTTTTRAEVLKHLVTKYGLNVAQPTTSHHLNA LHFAAKYDVAGSNGPVIGFLVESQVPLNAVHEPKDIDVPQMLKPQTTVGYIEDSDTPP IQAYISSYCNLQGYHLVTTTGRHVSLVPRTALVPSQEPLVLVGEFAMSPLHYAVQHSD NATWQLLHAGADLAPDGSDIPLLALACAAQRSVDVIEYLAPRLAPRQANVRVELAPSV HGTALHFAVTNNDVAVAKLLLDADAAALKIKRSRDGYTPLHVACALGLKDMVVFLASK GALAAVTPNNESPLQLLLETQRLDIVEALVDAKFAAEPQLVWIREHYDAQNSAVPEWL RSYVPQSTHQANDTTATDLGKNATINEPNQEQDEPNNAKALESAVFNDDDSLVVQPEF AIH H257_00884 MLPPQSSPPQKYVLDESSLELDMTRLQMESVDRQFGIESSYLMQ TYYTHDEDDAILLDLTHVDHGSNEESTQIWTQERYHPLRKGRVTTSGRRPFPPDPQKE LHRSKFNSLATSSVTLNLLQNN H257_00885 MQPLLSAQGERATLQLHEMSTPNASKSKGQAYTRQVHTKPSHLA SPQPILVASTMYKMEAPPSFLKHTNQTPGHIDTPAHTASHPLPKEEYSHKYHAGPAGD APSPFSFVGATLPIVNRVDCAGDGKGCSKGGARKATPRNAATSGNVAHFRLTDQPRTP ATVAILHMTKPSILNAPTTVHRPTRKGRGDDANQFNSIVQGGRPPSRAIPSQSTSIPL SPTGHKTPTRTTRGLEPSNQDDTAFSATMQRKSSMSDIEIVDLGDFSSKVVFRTKQGD SGGGWRGDIEVAAFQADFGSWADMDDSRVEY H257_00886 MVDAVLARLAQNSMYIRDDLTDNKHQLDADSVIDPTDRSFGIES SNLLQEYYPKTPLMDTPHKPTHGFFVLPGDVGETRQTTAPRSVQPPRARAKSAHPNNR HQVHDIAVHLLVPFETTLDVSINSSNNAPPFSPTPPAPRRAGELAPPEGKPKKPPVLE PAMIPTPRPPLKKQPRPSTGGPTRRITKLPDDVFWSSSKRINTLDNRRPRAAPRPSLK PPNTKKCTRQTLFVDLVQQVRTDMVVEIGENHTSMRTQADLAPPSRHMAPPPRTTLEA PPAVVPQILPKNPMLRPASADATRLNQTRSTKMLTKRRRELHECILSHRSTAPKPHDL PVKNLLLKMYCVLDEYRGHEGQFVKRLKQKPEIPMQKKPTHKPSRRNTEAAAVTPRIY SSNQECSK H257_00888 MYGTWGNKKKALDSTAPFRYSVVKGDTLSSIAIKTKQAEDKIRE MNPMVFCSKNATVYPGQELIVDESLAVSLPPPPELIDHGWGQMHVVRSGDTVKTIAVE YNTTEEFIRSDNRQYFPQGERGILFPGQMLHIRVVNTQQSDELVLRPGDVVHVVTSND TFESIAATYHTTRARILLKNKSAFPIGHKPRLTAGTTLIVGHNAEIADRIKHVGEVKL TKQIHEVEYGETPESICELYGMTMDEMRDFNRAYFPKGYRGDIRPSFKLVVKRQDSNA IDNQVEHVDVAPSNEAASSSTKKTKKKRSSKTHRDNQVDADNSE H257_00887 MSCQSHVNRNIVSIAADVATDNDAAVEVLNLHGNCIRSMDGLQR FTNLKELSLSSNWINCPSFAALRGLVHLTVLNLSANRITTTIGFPVLPSLCELSLAYN SLTVLDGLLDPLKFPALEILDLRDNQIADVSALSPLFYLQTMRALRLQSISKSQSNPV CAAEGYPSMLLDRMSTLETLDGEHVSVLKELAALVMPKYTSVARTFRQPPTLESAPPT PRRRQSIEPDWTVLDARLRLLEAHQTHEEPFMDDIDSQIHQATSSLRSMHKQTLETRV PPPPMSVFVETKQRRGYHVHTPPPHERDSDDGDVILETDPSVLAAPAETCHVEHVTMT TDTSSQCDVITTLTRGVQCDDETERVLRRELKAAIDRAKRAESKAVELTVDKANTSMD HDSLSKELAQVRHTVATLKQQAAADRDKLTVQMNECDQTKALLDESERRVESLQMQMK LKDDKCKNECKRALMEVEHEAEVRAREAAATAQAKHDESASQIRQIKADMQELKYTLE DAYAKYAAKEKEVSTLRHDAFLKGSALDSVAYQHKEELGRREKAYKAQEELVQRQFKL TLHEVEMEFRHKQSESILKLKQLTQAFHGAAAEAKQWEGKYRAGLQAEAALNARVQEL LSQLQGVDKRLVHLQESCHRSIQEYQATIEELEAALEAEKTHAAALEDEVANASEAAK AVEALERQLADVTATLQVKNIMLDDQAQQIMALRREGDDHRHNEADLREQLRDVELAL DESLARQHDMERDVRRVEANVDKLDQWDGLQDELEAKVRALEYIDKEMLRMRKTIAKQ EELSQARVQAMQDEHDSAIKSLQASIQQLRHDVSQWEAKCSAMEGRGRSLLEQNRQLQ RSVRDHQLRVHTTENEMKVLLQQMEKERQTKRHHMKQVSHLLQQLDSGHVHAAATSP H257_00887 MSCQSHVNRNIVSIAADVATDNDAAVEVLNLHGNCIRSMDGLQR FTNLKELSLSSNWINCPSFAALRGLVHLTVLNLSANRITTTIGFPVLPSLCELSLAYN SLTVLDGLLDPLKFPALEILDLRDNQIADVSALSPLFYLQTMRALRLQSISKSQSNPV CAAEGYPSMLLDRMSTLETLDGEHVSVLKELAALVMPKYTSVARTFRQPPTLESAPPT PRRRQSIEPDWTVLDARLRLLEAHQTHEEPFMDDIDSQIHQATSSLRSMHKQTLETRV PPPPMSVFVETKQRRGYHVHTPPPHERDSDDGDVILETDPSVLAAPAETCHVEHVTMT TDTSSQCDVITTLTRGVQCDDETERVLRRELKAAIDRAKRAESKAVELTVDKANTSMD HDSLSKELAQVRHTVATLKQQAAADRDKLTVQMNECDQTKALLDESERRVESLQMQMK LKDDKCKNECKRALMEVEHEAEVRAREAAATAQAKHDESASQIRQIKADMQELKYTLE DAYAKYAAKEKEVSTLRHDAFLKGSALDSVAYQHKEELGRREKAYKAQEELVQRQFKL TLHEVEMEFRHKQSESILKLKQLTQAFHGAAAEAKQWEGKYRAGLQAEAALNARVQEL LSQLQGVDKRLVHLQESCHRSIQEYQATIEELEAALEAEKTHAAALEDEVANASEAAK AVEALERQLADVTATLQVKNIMLDDQAQQIMALRREGDDHRHNEADLREQLRDVELAL DESLARQHDMERDVRRVEANVDKLDQWDGLQDELEAKVRALEYIDKEMLRMRKTIAKQ EELSQARVQAMQDEHDSAIKSLQASIQQLRHDVSQWEAKCSAMEGRGRSLLEQNRQLQ RSVRDHQLRVHTTENEMKVLLQQVEAPICY H257_00887 MSCQSHVNRNIVSIAADVATDNDAAVEVLNLHGNCIRSMDGLQR FTNLKELSLSSNWINCPSFAALRGLVHLTVLNLSANRITTTIGFPVLPSLCELSLAYN SLTVLDGLLDPLKFPALEILDLRDNQIADVSALSPLFYLQTMRALRLQSISKSQSNPV CAAEGYPSMLLDRMSTLETLDGEHVSVLKELAALVMPKYTSVARTFRQPPTLESAPPT PRRRQSIEPDWTVLDARLRLLEAHQTHEEPFMDDIDSQIHQATSSLRSMHKQTLETRV PPPPMSVFVETKQRRGYHVHTPPPHERDSDDGDVILETDPSVLAAPAETCHVEHVTMT TDTSSQCDVITTLTRGVQCDDETERVLRRELKAAIDRAKRAESKAVELTVDKANTSMD HDSLSKELAQVRHTVATLKQQAAADRDKLTVQMNECDQTKALLDESERRVESLQMQMK LKDDKCKNECKRALMEVEHEAEVRAREAAATAQAKHDESASQIRQIKADMQELKYTLE DAYAKYAAKEKEVGVDAATRCVLERVGLGQCRISAQGRAGTAGKSVQGAGRAGAAAVQ TDTARSGDGVSPQAIRVDLEAQATHAGVSRSSSGSQTVGREIPSRASGRSSLERSRPG TLVPAARSGQAPRSPSRVVPPQHSRVSGHDRRAGSGARS H257_00889 MSESLYDEFGNYIGPDLDDEDDSESEESDKQEDSDKDEDSDDDG DRPQSTTYEGQSSTALQTYREEDHRIVLHEDKQYYPDAEEVFGDAEVLVMEEDAQAIE TPLVAPVKVKAFSSLEKETVKTTYSNEFLASLMDYPSMVRNVCVIGNLHAGKTVFLDL LIESTHVNKWKDQQKDSTKRMMAVDLERRYTDTRADEQARKVSIKSTPVSLVLPSSRG KSYVMNVLDCPGHVNFSDESTAAMQVSDGVILMVDVVEGVMMHTENLVKAALLAKLPL LLVVNKVDRLIIELKLPPQDAYFKLLHTIEEVNRLVEVHTPLGDKFKRLSPELGNVLF ASAQHGWCFSLESFSLLYAQRQHGINPAELAKRLWGDVYYSPGTRTFKSKVPYEGAHR SFVQFILEPIYKIYAQVLGEEVQVLTKTLRGLGLRVSREDLHLNPRPLLKLVLSQFVG RSASSFVDLVSRFIPSPLETAEAKVTALYTENVDSKVGKAMLACDPAGPLMINIVKLY SSSDGTSFSAFGRVYSGSVSSGQRVKVLGESYSPDDDEDMVVRTVESVGISQGRYKVD VNRIVAGNWVMLDGVDGSITKSATITDASEDLLESDRVGIFQPISKRFGTSAVVKLAV EPLNPSELPKMLEGLRKISKSYPLVTTKVEESGEHVILCTGELAADCILHDLRKMYSE IEVKVADPVACFSETVVETSSVQCFAETPNQKNKLTMIAEPLDVGLAKDIESGVVNLN WAKKDVAEFFQNKYQWDVLAARSVWAFGPDSTGPNVLIDDTLPSEVNKKALHSIKHSI VQGFQWGCREGPLCDEPIRNTKFKILHASMANEPIHRAGGQIIPTSRRVVYSSFLTAT PRLLEPMFALEIQCPADTVSTLYQVLTRRRGHITHDAPKAGSPMYTVRGFLPVIESFG FETDLRVFTQGHAFCTQAFDHWALVPGDPLDTTIILRPLEPSPVQALAREFMVKTRRR KGLSEEVNVSKFFDDPMLHELAKHDMNVENLM H257_00889 MSESLYDEFGNYIGPDLDDEDDSESEESDKQEDSDKDEDSDDDG DRPQSTTYEGQSSTALQTYREEDHRIVLHEDKQYYPDAEEVFGDAEVLVMEEDAQAIE TPLVAPVKVKAFSSLEKETVKTTYSNEFLASLMDYPSMVRNVCVIGNLHAGKTVFLDL LIESTHVNKWKDQQKDSTKRMMAVDLERRYTDTRADEQARKVSIKSTPVSLVLPSSRG KSYVMNVLDCPGHVNFSDESTAAMQVSDGVILMVDVVEGVMMHTENLVKAALLAKLPL LLVVNKVDRLIIELKLPPQDAYFKLLHTIEEVNRLVEVHTPLGDKFKRLSPELGNVLF ASAQHGWCFSLESFSLLYAQRQHGINPAELAKRLWGDVYYSPGTRTFKSKVPYEGAHR SFVQFILEPIYKIYAQVLGEEVQVLTKTLRGLGLRVSREDLHLNPRPLLKLVLSQFVG RSASSFVDLVSRFIPSPLETAEAKVTALYTENVDSKVGKAMLACDPAGPLMINIVKLY SSSDGTSFSAFGRVYSGSVSSGQRVKVLGESYSPDDDEDMVVRTVESVGISQGRYKVD VNRIVAGNWVMLDGVDGSITKSATITDASEDLLESDRVGIFQPISKRFGTSAVVKLAV EPLNPSELPKMLEGLRKISKSYPLVTTKVEESGEHVILCTGELAADCILHDLRKMYSE IEVKVADPVACFSETVVETSSVQCFAETPNQKNKLTMIAEPLDVGLAKDIESGVVNLN WAKKDVAEFFQNKYQWDVLAARSVWAFGPDSTGPNVLIDDTLPSEVNKKALHSIKHSI VQGTIYIYC H257_00890 MLDFTLPPLSSYLRNSTVAPSEGLSATTTPRFAYIPLLLNPVEE KQLQHYDNNNTRAASPPTLMDTLAFELPPHKRKRCQDADPGAHDRSPASQPICNVHGC SKLVTREGVCTSHGGRYFCIVAGCPKQRLKKGLCFAHGGVSYCKVPGCDKTRQKRGLC KTHGGVAYCKVDGCTNASNSKGLCRDHGGIKYCRTEGCQNLMLREGLCRLHGGFEYCK APYCSRIAIEGGHCRTHTISPN H257_00891 MHDYSRSIATVRASTSKRPLTLDDLDLLTRRSMALTDRGIIHVL SLLIWKLTSQCFSREGESLSVELLDTNSLEVKQCSHGSPIEPNMCVVLAMGMYLAMRP FQTPYGALFLADKPIDNFAGAFANLVNGMFALDGTPHNLGTKSMEMGAIETSVVTDAV RVMFPTLSTACEDDDPHGDDLDGVRDIHDKTSCTDANDQSPLCAATNTTTINGHQLCD QGGQVHKLPMDFECPNGRLDTGRAL H257_00892 MVERNAHSNLLGLIEVAGLIALPIASLFITVLLLRVVFKPKDDL ASRKSPNYGTIHSPFYPAEDDSIYAGQVDGNYVAPWTSTFDLAFLLSMLLSLVALVAC TSLLQPELWVNLHFWVGLAIQAASMLLVSTLGGLVCRAFGIVNDKGYLLTTISATFKV NYTRKCQHFAAYILPLLNPWSFVDDDNDIAAQQLPLLWSYFSILLSFLLLVKPLRERF VWCMIQFNGLDRPDDRPHTLKWLVAGNLVPGFVVVVVLNALFGRRDILLLVVAIAIIG DGLAEPVGLNWGRHKFTVCWCSARRRCRSWEGCATVYLSTMVLVAWGRGSFHSIHQVL AAMLVMPPVLMLAEAVVPHTFDTPVLVLVTGGVLVGILQVVD H257_00892 MVERNAHSNLLGLIEVAGLIALPIASLFITVLLLRVVFKPKDDL ASRKSPNYGTIHSPFYPAEDDSIYAGQVDGNYVAPWTSTFDLAFLLSMLLSLVALVAC TSLLQPELWVNLHFWVGLAIQAASMLLVSTLGGLVCRAFGIVNDKGYLLTTISATFKV NYTRKCQHFAAYILPLLNPWSFVDDDNDIAAQQLPLLWSYFSILLSFLLLVKPLRERF VWCMIQFNGLDRPDDRPHTLKWLVAGNLVPGFVVVVVLNALFGRRDILLLVVAIAIIG DGLAEPVGLNWGRHKFTVCWCSARRRCRSWEGCATVYLSTMVLVAWGYVFWLMVGLIV CIHAWLVEGRFTLYIKCWRRCWSCRPCSCWPKQWCHTHLTRPSWS H257_00893 MLHRLYDYLVCCGRRRRRQDDTVPITHRSVEVDYELLNVVGAGK TCRVYRARAKQDATTIVAVKVIQTSYLSTPSRVHALECELRALSQLRAQPQVLTLLAV YQDDTTVALVTSYVGGGQLVPALCARKSINEHTIRTLVRELVEVLAAMHSLGITHRDL KPENLLLEEADRLKVVDFGISHIDDSNHPPMVGLCGTGPFMAPEVFDTDVPYTSKVDI WALGVCVFVLLTGHVPFEAKFMSAMEDKIRAGEYTLPPHQHVSATARSFVATCLNTVA SNRPSAKSLLLHPWLDLNQVQSVFSIPFSDDHMTCLQSYANPTTAPPCIHQHHE H257_00894 MTTMHSPTEGSGGCRPHKIAITKGNGMSSDSSNLPPILSETSKL FVASTKATKYKDKLHCLTARDRPKPELVMHRTKPEVFAPFMTQSLNHAQHEQLKEVLH AFENDTTHHCLRPVDRLYWYFGLLGQLASHVSPIQALLRQVHNVLCDAVYDSSHLQHP QATRGKEYAHLAHQVEYDHIDADLEQLQAQLKVETLHYRTQSDERESLKKLLEKAKKS QDKMEEELAQHADVLRRDEDLYEHLVGDEAALDRKASLHRLQLVEAKSMLESVADKAL AMRDGFQDTMCILHDKEREEKRANEAAQTLQELQREHAKLVDESASLSRDIERLEAQL DADQKVVMDKRTELEVAEADFADITANSADLQRTHTPRPKWECVFKGLPEVAYVDPAL QGPPKTADKVRHKKRPPLGRTQVFVKEMCHWLQRIQGDCGMSLQLARLTNETEAARLE LSTLQTQMELLARRQKKQISTIPGGIGGLPSLVTVAKTVAAATKLLGAATAFPSDSSP LSPSMAPKDFITALGTTPEIPQFLRHTGRVRNRHMTKTELEKILRMVWSGKKAKEAHM GIKIPLDEYLYEVLKTKFGIQTIVAEWGYNILHALKTYSWDSEVELFFLCLTGAVSEA IHDDQELLLDECRSLLFRLNDSYYDAALKREPKCVHLNDVMATLHNFFPMKTPLQLKS IERELLRETKSKDKSVQGTAGEALVAIDDLLPTKLKKSSRGYFVKILRTQHFKEIQDY LALLDRCISPFCDTFNVVGRCVLLVGTLGKSARQTLIPAGAWKSNKSKPPCNPLTSLS PTCGSRNASLAGFRRDWSDTWTCPASWSIECSSRYRCSLTHGGFGSHTCRRFWLENEP QTHEAQPQLLSQGAANVVSLGTADGNMTRPANGLASFGTRLKDHQSKRLVLKTRIRN H257_00894 MTTMHSPTEGSGGCRPHKIAITKGNGMSSDSSNLPPILSETSKL FVASTKATKYKDKLHCLTARDRPKPELVMHRTKPEVFAPFMTQSLNHAQHEQLKEVLH AFENDTTHHCLRPVDRLYWYFGLLGQLASHVSPIQALLRQVHNVLCDAVYDSSHLQHP QATRGKEYAHLAHQVEYDHIDADLEQLQAQLKVETLHYRTQSDERESLKKLLEKAKKS QDKMEEELAQHADVLRRDEDLYEHLVGDEAALDRKASLHRLQLVEAKSMLESVADKAL AMRDGFQDTMCILHDKEREEKRANEAAQTLQELQREHAKLVDESASLSRDIERLEAQL DADQKVVMDKRTELEVAEADFADITANSADLQRTHTPRPKWECVFKGLPEVAYVDPAL QGPPKTADKVRHKKRPPLGRTQVFVKEMCHWLQRIQGDCGMSLQLARLTNETEAARLE LSTLQTQMELLARRQKKQISTIPGGIGGLPSLVTVAKTVAAATKLLGAATAFPSDSSP LSPSMAPKDFITALGTTPEIPQFLRHTGRVRNRHMTKTELEKILRMVWSGKKAKEAHM GIKIPLDEYLYEVLKTKFGIQTIVAEWGYNILHALKTYSWDSEVELFFLCLTGAVSEA IHDDQELLLDECRSLLFRLNDSYYDAALKREPKCVHLNDVMATLHNFFPMKTPLQLKS IERELLRETKSKDKSVQGTAGEALVAIDDLLPTKLKKSSRGYFVKILRTQHFKEIQDY LALLDRKIRETDPHSSGRVEIKQIKAAMQSIDVAVTDMWLAECISRGIPKRLVGHMDM SSVVEYRVFFKKMNLKLMKHSLNFFPKAQPTSFHSAPPTAT H257_00894 MTTMHSPTEGSGGCRPHKIAITKGNGMSSDSSNLPPILSETSKL FVASTKATKYKDKLHCLTARDRPKPELVMHRTKPEVFAPFMTQSLNHAQHEQLKEVLH AFENDTTHHCLRPVDRLYWYFGLLGQLASHVSPIQALLRQVHNVLCDAVYDSSHLQHP QATRGKEYAHLAHQVEYDHIDADLEQLQAQLKVETLHYRTQSDERESLKKLLEKAKKS QDKMEEELAQHADVLRRDEDLYEHLVGDEAALDRKASLHRLQLVEAKSMLESVADKAL AMRDGFQDTMCILHDKEREEKRANEAAQTLQELQREHAKLVDESASLSRDIERLEAQL DADQKVVMDKRTELEVAEADFADITANSADLQRTHTPRPKWECVFKGLPEVAYVDPAL QGPPKTADKVRHKKRPPLGRTQVFVKEMCHWLQRIQGDCGMSLQLARLTNETEAARLE LSTLQTQMELLARRQKKQISTIPGGIGGLPSLVTVAKTVAAATKLLGAATAFPSDSSP LSPSMAPKDFITALGTTPEIPQFLRHTGRVRNRHMTKTELEKILRMVWSGKKAKEAHM GIKIPLDEYLYEVLKTKFGIQTIVAEWGYNILHALKTYSWDSEVELFFLCLTGAVSEA IHDDQELLLDECRSLLFRLNDSYYDAALKREPKCVHLNDVMATLHNFFPMKTPLQLKS IERELLRETKSKDKSVQGTAGEALVAIDDLLPTKLKKSSRGYFVKILRTQHFKEIQDY LALLDRCISPFCDTFNVVGRCVLLVGTLGKSARQTLIPAGAWKSNKSKPPCNPLTSLS PTCGSRNASLAGFRRDWSDTWTCPASWSIECSSRK H257_00894 MTTMHSPTEGSGGCRPHKIAITKGNGMSSDSSNLPPILSETSKL FVASTKATKYKDKLHCLTARDRPKPELVMHRTKPEVFAPFMTQSLNHAQHEQLKEVLH AFENDTTHHCLRPVDRLYWYFGLLGQLASHVSPIQALLRQVHNVLCDAVYDSSHLQHP QATRGKEYAHLAHQVEYDHIDADLEQLQAQLKVETLHYRTQSDERESLKKLLEKAKKS QDKMEEELAQHADVLRRDEDLYEHLVGDEAALDRKASLHRLQLVEAKSMLESVADKAL AMRDGFQDTMCILHDKEREEKRANEAAQTLQELQREHAKLVDESASLSRDIERLEAQL DADQKVVMDKRTELEVAEADFADITANSADLQRTHTPRPKWECVFKGLPEVAYVDPAL QGPPKTADKVRHKKRPPLGRTQVFVKEMCHWLQRIQGDCGMSLQLARLTNETEAARLE LSTLQTQMELLARRQKKQISTIPGGIGGLPSLVTVAKTVAAATKLLGAATAFPSDSSP LSPSMAPKDFITALGTTPEIPQFLRHTGRVRNRHMTKTELEKILRMVWSGKKAKEAHM GIKIPLDEYLYEVLKTKFGIQTIVAEWGYNILHALKTYSWDSEVELFFLCLTGAVSEA IHDDQELLLDECRSLLFRLNDSYYDAALKREPKCVHLNDVMATLHNFFPMKTPLQLKS IERELLRETKSKDKSVQGTAGEALVAIDDLLPTKLKKSSRGYFVKILRTQHFKEIQDY LALLDRKIRETDPHSSGRVEIKQIKAAMQSIDVAVTDMWLAECISRGIPKRLVGHMDM SSVVEYRVFFKVPLLVDPWRLWKSYMSSILARK H257_00894 MTTMHSPTEGSGGCRPHKIAITKGNGMSSDSSNLPPILSETSKL FVASTKATKYKDKLHCLTARDRPKPELVMHRTKPEVFAPFMTQSLNHAQHEQLKEVLH AFENDTTHHCLRPVDRLYWYFGLLGQLASHVSPIQALLRQVHNVLCDAVYDSSHLQHP QATRGKEYAHLAHQVEYDHIDADLEQLQAQLKVETLHYRTQSDERESLKKLLEKAKKS QDKMEEELAQHADVLRRDEDLYEHLVGDEAALDRKASLHRLQLVEAKSMLESVADKAL AMRDGFQDTMCILHDKEREEKRANEAAQTLQELQREHAKLVDESASLSRDIERLEAQL DADQKVVMDKRTELEVAEADFADITANSADLQRTHTPRPKWECVFKGLPEVAYVDPAL QGPPKTADKVRHKKRPPLGRTQVFVKEMCHWLQRIQGDCGMSLQLARLTNETEAARLE LSTLQTQMELLARRQKKQISTIPGGIGGLPSLVTVAKTVAAATKLLGAATAFPSDSSP LSPSMAPKDFITALGTTPEIPQFLRHTGRVRNRHMTKTELEKILRMVWSGKKAKEAHM GIKIPLDEYLYEVLKTKFGIQTIVAEWGYNILHALKTYSWDSEVELFFLCLTGAVSEA IHDDQELLLDECRSLLFRLNDSYYDAALKREPKCVHLNDVMATLHNFFPMKTPLQLKS IERELLRETKSKDKSVQGTAGEALVAIDDLLPTKLKKSSRGYFVKILRTQHFKEIQDY LALLDRCISPFCDTFNVVGRCVLLVGTLGKSARQTLIPAGAWKSNKSKPFVPTRLRYV YNNVYCFLGHAIH H257_00894 MTTMHSPTEGSGGCRPHKIAITKGNGMSSDSSNLPPILSETSKL FVASTKATKYKDKLHCLTARDRPKPELVMHRTKPEVFAPFMTQSLNHAQHEQLKEVLH AFENDTTHHCLRPVDRLYWYFGLLGQLASHVSPIQALLRQVHNVLCDAVYDSSHLQHP QATRGKEYAHLAHQVEYDHIDADLEQLQAQLKVETLHYRTQSDERESLKKLLEKAKKS QDKMEEELAQHADVLRRDEDLYEHLVGDEAALDRKASLHRLQLVEAKSMLESVADKAL AMRDGFQDTMCILHDKEREEKRANEAAQTLQELQREHAKLVDESASLSRDIERLEAQL DADQKVVMDKRTELEVAEADFADITANSADLQRTHTPRPKWECVFKGLPEVAYVDPAL QGPPKTADKVRHKKRPPLGRTQVFVKEMCHWLQRIQGDCGMSLQLARLTNETEAARLE LSTLQTQMELLARRQKKQISTIPGGIGGLPSLVTVAKTVAAATKLLGAATAFPSDSSP LSPSMAPKDFITALGTTPEIPQFLRHTGRVRNRHMTKTELEKILRMVWSGKKAKEAHM GIKIPLDEYLYEVLKTKFGIQTIVAEWGYNILHALKTYSWDSEVELFFLCLTGAVSEA IHDDQELLLDECRSLLFRLNDSYYDAALKREPKCVHLNDVMATLHNFFPMKTPLQLKS IERELLRETKSKDKSVQGTAGEALVAIDDLLPTKLKKSSRGYFVKILRTQHFKEIQDY LALLDRCISPFCDTFNVVGRCVLLVGTLGKSARQTLIPAGAWKSNKSKPFVPTRLRYV YNNVYCFLGHAIH H257_00894 MTTMHSPTEGSGGCRPHKIAITKGNGMSSDSSNLPPILSETSKL FVASTKATKYKDKLHCLTARDRPKPELVMHRTKPEVFAPFMTQSLNHAQHEQLKEVLH AFENDTTHHCLRPVDRLYWYFGLLGQLASHVSPIQALLRQVHNVLCDAVYDSSHLQHP QATRGKEYAHLAHQVEYDHIDADLEQLQAQLKVETLHYRTQSDERESLKKLLEKAKKS QDKMEEELAQHADVLRRDEDLYEHLVGDEAALDRKASLHRLQLVEAKSMLESVADKAL AMRDGFQDTMCILHDKEREEKRANEAAQTLQELQREHAKLVDESASLSRDIERLEAQL DADQKVVMDKRTELEVAEADFADITANSADLQRTHTPRPKWECVFKGLPEVAYVDPAL QGPPKTADKVRHKKRPPLGRTQVFVKEMCHWLQRIQGDCGMSLQLARLTNETEAARLE LSTLQTQMELLARRQKKQISTIPGGIGGLPSLVTVAKTVAAATKLLGAATAFPSDSSP LSPSMAPKDFITALGTTPEIPQFLRHTGRVRNRHMTKTELEKILRMVWSGKKAKEAHM GIKIPLDEYLYEVLKTKFGIQTIVAEWGYNILHALKTYSWDSEVELFFLCLTGAVSEA IHDDQELLLDECRSLLFRLNDSYYDAALKREPKCVHLNDVMATLHNFFPMKTPLQLKS IERELLRETKSKDKSVQGTAGEALVAIDDLLPTKLKKSSRGYFVKILRTQHFKEIQDY LALLDRKIRETDPHSSGRVEIKQIKAVCAHSTTICI H257_00894 MTTMHSPTEGSGGCRPHKIAITKGNGMSSDSSNLPPILSETSKL FVASTKATKYKDKLHCLTARDRPKPELVMHRTKPEVFAPFMTQSLNHAQHEQLKEVLH AFENDTTHHCLRPVDRLYWYFGLLGQLASHVSPIQALLRQVHNVLCDAVYDSSHLQHP QATRGKEYAHLAHQVEYDHIDADLEQLQAQLKVETLHYRTQSDERESLKKLLEKAKKS QDKMEEELAQHADVLRRDEDLYEHLVGDEAALDRKASLHRLQLVEAKSMLESVADKAL AMRDGFQDTMCILHDKEREEKRANEAAQTLQELQREHAKLVDESASLSRDIERLEAQL DADQKVVMDKRTELEVAEADFADITANSADLQRTHTPRPKWECVFKGLPEVAYVDPAL QGPPKTADKVRHKKRPPLGRTQVFVKEMCHWLQRIQGDCGMSLQLARLTNETEAARLE LSTLQTQMELLARRQKKQISTIPGGIGGLPSLVTVAKTVAAATKLLGAATAFPSDSSP LSPSMAPKDFITALGTTPEIPQFLRHTGRVRNRHMTKTELEKILRMVWSGKKAKEAHM GIKIPLDEYLYEVLKTKFGIQTIVAEWGYNILHALKTYSWDSEVELFFLCLTGAVSEA IHDDQELLLDECRSLLFRLNDSYYDAALKREPKCVHLNDVMATLHNFFPMKTPLQLKS IERELLRETKSKDKSVQGTAGEALVAIDDLLPTKLKKSSRGYFVKILRTQHFKEIQDY LALLDRKIRETDPHSSGRVEIKQIKAVCAHSTTICI H257_00894 MTTMHSPTEGSGGCRPHKIAITKGNGMSSDSSNLPPILSETSKL FVASTKATKYKDKLHCLTARDRPKPELVMHRTKPEVFAPFMTQSLNHAQHEQLKEVLH AFENDTTHHCLRPVDRLYWYFGLLGQLASHVSPIQALLRQVHNVLCDAVYDSSHLQHP QATRGKEYAHLAHQVEYDHIDADLEQLQAQLKVETLHYRTQSDERESLKKLLEKAKKS QDKMEEELAQHADVLRRDEDLYEHLVGDEAALDRKASLHRLQLVEAKSMLESVADKAL AMRDGFQDTMCILHDKEREEKRANEAAQTLQELQREHAKLVDESASLSRDIERLEAQL DADQKVVMDKRTELEVAEADFADITANSADLQRTHTPRPKWECVFKGLPEVAYVDPAL QGPPKTADKVRHKKRPPLGRTQVFVKEMCHWLQRIQGDCGMSLQLARLTNETEAARLE LSTLQTQMELLARRQKKQISTIPGGIGGLPSLVTVAKTVAAATKLLGAATAFPSDSSP LSPSMAPKDFITALGTTPEIPQFLRHTGRVRNRHMTKTELEKILRMVWSGKKAKEAHM GIKIPLDEYLYEVLKTKFGIQTIVAEWGYNILHALKTYSWDSEVELFFLCLTGAVSEA IHDDQELLLDECRSLLVHPSLHTTI H257_00894 MTTMHSPTEGSGGCRPHKIAITKGNGMSSDSSNLPPILSETSKL FVASTKATKYKDKLHCLTARDRPKPELVMHRTKPEVFAPFMTQSLNHAQHEQLKEVLH AFENDTTHHCLRPVDRLYWYFGLLGQLASHVSPIQALLRQVHNVLCDAVYDSSHLQHP QATRGKEYAHLAHQVEYDHIDADLEQLQAQLKVETLHYRTQSDERESLKKLLEKAKKS QDKMEEELAQHADVLRRDEDLYEHLVGDEAALDRKASLHRLQLVEAKSMLESVADKAL AMRDGFQDTMCILHDKEREEKRANEAAQTLQELQREHAKLVDESASLSRDIERLEAQL DADQKVVMDKRTELEVAEADFADITANSADLQRTHTPRPKWECVFKGLPEVAYVDPAL QGPPKTADKVRHKKRPPLGRTQVFVKEMCHWLQRIQGDCGMSLQLARLTNETEAARLE LSTLQTQMELLARRQKKQISTIPGGIGGLPSLVTVAKTVAAATKLLGAATAFPSDSSP LSPSMAPKDFITALGTTPEIPQFLRHTGRVRNRHMTKTELEKILRMVWSGKKAKEAHM GIKIPLDEYLYEVLKTKFGIQTIVAEWGYNILHALKTYSWDSEVELFFLCLTGAVSEA IHDDQELLLDECRSLLVHPSLHTTI H257_00894 MTTMHSPTEGSGGCRPHKIAITKGNGMSSDSSNLPPILSETSKL FVASTKATKYKDKLHCLTARDRPKPELVMHRTKPEVFAPFMTQSLNHAQHEQLKEVLH AFENDTTHHCLRPVDRLYWYFGLLGQLASHVSPIQALLRQVHNVLCDAVYDSSHLQHP QATRGKEYAHLAHQVEYDHIDADLEQLQAQLKVETLHYRTQSDERESLKKLLEKAKKS QDKMEEELAQHADVLRRDEDLYEHLVGDEAALDRKASLHRLQLVEAKSMLESVADKAL AMRDGFQDTMCILHDKEREEKRANEAAQTLQELQREHAKLVDESASLSRDIERLEAQL DADQKVVMDKRTELEVAEADFADITANSADLQRTHTPRPKWECVFKGLPEVAYVDPAL QGPPKTADKVRHKKRPPLGRTQVFVKEMCHWLQRIQGDCGMSLQLARLTNETEAARLE LSTLQTQMELLARRQKKQISTIPGGIGGLPSLVTVAKTVAAATKLLGAATAFPSDSSP LSPSMAPKDFITALGTTPEIPQFLRHTGRVRNRHMTKTELEKILRMVWSGKKAKEAHM GIKIPLDEYLYEVLKTKFGIQTIVAEWGYNILHALKTYSWDSEVELFFLCLTGAVSEA IHDDQELLLDECRSLLVHPSLHTTI H257_00894 MTTMHSPTEGSGGCRPHKIAITKGNGMSSDSSNLPPILSETSKL FVASTKATKYKDKLHCLTARDRPKPELVMHRTKPEVFAPFMTQSLNHAQHEQLKEVLH AFENDTTHHCLRPVDRLYWYFGLLGQLASHVSPIQALLRQVHNVLCDAVYDSSHLQHP QATRGKEYAHLAHQVEYDHIDADLEQLQAQLKVETLHYRTQSDERESLKKLLEKAKKS QDKMEEELAQHADVLRRDEDLYEHLVGDEAALDRKASLHRLQLVEAKSMLESVADKAL AMRDGFQDTMCILHDKEREEKRANEAAQTLQELQREHAKLVDESASLSRDIERLEAQL DADQKVVMDKRTELEVAEADFADITANSADLQRTHTPRPKWECVFKGLPEVAYVDPAL QGPPKTADKVRHKKRPPLGRTQVFVKEMCHWLQRIQGDCGMSLQLARLTNETEAARLE LSTLQTQMELLARRQKKQISTIPGGIGGLPSLVTVAKTVAAATKLLGAATAFPSDSSP LSPSMAPKDFITALGTTPEIPQFLRHTGRVRNRHMTKTELEKILRMVWSGKKAKEAHM GIKIPLCSRPNLAFKPSLQNGATTFSTP H257_00894 MTTMHSPTEGSGGCRPHKIAITKGNGMSSDSSNLPPILSETSKL FVASTKATKYKDKLHCLTARDRPKPELVMHRTKPEVFAPFMTQSLNHAQHEQLKEVLH AFENDTTHHCLRPVDRLYWYFGLLGQLASHVSPIQALLRQVHNVLCDAVYDSSHLQHP QATRGKEYAHLAHQVEYDHIDADLEQLQAQLKVETLHYRTQSDERESLKKLLEKAKKS QDKMEEELAQHADVLRRDEDLYEHLVGDEAALDRKASLHRLQLVEAKSMLESVADKAL AMRDGFQDTMCILHDKEREEKRANEAAQTLQELQREHAKLVDESASLSRDIERLEAQL DADQKVVMDKRTELEVAEADFADITANSADLQRTHTPRPKWECVFKGLPEVAYVDPAL QGPPKTADKVRHKKRPPLGRTQVFVKEMCHWLQRIQGDCGMSLQLARLTNETEAARLE LSTLQTQMELLARRQKKQISTIPGGIGGLPSLVTVAKTVAAATKLLGAATAFPSDSSP LSPSMAPKDFITALGTTPEIPQFLRHTGRVRNRHMTKTELEKILRMVWSGKKAKEAHM GIKIPLCSRPNLAFKPSLQNGATTFSTP H257_00894 MTTMHSPTEGSGGCRPHKIAITKGNGMSSDSSNLPPILSETSKL FVASTKATKYKDKLHCLTARDRPKPELVMHRTKPEVFAPFMTQSLNHAQHEQLKEVLH AFENDTTHHCLRPVDRLYWYFGLLGQLASHVSPIQALLRQVHNVLCDAVYDSSHLQHP QATRGKEYAHLAHQVEYDHIDADLEQLQAQLKVETLHYRTQSDERESLKKLLEKAKKS QDKMEEELAQHADVLRRDEDLYEHLVGDEAALDRKASLHRLQLVEAKSMLESVADKAL AMRDGFQDTMCILHDKEREEKRANEAAQTLQELQREHAKLVDESASLSRDIERLEAQL DADQKVVMDKRTELEVAEADFADITANSADLQRTHTPRPKWECVFKGLPEVAYVDPAL QGPPKTADKVRHKKRPPLGRTQVFVKEMCHWLQRIQGDCGMSLQLARLTNETEAARLE LSTLQTQMELLARRQKKQISTIPGGIGGLPSLVTVAKTVAAATKLLGAATAFPSDSSP LSPSMAPKDFITALGTTPEIPQFLRHTGRVRNRHMTKTELEKILRMVWSGKKAKEAHM GIKIPLCSRPNLAFKPSLQNGATTFSTP H257_00895 MQTMQALLAVSNTKQWPESIVTDPDILSLDVNGEYVVCKICSEM DKAREGSRIVRMNGPYRTAAWDRHKVRNMAHRKPGDPPLPLLPSSGIKRRKKRADGTE ADEDAPIAKSISRKKKLKTELNSTLSVDLVGTCPGAIPFDKATLVQRHLRAFQMFYIP SPVFSIHYDDVKKWFQVHSRYCAKELVLNRRPDRGQACEACYQLFTDRQRLMWKRVTN TEGLLATIEALRSTNHSDIDANVISKFLQTKSSLYNTRGLALRKAAKLAVEYIIERRR LIEDIDLLVIPLAHN H257_00896 MAIKRTLDSTADFAAPTLHGGTSPAPAQYALFSPTHAAGGEGGG THINAKRLRAPDVHGFSASEMKDVSAISQWIRHVFE H257_00897 MVREREREQRGWVCHLCTKINPSESSCSCACCGRPRDFAVKSIS DNKVSKALMLHGDLSTVARPEQVHNMVRGGIKPDMTSMDGLTALHCAALHGQAAIVRA LLHEGADIELPAPDGRHALHFAAESGHAQTVQELLSWRAHVDVTSTGDGWTPLHVACS KGHAAVVDVLLRAGATATLCTVHMHQTALHVAAELGYVKCVEVLLQHTDVDLLQCVDR SGATAIQLAHFAHQSIVYELLYLHQSDSVLHDRMLGMLLTSTGCTPHR H257_00898 MTTTDRLFRSIEVKEEEHHAPNCISSWGRVLDAGTGDHSLKWLK SLPTEAIVAVTGDTNRAVSLRKKFPEPHMNILAGNWNDPSFLEGQVFDVVIADYLVGA IEGHAPYYQDQIFHRLFRHVADGGRLYIVGLQPITMSLDPSKPKSAQEELVLEMQRVR DACILLAGHRTYREFPVDWILRQTAQAGFQVQSHTTFPNKYSASMIKRQVAVGRNKLP LILDQGVADSLEKYLATLDKQAEDVTKDGSFEFGYDYVVSAVKPALG H257_00899 MEQFNIYRAKITARLERYEQLKELEKQTGVDKFFIVAILAAIAG VLLFVVGGAHLISNLVGFIYPAYMSFKALNTDTAGDDTQWLTYWVVYSAFNLTEQITD TLLFWVPMYFFIKIAFLVWCYHPSTLGATTIYESLIKPNLAGHVNQIDGVLKQASDAA KKASNLAKEATKTAAGKLN H257_00900 MSRVRIGEAAWAKMPKVNAEFFALTYGALVTQLIKDFEDLKEVN TQLEVMGYGIGIRLIDEFLAKSGVSACADFKDTTEVVAKVAFKMFFGINVDVVPTDAA NVFHLILYENPLSEFVELPPHAHGVLSYSNILCGVLRGALEMVQMRVEAKFTKDSLQG DDVTEIRLEFKGTIEETMGDEYKED H257_00901 MIASKRQALGSKTGNSRFSLLLLEDGEFFLDDFSVLKYPDPVFQ CLTFDACIQRKVQGRLKLCTRSIMFEPQDVMQPILKFPFRDMDVSPRAEIFDEESNEL YLSFDCNAVVEMKERNVDHPYTHRVLANSSVYSTKMIFSLVHSKIHDFLQAITPLWSL SHKKSVLNKIDEERFLAPVLEPRHTDVFDASLLVDFRERPLYTKCKLVDRIVPLLKFP GAMMLTNLRVYFQPAQINNIGDPVLNFEYTKVVWLHKRRHMLKQCGLEVLLDDRQSFF YSFRSMEDRDEIYATMLQQPGLNQTLQQNCTREMLFKWQHRQVSNFEYLSFLNDQAGR TTNDLTQYPVFPWVIADYKTDRLDLTDPHTFRDLSKPVGALNADRLGYFQQRFHNMPS GMEAEGLPPPFLYGTHYSTPGYVLFYLVRMAPEYMLCLQNGKFDAPDRLFRSIADTYQ SCLTNHADLKELIPAFYDTDSPHVCEWLQNTRNLDLGTTQTLARVGDVDLPPWADSAH DFVEKHREALECDYVSEHLHEWIDLIFGYKQQGAEALAANNLFYYLSYEGAVNLETVT DPVDRCSFEAQIQEFGQTPKLLFQSPHPARSQVGMDVSLAPMDVATPPPVLPSVSTRL TSEEDLPEGVEQPLVASSSSSSSSWGFKRPTLSSTFSGLTKNVSGFKASLRRRCMERS SWGWTFDYTTAAAMWDESLPHFLHSKAITCVSLSKDMKTVFTTSDDGTFKISSSDDGV VRRSYTCAGPVRCLDVSADEKYAFFGSADLYMFALETGRVGKLSLAHAAAVTGVCVVD DSKFVTCSLDGTIKVWQYGPMGMLSTPTFVYEGCDCALTCLDVNSDGSIALVGSENGS VYVFDLRSNDIISKVRASDTAIQTLRFGMTGATFTCMSSSYVAATFALNGAAVSTLRA SPKDVPGCMVSDGEFALTGSDDGGVYIWQLNEGDKNALKACTVSIPHSHKSAVTALNV SGNGQSIVSGGGDGSVRVWAMRKKSARSRLGF H257_00902 MRISIHRATAAAVDRIAPLFDAKAFLSDRLSKDESVLYFAVDDS TADAVGFVQLFPSFSSVTTERLWILNDLYVAPAARRQGVAKLLMNQARDFAQADGAKG LSLETDHDNFHGQALYESLGYGKSSAYHYFLSIPKKAH H257_00903 MEKKAPSDFLKSVLGRPVDVKLNNGVEYKGVLACLDGFMNIAME QTQEYVNGQLKAQYGDCFIRGNNVLYISASK H257_00904 MDDGEIDPLDAFMAGIEAQVVQERTVHRAPAVMERCSFEEEVKE SKIAIGKAATATDPQPTQVFEEDVEEWNRVNKNQDMEELAPLDHSTVSYESFRKVFYK PTTTHTASEVSQLRRELDTRVELRPGDADVAPIQSFMQAGFSRQILALLMKHGLEAPT PIQSQAFPLAMSGRDIIGIAQTGSGKTLAFLLPMMVHIMDQRFLEKDEGPIAVILSPT RELAHQIYIEAKKFSMDANCAAIYGGAGNKWDQIQSIRKGSEIVVATPGRLMEHLRKR VIKNLHRVTYVVLDEADRMFEMGFESQLRSILGQIRPDRQTLLFSATFRRRIEMLARD VLTNPVKIIVGTVGQANDEIKQVAVVLPTKEAKWNWLLHTLPELTEEGKLLIFIGSKA GVDQLAASLNGLGDVYPCVSLHGDKSQFDRADALRRFKSGKCPILVATDVAARGLDIK DVKNVVNYEVAKNIDTHVHRIGRTGRMGVDGVSPGTAYTVLTPKDYEFAGHLVNNMDL SNQPVSSELLAVAAKSPHFQRRHPHGPPLSTCASSAPLSSSTFSRRDDLDAIDLDERE SSSGFFHTQKPKSKKEQRQGLGFGSTQPLRSQQPQTTTLMGFVAATSAPPPPPPRPRH ADPQHRMTFVKSSAPIRKSRWDKVDEQGPTPAKKSRWDRN H257_00905 MSLFASDDSSSMWSTAGSLFNISSPLSDLLDKEDYTLEQVLEED ELIQEVKSRNVKLLQFLSKEETVRKLVYYVTRKAEDGDGDMIAIKYPFMSCEVLCCDI MCITETLSTASNGAIVEDLFGLLSQPAPLDSRLAGYFEKILSLLMIRKPQEVTELMNK NADTLLDGFVTHSISYSIAEMFKRLMQPYQGDYMDDMDFSHPYGSNGGPWFSSSSDED DLSAVGTPQSKKTLSWQTDRRVVDSLVQNLRPADDKDDPIDSDVHKHTAEILSDIIHY GTRSSANEPAPASATLVEHIESAETVDKIIALALPAGNAYTTSMTSALTVLSALLSRH ANTHYSSTIPEETPVVVSATVARLPQIAQALRDEAGTTVNQRHQTVPKLGLRRLKLVG LLVVLVQAKYRAIDAVILSENMIALCLDLFFTFESVNMLHAEIESLVVGILESGGPDL QVGLLKQSNLLGRILQAYEANTAALQESPANAKGYMGHLLRISNVILNITDEVKGVDT RGSLNDMTHADSIVEYLEADPMWAQWDEFVKTTVADANDKDRHALGGSVVSHPVDDPY GIGRFDHPPIGSFADMLESGDQSHFDTDFDLPQDNDIPAMISDSSSSDEDEDFTEFDP RSIHRSNHPQDSNVIPVVPLDSPDLVMEQADKSMDNSNWANFDAVVPSSQEQAFANFD EATTTPPPPPAPTTPTEQP H257_00906 MVSLTQRWLRRNALTMWMLLTMLLALCSAHSRLQQDLSETYCPV CNMVVQPTPNQLLRGSQALYACEMAGHFQQLVSNPKAYVREISAISTLPAPYSNSTVS CPVCHDSRITHAVRLGPHGNQMIFACSENHATSISTNPADIFIYSPSNDTSAYCQGAT IMYDGFQSAFHGICPRLLFHGWVLNSEAKYALGFVGIVLMGILLEWWVEFQDQLHRRL LRTLVVPPSPDTSTAHEDPDLDIELSLEDTLLPADQRAQRRRSLPFSARAVLAASYMG MMALAYFIMLVVMSYESGFFVAAVLGMGLGFFFFRDVDALHPSGNPDPCCST H257_00906 MVSLTQRWLRRNALTMWMLLTMLLALCSAHSRLQQDLSETYCPV CNMVVQPTPNQLLRGSQALYACEMAGHFQQLVSNPKAYVREISAISTLPAPYSNSTVS CPVCHDSRITHAVRLGPHGNQMIFACSENHATSISTNPVHPFIHHVIKATVHMPSQAD IFIYSPSNDTSAYCQGATIMYDGFQSAFHGICPRLLFHGWVLNSEAKYALGFVGIVLM GILLEWWVEFQDQLHRRLLRTLVVPPSPDTSTAHEDPDLDIELSLEDTLLPADQRAQR RRSLPFSARAVLAASYMGMMALAYFIMLVVMSYESGFFVAAVLGMGLGFFFFRDVDAL HPSGNPDPCCST H257_00907 MADETDQGQTGLIIPGNSVKSFRSRVTNLEAISLCNSMIVAEVN DLHTVDFQYNNVGELDDDDPESHLNAARAIAKLFLNIDGYTSSLVHVNLAGNALNDEC ARILCTALAENKTIQVLNLRRNPLGNEGNLHVARMLEINMTLQDIDIGDTDIGHGSLI AISGALRHNTALKRINLDNPVLKTLEVVFEQEEALQHVAKMLQANHTLTHVSLCKHQI TDVGAQVLAERLLDNKRLTSLHLGANRIGGFGSEALAALLLSDSRISELDLTANRIGD DGAQAFETVLGVSTRLQVLRLGYNSIEDAGLARLANGVARNAHSTLCELVVWGNDFGD VAPEQFHHLLQRHPALHTDVQPYIVDGKVHIAQKDSST H257_00907 MADETDQGQTGLIIPGNSVKSFRSRVTNLEAISLCNSMIVAEVN DLHTVDFQYNNVGELDDDDPESHLNAARAIAKLFLNIDGYTSSLVHVNLAGNALNDEC ARILCTALAENKTIQVLNLRRNPLGNEGNLHVARMLEINMTLQDIDIGDTDIGHGSLI AISGALRHNTALKRINLDNPVLKTLEEEALQHVAKMLQANHTLTHVSLCKHQITDVGA QVLAERLLDNKRLTSLHLGANRIGGFGSEALAALLLSDSRISELDLTANRIGDDGAQA FETVLGVSTRLQVLRLGYNSIEDAGLARLANGVARNAHSTLCELVVWGNDFGDVAPEQ FHHLLQRHPALHTDVQPYIVDGKVHIAQKDSST H257_00908 MKTVEMGVALSTYLHAKVAWPLQSAVLGRDYTHVQSQTQHLISK TQPKATDKGPLIGGVVVNPLNNTLLVCDVQHACVRVLDAATLQLQHTIGGKGGNPGKF LEPHCIAINVTGTIAVSDAKVNRIQVFSMHHTLLAHFGRFGSDKGQFNHILGVAFTPD GHIAVADSGNHRIVLVTTTGRVLSVLGAFGSKPGEFQAPVAVAINRWGDLFVCDRDNH RVQVFGARTTLQFRTLWGNSTTMQHPCSITVGCEDDGDIVVADHTKVFVFSQVGFLSH VVAIPDVHGVCFVRQQLVLTQNPNRLHLCAPYRLVAAGPWMAKIPTCVFVTVLSYVSY VDSISLRQTNKYYHRVCKARRDAWQLHPLTPGTPAVIRYGKVVAPSTGLLAVHDLYSK WGDHVHATIALHDRHGLDVSSTFHGAICEYFGPMFWFQHEHALRAMFGFYAQKREQSI TRVVLLRREFVHLVTELVEIQAGFLQWSQSQAFSKDAEVPDPRCDRVHRLERLERTQA FQLDKLMLKLKSMG H257_00909 MPACADAGMTALDHKLHMILQSSQDYTRRIDNMEFARFPRAFAY ITLTMERLDAKLQQAVQAFHTSVDIHANASCTVMLTRSTVFVATSHLKAIERIANEYY LGWMAIESAIPSTTFEATNPSDRASTSRPALHRESRNALSIASSFPSILDGVLSLSKL AGFLQQDMASMEFKQSHPTAPAATLAVFELENACPFAFVVASLTVAFVLHGTWYLCSI SVQRDLNEALESGAASTLRLRVNLPNMARCSTTTRLSSSSSRHHGTCFVEWDLYKDTV HVSVASPSAVIDAAYFA H257_00909 MPACADAGMTALDHKLHMILQSSQDYTRRIDNMEFARFPRAFAY ITLTMERLDAKLQQAVQAFHTSVDIHANASCTVMLTRSTVFVATSHLKAIERIANEYY LGWMAIESAIPSTTFEATNPSDRASTSRPALHRESRNALSIASSFPSILDGVLSLSKL AGFLQQDMASMEFKQSHPTAPAATLAVFELENACPFAFVVASLTVAFVLHGTWYLCSI SVQRDLNEALESGAASTCTHVFGYDSLKSPSLVRLRVNLPNMARCSTTTRLSSSSSRH HGTCFVEWDLYKDTVHVSVASPSAVIDAAYFA H257_00911 MRVVTSTSALVLLACTILSAAQDLDAKARDIVERMSVDQLLGQM TQITIDFVLATQDGGKVVNVGKVHELANQGIGSYLNTPFVAALGDNYGWNVHEWRHAI GQLQDVHIRTTGTPIIYGLDSLHGANYVKGAVLFPHQINVGATFDPELARRMGHYAGR DTKAAGISWIFGPTLEPVRHKGWPRIMETFGEDPTVVADMGKAAIDGIQSQGVAACFK HFIGYSASNSGKDRDPVSLSNHELLNLFMPPFKAAIDAGVMSGMDSFVSLDGIPMAAN RKNSIQFLRDDLKFDGVLVSDWEEIYMLEFYHNYAANREDAIYKAMTDSSLDMSMVPH DTSFIGHMKDLYYAGKIPLDRIKTSVTRLVKMKLKLNLFDVPMPGADVVNQVGDWSSR AAAWDIAKESLVLVKNVDNVLPLDKSKKFFFTGPSIDDIGLMCGGWTLTWQGQQGSSM FPNHWRTIKGAMTDVVNDQSRTDMEEGLNTMSLN H257_00912 MRVVTSTSALVLLACTILSAAQDLDAKARDIVERMSVDQLLGQM TQITIDFVLATQDGGKVVNVGKVHELANQGIGSYLNTPFVAALGDNYGWNVHEWRHAI GQLQDVHIRTTGTPIIYGLDSLHGANYVKGAVLFPHQINVGATFDPELARRMGHYAGR DTKAAGISWIFGPTLEPVRHKGWPRIMETFGEDPTVVADMGKAAIDGIQSQGVAACFK HFIGRLFNPFSKGIRFC H257_00913 MRVVTSTSALVLLACTILSAAQDLDAKARDIVERMSVDQLLGQM TQITIDFVLATQDGGKVVNVGKVHELANQGIGSYLNTPFVAALGDNYGWNVHEWRHAI GQLQDVHIRTTGTPIIYGLDSLHGANYVKGAVLFPHQINVGATFDPELARRMGHYAGR DTKAAGISWIFGPTLEPVRHKGWPRIMETFGEDPTVVADMGKAAIDGIQSQGVAACFK HFIGYSASNSGKDRDPVSLSNHELLNLFMPPFKAAIDAGVMSGMDSFVSLDGIPMAAN RKNSIQFLRDDLKFDGVLVSDWEEIYMLEFYHNYAANREDAIYKAMTDSSLDMSMVPH DTSFIGHMKDLYYAGKIPLDRIKTSVTRLVKMKLKLNLFDVPMPGADVVNQVGDWSSR AAAWDIAKESLVLVKNVDNVLPLDKSKKFFFTGPSIDDIGLMCGGWTLTWQGQQGSSM FPNHWRTIKGAMTDVVNDQSRTEFYQGVNIDGSWWDINWAKQKAQAADYTVIALGERP YAEFKGNIDPYELPSGLTEYVKALATTGTKIILVLVEGRPRLLSGIADVAAAVLYAGL PCEMGGEAISEMLFGLTNPSGRMAMTYPKSTDQVNLATPYYGRVGDECVVGGVTTHCP VEWHFGHGLSYTSFSYSDARLSVTNLSPSSSETTVTVTVTNEGGMTGKESVLLFVSAP GGPETRLLKKYTKVELTPGQSKEVSFTLSPDDFGKYVNEIGQGLRKEATAGTYYVSLK YDTLCNAATLGPHCKAFTWNSASAPTVSFYKLHVDAYDFVLTNPSSEPVVFVADVANA PAQEWSFDSATSQVVNRGTGRCLDAWEPKNGGATHVDYCLDIGTPTGTKPHLWQCHAS THPDFKNQQLNLLSPSLRVVTNTAFERVLTAVGDAAIAFQPRVASSETQLWQLDSSQL LRSTAVTNKCVDAYKPENGGPVHLWDCDATNVNQLWTYDSTTKQLRHKTHIGYCLDIG SPTGEVPHLWTCLPTTHADVKNQVFVF H257_00914 MKVLLALVALPYATGATDFNAQAKVIVDAMTIDELIGQMTQVNI DYVIQDQNAKKVVVPSKVADLANQRIGSYLNSPFSTSTSVSVTGWNVTEWRSAISQIQ TTHKATTGHPIIYGVDSLHGANYVQNAVLFPHQINVGATFDPAFASQMGRFAGRDTRA AGIHWIFGPCLEPARHKGWPRIMETFGEDPTVVADMGRAIVQGIQSNNVAACFKHYIG YSGSASGKDRDPVTLSKHELLNIFMLPFKAVIDAGIMTGMDSYIALNGVPNSANRQTS IDLLRTDLKFDGFLVSDWEEIYMMEYFHKYATDRQDAVFKAMSNSSLDMSMVPTDTSF IGIMKTLFDSGKVSLDRIRTSAQRIVKVKLQLNLYNDPVPGADLANAVGDSVSQSAAL ETAKASLVLVKNTNNVLPLDPAKFFFFTGPSIDDIGLLCGGWTIHWQGVQGTSNFPAY GRTIQAAMSAVVGNATRAQFYQGVNIDGTWWDINLAKQKAQAADYTVIALGERTYAEL LGNSDPYELPTGLTDYVKALATTGTKIILVLVEGRPRLLSGIADLAAAVLYAGLPCEL GGEAISSVLFGSTNPSGKLPLTYPKSTDQVNLATPYYGRIGGNCVVGGVTTNCPVEWH FGHGLSYTSFGYSNVRLTATSLTPSLNQTVVTVTVTNAGTTTGKESVLLFVSAPGGPE TRLLKKYTKVELTPGQSTDVPFTLTPDDFGKYVNEIGQGLRKEATAGTYYVSLKYDTL CNAATLGPLCKAFTWNSPSTTTPVLTPAPTPAPTPAPTPAPTPAPTPTPTPAPTSAPT PARTRTFYKLRLDAYNFVLANPSSEPVVFVADVANAPVQEWSFDSATSQVVNRGTGRC LDAWEPQNGGAVHTWDCSATNANQYWSYEATTKQLRHKTHASYCLDIGTTTGTKPHLW QCLASTRPDVKNQQLNLLSPSLDRLVTNTAFGSVLTAVGDAAVAFQPLMASSVTQLWQ LDSSQLLRSTGATSKCVDAYEPQNGGAVHLWDCSATNVNQLWTHDATTKQLRHKTHVG YCLDIGSPTGEAPHLWTCLPTTHVDLKNQVFVF H257_00915 MKTHKGPTAEEKKRVLDAFLRGDNWKLVAQHNDMSLATARRVVT TGRTTLLPRGGFRPAKSKVTPEIRAALEQYLDKNCQYTLREMQTFPLVVREVIFCQRN VEKAILLESMVYCQ H257_00916 MASRNKEAADLEERVSYIQSNTAKDDNGYVEAKSPKGLEEGALA EGGAINLFSREAIALFIQYGAIGIIYNLIPALRYPIFNNYLNLEGYQTSSYSVLIHIG WSFKVFFGVLSDCVPIYGYRRKSWILIGWTITMICLSVMAFSPFGEPFCNREKTKHCG TPLEEVPEPELQYFNLSAPDNGTLYILLSMFIAFGYVLAASASDAMVVEYAQREPIAL RGRIQTAIYTVRTLTGILAYLVSAFGLNGTNYGGSFSFALSPNAPYGIALAPCLLVVL STLFVLVEKKSESVSFPLWWGKFWESLQSRVMWQVCLFRFLSNVFNGVKTTATLPIQM HWAGVEPLNDALSDIFGNMVYASVLVIVAKWGLHWNWRWTIAAGTLGMVLVDGFVVFF TIWDVVRNQWFFNGVGLAEEFPRGLRFIVATYVAVEIADKGNEGATYGLISTVSNLSG PFASIFYKYVNSYFKVRQNDVKSDTLEVRLDVTYVYFISYGSKIASLFWLFLLPPQKA EVKFLKERGGKSKLAGFILVSLFFFCMAFTVSSNIMSIFPSTKCYRIAGGNGVLDPNT GKCPLK H257_00917 MTNDTPSATTRRKPTGHPVSKYGRKAHVVKFKYKTVDYQHKLDV IHQVADVGMSAFLDSYCMKQKDLIESMAAAPRTAQQKCFRERGTGTTLPVHEEEQLAR WVHGMRKDGIPVTYNMLQIMVLETAIDVGLSKDEFKGGWHWIQGFKRRHGLTFCAKTR IGQDSNQDGADTLSAFSERVLLTAIANDVQVIYNADQTAVNYEYLPTKTLNAAKEDTV WVKCGGETKERATAMLLADSTGRKYPLFLVLKTTKSKVKEVVQENLTWRNGFGRRVWS EVEPLQDRLGCRIYGNPTAWWNSGMSLEFLSAHFTEEVVAYADSINVLLERVPPRFTW CCQPADVAWIRPLKAALRANWLVEIRRQALKENLKLRGPSRPTMVSWITMVWKDLPGA VILNGFRKCQLIQGDIEECVEGPRRCVRFSLLPPPLLSAADCQRRHFCRPLSEATAAA TPPCVFSATIFRHRICLQTTPPPLLSPPPLLSAATTPFPALLLPPLLSAAVYRPVALC FLCLPARAH H257_00918 MASLGNLATCQRDAPTQCGSDGKYLRRLYVKLPLRHDCYAGCCQ RPGRGGGSLGALPRCISAPASLSANLRSVVYSIRVRTSSKPNAAFAHLLQAYETSDFI DEKLHVLGALGRFPSVKLKTRSLEWAVAGGVRSQNIHSVFGSVAADRSTVASEYVQAN WDALSAQYSQIVVGRILCVPIANFQTEQAVTAVEVFLVGRPKFLENIRTGAAMYARDV TPLAAWIQTL H257_00921 MALAQQADAEMAAYRALQEEVTVLANQRQLYAQQQNENSMVKQE LDLLADGSKVFKLIGPVLLKQDTAEAKTNVSKRLEFIQKEMEKVEKKLTAKEEEAVKI RQNIAAIQTQMQKNAAESAGSAAAQAQLGR H257_00920 MTELSAYEEERSAKIARNKAMMESLGIEAKKDTARQKGSWVKKV IDGPLRRSSRATVARARLSDDVPPKAVKKRRSLHDKNTHERQENQVIIPAARSANLMQ RNQIASPNPKIAAVDMVETARKQQSLKPYSVILDGVPPHTIVTEPLVTGHSCKELSLQ VPSDEYVGHALYPVGKLATMMWLCPGYHPRFSLLQSHQVWANAMVIFINVDLNDRYTN TFEREGSSLFVYWFARNSLTEGHPVLQQLIHGPHSNAASPPAAKDGVASPPNHDKPSL LFFRFAQGPYVYGGRLGYVQHDMEGHPSIRFKFELLDAAAFGQPLNALLDMDSVAKGV TE H257_00920 MTELSAYEEERSAKIARNKAMMESLGIEAKKDTARQKGSWVKKV IDGPLRRSSRATVARARLSDDVPPKAVKKRRSLHDKNTHERQENQVIIPAARSANLMQ RNQIASPNPKIAAVDMVETARKQQSLKPYSVILDGVPPHTIVTEPLVTGHSCKELSLQ VPSDEYVGHALYPVGKLATMMWLCPGYHPRFSLLQSHQVWANAMVIFINVDLNDRYTN TFEREGSSLFVYWFARV H257_00922 MVAISSYEVERAAKIARNKAMLASLGIEKPQPANGSTSDSDRRK EWTEPGSTSPRMARKTAERRRSTAKVASNSPLRRSSRVEKIIQLISQDKSTQVRNYQD QQEFLRMRQMLSSGPNARTDTTFSNDAKNKCIMNESGVDAPKRHVHEYDITLERSPHG YCIYLGIVKYSVCVVTFRRPAPHFIGPAEASGRIKPGDKLVSINGVTLYGGDDFKKHW ANFSTASAITLGFRRLLAEV H257_00923 MKRSASGDDNATTLGHDRGQGRVNNDQTKRRRYSNSTPEKQQRD GTDHGSLPPATAEVAGSQSQMMQKETDQRKLAQRQKQIDFGKNTIGYDRYLQAVPKGR RQFGKHPSTPDKYAVASKRAWDGRIQVWRRHLHIYDPESTTQATALPLKASVPSLSTP MSTPTRSLSDQAPLQPSEVGPGPPRVSQTSLFDDFDDENKVDKAVGDHDDEDDLL H257_00924 MLSSYEQGRRANIARNKEMLESLGIDKSEKKVPVRRSRKKSLQP QRRSSRVGAVSDVRAKILQAMESLEEKYEREQRQLLRRIREDSKNERRELNQMRRAEL EQRRMAKLIKAEQKRLAKEERAAAIVELRSLREEQMRLAKLDEERRRNVVKFKLPVDL RRLVATSRQWSTVANGEERSLNHATNSIQEEQELDEHVKSNSSNSNNNALSSDDDDNL SSDSSIDEVSDEEFNELSGEDDESGGGDSDVSYRASRRPQAKSTSFSKDDTRAGPDRS QPWLAVSSKPKSTSRLLVKLNHSQPRPRMIYQRRAAPSSPATDSPPSSSSSGPLVVRF NRSPAASVPRKLIRPTTSLPPDEPHAKRNRFLIPPKALPRDDHPCYQVHLGKGVHGLC IDLDVVDGKSVTVTGFRQPLPGVQGPAEACGQIEIGDELIAVDGDLIGGPAGFKRFVP VLKSGLSVTLRFRKPTR H257_00925 MLASGEILLIDEVLRDNMLHRSVRVTGRLDMYDAAKSIASLSFQ NAVIVVATDLLVNFDFAIGSLYQFIGETYANEGKMQLRARVGRNVDGLDTQLFVDALK LRREFIKTTMAA H257_00926 MEPNAAELRQLMAAAVRMRDEGRTSDPRYQQIVAVLRAHQQRIA DARQGLASSNSTSNAGTSVSGSTPATGDGSTNSGDLGGKQHGSKPATSSKQPTSTSSS TAPSAYHPKRATATTTAASSSIPASAGGSTGPRRCVFTETQLTHLHQQIRKFKLLSRT MDELLAKDTELLKANNLAPPPHPQSTPSVLLATPVKPEPTPADTNPPPSPLSHPPLLV LKDTPVNAIPTVKQEVKPKPVPFRRGDTQLVYVAPPSLDKFVFPTLIDEQPIDLLAPP INGLDSLSQLQAHLRGQMLLAHHVQLARLGDPVSVDRKWFKRKRPVLSVYDPSDRERR MKSLSNEKQRRATRLVYLRAVVAHAREFHAFHKARVSSLAKVSRNVKSLVESKANKAE REEDRQEKLRLKALKANDMAAYSKLVAEAKNERLTYLLSQTNTYLDSIRALVKSHREK FGLVPAASSSSSDVPTDGQEDVDEELDYLGIAVNSEMPRQPQMLVGGDLKEYQLRGLQ WMVSLYDNRLNGILADEMGLGKTIQSISLITYVMEVKHNLGPFLVVVPLSTLSNWVNE FRKWAPDITLVVYKGHPQARKDIFRLEMASMQFNVLLTTYEYIMKDKHVLRKYDWQYI IVDEGHRMKNAQSKFAMTLGSLYTSRNRLLLTGTPLQNSLPELWSLLNFLLPTIFESV DNFEQWFSKPFSHFTGTGESNELTDEERMLIIHRLHQVLRPFLLRRVKSSVLDQLPDK VERVLRCELSGWQKIMYRRIQEGGSLLMEGTQDDAKKTTAFTAKGLSNILMQLRKVCN HPYLFQTQGYPIDFDIVRSAGKFELLDRMLPKLHAAGHRVLMFSQMTQLMHVLEDYFN YRGFRYLRLDGSTSADEREQRMFMFNAPDSPYFIFLLSTRAGGLGLNLATADTVVIFD SDWNPAMDAQAQDRAHRIGQKNEVRVFRLITNSPIEEKILSRATEKLNMNNLVVEAGK FHAKSKESDRRAMLESLIKMENEEATEADEALVIDNDELNSIMALTPSEEKLYQQIDH DRATRERHQYGAHFNRLMHTSDVPAWLKEADAAESLKEHVDPDTLAELKRKRKDVSYR DMSEAQFTKILDDADTDDNLMKMKPSKKHKSDSTTTSTSTTSTTTGGGSKNDFIVEVT HERLRYVYDAVLKAKDSKGRLRHLLFVDKPSATDYPDYYNIVQHAMDLQTIEDRIESD EYTSFAMLERDFKLMFDNAQLYNHRDSLVYHDAAEMAKVVKSKLNAFKKPKKKKTS H257_00927 MANDLPAWFERLSKSQPLDVTSLLRLIALSKEHLANQPTLLDLP APITICGDIHGQFSDLLTLMTLDIGQRILFLGDFVDRGSHSVETISFLLVRMIRFPES TFLIRGNHESRQTTTVYGFQTECDKKYNGDTRVYKAFMDVFDYLPLGALVNNQLLAIH GGLSPAIHYLDQIRMINRVMEIPSDGAFADLVWSDPEPKTNGFHLSPRGAGYMFGGDI VEKFLHLNDLQHISRAHQLCMEGYQVLFRDTLSTIWSAPNYCYRFGNLASVMSVGDHL EREYKIFQQAGATTQSKLDAPAATDVVDAGYFT H257_00927 MANDLPAWFERLSKSQPLDVTSLLRLIALSKEHLANQPTLLDLP APITICGDIHGQFSDLLTLMTLDIGQRILFLGDFVDRGSHSVETISFLLVRMIRFPES TFLIRGNHESRQTTTVYGFQTECDKKYNGDTRVYKAFMDVFDYLPLGALVNNQLLAIH GGLSPAIHYLDQIRMINRVMEIPSDGAFADLVWSDPEPKTNGFHLSPRGAGYMFGGDI VEKFLHLNDLQHISRAHQLCMEGYQVLFRDTLSTIWSAPNYCYRFGNLASVMSVGDHL EVRPTPNCTN H257_00929 MQNDIDLSSAASIALGVGLGGLVILVLSYFPGEPFDYSIKQEDD APASVEDEAGDIMDEIPVPPTSSKTLHRRHQDAIEVEKAASALRVDKLQELLGLEESK IRDLVAQAKRDTLNGISPTPRVNYMARADRVVYFSFFMLMCYFAWRDYGLNVLDLVAY LFPTETATLRQILPLV H257_00928 MFHTYFKSFYSINRMLVVKCPIFGFTFELRPKSRASSIMTSTGL RTIRPEDVRAFAYDPVEPLALEQARSIVNDVKARGETAVREHAVRLGDLPSTSAPLVY SRDDMKTAFESLSIGEQKLLERTKQRIEAFAIAQRASIQSFSRAIPGGQAGQDVSPMQ VAGCYAPGGRYPLPSSVLMTALTARVAGVSTVIVASPRPAVATLAAAYISNADLLLAV GGAQAVAALAYGLDGTGISACDIIVGPGNKWVTAAKSLVYGKCAIDMLAGPSECLVVA DASAVVHAATIAADLLAQAEHDTAAVPILVTDSTALLDAVNAAIIDQLTTLPTADTAA VSVAKGFAVLCPTLDSCVDVANVLAAEHVEIMTADSQAVADRIQHYGGLFIGSRAAEV LGDYGVGPNHVLPTGGTARYTGGLSVHTFLRIRTWMRIDDGPLSQEAVADAVQLARVE GLEGHARAAERRLL H257_00931 MLSRLSLLTLKASPAVHHARRFSSALPSLLGRELAEEKANCFVG EELEALREKVLANFKIQDTPGNLDIVLLSKYKNEAIDVKFNCQDVADVAEEGGEYDEG EEDEAEDAGEFEDDVLPCIRFTARIVKDNHALVFDCVASSVLTVEGVMHTETADDLND SDYEGPRFADLEEDVQEAFADYLNARHINDDLANFITQFSDLKEQKEYVTFLENAQKF TEA H257_00930 MGGITEAEKVLLFSVLEFLAPLSGKDGVDAAKVQAGVKSLQEAF NVNPSDAALKQRLGLKNHSLLDIFTAGSKSLQLVTLSAPAAEDPVIAANPGLWQKWLA KLEAKGFFNGVSPGTSEYDDLYKKALSKFKEKFGSDKAPPSLSKEEKEAKAETLKASG NAALSAKDYVKAEQLYSEAIALSPAGPNSHIYLSNLAAAQMYLEKYDDVVDNCEKSIE LNPKYVKAYSRLGAAYLQLEDIDLAIDAFSRGLEVDPTNDMCKSGLHDARNRQSHLQT RNAPPPAGGGGMPDLSALAGMMGGAGGGAGGLAGLMSNPAMQQMAAQMMQNPQMMAMA QNMMKDPAALSRMMGSMGGGGGGAPDLSSLLTPEAIESFRHSPQVNAMRGDPVMAEFF DDMDQGGPQAAMRHMSNPAVAAKLQGLLSNNMM H257_00932 MDLLLYVHVDEDHFVIPSTAQETILDVIQRSEAAYASLFRMKPP LTIDAMKNDEGCFLPSSLCVGQILRNGAHVYVVMHSPGPPTDNSTDDISRLCATWGQW QMYFAQALCAFAQRHNDAMVHTATLVSLDLVSHLSSLCCSSNAPILHLALHCIKHWMH AIQIEDSTTLFLQLLSSKRVLNEPTLLTYVLELIDAFARSSREAQLSLVALDINTRLL HISDTHHPHIKSAIEPIIATLHALSFRQRHKPVSPSATSPPPMAIQELLFCMHGKQRH SQDVATQQLLLLSESRDAWRAAVNGADGPLFAELLHLATTATTPTDTSFAETLLTRVL QTMVNVISFQPDILLVPDPNAIDSLVAIGQPAAYSEPITSLAATVLRHVLERQGHLGY TNVAGLVGLLQSSAQSVGAAALLAALDGKAKVNPSHTHDMVLHEMCTCHVSALVDAMY VSDVPTRVAVLQVLNVLLQDEDVRQLVVADLGCIPAFVSIFLDIGAIEGQRQAAKVLS KIALSSPARRQDVVNAMEYVVSREAFSDSVVTFYLDLILPPQPPQPPSR H257_00932 MDLLLYVHVDEDHFVIPSTAQETILDVIQRSEAAYASLFRMKPP LTIDAMKNDEGCFLPSSLCVGQILRNGAHVYVVMHSPGPPTDNSTDDISRLCATWGQW QMYFAQALCAFAQRHNDAMVHTATLVSLDLVSHLSSLCCSSNAPILHLALHCIKHWMH AIQIEDSTTLFLQLLSSKRVLNEPTLLTYVLELIDAFARSSREAQLSLVALDINTRLL HISDTHHPHIKSAIEPIIATLHALSFRQRHKPVSPSATSPPPMAIQELLFCMHGKQRH SQDVATQQLLLLSESRDAWRAAVNGADGPLFAELLHLATTATTPTDTSFAETLLTRVL QTMVNVISFQPDILLVPDPNAIDSLVAIGQPAAYSEPITSLAATVLRHVLERQGHLGY TNVAGLVGLLQSSAQSVGAAALLAALDGKAKVNPSHTHDMVLHEMCTCHVSALVDAMY VSDVPTRVAVLQVLNVLLQDEDVRQLVVADLGCIPAFVSIFLDIGAIEGQRQAAKVLS KIALSRYYVQC H257_00932 MHAIQIEDSTTLFLQLLSSKRVLNEPTLLTYVLELIDAFARSSR EAQLSLVALDINTRLLHISDTHHPHIKSAIEPIIATLHALSFRQRHKPVSPSATSPPP MAIQELLFCMHGKQRHSQDVATQQLLLLSESRDAWRAAVNGADGPLFAELLHLATTAT TPTDTSFAETLLTRVLQTMVNVISFQPDILLVPDPNAIDSLVAIGQPAAYSEPITSLA ATVLRHVLERQGHLGYTNVAGLVGLLQSSAQSVGAAALLAALDGKAKVNPSHTHDMVL HEMCTCHVSALVDAMYVSDVPTRVAVLQVLNVLLQDEDVRQLVVADLGCIPAFVSIFL DIGAIEGQRQAAKVLSKIALSSPARRQDVVNAMEYVVSREAFSDSVVTFYLDLILPPQ PPQPPSR H257_00933 MGSKTSKPIVEGQAASRTDSKTVVASPGGDNTGGGASFQTSNRK CTDILCTLLFVVFWAGMIAISVVAFTRGKPERLAYGMDFKGRVCGTSGTTPGATAAPY DLTAYKYLAFPRLAQDLNAIALDPNFTPTDPNNLKKLYGVCVASCPTSRTDTGAPQYV HSLVSYGTAGADAVSARNASYGAALEDTTAGSPFRVFVNTSNVLFRCLEIPSETKVSY VRCVDDCTAGSDPTMCGSNATFFPCGAKGCTAYATANLPTCMNLQTKSETYMTSSANN SPVFDSLTSGWFMVAQWIGDIQKAAGPILICGVAVALVLGFVWLFCLRYCAGFFVWLT ILLVVAILLVATFFLAYKGELLNNSMIASNLAMTGMSADAITELTTDLTSASAAVNFA AAQVKYWKYAAYIFIGVDVLVLLVLIFMCSRIQIAVGIIREASKAIGRMPFLVLFPLV PVVSITAFVIYWIIAAAFLATAGKVSVVDVAATVNVANATTTANQLLLEQQYSFQDDN VLNYLLAYHVFGFLWTAQFLQAVGYTTMAGAVCEYYWTLNKSTMGRVPILRSFYRTLR YHLGSIAFGSLIIAIIQFIRLVLEYVDQKLKTAQKGNTMVKVAMCAFKCCLWCFEKCM KFLNKNAYIMVAMKGTSFCSAMKESFGLILANAARVATVSIVSMFLILLGKIFITSFC CMMLFLFLSHPPAGLPSFFTDNLDNLSSPVFPLLVCGLLSFTIASFFLDVYETAIDTI LLCFCEDCKVNQASGTYFMSDELLAYVDGAAKKHAFDHFKQVEA H257_00934 MRQIPLQYQLVSFDTTSSQKHFRHSNLMDDVGSTSVGKQTRDVC DVDGCKGMTGVVVRSCQRDVCPHVVHLVCAVDILHCTENSYFCSPSCQSMPSTKVVPG VEFTTFEALQKAIREDGARFGVKINQRQTHLMDDRALVIFGHKSIQRGVFYCSQLHCP YTLRFSFDFGKMRYSIRHTSFHGAHNHGIKNTMHARGKGIPFDHEFTSMDALPDGIER FQCRHCRSVVLKRASRLTHLKDCQPYRAHLGLDQNDEGDDREDGAGGGSINHERPGSS EFRYIPSTDGQTSSRLECKHCGAHLNPKTNRLLTHLRDCAAYQGGDVPLTNMNAAASS NVLDDALPPSGPGRSSVSRLDCRSLHPDTDIRSALGHPVTAPVRIVEPPELDRRFDHW GLFDPTRPAKRLRSGVPATPAPSAHLVGHFSLQHLDMNIGGVRVPVGAMHSLTTDWLH TGTAPAALSHFLHVCHSQNHAIALVPAAILHDTATSARAMGFTALPPWFQHIFPKSAL LLSQDATYPPHLLSVLDDDDDGNDVIECLARVGASRHGRLFRSCDTVASPSSLCHRRV VGCRDLRGTLRGYFVYNLHPSTRTLTVHDIVYEDMRILSGLLGFLATQDGADTIALST PDPHFPRLLRHPPTSTSVNHPPPLLARIVHVGHFLATHMSARNFNYATGIVLQLLVQD TPTSGPPHSSNTSLVLRFDGGLVRVQTPTPVDAFDARLQLDLEALTALMLGAVPLAKL LQLGQATLTPSDPRTSRLVARAFEVDDVPATRMPHWMD H257_00934 MRQIPLQYQLVSFDTTSSQKHFRHSNLMDDVGSTSVGKQTRDVC DVDGCKGMTGVVVRSCQRDVCPHVVHLVCAVDILHCTENSYFCSPSCQSMPSTKVVPG VEFTTFEALQKAIREDGARFGVKINQRQTHLMDDRALVIFGHKSIQRGVFYCSQLHCP YTLRFSFDFGKMRYSIRHTSFHGAHNHGIKNTMHARGKGIPFDHEFTSMDALPDGIER FQCRHCRSVVLKRASRLTHLKDCQPYRAHLGLDQNDEGDDREDGAGGGSINHERPGSS EFRYIPSTDGQTSSRLECKHCGAHLNPKTNRLLTHLRDCAAYQGGDVPLTNMNAAASS NVLDDALPPSGPGRSSVSRLDCRSLHPDTDIRSALGHPVTAPVRIVEPPELDRRFDHW GLFDPTRPAKRLRSGVPATPAPSAHLVGHFSLQHLDMNIGGVRVPVGAMHSLTTDWLH TGTAPAALSHFLHVCHSQNHAIALVPAAILHDTATSARAMGFTALPPWFQHIFPKSAL LLSQDATYPPHLLSVLDDDDDGNDVIECLARVGASRHGRLFRSCDTVASPSSLCHRRV VGCRDLRGTLRGYFVYNLHPSVGILLLSR H257_00934 MRQIPLQYQLVSFDTTSSQKHFRHSNLMDDVGSTSVGKQTRDVC DVDGCKGMTGVVVRSCQRDVCPHVVHLVCAVDILHCTENSYFCSPSCQSMPSTKVVPG VEFTTFEALQKAIREDGARFGVKINQRQTHLMDDRALVIFGHKSIQRGVFYCSQLHCP YTLRFSFDFGKMRYSIRHTSFHGAHNHGIKNTMHARGKGIPFDHEFTSMDALPDGIER FQCRHCRSVVLKRASRLTHLKDCQPYRAHLGLDQNDEGDDREDGAGGGSINHERPGSS EFRYIPSTDGQTSSRLECKHCGAHLNPKTNRLLTHLRDCAAYQGGDVPLTNMNAAASS NVLDDALPPSGPGRSSVSRLDCRSLHPDTDIRSALGHPVTAPVRIVEPPELDRRFDHW GLFDPTRPAKRLRSGVPATPAPSAHLVGHFSLQHLDMNIGGVRVPVGAMHSLTTDWLH TGTAPAALSHFLHVCHSQNHAIALVPAAILHDTATSARTIVYHTSFDQTYIQCRSHGF HGITSVVPTHISEIGIAAVSGRHVPPSPAERLGRRRRRERCD H257_00934 MRQIPLQYQLVSFDTTSSQKHFRHSNLMDDVGSTSVGKQTRDVC DVDGCKGMTGVVVRSCQRDVCPHVVHLVCAVDILHCTENSYFCSPSCQSMPSTKVVPG VEFTTFEALQKAIREDGARFGVKINQRQTHLMDDRALVIFGHKSIQRGVFYCSQLHCP YTLRFSFDFGKMRYSIRHTSFHGAHNHGIKNTMHARGKGIPFDHEFTSMDALPDGIER FQCRHCRSVVLKRASRLTHLKDCQPYRAHLGLDQNDEGDDREDGAGGGSINHERPGSS EFRYIPSTDGQTSSRLECKHCGAHLNPKTNRLLTHLRDCAAYQGGDVPLTNMNAAASS NVLDDALPPSGPGRSSVSRLDCRSLHPDTDIRSALGHPVTAPVRIVEPPELDRRFDHW GLFDPTRPAKRLRSGVPATPAPSAHLVGHFSLQHLDMNIGGVRVPVGAMHSLTTDWLH TGTAPAALSHFLHVCHSQNHAIALVPAAILHDTATSARTIVYHTSFDQTYIQCRSHGF HGITSVVPTHISEIGIAAVSGRHVPPSPAERLGRRRRRERCD H257_00935 MPPMGDPVVANAAAAEGGAEGGAAVERRTPKITLMRVEMTTAMK DDAINHLGESLAANVIEKDIATDMKKFFDQKYGPTWHCIVGKAFGCSVAYDTQFLLFF RLDQLYMLLFKSTE H257_00936 MPPPALSAPFQCAASLPALLRPFCVSPRPFVAGCLPFVVLKTTV SLVGQFDGSGLGRQLRSLGVAYRAMTTVAAGAAAATVAGDLGGSWHRRAVEAAEGRAA GGDDCHILFDGNPVAERVGVMGRSSQGAAFAGIVVLGTPPVEGVGGTVVVLEVVARTG AVEHTVAARCTALEGVLYCTQHTGAPDRGRSSGLAGAGLLS H257_00937 MVESEKSQSKIKAQSSRLDVYATRVSPQKQPPTNRPKYKSAAHD DPVEFELTSSTKSTPHHDAPFAVVNLLEGSLDGPSSSFVVSSNNNNSATLKCDSQMIY FNGHVDNPPALSSAIISNQPDPQLHDMSALSPRFTALFASKCLSPHKELSPEISSHKL GAPSSVVLATTSPLSPTASIVKPTEVAHILPSPPCFRVLDVAPSSWQQSFRYVSNDER YLGGGLDDEGGNDGSFDIDDLYEKNTTRRTLLEQLENRSTSAHPHHVEELVATFRAIT SQKSTRPSANTQDEVRGTSKWVSDSMPAWLQNQRN H257_00938 MTSRSTWGSVAASALCGQAIIVTLVLQYIGTNYLHTTGTVLATF QTSLAFLVLAITCLPFVLSRPQIHRPWWLWLLLGFAHVEGHFCAIKAFGTNPNYSFMG ILLHLAIPFQMLLAYLILRTRYSVTNYLGVFFIVLGAIIVMVCDTVDGSLASHLWSIG AAGFAAAALVLHKYTADLTLEVIPPVEIFGKVGAVAVVLSAVQMVILGEFSAMTTTTV WNTATSTYFAGYTLAVLLFYAVMCASHHAIEILWFHLSLVLFNVYTALSITWLFQVAV TPVFLGVSGVVVVGVCLYGWQDPFERGFSRKLLDRMGGPEPTMDDAMMDSLVVPIVMA LPYSPDHSDYGGESTSMYYLDSARNSYLSNPQPEDEPHMTSSPMVEEIHVNHDVVYTK DYFEGKVIFTMEDVSPASTPRHSLVDIVSYTSNHGTI H257_00940 MGVLFAFLAPPSGRLVGPIFAIHLVTASLFTWIIIFNVYHSPSQ WATLPYRAHCAGSNGDGGGAGGCLQVDAQLLGWYQIRRKDVKGHKISMVLTFYYGCLI PM H257_00939 MATPSPAKVGSHPRGASQSRRNSRRDSMALADVMNNLPPEVLAT LEADVLNDKASTPHFHMTASQRDLSEAQKIRQGGWRRQLRKLFKQPRTSRGGRVYHYT MLGAIVGNFLPMILETLDGPANGGSDPTYPFLPYRSTYFAWEVMFTSLFGLDLLVKVM IAKRQRKFWSRINSWIDVLGILPLLLSLFMQYQMGWSEDRRTHIERYMKLLRLFRIFR VAYMLREVDGIQVLRTTIVECIPPLQITAFFLITLVMMFATVLYYAEPCYNYTKCQFT DIFNAGYFVMVSVATVGYGDQVPDLDNPVSVLVTCVLLIFGALYLAMPLAIIGIKYEL TWLRYELKVKRTTTSLSKKSTARHPSTMKSPFAQHHAALPSEDIHPSVHAAYTLYLDL VKDVMTLEAIVQTIMNIPPHEALDSHQSRLHAESLVKLNHMCKQVIVLYQKLMLEMRV FQPTRHAGLVPGESPNDRFRSRSSSITSIASDVIHRAKRVIQQKITRPMYDRQNSTLN GVRLPIRKRLRLVLEQQTSSHAIWVNWFFYINALLGVFMCYAETTPELQAYGPSTILC RRAMGIYCGQPGRTSVSDAGCFVWRSNDTVTSTKLSFDCDDNDRCFGFGWNFGSESAA MACDRSFALPERICQLRQCKTDHAPLADLTTSWIYPEAYFALVFTVEFALRVYATKRR GRFVRSVGSWLDIGAVIPFYAEMVASMIDNRPALFAIVPTFPTVISVLPILKTLRILK MGKHFKANAVLARTAALTYQRLLIPLIFLFLGCVAAGAIFYEVERGTQCFAHLPCLWW QLDIMTDDISALFPPHKRVQVQMDKVTIVTDMWRSTWLSIVTFTTVGYGDLKPRTPVG RLFDILATVFGSCYTAMPLSLIGGQFYYCYEQYFKQQQRGGVPDAPRLALETTPSSRN MSVLSIEDMDILKKCGVVVLLLDEMMQNVIKLNQASSCDVADGGDPTDLQTPQHATPT IETVKRTSSRGHVHRQPHLETYVHWTSDVFNQRISSSSFLGRGLNRVCPVNLGVDDDH MTDIRRRRELIQTAVRHLTLSRVIERVMVVPDEELAASESDATE H257_00939 MATPSPAKVGSHPRGASQSRRNSRRDSMALADVMNNLPPEVLAT LEADVLNDKASTPHFHMTASQRDLSEAQKIRQGGWRRQLRKLFKQPRTSRGGRVYHYT MLGAIVGNFLPMILETLDGPANGGSDPTYPFLPYRSTYFAWEVMFTSLFGLDLLVKVM IAKRQRKFWSRINSWIDVLGILPLLLSLFMQYQMGWSEDRRTHIERYMKLLRLFRIFR VAYMLREVDGIQVLRTTIVECIPPLQITAFFLITLVMMFATVLYYAEPCYNYTKCQFT DIFNAGYFVMVSVATVGYGDQVPDLDNPVSVLVTCVLLIFGALYLAMPLAIIGIKYEL TWLRYELKVKRTTTSLSKKSTARHPSTMKSPFAQHHAALPSEDIHPSVHAAYTLYLDL VKDVMTLEAIVQTIMNIPPHEALDSHQSRLHAESLVKLNHMCKQVIVLYQKLMLEMRV FQPTRHAGLVPGESPNDRFRSRSSSITSIASDVIHRAKRVIQQKITRPMYDRQNSTLN GVRLPIRKRLRLVLEQQTSSHAIWVNWFFYINALLGVFMCYAETTPELQAYGPSTILC RRAMGIYCGQPGRTSVSDAGCFVWRSNDTVTSTKLSFDCDDNDRCFGFGWNFGSESAA MACDRSFALPERICQLRQCKTDHAPLADLTTSWIYPEAYFALVFTVEFALRVYATKRR GRFVRSVGSWLDIGAVIPFYAEMVASMIDNRPALFAIVPTFPTVISVLPILKTLRILK MGKHFKANAVLARTAALTYQRLLIPLIFLFLGCVAAGAIFYEVERGTQCFAHLPCLWW QLDIMTDDISALFPPHKRVQVQMDKVTIVTDMWRSTWLSIVTFTTVGYGDLKPRTPVG RLFDILATVFGSCYTAMPLSLIGGQFYYCYEQYFKQQQRGGVPDAPRLALETTPSSRN MSVLSIEDMDILKKCGVVVLLLDEMMQNVIKLNQASSCDVADGGDPTDLQTPQHATPT IETVKRTSSRGHVHRQPHLETYVHWTSDVFNQRISSSSFLGRGLNRVCPVNLGVDDDH MTDIRRRRELIQTAVRHLTTIMLQLSRVIERVMVVPDEELAASESDATE H257_00939 MATPSPAKVGSHPRGASQSRRNSRRDSMALADVMNNLPPEVLAT LEADVLNDKASTPHFHMTASQRDLSEAQKIRQGGWRRQLRKLFKQPRTSRGGRVYHYT MLGAIVGNFLPMILETLDGPANGGSDPTYPFLPYRSTYFAWEVMFTSLFGLDLLVKVM IAKRQRKFWSRINSWIDVLGILPLLLSLFMQYQMGWSEDRRTHIERYMKLLRLFRIFR VAYMLREVDGIQVLRTTIVECIPPLQITAFFLITLVMMFATVLYYAEPCYNYTKCQFT DIFNAGYFVMVSVATVGYGDQVPDLDNPVSVLVTCVLLIFGALYLAMPLAIIGIKYEL TWLRYELKVKRTTTSLSKKSTARHPSTMKSPFAQHHAALPSEDIHPSVHAAYTLYLDL VKDVMTLEAIVQTIMNIPPHEALDSHQSRLHAESLVKLNHMCKQVIVLYQKLMLEMRV FQPTRHAGLVPGESPNDRFRSRSSSITSIASDVIHRAKRVIQQKITRPMYDRQNSTLN GVRLPIRKRLRLVLEQQTSSHAIWVNWFFYINALLGVFMCYAETTPELQAYGPSTILC RRAMGIYCGQPGRTSVSDAGCFVWRSNDTVTSTKLSFDCDDNDRCFGFGWNFGSESAA MACDRSFALPERICQLRQCKTDHAPLADLTTSWIYPEAYFALVFTVEFALRVYATKRR GRFVRSVGSWLDIGAVIPFYAEMVASMIDNRPALFAIVPTFPTVISVLPILKTLRILK MGKHFKANAVLARTAALTYQRLLIPLIFLFLGCVAAGAIFYEVERGTQCFAHLPCLWW QLDIMTDDISALFPPHKRVQVQMDKVTIVTDMWRSTWLSIVTFTTVGYGDLKPRTPVG RLFDILATVFGSCYTAMPLSLIGGQFYYCYEQYFKQQQRGGVPDAPRLALETTPSSRN MSVLSIEDMDILKKCGVVVLLLDEMMQNVIKLNQASSCDVADGGDPTDLQTPQHATPT IETVKRTSSRGHVHRQPHLETYVHWTSDVFNQRISSSSFLGRGLNRVCPVNLGVDDDH MTDIRRRRELIQTAVRHLTTIMLQLSRVIERVMVVPDEELAASESDATE H257_00939 MATPSPAKVGSHPRGASQSRRNSRRDSMALADVMNNLPPEVLAT LEADVLNDKASTPHFHMTASQRDLSEAQKIRQGGWRRQLRKLFKQPRTSRGGRVYHYT MLGAIVGNFLPMILETLDGPANGGSDPTYPFLPYRSTYFAWEVMFTSLFGLDLLVKVM IAKRQRKFWSRINSWIDVLGILPLLLSLFMQYQMGWSEDRRTHIERYMKLLRLFRIFR VAYMLREVDGIQVLRTTIVECIPPLQITAFFLITLVMMFATVLYYAEPCYNYTKCQFT DIFNAGYFVMVSVATVGYGDQVPDLDNPVSVLVTCVLLIFGALYLAMPLAIIGIKYEL TWLRYELKVKRTTTSLSKKSTARHPSTMKSPFAQHHAALPSEDIHPSVHAAYTLYLDL VKDVMTLEAIVQTIMNIPPHEALDSHQSRLHAESLVKLNHMCKQVIVLYQKLMLEMRV FQPTRHAGLVPGESPNDRFRSRSSSITSIASDVIHRAKRVIQQKITRPMYDRQNSTLN GVRLPIRKRLRLVLEQQTSSHAIWVNWFFYINALLGVFMCYAETTPELQAYGPSTILC RRAMGIYCGQPGRTSVSDAGCFVWRSNDTVTSTKLSFDCDDNDRCFGFGWNFGSESAA MACDRSFALPERICQLRQCKTDHAPLADLTTSWIYPEAYFALVFTVEFALRVYATKRR GRFVRSVGSWLDIGAVIPFYAEMVASMIDNRPALFAIVPTFPTVISVLPILKTLRILK MGKHFKANAVLARTAALTYQRLLIPLIFLFLGCVAAGAIFYEVERGTQCFAHLPCLWW QLDIMTDDISALFPPHKRVQVQMDKVTIVTDMWRSTWLSIVTFTTVGYGDLKPRTPVG RLFDILATVFGSCYTAMPLSLIGGQFYYCYEQYFKQQQRGGVPDAPRLALETTPSSRN MSVLSIEDMDILKKCGVVVLLLDEMMQNVIKLNQASSCDVADGGDPTDLQTPQHATPT IETVKRTSSRGHVHRQPHLETYVHWTSDVFNQRISSSSFLGRGLNRVCPVNLGVDDDH MTDIRRRRELIQTAVRHLTVRRM H257_00939 MATPSPAKVGSHPRGASQSRRNSRRDSMALADVMNNLPPEVLAT LEADVLNDKASTPHFHMTASQRDLSEAQKIRQGGWRRQLRKLFKQPRTSRGGRVYHYT MLGAIVGNFLPMILETLDGPANGGSDPTYPFLPYRSTYFAWEVMFTSLFGLDLLVKVM IAKRQRKFWSRINSWIDVLGILPLLLSLFMQYQMGWSEDRRTHIERYMKLLRLFRIFR VAYMLREVDGIQVLRTTIVECIPPLQITAFFLITLVMMFATVLYYAEPCYNYTKCQFT DIFNAGYFVMVSVATVGYGDQVPDLDNPVSVLVTCVLLIFGALYLAMPLAIIGIKYEL TWLRYELKVKRTTTSLSKKSTARHPSTMKSPFAQHHAALPSEDIHPSVHAAYTLYLDL VKDVMTLEAIVQTIMNIPPHEALDSHQSRLHAESLVKLNHMCKQVIVLYQKLMLEMRV FQPTRHAGLVPGESPNDRFRSRSSSITSIASDVIHRAKRVIQQKITRPMYDRQNSTLN GVRLPIRKRLRLVLEQQTSSHAIWVNWFFYINALLGVFMCYAETTPELQAYGPSTILC RRAMGIYCGQPGRTSVSDAGCFVWRSNDTVTSTKLSFDCDDNDRCFGFGWNFGSESAA MACDRSFALPERICQLRQCKTDHAPLADLTTSWIYPEAYFALVFTVEFALRVYATKRR GRFVRSVGSWLDIGAVIPFYAEMVASMIDNRPALFAIVPTFPTVISVLPILKTLRILK MGKHFKANAVLARTAALTYQRLLIPLIFLFLGCVAAGAIFYEVERGTQCFAHLPCLWW QLDIMTDDISALFPPHKRVQVQMDKVTIVTDMWRSTWLSIVT H257_00939 MATPSPAKVGSHPRGASQSRRNSRRDSMALADVMNNLPPEVLAT LEADVLNDKASTPHFHMTASQRDLSEAQKIRQGGWRRQLRKLFKQPRTSRGGRVYHYT MLGAIVGNFLPMILETLDGPANGGSDPTYPFLPYRSTYFAWEVMFTSLFGLDLLVKVM IAKRQRKFWSRINSWIDVLGILPLLLSLFMQYQMGWSEDRRTHIERYMKLLRLFRIFR VAYMLREVDGIQVLRTTIVECIPPLQITAFFLITLVMMFATVLYYAEPCYNYTKCQFT DIFNAGYFVMVSVATVGYGDQVPDLDNPVSVLVTCVLLIFGALYLAMPLAIIGIKYEL TWLRYELKVKRTTTSLSKKSTARHPSTMKSPFAQHHAALPSEDIHPSVHAAYTLYLDL VKDVMTLEAIVQTIMNIPPHEALDSHQSRLHAESLVKLNHMCKQVIVLYQKLMLEMRV FQPTRHAGLVPGESPNDRFRSRSSSITSIASDVIHRAKRVIQQKITRPMYDRQNSTLN GVRLPIRKRLRLVLEQQTSSHAIWVNWFFYINALLGVFMCYAETTPELQAYGPSTILC RRAMGIYCGQPGRTSVSDAGCFVWRSNDTVTSTKLSFDCDDNDRCFGFGWNFGSESAA MACDRSFALPERICQLRQCKTDHAPLADLTTSWIYPEAYFALVFTVEFALRVYATKRR GRFVRSVGSWLDIGAVIPFYAEMVASMIDNRPALFAIVPTFPTVISVLPILKTLRILK MGKHFKANAVLARTAALTYQRLLIPLIFLFLGCVAAGAIFYEVERGTQCFAHLPCLWW QLDIMTDDISALFPPHKRVQVQMDKVTIVTDMWRSTWLSIVT H257_00941 MGAASSHGAPAAKAVVTSTRKVVQTSIKREDLMKKRHLRVVATR GVLEMDNRVLHEAERFEDTVENVEYLETDMTAFHATAAGRRATNDGPMRMPTDKTHAA NSMTLVDDIPGRFTDRQFRELLRLHRESPVQWPVPRLAMHFGADVTTIHNIVNHCCPP KITPPSTTVSHPIGTWWEVGTSNPALST H257_00942 MAARATYNFTKTWLTDPSTYPIIAIMTVALTGASFTMMRYSTQH PDVHFDKDRRQDFFTYQPGEGEHWRAHRFTLANGKRNPINQSQLFDPMFERPENHHIH R H257_00943 MAARATYNFTKTWLTDPSTYPIIAIMTVALTGASFTMMRYSTQH PDVHFDKDRRQDFFTYQPGEGEHWRAHRFTLANGKRNPINQSQLFDPMFERPENHHIH R H257_00944 MSKLKPRAQRTQSVPDVLSSPLPLYKLPAEAKVAKTGCPLRLQE LPVVRHLLNSPTSPEPILVPTSPPTSTAVAPPIPLPVDDSDDDEDETTQRTTVYSYYD PALTHSEAASTLQFDSVFESGNLLRADRVRRIDHPIQHDDDAYHEYDLYIHPDINNSA YRQWFYFSVTNGLPGTSYRFAIVNLAKSAALFKVGLQPVVYSELDAADNNVGWRHRGH SISYEASTRHEGANTLSFTYTFDRPGDRVYFACIQPYTYTDLQEYLDALGNDPERSVV CRRTELCLTLAGNSCDLLTITAPGKEGKSVDGRRVVVISARVHPGEANSSFMMKGMMD YLTSNACGAVVLRNNFIFKIAPMLNPDGVINGNTRVNLAGWDLNRKWAYPVEKLFPTI FHLKRLITNYQRPQPPHGAPRVAIYCDLHGHSIQRNIFTYGCHKAPSRKVKPPGPKLI ASDDSRVFPMLVAKQSDMFSFAHCNFKVQPSKRNTARVVVHQELGVINSYTLEASFCG PDFGGRKDTQFSIADLEAMGVHWCRTLLVYFDLTGEVAAAEQDAVATSNIPREPQPHD CSTDNLHAFTDHAATPASLTSTTLPTTEEQQHVTPHEANVDERLLWNVNLLSACESEL CGLTEMALKEGGLFEEVDMCCNDCDSDVSEAADDAIAPNHEVYAARPKKTTKKVKKTK KGKKAAGKKMKKPKKKNRKDDTYRGAEVVLKLSKSSAGAIVVPDSTPLAGLLVGRPRS SLDLSIDLPSNVYMHLKQRPSSSSSVLSVSPTFALASDLADPVDASRGLVRPTSG H257_00944 MSKLKPRAQRTQSVPDVLSSPLPLYKLPAEAKVAKTGCPLRLQE LPVVRHLLNSPTSPEPILVPTSPPTSTAVAPPIPLPVDDSDDDEDETTQRTTVYSYYD PALTHSEAASTLQFDSVFESGNLLRADRVRRIDHPIQHDDDAYHEYDLYIHPDINNSA YRQWFYFSVTNGLPGTSYRFAIVNLAKSAALFKVGLQPVVYSELDAADNNVGWRHRGH SISYEASTRHEGANTLSFTYTFDRPGDRVYFACIQPYTYTDLQEYLDALGNDPERSVV CRRTELCLTLAGNSCDLLTITAPGKEGKSVDGRRVVVISARVHPGEANSSFMMKGMMD YLTSNACGAVVLRNNFIFKIAPMLNPDGVINGNTRVNLAGTMHPVYIYIISTIGWDLN RKWAYPVEKLFPTIFHLKRLITNYQRPQPPHGAPRVAIYCDLHGHSIQRNIFTYGCHK APSRKVKPPGPKLIASDDSRVFPMLVAKQSDMFSFAHCNFKVQPSKRNTARVVVHQEL GVINSYTLEASFCGPDFGGRKDTQFSIADLEAMGVHWCRTLLVYFDLTGEVAAAEQDA VATSNIPREPQPHDCSTDNLHAFTDHAATPASLTSTTLPTTEEQQHVTPHEANVDERL LWNVNLLSACESELCGLTEMALKEGGLFEEVDMCCNDCDSDVSEAADDAIAPNHEVYA ARPKKTTKKVKKTKKGKKAAGKKMKKPKKKNRKDDTYRGAEVVLKLSKSSAGAIVVPD STPLAGLLVGRPRSSLDLSIDLPSNVYMHLKQRPSSSSSVLSVSPTFALASDLADPVD ASRGLVRPTSG H257_00945 MGVRDLRIVARVIMSAAPLALPRVEVLSKTNMSLTVSMSPASTK MQLAYSEYGYVYYSWTEVDVVSSPCTIKGLQPNTCYVAKARHWNDESNGWSEYGPISQ YMRTFSEEEETKRSSTYYEHALKLEKEQRQEMQHQIEKLQRMLTDPGSKKRQPTPQEN LMESRMDVEATITKLKSEIKVQALSMESLEKMRRMDEQVITDLLAEQEKLRQLQTQQA PHEQQEIERLKAMLDANEAKLKKHQDQISTKQGQIQAFEASLASKQTEIARREGEVER LMDDCRRMMQEHADVAHCKQLELEDALLDAKQSLEKQLENNNLMHDEMQRLRHENAAL KQSIEEFDSKIAPKLVHLEEENAELKLRLGRT H257_00947 MLDYMTSRRVYVGHVPAWLLAEKKAQDFFAKYGQVTALDIHRDP RDTQATGFCYVTFATVEEATLAETQMQQEYAAKESQMVARIAFARGLPHVEKEVPTNL TDKLVHVSQKHPSQSQTNYNEDDDDDLLFFSQLSQRSSQHVGSRRSHSEH H257_00946 MSKWVPYKPLKLDAYLSPELRDKLRKLLVFNVQTGLDQDNQSPS ASQNFRYPSPASVEAKAHIPNTTKKNLYSTQYYTRDARRHAKFNEVNVGFHPSLGVKP LAQLPADTPLGSPGNKNPAVLIYDPTGTRSAMSTTHAARDALIEATADLESSQCVKFE WEGSEDAIIAEYELNGTPPVPGRPFPWDMPAQSRIERW H257_00948 MKAERCLPERLSHHLSWLTQYDFPRDFKFDLIAGITVALAIVPQ EISLASIMHVPAQYGIYTAILTPLLYALLGSSRVLSVANGSEVSLMVGAALQHIPSVD ERVAVGIFLSFYVGMINLALGYFKLGVIADFFSRPVMGGFLSAGGVLIMISQLGPLLQ LPLPPSTYPLQTLYDIGAHFSRINWTSFGVGSMAIALNAAMKQAKRHWIPYVALADLF DAKKKSTTPSDDHVKDFNIHTPKGDDDDGNHPTWGFSLHDHEYATLDVLTTALRAQSV ARNQWWRTLGFFLLRTICDLGPLVICTVGIVVGCIVGEHRVDVLGHIPTGFPAPRLPW YGYTDGVITSVRFADVSIQASSIALVVYMTSIAIAKRLAVRDHYTVHPNQELVGLGVA SALGAFFQVMPPTGGMSRTAVNMQNARTQMSSIVTVALVVFVLLVGTEVLYYLPKACL AANIIVAGFWLIETDEAKWLYRAKRDEYYVWLASCLLTVGLGILPGLVASIVCSLVAV MVQTKQPEVSTLARVNAPGPTHTVQYVPMASAAGPSSVMLCATSASIMVDILVLRIEG SLYFGNCNNAMELLQRATTTYQQIHHVVLSGVVVDVAYVQDMDATTMQMIERFARALS RDTIGLCLANAQPKLARSLNASGVCHVLFVADVASSIDDTILIMRATNEGDDDPLL H257_00949 MVKGGNAKRRVFRNSFDPGGACLAPLRSISTPMWSPRLPQVMSV IKLMRFQTSQSSLPRRSSKPSPKHLWQLRPQVDGDGGDVEASWGPVVVCPSVSLPGFK RWLDAKEGLLRRWTFEWL H257_00950 MHLFSKPSRSWVAHLAWLPQYNFRRDFKFDFIAGITVAMMIVPQ EISLANIMHVPPQYGLYTAALTPVLYALFGSSRVLSVANGAEVSLMVGAALQNIKTDQ ERVAVGIFLSFYIGLINLTLGCLQLGVIADFFSRPVMGGFLSGGGVLIMISQLGSWFQ LTLPSTSYPLETVVNLCRQIRDCNTNSVVVGAVSIVVLSVMKYAKQHWIPNPSLTQLF DEPLVVDVTAMSNQNDMNAALVQTPTEGAQHPTWGFSLDDQEYASLEPVPPMIKSNST VKHKSRQVLFFVLRTICDLGPLVICLFGIVVGRAVGEKQIKVTGHVPKGFPSPKFPWY GYTGDIIKSVDFSDVTVNALSIALIVYMTSIAMAKRLALRDGYEVSPTNEFIGLGMAS AIGSFFQVMPPTGGMSRTAVNMQSARTQLASVITVTLVILVLMVGTDSLYFLPKASLA AIIIVAGFWLIEMDEAKWLYRSKRDEFYVWLASFLATIGLGILAGLGASIVCSLVALM IKTKRPLVYALGRSGDLYVNAQENPDATTELDTIVMRVEGSLYFGNSEYAMQVILAQV VLQDKVRAIVIDATYIHDMDATTLQIMDTILLKLSPIKLAFANAQPHLAHVIKVSGLT DKLSVPEVTESIDHTIARLRALEVPGVAF H257_00951 MPIPLRLETSNAMTTSTNTAPDERATLLYKPHDDPKIKWAQPWL AHLNWIPHYNLRRDLKLDLIAGVTVAMMIVPQEISLANIMHVPPQYGLYTAALTPVLY ALFGSSRVLSVANGAEVSLMVGAALQNIKTDQERVAVGIFLSFYIGLINLTLGCLQLG VIADFFSRPVMGGFLSGGGVLIMISQLGSWFQLTLPSTSYPLETVVNLCRQIRDCNTN SVVVGAVSIVVLSVMKYAKQHWIPAPKVSHLFESTRNIKCTRAGESLVSLTEEPDAPA QLPAWGFSLNDDDFVSLDEQAPAKPPICSNKRRNVLLFLLRTCCDLGPLVVCLIGIVV GQFLGESEVKVIGHVPRGVPAPVLPWYGYATGIIQSVDFTTLTVHALSMALIVYMTSI AMTKRLALRVHSDVNSSQELIGLGMASALGAFFQVMPPTGGMSRTAVNMQVARTQLAS TVTSLLVVLMLMVGMDALYFLPKASLAAVIIVAGFYLVEIHEATWLFHSKRDEFFVWL ASFAATIGMGILPGLGVSILCSLVALMIQTKRPLVYALGRSRSSGAYVNTRENADAIV DADIVVVRVQGSLYFGNTDYAMQRILSLHHDVATAVVLDATYIHDMDATTLGGLEMLH MKLAQRGVHFAVANGQPRLANILQTSGVCAKLSLADVTSSVDATMARLRATVVAVAAG V H257_00952 MADDVVAAQVPKALPMISSDAGILQDHHDDDDDDTAHRKKKDER KAAARKRKHERKSHAAVKIQAHVRGHQARTKHRRGPRLDHLTTSSLVVPVLQLSSTEQ LVSPKSTRRLCRQESIPEAAERQSARHGGPSLDVLSPAALGQPTSARSQARLETAHHT LPPLLSARQTSALKLTPCAPPHEEQTRRATQCACIANECGLSHGLIRIQATFRGHEGR MEAMRQREKVDATVRHEAEVEVAREERAATDIQAVYRGHHIRKCLAEVQPWRHDVSSI RPSGQHNASEAKGKPGHSPSSDLTPSTKHTDGDEDKKSRSWPASMTLQTQLDVTNHTT QIVTSRGQVRVLVMTWNLQAQKPPADLTLLLRPGSCHIYAIGTEECVQSIAKSVLFQS KKEWEDQLKDTLGATYVKLRSHALTAMHNVVFVHTSILPLVSELHSDAIATGLGNQLG NKGGVGIGFMLGRTSFAFVGCHFEAHQSQQALIRRNANFHKVNSELQLVAPSDEHRKG PIASTFDRVFWSGDLNYRIDGTRKMIDDLLARNFHDVLVVNDQLRKEMAAGRVFQRFR EGPLNFKPTYKFDKGCDDYDTSAKQRIPSWTDRILYMSAATPAAIALLAYESHMDVKT SDHRPVTAIFDVEFVCDVNGLDKATHADQTKSEVCAVQ H257_00952 MADDVVAAQVPKALPMISSDAGILQDHHDDDDDDTAHRKKKDER KAAARKRKHERKSHAAVKIQAHVRGHQARTKHRRGPRLDHLTTSSLVVPVLQLSSTEQ LVSPKSTRRLCRQESIPEAAERQSARHGGPSLDVLSPAALGQPTSARSQARLETAHHT LPPLLSARQTSALKLTPCAPPHEEQTRRATQIQATFRGHEGRMEAMRQREKVDATVRH EAEVEVAREERAATDIQAVYRGHHIRKCLAEVQPWRHDVSSIRPSGQHNASEAKGKPG HSPSSDLTPSTKHTDGDEDKKSRSWPASMTLQTQLDVTNHTTQIVTSRGQVRVLVMTW NLQAQKPPADLTLLLRPGSCHIYAIGTEECVQSIAKSVLFQSKKEWEDQLKDTLGATY VKLRSHALTAMHNVVFVHTSILPLVSELHSDAIATGLGNQLGNKGGVGIGFMLGRTSF AFVGCHFEAHQSQQALIRRNANFHKVNSELQLVAPSDEHRKGPIASTFDRVFWSGDLN YRIDGTRKMIDDLLARNFHDVLVVNDQLRKEMAAGRVFQRFREGPLNFKPTYKFDKGC DDYDTSAKQRIPSWTDRILYMSAATPAAIALLAYESHMDVKTSDHRPVTAIFDVEFVC DVNGLDKATHADQTKSEVCAVQ H257_00952 MADDVVAAQVPKALPMISSDADHHDDDDDDTAHRKKKDERKAAA RKRKHERKSHAAVKIQAHVRGHQARTKHRRGPRLDHLTTSSLVVPVLQLSSTEQLVSP KSTRRLCRQESIPEAAERQSARHGGPSLDVLSPAALGQPTSARSQARLETAHHTLPPL LSARQTSALKLTPCAPPHEEQTRRATQCACIANECGLSHGLIRIQATFRGHEGRMEAM RQREKVDATVRHEAEVEVAREERAATDIQAVYRGHHIRKCLAEVQPWRHDVSSIRPSG QHNASEAKGKPGHSPSSDLTPSTKHTDGDEDKKSRSWPASMTLQTQLDVTNHTTQIVT SRGQVRVLVMTWNLQAQKPPADLTLLLRPGSCHIYAIGTEECVQSIAKSVLFQSKKEW EDQLKDTLGATYVKLRSHALTAMHNVVFVHTSILPLVSELHSDAIATGLGNQLGNKGG VGIGFMLGRTSFAFVGCHFEAHQSQQALIRRNANFHKVNSELQLVAPSDEHRKGPIAS TFDRVFWSGDLNYRIDGTRKMIDDLLARNFHDVLVVNDQLRKEMAAGRVFQRFREGPL NFKPTYKFDKGCDDYDTSAKQRIPSWTDRILYMSAATPAAIALLAYESHMDVKTSDHR PVTAIFDVEFVCDVNGLDKATHADQTKSEVCAVQ H257_00952 MADDVVAAQVPKALPMISSDADHHDDDDDDTAHRKKKDERKAAA RKRKHERKSHAAVKIQAHVRGHQARTKHRRGPRLDHLTTSSLVVPVLQLSSTEQLVSP KSTRRLCRQESIPEAAERQSARHGGPSLDVLSPAALGQPTSARSQARLETAHHTLPPL LSARQTSALKLTPCAPPHEEQTRRATQIQATFRGHEGRMEAMRQREKVDATVRHEAEV EVAREERAATDIQAVYRGHHIRKCLAEVQPWRHDVSSIRPSGQHNASEAKGKPGHSPS SDLTPSTKHTDGDEDKKSRSWPASMTLQTQLDVTNHTTQIVTSRGQVRVLVMTWNLQA QKPPADLTLLLRPGSCHIYAIGTEECVQSIAKSVLFQSKKEWEDQLKDTLGATYVKLR SHALTAMHNVVFVHTSILPLVSELHSDAIATGLGNQLGNKGGVGIGFMLGRTSFAFVG CHFEAHQSQQALIRRNANFHKVNSELQLVAPSDEHRKGPIASTFDRVFWSGDLNYRID GTRKMIDDLLARNFHDVLVVNDQLRKEMAAGRVFQRFREGPLNFKPTYKFDKGCDDYD TSAKQRIPSWTDRILYMSAATPAAIALLAYESHMDVKTSDHRPVTAIFDVEFVCDVNG LDKATHADQTKSEVCAVQ H257_00953 MKTARLVKLSKKWLRSPATISNIAILTCAVAGAGATFKRYAVFE DVESDKSMDESTPHQHAAHHELEQFDVFMMMMMR H257_00954 MTKVEVLRLVAPPPPMLKELRRKSTEAHVHRHHGPTAAEMRRCS TSIADLVNTLEESSNAMLTPITVQRKFHSHHKAPPPNTWRRRVRQLIKYPHATMLGRV RHYGLLFAIVVNFVPMMMETMDGPAHGGSDPRYPLLPSTTTYFYLDVVFTAVFGLDFA VRWVVAKRQRKFWAQLVTWIDVLGLLHLVGMVVMTYLLHWNQALISSVEKYLQLLRLF RIVRVTYMLRNVSGIRVLQATLLECVHPLQITLFFLVTIVMMLATVLYYAQPCYDDTK CTFTDIINTSYYIMVTVATVGYGDQVPDVTNPLAVVVATVAMIFGALYLAMPFAIIGI KYELTWRRFENVSRTTRSTLELHAALKAISIQPLHPQSNHANLHMHRTIGAIARLARL VDAYITIPNDRLFMDDNTLNQAFMELHAAGSHAIQTFQTLLSVLFPLLPPDFDFSMPR ASFVAHPPGMPRRGSSLLLFAGDILTKARKRVGQLQRADTPINLLDPNLSFRRRLRFS LRSTSYGSWANRFYLSSVLLSVVVFYAESVPELQAFGPTSHLCHMVMEAYCNSAASSS VEASPGCYVAAATTIEPPAKVTFYCDEDTDPAKQLQSCFGMGLNYGGNESTMECATSF AQPSKVCNLRECKRDHVPVIDLTRQWIYIEVYLAVAFTLELSLRFYVSNHRRRFLRSS STWIDILAIIPFYAQTMTGLAAGRRPVYVSSPVFPTLLSVLPIMKTIRILKLGWYFQG SAVLARTAALTYERLAIPLFFLFLACASAGAIFFEVERGTSCKAGVPCMYFHTDLMTA AIAAQFPYGKHIQLHVEGLVKLKDMWRTTWMSAVTLTGVGYGDLTPKTPAGCLFDMLT MVFGSCYTAMPLSLIGGQFYYCYEQYIKETRVQQPHTYPSAYHGLLIRVAWLLADSTR RGQGASSRSRSSTRPRRQGHFSPASEGSAGALSSCMRPLVLRALHRGSRGRNDDPSVQ AQPHAAQPSGSQHSSRDVDGIGLGHVVLVHRNNTAPPTEIADGAACVCFDGRTVAGSG DEQCSRQIQASVGVATAGSICAVSYHDDEFAIASRDRNSSGHDHRSRRQKLTTITLSF H257_00954 MTKVEVLRLVAPPPPMLKELRRKSTEAHVHRHHGPTAAEMRRCS TSIADLVNTLEESSNAMLTPITVQRKFHSHHKAPPPNTWRRRVRQLIKYPHATMLGRV RHYGLLFAIVVNFVPMMMETMDGPAHGGSDPRYPLLPSTTTYFYLDVVFTAVFGLDFA VRWVVAKRQRKFWAQLVTWIDVLGLLHLVGMVVMTYLLHWNQALISSVEKYLQLLRLF RIVRVTYMLRNVSGIRVLQATLLECVHPLQITLFFLVTIVMMLATVLYYAQPCYDDTK CTFTDIINTSYYIMVTVATVGYGDQVPDVTNPLAVVVATVAMIFGALYLAMPFAIIGI KYELTWRRFENVSRTTRSTLELHAALKAISIQPLHPQSNHANLHMHRTIGAIARLARL VDAYITIPNDRLFMDDNTLNQAFMELHAAGSHAIQTFQTLLSVLFPLLPPDFDFSMPR ASFVAHPPGMPRRGSSLLLFAGDILTKARKRVGQLQRADTPINLLDPNLSFRRRLRFS LRSTSYGSWANRFYLSSVLLSVVVFYAESVPELQAFGPTSHLCHMVMEAYCNSAASSS VEASPGCYVAAATTIEPPAKVTFYCDEDTDPAKQLQSCFGMGLNYGGNESTMECATSF AQPSKVCNLRECKRDHVPVIDLTRQWIYIEVYLAVAFTLELSLRFYVSNHRRRFLRSS STWIDILAIIPFYAQTMTGLAAGRRPVYVSSPVFPTLLSVLPIMKTIRILKLGWYFQG SAVLARTAALTYERLAIPLFFLFLACASAGAIFFEVERGTSCKAGVPCMYFHTDLMTA AIAAQFPYGKHIQLHVEGLVKLKDMWRTTWMSAVTLTGVGYGDLTPKTPAGCLFDMLT MVFGSCYTAMPLSLIGGQFYYCYEQYIKETRPTAQGVAKVLAAGAVHPPDPDVKVTSV PQVKVAPVLSRPACDLLSSARSIVAVVDEMMTHLYKLNRMRPNPVVLSIPLETSMVSG LGMSSSFTAITPPPRRKSLMARLASASMGGLSPVQVTSNAADKYKQALELRRLVRSAL SAITTMSLQLPRVIETVVDMTTEVDDKS H257_00954 MTKVEVLRLVAPPPPMLKELRRKSTEAHVHRHHGPTAAEMRRCS TSIADLVNTLEESSNAMLTPITVQRKFHSHHKAPPPNTWRRRVRQLIKYPHATMLGRV RHYGLLFAIVVNFVPMMMETMDGPAHGGSDPRYPLLPSTTTYFYLDVVFTAVFGLDFA VRWVVAKRQRKFWAQLVTWIDVLGLLHLVGMVVMTYLLHWNQALISSVEKYLQLLRLF RIVRVTYMLRNVSGIRVLQATLLECVHPLQITLFFLVTIVMMLATVLYYAQPCYDDTK CTFTDIINTSYYIMVTVATVGYGDQVPDVTNPLAVVVATVAMIFGALYLAMPFAIIGI KYELTWRRFENVSRTTRSTLELHAALKAISIQPLHPQSNHANLHMHRTIGAIARLARL VDAYITIPNDRLFMDDNTLNQAFMELHAAGSHAIQTFQTLLSVLFPLLPPDFDFSMPR ASFVAHPPGMPRRGSSLLLFAGDILTKARKRVGQLQRADTPINLLDPNLSFRRRLRFS LRSTSYGSWANRFYLSSVLLSVVVFYAESVPELQAFGPTSHLCHMVMEAYCNSAASSS VEASPGCYVAAATTIEPPAKVTFYCDEDTDPAKQLQSCFGMGLNYGGNESTMECATSF AQPSKVCNLRECKRDHVPVIDLTRQWIYIEVYLAVAFTLELSLRFYVSNHRRRFLRSS STWIDILAIIPFYAQTMTGLAAGRRPVYVSSPVFPTLLSVLPIMKTIRILKLGWYFQG SAVLARTAALTYERLAIPVHNSRLVWFGLFMEGMIAVFPISRVCVRGGHLF H257_00955 MKLYASSSPVWLFQPGFSTWRRPLGDHDLCCVMFSSTFLNELYD RWNPLLYFNTNLVAKNIHRYCAAINSRGAPTSRVFGCIDGTKLQVCRIGPTGNGDNLQ KEIYSGHKRMHCLNYQAVTAPDGLCIHFFGPVEGRRHHTT H257_00956 MPTPVKTRFSDTEDILILREVNARLPFNAKRGSVMLAWNAVADA VSTNHDFAWPGFDGKRALNRFTLLMEGHCANNDVSARASGIDEDHDEKTQLLDELLAV YEDSKAQDKARLVAAQEEADRIENMGATIREEALQSLGKQKAGPTDPDGSSAGGGGGC SVVMKMMKMMQDDSAADLTFRQHQYETDRKEREAVRAIDMTP H257_00957 MPSVEEKGSVMPLPDSVRDEKATAMTEETSASIPEDLAVVATSP FAPPSDHVLGTAEVSNMRMESMSSSTRTNSDRSKTFRSRLRMRIVDHPEGSRIGRVYH TVFLFVVVCNLVSLMLETLDGPNHGSSDPVYPMLPFAKSYVISDMFFTGIFTVDLMVK CAIAKSQKKFWTSVVTIMDVLAVLPLYILVAKAGGTLKLTNSESPLPSDQYIKLLRLF RIIRVVNMLKNKSGMRILYLTVKGSLAPLTITLFFLITFIMLFATILYYAQPCYNVST CVFTDIFNAGYFAMVTVATVGYGDQVVDLNNVIAVLVTCVMMIFGALFLAMPLAIIGI KYEMLWTKHEADRKSISRAESFRLTGKPPPQNADALLHGPADRNRDDATSQLIDTLLR AIKAHKDMSKTIKALVPRELLQPNSIAKLPKLSESSPSRHATFKQSIISRAKRAMSGA AHPDDAAQHTLDATIPFRRRLFLLLERPHSSRQANYLNKFFLVTVIMSMLLFYAETTP ELQAYGMHTELCHRALESYCTQSSRSQATDPGCFVHVNPNVSTSMLLDFHCDDSATPD ASNVSPCVGVGWNYGSNDTAIVCASSFVDKDKICKLRQCLSGHVPMFDMSTKWIYLEL YFGFVFTAEWLLRFYAARHRLAFVRSFGTWIDTLAIMPFYAELITGLVGGVTPMFAIV PTFPTFLSVLPMTKTLRIFKLARHFKATMVLARTAELTYQRLLIPLFFLFLGCVSAGA IFYEIERGTQCLAKQPCKWWNLNIMTREMGDPYPYGKRIQVQVDKITIVTDMLRSSWM SIVTFTSVGYGDMRPRTPVGKIADIMAMVLGSFYTAMPLSLIGGQFYACYEQFLKDSS RRLVGSNATNLTIDDVIANKQRQRSVFGVVDMPLLNQFVAINRLLNEVILNACRLNAM ACQSARLGNTRPPLPPLLDSVLQNAERTVQSPRRFSSSSRPSSRSAANVVDAAAAIRA MEKKVQTVEALVCNITEACALAQTIILSFSIIVEKIVLEADDVQGESEAPSPPRRDGQ RGEGVYSAAITSTVPPQPNYSPEDISIVDGPT H257_00957 MPSVEEKGSVMPLPDSVRDEKATAMTEETSASIPEDLAVVATSP FAPPSDHVLGTAEVSNMRMESMSSSTRTNSDRSKTFRSRLRMRIVDHPEGSRIGRVYH TVFLFVVVCNLVSLMLETLDGPNHGSSDPVYPMLPFAKSYVISDMFFTGIFTVDLMVK CAIAKSQKKFWTSVVTIMDVLAVLPLYILVAKAGGTLKLTNSESPLPSDQYIKLLRLF RIIRVVNMLKNKSGMRILYLTVKGSLAPLTITLFFLITFIMLFATILYYAQPCYNVST CVFTDIFNAGYFAMVTVATVGYGDQVVDLNNVIAVLVTCVMMIFGALFLAMPLAIIGI KYEMLWTKHEADRKSISRAESFRLTGKPPPQVLQIESMRLAPMANVVNMQFVDLCEVT SYLSRDCATFVSFMNADALLHGPADRNRDDATSQLIDTLLRAIKAHKDMSKTIKALVP RELLQPNSIAKLPKLSESSPSRHATFKQSIISRAKRAMSGAAHPDDAAQHTLDATIPF RRRLFLLLERPHSSRQANYLNKFFLVTVIMSMLLFYAETTPELQAYGMHTELCHRALE SYCTQSSRSQATDPGCFVHVNPNVSTSMLLDFHCDDSATPDASNVSPCVGVGWNYGSN DTAIVCASSFVDKDKICKLRQCLSGHVPMFDMSTKWIYLELYFGFVFTAEWLLRFYAA RHRLAFVRSFGTWIDTLAIMPFYAELITGLVGGVTPMFAIVPTFPTFLSVLPMTKTLR IFKLARHFKATMVLARTAELTYQRLLIPLFFLFLGCVSAGAIFYEIERGTQCLAKQPC KWWNLNIMTREMGDPYPYGKRIQVQVDKITIVTDMLRSSWMSIVTFTSVGYGDMRPRT PVGKIADIMAMVLGSFYTAMPLSLIGGQFYACYEQFLKDSSRRLVGSNATNLTIDDVI ANKQRQRSVFGVVDMPLLNQFVAINRLLNEVILNACRLNAMACQSARLGNTRPPLPPL LDSVLQNAERTVQSPRRFSSSSRPSSRSAANVVDAAAAIRAMEKKVQTVEALVCNITE ACALAQTIILSFSIIVEKIVLEADDVQGESEAPSPPRRDGQRGEGVYSAAITSTVPPQ PNYSPEDISIVDGPT H257_00957 MPSVEEKGSVMPLPDSVRDEKATAMTEETSASIPEDLAVVATSP FAPPSDHVLGTAEVSNMRMESMSSSTRTNSDRSKTFRSRLRMRIVDHPEGSRIGRVYH TVFLFVVVCNLVSLMLETLDGPNHGSSDPVYPMLPFAKSYVISDMFFTGIFTVDLMVK CAIAKSQKKFWTSVVTIMDVLAVLPLYILVAKAGGTLKLTNSESPLPSDQYIKLLRLF RIIRVVNMLKVYHNTTSHLNKSGMRILYLTVKGSLAPLTITLFFLITFIMLFATILYY AQPCYNVSTCVFTDIFNAGYFAMVTVATVGYGDQVVDLNNVIAVLVTCVMMIFGALFL AMPLAIIGIKYEMLWTKHEADRKSISRAESFRLTGKPPPQVLQIESMRLAPMANVVNM QFVDLCEVTSYLSRDCATFVSFMNADALLHGPADRNRDDATSQLIDTLLRAIKAHKDM SKTIKALVPRELLQPNSIAKLPKLSESSPSRHATFKQSIISRAKRAMSGAAHPDDAAQ HTLDATIPFRRRLFLLLERPHSSRQANYLNKFFLVTVIMSMLLFYAETTPELQAYGMH TELCHRALESYCTQSSRSQATDPGCFVHVNPNVSTSMLLDFHCDDSATPDASNVSPCV GVGWNYGSNDTAIVCASSFVDKDKICKLRQCLSGHVPMFDMSTKWIYLELYFGFVFTA EWLLRFYAARHRLAFVRSFGTWIDTLAIMPFYAELITGLVGGVTPMFAIVPTFPTFLS VLPMTKTLRIFKLARHFKATMVLARTAELTYQRLLIPLFFLFLGCVSAGAIFYEIERG TQCLAKQPCKWWNLNIMTREMGDPYPYGKRIQVQVDKITIVTDMLRSSWMSIVTFTSV GYGDMRPRTPVGKIADIMAMVLGSFYTAMPLSLIGGQFYACYEQFLKDSSRRLVGSNA TNLTIDDVIANKQRQRSVFGVVDMPLLNQFVAINRLLNEVILNACRLNAMACQSARLG NTRPPLPPLLDSVLQNAERTVQSPRRFSSSSRPSSRSAANVVDAAAAIRAMEKKVQTV EALVCNITEACALAQTIILSFSIIVEKIVLEADDVQGESEAPSPPRRDGQRGEGVYSA AITSTVPPQPNYSPEDISIVDGPT H257_00958 MLKPWFTDEGWRMSAVPYLEGTLAFVCIVHVFETYLDYRQHKKL HETTLPDKLSRAIRHVDEQNQAKPNNDHVQDDNNDHDSNKSSLLAQTTAKFETSRLYS LDKSTFKFVHDSFHFVDGLAMLLLGYMPFMWQTSRRVLASWGYYDPMANEIPLSLVFV TLTAARDMLLSLPFDLYATFVVEERHGFNKQSLGLFFLDKVKSTLLTVAIGFPVLSAV LWIVRWGGDLFVVYVWTFTFVVTLILMTIVPTWIMPLFNSFNSLESGSLRDAIEALAA SVAFPLTKLFVCDGSKRSSHSNAYLFGFFNNKRIVLFDTLLTQASNAEVVAVVGHELG HWKLWHTVQNLVVQQVYIGAMFAVFARCMHDRDLFASFGFDSQSVDLPVVISLTLFTS TVWAPVDKLLQYVVTANTRANEFQADAFAVDLGHGEPLQSALTKISLENLANMNPDTL YSAYHYSHPPLVERLAAISKRMQDRRKHE H257_00958 MLKPWFTDEGWRMSAVPYLEGTLAFVCIVHVFETYLDYRQHKKL HETTLPDKLSRAIRHVDEQNQAKPNNDHVQDDNNDHDSNKSSLLAQTTAKFETSRLYS LDKSTFKFVHDSFHFVDGLAMLLLGYMPFMWQTSRRVLASWGYYDPMANEIPLSLVFV TLTAARDMLLSLPFDLYATFVVEERHGFNKQSLGLFFLDKVKSTLLTVAIGFPVLSAV LWIVRWGGDLFVVYVWTFTFVVTLILMTIVPTWIMPLFNSFNSLESGSLRDAIEALAA SVAFPLTKLFVCDGSKRSSHSNAYLFGFFNNKRIVLFDTLLTQASNAEVVAVVGHELG HWKLWHTVQNLVVQQVYIGAMFAVFARFDRTIASLRRFVDYISVW H257_00959 MAVGVFLRADAVDGKVGLRRASNTCIRLEPSAMSPGVASINFDA VVEAPNPTDELFHQVVKPCLPIVCGGRDVSVIAAGCSASGKSHMMRGGTSTVGMVERC LEAMFDLVHDASKQDASRLTYIEMGCLWVQDTSDTNAATALQAVRTLNDALQLYEAHQ RAMVATNAHSAVLCRVHSSYQHTVVVGSLALVDVNGASSMVALPCDPPSSTANNLELV IPHSSALTWWHSTYMGSSYVPCLLLGLRTHASCQQQVIQSLLYACRVKDIATRQKPCV VTLKADTGRTSPLSKIMMHLTRSATPESPCSPASKRFLNKYLPHVICANPSALAMDRR CMWPPEMLNTTFQPDHTSPLSPVMTTSSDDSDDESEQVVTTSQLPTKRSLDAALKALA AEKALTLRCAVRISQLNDMIKFQRAAQEKADADARAADKRLADSEHKLKLVQLMANQV QTDVTALKQRQDVVPSLYPSEHNTLTMSQFSSRLTKVIDETKQVVAMKDQYIAQLEQK VQDGAALLATAEAAAENERAARVSTASELEVTAAQLYEARRQLADQVAENSSLTSAIE ASKLEAAARMQQVQAQHRRAVHVLEEKVAEQSQVVHRLKRQCDEVVAAEGIRWQAKID ALRSEQADKEQSRAADKEQTETLMAQHAAALDALTNDVASWETKYRDVVQMKEVVQSH LETVRLECAQLQTKVATLQEASAARFLRDMTNTKETVDQGTQHDDAGEEAPPTRGGQD TAADAMIQSMIAEVEQARKRDAHLSHALAMANRHEHEANVRLSHVQGDLHSCRRENLE LLWVLHKREHSLRVLEQRHAFVMDRSNPSLS H257_00959 MAVGVFLRADAVDGKVGLRRASNTCIRLEPSAMSPGVASINFDA VVEAPNPTDELFHQVVKPCLPIVCGGRDVSVIAAGCSASGKSHMMRGGTSTVGMVERC LEAMFDLVHDASKQDASRLTYIEMGCLWVQDTSDTNAATALQAVRTLNDALQLYEAHQ RAMVATNAHSAVLCRVHSSYQHTVVVGSLALVDVNGASSMVALPCDPPSSTANNLELV IPHSSALTWWHSTYMGSSYVPCLLLGLRTHASCQQQVCSGHVLVVNFILAYLQVIQSL LYACRVKDIATRQKPCVVTLKADTGRTSPLSKIMMHLTRSATPESPCSPASKRFLNKY LPHVICANPSALAMDRRCMWPPEMLNTTFQPDHTSPLSPVMTTSSDDSDDESEQVVTT SQLPTKRSLDAALKALAAEKALTLRCAVRISQLNDMIKFQRAAQEKADADARAADKRL ADSEHKLKLVQLMANQVQTDVTALKQRQDVVPSLYPSEHNTLTMSQFSSRLTKVIDET KQVVAMKDQYIAQLEQKVQDGAALLATAEAAAENERAARVSTASELEVTAAQLYEARR QLADQVAENSSLTSAIEASKLEAAARMQQVQAQHRRAVHVLEEKVAEQSQVVHRLKRQ CDEVVAAEGIRWQAKIDALRSEQADKEQSRAADKEQTETLMAQHAAALDALTNDVASW ETKYRDVVQMKEVVQSHLETVRLECAQLQTKVATLQEASAARFLRDMTNTKETVDQGT QHDDAGEEAPPTRGGQDTAADAMIQSMIAEVEQARKRDAHLSHALAMANRHEHEANVR LSHVQGDLHSCRRENLELLWVLHKREHSLRVLEQRHAFVMDRSNPSLS H257_00960 MEFPRQAATPTQRQTKSVVRRIRDEVSRKVKQEHQHNKSARSHK YNDPISDDVHEVATSQLYHAQLESSLRRHLKPSLLRLDACVPVEKEIIFESAKVDASL KLSQQLYMLEFAFRKFGFRWRKIKLHSGLHLWQANIVLLNAHDVHMKRMTHRAIQIQR AYRRKLRRDIEAAAEVSLAENIRAMLHDRALAHARSLREHAMAICIQSHWRGLSCRLT WMCLLRRRLKRALDSLAIHQLFGGAEEVQVAGGHLYATSDADIQSIHHGHRWLYQSTP PFHFTQGLASIAEIEDVSGRRTIEGQQCRTKQRHTFWSMMEKELTEASVVEARAVCIR ERRMDRMRCKHEMQSRQADELRGVRNLLHCQEARIAREREEGVAMAAADAGMRRHWKA MALFDAKIQSDQMKRSVALLKQTMRLQLNEHDKMNAESKLMHEAEATERLAMDIAMAK TMSITKGHIVVHVACRLDEATSSRPVAGIQVLFRVTSMLASHMNTALVHRVRNAYDMR RGLWARLAEEVPWRKADTNHAVAYREVRRGRVRGAVEFVVVRFGKDSVHVHMTRHVCI NSDRIDCLTVACPTIAIMSCLQVHQMVWLRPKNTHLLAKWIASTVTWLPPGRLVLHPP VEFESAEYHPQTSLSPIVDALLQKGLGEVSSHLAKLFPS H257_00960 MEFPRQAATPTQRQTKSVVRRIRDEVSRKVKQEHQHNKSARSHK YNDPISDDVHEVATSQLYHAQLESSLRRHLKPSLLRLDACVPVEKEIIFESAKVDASL KLSQQLYMLEFAFRKFGFRWRKIKLHSGLHLWQANIVLLNAHDVHMKRMTHRAIQIQR AYRRKLRRDIEAAAEVSLAENIRAMLHDRALAHARSLREHAMAICIQSHWRGLSCRLT WMCLLRRRLKRALDSLAIHQLFGGAEEVQVAGGHLYATSDADIQSIHHGHRWLYQSTP PFHFTQGLASIAEIEDVSGRRTIEGQQCRTKQRHTFWSMMEKELTEASVVEARAVCIR ERRMDRMRCKHEMQSRQADELRGVRNLLHCQEARIAREREEGVAMAAADAGMRRHWKA MALFDAKIQSDQMKRSVALLKQTMRLQLNEHDKMNAESKLMHEAEATERLAMDIAMAK TMSITKGHIVVHVACRLDEATSSRPVAGIQVLFRVTSMLASHMNTALVHRVRNAYDMR RGLWARLAEEVPWRKADTNHAVAYREVAP H257_00960 MLEFAFRKFGFRWRKIKLHSGLHLWQANIVLLNAHDVHMKRMTH RAIQIQRAYRRKLRRDIEAAAEVSLAENIRAMLHDRALAHARSLREHAMAICIQSHWR GLSCRLTWMCLLRRRLKRALDSLAIHQLFGGAEEVQVAGGHLYATSDADIQSIHHGHR WLYQSTPPFHFTQGLASIAEIEDVSGRRTIEGQQCRTKQRHTFWSMMEKELTEASVVE ARAVCIRERRMDRMRCKHEMQSRQADELRGVRNLLHCQEARIAREREEGVAMAAADAG MRRHWKAMALFDAKIQSDQMKRSVALLKQTMRLQLNEHDKMNAESKLMHEAEATERLA MDIAMAKTMSITKGHIVVHVACRLDEATSSRPVAGIQVLFRVTSMLASHMNTALVHRV RNAYDMRRGLWARLAEEVPWRKADTNHAVAYREVRRGRVRGAVEFVVVRFGKDSVHVH MTRHVCINSDRIDCLTVACPTIAIMSCLQVHQMVWLRPKNTHLLAKWIASTVTWLPPG RLVLHPPVEFESAEYHPQTSLSPIVDALLQKGLGEVSSHLAKLFPS H257_00960 MLEFAFRKFGFRWRKIKLHSGLHLWQANIVLLNAHDVHMKRMTH RAIQIQRAYRRKLRRDIEAAAEVSLAENIRAMLHDRALAHARSLREHAMAICIQSHWR GLSCRLTWMCLLRRRLKRALDSLAIHQLFGGAEEVQVAGGHLYATSDADIQSIHHGHR WLYQSTPPFHFTQGLASIAEIEDVSGRRTIEGQQCRTKQRHTFWSMMEKELTEASVVE ARAVCIRERRMDRMRCKHEMQSRQADELRGVRNLLHCQEARIAREREEGVAMAAADAG MRRHWKAMALFDAKIQSDQMKRSVALLKQTMRLQLNEHDKMNAESKLMHEAEATERLA MDIAMAKTMSITKGHIVVHVACRLDEATSSRPVAGIQVLFRVTSMLASHMNTALVHRV RNAYDMRRGLWARLAEEVPWRKADTNHAVAYREVAP H257_00960 MCLLRRRLKRALDSLAIHQLFGGAEEVQVAGGHLYATSDADIQS IHHGHRWLYQSTPPFHFTQGLASIAEIEDVSGRRTIEGQQCRTKQRHTFWSMMEKELT EASVVEARAVCIRERRMDRMRCKHEMQSRQADELRGVRNLLHCQEARIAREREEGVAM AAADAGMRRHWKAMALFDAKIQSDQMKRSVALLKQTMRLQLNEHDKMNAESKLMHEAE ATERLAMDIAMAKTMSITKGHIVVHVACRLDEATSSRPVAGIQVLFRVTSMLASHMNT ALVHRVRNAYDMRRGLWARLAEEVPWRKADTNHAVAYREVRRGRVRGAVEFVVVRFGK DSVHVHMTRHVCINSDRIDCLTVACPTIAIMSCLQVHQMVWLRPKNTHLLAKWIASTV TWLPPGRLVLHPPVEFESAEYHPQTSLSPIVDALLQKGLGEVSSHLAKLFPS H257_00960 MCLLRRRLKRALDSLAIHQLFGGAEEVQVAGGHLYATSDADIQS IHHGHRWLYQSTPPFHFTQGLASIAEIEDVSGRRTIEGQQCRTKQRHTFWSMMEKELT EASVVEARAVCIRERRMDRMRCKHEMQSRQADELRGVRNLLHCQEARIAREREEGVAM AAADAGMRRHWKAMALFDAKIQSDQMKRSVALLKQTMRLQLNEHDKMNAESKLMHEAE ATERLAMDIAMAKTMSITKGHIVVHVACRLDEATSSRPVAGIQVLFRVTSMLASHMNT ALVHRVRNAYDMRRGLWARLAEEVPWRKADTNHAVAYREVRRGRVRGAVEFVVVRFGK DSVHVHMTRHVCINSDRIDCLTVACPTIAIMSCLQVHQMVWLRPKNTHLLAKWIASTV TWLPPGRLVLHPPVEFESAEYHPQTSLSPIVDALLQKGLGEVSSHLAKLFPS H257_00961 MRQRVPGFVHRLEAGACVCILGGHRRRGRRGTGGGSVSVHPGCL PPVVLGMFGRQRLHFQQQQTQLDEIDVRQDGDPAAGLHARGEERRHVVHAFAGPQIMQ ALAQIARLRFRLAAILPNRERRAEDVREVVAVEASLVVARVTKVREQVQAIADLIEDA PDLVDRRRHLRKLLGGQFVWRWGRAQVKQERVDRYKAGVAVGDGHVRGMEEVEEGLDG AEEYKQAAQVIPKLWAACVVDELGRRQERAI H257_00962 MRLPHVLVVVAVLVSMAHAQTGELPGQSKGATEVVVKATTTTLP VSTSTSTVATVAATTRETFAPDEYQHQDPNVPRYGETTKVQDDFNPYAGEEDAAKGMG VLGKEAPPLSDADVDDEDEKLSRFDFDPSEGLTFFIEPRETMCFYEDIKSLGDTVGGA YIVSTASSAIDVDIKDPYTNTVFQRYGDAEGTYEVHPDVTGLFEVCFTNNDHQAKLVT HVTNTLQSQHPVEKEHVSILAKYASHLDIRLGELESEQRLMQLRVDRHMKTEKSTNDR VSLAGTIESIVYVVCVLLQVVYIKQLLRSPRSSRSWA H257_00962 MRLPHVLVVVAVLVSMAHAQTGELPGQSKGATEVVVKATTTTLP VSTSTSTVATVAATTRETFAPDEYQHQDPNVPRYGETTKVQDDFNPYAGEEDAAKGMG VLGKEAPPLSDADVDDEDEKLSRFDFDPSEGLTFFIEPRETMCFYEDIKSLGDTVGGA YIVSTASSAIDVDIKDPYTNTVFQRYGDAEGTYEVHPDVTGLFEVCFTNNDHQAKLVT HVTNTLQSQHPVEKEHVSILAKYASHLDIRLGELESEQRLMQLRVDRHMKSTLS H257_00963 MAARVRLIDIGANLADPMFVGLYRGKQKHVNDFEHMLQRAFTSQ VEKIIITGGSLSESKEALALARTHDRLHCTVGVHPTRCSEFIADPDGYMQGLHDLIQD GKSDRKVVAVGEFGLDYDRLEFCDRTTQQLYFEKQFELAQVSNLPLFLHNRNTGADFY DMISRHRDSFRDGVVHSFTGSAAEAKQLVDLDLFIGINGCSLKTQDNLDVVKSIPIDR IMLETDAPWCDVRPTHASFAHVRTVFQSNKPDKFQLGRGVKGRNEPNTIIQVLEVVSA IHGLDPQDVAKTVYDNTCRVFFPAECHD H257_00963 MAARVRLIDIGANLADPMFVGLYRGKQKHVNDFEHMLQRAFTSQ VEKIIITGGSLSESKEALALARTHDRLHCTVGVHPTRCSEFIADPDGYMQGLHDLIQD GKSDRKVVAVGEFGLDYDRLEFCDRTTQQLYFEKQFELAQVSNLPLFLHNRNTGADFY DMISRHRDSFRDGVVHSFTGSAAEAKQLVDLDLFIGINGCSLKTQDNLDVVKSIPIDR IMLETDAPWCDVRPTHASFAHVRTVFQSNKPDKFQLGRGVKGRNEPNTIMYILMYVHV H257_00964 MSLCSARAEGFPSTSTMAAKPPAAVILAACLDRCAIPKSISKAD VDPWSNFRAAWSSSGTFAAADSDKVSFRLASSATFGASAPVECRRRCLVVESSTRVSW SMATDVTMLFRRGTLSMAGRGDIGGCSVGAYRSALKS H257_00965 MRVTSIIESLQEQHAVDQINLAECLRMHGDTIDQHDDLSESAHP IIDAILEDSGCDGFKTMCNFTSAAECPDNALDCWLRQEMHATHFKFKAPTFQKLITKV IAVVEPIFFRHFIKMPSMTDLRNKDVVFANYTYALYATDVKFKPAERRRAASTSSVTI LVASTSYMSDHCPGSVNDLTMFMDRLTVHRTSLAKMETEMAINDVGEQFDDHRGYWAC LVDKGYVGIMHHTRGIYPKKKPTGGALDTDDLNRNKRVSSDRVVVENYFGRVCQLWKV SYATFTWGHDIFDGILRLTFALTNYHVTLMPLRANDRVTYRSVLARYQSMSKAYIDRR NETQRRSRRRRGERDLMGSAIGRRMSSLNDSPYSRRLPSQNNSPTLR H257_00966 MATPTKRRNFTEEEDIMLLQQVTLEMPFQARRGQVMERWAEVAS GLNTADEFRRTDIDAKKACNHFILLLDAHRKDNN H257_00967 MSITQRNLMQLVPFAKTPRQRATLLALMATYVMERPLIPEIRFS LETTTDVTAILDYRFDIAGIKQLGFVLGFPAVIITQNRVRVHRDETMSVLLGRLVFPL YAQWGTLLYFNQNLVAKNIDRYCTAVTSKGAPLSSVFGFIDGTKKRNHCHNYQGVTAP DGICVHVFGPVEGRRYDATMLRESGYKYLGGAETCFRGNRCMAIQRMELCLT H257_00968 MSSFSTSITPAHQLLLDRMYLMFGEQDTAAFDGGLPPENQARLV EFIGRLIHQAVTDAVTSLTAVYAALECPMPTMIAHCDSPFAIAVPSPPSSQTIAVDTL VCEACNLDELRRTSSEILVLPEMSFNAFAASLCAQEIRDIAMISIDEELDLLSTSTAD NSGSITTSEIQDVGFPPYEPVFRSPPRV H257_00969 MQLTDESGSSSQVGSHVALCGQEGSSDAWQLPPPHWCLITYRHR TQVPTCTDTRSALDRNNALSLSTPSGSLLHEMVRGRLLFRTAKQAAASDQKSFYKNSV TLRPTWISSVNYITKRRLACKSPRLGSFVGRGFTALNSADSTWCIVKYPSETPPMSTP AGRWGTGGRLRMPLVNGCIQL H257_00970 MQMAVAPSDAAYTEHSSVSITSWPWLRRCIFSFVVIKHIVSALY LVAQIAIMYKMDAAEKLATRVIAIPSTIVAYMVLTGLHMALLVQHCLYPRQTSRSSPA VLTSSGLVRATLFRWVGSDGFMILYNVIELTCQSYEAFAISQQLVNHVVVATYVGFVA LHAMVTPLFFATRHTATKIVMVNWTSSVCSFVTSSVVHIFGIVIPLVRYVWLDPNLAR NPLFLVREVKYARYNLVTSVGDWVAKCGIQLGSIVSLWRLMHSLRIATKTQRRGSPLT KQPSMQTPQALLRLNRYVRLYIVGSSLWGAVLLVSLAHTNWYRQPCPTTCQAFTTPLW DTTCQCLYAHVNCATLNVNDVDKALDASLLGPTLFAILISRCDLPSGVRNATLHQFPA LYYFGVKFTNTTTWPDQASLPPAVNFVAFRYMPLRTLPSILLENLPATLTSMTVSNLP LRDAFELPTSWTSVTRLCLANVSLSMLSPDVLQSMDVNKLFLYHNALTTLPTRLQDMP KLSIVDVSGNEFTKAPWNLLNDQRRTLLLCDNPLDDPRVPDTTDETIRQAYIKTFQAS CASPCAPLCYPHLVGDHECQLSCFNAACNYDNGDCDEFGFDRLE H257_00971 MPTGAGKSLCYQLPACMDPGLTIVISPLLSLIEDQVQHLKALGI PATMLTGVIPRDEQNAIYSTLLASSKPSIQLLYITPEKLSSCKLLKRAMLQLSREHKL SRFVVDEAHCICQWGHDFRKDYMELGQLRRRHPGVPITALTATASDKTVQSIATSLGL VTPHLEKTSFNRPNLSYSCRLKTTTFAQDLKEFVLCRQDQSGIIYCLARNECEKLVED LTGRDPSQHWVAFYHSELDADEKSYRHQAWSSGRIKLIVATMAFGMGINKPDVRYVIH HSLPQSITHFYQESGRAGRDGLHAECVVYYSYKDYSRRKKMLPSGTESRQVHFQNLRH VMDLCENTTLCRRQMLLEHFGEAWTDAMCQQTCDTCQGQAVDTMDITDDCLALWDIVK HCTQLGVQPTLIQVALLFLGKKLPGKQKAMLSAKIAGFGHGKAKKYTRAHVEGLLYFN VYRQYITEMSKVSGKYTTYFLRLGHEHMAYVDETRILLHTTSPIIEDHRE H257_00972 MKGFAALVGAAACLANLAMGDISWNLKMGNHSMAFNGMLDEAPV EEGFCDTTKQLSGYFKIDGSKSKNYFYWFFESRNAPSTDPFVIWLTGGPGCSSILALL GENGPCTVNDDLTLKRNPFSWNEKSNIMWIDQPAGVGFSYGDTSEYDTDETMVGNDMY HFLQEFFQVHPEYAQNDFYVFGESYAGHYVPAVAHRIYIGNQKDDGNPKINLKGIGIG NGLTAPEVQYNWYPEMAHNNTYGVQAISDEIYATEKAALPACIKMIELCQKTNVACLV AQVYCNAALVTPYQLSGLNVYDIREKCEHLPMCYDFSSIEKFLRLPSTLEALHVSPKS SKWESCNMVVHAAFSYDWMKNFDGLVTPMVENGIDVLVYAGDADFIVNWMGCKAWTVA LDWKYQSQFAQIEDVDWVVKGKKAGKVRSLQGLTFLQVFEAGHMVPMNQPENALYMLE EFTKSKNSFAARHDLTTTHDETALDLLVVDDDDIQVDFVFSP H257_00974 MTGITRVFTYADSNIPVRYQALMKKIEEMGARKVGRFVVHCRML SRRVLLEGYANQRMYLLRMSHNDDLIYAVLGNERTDASLGIKLEKGLPSSDNGLDGPL DECCLLECGLDGSHILQQVEIYLPKSDGKFEGMQFSFGDFLVSICTFVCRANTPTGLI VEVQYMPCSAVGDANQDKLLDEFMTILADTAPDAASPVKKPIANVLGLFHKLKLGDEY SHKHTAIQYVAAFNLLRK H257_00973 MAACWYRVSKLRSEIESERQRQGRLQTSIRRKIDTMEACKLSTA STSVIKVVVSTPSPSSNHNVRVLSKVPSPDWSTQYELNRGDWSSPVKVHVCRYQKTPP PTTSLRHVVAAKRIGRWVTLRVAARKAASVPLPRSPAYSPLRINPDDLLARIAQQIHD RATLKRQNKAAYIIQRFFTLVLDRRSTTLGQHRSHRILLHQEARRRQVLEQAAMDNAV RLIQAAYRGSSARRRFTWKQSHVKGHFMHVLILEAEWTTRPGTAISVLLQLAAAYWSY FDTDVYEPPQRALLLRLHLLCATKAITYGYTPLSDNGGAAEWWLNMGRRFLLLWQRNM DEPALLQDALAAFDNALRMVSEADVDPEHVALELWGHNNHLDRKRRLWLWEGQAYFHL GEYKDAAVRFKAVLNHPSATTACMPYNVLDLWLILGRCAALDYDTASSQLYYTTILRH LYATDDILRRGLTWEDAANDTTLHLSAGSKFALHRDFPLAKDLLSYGKHLNAAYTTPD HDRWWTECHRHTSSLDTRASRAVWEAQKRIPLTRLRERLGVVL H257_00973 MAACWYRVSKLRSEIESERQRQGRLQTSIRRKIDTMEACKLSTA STSVIKVVVSTPSPSSNHNVRVLSKVPSPDWSTQYELNRGDWSSPVKVHVCRYQKTPP PTTSLRHVVAAKRIGRWVTLRVAARKAASVPLPRSPAYSPLRINPDDLLARIAQQIHD RATLKRQNKAAYIIQRFFTLVLDRRSTTLGQHRSHRILLHQEARRRQVLEQAAMDNAV RLIQAAYRGSSARRRFTWKQSHVKGHFMHVLILEAEWTTRPGTAISVLLQLAAAYWSY FDTDVYEPPQRALLLRLHLLCATKAITYGYTPLSDNGGAAEWWLNMGRRFLLLWQRNM DEPALLQDALAAFDNALRMVSEADVDPEVLCDIVHVSFALGLYCHLLKRCQHVALELW GHNNHLDRKRRLWLWEGQAYFHLGEYKDAAVRFKAVLNHPSATTACMPYNVLDLWLIL GRCAALDYDTASSQLYYTTILRHLYATDDILRRGLTWEDAANDTTLHLSAGSKFALHR DFPLAKDLLSYGKHLNAAYTTPDHDRWWTECHRHTSSLDTRASRAVWEAQKRIPLTRL RERLGVVL H257_00975 MSDDGVAVLRQRLHDAGVMMRYDYAWKHSEFGSKVDRLTGVCLR FLYMAGTWLNGAFDGEALRVACRSLTPSDMLFEAVEAAAGHMNFHFVREGDESSSPHV TFCFIGPSEMGTLDDTLAATSFPSSGLYFFLVGDSACLPPPESDEDERIRGLSAFGLG RELHASMGARGFHAATHFVCEFKDTAHTDALSEVMDQFNVPTTNLMVHTEKWEVYVPD AMQRSWEVDAADDAYWQRHAKGSVCDSCHQQHAKLLRCVRCLAAYYCSRECQKAAWKL HKGDCETPTK H257_00976 MATSVLHSVELLRCICIYQLGIHHDMIPFCQLKPRVESYTIYPS EFEEVDVVLTRWYAHHGIGRLNVLLQCMERMRLVVFVHAVLYGRQDVLDIIHDRLQFV HLDQNAEACGKPKLVYKWKDSFVLAAHHGHVQSLQYLHKNHFKRTSDTSVWFVAAANG HVGILKYLHDHDVEGWRHSIMEEAIKHGQLEVVRWIYDNKSDMQSLAVVNQALGVALE HRQLGVAKYLNQLWHAKMHR H257_00977 MFVTRKLQALKATQLSQGYKAFSALAGLDASKTIIHQTTHPKAK TPKEKLTFGSTFSDHLLEVDWDKTSGWGAPLIRPYGPLAIDPAASSLHYALQCFEGMK AYIDDKGKIRLFRPELNMKRMNSSMERLFLPTFDSDELIECLKKLILLDQSWIPQGDG YSLYIRPTGVSTHPFIGVGASSKAKVYVILSPVGPYYPEGFNPVKLFADDKYVRAWPG GTGNTKVGGNYGPTIMPQMEAAKKGYSQILWLFGEEHLVTEAGTMNLFVYWINEQGEK ELVTAPLTRGDILPGVTRQSILELARGWGEFKVTETTITMPSIVKAEQEGRLLEVFGS GTAAVISPVKLINYNGKDVNVPLDAPDGKSGQLTKRIWNEMTDIQYGRVEHPWSVVIN H257_00978 MEGMDLNCAWRSAECVTSLYDRVRELELRNAELEAEVMQWRDQS RQSHMTDASTSVDLAKAIRERDEWRDRFKQQDDISRRLQKRVDAMQEEHKEQVAKLQE RCLFDPNGPKRVQVCVASLQKTLDNRVQEHELMHQRLRETLDINAALTRANAAMEQER ASFVGAIARHNAHRMFTLLHLRRKDVMVAAWSAWSRSTIRVSERQAREEGIQRLALVV KQSKQQRLQRQIIRFTLQRARSTRSQVIKAWAFQTLAMRLARHRALDSYHASCRLIVS NAFETWHERSVRRAKCTKVLLQLCDNHKRRRVHRGFHTWVQASRTLLIEMILLKCDVV EAEKANVVAELEGLKQNLSVSLAAQRSWEQTVRDLEIEHATAASNQNQMLNEWTTRLA VIYERGTSRRLQGLIFHAWKLRDVHRRHVRALVAHVQAQKLRALVRQWRQSLQTRHAA TPMQHMQKRRHGRIQRETFAWWASQTRRAATRRRKLTHRRQLQAATSMQRHWRLWRES VARSVERKARGASALHALAGMVGLHIQQRSFKAWEATVMGLVQRDLRLKWVVDTALSQ SRMHRLGHVLARWKRYATLQRKRTVSLAFQARRRLDRFHVWAHSVWRMWFEQCVVQIH RRSALAEIVGRLRHRWLRRAVSRWAANGHLIAMHARFEQTVDELNRSIRLLQEHIQHQ QAQHRSEADAMEVTMQITEESHQRAMAISATEWSQKLHQVQVDLERTEALRDALEGAA AEWHGHAELELLRGQALEMMLMESQAQWQHSFDLQSMQHHRHHMLEEDARSAIYRLDD VVRRMAAAWDAETRLHRDKLLEMSIAHDQMQAKVGAHALECLLQRTTVYCLNRWKAFV SMTKATKAKVSHVMAVLQANHVALVYDRWKQFHRMHQARKQLCAKWHHIHASTLVTTA FRAWAANAADRRKLATMLQLFGVSMVRKTYEFVWRQWHRTASERKQARMLWTRAVAHL SKHWYQVGLRRWKDVADAIASAKRAQFRIEALDHATNTVQRKWNHIVCRQVLVWWRDN VSSIRRQRELLKRCATRFQHFAVGQVFEVWRKNAWVQRRDRFACDLLVGLCLRHCVQS AWVQWRQHRLVSAQLETQRMHEAYRVTQQELLAAKTAIESLTSHVASSQATTASLCDT TKQLKLQLQASKCMHMFQSTIRTSFSEWKRVTAIFKQTKGNVRLIVRRMQRLHQAMVL DMWKAFIIRRHAKAGRAVAATALHRTWSHRQAWRAWVLYVHQTKRLQQKCQWIAQALA TTSARYVWKTWRGFQQHQRRACDRLVAVSMALEGARTRRTWLKWCSHIHLLKAQDEAI RNKATRVLLYLRSRTASTVRRSLDTWHQFVARQRTKRSHDVLCRRWICRSMWRRWRCR IDQDRQYRKVLVQFTRRVLRHSWTRWQLGLAKWTKHTLESQMAKDRDEWERERGEVGR RAMAMEEQVQQVCAELAGKERALQDLQTHLLAVEISRDVKYHHHHVVEEKLTTVLTST RQAMTLQLNLRKAWSMWTALVRRRRVTRERAFEWLEIKQRAQLETLFSSWKAHLVAFR RRLRLELKFKRRRLDQAVERWRVRTASRLQLKAAVTTVVQHAKHKYTRELALAWRHWL VHVTLDAQHIKQCNVLASTQKCAADLRAKWGLSLIVWRLRWVHVRKTTWGFQRWKLAA IQHAWRAKLQYTVGQLDQAHQLLLDEQEAVLAQREHMVLPLRATWRALSQAKTLEQLF DAVASPCLADTSGMLYLVDPVKQELWSIVKKSTQMVTAPVHVGIAGFVVGSGATCRTP AVAQDTRFHPLVDQYVVNSIMASATSSVVDRIQMVCVPIVSDDGVVWGVVQMAYLYDA VEVFIVCHACASAVECVLHDLLKSSRDAVAARSPSKLIKLFKQHKQWRKYYLDVEIRL RQAQDELKQVEGTHTQHLQTLQEHLHNERDAAEATVGRLRDQLQQKELDLQAQIHALE RQTASDQRERKAKEDELHHVIWTLQNEHQLTRARDLISSARHAATVDILPPRPPDDSS TTHVLLAEIQALKNQLVRAETDAQFLSKTIRVAIKYHGNLPDVMVAEVKRIGRRLKKV TSE H257_00979 MTTLQQPDGPRYASVSEQLLEMSLNKSTSGKLDRHALEKRLMEL QGDVSRLVSKLSTRAKDDSASHHSSMSKLSSRLKDVPATVDVQRSVRTATVPTKLVKS RSLTSTFKPKTTQKQQPHATKPSKSTIPGSRMGNLIRLQSDLHAANIANDQLRFDLDQ LRRTVDAHTAKLRDYATLQTSFHQLKAHCASLQQSLDLSETIRHRQKKIIADLKAHGM TPTTTTTSTLHSDNSNNEQLNDEDEPMQGGWPQYTSMARSPANGRLPPPPPIPLVTSH RVKKKAAKKARNQTVNHHVTCSQGIRRATNSNNNNSSFLAPTQASQQRLADTKQFKQL QKRQR H257_00980 MSTEPLSEESESVIGLYQKLTGAAKFSVLVTKKVLVRPPFPFLH KLCSEAFGHTNIFTPLQLNLGNLVTRDQKAGFLVRALAFVTFTLRAVGADCVSVLLFV SPVQALAGLEVDRTHEFLDQLVSVHLLDNADAAKTLAAAEVLRKGENHLYTMAMKFRR GLTKVQAAVRLFLSNKASRGQALTTHDQTPVPGTEFLKLFEGFGTFKGVVRSASNGVY VVYYAQDGDEEELDEEEMLDVMRRSRDLEAAANVSVKVAPAPLATTTVPLPKASVAIN MVPTNLFEDLERELPSRQQREDTSMEQLESKSHQPPLNESTSGEMQSTLVKRDGLATR GTRRRLNEATLGDTLDPMETFPPKRTPSPRLSSSKEAATTTKGEPAWRTKLLALVDPN GGVAVVPSPSSLQHSNSNPMGLAPPTLPKIAVPGGALKWTKRLKQPKPTDPSSRDSTT NSHLTKPTKKCASMGTRKSSIAAIISPNQRASPPKVKDATSISKLQPDATLFETSYPL SDDRTHQTVVLRAIVKRIDKYLRRKRMRVIDLFRYCDFDQCGHITPGGMEEVLRQMDI RLPPAEMEMFMRHLDTNQNGVIDVDEFESLVRVHRRTAARRDQLRQELPHVLKHDVVG SASLCRHHPPSSSVVPASLLPHKDQILHVTRLLNADGTGTVSATALAKAIGGLTVPKI PSDVVEAFVNDTCRPLHGLVFVCDIDRILAGPPAKGAGRKDNRFLDHTWLGQFDAQME KVRGLGG H257_00981 MDPQQQQQAGSSFDHGGRQNSYNSIPASNNIMSSFPSQDPIMEP NTINQLRPSSFNWNTPSETSLPSMQSLDLSNPHAAAASSIHQQMPGMGQSLHIQTQFP QMQQMSMPYGTNANNPMSMGMVMNNHVGGMGQQQHNMAGQHHHQQSGLPLRSPMPLGG GSTDHLIPHLYQHHQQQHHQQHHLQSQGYASPMTQQHAYGAQDPMKLNSIMSTPAAAA APPQSTLPSRITPVTTTSPGFPSTYEFEVVLQKGEHGLCMNMGIKMGSVSVLGFRQPL PGVVGPAEACGMIQVGDDLVCVDGIMLQCADDFKKVVPHLKSDLPAKLRFRRSPQPPQ PPPPQQQQQSSQQQQQQQSVYQPLPAIPPLTGTGSSGKVYQIGELFMGVRYLGPNQWA AEVKTHQGDTQLQRLGEFTTEYLAAQTYNTYLMQTYGQQALKYMHPATRQASHPYQQQ QHHMMHMQQQQQQQHLMHHHHHQQQQQHHMQQQQQQMKPTRPRGPVLHAMFTVEQKEQ LRAQIMVFKFSTSGSGIPTDLLRVAVRGAGGSLEFNTAPKERSRKKTFNDSAPKSKRM KYGSGRGGRGGRGSRGRGRGAVSDEEDDGEFKDGDDEGDDTVVGDKPEPENVRRSSRN QGKEKKRYSEVAEIDGDEEKPKESSPQQQQAKGPQMDKIIAVRFVEPDMGMEFLMKWK HFSYLHVTWLSTLDIERHGKGAVMRMRRYMQKHVRDVEVARMNPSDRSEDTTQYFSPA YVEVDRILDMASAEEVVVPNELKRGIKYLIKWRDLSYAECSWEWADQVTDDRKIATFQ RYNHPPLLENAPRAMFEDVRPHASAWAKYQDSPTYNDNNTLRSYQLEGLNWMVFCWYN RRNCILADEMGLGKTVQATAILEHLRQHEHIRGPFLVVAPLATLGNWKREIESWTSMN CVVYHDSEGGAETRAFIRQHEFTYKNQPDYYKRSNVYKFNVLVTSYQTLMADAELLQE IHWRYVVIDEAHKLKNRDAKLLASLRTFKWDSCLLMTGTPLQNGVFELWCLLNFIEPD KFPSQQHFYDQYGDLSSAEQVASLHEQLRPFMLRRVKEDVEKSIPPKEETIIDVELTT MQKKYYRAIFERNRSFLNQGSSVAVANLMNVEMELRKCCNHPFLIRGVEQKELAAVYD EADRSRVLIQASGKLVLLEKMLVKFKAEGKKVLVFSQFKIMLDILEDLFHARQYSFER LDGSLLGNARQAAIDRFNDPKSDTFVFLLSTRAGGVGINLIAASIVVLYDSDWNPQND LQAIARCHRIGQTQAVRIFRLVTKKTYEAQMFEIASKKLGMHHAVFETGGVRKDFDGN DDSNMMSLMSLDKDKVEMMIRYGAYAIMNSDEEDPENAKINELDIDQLLSSSRTIRYD PTGAKTEESSGAALSFSKASFTAETSDATIDFEDAQFWDKVLGPKTIQLLTTQVENGS LLQATLPDIKAFLTSLRELARQLVKHRQKGEKNPEADQILSILIELKVRGPVNKQVNV KTIATDWLEVIERPKRRRNQEVESELMYHPFLDDTGGDGIGGIGGMGSKKGKKKQKTS GLKFRSSADPTSAILVTIATTESGNFRVVRVHSDKKEVNRHVHVDADEADNASDEDAL FSDEDEYDDKPQYSRSKDNHHLVKKKQTAKGPSRRSNMPKPLETVDGVPVDELWCRVC YSDQGFDDDPIVQCEKCKCSVHKFCYGIVKVPEGDLPWYCDLCEAHLTAETVPQKCLM CPLEIKETAFKETVDKEWVHVVCALWSRGVEFEDIERMKGLKNVLATMDGMEDAAKTA PCALCADASGSKVKCCRNGCDVHFHALCGRQTFGVYDMYMNDAGNLRSFCKEHRPKFR PRLQNS H257_00982 MNALRKLIQSSLQNLSIQILGDTIHALHLLHLMQLVRSGDVIKL VETTEGFLGAWMYGQFTRPPRWRCKPTE H257_00983 MTWHDGTLVLCLGLLMGCSSFVHAHSRIDLPLFRRSLSNDVSSV NLVNKKSTQYFAPVTMDGKKFNVLVDTGSSDLWFACDAISPSKCSNGTCPATSRTIQY GSGSVCVEQSNGKFGLGSLVVPNAIYGVGIKSNVLVDGNQGILGLSFPSISVFSKQRA GVVAANSTKQYPIQFLDRFSMFLTSSEGEAGSTLILNGEDSQRIAKDKLVGYKIPLNE TSHWTIQLRSLDVENDPHRNFSQPCSMSGSCLAIVDSGTTFLSMPSLLFKQFAIAYLK PGTPQGCAFNIQLQYYICPKDTALPKLTLGLGETASFVLNPWDYSWVHSETEIAVQIQ RNPARGSLADRWILGDTFLKVYYTTYHVTDQAVTFYCKNGGVCAGGPNLLDFDRKKPT WALGLMIGGGCVVGITVIGSLGYLIHRRRLARRQRPETEPTTYAGVVERPLP H257_00983 MTWHDGTLVLCLGLLMGCSSFVHAHSRIDLPLFRRSLSNDVSSV NLVNKKSTQYFAPVTMDGKKFNVLVDTGSSDLWFACDAISPSKCSNGTCPATSRTIQY GSGSVCVEQSNGKFGLGSLVVPNAIYGVGIKSNVLVDGNQGILGLSFPSISVFSKQRA GVVAANSTKQYPIQFLDRFSMFLTSSEGEAGSTLILNGEDSQRIAKDKLVGYKIPLNE TSHWTIQLRSLDVENDPHRNFSQPCSMSGSCLAIVDSGTTFLSMPSLLFKQFAIAYLK PGTPQGCAFNIQLQYYICPKDTALPKLTLGLGETASFVLNPWDYSWVHSETEIAVQIQ RNPARGSLADRWILGDTFLKVYYTTYHVTDQAVTFYCKNGGVCAGGPNLLDFDRKKPT WALGLMIGGGCVVGITVIGSLGYLIHRRRLARRQRPETEPTTYAGVVERPLP H257_00984 MSWIVWLLLPRVSGYYAHEGAVELPLLRRTPPPTTLPSLRGVQD NTLALTNRLGTQYLVPIRLNDDIFHVQVDTGSADLWVGCEFVDSPSHCSPPVGACSNT SSTELVYGSGRVCLDAHRGAFQLGSLAIPQVSYSIGKSALLTDGSQGILGLAFPRISP FVNHSNASFPMHHLDSFSLTLSSGVNSAGSKLILNGVDHSRIASHGLIGVTLPLIEAA HWTVSMDDMVVDGQPWSVCGALWPCKAIVDSGTTFLSMPPSVFDQFAATYLAPHGCTM APSDAYFHLPTLRLVLHRRPFSLQRSDYTWQLSPKSFLVQLQPSAVAHTWVLGDTFLK VYPITFHVRARALTIYCAHGRCRGGPAVPWEVDQLPQIGESNVLGTTAAVMCRRRSG H257_00985 MEPPRKDLMTRMKSWKVGKLEGRRVAAAKRIQGFYRKHLLRCQA RVGSSKKFKVTNDTLALTSALLAASFFSATPLHAREKQHFITLEDSNPSAKVDILANT AVQHMPPLSSAMQELLLLRGSPYEMELPTKDKGRLLHDDFVNMMSEAWLLCSTEERLS IHKQPPKRAAFYLRALGPLFSDLFFIFRGGPILPHIRQMNAGSPSTKHLAAITDHIQS LYPTHPTWSDSLDGLTAKVRKVFWLLRSEMSMSAIQSLGWLLSKLWRVLFDGVFIDMA SVDAIQALVASVGPNVSLVLAPTHKSHLDYLLVSYVCFAYGLPLPRIAAGINLNLPLV GSYLRANGSFFIRRSYHGDGLYKEILTSYVHELLQDGSPIEVFVEGGRSRHGRVMKPK VGFFHMMASFLACRPTQDILVVPLSIDYDRVLEVPDYAGQLLGQPKQKESIWSLVKSL ASLGRCGNAYIRLGSPLPMQHHIEHFGLDAFAARVARGMQAASTVTASALVAAVLLWK RHHIVLTRDQLEDDVAWLVALVRSRGAVVAPFESTADLVAHAVSVLQVPTSSDGRLVH PPLAEDDAVRVLTLAFYRNHLLHVFLPRATLASVVSSRLEHGASLSVSAIVADARKLW AYCARLCPHASVDWTHEVVGLCKELPFVHEHPDRPLGIEVHRWQASPHVGFLTSLLNP FLSALDAVASAVSLPTEPLTELDVVRRVRSYPTEFAEARSAESVKQALATLVEYGAVT RSTDDHRMHTYVAATSEVGTTRVMIRHLQRRRRKVWERKGCPAHAPLNHLQVANLWLG QSRRRIKC H257_00986 MAFTSVSRRMFSSQARKFFVGGNWKCNGSVSQANALVDSLNTAT IPSNVEVVVAPPALHVASVASRLRKDVGVSGQDVWHHGAGAYTGEVSAELLKDAGAGY SIVGHSERREKGESNEEVARKAAYALSKGLSVIACIGETKTQRDANQTLQVVTDQLAA YAAHVKDWSKVIVAYEPVWAIGTGLTASPAQAQDVHAGIRNWLKTNVSAAVANSTRII YGGSVTAGNATELSGQSDIDGFLVGGASLKPDFLHIINAQSGGASHVGGPVNVAINGF GRIGRLVLRAAETNPLINVVAINDPFIPTEYMEYMLKHDTVHGLFNADVGHDGDYIHV NGKKIRVFGEKDPANIKWGTADAEYVVESTGVFTTKDKAGAHLQNGARKVVISAPSAD APMFVVGVNHNLYSKNMDIVSNASCTTNCLAPLAQVVNQKFGILEGLMTTVHAVTASQ LTVDGPSKKDWRGGRAACFNIIPSSTGAAKAVGKVIPELNGKLTGMSFRVPTANVSVV DLTVRLKNKASYEEIKAAIKYASENELAGILGYTDKAVVSSDFIGDARSSIFDADAGI ALTDDFVKLVSWYDNEWGYSNRVLDLISHMVHTERK H257_00987 MSGSTTQSSSSTLLVATKDAAMSAAKPQSSFALAAQPYVCGGSA AIVAAVAIHPIDLVKVHLQLAGQTGSNATAVSVAKSVIAKQGVHGLYAGLSAAVARQM VYGTTRLGMHRALSDSLQASRVKQGATDGLPLWMKSSVAIFTGAVAATLGCPMDVALV RMQADTLAAAGDKRGYKNVVDAILRIASTEGVTTLWRGSVPLIARGAAMNFGMMASYD QAKEVLKPILGGGFVTNLGASAFSGFACAFTSLPFDLVKSRLMNMKKDPVTGAFPYKG VGDCFTQIVQKEGFGKLWRGYWTYYGRCAPNAMIVLLVIEQINLVYKKAFLE H257_00988 MSKPTHKMFKSRVKKEKPARKRAIEDGDVDDGDAELSLEELREL KEDQKFRERKRLQSDGRKTTTAATEATTSATSDSSLSTLDGQFMTQSADLPVDPFEAI KNKYIEAKLKEKYAPTTTTDSPIISKERSEELELYSIPEHLRHQPTDHSAERGNILSK GSGIPEIELGAVDPAKALEATKEALKGRAIHESHHHSSSTGLPVNMSVNYTQHKVDHL TKPKGGRLLLCIITDESEPIARRGHIERPAAAERLRPSIVASSSSPTVYTPRPPISMA V H257_00988 MSKPTHKMFKSRVKKEKPARKRAIEDGDVDDGDAELSLEELREL KEDQKFRERKRLQSDGRKTTTAATEATTSATSDSSLSTLDGQFMTQSADLPVDPFEAI KNKYIEAKLKEKYAPTTTTDSPIISKERSEELELYSIPEHLRHQPTDHSAERGNILSK GSGIPEIELGAVDPAKALEATKEALKGRAIHESHHHSSSTGLPVNMSVNYTQHKVDHL TKPKEGISNDQQLQKD H257_00988 MSKPTHKMFKSRVKKEKPARKRAIEDGDVDDGDAELSLEELREL KEDQKFRERKRLQSDGRKTTTAATEATTSATSDSSLSTLDGQFMTQSADLPVDPFEAI KNKYIEAKLKEKYAPTTTTDSPIISKERSEELELYSIPEHLRHQPTDHSVRARPIDTR LTLQYHRLSVVTFCPRALASLKLNWVQLILQKHWKRQRRL H257_00988 MSKPTHKMFKSRVKKEKPARKRAIEDGDVDDGDAELSLEELREL KEDQKFRERKRLQSDGRKTTTAATEATTSATSDSSLSTLDGQFMTQSADLPVDPFEAI KNKYIEAKLKEKYAPTTTTDSPIISKERSEELELYSIPEHLRHQPTDHSVRARPIDTR LTLQYHRLSVVTFCPRALASLKLNWVQLILQKHWKRQRRL H257_00989 MAASKMEALKRYMGGGAPEEKLKKKRKKKKTDTGLGMYVVDDDD DAWNDGLSKQGKGKDLWQDERTEYDEEQPTVVGDGAEDYDPESQPIVANAEEFFERER TEELEKQWLAAETKPSVDASPPRKARHQDASPPRKSRQQDASSPPRKSRRQDVKDPSE ASSPSRQKEDLSPPRKVRHEENSPPRRRKKVASGDRPQDLSQEENSSPPRRPRDDTSP PRRSHREIFSPPRRSHRDTSSPPRRRSRFDNASPPRREKQRDDGSQRSTRDHAASPPR RSRRTDDSSPPRRSQRENSPPSSRSRRVDASPPRRSHQDKSPRRSGRDTSSPRRRSRF DVAPSSRKESSSPPRRRPHEEISPPRPRSSTSTSKFDPRRRDAPTSPKSGRRDGNASS PRRNPSNDDQLAVGGVFTGKEFKAQQDQLRATQQAAFQDEALMGKQAETIYRDKRGRK LDMLMEMQRQQEIQDGKRKREAKEEFEWGTGKVRKEELRSHQQELDAMRAKPFARHND DAELESLRKAKPRAFDPMESSLFKDDVDDTAKKGPKKNQKPTYAGPPAPPNRFNIPPG YRWDGVVRGNNWEEKALLQVNARKAHKQDMYQWATADM H257_00989 MAASKMEALKRYMGGGAPEEKLKKKRKKKKTDTGLGMYVVDDDD DAWNDGLSKQGKGKDLWQDERTEYDEEQPTVVGDGAEDYDPESQPIVANAEEFFERER TEELEKQWLAAETKPSVDASPPRKARHQDASPPRKSRQQDASSPPRKSRRQDVKDPSE ASSPSRQKEDLSPPRKVRHEENSPPRRRKKVASGDRPQDLSQEENSSPPRRPRDDTSP PRRSHREIFSPPRRSHRDTSSPPRRRSRFDNASPPRREKQRDDGSQRSTRDHAASPPR RSRRTDDSSPPRRSQRENSPPSSRSRRVDASPPRRSHQDKSPRRSGRDTSSPRRRSRF DVAPSSRKESSSPPRRRPHEEISPPRPRSSTSTSKFDPRRRDAPTSPKSGRRDGNASS PRRNPSNDDQLAVGGVFTGKEFKAQQDQLRATQQAAFQDEALMGKQAETIYRDKRGRK LDMLMEMQRQQEIQDGKRKREAKEEFEWGTGKVRKEELRSHQQELDAMRAKVHTMTLW NDGI H257_00990 MQVDHSNPITLSRYVLADKSIQKNNDLCILFNSIELACKVISSA VRRAGLTGLYGLDGSQNSTGDDVKKLDILANDIFINSLKNSTKIEVMVSEENEEPIWV NTASDTKFCIAFDPLDGSSNIDCNISTGTIFAIYEKNAATATSASTGGLSDILQPGKS LVAAGYCMYGSSTQLVLTWGNGVVGFTLDPTIGSFILSHPDIKIPSNPKTIYSCNEGN LSLWDAQTTQFVHECKTAAKPYSARYVGSMVSDVHRTLLYGGIFFYPADKKSKSGKLR LLYEANPMSFIVEQAGGISTTGTQRVLDLIPTSIHERSPIFLGCKRDVNRILELYGQP TQ H257_00991 MAKAHPSIALRRARTDSALQLFFHGHPQPTTTSLSASGDHAAQV TPVDPHYVSLSDIQLADSTESSSNHTGDAELRAMLAQCVAAVSSPPPPPCALAAILFE TSVHHLVEMHFRVSAIHACEAYVAARRALVQTFVGQLDCGIDAGDGAASSKLYTQVHM LTTVYKNDVQVLRRSIARFEDASREALKRRGHHLHTSTTSTAGPSGLSSPRSTASMDK DDLELQFVAARAQVDHDVLDVLDFHDQVDANNAVAAVQLATTRVCELEYAVGHVRLLQ SFFDAKASRREELELERRSRLVVLKNPHSELRHYLPQLHADLDELLTQFTAGQARLAA AIADEAKHQEDTLLRAMCCVRDSDASSTVLLHPTLHSGRIHDGLWAKLSAVERLVAIE TRLPPTLHQWLALGHAQAHLPSNADAVGAAVAVAVAKESQALTRQHAERMRALEEAHA VEMDTLRKDRRQRQVRAVLRKWTQSLEGGTSPPMLELEDDETPIDPEDEVELSHDHRA ELLAVVRLTRMVARRRAIAAKQRAAAHVAHLTTLQQTHKQMLATLAEELDCEQSLEHA RLMDRVRKRRAVRGIPHQHHKSGAASGDESNDDAADEKKVVLAEQEAVLAQVEAAAAK KATDATVAALAAEAVTIGLSDGGGSTPEVPSGPTSRHLPTDDAFGMLVKRMAETDVAR VQCSISQVHAIEKVLLQMRARVKDTAKSTGSGNSKQVVGGKRKKPAVAFR H257_00992 MVLEGATVARSLYDENLRLQERLDAQELEIEELLMEKTRLKRKE MRALYRQRMVYRHPAVVLEEPTASDETFDFDRREMQIILMEDAYDSMLRMVDNGMNEV SEDDVDVVDSYHGLYRFHRQWHPHPNSDVPIKSQNISMYDEPWKSLLDAYANGNPNKF KYLSSWIRYMLLGGSIGPAAAPAQFPAGVELSFLSKEIFEGFSRILVPALQEHRPDLN VHVFSKTVVDCTLRIVVMPKHRLHTKKSEQHEGGQPAAGLPVAS H257_00993 MHIPEEADLFRILQSVQTKMEGAKVFIPDKEHVWLPASVKGIEG SVVTVKVEDVETGGAPVANMSLGASVVGKVVSVDLSKTDVINVIQARTVKSSTANTSI SLPLQNKVMTKTHGFEDMISVDHLHEGAILYNLRQRFFNLLPYTYTGKICIAVNPYQW LRLYSPETMQLYMDGSRENKAPHVYAVSMESFFHMRTHTINQSILVSGESGAGKTETT KIMMNHVATLASTSDMSIIQRIIQSNPLLESFGNAATARNDNSSRFGKFTELQFDVRG HLMGARAHTYLLEKSRVTHQAPGERTFHILYQVLAEASTLPHLHLDASRRYRYVQQDP ADKVKLEHSWADTQNGLTIVGIADAMQSDLLRVLAAILHLGESDFEMVEGDVDSSQVA DAAVLATAADLLGLPVAHLEKVLTFRSVIVGREVISKPMTVDQARDCRDAVAKSLYSS LFTWLVDQINAAIGVGHASHFIGILDIFGFEAFVHNSFEQLCINYANEKLQQKFVQDV LKTVQVEYEEEGISWHHVTFADNQDVLDLIEGRLGVLSLLNEESSLATGTDASFAHKL SAVMDNHARFETPRLHSSAFIILHYAGKVLYDTNGFLDKHRDALLPDIKKVLGESSVH LVRTMFPTDMTSCHRSLHRQSSSATHHHAKRGPGVAATRAVTVGTQFKESLARLMDKI SHTNVHYVRCIKPNSVKSPHAFHHESIVDQLRCAGVIEAIRVSRSAYPSRLPHVDCIK RYAILAPAALARRLLAEVDFVADPKAQCSDLMGNLFPHGHIVDYQVGLSRVYFRESIL DDLDKRRGVALRRFAIRIQTTVKMFLARRRFVKQRAAIVVVQARGRGFVQRSRFRRVR RGVVALQAVVRGRRARHDVLQLRRVRAATKLQAAARMHRQRTQYLREVASISTIQSFW RTMYRRRKLAKRMAQEQQQRALGSKVLHLQSQLGGGGAAAGAKSVMATTTNTSTTSTT STSSSSSTTAYSPPHSATNRASMTTRLFDESSQVLGTLAADNESLRERVARQESEINA LKEENRKMREALQAKEVEDKVKNLSQRSQEASQVAYLSLLEEEYEKLRGFVCHLFELP VDTGLVRAPSVKEIGVVDAKAPAATSSSVDMTAAKHEASTLLHRSAARLHRLRSKEGT NPKRGSARRVKDYWDEIKTNAAPLPYTLGSTPWKRLLTDWAQGNPKKLEYMSRWLQNV LEGGDVEHGGFPLGVELKSVTPMMLEGFMQLVIPKLAERQDVKVHVHTKEFIGTSMRI TLEVKEGVPRMARTSVKDGVKPRESTVGGGGDQWGRNSVMSVRETSSFSFGSNGSEHS RASGAAKSPSRTSGVMTNNPRS H257_00994 MGVQLVLAALDSRPLLRRRQRMMLRRLVWTTAFMGTTAAQNAIS ADKPACDVNPGALLTKPTLSSRCEQLCTAASLDLLQQAVAKPPPYCLVVDGKLFSAQN TTQDVFTLVDVTKLASSVSHIEGLPSIGLSIYLNNLGVTTMNKSLAYRATKGTDIRAT LLDISSNLLTTLSGTTFPSRLMTLIADNNQLTDASNMTAPALSILQLKANEFTAVPSL SIKSLVSLNMDSNAITSLTSAAAAGPWQGIPNITTLSFAHNRLTDLPSTWPPYLQTLN LQHNALTKVNFTALPPSLSFVCLGGNNLTLIAASSAAFEVLKKLSQPHADAGHASSTC DDSAPFASPNLPTPQCPPPTKLETLWGVYPICVTPPTTSSSLDGSKSGASVVVVSVAL ALIMLLLLAICIQRRRARLSPNWYDEVDHKYYGAVDAALVDNDVRFDDMYAAFQIPAP SIVRHHVLARGGFGIVYLATLHPSPAYPILSAPVSVAMKRMLPEKASELHSVEDFMEE IRLSARLYHKNIVKFIGFSWTTLQNLSALTEYMEHGDLWNYMQATPLFSWGVSKQVHV RINRPRRPPPPPPPPHDHHPSSSSSAMFSKSSFSHSVQSLTSHPASTPSTSDEASGGV VLPVSKFTMLCDVVEALVYLHSMDPVIIHRDLKTKNVLLNGVGVAKLTDFGVSRETSE DTMTAEIGTVAWIAPEVLKGIYYSEKADIYSLGVLISEMDTAEVPYSNLDQIFPEFRE PMDIHAAKTRIAMLVVAGDLRPSLTPACPPCIVDIASRCLSYDPHRRPHIHQVWDWLN QVKHAMLAAAAGGV H257_00994 MGVQLVLAALDSRPLLRRRQRMMLRRLVWTTAFMGTTAAQNAIS ADKPACDVNPGALLTKPTLSSRCEQLCTAASLDLLQQAVAKPPPYCLVVDGKLFSAQN TTQDVFTLVDVTKLASSVSHIEGLPSIGLSIYLNNLGVTTMNKSLAYRATKGTDIRAT LLDISSNLLTTLSGTTFPSRLMTLIADNNQLTDASNMTAPALSILQLKANEFTAVPSL SIKSLVSLNMDSNAITSLTSAAAAGPWQGIPNITTLSFAHNRLTDLPSTWPPYLQTLC GLLRPPPPFFPSSYSRSLCRNLQHNALTKVNFTALPPSLSFVCLGGNNLTLIAASSAA FEVLKKLSQPHADAGHASSTCDDSAPFASPNLPTPQCPPPTKLETLWGVYPICVTPPT TSSSLDGSKSGASVVVVSVALALIMLLLLAICIQRRRARLSPNWYDEVDHKYYGAVDA ALVDNDVRFDDMYAAFQIPAPSIVRHHVLARGGFGIVYLATLHPSPAYPILSAPVSVA MKRMLPEKASELHSVEDFMEEIRLSARLYHKNIVKFIGFSWTTLQNLSALTEYMEHGD LWNYMQATPLFSWGVSKQVHVRINRPRRPPPPPPPPHDHHPSSSSSAMFSKSSFSHSV QSLTSHPASTPSTSDEASGGVVLPVSKFTMLCDVVEALVYLHSMDPVIIHRDLKTKNV LLNGVGVAKLTDFGVSRETSEDTMTAEIGTVAWIAPEVLKGIYYSEKADIYSLGVLIS EMDTAEVPYSNLDQIFPEFREPMDIHAAKTRIAMLVVAGDLRPSLTPACPPCIVDIAS RCLSYDPHRRPHIHQVWDWLNQVKHAMLAAAAGGV H257_00995 MRIVVPVLRGGGVVGGMTHSVLVVFLCLVAMMAQRVTTTLPPYD LTAFDKALLRESRAMVDLDARPYELWTLTTNCGWGYYIQLVFFGFPPNGPGEHEYNAL CVRTRSNGTLFAVNFSKTLFDASVIVDRRNGPPIEYLEDLPANATTKYVHPHIYILVV APSRRGSIYQNLGFVAMSNDLSINTHFGVSQAVTLNLQNNSLVDISNTILPKSLKTFV VDQNLLVNVSNLTAAALLTLSMNSNRLAQLPSLANHPSLERLEVDANNLTSLSNVHWN NTPGLSYISAARNQIAHWPDTWPASLTTLNLQHNQLTNVTANFPPSLSALCLAGNPLQ ALYATPSQFELLAGLNNSACSASSTTVNATTPLLAFGPAWPVSCSSSVRMLWNTYPVC MLAAASPSGLSPAAVATAVATACVLVLAGACCWHRRRRALKAAKDQRWFDEVDSHYYG AVDAHKLVHDIRFDDALERFFVPAHSIHRHDLVARGGNGMVHVATVTSASSSGTTTST TVAMKRMLPEKAADAQCVEDFMEEIRLCSRLQHKNIVQFIGFSWTTLQNLSALTEYME RGDLWSFLARGNNHLAWCVNDDDDAVLKKLTVNRLKTDGPGAVAVVASHSLASTTDMV QDMTDMLEPPTPVSKLSLLCDIVDGLVYLHSMSPPIIHRDLKAKNVLLDGDLTAKLAD FGVSRETCDMTMTAEVGTVAWIAPEVLKGVYYSEKADVYSLGVVMSELDTAQVPYSNL DYIYSCHDLHDVNVVRTRLAMLVVAGDVRPSFTKECPPCLADLAVQCLSYHPEDRPRI QDICMYLRELKQQSQHHHQQQRRHYHATDMLSSRSPSHHQIHSNYSTSLTNTTATTTT TTSSGRIDV H257_00995 MRIVVPVLRGGGVVGGMTHSVLVVFLCLVAMMAQRVTTTLPPYD LTAFDKALLRESRAMVDLDARPYELWTLTTNCGWGYYIQLVFFGFPPNGPGEHEYNAL CVRTRSNGTLFAVNFSKTLFDASVIVDRRNGPPIEYLEDLPANATTKYVHPHIYILVV APSRRGSIYQNLGFVAMSNDLSINTHFGVSQAVTLNLQNNSLVDISNTILPNVVDQNL LVNVSNLTAAALLTLSMNSNRLAQLPSLANHPSLERLEVDANNLTSLSNVHWNNTPGL SYISAARNQIAHWPDTWPASLTTLNLQHNQLTNVTANFPPSLSALCLAGNPLQALYAT PSQFELLAGLNNSACSASSTTVNATTPLLAFGPAWPVSCSSSVRMLWNTYPVCMLAAA SPSGLSPAAVATAVATACVLVLAGACCWHRRRRALKAAKDQRWFDEVDSHYYGAVDAH KLVHDIRFDDALERFFVPAHSIHRHDLVARGGNGMVHVATVTSASSSGTTTSTTVAMK RMLPEKAADAQCVEDFMEEIRLCSRLQHKNIVQFIGFSWTTLQNLSALTEYMERGDLW SFLARGNNHLAWCVNDDDDAVLKKLTVNRLKTDGPGAVAVVASHSLASTTDMVQDMTD MLEPPTPVSKLSLLCDIVDGLVYLHSMSPPIIHRDLKAKNVLLDGDLTAKLADFGVSR ETCDMTMTAEVGTVAWIAPEVLKGVYYSEKADVYSLGVVMSELDTAQVPYSNLDYIYS CHDLHDVNVVRTRLAMLVVAGDVRPSFTKECPPCLADLAVQCLSYHPEDRPRIQDICM YLRELKQQSQHHHQQQRRHYHATDMLSSRSPSHHQIHSNYSTSLTNTTATTTTTTSSG RIDV H257_00995 MRIVVPVLRGGGVVGGMTHSVLVVFLCLVAMMAQRVTTTLPPYD LTAFDKALLRESRAMVDLDARPYELWTLTTNCGWGYYIQLVFFGFPPNGPGEHEYNAL CVRTRSNGTLFAVNFSKTLFDASVIVDRRNGPPIEYLEDLPANATTNIYQNLGFVAMS NDLSINTHFGVSQAVTLYARSRHHRCNSCGDRRNLQNNSLVDISNTILPKSLKTLYDF ALVMRLILVCSVVDQNLLVNVSNLTAAALLTLSMNSNRLAQLPSLANHPSLERLEVDA NNLTSLSNVHWNNTPGLSYISAARNQIAHWPDTWPASLTTLYINRSSSNVLSIHMARN LQHNQLTNVTANFPPSLSALCLAGNPLQALYATPSQFELLAGLNNSACSASSTTVNAT TPLLAFGPAWPVSCSSSVRMLWNTYPVCMLAAASPSGLSPAAVATAVATACVLVLAGA CCWHRRRRALKAAKDQRWFDEVDSHYYGAVDAHKLVHDIRFDDALERFFVPAHSIHRH DLVARGGNGMVHVATVTSASSSGTTTSTTVAMKRMLPEKAADAQCVEDFMEEIRLCSR LQHKNIVQFIGFSWTTLQNLSALTEYMERGDLWSFLARGNNHLAWCVNDDDDAVLKKL TVNRLKTDGPGAVAVVASHSLASTTDMVQDMTDMLEPPTPVSKLSLLCDIVDGLVYLH SMSPPIIHRDLKAKNVLLDGDLTAKLADFGVSRETCDMTMTAEVGTVAWIAPEVLKGV YYSEKADVYSLGVVMSELDTAQVPYSNLDYIYSCHDLHDVNVVRTRLAMLVVAGDVRP SFTKECPPCLADLAVQCLSYHPEDRPRIQDICMYLRELKQQSQHHHQQQRRHYHATDM LSSRSPSHHQIHSNYSTSLTNTTATTTTTTSSGRIDV H257_00995 MRIVVPVLRGGGVVGGMTHSVLVVFLCLVAMMAQRVTTTLPPYD LTAFDKALLRESRAMVDLDARPYELWTLTTNCGWGYYIQLVFFGFPPNGPGEHEYNAL CVRTRSNGTLFAVNFSKTLFDASVIVDRRNGPPIEYLEDLPANATTNIYQNLGFVAMS NDLSINTHFGVSQAVTLYARSRHHRCNSCGDRRNLQNNSLVDISNTILPKSLKTFVVD QNLLVNVSNLTAAALLTLSMNSNRLAQLPSLANHPSLERLEVDANNLTSLSNVHWNNT PGLSYISAARNQIAHWPDTWPASLTTLYINRSSSNVLSIHMARNLQHNQLTNVTANFP PSLSALCLAGNPLQALYATPSQFELLAGLNNSACSASSTTVNATTPLLAFGPAWPVSC SSSVRMLWNTYPVCMLAAASPSGLSPAAVATAVATACVLVLAGACCWHRRRRALKAAK DQRWFDEVDSHYYGAVDAHKLVHDIRFDDALERFFVPAHSIHRHDLVARGGNGMVHVA TVTSASSSGTTTSTTVAMKRMLPEKAADAQCVEDFMEEIRLCSRLQHKNIVQFIGFSW TTLQNLSALTEYMERGDLWSFLARGNNHLAWCVNDDDDAVLKKLTVNRLKTDGPGAVA VVASHSLASTTDMVQDMTDMLEPPTPVSKLSLLCDIVDGLVYLHSMSPPIIHRDLKAK NVLLDGDLTAKLADFGVSRETCDMTMTAEVGTVAWIAPEVLKGVYYSEKADVYSLGVV MSELDTAQVPYSNLDYIYSCHDLHDVNVVRTRLAMLVVAGDVRPSFTKECPPCLADLA VQCLSYHPEDRPRIQDICMYLRELKQQSQHHHQQQRRHYHATDMLSSRSPSHHQIHSN YSTSLTNTTATTTTTTSSGRIDV H257_00995 MRIVVPVLRGGGVVGGMTHSVLVVFLCLVAMMAQRVTTTLPPYD LTAFDKALLRESRAMVDLDARPYELWTLTTNCGWGYYIQLVFFGFPPNGPGEHEYNAL CVRTRSNGTLFAVNFSKTLFDASVIVDRRNGPPIEYLEDLPANATTNIYQNLGFVAMS NDLSINTHFGVSQAVTLYARSRHHRCNSCGDRRNLQNNSLVDISNTILPKSLKTFVVD QNLLVNVSNLTAAALLTLSMNSNRLAQLPSLANHPSLERLEVDANNLTSLSNVHWNNT PGLSYISAARNQIAHWPDTWPASLTTLNLQHNQLTNVTANFPPSLSALCLAGNPLQAL YATPSQFELLAGLNNSACSASSTTVNATTPLLAFGPAWPVSCSSSVRMLWNTYPVCML AAASPSGLSPAAVATAVATACVLVLAGACCWHRRRRALKAAKDQRWFDEVDSHYYGAV DAHKLVHDIRFDDALERFFVPAHSIHRHDLVARGGNGMVHVATVTSASSSGTTTSTTV AMKRMLPEKAADAQCVEDFMEEIRLCSRLQHKNIVQFIGFSWTTLQNLSALTEYMERG DLWSFLARGNNHLAWCVNDDDDAVLKKLTVNRLKTDGPGAVAVVASHSLASTTDMVQD MTDMLEPPTPVSKLSLLCDIVDGLVYLHSMSPPIIHRDLKAKNVLLDGDLTAKLADFG VSRETCDMTMTAEVGTVAWIAPEVLKGVYYSEKADVYSLGVVMSELDTAQVPYSNLDY IYSCHDLHDVNVVRTRLAMLVVAGDVRPSFTKECPPCLADLAVQCLSYHPEDRPRIQD ICMYLRELKQQSQHHHQQQRRHYHATDMLSSRSPSHHQIHSNYSTSLTNTTATTTTTT SSGRIDV H257_00995 MRIVVPVLRGGGVVGGMTHSVLVVFLCLVAMMAQRVTTTLPPYD LTAFDKALLRESRAMVDLDARPYELWTLTTNCGWGYYIQLVFFGFPPNGPGEHEYNAL CVRTRSNGTLFAVNFSKTLFDASVIVDRRNGPPIEYLEDLPANATTNIYQNLGFVAMS NDLSINTHFGVSQAVTLNLQNNSLVDISNTILPKSLKTLYDFALVMRLILVCSVVDQN LLVNVSNLTAAALLTLSMNSNRLAQLPSLANHPSLERLEVDANNLTSLSNVHWNNTPG LSYISAARNQIAHWPDTWPASLTTLNLQHNQLTNVTANFPPSLSALCLAGNPLQALYA TPSQFELLAGLNNSACSASSTTVNATTPLLAFGPAWPVSCSSSVRMLWNTYPVCMLAA ASPSGLSPAAVATAVATACVLVLAGACCWHRRRRALKAAKDQRWFDEVDSHYYGAVDA HKLVHDIRFDDALERFFVPAHSIHRHDLVARGGNGMVHVATVTSASSSGTTTSTTVAM KRMLPEKAADAQCVEDFMEEIRLCSRLQHKNIVQFIGFSWTTLQNLSALTEYMERGDL WSFLARGNNHLAWCVNDDDDAVLKKLTVNRLKTDGPGAVAVVASHSLASTTDMVQDMT DMLEPPTPVSKLSLLCDIVDGLVYLHSMSPPIIHRDLKAKNVLLDGDLTAKLADFGVS RETCDMTMTAEVGTVAWIAPEVLKGVYYSEKADVYSLGVVMSELDTAQVPYSNLDYIY SCHDLHDVNVVRTRLAMLVVAGDVRPSFTKECPPCLADLAVQCLSYHPEDRPRIQDIC MYLRELKQQSQHHHQQQRRHYHATDMLSSRSPSHHQIHSNYSTSLTNTTATTTTTTSS GRIDV H257_00995 MRIVVPVLRGGGVVGGMTHSVLVVFLCLVAMMAQRVTTTLPPYD LTAFDKALLRESRAMVDLDARPYELWTLTTNCGWGYYIQLVFFGFPPNGPGEHEYNAL CVRTRSNGTLFAVNFSKTLFDASVIVDRRNGPPIEYLEDLPANATTNIYQNLGFVAMS NDLSINTHFGVSQAVTLNLQNNSLVDISNTILPKSLKTFVVDQNLLVNVSNLTAAALL TLSMNSNRLAQLPSLANHPSLERLEVDANNLTSLSNVHWNNTPGLSYISAARNQIAHW PDTWPASLTTLYINRSSSNVLSIHMARNLQHNQLTNVTANFPPSLSALCLAGNPLQAL YATPSQFELLAGLNNSACSASSTTVNATTPLLAFGPAWPVSCSSSVRMLWNTYPVCML AAASPSGLSPAAVATAVATACVLVLAGACCWHRRRRALKAAKDQRWFDEVDSHYYGAV DAHKLVHDIRFDDALERFFVPAHSIHRHDLVARGGNGMVHVATVTSASSSGTTTSTTV AMKRMLPEKAADAQCVEDFMEEIRLCSRLQHKNIVQFIGFSWTTLQNLSALTEYMERG DLWSFLARGNNHLAWCVNDDDDAVLKKLTVNRLKTDGPGAVAVVASHSLASTTDMVQD MTDMLEPPTPVSKLSLLCDIVDGLVYLHSMSPPIIHRDLKAKNVLLDGDLTAKLADFG VSRETCDMTMTAEVGTVAWIAPEVLKGVYYSEKADVYSLGVVMSELDTAQVPYSNLDY IYSCHDLHDVNVVRTRLAMLVVAGDVRPSFTKECPPCLADLAVQCLSYHPEDRPRIQD ICMYLRELKQQSQHHHQQQRRHYHATDMLSSRSPSHHQIHSNYSTSLTNTTATTTTTT SSGRIDV H257_00995 MRIVVPVLRGGGVVGGMTHSVLVVFLCLVAMMAQRVTTTLPPYD LTAFDKALLRESRAMVDLDARPYELWTLTTNCGWGYYIQLVFFGFPPNGPGEHEYNAL CVRTRSNGTLFAVNFSKTLFDASVIVDRRNGPPIEYLEDLPANATTNIYQNLGFVAMS NDLSINTHFGVSQAVTLNLQNNSLVDISNTILPKSLKTFVVDQNLLVNVSNLTAAALL TLSMNSNRLAQLPSLANHPSLERLEVDANNLTSLSNVHWNNTPGLSYISAARNQIAHW PDTWPASLTTLNLQHNQLTNVTANFPPSLSALCLAGNPLQALYATPSQFELLAGLNNS ACSASSTTVNATTPLLAFGPAWPVSCSSSVRMLWNTYPVCMLAAASPSGLSPAAVATA VATACVLVLAGACCWHRRRRALKAAKDQRWFDEVDSHYYGAVDAHKLVHDIRFDDALE RFFVPAHSIHRHDLVARGGNGMVHVATVTSASSSGTTTSTTVAMKRMLPEKAADAQCV EDFMEEIRLCSRLQHKNIVQFIGFSWTTLQNLSALTEYMERGDLWSFLARGNNHLAWC VNDDDDAVLKKLTVNRLKTDGPGAVAVVASHSLASTTDMVQDMTDMLEPPTPVSKLSL LCDIVDGLVYLHSMSPPIIHRDLKAKNVLLDGDLTAKLADFGVSRETCDMTMTAEVGT VAWIAPEVLKGVYYSEKADVYSLGVVMSELDTAQVPYSNLDYIYSCHDLHDVNVVRTR LAMLVVAGDVRPSFTKECPPCLADLAVQCLSYHPEDRPRIQDICMYLRELKQQSQHHH QQQRRHYHATDMLSSRSPSHHQIHSNYSTSLTNTTATTTTTTSSGRIDV H257_00995 MRIVVPVLRGGGVVGGMTHSVLVVFLCLVAMMAQRVTTTLPPYD LTAFDKALLRESRAMVDLDARPYELWTLTTNCGWGYYIQLVFFGFPPNGPGEHEYNAL CVRTRSNGTLFAVNFSKTLFDASVIVDRRNGPPIEYLEDLPANATTNIYQNLGFVAMS NDLSINTHFGVSQAVTLNLQNNSLVDISNTILPNVVDQNLLVNVSNLTAAALLTLSMN SNRLAQLPSLANHPSLERLEVDANNLTSLSNVHWNNTPGLSYISAARNQIAHWPDTWP ASLTTLNLQHNQLTNVTANFPPSLSALCLAGNPLQALYATPSQFELLAGLNNSACSAS STTVNATTPLLAFGPAWPVSCSSSVRMLWNTYPVCMLAAASPSGLSPAAVATAVATAC VLVLAGACCWHRRRRALKAAKDQRWFDEVDSHYYGAVDAHKLVHDIRFDDALERFFVP AHSIHRHDLVARGGNGMVHVATVTSASSSGTTTSTTVAMKRMLPEKAADAQCVEDFME EIRLCSRLQHKNIVQFIGFSWTTLQNLSALTEYMERGDLWSFLARGNNHLAWCVNDDD DAVLKKLTVNRLKTDGPGAVAVVASHSLASTTDMVQDMTDMLEPPTPVSKLSLLCDIV DGLVYLHSMSPPIIHRDLKAKNVLLDGDLTAKLADFGVSRETCDMTMTAEVGTVAWIA PEVLKGVYYSEKADVYSLGVVMSELDTAQVPYSNLDYIYSCHDLHDVNVVRTRLAMLV VAGDVRPSFTKECPPCLADLAVQCLSYHPEDRPRIQDICMYLRELKQQSQHHHQQQRR HYHATDMLSSRSPSHHQIHSNYSTSLTNTTATTTTTTSSGRIDV H257_00996 MQAEAIDVQSTDLEELSRLATQQRRTLLGNLTQLRKHDYAYLRS LHADPHNSYFLNIARFQESTLNLSNALGPENHQKRCEQLYYLGLSLGRLLTTTHSSSQ LAMDGCQLMDELDFYFAAPSIQNMKLVTVATKVPFYERPREPSADDSVFPEPYRTVVR KWNNKPVYQRLVTPHLAFPLDYCLLVVSICDVVTLVYAKLQEDPCHQPHVFQALLRLD DKLKKTVLDHVNKHLSAAATASIKDQMQSLRPPTTQHPPSRARG H257_00997 MATQPLSPSSIASDLSFVEHEDYLSSDCFSEPGSEGPIGPMIGL GQPHVAPLGRTTIKLPKLNPGHMNIARERQLALNMIGSAQQKMVPSYIANKPPPVGKR SQNRYFNDHHFGNRAATATLDELMEHMSVSVEWKSNFEELARPENQELAEAFRSVKEP THARSNASQNGTHRAKPSVDWTEADDMFTRVDKRARSILLASFVSMQEYVHAVEQVVV HFVQWNQVLPSEDFSPTLVNWLAAPITIDVVTPMVRDSKAAGGRAKPAKHDETVLVVP LLESPFHRLLIHATCQFYGLLSKSHYNPTQKCKLMRIRRPSKRHRHPGMAVSMSAYLQ HCRGLLPVANQDDDVACDDSGFCLVAATEAIAV H257_00997 MTLGRYFNDHHFGNRAATATLDELMEHMSVSVEWKSNFEELARP ENQELAEAFRSVKEPTHARSNASQNGTHRAKPSVDWTEADDMFTRVDKRARSILLASF VSMQEYVHAVEQVVVHFVQWNQVLPSEDFSPTLVNWLAAPITIDVVTPMVRDSKAAGG RAKPAKHDETVLVVPLLESPFHRLLIHATCQFYGLLSKSHYNPTQKCKLMRIRRPSKR HRHPGMAVSMSAYLQHCRGLLPVANQDDDVACDDSGFCLVAATEAIAV H257_00998 MATTLEIKSSVVKPRPSSRMLSLRNLLHVPRSESKRGFNPFVYQ TPTMSTYERVKTVVMCVLLVPVIRVLLVLVLLIPVVLLATLGTLGHSHQDKDGHLLPM SRWRRCVVYPIRWFLRAILFVFGFYYIKVSHAPKSSAAPTQLLVANHIGFIDGLFFGA YCFPSVAVRGDLGSAPIIGPVLRAMDPVLIDRKSAAGRKKAFTDIHDHMTDTRFPPLL IFPEGTTSSQDYLTKFKKGAFAAGLPVQPVVLKYPFRHFDISWPPGVSAGYLVLRMLC QVHMPMDVSFLAPYTPSDAERANPDLFAENVRQVMAKPINAKCTNHTFEDVRLLCEVG AYASKSVAPVTDVSEIFQLTHLTDAAVTDLVRHFAAADTNGDGKINLQELTSRLDEEP AFVARLFELLDQDDDGQVDFRELCIGLSTLNQAQTPVTLAHLYRLAFHLYDADGNGHL SAAEVTHMLQSTRALSGLHEDPAAVDALVQAFDVDGDGLISLDEFAKMARAHPHVLTQ VVDRLSVLRTLEPVEDGGGAIIR H257_00999 MKVLGGVMRGVVLWQQAQAWDVMEWFGAGIFARSGDFTTSRSCK HISVEWTSLFPSHVDLTYTIERRPDHNMQWKWTPVVEMHVPTLSSFEWNDTQVDARWE YTYRLRLYPSSLNGSAASYATSPVSPSCLAWSDRALSLLYSLRFRMENCLPTAARMLA FLGLLHLVLVLWRQYCDITFSPPVKPVKAPPPAMAPLRHKPSSYTSSTESESSSHRDS DLSTIMERPSLESIGGHATCKACSKKFGLFRRKTSACTRCSSTLCRGCRRAKKGQCVC RDEE H257_01000 MDSIVGGSIQPLAIGVGVVGLIALIVLVSVSSHQREQLQYMAKK AFRSKRTETAYGNGNGIDTSPGALPSFAKAAAETGNGRMLQRWASSHGPDINSRSPDN LTALHYAARGGHTECMRILLEAGANPNVEDERHVTPLHLASVGGFGLGVKLLLDNKAD PFVEDADHNTALSAAEAGGNVGCARLLQRAMAKAAVADSSTTGPSLTLRGVQGDSAV H257_01001 MMGAFGRFGHAHYVLNVLLISSYGVARITRTSAKLAEKEEWLGL TREQQILFVLVGSILFNYRKKATVDGVIAMAFLYAKGSIGGLFYLLDPTLFACYAIAA VLIFLVVPYPKYAGPHKSTTLTGAFFNEHVRNGSSGTWLVYLHADWCDECLYHDAMFA DLSLAHGTDAVRFGRLDVEAYPDIAKELNIDMNATTTKQLPSLVLFEGGKETKRLPRF DHTGAVVRTKLDLTGVAVYFGFQGLPQKKHK H257_01002 MASAVIGSLIGTYDLKMAQQWRDEDISHRAQEKQWRDDDIKRAY SWRNEDVEREKRLNKLENERRITDARSEQLSAVSNLSALLGGFAMVANVEISLPDDVS NIVMFFYGTTSAAVILCMLCCMLMCTLLLLAITQYASQELETDLRHLSFDELDVESPF YVWWLKRCEQDWLLAYKFFRMGIFLFLCTVSWVGWVQFEKTAIAGIGMTAIAALSFIF WQVRIESKWRYLLYFPETKIPPSMEGHDDDTAYLSTRTHSVTKDFSIFHTPATTSMPS AAEDRDVPYERAQTPR H257_01003 MSAMIESLIGTYDQRNSSTWRREDVQHRDQECQWRIDDLQREQE WRGQDIRRIKIQAKLENERRQADTRSEQLSAVSSLGALLGGFALVSIINVSLPDPIDL NLLWVYGVTSALCICCMVISSVAFTVLLVAVTRYSAHELEFDVRALQDDDIDFESPFY TWWLKKCETDWMLGYRLFRFGVTLFLAELGIVSWVQYSRWQLTSISISVVAVIGLLIW QFRILSKWRYLMKVPAVQVSAIPRDIVTPST H257_01003 MSAMIESLIGTYDQRNSSTWRREDVQHRDQECQWRIDDLQREQE WRGQDIRRIKIQAKLENERRQADTRSEQLSAVSSLGALLGGFALVSIINVSLPDPIDL NLLWVYGVTSALCICCMVISSVAFTVLLVAVTRYSAHELEFDVRALQDDDIDFESPFY TWWLKKCETDWMLGYRLFRFGIHFDRLDGIANMRLPRRDIVLG H257_01004 MFQTNSGNGGGGGMAAAVHRFMGMYEVKNTRSWRLEDMDYRTQA IQHREEQIQRQLEWRREDIARTRRIHKLANERRLVDSRAYQLSALSQVSLLITIFSRQ AYVESHPPETTNATLVFFQGSTSAISILCLMLCMLRCLMLTMATLNYATTKLEHELAL VPNELLDIDSPFDRWWQKECGVDWVYSYKLFLAGMALLPISVVLINSSLYDWSWLSVG GMTCLFFLLWRCQVQGKWRYVLHTRPATTTPPSPVASQATTRQ H257_01005 MVVIQQSATTNALLRSSFRRRTLLASSLRVRSMSSTSNNPLLAD WSVRPFSFPPFEEIKASHFKPAFASSQEKHLEELKQIANNPEDPTFDNTIKTFDRAGA LFQSVAGVYYNLTASFCPPDLQAVQTELAGPLAEHYAKVTTFPGLFERIQHVHSHRAS AGYTPEQLRLVERFYLDFVRKGALFDKETQDKYNAIVKELAELTTKFRQQVTTDESEV TVPVTAAELEGVPADIVAAARQAAADRNLDGHVITLGRSLVEPFLTFCPNRDARERVW KAWTSRGELSPERDNLSLAVQILKLRSQQAKLHGYKSFADYQTVDTMAQTPEKVLELL NRVWTPAKAAANKERDVLSAYAVSIGESAEIRASDWRFYSEKVRAAKYNLDDSIVKPY FSLERMVEAVFDVAHRLYGLRFELRPDLKAYHPDVLVYQVTNDSGDVVALFLHDNFAR AHKNSGAWMSEFRSQSRNIDDVGTAEIPIVINNNNFTKGHPTTLLSFNDCVTLFHEFG HGLHGMLSNSTYQRLASTSVLKDFVELPSQLMEHWVRQPQVLAKHARHFETNEPIPAE LLAKVMAALKFQQGFATVEYTACALVDQALHAIEDVDGLDLTAFETATLDKLQMPAGI VMRHRIPHFSHLFASSGYASGYYVYLWAEVLDADAFDAFVEAGDIFDKDTADRAKKFI YSAGNTRDLMEGYRLFRGRDPKIEAMLIKKGLV H257_01006 MKTLDARLSTPKKALNRFGTLMDGHMQYNAESARVSAYTDSKFQ EKARHERVAADQEKNEVDGMYIRNEAIQTMGKRKSLDDDFEKASGAGGRFMKITTAMQ EDTKADRELSNDELEFRKCKYDKELEERQKDRELASQQSRLQHETILAMLAAMKK H257_01007 MVLTQWELSLLAPFVKTTTQRRRFLVLCALSYVERPVIPDIRYN LNALSYANALLDFRFDVMGIKKLGYLLGLPAVVITTQRYCASLDEAMCILLGRLAFPT RFHTMTQTLGRSRAALSSSVQWTQAHPLPELLRPLTAFASASTAPSILRMNGLLEYLS QRPSVFSDCFIYGDPAYGISRHLLSGFKGVDLSGKKREFNKWMSRVRQAVEWNFMIMK SLWAFIT H257_01008 MLTVRQIVQHPSVVSTMQAINIEFPTLFRQEHHNLIWDIKGPLQ ASNSNYHHIDHYRPSPHAHPVTTSTPTILVVGSVVIEPPVVVDE H257_01009 MAKAEWSSYVEKLWRASYSIMREWSFVHCDGDMWYVSDVALLDV TEARHHVELCPTGAFHTCNCYDFLSSMIPCVGICQTIVSPAVFGGIKASECDRAHAVD DAFQLSAPSAAPSNHSVSLDVYKKQVANNSHLYRLFMTNLAPFEDGVGDETVRGSLEP FRLPQDAALIALTFSDCPVVTALVEAAYRCDVPDEIEYAIKAFRLVEAEVRCHVGFLP RQLIKHKEKYNNKTAIVVEDYRNSPSKAKRDRLN H257_01010 MQQAIRFNTKILDAESRVGHMLDELMRSLEQDHQEWVFHQKGEM VIEVVTESIKPESLKTVIHEQLHLPRNKALKSDVFRYVNWLWTFAAGHQLYVGLEDES KPSPAAKPVEAPRGGSPQLPRRDGAGEDEAKKVAESAKRHTRGRSFIGCSARVAMDAK VR H257_01011 MTANGHTLQDSLRLAQDENARLASALESSDNSSAPRLKPIKLEV AKFSGAESDKLLRWLLQVKTAFDALGISDQATRVALAMSYLKGCAEDWAFSKRLTDFP SFATFKNELKAMFLRLNSNFRYRSQYLACKQGKRSLQEFILDYLADNVSDDPHVFNCG RTRHISCACPAPRRVASTAPSTHGPSRAPPDNLSRRPPSDPLADSIANDTVPRARFSA PLRARVRREKVAPSRRKATYWGHTRSSGGVRRVFYTL H257_01012 MADVHTASQPRIVVDVPITFDGFDSVTWLNASQPWIHRRVADRL PPSTTNPLDPTFEAMSNDHSPLLPRSALVADVTLLELATVLHPPSKTLSMNVEAFGQT SSYIFDAPVRHIVTGDQVAIQEMHHNVAVRSDKLHQQVRGRYDRKTQAKFADFSVGES CHVTRVITDRVIETQELVPPYEVTLDHSCRLKIYHEVGGEVIKALEWRGLDVEASSMK PAANLVDEIPVVLRKWAAANKEDPAHQDNGLSVGRKEFCRVQSNWIPRRPLANTHSVC V H257_01013 MSSTSNNPLLADWSVRPFSFPPFEAIKASHFKPAFASSQEKHLE ELKQIANNPEDPTFDNTIKTFDRAGALFQSVAGVYYNLTASFCPPDLQAVQTELTGPL AEHHAKVTNFPGLFERIQHVHSHRASGGYTPEQLRLVERFYLDFVRKGALFDKETQDK YNAIVKELAELTTKFHQQVTTDESEVTVPVTVAELECVPADIVAAARQAAADRNLDGH VITLGRSLVEPFLTFCPNRDARERVWKAWTSRGELSPERDNLSLAVRILKLRSQQAKL HGYKSFADYQTVDTMAQTPEKVLELLNRVWTPAKTAANKERDVLSAYAVSIGESAEIR ASDWRFYSEKVRAAKYDLDDSIVKPYFSLERMVEAVFDVAHRLYGLRFELRPDLKAYH ADVPVYQVTNDSGDVVALFLHDSFARAHKKSGAWMSEFRSQSRNIDDVGTAEIPIVIN NTNFTKGHPTTLLSFNDCVTLFHEFGHGLHGMLSNSTYRRLAGTRVLKDFLELPSQLM EHWVRQPQVLAKHARHFETNEPIPAELLAKVMAALKFQQGFATVEYTACALVDQALHA IEDVDGLDLTAFETATLDKLQMPAGIVMRHRIPHFVHLFATSMYASGYYVYLWAEVLD ADAFDAFVEAGDIFHKDTADRAKKFIYSAGNTRDLMEGYRLFRGRDPKIEAMLIKNGL VY H257_01015 MNTDDNSFVKSFFVEEGANHAFSLTQHRSHTRPDSLFNDHDLFS GYNIDDPLADVKQESGTHDGGMFEPLDHTHAPLTPLHKVDFASFPVFNDYELRVPQLA STPTSTFEPQYASFFHHNQYHPNAGAPPSSYSNHHHLHQSQYALPPHHHPVQIQPTSF QYQDPMGGLRASTTISTSPAIEVRKFPADPDFRTFQLANHVLPPSHHRSVSDFKPPQS MQKVPLQRATTAPNHQVVVPSYKSKGKRCTEGACTRRAQSNNRCKAHGGGARCQHPDC PKSSQGGGFCRAHGGGKKCEYDGCVKGQQRKGFCYAHGGIRKCKHAQCDKKDRGNGYC IAHGGGKRCTSDGCMNAVRKGRFCRAHMPTSNDD H257_01016 MTPAARYHPPWRVGMYLESSTSPSTPGAMPPSASPTSVSMERGI TQVRVQPKSTYYQERVVRGVEWNVGPVAAAALSSNKTINSSGRTNFIKQIIFTFVLVC VVTYVLGEHIAAIGLPTAAAAAPFQSAATSTSAVYVSMVSIPIMERVLPLKHLAEELL HRGYRVSIAMPEICRSWVSDVPGLEFISLGTMSVPSHALTIKTAIGHVGVYASYRTSL QHYASFHQPMLHPLVEDFTEDPPSLIVVDRYTFAGMDVAASLGIPFVINNPFLLLDID DPPAYVPAPLSHHPSMEVMTVFQRCMNGYFRLRFRLLNLDLISSSVNPRTSSHANTVV VTNTVFGLEYPRPLTPLHRMVGALRRHTTAPLDADLASWFDISPQNHDDDAADAAGPF QVVYVDFGPDVWVSIEVVTQIITVLRQLDLRVVWKLTADLHKAWSTADDGAPWHALES WEVYFSPLVAHTAVLQRAAFFVTAGGFHHVQEALCVGRPVLGIPFSAEQAEFVDRVTR AGAGVSIEATELTIDNFRHAAHLLLTHDRFAKAATRLGGLLTSAGGVSEAANVVLAVA DRGASALIPAHHTQPVVKTFLLDVYAVYGAVLCGVAVILRTLLSALFSVFQRKSMPDV PKLSTE H257_01016 MYLESSTSPSTPGAMPPSASPTSVSMERGITQVRVQPKSTYYQE RVVRGVEWNVGPVAAAALSSNKTINSSGRTNFIKQIIFTFVLVCVVTYVLGEHIAAIG LPTAAAAAPFQSAATSTSAVYVSMVSIPIMERVLPLKHLAEELLHRGYRVSIAMPEIC RSWVSDVPGLEFISLGTMSVPSHALTIKTAIGHVGVYASYRTSLQHYASFHQPMLHPL VEDFTEDPPSLIVVDRYTFAGMDVAASLGIPFVINNPFLLLDIDDPPAYVPAPLSHHP SMEVMTVFQRCMNGYFRLRFRLLNLDLISSSVNPRTSSHANTVVVTNTVFGLEYPRPL TPLHRMVGALRRHTTAPLDADLASWFDISPQNHDDDAADAAGPFQVVYVDFGPDVWVS IEVVTQIITVLRQLDLRVVWKLTADLHKAWSTADDGAPWHALESWEVYFSPLVAHTAV LQRAAFFVTAGGFHHVQEALCVGRPVLGIPFSAEQAEFVDRVTRAGAGVSIEATELTI DNFRHAAHLLLTHDRFAKAATRLGGLLTSAGGVSEAANVVLAVADRGASALIPAHHTQ PVVKTFLLDVYAVYGAVLCGVAVILRTLLSALFSVFQRKSMPDVPKLSTE H257_01016 MYLESSTSPSTPGAMPPSASPTSVSMERGITQVRVQPKSTYYQE RVVRGVEWNVGPVAAAALSSNKTINSSGRTNFIKQIIFTFVLVCVVTYVLGEHIAAIG LPTAAAAAPFQSAATSTSAVYVSMVSIPIMERVLPLKHLAEELLHRGYRVSIAMPEIC RSWVSDVPGLEFISLGTMSVPSHALTIKTAIGHVGVYASYRTSLQHYASFHQPMLHPL VEDFTEDPPSLIVVDRYTFAGMDVAASLGIPFVINNPFLLLDIDDPPAYVPAPLSHHP SMEVMTVFQRCMNGYFRLRFRLLNLDLISSSVNPRTSSHANTVVVTNTVFGLEYPRPL TPLHRMVGALRRHTTAPLDADLASWFDISPQNHDDDAADAAGPFQVVYVDFGPDVWVS IEVVTQIITVLRQLDLRVVWKLTADLHKAWSTADDGAPWHALESWEVYFSPLVAHTAV LQRAAFFVTAGGFHHVQEALCVGRPVLGIPFSAEQAEFVDRVTRAGAGVSIEATELTI DNFRHAAHLLLTHDRFAKAATRLGGLLTSAGGVSEAANVVLAVADRGASALIPAHHTQ PVVKTFLLDVYAVYGAVLCGVAVILRTLLSALFSVFQRKSMPDVPKLSTE H257_01016 MYLESSTSPSTPGAMPPSASPTSVSMERGITQVRVQPKSTYYQE RVVRGVEWNVGPVAAAALSSNKTINSSGRTNFIKQIIFTFVLVCVVTYVLGEHIAAIG LPTAAAAAPFQSAATSTSAVYVSMVSIPIMERVLPLKHLAEELLHRGYRVSIAMPEIC RSWVSDVPGLEFISLGTMSVPSHALTIKTAIGHVGVYASYRTSLQHYASFHQPMLHPL VEDFTEDPPSLIVVDRYTFAGMDVAASLGIPFVINNPFLLLDIDDPPAYVPAPLSHHP SMEVMTVFQRCMNGYFRLRFRLLNLDLISSSVNPRTSSHANTVVVTNTVFGLEYPRPL TPLHRMVGALRRHTTAPLDADLASWFDISPQNHDDDAADAAGPFQVVYVDFGPDVWVS IEVVTQIITVLRQLDLRVVWKLTADLHKAWSTADDGAPWHALESWEVYFSPLVAHTAV LQRAAFFVTAGGFHHVQEALCVGRPVLGIPFSAEQAEFVDRVTRAGAGVSIEATELTI DNFRHAAHLLLTHDR H257_01016 MTPAARYHPPWRVGMYLESSTSPSTPGAMPPSASPTSVSMERGI TQVRVQPKSTYYQERVVRGVEWNVGPVAAAALSSNKTINSSGRTNFIKQIIFTFVLVC VVTYVLGEHIAAIGLPTAAAAAPFQSAATSTSAVYVSMVSIPIMERVLPLKHLAEELL HRGYRVSIAMPEICRSWVSDVPGLEFISLGTMSVPSHALTIKTAIGHVGVYASYRTSL QHYASFHQPMLHPLVEDFTEDPPSLIVVDRYTFAGMDVAASLGIPFVINNPFLLLDID DPPAYVPAPLSHHPSMEVMTVFQRCMNGYFRLRFRLLNLDLISSSVNPRTSSHANTVV VTNTVFGLEYPRPLTPLHRMVGALRRHTTAPLDADLASWFDISPQNHDDDAADAAGPF QVVYVDFGPDVWVSIEVVTQIITVLRQLDLRVVWKLTADLHKAWSTADDGAPWHALES WEVYFSPLVAHTAVLQRAAFFVTAGGFHHVQEALCVGRPVLGIPFSAEQVPTFIP H257_01016 MTPAARYHPPWRVGMYLESSTSPSTPGAMPPSASPTSVSMERGI TQVRVQPKSTYYQERVVRGVEWNVGPVAAAALSSNKTINSSGRTNFIKQIIFTFVLVC VVTYVLGEHIAAIGLPTAAAAAPFQSAATSTSAVYVSMVSIPIMERVLPLKHLAEELL HRGYRVSIAMPEICRSWVSDVPGLEFISLGTMSVPSHALTIKTAIGHVGVYASYRTSL QHYASFHQPMLHPLVEDFTEDPPSLIVVDRYTFAGMDVAASLGIPFVINNPFLLLDID DPPAYVPAPLSHHPSMEVMTVFQRCMNGYFRLRFRLLNLDLISSSVNPRTSSHANTVV VTNTVFGLEYPRPLTPLHRMVGALRRHTTAPLDADLASWFDISPQNHDDDAADAAGPF QVVYVDFGPDVWVSIEVVTQIITVLRQLDLRVVWKLTADLHKAWSTADDGAPWHALES WEVYFSPLVAHTAVLQRAAFFVTAGGFHHVQEALCVGRPVLGIPFSAEQVPTFIP H257_01016 MTPAARYHPPWRVGMYLESSTSPSTPGAMPPSASPTSVSMERGI TQVRVQPKSTYYQERVVRGVEWNVGPVAAAALSSNKTINSSGRTNFIKQIIFTFVLVC VVTYVLGEHIAAIGLPTAAAAAPFQSAATSTSAVYVSMVSIPIMERVLPLKHLAEELL HRGYRVSIAMPEICRSWVSDVPGLEFISLGTMSVPSHALTIKTAIGHVGVYASYRTSL QHYASFHQPMLHPLVEDFTEDPPSLIVVDRYTFAGMDVAASLGIPFVINNPFLLLDID DPPAYVPAPLSHHPSMEVMTVFQRCMNGYFRLRFRLLNLDLISSSVNPRTSSHANTVV VTNTVFGLEYPRPLTPLHRMVGALRRHTTAPLDADLASWFDISPQNHDDDAADAAGPF QVVYVDFGPDVWVSIEVVTQIITVLRQLDLRVVWKLTADLHKAWSTADDGAPWHALES WEVYFSPLVAHTAVLQRAAFFVTAGGFHHVQEALCVGRPVLGIPFSAEQVPTFIP H257_01016 MTPAARYHPPWRVGMYLESSTSPSTPGAMPPSASPTSVSMERGI TQVRVQPKSTYYQERVVRGVEWNVGPVAAAALSSNKTINSSGRTNFIKQIIFTFVLVC VVTYVLGEHIAAIGLPTAAAAAPFQSAATSTSAVYVSMVSIPIMERVLPLKHLAEELL HRGYRVSIAMPEICRSWVSDVPGLEFISLGTMSVPSHALTIKTAIGHVGVYASYRTSL QHYASFHQPMLHPLVEDFTEDPPSLIVVDRYTFAGMDVAASLGIPFVINNPFLLLDID DPPAYVPAPLSHHPSMEVMTVFQRCMNGYFRLRFRLLNLDLISSSVNPRTSSHANTVV VTNTVFGLEYPRPLTPLHRMVGALRRHTTAPLDADLASWFDISPQNHDDDAADAAGPF QVVYVDFGPDVWVSIEVVTQIITVLRQLDLRVVWKLTADLVMIVRSFDGLCDSV H257_01016 MYLESSTSPSTPGAMPPSASPTSVSMERGITQVRVQPKSTYYQE RVVRGVEWNVGPVAAAALSSNKTINSSGRTNFIKQIIFTFVLVCVVTYVLGEHIAAIG LPTAAAAAPFQSAATSTSAVYVSMVSIPIMERVLPLKHLAEELLHRGYRVSIAMPEIC RSWVSDVPGLEFISLGTMSVPSHALTIKTAIGHVGVYASYRTSLQHYASFHQPMLHPL VEDFTEDPPSLIVVDRYTFAGMDVAASLGIPFVINNPFLLLDIDDPPAYVPAPLSHHP SMEVMTVFQRCMNGYFRLRFRLLNLDLISSSVNPRTSSHANTVVVTNTVFGLEYPRPL TPLHRMVGALRRHTTAPLDADLASWFDISPQNHDDDAADAAGPFQVVYVDFGPDVWVS IEVVTQIITVLRQLDLRVVWKLTADLVMIVRSFDGLCDSV H257_01016 MYLESSTSPSTPGAMPPSASPTSVSMERGITQVRVQPKSTYYQE RVVRGVEWNVGPVAAAALSSNKTINSSGRTNFIKQIIFTFVLVCVVTYVLGEHIAAIG LPTAAAAAPFQSAATSTSAVYVSMVSIPIMERVLPLKHLAEELLHRGYRVSIAMPEIC RSWVSDVPGLEFISLGTMSVPSHALTIKTAIGHVGVYASYRTSLQHYASFHQPMLHPL VEDFTEDPPSLIVVDRYTFAGMDVAASLGIPFVINNPFLLLDIDDPPAYVPAPLSHHP SMEVMTVFQRCMNGYFRLRFRLLNLDLISSSVNPRTSSHANTVVVTNTVFGLEYPRPL TPLHRMVGALRRHTTAPLDADLASWFDISPQNHDDDAADAAGPFQVVYVDFGPDVWVS IEVVTQIITVLRQLDLRVVWKLTADLVMIVRSFDGLCDSV H257_01016 MYLESSTSPSTPGAMPPSASPTSVSMERGITQVRVQPKSTYYQE RVVRGVEWNVGPVAAAALSSNKTINSSGRTNFIKQIIFTFVLVCVVTYVLGEHIAAIG LPTAAAAAPFQSAATSTSAVYVSMVSIPIMERVLPLKHLAEELLHRGYRVSIAMPEIC RSWVSDVPGLEFISLGTMSVPSHALTIKTAIGHVGVYASYRTSLQHYASFHQPMLHPL VEDFTEDPPSLIVVDRYTFAGMDVAASLGIPFVINNPFLLLDIDDPPAYVPAPLSHHP SMEVMTVFQRCMNGYFRLRFRLLNLDLISSSVNPRTSSHANTVVVTNTVFGLEYPRPL TPLHRMVGALRRHTTAPLDADLASWFDISPQNHDDDAADAAGPFQVVYVDFGPDVWVS IEVVTQIITVLRQLDLRVVWKLTADLVMIVRSFDGLCDSV H257_01017 MYPPMQVDDSDLPPPPPLATHINLIQAPTTCHAAFVLAHSPRTS TQRFTPTTYQRASSLQTDDDVRFDRYIDGAKNPDEASPLDLAVKWSVRTMRQVSLFSY YGNTFVSARMISWTVQITLIHAALLASLFAFTPELFAFSYRDPSGTGTGRCDDIVDGI ETTVYAAVANVIVCLPTSHAAMFQLYTTQRKKSYFHTICELLVMMEIVFLGCKLVLDV YLLIVRHFYRDCLNQLPTSLKAKVFVLLALDVYVYVACWYQITLFNRMRDVALGQRNM LPTNHLFEFSDPDWWKLWQISPSRRRSLREIKRGLYESAATGDEAALDQFLHQAISLD GADFHRSWYSQNRLFGRHVATSVRNPLHVAVIKGRTNVVEKLLAAGFDANALDKIQTP SLMDLSQWYQTIFNVLVFLRSTNFSEASGASAHRYGPSAFWCQTLLTPLHVAMMHNES AIVGLLLAAHADPNQVAASNQRKCLVPPLFHADCAVCLRLLMDAGANLLHIPGNGFFM TAYEVVVRSGNFNLADAMVEWGADIALTPMHAAAADGDWKTVATFLEAGIDPNILGEN STGRFHRTPLHWAAMRGQARVVEVLVTAPECNVNAVDLLGMTPLSWACVFNHDVVVEL LLRANADVTVVDTRGRGVVLLVAGFESHAQRPREAVLRLLRAYGGNIHARHDITGESA LHVALKRGHVEMAKLLVQMGLDVTAVDAKGVRAIDCATSSEMQYAVKKAAGQRDVMIS YCHSHRSFALKVRQSLEDHHITTWIDQLDPTGIGGGSEWREEIARGIQSASVVLAVLS EDYPQSQWCMKELAFAKLHNVPVVGITCGNVVVGDELEVYLWTRQAVDFRPSILSTAK AGNHVTFEFDNNQYHVHIRQLLDGLRDEIEERRVVSAALKHQALELHVQHSHDGSRRS GSSSVVSVAASDAYVFLCHGDCHVSFAKALQNQLQAVGIRCKLDQPKPNRQMLAKDHV LDPNCIAVLLVLSDVSTKSETLRDQLAFAENRAKPIVPILLSSQVLDMALLYSLSRSS VHHFNASIGMQQSVDNLVPDLRALQRRATHVVSPSGPFQRQTSQAESIQLHPLRESRI SGRADGGGLPTYMSPAHRTVLPQPVGVDWDLHVEDALHQASPTSVNA H257_01017 MYPPMQVDDSDLPPPPPLATHINLIQAPTTCHAAFVLAHSPRTS TQRFTPTTYQRASSLQTDDDVRFDRYIDGAKNPDEASPLDLAVKWSVRTMRQVSLFSY YGNTFVSARMISWTVQITLIHAALLASLFAFTPELFAFSYRDPSGTGTGRCDDIVDGI ETTVYAAVANVIVCLPTSHAAMFQLYTTQRKKSYFHTICELLVMMEIVFLGCKLVLDV YLLIVRHFYRDCLNQLPTSLKAKVFVLLALDVYVYVACWYQITLFNRMRDVALGQRNM LPTNHLFEFSDPDWWKLWQISPSRRRSLREIKRGLYESAATGDEAALDQFLHQAISLD GADFHRSWYSQNRLFGRHVATSVRNPLHVAVIKGRTNVVEKLLAAGFDANALDKIQTP SLMDLSQWYQTIFNVLVFLRSTNFSEASGASAHRYGPSAFWCQTLLTPLHVAMMHNES AIVGLLLAAHADPNQVAASNQRKCLVPPLFHADCAVCLRLLMDAGANLLHIPGNGFFM TAYEVVVRSGNFNLADAMVEWGADIALTPMHAAAADGDWKTVATFLEAGIDPNILGEN STGRFHRTPLHWAAMRGQARVVEVLVTAPECNVNAVDLLGMTPLSWACVFNHDVVVEL LLRANADVTVVDTRGRGVVLLVAGFESHAQRPREAVLRLLRAYGGNIHARHDITGESA LHVALKRGHVEMAKLLVQMGLDVTAVDAKGVRAIDCATSSEMQYAVKKAAGQRDVMIS YCHSHRSFALKVRQSLEDHHITTWIDQLDPTGIGGGSEWREEIARGIQSASVVLAVLS EDYPQSQWCMKELAFAKLHNVPVVGITCGNVVVGDELEVYLWTRQAVDFRPSILSTAK AGNHVTFEFDNNQYHVHIRQLLDGLRDEIEERRVVSAALKHQALELHVQHSHDGSRRS GSSSVVSVAASDAYVFLCHGDCHVSFAKALQNQLQAVGIRCKLDQPKPNRQMLAKDHV LDPNCIAVLLVLSDVSTKR H257_01017 MYPPMQVDDSDLPPPPPLATHINLIQAPTTCHAAFVLAHSPRTS TQRFTPTTYQRASSLQTDDDVRFDRYIDGAKNPDEASPLDLAVKWSVRTMRQVSLFSY YGNTFVSARMISWTVQITLIHAALLASLFAFTPELFAFSYRDPSGTGTGRCDDIVDGI ETTVYAAVANVIVCLPTSHAAMFQLYTTQRKKSYFHTICELLVMMEIVFLGCKLVLDV YLLIVRHFYRDCLNQLPTSLKAKVFVLLALDVYVYVACWYQITLFNRMRDVALGQRNM LPTNHLFEFSDPDWWKLWQISPSRRRSLREIKRGLYESAATGDEAALDQFLHQAISLD GADFHRSWYSQNRLFGRHVATSVRNPLHVAVIKGRTNVVEKLLAAGFDANALDKIQTP SLMDLSQWYQTIFNVLVFLRSTNFSEASGASAHRYGPSAFWCQTLLTPLHVAMMHNES AIVGLLLAAHADPNQVAASNQRKCLVPPLFHADCAVCLRLLMDAGANLLHIPGNGFFM TAYEVVVRSGNFNLADAMVEWGADIALTPMHAAAADGDWKTVATFLEAGIDPNILGEN STGRFHRTPLHWAAMRGQARVVEVLVTAPECNVNAVDLLGMTPLSWACVFNHDVVVEL LLRANADVTVVDTRGRGVVLLVAGFESHAQRPREAVLRLLRAYGGNIHARHDITGESA LHVALKRGHVEMAKLLVQMGLDVTAVDAKGVRAIDCATSSEMQYAVKKAAGQRDVMIS YCHSHRSFALKVRQSLEDHHITTWIDQLDPTGIGGGSEWREEIARGIQSASVVLAVLS EDYPQSQWCMKELAFAKLHNVPVVGITCGNVVVGDELEVYLWTRQAVDFRPSILSTAK AGNHVTFEFDNNQ H257_01018 MRRSLRVVCRDSLAATPTAAVAKKRPVKDVKVEVKEEATAVTKP RSSSSKRIKKEPIPSPEKEGTTPEPPALASKKASKKELEKAAQLDTYLSFIHTRREQT GDSNKLVGAHVSGASGLENAVFNAAKIGARAFAFFTRSQRTWTCKPLTPDTIAAFHAA MKRFGYAPGDVVPHGSYLLNCGSPDAGVLQKSRDGLLDEVRRCEALGLSLYNFHPGAT KNEISVDECLDLIAESIEQTLQQTTGVTILVENMSNQGSTIGGPFTHLRGIIDRVSEE HRHRVGVCLDTCHAFAAGWDLRDDAYEKTMAEFEATVGFEYLKAVHLNDSKGDVGCHA DRHEKIGRGRVGLEPFRRLMNDPRFNHIPMIIETPYVDDDGYEEEISLLYSLHDNDKP AIK H257_01018 MRRSLRVVCRDSLAATPTAAVAKKRPVKDVKVEVKEEATAVTKP RSSSSKRIKKEPIPSPEKEGTTPEPPALASKKASKKELEKAAQLDTYLSFIHTRREQT GDSNKLVGAHVSGASGLENAVFNAAKIGARAFAFFTRSQRTWTCKPLTPDTIAAFHAA MKRFGYAPGDVVPHGSYLLNCGSPDAGVLQKSRDGLLDEVRRCEALGLSLYNFHPGAT KNEISVDECLDLIAESIEQTLQQTTGVTILVENMSNQGSTIGGPFTHLRGIIDRVSEE HRHRVGVCLDTCHAFAAGWDLRDDA H257_01018 MRRSLRVVCRDSLAATPTAAVAKKRPVKDVKVEVKEEATAVTKP RSSSSKRIKKEPIPSPEKEGTTPEPPALASKKASKKELEKAAQLDTYLSFIHTRREQT GDSNKLVGAHVSGASGLENAVFNAAKIGARAFAFFTRSQRTWTCKPLTPDTIAAFHAA MKRFGYAPGDVVPHGSYLLNCGSPDAGVLQKSRDGLLDEVRRCEALGLSLYNFHPGAT KNEISVDECLDLIAESIEQTLQQTTGVTILVENMSNQGCVLLVHLACVSSWVLYTSIW PRNVHDLSK H257_01019 MMHRFLLQVQAAPLTRQFASKARKATKTLIKPKDAKAPHRSRGP SHAVNKLLPYVDDGKTYRVVFASILERLPLILPDMEPWEEDYYRMKHKIELKEALRLP KDFWFQEPGTVDVEPEDAPFLSEWNEDELVGDGFQIAKRETEDDATNNRHSLNRALKQ RVFLIVQDPTSLKWTFPTTEKASADETMRAAAFRELADTIGTEVEAYPVGNAPMGHLT ISHEDEPTFDGKVHGTKVFFLKAQTFGNEGVVNLNKDKAADYLWVTQSELAEYLEPHV ADVVVKVVPP H257_01020 MAGVNVDLVLNARHIVPVVPEGVVLENHSVVVNEGLIVAILPTA DVTGVYIAAETVDLPDHILIPGLINAHTHASMTLLRGLADDKPLCEWLMEDIFPAEGK FVSDEFVRDGTLHSAAEMIRSGTTCANDMYFFPDAAASTFEKVGLRALVGQVVMEFPT AYATGPADYFAKARAMFTKYKDSSLISLAMAPHAPYTVSDASFEQVLALSREFNVRVH LHLHESEAECVDSATKTPSMMCHQSAEHSRPLQNMQRLGLLNDQLIAAHMTQLTDDEI AAVAAAGTHVSHCPTSNLKLASGICRVSDLLAQGVNVAIGTDGAASNNTLNMFAEMKL AAVLAKGESKCCTSVPAATALRMATLNGAKALGIEAKVGSVEVGKFADLVAVSTDCVE MLPMYSAISHVVYVAGRENVSDVWVAGKRLLANRVLTTIDEVQVKQHCKKWHDAIRDH HAEMVAAKK H257_01020 MAGVNVDLVLNARHIVPVVPEGVVLENHSVVVNEGLIVAILPTA DVTGVYIAAETVDLPDHILIPGLINAHTHASMTLLRGLADDKPLCEWLMEDIFPAEGK FVSDEFVRDGTLHSAAEMIRSGTTCANDMYFFPDAAASTFEKVGLRALVGQVVMEFPT AYATGPADYFAKARAMFTKYKDSSLISLAMAPHAPYTVSDASFEQVLALSREFNVRVH LHLHESEAECVDSATKTPSMMCHQSAEHSRPLQNMQRLGLLNDQLIAAHMTQLTDDEI AAVAAAGTHVSHCPTSNLKLASGICRVSDLLAQGVNVAIGTDGAAST H257_01021 MADKAYASTSGGKVNVPLDSYNRALRKGRHPRSFGRDDTSTLWN ALKTSSDRVSNVRFGQTLGSVVDKEAVPPPSSPVMLSRVDSIAAPSRDGRDEGSPVGR QSFSRLRGKAPALALGMAGSSSPEKRDALRRGKKKHHHNGSTDDVLCRLQALGTTSSP TLSVPVAATNAPGQPPPASTSSSSTSNDIDTAKLRNDFSAQSDARIYFGSTVALELFN GDMMMVSVADSSVVVHPLEHIKHQAKGARDKLLFTLVNLHELRSANAIKYGDSVWLQL SVGTGETSWEQGGVLGAKVRKAPELNALTLSQKRSSNPLDPSDNKDNCGQDPDVLINV GFPVPVKAYLPKTRDDTTDSQIDDMQARLRNKSSRMLGRWIMRSAVANANAKDGYVYN NHEIYLEQDWFYLGADSDPLTRGHVAVLRQLPPPKNHKPGEYIIERRTAWKVRLVDSS NGGLGLSLVQQQMERLLFKAKTQLKASERMRDGESRCYGNGLQGGQNFPRQMRQHIQT ITTTSDQAYIGLQHDRVSNLNAYFETKMAAMDDTTAGQRRGKKHQLSPLDSGGGGSVL QGARSMTSLTSSSSSSSSVQVCNLCVSNRRFDLCTHDHDVSRLLSTGAPLVSSPSAGS SMHSRSASDRSLLSTADQLTRAKQSEAADMRERDRIMRMLGDQDARLVGVIRTTEHQA AMAAIQRRTHHDFSEDDVPHFTSTVSMRHKRHAPPPPEDGLPDIDELRRHLSESKPKG LFADDEEDDNGGVSGADNSCDDDVDGDEGQDQDNGGDDGRDSDASSDTDDDFITDAEI QTLEFVNVDKASMLYGNDEKALLEMLVGFADLALHRVVPQLREALSSHNKASLLETAD FLARAAEFVVARRIQVHVMGLIQSVATSNVDDFSGIEPTLDRLIKEVEGTARFVEKFK AKQQQPPLAAASCSSNDVIEEVNDPHSSE H257_01021 MADKAYASTSGGKVNVPLDSYNRALRKGRHPRSFGRDDTSTLWN ALKTSSDRVSNVRFGQTLGSVVDKEAVPPPSSPVMLSRVDSIAAPSRDGRDEGSPVGR QSFSRLRGKAPALALGMAGSSSPEKRDALRRGKKKHHHNGSTDDVLCRLQALGTTSSP TLSVPVAATNAPGQPPPASTSSSSTSNDIDTAKLRNDFSAQSDARIYFGSTVALELFN GDMMMVSVADSSVVVHPLEHIKHQAKGARDKLLFTLVNLHELRSANAIKYGDSVWLQL SVGTGETSWEQGGVLGAKVRKAPELNALTLSQKRSSNPLDPSDNKDNCGQDPDVLINV GFPVPVKAYLPKTRDDTTDSQIDDMQARLRNKSSRMLGRWIMRSAVANANAKDGYVYN NHEIYLEQDWFYLGADSDPLTRGHVAVLRQLPPPKNHKPGEYIIERRTAWKVRLVDSS NGGLGLSLVQQQMERLLFKAKTQLKASERMRDGESRCYGNGLQGGQNFPRQMRQHIQT ITTTSDQAYIGLQHDRVSNLNAYFETKMAAMDDTTAGQRRGKKHQLSPLGHSSVRPLR SSVSALSVDSGGGGSVLQGARSMTSLTSSSSSSSSVQVCNLCVSNRRFDLCTHDHDVS RLLSTGAPLVSSPSAGSSMHSRSASDRSLLSTADQLTRAKQSEAADMRERDRIMRMLG DQDARLVGVIRTTEHQAAMAAIQRRTHHDFSEDDVPHFTSTVSMRHKRHAPPPPEDGL PDIDELRRHLSESKPKGLFADDEEDDNGGVSGADNSCDDDVDGDEGQDQDNGGDDGRD SDASSDTDDDFITDAEIQTLEFVNVDKASMLYGNDEKALLEMLVGFADLALHRVVPQL REALSSHNKASLLETADFLARAAEFVVARRIQVHVMGLIQSVATSNVDDFSGIEPTLD RLIKEVEGTARFVEKFKAKQQQPPLAAASCSSNDVIEEVNDPHSSE H257_01021 MADKAYASTSGGKVNVPLDSYNRALRKGRHPRSFGRDDTSTLWN ALKTSSDRVSNVRFGQTLGSVVDKEAVPPPSSPVMLSRVDSIAAPSRDGRDEGSPVGR QSFSRLRGKAPALALGMAGSSSPEKRDALRRGKKKHHHNGSTDDVLCRLQALGTTSSP TLSVPVAATNAPGQPPPASTSSSSTSNDIDTAKLRNDFSAQSDARIYFGSTVALELFN GDMMMVSVADSSVVVHPLEHIKHQAKGARDKLLFTLVNLHELRSANAIKYGDSVWLQL SVGTGETSWEQGGVLGAKVRKAPELNALTLSQKRSSNPLDPSDNKDNCGQDPDVLINV GFPVPVKAYLPKTRDDTTDSQIDDMQARLRNKSSRMLGRWIMRSAVANANAKDGYVYN NHEIYLEQDWFYLGADSDPLTRGHVAVLRQLPPPKNHKPGEYIIERRTAWKVRLVDSS NGGLGLSLVQQQMERLLFKAKTQLKASERMRDGESRCYGNGLQGGQNFPRQMRQHIQT ITTTSDQAYIGLQHDRVSNLNAYFETKMAAMDDTTAGQRRGKKHQLSPLGHSSVRPLR SSVSALSVDSGGGGSVLQGARSMTSLTSSSSSSSSVQVCNLCVSNRRFDLCTHDHDVS RLLSTGAPLVSSPSAGSSMHSRSASDRSLLSTADQLTRAKQSEAADMRERDRIMRMLG DQDARLVGVIRTTEHQAAMAAIQRRTHHDFSEDDVPHFTSTVSMRHKRHAPPPPEDGV PISANHPLGLTCVSVCSYPTLTNFGDISRNQSQKGCLPMTKKMTMVVSVARTTVVTTM SMAMKAKTKTMVVTTAETAMRPVIRTTTSSRTPRSKPSSLSTWTRPACCTGTTRRRYW KCWSGLQTWRCIAWCRSSEKRSAATTRPACLRPPIFSHGQQSLSWQDAFKCTSWGSFR VWRQATWTTSQASNQPSTDSSRKSRVPRGSSRSSKPNSSSRRLLQPAAAPTT H257_01021 MADKAYASTSGGKVNVPLDSYNRALRKGRHPRSFGRDDTSTLWN ALKTSSDRVSNVRFGQTLGSVVDKEAVPPPSSPVMLSRVDSIAAPSRDGRDEGSPVGR QSFSRLRGKAPALALGMAGSSSPEKRDALRRGKKKHHHNGSTDDVLCRLQALGTTSSP TLSVPVAATNAPGQPPPASTSSSSTSNDIDTAKLRNDFSAQSDARIYFGSTVALELFN GDMMMVSVADSSVVVHPLEHIKHQAKGARDKLLFTLVNLHELRSANAIKYGDSVWLQL SVGTGETSWEQGGVLGAKVRKAPELNALTLSQKRSSNPLDPSDNKDNCGQDPDVLINV GFPVPVKAYLPKTRDDTTDSQIDDMQARLRNKSSRMLGRWIMRSAVANANAKDGYVYN NHEIYLEQDWFYLGADSDPLTRGHVAVLRQLPPPKNHKPGEYIIERRTAWKVRLVDSS NGGLGLSLVQQQMERLLFKAKTQLKASERMRDGESRCYGNGLQGGQNFPRQMRQHIQT ITTTSDQAYIGLQHDRVSNLNAYFETKMAAMDDTTAGQRRGKKHQLSPLGHSSVRPLR SSVSALSVDSGGGGSVLQGARSMTSLTSSSSSSSSVQVCNLCVSNRRFDLCTHDHDVS RLLSTGAPLVSSPSAGSSMHSRSASDRSLLSTADQLTRAKQSEAADMRERDRIMRYTT HHKRLNHEAREV H257_01022 MDEDAPALSHTVIEAQRRHALKLRLRKECLAFNIDVEHLFDTPE RSQTAKDLVPPEVFYKTLALHGIRFNDDDKTLLLHFASPSGLLSLQSFLHFLDLQRPS DHVDPDLTFALLPQPFRMLNKILDDDILDRAWSAITSSMTYKLQQGQLNADLQEKEAK ALLCPPSSAVVIAVTIPSLDWPIRVSGDRDLIGVLHDNSTLELLQANGEQTMPPTTPL FSSPRLQVQCLSEFKPMRLGQVKRTFFAICARKLPKPSPPPPVEGAPVQAVVDVPDPV ADSLESLVHVYATNSTATSLRYTFSTMDRVVGLTLADDVLFLAVHFESGAVDVYRTPN LASDLASESVAALDATSLVLHVDPSSYRIQAPNKGFASDAAATAAAVTATPAATKGDK HKDKGKGNKDLVVEDVALAIAPPAVYSAYLFVAFLMDLWPQPSTTPTTTGIVLASQYK MLQFQLSPAPPSMCASTTVILSSNVMCAALDASATLVVLGLESGSVIAWNTRLHVELS GLGCHSTPVMALSLFKADCLISLSKGNEVHFYSLATSPTTSFESIREVKSLVRVRTGE LGTPPYVSVATAADVPLTILGQADGTVTVLDARNGDILGSLSLDGRLPIVDAPMSALS FVVGDAVYMPTSATEGNQSLRSIHLNMYSSTSILQTCFAKSALSAPSVFLDRYTPAAI ALSPPTLPSATTSTRPSKPLPSPPPSSGPPPSSTHVARIRRPSVIGGSSSLLLRGAST STCTGATISPVSCSLNLPTPPAIKGLHAIYMRMLQKHEAVAIERDARMAKRRADVLKS LNSIW H257_01023 MADSGGESSSSSSSSDTLLVRKQRLLSSSDVKKPTVHAPDSICD RCDALLDNGSIILACTGACFRKFHIACCPNEIQSSSWQCVDCRTNSHPCFVCQETTRN HPDDPVVACRAAGCGKFYHLACVTRLPLTRVLEGVAAFICPLHTCHQCEGQSHGGAAD QAIRCTRCPRAYHATCFPPSGFERLCSTRGLCHAHFGAATDDDDDKRTKKEHKKDKKD KKKKKKKDKKQKKDKKSVNDETYTPPPPRPANPPVEPQVSTGPDDVPMPHTILPTAPQ ISSLPSCLPLFRPLQERAKSGGLKPPPLVVVAPLQPPPPLALPPIESPPPLNITIPTS QHVVPSDATDDDAAKALSARNKKKKKRKRVRASAVLLTPTEEDAKWVQCDRCKKWRTV PPQLDLSAMSNTAWYCIMNDWDVRYASCDVPEEVVEPKAKKAKLNDDMDDDNDVPIMA PGTPPSAAAAAPPPVSIKPEQPDGMKKETKLTKKQRAKLKSTVQRGKLATPALPGGVD SSAKEIEWVQCESKTCGKWRVVPPSIDIASLPIKWYCSLNTWAPSLATCAAENPSDVE SLWQSKAVKSPPPPPSKQPPRQGKGSPRHQSLHLQGALPTVGDAVVAAAALALPSSVD SPSGHVDSPVGGKNKKIKPPELIPVLEWAQCEKCNKWRKLPAHVKSVNLPDKWFCSMN HWNPAVASCSVPEETDHEPVPARVPMPIGPRPKRGKLSYRELLYAGNGHLRKAFTDES STLSFEFEGTLFHRDDQYRKSSMYLAPMASMLAPSNPPNSPLATPDIGDGEAADLTGP ELDTLKRCVVAVLKQADSRGKSIVDLVAALHSDKGAVPTTAPPSSSSAFYSYAAVDRA VQAMVASGDMEAFQDERLVTVTVPIKISSYASAYYVAGENNHETRTWKERHTGPHLLY RRKIQYKQPLKMAKPWKQKGFTGWTKTTTTLS H257_01024 MEVPPSKASTCKGPKHVLAAVRANAVTSVIYTSSASVVLSTAER SVRKLTEASNPLAYFIEQNEDEHEYGWFNGEHSVGLSPGLTHWHHPPPRHSPLWLVHV LCFVNDSTIASLESSSL H257_01026 MDVFPSLGVSCAFIVAWKDSQVASGRFTSSSTTCDVCEAVVKPD TLGKNCSFAEAVQKTAEHGSIGQATHFVSHAWKYNFCDLVAAIEIYFLSLPSQESKDN VFFWVDLFVVDQHNAPARPHSWWSTTFVDAIRTFGKVVLVFQPFLDPIPLTRAWCLWE IFAAIQSGATIDLAMPTEQWTTYRVSLREDYRAVIDNLQALDARKAEAFNPNDRAEIF HAIEQGVGFDGLNDHVRQLVAGILLVGVTRHSCQDNNLQRLTDMLDLSPNINTVSTFL TPLGVASDMNSPLVVDFLLARGADVNATMSWGHSALHVACRAGNTDIVRLLLLAGAST TLCNAAGRTALEEAKYMASNPSSDAASTTTPPTDSTGVQRAAAEETQCPEALLQAMEA AQNALNSLTKSNISELKSLSKPPEKCVLVMKCVLLILGIPGPSDTTSLKEWWEVGKRK LLSNPNILEALNVGCDFPAIVVSPATTRAAIPQVLDLLADPALDPPLIRNISQAIWSF CLWARCYLIAHQLREQQTDMTAIKTSVEGDLKEAKALQAEHIEMCLKCAEIVRLHVKT TTADDLASSVEPPQIGLNLNPICDGSTDPQGHQ H257_01025 MSTAALQGKVVAITGKLCLGTRDIIAALVESHGGVFAGSVSKHV THLVTADPTASSAKLVQAKKLGVVVVGESFLSSLPQAAIKEETPLPPAKKAKLMEFQG QVWFIYGDLSIDNADIITFIETNGGVYSPTLTQAVTHGIFEEPTATSPTHTLARQWGV KMLNESYITQRMFADMFGDDEKAAIGGDIPVPKTVIADGDVVAVSGNSSNYEVRFRGG VYYCTCMGWKMQNKAVDARSCKHLRQVLGDEFDTWRTRGDSAATTSPVKTVKQNAPKL LLAQTWERQDVVGWWISEKFDGVRGYWNGSEFVSRLGNVFYAPEFFKAHLPTDHHLDG ELFLGRRQFEQTIGIVKSHNAGDKWKTLTFMVFDIPTLKDQPFEARHAFLLTLLADCP FVQVVTQTQCTSEAMMLQTLADVEAVGAEGLMLRQPKSQYVGSRSPTLLKVKTFLDDE AIVVGYEKGKGKYVGVTGSLKVKSRTNKAFSVGSGMTDAMRAAPPAIGTIITYRFQET TQAGIPRFPTFVGIAIDKEWDNK H257_01027 MEHCGVGFITDVAKYPSSSVRQTSNATNSTTMSLRLPSGCSSLC ARPQLCVKTYRTNPLNFCGKPRGFVWWSSHAYWESTQRSRKLAVTWSVFHSSKPFKTR NVSFMTLPPMTLAST H257_01027 MEHCGVGFITDVAKYPSSSVRQTSNATNSTTMSLRLPSGCSSLC ARPQLCVKTYRTNPLNFCGKPRGFVWWSSHAYWESTQRSRKLAVTWSVFHSSKPFKTR NVSFMTLPPMTLAST H257_01028 MSCTVLEEAFGRRMLDGTAEWSDVPEVIRSSFYVLHKSWRGYDK RLSRCEKSLAACLDRQARIELEQVQQSRRDALCMPLARGTPSENELRELQQKMSALKD ELACKLDKVGFLTTMDGAMRVTHDEHTKHITEKLQAHSSRFETTLLKMKTVLSRKLVA VEEHSREAQASAAQHIQDQCRSLQDLQQHVSILDISRDNLQAADKSKMDRLSDIESCI MTLYRGFLGPGPDSAAASHSVKTLASTVPATLLQDILLQRNREILDSSVKQLTQTTTK MFQELREQLCAVQMNLREVESTVSTSSSVPTALPVSNALVKSSEPPKQQTHGNPVEVQ FELLQEQAVKLSVQLKELQTIARSNNSHSPAMPTPSPTRTVLSGSSSCGCESQVHVNE KVLADHVNHNQDYATTPPAVPSMLDTKKLDLRHKRELSKARFDEVALRQKERLRGRQP PPGRKLD H257_01030 MTTQAVELYRLRFRFSPPPFISHAESRVKLHVEVINECGQGISA ITAHPNTPLVQGWVIGVRPCDPVTFVPMSIAESAVVMAPHQRHATIYVSLPKSCSPLR FHAQLHSNNATSAHATMSLQSFTCGASMGTHGHVLVLPVWSNTIVRTHPSSNDTSASV ATCLRRFSIQRPTDQVPSLVTVEERYGDAMASHVWDAGICLSYFLQTYHLPQLSQPLH AMEIASGSGLLGLVLATLLPPHSTLVLTDKPSNLDLLGYNVRQHQSTRPSPPCSVAVC SLEWGNAVHLEALLAPHNPPHLLLLADVLYNWAAHPQLWATVAAIATPLTTIFLAHKD RAATSTAALRHLHVHGTCPSCSLFSTIPSTCGWQQGLWKLELRASFGSTDIFQLVIQH HLST H257_01029 MDAASDTLALLRPHVDDAGDIVHVDIEGGKALGIVLDEVNKQTV IKAFTRHNDAIGPVEQNSNVHVGDALVAINGKDVSKLGLKEVGEILKKLKDRAKVLGW RVESSLQSIDLGGIKSPKHATPASTPTAAPGTSSGSPTSHAVDAVAINIAPAPSSAAK DPNAPPSIDAISVRCPPGPLGLLLNSETLDRAIVIGFQPLPDGSQGVLEMHDNIRPGA VLVRIDGEDVSAWTLDAVKRRLGELADQERTLEFTLPRHRANSQDYSLRRKEEFTLMK QNDSTKIERGECWLVVDAKWVERWVTFAALNGPPPGPITNETLVQPRWEERLEGKLPG DADQPREHLKVSTDYRCVTPLVWSFFAALHGTSKLPPIARYAMDIYGRQLPAVELKKI LKGPSLKAEIAVKEIKHRCQYAP H257_01031 MAPGRESTWHRLEKRLDALEEGFRSLSEVVLLEFDESKANLGFE LEKVSARFRAQERLIAVLENQCNRMDTKSQQYQLMVEKKLDTLQTQVTRVSVAVLENE AERTRMNTNVDQLLVREGEYIRKHHGMQANQDQMGEWLQKLKNDSTQVHALALTMQAE HGAALASLASDTTVLAKELGTLRLQIKAQTADCLAQLNSFTDALEQQRHLDREYMDKE CAALRESLQTSDAMTRTSIDALLKNSSRVRSAVDEGISFCTSDIKVLREEIRNTSDTV RTSLTSFGNQSTEVQSKVSHLLHAVQSLASILHLTTPVLG H257_01031 MAPGRESTWHRLEKRLDALEEGFRSLSEVVLLEFDESKANLGFE LEKVSARFRAQERLIAVLENQCNRMDTKSQQYQLMVEKKLDTLQTQVTRVSVAVLENE AERTRMNTNVDQLLVREGEYIRKHHGMQANQDQMGEWLQKLKNDSTQVHALALTMQAE HGAALASLASDTTVLAKELGTLRLQIKAQTADCLAQLNSFTDALEQQRHLDREYMDKE CAALRESLQTSDAMTRTSIDALLKNSSRVRRTTICPRVAKVACGS H257_01032 MDNAANDGIVLPATSETSETSRIDGVDTEVETVPSVPTAETATS LQNGQRNDDDDDRTVSANMDNNSPVDLTSASIPAVAEKGSNHGDHDSDTVTTAKPTKS QPRKQHATKKPVDTSRWDDVFESMSAQFLAKSDELMAQDKAMYGQVAWAKIRGYPYWP GYICDPKMLVNDYVSIQKFLPMMDTHFWVYFYQANNSAPVLRSQVALWEDTSKPYQDG FPSKKGKPLKNPKFDEAKDIAAVEFQLPIEKRVAWVVLKVLDKETTGEKQARKRKAAA QPPVPPSTEQSPPLGDCEAAQPPPKKRRGRPPKNPVANASSAEPRGGVDGRAGLNATR AAAAAVVAATPKKRGRPPKAKPILLAADLSTQNEPVQQQPNHKPIAIETTTTTIPPVK VKGKPGRKPKAQPPIAKSDETPTTSSTTDQEGQQPPLDMIVGSGDVAVPDASPTEPGQ PPDEIKQPRGRSPKDATPKRRGRPPKAKAAVALFPLEVPPVAQPTPANEVAPTVQDIS PVTLDKSEPTTSVASTVQDTLEPISTTTTTIAVAATDGAEESTPAVIVEDKPDAAGMV GAHDDNENEVDGASTSQVEIPARKRAQVDVKVPASKKPKMANEVTASEATTLEEAGGT GSSPTKSVLVIHKLDLDTAVQLATDLQGCVAGESKESVASALNIMNALIASTEFSLET LQKSGLPAVINTLRQRAVNPNVKKTASALRKHMMQHSGYEKPKPKPVVPPTASAVDDE EAKDPYVEGTVDVGAPNPLTVESVPEAAPTKDEPDNVTTPEGDDGGVVGTAAPFGRDR EIVVEMIQAIITSPKVSREIETALYDRFSDTTEEYKTQARRVIFGLRDHDLCRQKVLS GSLHVMELVFASDEAFTQYNLAPWKAK H257_01033 MSMTMIRLGWCLYVVGVVGGASMATENVAPSSWGSTARTCILVL CLVSMAFHWRKQILGRTVSFAATMAAAKNVQYIKIDKVILKPLQAFNVEIVTCDGWRI HMSRVELDVRLKMFLSSFGQMKLVWVIVDALSVTPPSTIPTTTTRDERPPVPAAPRTT DSIDPPTPVTAVMGVLKFTELQIRSISCVLSVPVVVDGSTVVLAVQCSGKDLELAVHD ISAPTGIMTAEFSHSSSSCFVRIVPPGTHVHDGLFVDPSASTSVHVKYLQLVVKAHYL TKLVHEAQVVGTGPSPSATVSIYENMIKLFQQLQQQSTPAPIGLPSKQATFTNDSFQL ILQTLPVAVHVFLHTTQLSWTTHIDSLHITSTKALDELAAHVQIKSTSISFTTDEAHA VVPWIALSDVEFHANQSAENVTDPTNDSAVVVSIKTTVGSVILQVTPAVRDHVTALRV VSLSGFSTPPTPPRRRRRVAVHVESRDVTVMVQDPLTKAKGVARFVRLVVEDKGDASC RQVSIGSDKFTVEIMIEGGGILRPSLSLTVANSHIQASVVPRLHVQVSVESLEGSFDL NQQDTSRLPCDGVYAPVSRPPRVILTQFNAQVTETDDVCMVVVVHIQDSVAHWNHAEH VASVVAVRSLARAYRQLLDAVHTAPPPTSTLAPSSTTARIKGPLDLTIASKALALHLT GMAHLPANLHVFSVQEFKYHLVETNVEKATIIQTAVAHVDARADKLIHVSSVDVHILH NVLTGAESVKLDVHDLALTLPPKWKLMVFIKQVQQLFLLASLDHSRRTKPPVELTARI TSVVATLALPSDRNNVVLKLTGQSVDIKSTITASCQLSCAVEATLNEMDTCFSVADYR QHVAKVVSFATQIAVHDVDLRAFSAVQDIWRLEFDSISLAGDIHDVGLSNAATNIPRS RYCLGLTADVQRPTLRMDKVFVALEVIPVVVAAFDDLVNASMLENDVVKVNPRQKLFG TIDVAVTESSWVALLTADNPLTCRMGHIRLGVDKSSRVDCHVADLTLGLSSARVLHLS QVHWAIQFVHQGNVANVDLWHAVVCATVTSQTPMENKLSVEWTTLVGVAKTFLTEQLP PPCHDRLAQGFNALQQVSVSLALRPVQIGWSEANTPTLMHFEMNHVDVSFGAAKDSTG SRWQPQSFAVDIKSLQGFILDGPDIPTRPNSFVLQAASVHAVNTTVQANKHVPIQVNK LRLVWTVAIRDHIFHMVDIVHDDVVQLLEIARGHGSTSKAPTALLVHQKSSPTSLLDL LHQGKLGLSDDATPTTTTTTTSSTTKQNAPGVTQHSNNHFPAGLILTKRYSLTLYETQ IHVAEPDSKSSMVVASRQIQIELGKDPLVAYTMADIALTDMTCHVAPLDVDIGAGVLW YNPHLRNTLLQQILNECSITVKYNMALLSQATFVQVDMPSVVVGMDSNQFFQCFSVVR TVLLAPPKVPKPKPNVAPLTMDKSVKLKKVQAAVAEELRMAGLRSTTTTGTKRN H257_01034 MWRSSLIFARKQSLFAAAAAGAAAFSSLNVVSSCDGKIEDASTA SSSQAQPEFPTEAEKIVGRYENRLRRFSSPERVFQYFASIRLDKQPYMTRQDFIRALT PYSFRKGDQLHSKNTEFNPMMAFSAPKKADVDTYKALVQDIMRLGEHDEWKKSTDAKK EMEALMTKLTHDHDIDLQTHLLVLRELNVTCREFESFVEKHGGPKAHREAFFDLVDAD GDGLISYPEYMFFTTLLSIPERQFELAFKMFDNDGNGVIDHREFKEIMDLMRLRTPSG RQDRRLKENSTPAFKNLFGEWERDPLTYEKFRAFRANLKREIMHLHFAHYDVDGSKEL SAREFGMFMVSHVNQTELDQWVEKVDKLHGVEMSITEQEFMDFYSFLENLDAMKVAME LVMEKHGLDKDQFYRATRAACNGGRPLVSRQIVDIIFALFDESGDGAVSPDEFLGVME TRRSAGLRERRDLGAVEFFKRIKSCFLECVADAP H257_01034 MWRSSLIFARKQSLFAAAAAGAAAFSSLNVVSSCDGKIEDASTA SSSQAQPEFPTEAEKIVGRYENRLRRFSSPERVFQYFASIRLDKQPYMTRQDFIRALT PYSFRKGDQLHSKNTEFNPMMAFSAPKKADVDTYKALVQDIMRLGEHDEWKKSTDAKK EMEALMTKLTHDHDIDLQTHLLVLRELNVTCREFESFVEKHGGPKAHREAFFDLVDAD GDGLISYPEYMFFTTLLSIPERQFELAFKMFDNDGNGVIDHREFKEIMDLMRLRTPSG RQDRRLKENSTPAFKNLFGEWERDPLTYEKFRAFRANLKREIMHLHFAHYDVDGSKEL SAREFGMFMVSHVNQTELDQWVEKVDKLHGVEMSITEQEFMDFYSFLENLDAMKVAME LVMEKHGLDKGNATPIRVVSMGVCLDQFYRATRAACNGGRPLVSRQIVDIIFALFDES GDGAVSPDEFLGVMETRRSAGLRERRDLGAVEFFKRIKSCFLECVADAP H257_01034 MWRSSLIFARKQSLFAAAAAGAAAFSSLNVVSSCDGKIEDASTA SSSQAQPEFPTEAEKIVGRYENRLRRFSSPERVFQYFASIRLDKQPYMTRQDFIRALT PYSFRKGDQLHSKNTEFNPMMAFSAPKKADVDTYKALVQDIMRLGEHDEWKKSTDAKK EMEALMTKLTHDHDIDLQTHLLVLRELNVTCREFESFVEKHGGPKAHREAFFDLVDAD GDGLISYPEYMFFTTLLSIPERQFELAFKMFDNDGNGVIDHREFKEIMDLMRLRTPSG RQDRRLKENSTPAFKNLFGEWERDPLTYEKFRAFRANLKREIMHLHFAHYDVDGSKEL SAREFGMFMVSHVNQTELDQWVEKVDKLHGVEMSITEQEFMDFYSFLENLDAMKVAME LVMEKHGLDKDQFYRATRAACNGGRPLVSRQIVDIIFALFDESGDGAVSPDEFLGVME TRRSAGLREVVTCFLSQCNVS H257_01034 MWRSSLIFARKQSLFAAAAAGAAAFSSLNVVSSCDGKIEDASTA SSSQAQPEFPTEAEKIVGRYENRLRRFSSPERVFQYFASIRLDKQPYMTRQDFIRALT PYSFRKGDQLHSKNTEFNPMMAFSAPKKADVDTYKALVQDIMRLGEHDEWKKSTDAKK EMEALMTKLTHDHDIDLQTHLLVLRELNVTCREFESFVEKHGGPKAHREAFFDLVDAD GDGLISYPEYMFFTTLLSIPERQFELAFKMFDNDGNGVIDHREFKEIMDLMRLRTPSG RQDRRLKENSTPAFKNLFGEWERDPLTYEKFRAFRANLKREIMHLHFAHYDVDGSKEL SAREFGMFMVSHVNQTELDQWVEKVDKLHGVEMSITEQEFMDFYSFLENLDAMKVAME LVMEKHGLDKGNATPIRVVSMGVCLDQFYRATRAACNGGRPLVSRQIVDIIFALFDES GDGAVSPDEFLGVMETRRSAGLREVVTCFLSQCNVS H257_01034 MWRSSLIFARKQSLFAAAAAGAAAFSSLNVVSSCDGKIEDASTA SSSQAQPEFPTEAEKIVGRYENRLRRFSSPERVFQYFASIRLDKQPYMTRQDFIRALT PYSFRKGDQLHSKNTEFNPMMAFSAPKKADVDTYKALVQDIMRLGEHDEWKKSTDAKK EMEALMTKLTHDHDIDLQTHLLVLRELNVTCREFESFVEKHGGPKAHREAFFDLVDAD GDGLISYPEYMFFTTLLSIPERQFELAFKMFDNDGNGVIDHREFKEIMDLMRLRTPSG RQDRRLKENSTPAFKNLFGEWERDPLTYEKFRAFRANLKREIMHLHFAHYDVDGSKEL SAREFGMFMVSHVNQTELDQWVEKVDKLHGVEMSITEQEFMDFYSFLENLDAMKVAME LVMEKHGLDKDQFYRATRAACNGGRPLVSRQIVDIIFALFDESGSHTA H257_01034 MWRSSLIFARKQSLFAAAAAGAAAFSSLNVVSSCDGKIEDASTA SSSQAQPEFPTEAEKIVGRYENRLRRFSSPERVFQYFASIRLDKQPYMTRQDFIRALT PYSFRKGDQLHSKNTEFNPMMAFSAPKKADVDTYKALVQDIMRLGEHDEWKKSTDAKK EMEALMTKLTHDHDIDLQTHLLVLRELNVTCREFESFVEKHGGPKAHREAFFDLVDAD GDGLISYPEYMFFTTLLSIPERQFELAFKMFDNDGNGVIDHREFKEIMDLMRLRTPSG RQDRRLKENSTPAFKNLFGEWERDPLTYEKFRAFRANLKREIMHLHFAHYDVDGSKEL SAREFGMFMVSHVNQTELDQWVEKVDKLHGVEMSITEQEFMDFYSFLENLDAMKVAME LVMEKHGLDKDQFYRATRAACNGGRPLVSRQIVDIIFALFDESGSHTA H257_01034 MWRSSLIFARKQSLFAAAAAGAAAFSSLNVVSSCDGKIEDASTA SSSQAQPEFPTEAEKIVGRYENRLRRFSSPERVFQYFASIRLDKQPYMTRQDFIRALT PYSFRKGDQLHSKNTEFNPMMAFSAPKKADVDTYKALVQDIMRLGEHDEWKKSTDAKK EMEALMTKLTHDHDIDLQTHLLVLRELNVTCREFESFVEKHGGPKAHREAFFDLVDAD GDGLISYPEYMFFTTLLSIPERQFELAFKMFDNDGNGVIDHREFKEIMDLMRLRTPSG RQDRRLKENSTPAFKNLFGEWERDPLTYEKFRAFRANLKREIMHLHFAHYDVDGSKEL SAREFGMFMVSHVNQTELDQWVEKVDKLHGVEMSITEQEFMDFYSFLENLDAMKVVRQ GDTYMMVLLHGEHNDCVSRLPWNWSWRSMAWTKINSTEQRVRRAMEAGRWCPAKL H257_01034 MWRSSLIFARKQSLFAAAAAGAAAFSSLNVVSSCDGKIEDASTA SSSQAQPEFPTEAEKIVGRYENRLRRFSSPERVFQYFASIRLDKQPYMTRQDFIRALT PYSFRKGDQLHSKNTEFNPMMAFSAPKKADVDTYKALVQDIMRLGEHDEWKKSTDAKK EMEALMTKLTHDHDIDLQTHLLVLRELNVTCREFESFVEKHGGPKAHREAFFDLVDAD GDGLISYPEYMFFTTLLSIPERQFELAFKMFDNDGNGVIDHREFKEIMDLMRLRTPSG RQDRRLKENSTPAFKNLFGEWERDPLTYEKFRAFRANLKREIMHLHFAHYDVDGSKEL SAREFGMFMVSHVNQTELDQWVEKVDKLHGVEMSITEQEFMDFYSFLENLDAMKVVRQ GDTYMMVLLHGEHNDCVSRLPWNWSWRSMAWTKINSTEQRVRRAMEAGRWCPAKL H257_01034 MWRSSLIFARKQSLFAAAAAGAAAFSSLNVVSSCDGKIEDASTA SSSQAQPEFPTEAEKIVGRYENRLRRFSSPERVFQYFASIRLDKQPYMTRQDFIRALT PYSFRKGDQLHSKNTEFNPMMAFSAPKKADVDTYKALVQDIMRLGEHDEWKKSTDAKK EMEALMTKLTHDHDIDLQTHLLVLRELNVTCREFESFVEKHGGPKAHREAFFDLVDAD GDGLISYPEYMFFTTLLSIPERQFELAFKMFDNDGNGVIDHREFKEIMDLMRLRTPSG RQDRRLKENSTPAFKNLFGEWERDPLTYEKFRAFRANLKREIMHLHFAHYDVDGSKEL SAREFGMFMVSHVNQTELDQWVEKVDKLHGVEMSITEQEFMDFYSFLENLDAMKVVRQ GDTYMMVLLHGEHNDCVSRLPWNWSWRSMAWTKINSTEQRVRRAMEAGRWCPAKL H257_01034 MWRSSLIFARKQSLFAAAAAGAAAFSSLNVVSSCDGKIEDASTA SSSQAQPEFPTEAEKIVGRYENRLRRFSSPERVFQYFASIRLDKQPYMTRQDFIRALT PYSFRKGDQLHSKNTEFNPMMAFSAPKKADVDTYKALVQDIMRLGEHDEWKKSTDAKK EMEALMTKLTHDHDIDLQTHLLVLRELNVTCREFESFVEKHGGPKAHREAFFDLVDAD GDGLISYPEYMFFTTLLSIPERQFELAFKMFDNDGNGVIDHREFKEIMDLMRLRTPSG RQDRRLKENSTPAFKNLFGEWERDPLTYEKFRAFRANLKREIMHLHFAHYDVDGSKEL SAREFGMFMVSHVNQTELDQWVEKVDKLHGVEMSITEQEFMDFYSFLENLDAMKVVRQ GDTYMMVLLHGEHNDCVSRLPWNWSWRSMAWTKINSTEQRVRRAMEAGRWCPAKL H257_01034 MWRSSLIFARKQSLFAAAAAGAAAFSSLNVVSSCDGKIEDASTA SSSQAQPEFPTEAEKIVGRYENRLRRFSSPERVFQYFASIRLDKQPYMTRQDFIRALT PYSFRKGDQLHSKNTEFNPMMAFSAPKKADVDTYKALVQDIMRLGEHDEWKKSTDAKK EMEALMTKLTHDHDIDLQTHLLVLRELNVTCREFESFVEKHGGPKAHREAFFDLVDAD GDGLISYPEYMFFTTLLSIPERQFELAFKMFDNDGNGVIDHREFKEIMDLMRLRTPSG RQDRRLKENSTPAFKNLFGEWERDPLTYEKFRAFRANLKREIMHLHFAHYDVDGSKEL SAREFGMFMVSHVNQTELDQWVEKVDKLHGVEVDSSGGRLLVMEGLAIHGMCV H257_01034 MWRSSLIFARKQSLFAAAAAGAAAFSSLNVVSSCDGKIEDASTA SSSQAQPEFPTEAEKIVGRYENRLRRFSSPERVFQYFASIRLDKQPYMTRQDFIRALT PYSFRKGDQLHSKNTEFNPMMAFSAPKKADVDTYKALVQDIMRLGEHDEWKKSTDAKK EMEALMTKLTHDHDIDLQTHLLVLRELNVTCREFESFVEKHGGPKAHREAFFDLVDAD GDGLISYPEYMFFTTLLSIPERQFELAFKMFDNDGNGVIDHREFKEIMDLMRLRTPSG RQDRRLKENSTPAFKNLFGEWERDPLTYEKFRAFRANLKREIMHLHFAHYDVDGSKEL SAREFGMFMVSHVNQTELDQWVEKVDKLHGVEVDSSGGRLLVMEGLAIHGMCV H257_01034 MWRSSLIFARKQSLFAAAAAGAAAFSSLNVVSSCDGKIEDASTA SSSQAQPEFPTEAEKIVGRYENRLRRFSSPERVFQYFASIRLDKQPYMTRQDFIRALT PYSFRKGDQLHSKNTEFNPMMAFSAPKKADVDTYKALVQDIMRLGEHDEWKKSTDAKK EMEALMTKLTHDHDIDLQTHLLVLRELNVTCREFESFVEKHGGPKAHREAFFDLVDAD GDGLISYPEYMFFTTLLSIPERQFELAFKMFDNDGNGVIDHREFKEIMDLMRLRTPSG RQDRRLKENSTPAFKNLFGEWERDPLTYEKFRAFRANLKREIMHLHFAHYDVDGSKEL SAREFGMFMVSHVNQTELDQWVEKVDKLHGVEVDSSGGRLLVMEGLAIHGMCV H257_01034 MWRSSLIFARKQSLFAAAAAGAAAFSSLNVVSSCDGKIEDASTA SSSQAQPEFPTEAEKIVGRYENRLRRFSSPERVFQYFASIRLDKQPYMTRQDFIRALT PYSFRKGDQLHSKNTEFNPMMAFSAPKKADVDTYKALVQDIMRLGEHDEWKKSTDAKK EMEALMTKLTHDHDIDLQTHLLVLRELNVTCREFESFVEKHGGPKAHREAFFDLVDAD GDGLISYPEYMFFTTLLSIPERQFELAFKMFDNDGNGVIDHREFKEIMDLMRLRTPSG RQDRRLKENSTPAFKNLFGEWERDPLTYEKFRAFRANLKREIMHLHFAHYDVDGSKEL SAREFGMFMVSHVNQTELDQWVEKVDKLHGVEVDSSGGRLLVMEGLAIHGMCV H257_01035 MDRHDELQLPQMSDLSLGATLQVERRASSDRMDKMMNSATLPPP PAPTSSFGFNPSGVPGSGTSQPIIASLPMELMPPGSAKKPKLLVLGMGYTGSRVALAF REKLGFSICGTVRSARAKLDLIGKGIKQVYFMDNGQLEIDSFRLLEDVTHVLMCIPPR PSADGVDSDSKDLVLEALHSELKKLSTLQWIGYVSSVSVYGDSDGATIDETAPLLAGT CRGLLRVQTEAQWLQSGLPVHVFRVGGIYGPGRGVIAQIQQGVARRIVDLPDKVFNRV HVDDIVNILLQSVALPNPGSIYNVVDDEPATGFDVVTYACGLMQVPPPSPISWADAEA TMSAMGKSFFEETKRVSNAKVKAELGVAFLYPTYREGLAAQLAQEADDDILPASTSPH AAHPSLTSRRRGRTHVCFVVNRGALKTEPFLDLRAVCANLTRRFDGCVQFVPVSCSLS DQIPPSQLHGEPAQLFDAALAAVTSAAAMGPLDLVILPLFIGNSGAITEFIPTTIDAA QRTRSAHNVPALRYSMGRCLVDISKPSDNRVARILAHKVHALCTKHQDAAGGVRVLVV DHGTANKEVHLSRDLIGSQLAKLLGNTVDAVETASMEGLGKDFNEPLLATAFDQYEMH SGLVIVALLFLSSDQHTGAGGDIDGIVQRIKASHPNLDVAVTSPLGSHPILTDMLTDR YFEAIKDW H257_01036 MCKHIINVQVAFRAPCCKRWFDCTECHFEMADHPIASSPEMAFA CKQCKKCFRKIMSHFSEEDEYCPLCNNHFVVKAERPTALSLA H257_01037 MPSPRKSVKSFQSASTPLGFDTKAVDQTTPSTWGLFAVLHWLVG AILAFAILSPIGYHVSAIYRYNLALKWNGWFNINPKNKDRFAPGKEMLPANYFFLFFA IPVFAAAVLFSLSKAHFRAGVSHWLHVKPRFLHRLVSVGEILFVLIAVVGNILVFYHS YTFQSTLKKPVLRVVSIALGFSGLYNMVFLALPATRHSFWMEWLNLPWARAVKYHRWF GVATIVMFFVHFVIFLVQFANTDTLADELLPCFNCDIRFENSQGKDAWINVFGELSLL FMLIMGATSFPYVRRHYYATFKATHWLFIPAAFTAVMHYEQIIIWIYVSMVLYIVNRM YSSSTVAYPAALETATALPGHVTQLTFKCSTTYLPADLVYIKVPAISTVQWHPFSIAS TPLHTPGLLTIYVKALGHWTNQLHDYVKQCQGEGVEPVVYMDAGYTPPTPMSSSYSSV VFVGGGIGVTPLMGQIMHLLHARPHQDVYLVWHVKTVEMLSQFHGWLRELESVAEANQ GRLHLHLHVTQQDISEISIEHDEPVHKFALGAPSGVEARPYSHLSTSRKLVVLLLAFV ASGALLFYVRYQQKISKINPAYWPLQRFVEFLVVVVGSFFAYGAAIIRKPTQPLAFAA ATELQVRSNLKPLSKDEFVSHFNVKFERANWVQLFGEIKASQPSAETRSVGVYVSGPK ALSAAIEAVTVDDALYDVHLEVFEM H257_01038 MDICVWQHNKFEGKWVKKADAHGSTSDECAQLLQFRPDVANAPG LPCVCRLGRVRARRPRHGQKTDNEQQEYQEMNQAP H257_01039 MRRFDWPHDNSVNSTVVMDVDLHADLARLGEVASKVAMMRTSAE KVLEDICSSTTGFLAEVSSSIKNVDAAWQAARNHVPDNARAAIVSDFVKFLTESLDVR NTAGLSQLAQAFVDHDTATVDAFIANSINVVVFAKAAAAVAVKHEDTTIVPSTPDDRS IRLPENVALVTKTPEPAVKRPRASLEAERTVVDEIEPKRRRLTLLEPNNDDIRPLLSA EAATSLIPSSSIHSKTHDVESFASALDRSLGKAFANKWLRVVAREPWTDWSNAFVPFL PGHSPGQVALNGELQAFFIVHGRAMWERYFYLGTSLDPRNTMPSKRFRRLRASFGELV QSLYALEGVDVFLFLETYPHPFWPSIVQHPIPLDTSFPSHSRALLSYFQDQCTKRWPS YQGYWAPPVEATTSPSHEYGFETFEPMACLDWMGKSSSQDKWISPNFVAKLVAEMAAK RPTFDAAQSPYVSVIQPAKALPKHWRFPRPPQSKYTWDSTTRRVIDMPPPPVADRTPV KLPRRMSVTPSKLQSLQRK H257_01040 MNSDDVAKHFDVQSGRADLSEIFQTVESGAQGSVAVYVCGPKSL IRSVDANAHGKFQVHHEDFEM H257_01041 MVPASGTPTTQPVEDETKEENPSPNEASDRSAPHSMPKSLEGHY IELDKTPTKAAKPYRPDGTPDSSYDDDSEDERRKANAERRRRNREAKPKNKKVDYVAQ AKAKQARRLTAAFESPVWQPTAQEWTTQPGNSGWTPVSGQHQDGTSLVLKDIEYPPIM TVDWDSLVAWKRKRDWYEEKLKANAQRMRHDWRATAAPWLESADRSMVEAACLYLWDI NIDDLDKSKFRERIFKVIGEPANKWTVTKAEMEKQWKKLRVDPFGDVASRVVSFMERM NNIIDTTGCKSQLEAPNMLKSFIKVVVSCITPFDVRDRVEEQMKTVQASTLVEFSKIL AEQLERTYPAELVIKSRGGERKRGREWDEKGQRTGKTRVQLKNEQ H257_01042 MINSTSYRDATTPKPAHTPGGYPTDNTVILPSNLKSRGLWLVGH VVVGLLMVLAVLTPMGYNLPVYPVEFSTPISVWWNVDPKVKGSGNPEMVVPTYFFLGS VVPVTIGAVLLAYIRVKSAVFVPPFSALLHRKPKVFKHLVSYGELLFLLLLVVGNVIV FSYQYKKRSKPTDNATTQIRVIGTSLGFSGLYNMVFLALPASRHSFWMEWLNIPYANG VKYHRWLGVATIVSFVVHTGFYVVFYARTDNLLKMLPCFDCDVAVGGKTNWENFFGWL SMICMMVMGATSLPYIRRHYYSVFYATHFLFIPATLFAILHWGNMFFFLFTSMVLYMV NRVLSTASITTPVALKRAAVLSSEVVEITFECVTGYSPGDAVWIKVPALSKTQWHPFS VASTPLETPGLLTIYVKSLGKWSAGLHHYIRECHEKNVQPIIYMDGGYTATSPISAAH SDVVFVGGGIGITPLMGQLVHVLRSHPSQNVWLVWNVRRKDMFVHFQSWLRHIQNVGG DRLRIRLQVTQEEVTEFGVANTDDIQSGQEFTGQQSLPRCFEGHGPNSAVEFRPYAHV STIKRMVMLTVGFGFSCALVAIATYANRLTTATSAQWILLRVVQFCAVVVGCYLALLV TKFPKTLVPASDDVIDHHDKAAMSSDDVAKHFEVQSGRADLSEIFQTVESGAQGSVAV YVCGPKSLIRSVDENAHGKFQVHHEDFEM H257_01044 MSSPLKSIKSCRGAATPSGLFLSDNGTLLAPSTSRSTILFKFLH WFVGALLLLAVVSPAGYHVQAIYRKNLAVYFTPWFNIDPKDKARYAPGKEMLPVAYFF LFFILPVVVAALVFNLTKPLYRGSSFRFLHIEPRWLCCQISYGELAFLGVVLGGNIIV FYQSYLLQIGFDKPTITCIAIALGFSGLYNMVFMALPATRHCFWMEWLNLPWARGVKY HRWLGVLTIVSFVLHFAFFIVQYAITDTLAEELLPCFDCDIATDGKYAWFNVFGELSL LFMLIMGATSIPYVRRHYYATFKATHWLFIPAAFTAVMHYEQIIIWIYVSMVLYIVNR MYSSSTVAYPAALETATALPGQIVQLTFKCSTTYLPADLVYVKVPAISTVQWHPFSIA STPLHTPGLLTIYVKALGHWTNQLHDYVKQCEGEGVQPVVYMDAGYTPPPPMSSSYSS VVFVGGGIGVTPLMAQIMHLLHARPHQDVYLVWHVRTVEMLSQFHGWLRELEDVAEAN HGRLHLHLHVTQKGRTDIAVGPAADADRIAPSFDLTRFRAPPRPYAHLSTVRKLGVLL LAFLCSGTLLVYVRFGQKFTKLLGPEYWPLQRFMEFLVVVVGSYCAYGVAVVGHASEP TEGCEIDLRQPQRREVRPGDLLQHFAVKYERANWNQLFHDIQVDKFGFPPNSVGVYVS GPNALAKAIRDVTNHHTLFDVHVEEFEM H257_01043 MTPRQLKECCNLLPMSYITSPRYDVLLTPKHHAAKIDDAEFDLQ ATHVVQPPSKQPRLIWNLAHWFIGSCMLLALAAALVFHLPWYKATFYSTVVVWWGGDP SAKNSGHYEMVQPTYFFLGIVLPIAAAALLFPLIQGSSLPIVVTPLSHWLHSKPQAFG YRVSCGEILFVAILLVSNMFAGYYQYTKRTMAMTSSSDSIKNAGIALGYMSMFNLTFL VFPATRQSFWMAWLNIPYANGVKYHRWIGVATLVALVMHVGIIVAYYANIDSLVTLLP CWDCDLASAEGTDRWQNVFGILAFICVGVVALTSLPYVRRNHYEVFRTAHFLFVPAAI FASMHRVPILYSVFASLVLYLINRMYSRETTRAPISVARATAMPADVIELTFHTTTHY APGGTVWVRVPALSHSQWHPFSIASSPLHTPGLVTIYVKCLGNWTTGLYHYIQECKRK RFLPLMYLDGGSAFTASRTTIFPSAYRHVLFIAGGIGVTVLMGQITHALYTTPHETVW LVWHVRQSEMLLQFHDWLRDLEALASMNGSRLYIRLHVTRDPLAIFNVSDHHKGIVPC FDVHAKPVEATPQANLSFARRTWMALLAFVCSGGLLTLALYGNALQTAQGNYWPLQRF VAFCAVVGGCAVAYFVVSAASSVLPSQQLPVDMTPLPPKPATDTVLFLLKYNVQTIRV DWTVLLNEIQQQIALDDMVGVFVSGPKPLIRDVDDNIQGRPTFHVHHHHFLI H257_01043 MTPRQLKECCNLLPMSYITSPRYDVLLTPKHHAAKIDDAEFDLQ ATHVVQPPSKQPRLIWNLAHWFIGSCMLLALAAALVFHLPWYKATFYSTVVVWWGGDP SAKNSGHYEMVQPTYFFLGIVLPIAAAALLFPLIQGSSLPIVVTPLSHWLHSKPQAFG YRVSCGEILFVAILLVSNMFAGYYQYTKRTMAMTSSSDSIKNAGIALGYMSMFNLTFL VFPATRQSFWMAWLNIPYANGVKYHRWIGVATLVALVMHVGIIVAYYANIDSLVTLLP CWDCDLASAEGTDRWQNVFGILAFICVGVVALTSLPYVRRNHYEVFRTAHFLFVPAAI FASMHRVPILYSVFASLVLYLINRMYSRETTRAPISVARATAMPADVIELTFHTTTHY APGGTVWVRVPALSHSQWHPFSIASSPLHTPGLVTIYVKCLGNWTTGLYHYIQECKRK RFLPLMYLDGGSAFTASRTTIFPSAYRHVLFIAGGIGVTVLMGQITHALYTTPHETVW LVWHVRQSEMLLQFHDWLRDLEALASMNGSRLYIRLHVTRDPLAIFNVSDHHKGIVPC FDVHAKPVEATPQANLSFARRTWMALLAFVCSGGLLTLALYGNALQTAQGNYWPLQRF VAFCAVVGGCAVAYFVVSAASSVLPSQQLPVDMTPLPPKPATDTVLFLLKYNVQTIRV DWTVLLNEIQQQIALDDMVGVFVSGPKPLIRDVDDNIQGRPTFHVHHHHFLI H257_01043 MTPRQLKECCNLLPMSYITSPRYDVLLTPKHHAAKIDDAEFDLQ ATHVVQPPSKQPRLIWNLAHWFIGSCMLLALAAALVFHLPWYKATFYSTVVVWWGGDP SAKNSGHYEMVQPTYFFLGIVLPIAAAALLFPLIQGSSLPIVVTPLSHWLHSKPQAFG YRVSCGEILFVAILLVSNMFAGYYQYTKRTMAMTSSSDSIKNAGIALGYMSMFNLTFL VFPATRQSFWMAWLNIPYANGVKYHRWIGVATLVALVMHVGIIVAYYANIDSLVTLLP CWDCDLASAEGTDRWQNVFGILAFICVGVVALTSLPYVRRNHYEVFRTAHFLFVPAAI FASMHRVPILYSVFASLVLYLINRMYSRETTRAPISVARATAMPADVIELTFHTTTHY APGGTVWVRVPALSHSQWHPFSIASSPLHTPGLVTIYVKCLGNWTTGLYHYIQECKRK RFLPLMYLDGGSAFTASRTTIFPSAYRHVLFIAGGIGVTVLMGQITHALYTTPHETVW LVWHVRQSEMLLQFHDWLRDLEALASMNGSRLYIRLHVTRDPLAIFNVSDHHKGIVPC FDVHAKPVEATPQANLSFARRTWMALLAFVCSGGLLTLALYGNALQTAQGNYWPLQRF VAFCAVVGGCAVAYFVVSAASSVLPSQQLPVDMTPLPPKPATDTVLFLLKYNVQTIRV DWTVLLNEIQQQIALDDMVGVFVSGPKPLIRDVDDNIQGRPTFHVHHHHFLI H257_01043 MTPRQLKECCNLLPMSYITSPRYDVLLTPKHHAAKIDDAEFDLQ ATHVVQPPSKQPRLIWNLAHWFIGSCMLLALAAALVFHLPWYKATFYSTVVVWWGGDP SAKNSGHYEMVQPTYFFLGIVLPIAAAALLFPLIQGSSLPIVVTPLSHWLHSKPQAFG YRVSCGEILFVAILLVSNMFAGYYQYTKRTMAMTSSSDSIKNAGIALGYMSMFNLTFL VFPATRQSFWMAWLNIPYANGVKYHRWIGVATLVALVMHVGIIVAYYANIDSLVTLLP CWDCDLASAEGTDRWQNVFGILAFICVGVVALTSLPYVRRNHYEVFRTAHFLFVPAAI FASMHRVPILYSVFASLVLYLINRMYSRETTRAPISVARATAMPADVIELTFHTTTHY APGGTVWVRVPALSHSQWHPFSIASSPLHTPGLVTIYVKCLGNWTTGLYHYIQECKRK RFLPLMYLDGGSAFTASRTTIFPSAYRHVLFIAGGIGVTVLMGQITHALYTTPHETVW LVWHVRQSEMLLQFHDWLRDLEALASMNGSRLYIRLHVTRDPLAIFNVSDHHKGIVPC FDVHAKPVEATPQANLSFARRTWMALLAFVCSGGLLTLALYGNALQTAQGNYWPLQRF VAFCAVVGGCAVAYFVVSAASSVLPSQQLPVDMTPLPPKPATDTVLFLLKYNVQTIRV DWTVLLNEIQQQIALDDMVGVFVSGPKPLIRDVDDNIQGRPTFHVHHHHFLI H257_01045 MTEGTQFPPTATPKQDDQEYDYAFSNMNRSESPTACVSASPSPV ARTLGIVGHGVVGLLLILAVLTPLAFNFPWYATDFSTPVSVWWGVNPKVKGSGHSEMV MPTYFFFGMVLPVVVAGVLFTFLQAKTPIGPSPLAPFLHRKPKLFKCLVSYGEILFVV VVVTLNVLVCYYEFVKRYKATNHTADTFKNVGTALGYTSLINLVLIALPATRHSFWME WLNIPYAHGLKYHRWISVLTIVTLMAHLVCFLVYYAILGKLMKLLPCANCDIATDGMT NWEYFFGILSVLCFVVMAVTALPYVRRHYYSVFYATHFLFIPASLFAVFHWGNIIYFL FASMVLYLTNLMLSRASTSTPVTLKHAAQLSSEVVEITFKCVTGYSPGDAVWIKVPAL SKTEWHPFSIASTPLETPGLLTIYVKSLGKWTTGLHHYIRDCHEKSVQPIIYMDCGYK SAPPIPATHSDVVFVGGGIGITPLMGQLVHILRSHPSQTAWLIWNVRHKDMLVGFQLW LHHIETVGGNRLKIRLHVTQDDTTSFDVDATTKQSIPGNFDVHNGTPIESRPYSHVST VKRVLLLLLAFAFSGGLLIAVTYGNKIQSTPPRLWLVQRLVQYCVVVFGCFLAYGVTK FVNERPRQPLLPGAGEFSSDGKVPLTAHELTAHFNVQSGRTNLADVFHEIEASAASTV GVYVSGPKSLIKAVDAQGQGIRKFQIRHEVFEL H257_01046 MADSTYHPIDTPKPTPVQPDATLPTTNATKTHFVWVCAHWFVGL CLLLATWTPFMYFMQFYSSEVSPRIVVWWGGDPKVRGSGRSEMVQPTVFFLGMVVPVV VGALLFAIVRAKSPIVVPSFSHLLHRKPLLLRGLVSYGELLFLLVVLGGNVIVFYNRW HRRYKPGKSTKLNILSIIAINFGFNGLYNMAFLALPATRHCFWMEWLNIPYAHGVKYH RWLGVVTIVMLTGHTIFYFIVYGIRNSLHEFLPCYNCNVAKEGFDHWMNFFGILSFLA MVIMGITSLPFIRRKYYNVFYMTHFLFIPATIFAVLHWGPILYWLFATIVLYLVNRMF SSASISAPVAIERAIAMPAEVAELTLHCATGYSPGDVVWLKVPALSKTQWHPFSVAST PLQAPGLLTVYIKCLGPWSNRLYHYIRHCQTTGIPPVVFMDGGYTTAAPISPAYSDVV FVGGGVGVTPLMGQLMHILYTHPEQTVWLIWHVRRSEMLTQFQSWLHDMQALSAANGC CVHVRLHVTQEHASALNVAEEDVCLDNLMTFAPVVAVEPRPYAHLSTTKRMLMLFLAF ACSGGLLAAVKYGNKIQAINPGWWPLQRFVECCVVIAGSYMAYIVTYVAKTPAAVPAV ETDKVAKPVKVMDTVTFVKHFDVQFQRAVWRDVFAEIEANATTGRNVGVYVSGPKALI RAVDAEIQGKSKFHVHNEEFDM H257_01047 MGSRWCSSPKSYDHWHPHKSCQSCGAGFTLPGDHSFALLLIHWT GASQSTQQRFTLHMHTSIQVIRLRAMDAVHRRDAPTQMENRRVDATSKALELTAMHQL LTLTVNLVEF H257_01048 MAGEARYLGRSTLMEVRAVLVAEKLKTFIGGLHYVSKAGKEMSI ECYADSFVLRALNDSHSVSAEITFASSFFTEYVLPSELQRRVVTDASPLVKCTTLAST LLSIFKSTKNVISVDIVLELEGQPSNEDMFDATMIAFQLHCDRMITKTHRIRLTQSQT MRPVFDKANSPSRIKLRPFHLMTLLQHIYGTDEVVMSCSSAQIKFESYYTNPLDVKNH VHTETTVDNSEFISCVIQDHGSTNGDTDESEILQLIFCLKEIKALLAFCIASALPELS FYFSRGGSPVLFAAEGSTFGAEIVLSTVTTFVTGPSQATKYVILYWSGNIISSMLVAP KKPNTRNRSGVGKPSNRFDHLALVHHDDDAIVIQVFSDVINKFDFRWSRHQTPGLFAG FHFRMPSTMRSRYRFPWPPCNLCPSR H257_01048 MAGEARYLGRSTLMEVRAVLVAEKLKTFIGGLHYVSKAGKEMSI ECYADSFVLRALNDSHSVSAEITFASSFFTEYVLPSELQRRVVTDASPLVKCTTLAST LLSIFKSTKNVISVDIVLELEGQPSNEDMFDATMIAFQLHCDRMITKTHRIRLTQSQT MRPVFDKANSPSRIKLRPFHLMTLLQHIYGTDEVVMSCSSAQIKFESYYTNPLDVKNH VHTETTVDNSEFISCVIQDHGSTNGDTDESEILQLIFCLKEIKALLAFCIASALPELS FYFSRGGSPVLFAAEGSTFGAEIVLSTVTTFVTGPSQATNSQEAEYSQQKRRRQAE H257_01049 MLLAVLLLWCAGAAHASSWWERWNFVLGDERVKHVTSYAFSSST GGAMAISFQSRVYFSRVDAVPVVLICDDAAMGSITSNSKDTLCAPAAPWRTHCTAFAF RVPADSPATQDILEPTSQFETLRRRFFTSPQASIQTHFVLDVCDIVQNATVGVQVTLD YWICDAVSGDCAGKSYGWHRGVTIALTLVWTWLVGLWLRHIRRGPRLWIHLPLTLLAV LKVAHNAVVCSMFDPAHLVDELIARGRGRVADALQRAVLWLLLAVVFTIADGFGTLWL NVRGCVFALPFIGFPAIDANDNYGWNPVLAGVVVAAVVITYQRTVQRSVFA H257_01050 MGVMTTLAVILLSIVGGGALGASIWETQAVEITRKPIVHLVSYN FTNGGTFAMSLQTVVYPGSAAGVGLVVCDAAAYSTMLTLGYPDASWPLCMKRSRKSSA TLLQSLCTVYPMLGTLSSILQSNWSAPSETILFARIDLTDDIPSTSAGMHHMLIDACS IACASSDDCEDDGTTQVSATLRYAMCDAASPRQCAGNHMNQLVVIYTTYAVLWVLAGI VWAGHTYRSRAPVFKLHVIMSLTLATKVIATGTASLAFLVSRMDATLAYLRLAEIMQL GVTCLMMLVVFGLSDGCDIVGVHDRGFLLAILYFGYSLVESADSWNPILSAVMVLCIL FMITTLSTKRLNELHTYAYALQQAGLNPDASPLGTKVRLLRAARLYSVLYFLETYCVK IVFFLVHPTTTVAEDAMVEGLELVFFLLMGYTFRCRHFSYVLTYTPRPRPSARVVPIA NSPEGIEATSLSLVPLVVPIIMKATVFSHPDNSRSLGKPCEGLLNHREP H257_01051 MSLLPRPGGKVPPSGSKKSSGGSPSNGWVDGGNVADSRRIPPYD ATKDKHGGLAPKISPSKSSPSKKGHRPTSTAPCPHHKHSESDASIVKAPAHRGNITFE SDMPGNGGMELEVLKAIILREDYLTRLLDMSKRESQYTLVGSMANTLDLIRLTTVEVV EAIAAWRKLQPKHMPFKWNGVNYLLKVPSDLDFMQECDVMVRWLGFSLDRNPFVMPDN LDTRGQVFEPRRPGTPTTSSIDDLFLSVGGLPVAAAPVHPMPPTTAAGRKAKTAYETR VLNDEDLVPRPKPAGFQASRPPTTKAKTTVVLPSQIGDLDVLRIREAEKSLLEEESLF GRFKRDLYGRLVPEAVALQQAKTASIRQTHVTAGVVSSATPGEGEATSSTATTSSPRL TRSASPANETSVPSKGNEKLTGSIKQAGMLAPPTKLNMQGRIRMPMMRSRGAKMEEEL EKTIVANKNLEDHIAGLRDAIAKQQQSVTIVAEPSDSPMLPSGAMDSPTIAMLRRDLD TQVVEFERKKREIYRKQEAMEAFKAAQKSAVENGRVAELLRKKQMDEAQVVAEKVKLV QIYKATHIQKIVRGILARREYKVIRIKYTIASTYIEALARGFLARRRVFKLFHRRKAA IQIQCLARGVLARNATRLERHAQKQRKAAVVIQKTYRGRLGRQRMRNFRALHNAKLRL IYLTEHLCTDELVELGRLLCAYAKHPESKYTVKPSHVVLGLIRILKSTWGSCLSPKDK RKHDQPIHEVRWMEGGQFLRRAGALLRALHTLATSAGRMLLPLSSETLALIQAYRNDS HFTLPHFATQGALAKTSSTLFQWIQALATISDVQHVFLSPTPVLELNLEDYAYEDRAE SIACDLEDHHSQRQFVPLSLIQDCPKRPRPLLIVLARDVPGYAKHLLVQTIMATFPGL FLRINTPNAMHIQTIQQTFDAGYSVLYDADIGISLGQQRKFLGQFSIIAKALRPSPLS ILIQGDLSNRAGLGDTRELGVTEADLIAMADKDAKIHAQLAADGRTVLTDGRMFDEMI QTSWDDRPPFGLVFVMEAILILLTPTQRYNAPDTATSTVTWRLSRRLLATPELFADKL QAVNMTCLPVDNIMVLKEYLEHASWPAMNTSHGSLMHRLALYTHAVVRFALHIHEGGG CAVPICRSKPLPGLFSSVITVTDPSTFDPLYKDISAKLACAILEDVRVYRESKKINNQ LHIITLYRDCHRVYVSCYDPVTSMLWKADIPEADMNILLAPNSLEVLQQKKPPRNPKE LYKSVVGYCALSKPRGRDPSMQLELRPRAMRLCRLTRKIHGHFATITLAEVAMGHLQL DVHVHDSFSSKTISWTVHVNDDEFQKLKLNATDPVELAAYDSMDVQTMYRYVLDRVHI EHRQLTLPFAHHFTAKAPKSVELPADLRVRIREHGGSGRLLRRQVVFTPRTNIKWILS VFEMTWTGAVRLEAYRPDTCASVKMMLSSRERREVLLCKQRKATLLRRVRPVMSPLWV QSLLDRVSVSDAHVTLDRQLDCVVVQLPYFPDMYSEAVAKSSRSVRAICHVDLAVLPN DIYGLNVYVYLPLMSHTHVIGLCDAEVQTMVTWPWTCVESAADRLQAIRAIFLLCTYD TTQHAVRLESAGCFVKYSTRVSPVVGEGETNSPKPSTPLVVDNTPLNRPITSDDLDLL ANTVCMLDGEGGTKLCLLYDVVTTLHTGSFRCNGTLLMATLTMKAYLKPVILPNKPGL PDIHTSVDSFLLSMDIYHPEISQHCIVHVDGMHELREVTGPDEAHLIGSKTVPEWLNH VITTRLDRTVHPDGTFTATLVRSRLYSEYKATPINSNMDGNTLHNNHLLIDNVDKRGV KITSKAKQIRGHAVIFTAFDLTSLPSTTTDEAVGDRALHVHIRIDGYFAATSQTLSVW VRGATLMETIGSDVVLLQCGHERALADHLIDFIDLEMMAGGDDAGGKPSIGRLFVKES VVSPPSPAVVPVILDESTGKRAATPATPPCLYKTFCAVAGEKVLVTVLDTSEATPALP LRVTLYQPSSCLTTQVDVRRNLLEQLVPLERTKWTTKADMQTALRQLLSYLSVTRVEG ECGTTLAVTWNVPENEIHGAEV H257_01051 MLAPPTKLNMQGRIRMPMMRSRGAKMEEELEKTIVANKNLEDHI AGLRDAIAKQQQSVTIVAEPSDSPMLPSGAMDSPTIAMLRRDLDTQVVEFERKKREIY RKQEAMEAFKAAQKSAVENGRVAELLRKKQMDEAQVVAEKVKLVQIYKATHIQKIVRG ILARREYKVIRIKYTIASTYIEALARGFLARRRVFKLFHRRKAAIQIQCLARGVLARN ATRLERHAQKQRKAAVVIQKTYRGRLGRQRMRNFRALHNAKLRLIYLTEHLCTDELVE LGRLLCAYAKHPESKYTVKPSHVVLGLIRILKSTWGSCLSPKDKRKHDQPIHEVRWME GGQFLRRAGALLRALHTLATSAGRMLLPLSSETLALIQAYRNDSHFTLPHFATQGALA KTSSTLFQWIQALATISDVQHVFLSPTPVLELNLEDYAYEDRAESIACDLEDHHSQRQ FVPLSLIQDCPKRPRPLLIVLARDVPGYAKHLLVQTIMATFPGLFLRINTPNAMHIQT IQQTFDAGYSVLYDADIGISLGQQRKFLGQFSIIAKALRPSPLSILIQGDLSNRAGLG DTRELGVTEADLIAMADKDAKIHAQLAADGRTVLTDGRMFDEMIQTSWDDRPPFGLVF VMEAILILLTPTQRYNAPDTATSTVTWRLSRRLLATPELFADKLQAVNMTCLPVDNIM VLKEYLEHASWPAMNTSHGSLMHRLALYTHAVVRFALHIHEGGGCAVPICRSKPLPGL FSSVITVTDPSTFDPLYKDISAKLACAILEDVRVYRESKKINNQLHIITLYRDCHRVY VSCYDPVTSMLWKADIPEADMNILLAPNSLEVLQQKKPPRNPKELYKSVVGYCALSKP RGRDPSMQLELRPRAMRLCRLTRKIHGHFATITLAEVAMGHLQLDVHVHDSFSSKTIS WTVHVNDDEFQKLKLNATDPVELAAYDSMDVQTMYRYVLDRVHIEHRQLTLPFAHHFT AKAPKSVELPADLRVRIREHGGSGRLLRRQVVFTPRTNIKWILSVFEMTWTGAVRLEA YRPDTCASVKMMLSSRERREVLLCKQRKATLLRRVRPVMSPLWVQSLLDRVSVSDAHV TLDRQLDCVVVQLPYFPDMYSEAVAKSSRSVRAICHVDLAVLPNDIYGLNVYVYLPLM SHTHVIGLCDAEVQTMVTWPWTCVESAADRLQAIRAIFLLCTYDTTQHAVRLESAGCF VKYSTRVSPVVGEGETNSPKPSTPLVVDNTPLNRPITSDDLDLLANTVCMLDGEGGTK LCLLYDVVTTLHTGSFRCNGTLLMATLTMKAYLKPVILPNKPGLPDIHTSVDSFLLSM DIYHPEISQHCIVHVDGMHELREVTGPDEAHLIGSKTVPEWLNHVITTRLDRTVHPDG TFTATLVRSRLYSEYKATPINSNMDGNTLHNNHLLIDNVDKRGVKITSKAKQIRGHAV IFTAFDLTSLPSTTTDEAVGDRALHVHIRIDGYFAATSQTLSVWVRGATLMETIGSDV VLLQCGHERALADHLIDFIDLEMMAGGDDAGGKPSIGRLFVKESVVSPPSPAVVPVIL DESTGKRAATPATPPCLYKTFCAVAGEKVLVTVLDTSEATPALPLRVTLYQPSSCLTT QVDVRRNLLEQLVPLERTKWTTKADMQTALRQLLSYLSVTRVEGECGTTLAVTWNVPE NEIHGAEV H257_01052 MSKVRSKGWSGGSLKFATKADSLMGKSDIQDIKLQVNEPKDPFE VKHGYNYDYVFVFKVHDETEELTQIQKDLSMRTVLQRLANAGLETKMYYSTSRDLVFC KIRASLERLCKEADRIDLKLEFDADELKRVAEAGYPDRGIAPIRIKDDPTLTHRKAFD NIFAKYDMEPRLQPVYKKYGHKKIPFRGVDRIKLILSIVGSSTTDGGCHLNVTTLTIK KCLVTAYALHDEEEQASLTKKWINWASLPWQQPYTEIKDYYGEKVGLYFVWLGHYTTW LIGPSVVGIAMLVNIVMEHTPDARLISVFGLFMALWGTVYLESWKRRNSVIKLEWGMD GFEEEEGDRAEFDGVEVESPVDGRPMRYFSAKKKTSRVCASLVFIFVLILMVLAAVAA IFVFRYFARPGKALESFVTISGVNLSVPIGATLNAVQIQVMNGIYGTIAVRLNNFENH RTETEYEDNLIAKTFLFQFVNSYASLFYIAFIKNAIPDNACTDVAKGGCLFDLMFSLG IIFGLRLTSGNFFEAVLPYIKRKLAARFRKPDTSEDGVQRHVSAAEEQMHLGAYDNMG IFADYNEMIIQFGYITLFVVSFPLAPFLALLNNYFEIRIDAFKLAKESRRPNPHGAED IGTWQTILEIMGTISVVTNVAAVVFVSNHTFSGMSFESKLWTFIAVEHVILLFKYVLS VVIDDVPEDVKLQLDRSKFLNDKVVHLIQDDDDADLVKGNKLKVDLTIFDEDV H257_01053 MRSWGAIIAAAAVAIGGVVTNALHWTEKQHLQEKAKEMFFHGYN SYMTHAYPWDELKPLSCEGRRWDRKERGDLDDALGGFALTLIDSLSMLALVDAVEFEA AVTRVIHTVSFDRDVTVSVFESTIRVIGGLLSAHMLATSGAVGSMHLAYQGELLDLAV DLGTRLLPAFNTKTGLPVHRVNLMHGMMRNAPALTCPAAAGSLLLEFSVLSRLSNIPD FEEVARDAVLGLWDRRSDLNLLGSTINVNTGDWVHTHTGIGAGLDSFYEYLLKYYILS GDSDFLDMFNKSYVSIETHLLHRDGYHREVDMSLGHIYSNRVSALQAFWPGLQVLAGD LSAAVRSHHQLFDLWNEFGALPELYDRSGKGSVIHWAQHYPLRPELAESTYHLYAATK DEKYLKIGRKLLQDIEDTSRVSCGYAAVGNVHDKRLEDRMDSFFLSETVKYLYLLFSN DTSVLIPKHATNQNSLSASHVLFTTEGHLFPIVPSLYQDATSKRRKFVRQAHQHRTCA APQHSALTSKNAHLDAAVTIRLGDVHLKTLSASPAQFGYKLSNGRVDGALYAALDDVQ TACRDLSALEGSIRGKIVLVKRGKCSFTRKAMHIQQMGGIGMIAVNSKPTTAANAHRL YSLSDDGAGHHVTIPVVMISKPDGQHLQHNVAHRDGDLVVSLSMLLA H257_01054 MESCDEGNLTASGGCDATCRTAPRWECYQQGTPCKRCIHATGYK VDGVNPASMCPFCYNLIKDVLTPCSSSLFNPVSTTPATALASDNYAANYCAAIAANAT LRDPGCDSYVNQTRANTVPTIASACEYSIRNVTINLPQLFALKFAIFTCKFVDAMGIR TDTTPKFYFSNPLDFLSSSTKATLTANASAALSALYGNRPSKLYSLRDLRLSDYFPYV NPNILVNGDLLAIPNPLGRLAIFPNGTTINIDCATYSYNVGLIALPPTKNPLQWAVKA TLLRLKFMAINTDDTTISDFASLSPELLTADTTPVNGILQFAHLLGRSVKDDATGVTT DYPTTVCSGSNCLNVENAIKKGLGRIQLKSRLFDNTCADSDTTCKYPVDKCRYVPLSP KNLTLDAVSIQQTSTSVVANIQKISSATTTVDTMQGFLHVAMAASTKVFSDTLSLFWA AVATGMQSKVLKNVANYCGVRQFLSNSSINPMWLANPCCNSALADFMCCMPQNVPDGA IPVLSGIQKDVVRANCPLNADSMLSFLNGAYVGLTSVQAAVNSLNALALQSDVHGTVE SLQRDCQTALLNASATSCQSDNDCTACQYSQCQIDPTTLAGKCTVPWNNIIDCTVECI TESMDPLLLRYLKEDWNLTGVNTSQDLANAFTRYTADMGCTGPMATSSDIGKTSSLFV CNSTCQVANMCEDKDYQWFLRDGLNNPLLDFGVAATCTGNGGRRVCSGYDATGTCQTY QCTFDTIQSGCKDESQCVAQCQLPLTAGGCKVANGRWYADATGIGRCCPPDAYFYAGN QTTTAVCTYQLPNAPALAYQLRDPLCCAANNGTWFMVDDSTGSCCFGRIITIQNAGVN QLACQTAITGWDVATCVGACVTSFESSCTACQTKSALAECCGIQTSSANQTACLSKKS CNNLRLPNGNCNDSTPFCSKCTGQNCISVTQPPTCLIEVSTASDCTTAGGTWSAASKQ CLAVSGSNVAASDCFVRPDLCPSVTNFTSFYTVVPLYPRRITRCLFGCYLPSLSKASC TANTKYMWDTTHGNGSGICVGRRNTIKTLASCTTEGGVYLNATKSYFPGAFTTQAQCD QGGCIGSPSNDGWSSAQCLNTSFGSCDSQCNMCTTQTQPFAQQSLGGCFSSDATYCTS VNAASTPCLVADATTSTACSILTSTSWRTCSQYTSTSTCSNTTDPYASTLKCLWAAQP CPTRSLCLAQGKCDDFDNMRQQCLDAGWTYGDTCFAFVVSNVSSTIQTKACNNCNRIN GVCVAPVTSLTPCPVEKAHPMGCRVYGVSDSATCTTKGGAWYTRATTQAQCLSTKACR DPVTGATSKKNSTECSKCNGKIASLFSWWPGQWRSPRVETYSWNSNGTKLMAVNEWKQ TISDEKLSAALVRPMVRRFATLQGQKALVLYNSMSEVLATLACACGVGASSSCFKTQP KGALVAQTSVFCGLAYTATTGFSTAQVSTTCPASTSPARRRLTDSAETATIEWSTFPL ASFVLFPLTPKCNSSELNPLVVTTTNGLVYGQLLGPGQVYVVTAGQVDAVDLCLPLSP NVHSWDVLFDTVDVATYKDGMFSPLHLQTFLPSPPDQICFEALANTSYFPIKRANVTN VETMACPFTCASNTSVCVYNVTSQAPECICRCGASGPTCSVGCDNGVCACKDGFGGSD CTTPQCPTGSSLIPCSNSGVCNEDGTCTCNANFRGAACDDPVPTTTTVTPSPLQIPPT DAPTTTSTAPAQTSSTTPPPSTPTPTPTKSIAMQVHTSSLGIVCGVLVAAILHLY H257_01055 MLNRFKSAVATAAYDVGASVSGVVSQAVLGEEYEKGYEMPRDST ASGGHELLWKIYPAVSRKTGVEVSVFVFDKKDLDKVQNKDRVLDVLRQDMKTLRVLRH PQILKIEEVFEETRKTLSFVTERVTCSLANACKNFANVANVTPEVLEIGLSEFEIACG LMHVGESLSFLHREGRRVHLNLTPSSIFITPKGEWKLGGFGFCRVVEPGQTSRSEYYA AGGVEPLKKGMAFGNYEPQLEYCAPELITEPRSFNSSADMFSLGLLVLELFSPPKPDG THEPALGQLEGKVMTHGYKTQSLHPMTFNSLVPVTLHNTIRTLLQLTPSGRPEARAFL SSPFFDSGLVKTLRTLQTLVEQDPASQAKFLQSLPDILTGFSPRVLRDMVLPGLQSVV INPPVAPFVITPLLKVVSMVDKSTFARSIAPMLVPLLQITEPVQCMLMFVSNLETLIP KADDGYIRDHIVPMLCRSLDSTVPEILDTVLNKIVDQASLFEYRILKQVILPRVTRLI LDPPQLSVRVNALLWLAKSFHVFDKDLLIDSVLPCLSECLVKDKTPAVCMCILGCYDN LGKHLGPEYMAKLILPAVAPLLWEKSLNGAQFDMVCERVNAMLKEIIGEREKSLMAEG GLATQSLATSGFQDQARALEAAKERQSGLSSVNKMLQEEYVVKKEPEKPAEPPQPYSD RRPAAYSDRSSTNSQPPRTSSDPFLLPSNSSGSPQRTDTSNSSSDSYAARRKAKKANR DAKTSTELLDLPVQQPSSNAYDNSLLSTPLPTATPPPANLFSGMNMGTAVPATFNPPP VSQSFAAPSSSFSMAPPPPAASPYGQQGGGYPQQQQQRFASQPTQYMPQPAYNQFNVA PPPSINQGQQYGQQQYNHPGGFNSTPATGGGYPQAPFQIAYPAQQQQQQDGNKFSAFD NM H257_01056 MPFEKPVVIDCRAHLVGRLASLVAKELLLGQHVVAVRCEELNIS GSLVRNKVKFLQFLNKKSCTNPKKGPLHYRAPSRMFWRTVRGMLPHKTARGAAALQRL KVFDGVPSPYDKVKRLVVPDALRVLRLKANRRYTNLGQLSSQVGWRHHDLVKRLEAKR LVRSEAYYKKKLEQNKVVAAATAKVEAEHKELRPTLEKYGLTL H257_01057 MRLSPREMEHLQLHQAGTLAQKRLARSLRLNYVETVALIASQCL ELIRDGRTVSEIMSLGKTMLGIRQVMDGVSAMLHDVQVEGTFPDGTKLVTVHSPICRV DGDLALALYGSFLPIPALDSFGPADPPFTGHDDQIMVLDDAKGIELNAGRVIQRLVVK NLGDRPIQVGSHYHLIESNPILDMDRRLAYGHRLNIPAGTAVRFEPGDVKTVSIVPIR GNRIISGGNNLASGVVDMKSVDSIVAALVAQGFLHTPLVPSVDSVHPPPCIMSRQTYA RTYGPTTGDRIRLGDTALVVHVEKDLTVYGDECKFGGGKVLREGMGQATGRNALQVLD TVITNAVIIDYTGVYKADIGIKHGVIWAIGKAGNPDVMDGVHDNMIVGVNTEVIAGEG LVVTAGGVDTHVHFICPQLCDEAISSGLTSLVGGGTGPATGTKATTCTPHPDHVRRML QATDTFPLNIGLTGKGNSASPVGLQDIVDAGAVGLKLHEDWGTTPASIRVALDVADAN DIQVTIHTDTLNESSCVEHTIAAFGNRTIHTYHSEGAGGGHAPDIITVCGELHVLPSS TNPTRPFTVNTIEEHVDMLMVCHHLDKSIAEDVAFAESRIREETIAAEDILHDIGAIS IISSDSQAMGRIGEVITRTWQTADKMKRQRGFLPEDADDTDNFRVKRYIAKYTINPAI AHGMADWIGSVETKKLADLVLWQPDHFGAKPELVLKGGTIVYAQMGDPNASIPTPQPV KMRPMFGAQGGAVGATSIAFVSRACKEKQIAKGYGLGKRVEAVTKCRNLTKKHMKWND ALPKIDVDPETYQVTADGEVLTCLPSTWLPLAQKYFLF H257_01058 MSAPATASTAMEPRVLINYYIRKGWYDHVQRLCEGILDKKGNDP VITFWRCFGIVMEGSNSSAIRELESLKKKKEVELPCIHALIYAHNKCKNVDHEEIAQL EMQVVIAEESAGDTAQLLSANFFWHIKEYPKARKILENLMGGRIAAATPVQVKATILR GWIDLTAEPKTKRDLELRDNSLSFFADVKSANDPEQLMGVAKYFDIKRAYPKSLECYD EIIVKYTWFKEALSEKALVLLKTGEWDQCVDSAERALANSSDDIDALRLLILYLLTRE GRPKEASNRIRELMKALLSTEPSNPQLFYDIGRCIARISDRNHEVLSCNLCFIDQAIK LSPENGTFRAERGYQRSLMGDFAEAIEAYKDALKLDESNESALHGLIYCQVKLGQVDD AAQQMEFLSVIQESIGNASADFVLLQAMLSWHKDGDRAKQVKFLQKAVQCHMDKLKDV MQTGDVSTYDMMSVLNPQFLVEIATEYIKLDGIDDGKSMASRGVSILEKLVNKSPGFI EVQVVLANTKFCANEFDDAYRVCNLILKMNPLHAQAHLLVARISLEREHFKAASTSLD QALSHDFSVRQSPSFHIIKAKLLENDGNLKEALAILQNAMKAPTVTVAAAKGKRPAAA AAADMTLFDKASIYIQMATVQAQLNNVGEATRLVKEALQVFKGTPQEVRVLVANSELA IKRGDFDNAIIMLNNVPQESPAYIKAQMIKADIYLQHRNEKKLYAECYKELVRLNPTA DALVRLAEAYLRIQQLNDAIESYRSALVLSPHDASLASRIGHILVKKHDYISAIEYYE TALRAAPDQTPLRRDLASLLTKLSHFDQALRVVNAAPAAPARTEIKDMLDDIELQLIL PDIYNGLDRKDECVTRLLKVIALQKVVLDKLRDEQPDEIHRQTHHLANTNFKLAQIYA EQNDAENVMKYCTMALRANESHEEAILCLARIYSQQQNLEQCQIKCSTLLRLNPAHEE AAMMLADVMLQKDDNESAIFHFQNLLESKPDNFTVLSRFIVMLRQAGKLDRAPRFLKL ADRSGPRVAHSAGLHYCKGLYNRFQNNIHDAIQEFNFARKDGEWGQRALVHMIEIYIN PDSENLWDNPDDSNKDQMENMRIANALLDELSPDKSVRIRVLECYAAMSAKTKPMVEK ATATLLELLEEDRDNVPALLGMCVSQPSIQMNDSHPSNELLLLYIFYVALATAYMLAK QQSKARNQLKRIAKMNYDAAIPDEFERSYILLADIYVNRAKYDLAQELCKKALQHNKS SGKAWELLGLIMEKEQSYQDAADCYHEAWKCGGEASAPIGFKLAFNYLKAKRFVEAID VSQKVLDKFPDYPKIRKEIREKAQAGLRP H257_01058 MSAPATASTAMEPRVLINYYIRKGWYDHVQRLCEGILDKKGNDP VITFWRCFGIVMEGSNSSAIRELESLKKKKEVELPCIHALIYAHNKCKNVDHEEIAQL EMQVVIAEESAGDTAQLLSANFFWHIKEYPKARKILENLMGGRIAAATPVQVKATILR GWIDLTAEPKTKRDLELRDNSLSFFADVKSANDPEQLMGVAKYFDIKRAYPKSLECYD EIIVKYTWFKEALSEKALVLLKTGEWDQCVDSAERALANSSDDIDALRLLILYLLTRE GRPKEASNRIRELMKALLSTEPSNPQLFYDIGRCIARISDRNHEVLSCNLCFIDQAIK LSPENGTFRAERGYQRSLMGDFAEAIEAYKDALKLDESNESALHGLIYCQVKLGQVDD AAQQMEFLSVIQESIGNASADFVLLQAMLSWHKDGDRAKQVKFLQKAVQCHMDKLKDV MQTGDVSTYDMMSVLNPQFLVEIATEYIKLDGIDDGKSMASRGVSILEKLVNKSPGFI EVQVVLANTKFCANEFDDAYRVCNLILKMNPLHAQAHLLVARISLEREHFKAASTSLD QALSHDFSVRQSPSFHIIKAKLLENDGNLKEALAILQNAMKAPTVTVAAAKGKRPAAA AAADMTLFDKASIYIQMATVQAQLNNVGEATRLVKEALQVFKGTPQEVRVLVANSELA IKRGDFDNAIIMLNNVPQESPAYIKAQMIKADIYLQHRNEKKLYAECYKELVRLNPTA DALVRLAEAYLRIQQLNDAIESYRSALVLSPHDASLASRIGHILVKKHDYISAIEYYE TALRAAPDQTPLRRDLASLLTKLSHFDQALRVVNAAPAAPARTEIKDMLDDIELQLIL PDIYNGLDRKDECVTRLLKVIALQKVVLDKLRDEQPDEIHRQTHHLANTNFKLAQIYA EQNDAENVMKYCTMALRANESHEEAILCLARIYSQQQNLEQCQIKCSTLLRLNPAHEE AAMMLADVMLQKDDNESAIFHFQNLLESKPDNFTVLSRFIVMLRQAGKLDRAPRFLKL ADRSGPRVAHSAGLHYCKGLYNRFQNNIHDAIQEFNFARKDGEWGQRALVHMIEIYIN PDSENLWDNPDDSNKDQMENMRIANALLDELSPDKSVRIRVLECYAAMSAKTKPMVEK ATATLLELLEEDRDNVPALLALATAYMLAKQQSKARNQLKRIAKMNYDAAIPDEFERS YILLADIYVNRAKYDLAQELCKKALQHNKSSGKAWELLGLIMEKEQSYQDAADCYHEA WKCGGEASAPIGFKLAFNYLKAKRFVEAIDVSQKVLDKFPDYPKIRKEIREKAQAGLR P H257_01058 MSAPATASTAMEPRVLINYYIRKGWYDHVQRLCEGILDKKGNDP VITFWRCFGIVMEGSNSSAIRELESLKKKKEVELPCIHALIYAHNKCKNVDHEEIAQL EMQVVIAEESAGDTAQLLSANFFWHIKEYPKARKILENLMGGRIAAATPVQVKATILR GWIDLTAEPKTKRDLELRDNSLSFFADVKSANDPEQLMGVAKYFDIKRAYPKSLECYD EIIVKYTWFKEALSEKALVLLKTGEWDQCVDSAERALANSSDDIDALRLLILYLLTRE GRPKEASNRIRELMKALLSTEPSNPQLFYDIGRCIARISDRNHEVLSCNLCFIDQAIK LSPENGTFRAERGYQRSLMGDFAEAIEAYKDALKLDESNESALHGLIYCQVKLGQVDD AAQQMEFLSVIQESIGNASADFVLLQAMLSWHKDGDRAKQVKFLQKAVQCHMDKLKDV MQTGDVSTYDMMSVLNPQFLVEIATEYIKLDGIDDGKSMASRGVSILEKLVNKSPGFI EVQVVLANTKFCANEFDDAYRVCNLILKMNPLHAQAHLLVARISLEREHFKAASTSLD QALSHDFSVRQSPSFHIIKAKLLENDGNLKEALAILQNAMKAPTVTVAAAKGKRPAAA AAADMTLFDKASIYIQMATVQAQLNNVGEATRLVKEALQVFKGTPQEVRVLVANSELA IKRGDFDNAIIMLNNVPQESPAYIKAQMIKADIYLQHRNEKKLYAECYKELVRLNPTA DALVRLAEAYLRIQQLNDAIESYRSALVLSPHDASLASRIGHILVKKHDYISAIEYYE TALRAAPDQTPLRRDLASLLTKLSHFDQALRVVNAAPAAPARTEIKDMLDDIELQLIL PDIYNGLDRKDECVTRLLKVIALQKVVLDKLRDEQPDEIHRQTHHLANTNFKLAQIYA EQNDAYDISHVVMFTLQCYIDLLTLCPKFG H257_01059 MELESMYDSDMSSTLQDHVEELSMEDLDDHAHDLKSTPSMISTT ALTASTSPKMADGSDQSWLRRLERDMDTKLLVDAERINERIQRRKAHEEEMQQSLASF TNSARVSASSSSAAAPSAHSSTKSSFTSMANANASSSDSTDDVLRQVTTAHQPPQGVD EWKEAFTEDGKTYYYNRRTRETSWTCPENAIVVNRSATAAPTPVDHSMVGTSTDSVAT PATTTTTTTTVTMHQSKKSMFCMFCGLECRVWELMPHMSSCDLLRTNKAQRTAMYEEA VDIASALLNKQTSDADTQTTDRYLHYASLYSLHHDGRDQRGNVLDPLALLKQRRRHTM QPTTTSSSSTPRRHQRDDDDDHDVMHLNIDDDTKLSLLRLKRSTEAAQSRRQDVSEQC RYCHRSFAEGRLSKHEAVCPRVFGSEMTWNNHQGKKDTANKSLKATTNATPKPRPKAP TPPHPKSSLAGSFHEHQATLVTCPCCQRKFAPSGAQQHIDICQHVENKPKKITAKAYV MAG H257_01060 MALYALNRLSERLVREDALLCVSSRPLSPATTTGHHHHASIAPQ KPVSTARLLINNTLWGYLAGLSWTRDGLLN H257_01061 MPPPTPAEKAELEKLLDKMNLDTGDVVLFDRKCNSMGVYGGVIC HSAKLFGQTRWDHNGVIYKTDDGKLMFLEAAMNGVKLRPLVDRILHSRSYEVRIQKVE VHRTPEFRQRAADFIRRVVEQDIPYEDRIQVLVNAGASFVPSRVAREQFYHDIVDLKR HMKTIQDDLTHRSKMSPFEQNSLRAELASLREQHDALVHQLDQTERSIFENKPSSASD PARFFCSQLVAALYQHVGLLLPYPAATSYRPHNFSDTDDYVKLQNAAWLPQISLREHI HETKKHLIEQAATAASPPPPDVVDTIVHTLQRHAIFHSFSVSELQALAQQFRPKRFVP GQVVFYQGDPGDFFYVIADGTVDVLVNYDAYAHEQPKHSVAAEVTAAPVLRRNLSTSF DNPQPTSHFVHVATNGRGNAFGDSALMYQTPRRATVKCAEPVVAYALDKATFASVVKS HPAATQSLSERQFLIQTLANHPLFAHVSKQAQAAAVRQCFSLHFPKDALVLEQGQFGD YFYVVETGACAVSRHHTVDGDEFLDRVVGRGDAFGEVALLYNSRRGASVRAVEDSKIW CMDRSILLSTTRSGSTALLDIFNKTATLHDGDESFLTPTDVAKLLEGDSGVETAQTLL FPSSATTKINFSQFAHFHMCLEAYSSRQFNPLLAEALYRALLRRAGTHHDNHLTTASL HLDAAETRQIHRFFQKSSATDTITYHDCVVASRAWRHDPSAAPPAIAALLRTLHADLT LLEQQWKHTAFDMASYSGSTLPPPFSSTSSTTPLTDAPVPFRSLFSLQDVFAAILAGV LARSATAPLERVKLARQVGLLPSHLNLWRSVQHLKRVAGWQRLFAGNLVHCAKLVPSF PLKLVACDVFRQHFHAWGFNAEVKNALAGGSAGVMVQAVLYPLDVVRGRLALQQILQP KATYPTVASCVQAMLHQDGVRSFYRGFGVGTLGVFPYIGLNFAMYEFLRPWLVVQQQH RVSDCEDHHQGSVMVGQIACALGASVTAQVATYPLDLVRRKMQMHGNWLAPHVFPTYT STWNCIKQTASGGGAISFYRGLAPNIVKALPASVVSFLVYETCRQDTD H257_01061 MPPPTPAEKAELEKLLDKMNLDTGDVVLFDRKCNSMGVYGGVIC HSAKLFGQTRWDHNGVIYKTDDGKLMFLEAAMNGVKLRPLVDRILHSRSYEVRIQKVE VHRTPEFRQRAADFIRRVVEQDIPYEDRIQVLVNAGASFVPSRVAREQFYHDIVDLKR HMKTIQDDLTHRSKMSPFEQNSLRAELASLREQHDALVHQLDQTERSIFENKPSSASD PARFFCSQLVAALYQHVGLLLPYPAATSYRPHNFSDTDDYVKLQNAAWLPQISLREHI HETKKHLIEQAATAASPPPPDVVDTIVHTLQRHAIFHSFSVSELQALAQQFRPKRFVP GQVVFYQGDPGDFFYVIADGTVDVLVNYDAYAHEQPKHSVAAEVTAAPVLRRNLSTSF DNPQPTSHFVHVATNGRGNAFGDSALMYQTPRRATVKCAEPVVAYALDKATFASVVKS HPAATQSLSERQFLIQTLANHPLFAHVSKQAQAAAVRQCFSLHFPKDALVLEQGQFGD YFYVVETGACAVSRHHTDGDEFLDRVVGRGDAFGEVALLYNSRRGASVRAVEDSKIWC MDRSILLSTTRSGSTALLDIFNKTATLHDGDESFLTPTDVAKLLEGDSGVETAQTLLF PSSATTKINFSQFAHFHMCLEAYSSRQFNPLLAEALYRALLRRAGTHHDNHLTTASLH LDAAETRQIHRFFQKSSATDTITYHDCVVASRAWRHDPSAAPPAIAALLRTLHADLTL LEQQWKHTAFDMASYSGSTLPPPFSSTSSTTPLTDAPVPFRSLFSLQDVFAAILAGVL ARSATAPLERVKLARQVGLLPSHLNLWRSVQHLKRVAGWQRLFAGNLVHCAKLVPSFP LKLVACDVFRQHFHAWGFNAEVKNALAGGSAGVMVQAVLYPLDVVRGRLALQQILQPK ATYPTVASCVQAMLHQDGVRSFYRGFGVGTLGVFPYIGLNFAMYEFLRPWLVVQQQHR VSDCEDHHQGSVMVGQIACALGASVTAQVATYPLDLVRRKMQMHGNWLAPHVFPTYTS TWNCIKQTASGGGAISFYRGLAPNIVKALPASVVSFLVYETCRQDTD H257_01062 MWSILAAASAVGYGAVVVLIGAVKNHLPAKLSGKDLCKKGTPAG EIPIPEALGIVSGAVFVAVLAVLSFFVEFNQASMRWGIVSIAAMIALGFCDDLLDLKW RHKLLFPPLATIPVLLHYSGVTAVVMPSFVRGVIGEGGALHPLLSLVFHVSEHGDIVD LGYVYYVYMGMMAVFCTNAINIYAGCNGLEAGQSFVIGLAVVALNLTQVLRGHDGLHY HLFSLVIMIPFLVTTLGLLHHNWYPSRVFVGDTFCYYAGMTFAVAGILGHFSKTLLLF FAPQILNFIYSIPQLFKIVPCPRHRLPKFNPKTGNLEPSTIAPDSTRANLTMLNLFLV LFGPMPEKRLVQLLLAFQVVSCVAAFGVRYGLSSVFYDVVH H257_01062 MRWGIVSIAAMIALGFCDDLLDLKWRHKLLFPPLATIPVLLHYS GVTAVVMPSFVRGVIGEGGALHPLLSLVFHVSEHGDIVDLGYVYYVYMGMMAVFCTNA INIYAGCNGLEAGQSFVIGLAVVALNLTQVLRGHDGLHYHLFSLVIMIPFLVTTLGLL HHNWYPSRVFVGDTFCYYAGMTFAVAGILGHFSKTLLLFFAPQILNFIYSIPQLFKIV PCPRHRLPKFNPKTGNLEPSTIAPDSTRANLTMLNLFLVLFGPMPEKRLVQLLLAFQV VSCVAAFGVRYGLSSVFYDVVH H257_01063 MDDCKNYVVTAQKPTSVTHSCVGNFTGSGDTNLILGKGTRVEIH LLTAEGLTPLHDVAIYGRITILELFRPPSSSVDLLFLCTQRYQFCVLEYNKATKTVVT KAHGNIRDSVGRMSEVITGGNIDPEGRLLGMNLYEGYFKVIPMDGHGKLSDTFNIRLD ELRVIDVKFLYGCSKPTICVLYEDHRAARHVKTYVILTKEKEFADGPWSQSHVEAGAS LLIPVPTPFGGVLIVSQQMIVYHNGSTCHAIPMQSTVIQVYGQVDGSRFLLADQFGLL QVVVLQHTGKDVTGLSLDVLGETSIASTLSYLDNGVLFVGSAFGDSQLVKLHPSRDVS TGTYLEVLDSYVNVGPIVDFVVMDLDRQGQGQVVTCSGAYKDGSLRIVRNGIGINELA AAELPGIKGMWPLRPTFASQQDTLLVQSFVNEVRVLGFATSDNDEVELAEQDVPGLAN TKTLICRNVVGDLWLQVTEHQVGLVSAATLQRVASWSPPSAQRITVAAANPTQVVVAL SGGVLVYFDISNVVLSTTLVATAQTKLPHEIACLDITPLVDSKPAAADDDAHWETRAR STTRCVVGLWSDLSVSIYRLPDLRLDTTVLLGGDVLPRSLLSIRFDDQPYVLVGMGDV WAPSPSY H257_01064 MICCLLSEPVPDGHLVLVEQLQGAFPLQVARSLNLSWVIRVWIQ LRNPTDSVVEIRPADAMATLVPNSIQNLETADATDQHSPRSASFQEGGRGDAEMTKEC PEGDVHPGGVCAALQESKDRSPIDWKDSSLSPP H257_01065 MADQIRDLDRQQRPYEEEVAEDEERYQVEVAAHREASRALATAT QRFPDVVPAFLDWFSAHFRVMSGPVFDQLLEAWVRDDRALFEDNCENLSVFAFPTRQP PSTRARGRICGVSYDNWSAVLARDQRSQSPAVPTLPTLTSQSGAAPYQGSPGPTSTMI LVTGASSGAADASVSTKSGSSMNPGTTGTESADASSSLGSTSGLRGEPQGRFRPQGLR ASTPPSKRPSPNTSTQLTKKDRRSDPDAAGPLGASLPPRAIRRMPPWSRGALGTNGEN WTVPSGRFGRTDREVEALMGPLLGIAGSLFRVVQAYGVQLLCFLCYPHSYWPEFIREG VWPVVPFLSRSKPLAPPFMSVLSWLHGRTLRAKLLKRFAPGNPAVSTREAISSAIGWM IQEAISARDAGNVGGVIRSSWARIRIPQSVLSGHVAPLGIPTLAVNPVVLDDSSEGSR ADVD H257_01066 MKKASAGHTPPHTARSSGAGSDAPAARMNHMVTPTFAAHTDQVV AQIQSLLELPSPIAPTHVDPDPNPDLVDRSGARGASTGTSSAGTRPTPNLEYTFRGLF EAVSVSAVSNQALLDRLDRLDQTVRIEAALRVVLTRLLPNGPHTMPAALQRVNEEVES LGDALKQTREEREAEMALREKVEQERDQANTERDKLEERVRGLRTELLSDDLGFTKQR LSVQLAATMSEKRRVWLQTAVIQGEGEEVGTRRNARTVSSLGSGSEEQETETEALAGT NQAASSAHARVRTQECCVAPVAPAAAPVARAHAAQPPEVVTLLGKVRGLQTRLREAQD EAKQY H257_01067 MPTSKPVQQVLPPPVSPPPTDSKPVTTSLETVSHLAPPAPVLSS QPNEVANMDKPIHHPPPQKRQKAVSTTRINKQGYMVTETTYEDVEDDDLPPPRPQAKN YRRPRPRRNAWSKPPEHSEWLHMDIHG H257_01068 MERYFRYKAAGDQFTGRCVAGLPLNSADFAILLHIFRLILASLV YHRNVLIGSLPPHQALLSTSLFTNPDLFASLTTIVLSDSTSLCLRASGIPPYVELYRK LEEKEVILTSMPAKILDGVRGIAEDHGVAAGNIARLVLESSIVSALSSIQQSNDTMSP AQQLPIDSQPLGYEPFHWGGKFHKLPENFVFPSVDAATAWKLWWRGNIREKVMPYRRI DTQDLATRAARNMFYEWKFVLEKLTDHFVSVSGRNMALNPSEQEVSTSFDTARGLFDQ LCGETSAKRVRCDGQLKMTTLVRLLRQLEPSKTPRVFKKRKRSEQRAGSQWTTML H257_01069 MELECDDRAKRGTSRAERLAMLEFLRIPHNFALMTGQASKGKSA KGGQRLTKAHGHALMAEYVNMIVRDSTRTWTTQDAKSRYDAYVSSYKKALRWCGPNNS GRGLTQKDYKRIDEPGDFDESFVSDYKPNSNTEAVDDTEPRMDNDPDDPDNDEYWADN DAHDSGHYAAQATGPLADINVHAPDAPCSAPGKQPWTGNPHDHHTPPARKITVASGQR HGHKADSS H257_01070 MPLWAGLAASTIQLFGLPLHQHCNLCPTSTRTSTKLATLTFPSA ATCSPLTACPPRVNLALLTVDIRNERDVQFVCNWILACCGLHNIVNRLRNGEDDVPLY CEAPRASQVSNAIPQDNCLII H257_01071 MSRSNTWQNATLAAEPSARYLGKEWLMQQMYHNTRQALALLPAM TCDDEFFQFDLQVSDQHDDLFMERIQCIFPGTLASFRRFVKSNRMRDMLFKGPQDVIE ERTANTRLFHSITTGGAFVNSLQGHFFEADRFIMVMRQVDDDEMHACGPMLRQRHYRS WMEVRQVSPTHILMRYVSHLSHVFRAHDGFLSMAELAVLEGIDVTGIDDDDKDAYVRR EFVRRGNDDLVPWRQYLTGLLQASLQQDTTHI H257_01072 MAAALVEYIHEALDRNEAPHLVRICRSTGCSLQEGQDAMTQILT QEKDVQSVHVVVSTVINPETNTIAGKTISLVRGGDPDDETGLYAVYRSTESSSGSVDD ALKNIMWFPRLDEGLGGIIASDIVCDAASSGARNLVLKAAESASVFSNFKSTGTTKST PFTRPSSSSASSKAPTKKQSTPFFGGAAAKAEPVTKARPPPPKSKSIVVDDSDDDSDD DETPRFVKKASHHKRLRVCDDSDDDDEEAEFMPTSKPVQQVLPPPVSPPTDSKPVTTS LETVSHLAPPAPVLSSQPNEVANKDKPIHHPPPHKRQKAVSTTRINEQGYMVTETTYE DVEDDDDLPPPPPQAKPSSGKLPAPPPKKKRVVKASGSAKQSNLMDFFGKK H257_01073 MSKVAGIPLTTVGAVAVGAVLLYNGIKVVRGKLYDFVFVGLSTG WYREVLDLLAPKSRMLDVGIGTGMALLHNKALVESKNLVVDGVDYDKDYIVDCKNNVI AHGLADSIHVHHASIYDYTSPTPYDAVYFSASLMIMPDPVEALRHTASLLTPTGRVYV TQTIQTQKSWLMEVGKPLLKFLTTVDFGNVTYEDDFERTAAAAGLRIVVNQAISGSTK QSVRSFRLFVLEKASQ H257_01074 MSNSLGTVGAVAVGLVAAYAGVKLVRGKVYDFVIVKMTTEWYRE VLDLLAPKSRVLDVGIGTGMALIHNKALVESKGIIVDGVDYDKDYIIDCKKNVTRHNM DSSVQVVHASVYDYTSEFKYDAVYFSGSLMIMPDPVKALSHTASLLSPDGRIYVTQTI QTKKSWATEVAKPLLKFVTTIDFGSVTYENQLLRAIADAGLKVLLNKAISGSSLDAVQ SYRLIVLEKSTYTVKLRCTVSAL H257_01075 MWTSLVIMNVARGANQRRFISQQAMTLRESVRKLDYDHFLCGLL LPTPSRDAFYAIRAFNIEIARIKDSSRTNPGAGKLRIQWWRQRLNDLFDKRNAASAPT DHVVLAELHTAIHKHQLTQRWFDRILEAREMDLEVDNPTSMRDLDMYAEKTAASMLHL TLECLDIRNDAADVAARHAGTAIGITTLLRGTTFHSSQKCVYLPNDVLAKHQATVDDV LVAAGAPEDATDDVIAKITPATFDVACHALDHMRQAQAMQSQLPRAAIPAFYTTVSSQ LFLARLETYQFKLVHPELHESRPQALQWSLFKHSLFRSF H257_01076 MSHMQNHIQIPSLKRNLSGGAVNNSNNSNGGSSSASTSSLKINT SSHMSMSAAASLNALANGSNNTDRSTMPSALPPHRHDPHGHVASSSSHPSHPSQHSYL PPQQQLQHHDRYNIPQHGPATSTATKNNSNSNSITSTPPTIQRAVTSSSAYIRQPLTT RVSTSVPIMLPTPGGNGMMRPPGPLGVGSSSASAAPHVAVSTTVPAADWRMYLTIEER QAVRSKIQDAYTSTCKTYEELLHAASAIEEELLHIAAPSRLDYFKSGFEFENRVKLKR EQLQGQLAAVEKRKQHSNSVSTSSTNGVLGDGHHHRHKRSASPPSHVDGSAKKARKQQ G H257_01077 MKADATLGIPPPFPFCEEWDKLPQQSTRWLTPSFPIDLAHQYPP APHIPAAVPPVLQQPSQRFPSHDDPNTEFATTPSDGGYESSEYEYGFELTDEWAAHFA RKRLERSKRHTTKAPSKRPKVKKPIPITSTETNNPLTYEVTVGQLNGKVLTLPSARVD ALERRLEHTFLNDFSNPVTVCLFNA H257_01078 MSKRQLGEINDESMGESSDESGGEEDIVLKSNGDQKENVDVDFV FTDPCEQNFHSVKQLISNNFLPPTALDTSNLADIIVKQVSIGSMVCCEGETDVFGFIT ALSLKTYENTPSIRQIHSLVLEKCPKDLKPRLTSILSSKTVGLVLNRRIINLPYQLVP HLHSALQQDIDWAVENSIDPAIKASFNFDYFLILAGVQVDISALKKPAGKKAKAAYAE PTVKSFDNFEEEFLEAEADLHFTIPTENVLKEGSGFSTELSVMLVSRQKHQGTIANMS LMLAA H257_01079 MRQSQEESCDYGSSDEDFLGLEGTMGYPSAKLRRVRAIFLGTHR RRRSVLPSQGNQPRVVVSTTTCRNPKATYTDLTSSEDEDAACDRLVDDQDVGLCTTRL KKLCAKAVAPLDLHQLTEKSDHQVSLEDLRDLKQVEDAALAAKVLQIQMRMAKQLAAL EASFRSNVAEMTRQLVTAIESERAQSYARAVASSAVKGADSMPRESGNSHASSHRVHH DGAVSSVAKLEATVSQGLLTRVKMPPQDTKPYARQRSHTNENWPDAPLSPRRAQPTTW KKGLSREVTHSKTHQDNSSSRFLSPSEKEELFRLRNTLGRMTQLMDDAVE H257_01080 MNPVKMQQEMSPLITMPTDSKRRVTGFSRLAVVATIALTLGLVF LTRTTSTSSVANVVPAGPLPVDMYAAVAGKYSFASSNGYEDFLKAKGLGMVKRSLLAK EKPDLIIEHYASGYTLTTVYSLKTTKISFALGVPFDADFNYNFVEHVVATLHDDTLDL ARTDDASRESYAFGADGIVVTYTANDGTSGTVTYRRA H257_01081 MTAALPVASEMGNRKSIKKRRRGKKGAQVADVHKVATATKEAGL SKPPTNQSHHNADATWTVYLILTAAAAVGAWFDLVTRVVADDYTSRWCKFLFVILVCI NVRTHVQLGAKPWTIRAVMYIACNFAGATTICIARAEVPAYLRNAQPWINVMTAGALV EGLLFDGASRRVRSMWLRALCVVPVSLWKTKTLGKLIHDCYITSVPLHQTMPMVTADM AASGITMLFLSYVDLYGATALPKLTQRLKDIALHVVVVGFIALVWKTEEAAPWLHPHA APVAGGLVLLYNLVKFCWLPLEFALVPSPKQM H257_01082 MEAPTNEASHIRALYDDLRDGDEGIDLAGFLKPLYFLQHHGFTL LDAKAVFDVVKGNAKPQLNLREYGTALTKVAKLKYTANPRTVARLVGDIDAFRKRTQL DSFADVVDGVLYDPLRLKLMKPAPLCVLEAYSNVIEQSFNMYCKAPSVATGNVNTRSS SNSCLDLTDEGFYDFLVGYFLSPDYISADTATSIMTSVTSTFAVSHTSATSSSDSSTS SSMVLPQFVEALCRVAQTLHGKLLEDNHGSIRNTIDTCRLEHSIKVMFDTMQVLPNGT TVKSASHVPQKQVQSNLDAMLTDIQSHMGTLSLRTRKVLQRRADDLMGDVVDVTSEAK ATTSSSTKPTSSLSSAVDVIVIRDVLAVPDFPPNVVHKVEGAFAYQNMGQYEMALAQL HDAEDELVDVSPFRVLDTDASLFFTLARGNIYDSRQRDLDALQTYAEALAIAESLPES HPGRALALSCLGSVCYYAGNMLVALKCFDKALTLRESLFGEEHVDTATSLNNLACCLH AMGEVDAAAMFFRSVLHVFKLGFGLAHPRISVAMKNLDTAQRHQSRLIQDRAHVKNRD DMKHIIAGSKFQITAFERPTAPLKTKKKKGGKKKK H257_01083 MSGSMAGIHKTFLKRAVSSQRLRAVVVDYEVLCKSTVASAPTTR STRLHDVSKPDEALGGVKRGSLLSNVRGLLNSMTQSETEQGRRVQTTLAGLPSFMRAT ILGEDDAAKKDKHGNDDDVALHDKLVDEMQRKPSLKSAAKVKDPLALEDVASDDSSVR AKYLAKMNALKQRAKLNAVMADSRSDDISSISSVPSPEPAPVAPTKHDDVAPADMTND LPKRKVNEHTNVFLSYIRLRGLALGVIPPTATHRQDEFQLFVDEVDFLDAVMDDAAAL PLPAPMVRVCSKLELAPKDVIVISSSHAAISSGRAAGAYTCYLPETPHDVNHDCDYTI PNLREFKYIVEELNGISWRK H257_01083 MQRKPSLKSAAKVKDPLALEDVASDDSSVRAKYLAKMNALKQRA KLNAVMADSRSDDISSISSVPSPEPAPVAPTKHDDVAPADMTNDLPKRKVNEHTNVFL SYIRLRGLALGVIPPTATHRQDEFQLFVDEVDFLDAVMDDAAALPLPAPMVRVCSKLE LAPKDVIVISSSHAAISSGRAAGAYTCYLPETPHDVNHDCDYTIPNLREFKYIVEELN GISWRK H257_01083 MSGSMAGIHKTFLKRAVSSQRLRAVVVDYEVLCKSTVASAPTTR STRLHDVSKPDEALGGVKRGSLLSNVRGLLNSMTQSETEQGRRVQTTLAGLPSFMRAT ILGEDDAAKKDKHGNDDDVALHDKLVDEMQRKPSLKSAAKVKDPLALEDVASDDSSVR AKYLAKMNALKQRAKLNAVMADSRSDDISSISSVPSPEPAPVAPTKHDDVAPADMTND LPKRKVNEHTNVFLSYIRLRGLALGVIPPTATHRQDEFQLFVDEVDFLDAVMDDAAAL PLPAPMVRVCSKLELAPKDVIVISSSHAAISSGRYVQWTCRFFGGLMDMPV H257_01083 MQRKPSLKSAAKVKDPLALEDVASDDSSVRAKYLAKMNALKQRA KLNAVMADSRSDDISSISSVPSPEPAPVAPTKHDDVAPADMTNDLPKRKVNEHTNVFL SYIRLRGLALGVIPPTATHRQDEFQLFVDEVDFLDAVMDDAAALPLPAPMVRVCSKLE LAPKDVIVISSSHAAISSGRYVQWTCRFFGGLMDMPV H257_01084 MYKSKGAPDERTPVVTAVPLATPVGGSYGTLPGYDAVHEERKQD CFWFAVTSPFQWVTWKVALFHAANLLLSVVAFSIITFCLSLGLALLPLCCIGVVVFKF LMYAVYFIAQADAILYNLIAPVHEHISVGFPCPRGGIAYEGIRVTPALSRFSRDGLVA IVYFVLVKFPLSVLFSSSLLVLFSVSISFMSFPWTFPAQWELDGTDLQLLGRPITDGD APFMIVLGVLVLYLTIFLLHVSARIVQSITKFCTCEYFSVFGYGHSTHAFHGGQPIQG QQTLYVQI H257_01085 MAHNSRMRFPPIRDRHVCVLSISSSFVPASSVRPLLMSSTIRNR FANEDKQGTTEVRNVALGPKVYEGERYGKMSERLKRHNIQIKGNDRNPRFYGFLVGFV LLILIFLWVQVSGVMRSRRVANY H257_01086 MPVLLRRSASTFTVEELADGYSRKRLGSSRSELGSSRRISAPEE FRAQDITQCRTPSRSEPPAYAQPAPAVDKPGVAESSKPLVAKPMTFANIVNQPNLMSA LANELHRLPKTYVRLCWDRITTSFASVRTARQRKQAKVSSDTDPTTTTGDDARPSSTP SSPTTSEASNVTPRSQFPPQA H257_01087 MSAPPPFPRPNVSMAMPKSALLSKLEAFLPQIERENKSLAQAIS AGEADKFNIEVDETDTEKPVIQMDFALGVMGDGDDDDKDSDDDDDNVSHDIQFKAQSP TNSIRLSPPTVVPARSLIQELN H257_01088 MHFTRKNVSGEGEKVDVVALQQRDLAGTPVFQYVMTNNAVELMK YLVHNDSQLNSRDSVGATPLLIAFLYMNFDLGKQVIMAYPVSKGFPYALASYDARDAN NPSPYQGENILHIAIVHRKLEIVKWLVETLPDLLDAETTGVFFSPGHACYFGGSPLLF ALSSRQLEAAACILQAADRAPSTSRAARTSIFMMDAHGNNALHMAVVHDLPDAFDFAV RHAMARFPNACPKSFDANDATTPYDLPLFMKQLHGTDKDHFERFIRKHNTDYLSPLTL AAAMGRSRMFKHILKSLSVKSWTYGPVTSMMIPLKGLEERARRHRPKKPHAPKGGGTV RPTTAEVPSAETSSHPRLDPIQASWWHRVLERRNVRTAVECLGSNSPLSICIDPKTLK DVMRHRLEMIDTFEVKMVLDKKWEFAGRHLFTFNFRRHVLFCSAFTASTFFTRHYRDD GVNLDWTTAWPHVVLECVVVGEMIYRVYYEFKQIKKNGIAGYLEDTGAGMVDNVLKLS FCVLVALAVVFRLSSNFVSEDACVSLALLATYFYFFFFLLGFRSTGPFIVMVLRMLVV DVGRFVVIYFFVLTGFGISLYVIVDQRAGVSAWFQRMKSLSLASFCSTFAWSDFQTIN VMQLSQLSELLVFTYLFMVAVVFLNLLIATMGNTYESIIEASEQQWYAERANIMSSME ITLSKTTRESNRMRYAVELEGERYLQVEYVDSDAWLGVQEHDLHATFHDFKTAVAEFR AKKAANRDDGDESASIQNRIDARIHELHDVLFPQ H257_01088 MHFTRKNVSGEGEKVDVVALQQRDLAGTPVFQYVMTNNAVELMK YLVHNDSQLNSRDSVGATPLLIAFLYMNFDLGKQVIMAYPVSKGFPYALASYDARDAN NPSPYQGENILHIAIVHRKLEIVKWLVETLPDLLDAETTGVFFSPGHACYFGGSPLLF ALSSRQLEAAACILQAADRAPSTSRAARTSIFMMDAHGNNALHMAVVHDLPDAFDFAV RHAMARFPNACPKSFDANDATTPYDLPLFMKQLHGTDKDHFERFIRKHNTDYLSPLTL AAAMGRSRMFKHILKSLSVKSWTYGPVTSMMIPLKGLEERARRHRPKKPHAPKGGGTV RPTTAEVPSAETSSHPRLDPIQASWWHRVLERRNVRTAVECLGSNSPLSICIDPKTLK DVMRHRLEMIDTFEVKMVLDKKWEFAGRHLFTFNFRRHVLFCSAFTASTFFTRHYRDD GVNLDWTTAWPHVVLECVVVGEMIYRVYYEFKQIKKNGIAGYLEDTGAGMVDNVLKLS FCVLVALAVVFRLSSNFVSEDACVSLALLATYFYFFFFLLGFRSTGPFIVMVLRMLVV DVGRFVVIYFFVLTGFGISLYVIVDQRAGVSAWFQRMKSLSLASFCSTFAWSDFQTIN VMQLSQLSELLVFTYLFMVAVVFLNLLIATYGCIVYVNAWNMTTCM H257_01088 MMDAHGNNALHMAVVHDLPDAFDFAVRHAMARFPNACPKSFDAN DATTPYDLPLFMKQLHGTDKDHFERFIRKHNTDYLSPLTLAAAMGRSRMFKHILKSLS VKSWTYGPVTSMMIPLKGLEERARRHRPKKPHAPKGGGTVRPTTAEVPSAETSSHPRL DPIQASWWHRVLERRNVRTAVECLGSNSPLSICIDPKTLKDVMRHRLEMIDTFEVKMV LDKKWEFAGRHLFTFNFRRHVLFCSAFTASTFFTRHYRDDGVNLDWTTAWPHVVLECV VVGEMIYRVYYEFKQIKKNGIAGYLEDTGAGMVDNVLKLSFCVLVALAVVFRLSSNFV SEDACVSLALLATYFYFFFFLLGFRSTGPFIVMVLRMLVVDVGRFVVIYFFVLTGFGI SLYVIVDQRAGVSAWFQRMKSLSLASFCSTFAWSDFQTINVMQLSQLSELLVFTYLFM VAVVFLNLLIATMGNTYESIIEASEQQWYAERANIMSSMEITLSKTTRESNRMRYAVE LEGERYLQVEYVDSDAWLGVQEHDLHATFHDFKTAVAEFRAKKAANRDDGDESASIQN RIDARIHELHDVLFPQ H257_01089 MEKMELAATPEGITWQDREIRFDIAASSMELRKGEVAIDSINSV EDTKGNNGDRGSLEITNLRLLWASHRSTRTNLSIGYNCVQSVKIRTATSKLRGSTQAL YIMTKYSNSRFEFIFTSLVKASPRLFTTVQAVFRSYETTKLYRDLKLRGAIIQDKELR LLPHEQVYTKVPGVWNLSSDQGNLGTFFVTNVRVVWHANLAENFNVSMPYMQIKSARI RNSKFGPALVIETSVSSGGYVLGFRVDPEGKLTELFKEIKSLHTVFSVNPIYGVEYSM EEKPASIEFLKQPRKIDDIEIVEDQSSSIDAFAAYYAVANKNQDRAPTYCKDIGLAIE GLPDGISLADLWCVT H257_01090 MATTSPCVGPLVYHKRDNTTAVAVTTTCTSTSSANTSSVDVWYP NATYMQILHGPWEVETVLNGACVPVTGQPNVFRKADCNRLTFEHYGDATCVELLPDNA LEPEDAGVRTCYVPSSASTTITSFNSTKNTTTIAVTNIITTPPPTTAPDDVITSPPTS TPTISFNSTKNTANVTTVAVTNIITTPQPTTTSFVAHPIPTASEGTITSPPTAVPATT ATPINSKAPRESTTKPTVTPTQPTPPPGTTTSKKFAASSLSSFTMGSTVAATAVGVAI LVVVYRKMRRRNTTYVPLQNNASP H257_01091 MASYVDFETSMGTFTMELYVQHAPRTCKNIIELARRGYYDNTIF HRIIKEFMIQGGDPTGTGRGGESIYGGKFEDEISPQLKHTGAGVLSMANAGPNTNGSQ FFITLAPTPWLDGKHTIFGRISEGMGVIKRIGLVQTGANDKYVPNHRSFVQTYHIPTQ AARRYPHPPRVAQIKLVIQHSTFE H257_01091 MASYVDFETSMGTFTMELYVQHAPRTCKNIIELARRGYYDNTIF HRIIKEFMIQGGDPTGTGRGGESIYGYVVRLVVFGLMWASLTIRGKFEDEISPQLKHT GAGVLSMANAGPNTNGSQFFITLAPTPWLDGKHTIFGRISEGMGVIKRIGLVQTGAND KYVPNHRSFVQTYHIPTQAARRYPHPPRVAQIKLVIQHSTFE H257_01091 MIQGGDPTGTGRGGESIYGYVVRLVVFGLMWASLTIRGKFEDEI SPQLKHTGAGVLSMANAGPNTNGSQFFITLAPTPWLDGKHTIFGRISEGMGVIKRIGL VQTGANDKYVPNHRSFVQTYHIPTQAARRYPHPPRVAQIKLVIQHSTFE H257_01091 MASYVDFETSMGTFTMELYVQHAPRTCKNIIELARRGYYDNTIF HRIIKEFMIQGGDPTGTGRGGESIYGGKFEDEISPQLKHTGAGVLSMANAGPNTNGSQ FFITLAPTPWLDGKHTIFGRISEGMGVIKRIGLVQTGANDKPHDDIRILRASPR H257_01091 MASYVDFETSMGTFTMELYVQHAPRTCKNIIELARRGYYDNTIF HRIIKEFMIQGGDPTGTGRGGESIYGYVVRLVVFGLMWASLTIRGKFEDEISPQLKHT GAGVLSMANAGPNTNGSQFFITLAPTPWLDGKHTIFGRISEGMGVIKRIGLVQTGAND KPHDDIRILRASPR H257_01091 MIQGGDPTGTGRGGESIYGYVVRLVVFGLMWASLTIRGKFEDEI SPQLKHTGAGVLSMANAGPNTNGSQFFITLAPTPWLDGKHTIFGRISEGMGVIKRIGL VQTGANDKPHDDIRILRASPR H257_01091 MASYVDFETSMGTFTMELYVQHAPRTCKNIIELARRGYYDNTIF HRIIKEFMIQGGDPTGTGRGGESIYGYVVRLVVFGLMWASLTIRGKFEDEISPQLKHT GAGVLSMVSLHFTHGNVTNYYPRQTLVRTRTAVNSSSHWRRRRGWTESTRSLVASRKA WA H257_01091 MASYVDFETSMGTFTMELYVQHAPRTCKNIIELARRGYYDNTIF HRIIKEFMIQGGDPTGTGRGGESIYGYVVRLVVFGLMWASLTIRGKFEDEISPQLKHT GAGVLSMVSLHFTHGNVTNYYPRQTLVRTRTAVNSSSHWRRRRGWTESTRSLVASRKA WA H257_01092 MASTSSHRHASSRLSRSRSPSPRRHRRASRSRSRENVRRSNRSA SRSPSPERKKRKKKEKKHKKSKRHHANDDIDEEPHQQHETSDAGAAAVVVPAVKTDRK KDFFAQLKEQEATKVTVGTIHTSGRKQESGAAQLDNWECVKAGCGNSNMKRATSCLKC GAMRRITQWR H257_01093 MFEIFQIHLSMSTIDPSSSPITTVVATTKDENAAAIAASSCIRK VGRPKSPIHAEFEWSPKFGDLKCDQAKCKHCGWRGAYSKQNMDRHWKNCLQRPREDLH ALEARRRFLSTVPKRLTSTERSLGLAKVPDWKIVEGRDAIYRRFEFKDFSEAWGFMSR AALAAEQINHHPEWFNVYNRVEVTLSTHDCDGLSQNDINLATKLDEFAIGLKSQHDI H257_01093 MHAVQYSSPTFTMIRRRFLSTVPKRLTSTERSLGLAKVPDWKIV EGRDAIYRRFEFKDFSEAWGFMSRAALAAEQINHHPEWFNVYNRVEVTLSTHDCDGLS QNDINLATKLDEFAIGLKSQHDI H257_01094 MSLKSGTHNKPKAAMTIMEKRLPQNPKYKDTQSKLDTGSTVNKV RLISSKEFLKRRDETFRRVTPRCLAELFAEYEDTGKGDAAAPEMVARMVKNADGEFVM ERQVSDDNQEHAGPRVVSYDAEEGENYDVPYLILDTRPREDFTENRIHRSKSFPTAFL CRDQFLPEMHQFKNHESKLIVLYCLDEKLSIQSANLLGQKGFDNIYVLSGGLMEYAAL YPHHVEGTPPPRPKARDAKKPARDLYKSGTALIASSAKSVAGSTRKKLVGDDDVSSVA SHQSVAESVISKATQRKSSVKHGGSGSRGGNANSFR H257_01094 MSLKSGTHNKPKAAMTIMEKRLPQNPKYKDTQSKLDTGSTVNKV RLISSKEFLKRRDETFRRVTPRCLAELFAEYEDTGKGDAAAPEMVARMVKNADGEFVM ERQVSDDNQEHAGPRVVSYDAEEGENYDVPYLILDTRPREDFTENRIHRSKSFPTAFL CRDQFLPEMHQFVRPISTRRRHVHRFYRRKTTKANSSCSTAWTRSCRFNQPTCSVKKA LTTSTSCLAV H257_01095 MLKSIPKGDVQKICSGQSVVDLATAVKELVENALDAGATAVEVK LKEFGHVGFEVSDNGQGIPAADHAAIALKHYTSKIRAFEDLERVVSFGFRGEALSSIC QLSGLFSVHTRTADDSIGTLLQYDQHGALISSSKKARSVGTTVIVDDLFLPLPVRYKD FTKNIKKHYGKTLRILQGYAVISSNVKLSCVNIMGKNNLRQQVLSTEANHAVANNIAN VFGSKFLKTLVAVDISLPFWPDIIDSAFHITGFVSKVGAGVGRSDNDRQFFYVNGRPV DLLNATKAVNEIWRQYEMKQKPACVLNFVVPPDAVDVNVTPDKRETFVRHESHIVECL KAGLTDLYEPSRGTFHVQRLLPTTSQHPEDPQQVMPTKRPRDQTPQAPKEAFVDLDGA EAAAPPTTKRPRQEAHPLTPTTEPSVGLPLDEATSKGQNGHGGADTCPTVRLFRVDDV AEAKASVDESPPVVQTGGVPATPSPIKTNRPGTTTFSSSPSPQPSDARWTTPTASTCA ATTISATKATPNNKRRTSLLYSISKATMDDVVRQRKLHVEHQQQQQIDPARRRRLSLP RACAIDNAVDDPVEATASLQRVLTKADFRRMHVIGQFNLGFIIAHLDADLFIIDQHAS DEKFRYEMLQQTTVLHQQPLVRPMALELTAVEEMTILDHLPLFKKQGFHFQVDPAAPV TQKLRLISVPFSKHTQWGVDDVRELVSLVLESPHAAAAATIRLPKTLAMFASRACRSA VMIGTALKREDMQKIVVQLAELEQPWNCPHGRPTMRHLVDLSSTSAFQK H257_01095 MGKNNLRQQVLSTEANHAVANNIANVFGSKFLKTLVAVDISLPF WPDIIDSAFHITGFVSKVGAGVGRSDNDRQFFYVNGRPVDLLNATKAVNEIWRQYEMK QKPACVLNFVVPPDAVDVNVTPDKRETFVRHESHIVECLKAGLTDLYEPSRGTFHVQR LLPTTSQHPEDPQQVMPTKRPRDQTPQAPKEAFVDLDGAEAAAPPTTKRPRQEAHPLT PTTEPSVGLPLDEATSKGQNGHGGADTCPTVRLFRVDDVAEAKASVDESPPVVQTGGV PATPSPIKTNRPGTTTFSSSPSPQPSDARWTTPTASTCAATTISATKATPNNKRRTSL LYSISKATMDDVVRQRKLHVEHQQQQQIDPARRRRLSLPRACAIDNAVDDPVEATASL QRVLTKADFRRMHVIGQFNLGFIIAHLDADLFIIDQHASDEKFRYEMLQQTTVLHQQP LVRPMALELTAVEEMTILDHLPLFKKQGFHFQVDPAAPVTQKLRLISVPFSKHTQWGV DDVRELVSLVLESPHAAAAATIRLPKTLAMFASRACRSAVMIGTALKREDMQKIVVQL AELEQPWNCPHGRPTMRHLVDLSSTSAFQK H257_01096 MAFLRGKKLHFFHKTTTRLGNAPFSYSGASKFIPLPPSPQTSGI GVSFGRPTHAGGMATQHNWADECRRPLARRRCASTFDGQKRADCDNVVLEKGGRNNIR TQLWYHLLEWYVHTARSDGDHLVDPDMGALAMAYGRPFATLYMQILTRQPWMQWATTF VPFLPSTSVDRRHFNVRVRRFFDVHGRAMWEQNFWLGNKGDECVFGGPSRRFVLARAA MGLLLYDLYQLEGVDALLFLDAFPHPYWPSLRQTPVRLNRMDERAHLYLDEQHAKRWP DSSKYLDHPFAEGNHQDEGPWPAPCASFLRWAAGRSRGSCAADAWLAAGATPQWFATV LAEMETARSGREDSPYICVMLAEPLPQHWKVPTNPPTKWMWDWTSQSVVERPSP H257_01097 MVAQSTFTSSSSTTGGWLYPLSEGVGSGGNTTRDTVDGFVSFLA RHFEIRHAMGLGLLVKAFAAGESTSVCQNLVDEFVSDASNVVIFAKKQPPASLPSSSE SVGTLTFTLSKSPSPSSTPRPKKRCVDDVMSNDMAKTIESSDVNQKPSRANMEVNEKA GELAELAISLKGKRRGFAEKWIAVVEKAPWTRWAASFVPFLPPSSPGRIHFNGRLLTF FQVHGQAMWERYFCLPDRESPKSTTRLRAAIQEFGLVVHQLFELEGVNVFLFLAHYPH PSWPILSEHSLLPYNFQGSNFVRYLEAQHMKRWPSCADYCMQNKSSLKQTMSSYQPLA FLDWAAKFTPKAGAAFHWTFMQQCPTFFTDLKTALQDKTFDPETSPYISVQLPEPLPS KWSFPNGPQTKLRWDWVHQCAVDRSGP H257_01098 MTSSIAATKKHSLPFSKSAAPIKTHLIESHKVTKPSLLSTASLS NAIARCKEEVATIVAECRANNRVFRDIDFDLSNNEDDCLESLVESWDDAPAPGGALRV RQIFKDPTFCDEGFDAADINQGTTGNCWFLAALASVCGVPRIIENICVARDEKVGVYG FIFFKDGDWEHVIVDDQLFVTTTDFDHAFETMIIRDKKAFKDVLRSDSQALFFGKSSS ARETWLPLLEKAYTKLHGDYKSVEGGFTGEGIEDLTGGVTTSIELTDILDYDRFWRDE LSRTNVDTLFSASICKKHSGMESRSANGLVHTHAYSVLKAVQVQGVKLLLVRNPWGQH EWNGRWSDGSKEWTPEWMAALDHKFGDDGSFWMQFEDFVDEFTTIERTRLFDDKWFVN QEWTEYHSSWPAAWSHGLFQFDLTHDGPANVVLTKADERYFQGLQGPFHYGLRMAIYR SAEEEGGRREFVGHFVQRAFGRSISLEFASLKAGHYDVDVMVVRTPNGVDPALAVVSS TGATNPDKLLHICRQFNASRAKAVNVDPTFDILDFARETQLTSYESGVVAKQKAVSRQ LARQQVHEVEEVVEEEQAPEDEPTAEDEEEVEEAVEEEVVEDAEDKLTGAVVIGVRIY TKDANAVVGVVVQTED H257_01099 MDTLFPAPIPKKHSGMELRCTNADCSSGVAGGRTSPRSGGANGW RRCTTSLATTDRARGHIMDVDLHADLVRLDEVASKVAMMRASAEKVLEDICSPTTGFL AEVSSSIKHVDAAWQSARNHIPDNARTAIVSDFVKFLTDSLDVRNTAGLSQLVQAFVD HDTATVDAFIANSINVVVFAKAAAAVVVKHEDTTVVPSTPDGRSIRLAENVALVTKRP EPAVKRPRASLEAERTIADEIEPKRGHLTLLESNNNDIHSKIHDVELFASALDRSLGK AFANNKWLRVVAREPWTDWSNAFVPFLPGHSPGQVALNGELQAFFIVHGRAMWERYFY LGTSLDPRNTMPSKRFRRLRASFGELVQSLYALEGVDVFLFLEAYRSGHRSCSTRSRW TRRFLDQCTKRWPSYQGYWAPPVEATTSLSHEYGFEKFEPMACLDWMGKTSLLQDKWI SPNFVAKLFAEMEAKRPTFDAAQSPYVSVMHPAKALPTFQKEMSFSLCYCSNMRRVHK AKHADPTAATAAAALDGAVRQFERARDDAVKAIDTLSSSVANVAEVATSTVSPPDRAT LTAQTVDDFVKYLVKRFDVSNLEGLTSLAKAFVFHPSEKSPQVDAFVANGLDVVLHAR RCAAQGVPGTLVVLPAMADEALEGLTDGQIEFVQLKVAQVKRVRRAVVEGNLNP H257_01100 MFANQLETTMSAMEPSEPVTTPLHLAMRQFDLARDAAAKAMDTM ASTVVELASLTSPAAVTNETLPSTHSQDTNDVDAAGEATTEAANKAKVLDEFVAYLTT VLEIKNPTRLASLAQAFVWNRDDALVDDFVAEGFNIVLYAKRANSPPVVCSPLVAKKP VLPPTNDTVTFNDKLRQRSGSINDLTVRQIRILQGELEKEAKAREESPVTHLRRTTVT PSPSHLSLELLDKDLALYSLEGNHDDDEAGPSKKRRVTPEPDNTFVEQY H257_01101 MMADDHSKPVYWDHFAAAEPEEEEWSVETRMLQRRTCPLDDEQF DTFEVKPRSNLERLQFWRHWTDNDEDHELVFKQVPYQYTELFESVSTAKPPRSHLGSL QYWDDRNVGGADNDIEAISSNNFERQALVDQPPTPPHASKVGGGLYNSFEGRQKSHLA RLRQWRSSTDANQDDQLERRTLLPTNNSYLSSPMKPVGECSSWEQPRAEWNWMGLALL VTSVSAVSSVDVAFQVGSSAEVAPMLRLFWRVSGSCVLTFVFTVVSVARYGWPRVQDP FDTAIRVVLCALGFTLWHSCSYVATTRSSFSHANVLHNAHGLMLVAGKILTEQQVGRW EGVGAIVGFTGTVIAAAADSTPITWASLWSAAHGTTWHDGMVGLTGAAGAMLYLVQAK RIQSRLNFMVFMWCHSVAVCLILLPTMIAKGETFEFSAHPTVGLLGWATSTRWLLELF LVGVCDFVGAMGYLRVLQYFEPIVVSTTMLLEPTLASLLEATVSGPLPSAHAMVGSLV VAAGVVLVYITNTNQPLSQPRRHSPQITRSNEFMPRKPLNYGTIVV H257_01102 MTCEGKKSKLHQCCISVMVDVLCNAPLFELIQAFNEGTALKSWT AGEIAAELGYLYVLQHAPHVRFGGRTSEDVYPRAKAMDFAAKGGHIVVVDWMNSNPRY RSECTTNAIDWASSAGHLDIVQWLDANRSEGCTSAALHGAAVSGHVDLMSWLLDHRSD DVEFSMGTFYDAAEHGQLRVVQWFVSHGYYQRPDGLNAEYPLDGAAKNNHMATVEWLH AQGDDASRGAMDLAAENGHLAMVQWLHAHRREGCSIDAMNWAANCGHLDVIQWLHAHR TEGCTTNAVDFAAENGFLTTVQWLLHHRTEGCTTQAMDLAAKNNHMDVVQWLHHHGPV GCTTAAMDGAAKGGHVGMLQWLHTHRHEGCSTNAMDFAAKKGHLDVLEWLHAHVPAAG CTTDAMDFAAQYNRLEALQWLHQHRQEGCTADAMTFAAEYGHLRIVKWLHDHRPEGCT VDAMDWSAKNGHLDVVEWLHLNRTEGCSPDVVDTVLRAGQLPVLQWWYAHRPERSTSN AMAIAAENGHLDMVQWLHNVGETCTTKAMDLAAENGHLDVIEWLHVHRDEGCSREAMD LAAENGHVHVLQWLQDHRTEGCTREALELAIAEGQVKAARWLVTHQPSKASVAKCMAF AKMYGFEDLRDWCVKVKGQRKPLNSR H257_01103 MTTAVKKYRYIRSDFEPLETKPVHFDMVFDVSEKEVRVTLQTTL KYVGAAPKSVLKLNSKALEIVSVERLHNFTPLNGSRNFVSHVASFGAPKPLEYVVDTV DHFLVITLDTPVQSGDEFVVRTVSIARPTENILEGLYYDYTPEGAPRTIITQCQQYGF QRIVPCIDTMDAKAFYTTTIVADKNYTNIITNGDLAPGFSTPEGTPIYHDASEFLATA DSTRHALKYYNHTINMAPYLFFLGVGTYVTYRRTLEFPDGDTTLLELLAFPGFAEPAD AKLSVQMLHDSILWTNVSLGPESTDHHDERVAIYALLEEREAIKAKSAPLTVGSEEVV QPTPLSATDAARLAAVRAELKTLIGKWTKTGYKYTGQIYREIGMENSNYGGMENVGNT TIVSSCLCPTCRMGDHGYEYMERVKVHEYYHNINGSEVTGQSPFEIWLNEAVTVHMER KRHAAIFGQEYHRLGDVVRMFTPTIGPIALDKAATCLSVEPEGFNQTQELVSAVTYSK APEIVRMVELLLGFKKFNVALDKYYTKYLYGNATTAEWFACMEEVSGIDFQNFQRVWL KRPDHPEVTYTTAYDSAKQTYNVKLTQSGFERFDNTDAAGPWEIPIDYALIKDGKIVR EGVYFFKSKSDEFTLDNITSTPDYLSFGRQWSFFGTSKALNATNEALIKQAINDPDAV NRYFAYRAIADGEKARIVEALIGGRNDVTISPAFTALHGSILFDETLNPAVRALILSE KNALTTREDLSHHYDHITTATVALLQSVWAAHGEKIDAKLKALIKASVPGPHKDQLLE RKLKQHLLAIVGVSTAPLALPTVAASSVTIDVAAVALSILNTSTFVSDKELAFRTILS TEAYADRAAVQTRIRDEWSKTPEMLEAYIATIGSLSTSDFTTQIRTLMALPYFNINQT HHTRTLARGLSQIRHKSVLTEEGRALMLELFTKIGTVNQMSAYPLLKCFDQVDAFTGA TKDALVKTLQAMQDSIDKVKEESLYNQLNIMLKKA H257_01104 MASALDSRYRYEEHNNENNDDIALVESTEPLTEPADADCPPKVT KKTVQDRLAAALREIDDQRRLLEAAASNGSLLAEKYFALEVEHDVLSAKYSDARQHIE ELESQQKFNQHRATSVQKCIDLEQQLNTHLREQEAQDETRERQDAEIQHWRAKAASAA KENALLRDLVATLEADKADAVQSCQHIAQANRKVQSERSELATQVKELEGRLNDQADR EQRLVLSHDIKLQKIQRLEATVEALEEAVRTATDKLVKSEKAAKLHEDSAKELRGVVN GLKRSLSSLQQDYQLVVDTHAIETQYVENHDTPNELSGWDHFLLALASIKECLVDMTH NLTSSFRPLR H257_01105 MIEGRLKAIVANLLGDWIESDKLDLSINIWNDENVRFENVNLKD SIIPVSAPFRLKAGLIGSLTMNIPFKTFGTTPAKITLTDVLVVLSPRNLDDVEKVQEI ESLKEEKKAALEKDVLERIHGPPIDKTVVVQDSQPPSTSTTDAKTKPAKSKKDAPESQ GYYGADGFFGRLVTRLMDNLHIEFKNVHIRFEGVDTSRDLSQAPRSASGKYAVGCSIG ALYAETTGSNWIAKARNEADPESGNHVVWKLIQATNMSAYVDPHALHFVHSSKHPKVL HATLFRLKAMADPATDLRWWKKQATDADAHTHRFVLAPFHVTLKLTMNIDVKDDQTVD DTPHYSAVFELSKLVAMADDEQMVLVTHVLGLFTLHDQWRHAIAQRVRSDEREHYTTT LNDQYLVLWEALHKVYTKQPKWDLVKKTDAWKQLQALEQLVSVDAAIAMRNAAAFEDD DVTMDPDMSRTSLHDLGEVFGIPAPQINVKFQRGELGLKMHLNARHEPVVDECYGQAK TKTAIKPGLLLVKMDGQDLSSLVSFATIDELIQHLHAKTQLASCVLTFRHPQVQASIV TPKQLVSILDVCAPHVELRLVLTPLKQVVASAVFHAVDLSIRGFGPGWFSFHRYRLSA RHFYLQESVSSPHRNQCLASSIDQIKHPKDVRPIFVLDMNCLETDHPSLPAGPPPPTT TTAATDGFTYATQYGLHVGDLIVIYERTKMLAFTRALSDFAARAFGPAAATPRPVANS STTSAMLLQADTPLRLRYDFSIQSLRVFVKAPGVQPRQRATRAESVAINPTTSRSIFS QLTLHKAGGMGLNDWLKDTLMLERVVAAVIHLQRYVRGRNIRRFALPSRRPLYPPALP PDAHDVHPDTERQGGYLYVHDYRLGCRRWMPLFVVLDKTTGVLGLYRKASCLDDDMVE LWPMEHCVDVAIRPKDQTSITAPCFASPMHFLEIKFRTTKFVTNAFGNAAHTVMDTLE LLVASADPSSISMWHSALNGFKVGDVTSVPRAPTLGLRKCMVDARGAYRGWLFRQDLT LAFRRWHEHFVYVDECGQLRFYEDNTARVLIDQEMVENIVSVVHVGNVVDLVNWEGAQ PPRLEGAGPVRLQSLDVNHLGPAGELEGRLSYCIEVTIVDKADDPSTKPNTSRSFLLA SYSYEEIADWRHVLWEKRMACRRDMSIQHLRRPAPNKMVSWPTWWRAHLGVRFSNTAK PNHNVRTMYANLHKWISLYTNHVSGTIYLHDPAPSSGLSMEQAGALVADVRMGRLEVR DRRSAKPHCLVYIGDTFLEFERGKLKAVAINAEQLQDKGQFSLALQFCGPQMKDKFDG DNVKPGLCLGVQLSGCILPQAFQQVVADALCELAPLWDNPTPPPPPASSSVSSEPYIR CHEVTVDIRIGMFEAYVEEKHCVAKFVMEGNALSYFASTDCERMRLALASTSLHVMTT ENQLRLAQVDGFVVEYDLAMHSLDRTTSISIDQVKLEADRRMRVLYQLFEAFQLFEDD YDDDDFDGGNDYTPDDNLSMVAPSPLSSPVPHVPPSDSTRPRHVRNESGVSVRSQRSL RAHPRSQTGRNSEFFQTLSYIQPLLSYQAYVVLLHTYSATFWAGTKDVIDVECKRVVF EVVKRSLSLVALDTYIPVIKFSVSDIQSRARTSTEFRGDFDVDATVTFSARYYNNALA DWETVVEPWQVVGHVSKPLGGAGMPLTVDFNARHRLNVNFTEALVRLVVSVTKHQKPS SMKNADRIFPSSSESSECVNVINNLGVPLRLANLNTTNPGVLTVEIRDGWSLPTYTRF HDAKVEVIMLPWWNPQETSTPLASLNCTFQLKYGGANAGVAPKLRLNVRLHGKDTDHG SVELNLAGNLMGTDKLDTKRVKFCQWHRLRDPRGVVTGEVLVALHFTPTLPLTKGSTV QTVLSGGSLMVDPFRLGSGGVDMRDSTDGDSTLKIKVPERIRNGYVPPLALEVVVDGR PRSLLCPLQRAGKFFIKGEGVLAEVKVAQRDELRRVLMLSSPKQVKNMTNVVVNVGTF PLAECDSSALDGLPLPVSARGRSISIPSVPRLEAMVPVNPGRKYSLPLGALYSDNLYG VMLQVQNSKRTKIAELATLQDQVGCHVVYLAPVHHEDCGYCFFMEISSHMRNVYREEQ FDTESTASDIISHDSKYQIRLHAGFVFENALPIRLKYKLKVPSRQETATTIVVAEGTL APGDEVELLHFHKQAYLYLCAPDEASSWTPPISLAKCISQEGTTISNQTISRADEPEQ RRSADTLEFIRESPVANDIVRSIVHLFTARLDYTVADNGSPRTVIFCSVWVYNYSHVN DLLVRCSENMIVTCPTMKDQPKPRLMDCPTLALELNTLMNHEPGRWSERIHAGVVGVQ KSLTLKGAQRQKHEVGVAIQRPMGQFHRTAQVIISSRYVVVNYTRLQFVMASEAHGRG RHVEIAADTIETPFDYFGDLKKLTGKTVCLKAGGGSDRNYLSSDRWSGLFSIENEDEF SLWLPGARIDDPATPSVPRVRVKVHTVGATILIKLSRDDPPMLSVQNNTDKAVKLSQV HQHESMIVPPMSTSTFAWESPDSPRKVSCCMFMEQTAMGKWTTPTKTKVCDFDSLDSE EAVVWEDKRHRRHFAAEVKFNASSRVLVFRNAEFNDFRRSKFKLEVKVVAVRRHVDGS TPTGIPKEALVSLHTDARDSQRQITPSAAMKGHGVYRFEYDMVFPCVARPRQLFVELY EQETSSTSLQLDIDDQPPLSVTPDHVSPRISTLFQRMPDDNQPPGGDGDNDLVVDLQW ESPMSSSWTDFAPLSQPAEYVLPPPPKQKELVGMVDMKIPNKMWKQLRTTDRKLGDLD GSWWPLIHPDGTAVGTVQLAIKCTMVNQDKDDDRRSHYRDGVIMNMHLSSFGVSFVHN TNRLDVAYFVLQRVNVMYENHKGTSEVSLFVGNVQMDNQMDRKVVLGPRGYKRKEGVS VRLRDRWRQCLSHKHKKLLEQFDIAMLPVVQLRMLYNDTCSAGSFHVELVELVLQELE ITTDEKFVVNLISVFQGLESLSSSETFESVLDKRVVYSDIDAKPITDGMYIEQLDVES IRILFSLELNGGKHIATLGPSGRRLATYLPVSNVKDLRLYFSKLLFTHIYDSQTVIVD KLYRHYMQQALLVVLQGLYTVTLFVNPFRIIYRLGHGVLEVVRLPARGLASGSPVELL SGAYLGVRSLAMNTISASYEAVAGATGAVSSIITPMIFNPAKKHKFQEEMVNFQRAVM TEVDAFDAAEEQHMTKTIVRAPRTFSSIGLLVEYGPGSLPMDEQARVDLKAAVILQTW WRRCLLVNALYNKANSLKAAVQVEPPATSWECRMM H257_01106 MPKQFTIVYIPADEGTELQEWHLDLPQDVDGQIACLTERLRAHF KGKTGAASTDEQKDAFRQQIIKQLPKDAPVNDQMMAMMLQMESLVDSIPLILNTPAVK YVGVNLYVDDKGTAKNLPVNLRASAIAQACGKMLEVRGDAFIARLFDNGASGVSTECC RSHIVLKSCILVHRRCVCAPGLHPVGNQRRCGMDQDRATSVVRQLPKRVVRGSIGATM RVPVVLIQRCPPMFAMPGRVLLQSSVSKVALAGA H257_01107 MPIRSIWRHALQGELQLIIGPMFSGKSTELIRRMRRYQHAQLKC MVVKSKIDDRYTNDSLVSTHDRQMMKANPLTRLEDMGDEFMKYDVIGIDEGQFFTDLH SFCDDAANRGKIVIVAALDGTFERKPFQHVCDLIPRAESVTKLSAVCAICGSDAAFTR RLVSSTAVELVGGSESYQPVCRNCFTTPLVTGEEEQVN H257_01108 MATPVMHIVTPVIESRVLSHLLKKRVYLKLDNTQPSGSFKLRGV GLACQRAVEKGATQLVASSGGNAGLAVACSALKLGVPGTVFVPESTPVYMRERLALEG LHVIVQGKVWAEAHEAAMQFLAKANELSAKAAYIHPFDHADIVEGHSSVIGELKDQLP VQPDLVVVAVGGGGYFAGVCQGLSAHGWEATRVLAMETTGANKMERSMQAGKKVTLAK IDTIAKSLGAMAVSDTAYAYATQMNVHANSVSDAEAVDACVQFANDHKFVVEPACGTA LAPLYAQRLREFLGDEYDAVDSICVLVCGGWMTQLTHDTQSSLRSIFG H257_01109 MATQRRLLPLELIDKCIGSRIWIIMKGDKEFVGTLRGFDDYVNM VLDDVIEYEVTPEGKRKVKVDQVLLNGNNVCLLVPGGNPEESDA H257_01110 MAAAGCSTEGTSRRLQSHVKLLRSMEGDEMLRCVRAVTQRQPVG RLTRGYAAPAAKAPAKAKDKKGGPKVVDEVVDVTKYAPVNILKDSTHPELKAREEYPE WLYTLLDPKPTLGELERKGYDNLESMTDKRRLIKLSYRKAIKEKNDSKSKK H257_01111 MTVMQTTRTMLAASLVMVASQTTDESPPFWGRIYLRHGVAPLQY FRDSYGGHISGDEWQFVTPLDTLGCSAFNVSDRSLISNSSFVVLDRGVCSFEIKSRNV QAAGAAGLVIVSDTEEVVRPVAHVIKGEIDIPTVMVRKSGGDQIRVAVSRENVYGRLI PMTCPQSSVCGPHEPTHVQHLTSGVRGGLVHSTEKSDSDWEFLASTFGSPFLTQFLPL VEAVPSHACHTLDMTVVNQMVVIFTHDDRSCSFLTKVSNAQVAGAASVLFVHTGDGPL TRPFVADPWDAYNITIPSAMVSGKTARDLLRLRFPVTLKHDARVADAWERIASLKNLG EWPARKNRRDAFLLDILKQHGQSHARRVAIRGHFINVVGGSSSSWDTIQAKLNEASHE EL H257_01112 MDAESFTNTMKIARRVVATYVSTCYQKFERSKRLHEALVSRKWP EISYVNLELRHIYVKNGLPCFCGIEVSTLDQLADTLVKMHVSSIHRANALDTCLLDMG IPTWTPTLNRSMRLLSSQFVQYGVATVTKDFVLTSANCVGQNILSSPEYKRQMSLWRL AQQQQIDVVAALAEVGDFVHQVVLDWISRGVVHTSHKHGGTINSVDGILEFLVDHYRP KSCSSCRKAVGVSGVNCSFCELAICKSCVCECYKCGAKLCGTCSAIIYSNDTSITLCM GGCAL H257_01113 MGREDRGSERVVRRERSDRDREGKDLLEGKQIEFSTTDGLDVVP TFEAMGLKEDLLRGIYAYGFEKPSAIQQRAIRPAIQGRDLIAQSQSGTGKTAVFSISI LQTLDCASNETQALALSPTRELAEQTQKVLLALGDYMNVQCHACIGGKSIGEDIRRLD FGVQVVSGTPGRVFDMIRRRNLRTRNIKMLVIDEADEMLSKGFKEQIYDIYRYLPPST QVLLISATLPAEVLEMTKKFMNEPVKVLVKRDELTLEGIKQFFVAVEKEEWKFDTLCD LYDTLTITQAVIFCNTKRKVDWLTGKMREANFTVASMHGDMPQNERDAIMQEFRSGGS RVLITTDIWGRGLDVQQVSLVICYDLPSNRELYIHRIGRSGRFGRKGVAINFVKDDDI RILRDIEQFYSTQIDEMPMNVADLI H257_01114 MLSGKAQGIRTTPTSAAICQPHHTFHIELFSDGGNINQSKRSFF QTICNPSSSRRIFFGLCENSTTTMDDIFWFNTAICVLLLVLTIFFSLLPLFMSAASSE SYWHKLMQEKLPFLTAGVFLSTGMIHLLPDAVKLYNQYNQMIDGPDEPYPLVYFLACM GCFLVWSVDSLNFGDSGKMMAVAAAAQPHYETSICRIHVPPITSYGIQRRSRTLSTSD AFHPSTAQDVQFQQHNVTPGVDQPRNSVDYGTCSCDHTMLPDSALIQHTKEYTEVDVL LAGNKCAVDVDKRDQCVSDPHVHAHVSGSVSEHIVFSGDSVVLPYLLAALFSLHSLIA GFTLGMNASMSSTALATAVAIVSHKFIEAVSVGANFAKARSGVNPQRSIAVLVTYSFM TPVGIVTGMSLSAALQGPTGVLAQAIALGIGSGSFIYLAFHEVTEEDAVQGASTTEKL SLFLTGVSVMAALAACV H257_01115 MKRAHRSVVVLLQSMLGMDVKIELKTEYVLEGRVEEVGEGNMDV RLTNVKQTSPQGAIVHLDEVFVMGKMILFVHLPDRLNVAVHLRDYMQMVDKNRSMYQR STRKPATTPSAPPVST H257_01116 MWYFSESELKESPSFQDGISQQTIDVYRFKGCELIDRLAAVFRL PKMSVASAKVFFHRFFVVQSFKRQDAWLIAATCLFLAAKVEEHYMKAVDFSKHYLAYR RKLCHDAKKVEGGSRVYPSEPATSPTVEAMTDELLFTECLVLHVLAYDLGVVHPHAYV NEFVSLSMAHVDASEDMAVELKRAAWSFLNDSSNTCLCLRFEPRVITAVAVYLAGLYL SHWTQPLTGSGPQTWWASVAIPVDVLEAAAKGLLDTYATGFAYKQKPLPSGIVKLFTL HGQPNPEQATDTRTSSSV H257_01116 MWYFSESELKESPSFQDGISQQTIDVYRFKGCELIDRLAAVFRL PKMSVASAKVFFHRFFVVQSFKRQDAWLIAATCLFLAAKVEEHYMKAVDFSKHYLAYR RKLCHDAKKVEGGSRVYPSEPATSPTVEAMTDELLFTECLVLHVLAYDLGVVHPHAYV NEFVSLSMAHVDASEDMAVELKRAAWSFLNDSSNTCLCLRFEPRVITAVAVYLAGLYL SHWTQPLTGSGPQTWWASVAIPVDVLEGMVIWSLVPIVHEVALSNGCIL H257_01116 MKAVDFSKHYLAYRRKLCHDAKKVEGGSRVYPSEPATSPTVEAM TDELLFTECLVLHVLAYDLGVVHPHAYVNEFVSLSMAHVDASEDMAVELKRAAWSFLN DSSNTCLCLRFEPRVITAVAVYLAGLYLSHWTQPLTGSGPQTWWASVAIPVDVLEAAA KGLLDTYATGFAYKQKPLPSGIVKLFTLHGQPNPEQATDTRTSSSV H257_01117 MAEAICRKFLDAARVARDIQRDRSDYTQFPKIFTVPTEAPWPAH LQGETLFSANLRCLYKTNKIDDTTLDEFNALHFVWDPVQHQRNMEICALQAYRAVFGH VRVPSLFVVPDKDDQWPKDTWTLPLGVITKTLRSSWTSLPEASRAALEQVGIHQTDVA WPMESQVAAFKWFKQAHGHVDIPGDFVVPSQEDTMWPSTLWGLPLGLVASDLQANPLK LSQAEYTELKALGVAFEGVDTVHWDEKVQALIVYGKIHGDLLVPSRFKVPKNDMQWPH SMWGMGLGGVVSRLRRDASVVPADRYKQLLDMGFVWNMDEFVWNLKIRALETYKALYG DLKVPQVFNVPTHDSRWPPESRGYKLGRAIDRLREYRGILPRHRIDQLSAMGFVWRCR RQRQPKPLNAPTFHEL H257_01118 MGRILRLEVNNFKSYGGKQEIGPFARFTAVVGPNGSGKSNLMDA ISFVLGVQSRQLRSNQLKDLLHKSGLAPTIPEGGAYVSLIYELDDDEKKRLHGKHSGE STSSRELTFTRCISEKGVGSYHINHQDKSYEEYESALKDLGILVKARNFLVFQGDVES IASKSPDQLTRMFELISSSEELKDEYEKCLQEKAVAEENTIFAYQKRKGLAAERKIVK EQKEEAERFKQRRNELAKTKQEYYLWQVRHLDVDASAHKHAIAQLSDKLATFQAKQQD IAALQKDQKKAHATQLKTCRHLDALAADVARELEDVAPRTIQLNEQIKHAKKKLDAAS TNEKAMARNVDNHAREVQGLTADVQDLKQAQAELDASKDDQELVLEGAQVDEFNRIKN EAKVKTLQLRNTLGSLQMHHKADTGRLQALVRDEKEHSDELARMNEDHASAVARLVDI RRVVANSTSEIQDTEAELSNAEQFEKALADKKLAVKAELDQIHVKLRHVKDGMRQSKA DQRKADTLETLTRLFPGVRGRLVDLCKPIQRKYNMAVTVATGKHMDALVVSDYKTAGD CIQYLREQRLESVEFIPLDRIRVTPPNERFRRLGDNIKLVVDVIACDADIQPAVAYAV SDSIVCESIDDARDVCFRRNEKVKAVTLNGMVVSKNGSMTGGKTHKDAARSERWDEKE TAALKAQREQLHAQLASLDKESTGVVRKQTLETKLGSLTNRLRYANADIKTTESKLPK ILARQTECQKVLQQIAPEIQTLRGAIAARESSMARLEVEINMVEDSLFEGFSHQFGIA SIREYEENVVKQRQERSDRRQQLDSHLAKVQAQLQYLQAQDLPSDWAKLKDNIAKQKR ALKALEKEKTDLQTQTAALEVTSERHVEASTAAHDTLKRIEGELKAISKQRDDQSAKA ASVQKQLAVEETAVERFKDKKGEVLKRATMDQVKLPVVGDAVGSDDEDVDMSGESISL TNQADTRYAANEIDFSSLEQLHLDSDKARQDHLLKYEQTIAAIAGDLERMQPNMKALD KYDEIQARISHEEEELEKIKASATVACQRFEAVKDARYERFMEAFTHVSECIDDTYKN LTKSSKHPLGGTAYLSLENSEEPYLHGMKYNAMPPMKRFREMEQLSGGEKTVAALALL FAIHSYHPSPFFVLDEVDAALDNVNVNKVSTYIQKCAFQCVVISLKDAFYEKADALLG VCKDISSQRSKVLTLDLTAYDE H257_01118 MGRILRLEVNNFKSYGGKQEIGPFARFTAVVGPNGSGKSNLMDA ISFVLGVQSRQLRSNQLKDLLHKSGLAPTIPEGGAYVSLIYELDDDEKKRLHGKHSGE STSSRELTFTRCISEKGVGSYHINHQDKSYEEYESALKDLGILVKARNFLVFQGDVES IASKSPDQLTRMFELISSSEELKDEYEKCLQEKAVAEENTIFAYQKRKGLAAERKIVK EQKEEAERFKQRRNELAKTKQEYYLWQVRHLDVDASAHKHAIAQLSDKLATFQAKQQD IAALQKDQKKAHATQLKTCRHLDALAADVARELEDVAPRTIQLNEQIKHAKKKLDAAS TNEKAMARNVDNHAREVQGLTADVQDLKQAQAELDASKDDQELVLEGAQVDEFNRIKN EAKVKTLQLRNTLGSLQMHHKADTGRLQALVRDEKEHSDELARMNEDHASAVARLVDI RRVVANSTSEIQDTEAELSNAEQFEKALADKKLAVKAELDQIHVKLRHVKDGMRQSKA DQRKADTLETLTRLFPGVRGRLVDLCKPIQRKYNMAVTVATGKHMDALVVSDYKTAGD CIQYLREQRLESVEFIPLDRIRVTPPNERFRRLGDNIKLVVDVIACDADIQPAVAYAV SDSIVCESIDDARDVCFRRNEKVKAVTLNGMVVSKNGSMTGGKTHKDAARSERWDEKE TAALKAQREQLHAQLASLDKESTGVVRKQTLETKLGSLTNRLRYANADIKTTESKLPK ILARQTECQKVLQQIAPEIQTLRGAIAARESSMARLEVEINMVEDSLFEGFSHQFGIA SIREYEENVVKQRQERSDRRQQLDSHLAKVQAQLQYLQAQDLPSDWAKLKDNIAKQKR ALKALEKEKTDLQTQTAALEVTSERHVEASTAAHDTLKRIEGELKAISKQRDDQSAKA ASVQKQLAVEETAVERFKDKKGEVLKRATMDQVKLPVVGDAVGSDDEDVDMSGESISL TNQADTRYAANEIDFSSLEQLHLDSDKARQDHLLKYEQTIAAIAGDLERMQPNMKALD KYDEIQARISHEEEELEKIKASATVACQRFEAVKDARYERFMEAFTHVSECIDDTYKN LTKSSKHPLGGTAYLSLENSEEPYLHGMKYNAMPPMKRFREMEQLSGGEKTVAALALL FAIHSYHPSPFFVLDEVDAALDNVNVNKVSTYIQKCAFQCVVISLKDAFYEKADALLG VCKDISSQRSKVLTLDLTAYDE H257_01118 MGRILRLEVNNFKSYGGKQEIGPFARFTAVVGPNGSGKSNLMDA ISFVLGVQSRQLRSNQLKDLLHKSGLAPTIPEGGAYVSLIYELDDDEKKRLHGKHSGE STSSRELTFTRCISEKGVGSYHINHQDKSYEEYESALKDLGILVKARNFLVFQGDVES IASKSPDQLTRMFELISSSEELKDEYEKCLQEKAVAEENTIFAYQKRKGLAAERKIVK EQKEEAERFKQRRNELAKTKQEYYLWQVRHLDVDASAHKHAIAQLSDKLATFQAKQQD IAALQKDQKKAHATQLKTCRHLDALAADVARELEDVAPRTIQLNEQIKHAKKKLDAAS TNEKAMARNVDNHAREVQGLTADVQDLKQAQAELDASKDDQELVLEGAQVDEFNRIKN EAKVKTLQLRNTLGSLQMHHKADTGRLQALVRDEKEHSDELARMNEDHASAVARLVDI RRVVANSTSEIQDTEAELSNAEQFEKALADKKLAVKAELDQIHVKLRHVKDGMRQSKA DQRKADTLETLTRLFPGVRGRLVDLCKPIQRKYNMAVTVATGKHMDALVVSDYKTAGD CIQYLREQRLESVEFIPLDRIRVTPPNERFRRLGDNIKLVVDVIACDADIQPAVAYAV SDSIVCESIDDARDVCFRRNEKVKAVTLNGMVVSKNGSMTGGKTHKDAARSERWDEKE TAALKAQREQLHAQLASLDKESTGVVRKQTLETKLGSLTNRLRYANADIKTTESKLPK ILARQTECQKVLQQIAPEIQTLRGAIAARESSMARLEVEINMVEDSLFEGFSHQFGIA SIREYEENVVKQRQERSDRRQQLDSHLAKVQAQLQYLQAQDLPSDWAKLKDNIAKQKR ALKALEKEKTDLQTQTAALEVTSERHVEASTAAHDTLKRIEGELKAISKQRDDQSAKA ASVQKQLAVEETAVERFKDKKGEVLKRATMDQVKLPVVGDAVGSDDEDVDMSGESISL TNQADTRYAANEIDFSSLEQLHLDSDKARQDHLLKYEQTIAAIAGDLERMQPNMKALD KYDEIQARISHEEEELEKIKVRWLNIY H257_01119 MHHMDESSSVPQDTSVYLEDDAMDLVTKGYLTQEKWLELGSGDL ATAKEKIRQYINEQLNDSVRLALTKQPTDQVLHSDIIAAAAGGLWGAASAWLKSTPIL NPPPQVATRNVIDPAGPSEPRSSTFLWNTAKEAALKSMETSRKIRTVDFLQLSHYCGD ELSCDHIVIAINGFMTHGHTPTVNWEAIGRKKNVSGYVVLWEAGNAAEWDAFCNETSV HLETGQDDTIASHFTVGNPWNKAQNKAHQVGIVLAELLISTPVFSRSRKVTLVGHSLG STVIHSCLQHLNTHNRTSNNTPLHLHQAVFFAGAFVPDHDFAAITDAVFPALASNRIL NVFSSQDHILMHLFSLVNMHITRPAAGCAAIRSKHIRNIDVTDLIPPTQASILGHSYE KFMDAIVDRMGALLDLPDTIAAK H257_01119 MHHMDESSSVPQDTSVYLEDDAMDLVTKGYLTQEKWLELGSGDL ATAKEKIRQYINEQLNDSVRLALTKQPTDQVLHSDIIAAAAGGLWGAASAWLKSTPIL NPPPQVATRNVIDPAGPSEPRSSTFLWNTAKEAALKSMETSRKIRTVDFLQLSHYCGD ELSCDHIVIAINGFMTHGHTPTVNWEAIGRKKNVSGYVVLWEAGNAAEWDAFCNETSV HLETGQDDTIASHFTVGNPWNKAQNKAHQVGIVLAELLISTPVFSRSRKVTLVGHSLG STVIHSCLQHLNTHNRTSNNTPLHLHQAVNI H257_01119 MHHMDESSSVPQDTSVYLEDDAMDLVTKGYLTQEKWLELGSGDL ATAKEKIRQYINEQLNDSVRLALTKQPTDQVLHSDIIAAAAGGLWGAASAWLKSTPIL NPPPQVATRNVIDPAGPSEPRSSTFLWNTAKEAALKSMETSRKIRTVDFLQLSHYCGD ELSCDHIVIAINGFMTHGHTPTVNWEAIGRKKNVSGYVVLWEAGNAAEWDAFCNETSV HLETGQDDTIASHFTGPVAPAFPTHADCFSGQPVEQGAKQSASSGHRVGRAVDLHARV LPQSKGDAGRSLVGQHRDP H257_01119 MHHMDESSSVPQDTSVYLEDDAMDLVTKGYLTQEKWLELGSGDL ATAKEKIRQYINEQLNDSVRLALTKQPTDQVLHSDIIAAAAGGLWGAASAWLKSTPIL NPPPQVATRNVIDPAGPSEPRSSTFLWNTAKEAALKSMETSRKIRTVDFLQLSHYCGD ELSCDHIVIAINGFMTHGHTPTVNWEAIGRKKNVSGYVVLWEAGNAAEWDAFCNETSV HLETGQDDTIASHFTGPVAPAFPTHADCFSGQPVEQGAKQSASSGHRVGRAVDLHARV LPQSKGDAGRSLVGQHRDP H257_01120 MSAYSFTPDESDLLSRKPRLGTLTVGEKIAEADLLKQQGNLYFK AGLFKKANQHYVKIFLYVNGLSVAGDGMSSYAKGAANASASESEGVAITQLKVAAHSN MAMCHLKLDNPDKAIEQADKVLAIAPGHVKALLRKAQAYRQKGKYALAKDLLREALVV EPKNAVLRSELKQVLEDAQLHPEVDEMKAKMTNMFNKAGGIYK H257_01121 MGAKNSVVEVVAANVIVVEREALLKAHPVDDDLRHWHIWSQLDM HDEATAVRLSKFMRNLMDLVVPDAAIRDSDTAMSGELDLSSVKLHDAIHMDWPLKASQ IEEMIRDFELSADAVHIPLPRESYHKLLVQSTEYYAAQPNVIPLQIPHGCTLTVVGDL HGQLHDLLYIFKTQGLPSPTNWYLFNGDLVDRGSCSVEICAIVLAYQSLFPDAVHVNR GNHEDEFMNSVHSFRQEVLVKYDADMFDAFNALFNALPLAHVVNRSIFVVHGGLSDAP LTLAQINTIPRHEYHLHVPNDRRMSEELHWMQDLLWSDPQVPLGLATSRRGAGVVFGP DVCAAFLRLNQLKMVVRSHEVIREGFMWTFDVDEVTADGRVPEVPPSHVPLDMGDVPE SMLLTLFSCSNYCHDSNRGGILVLDAVLNYHIHTYSVPPKRSLIRGLPAFRSIEDHNR HNIMQLLLSHKSKLAQAFAALDESKASGTVDQPPYIYIYIVALCIQATGCRQHGDCGH VGGGAASSAGARLGLEGAGAADGCRGRARTYQLRQVSRVVSRRHREWHGVFDALYPHR KALEAIFCFFDRDQSGQISMDEFKRGCLLLNDHLPSPDRWKDPIALFRDIDIDGTRSI SINKFFEAFRIIDKQSHDVASAIN H257_01121 MGAKNSVVEVVAANVIVVEREALLKAHPVDDDLRHWHIWSQLDM HDEATAVRLSKFMRNLMDLVVPDAAIRDSDTAMSGELDLSSVKLHDAIHMDWPLKASQ IEEMIRDFELSADAVHIPLPRESYHKLLVQSTEYYAAQPNVIPLQIPHGCTLTVVGDL HGQLHDLLYIFKTQGLPSPTNWYLFNGDLVDRGSCSVEICAIVLAYQSLFPDAVHVNR GNHEDEFMNSVHSFRQEVLVKYDADMFDAFNALFNALPLAHVVNRSIFVVHGGLSDAP LTLAQINTIPRHEYHLHVPNDRRMSEELHWMQDLLWSDPQVPLGLATSRRGAGVVFGP DVCAAFLRLNQLKMVVRSHEVIREGFMWTFDVDEVTADGRVPEVPPSHVPLDMGDVPE SMLLTLFSCSNYCHDSNRGGILVLDAVLNYHIHTYSVPPKRSLIRGLPAFRSIEDHNR HNIMQLLLSHKSKLAQAFAALDESKASDNMVTVDTWAAVLRQVLELDLDWKELAPRMA AVDAQGRINYVKFLASFRAVYEGHREWHGVFDALYPHRKALEAIFCFFDRDQSGQISM DEFKRGCLLLNDHLPSPDRWKDPIALFRDIDIDGTRSISINKFFEAFRIIDKQSHDVA SAIN H257_01121 MGAKNSVVEVVAANVIVVEREALLKAHPVDDDLRHWHIWSQLDM HDEATAVRLSKFMRNLMDLVVPDAAIRDSDTAMSGELDLSSVKLHDAIHMDWPLKASQ IEEMIRVRSNALHFLDLLVGLRAVGRCSAYPPASRKLPQVTGSIDRVLRCTAQRHPFA NSPRLYVDGGGRFARTATRLAVYFQDPGPAVADQLVPLQWRPCYVVVDRGSCSVEICA IVLAYQSLFPDAVHVNRGNHEDEFMNSVHSFRQEVLVKYDADMFDAFNALFNALPLAH VVNRSIFVVHGGLSDAPLTLAQINTIPRHEYHLHVPNDRRMSEELHWMQDLLWSDPQV PLGLATSRRGAGVVFGPDVCAAFLRLNQLKMVVRSHEVIREGFMWTFDVDEVTADGRV PEVPPSHVPLDMGDVPESMLLTLFSCSNYCHDSNRGGILVLDAVLNYHIHTYSVPPKR SLIRGLPAFRSIEDHNRHNIMQLLLSHKSKLAQAFAALDESKASDNMVTVDTWAAVLR QVLELDLDWKELAPRMAAVDAQGRINYVKFLASFRAVTASGTGCLMRCTRTGRRWRRS FASSTETSRGRSPWTSSSEGVCCSTTTCRRRIGGRTPSRCFATSTLTARDPLASTSSL KRFESSTSKATT H257_01121 MGAKNSVVEVVAANVIVVEREALLKAHPVDDDLRHWHIWSQLDM HDEATAVRLSKFMRNLMDLVVPDAAIRDSDTAMSGELDLSSVKLHDAIHMDWPLKASQ IEEMIRDFELSADAVHIPLPRESYHKLLVQSTEYYAAQPNVIPLQVWPCYSLSMYLAT AIDSPRLYVDGGGRFARTATRLAVYFQDPGPAVADQLVPLQWRPCYVVVDRGSCSVEI CAIVLAYQSLFPDAVHVNRGNHEDEFMNSVHSFRQEVLVKYDADMFDAFNALFNALPL AHVVNRSIFVVHGGLSDAPLTLAQINTIPRHEYHLHVPNDRRMSEELHWMQDLLWSDP QVPLGLATSRRGAGVVFGPDVCAAFLRLNQLKMVVRSHEVIREGFMWTFDVDEVTADG RVPEVPPSHVPLDMGDVPESMLLTLFSCSNYCHDSNRGGILVLDAVLNYHIHTYSVPP KRSLIRGLPAFRSIEDHNRHNIMQLLLSHKSKLAQAFAALDESKASDNMVTVDTWAAV LRQVLELDLDWKELAPRMAAVDAQGRINYVKFLASFRAVTASGTGCLMRCTRTGRRWR RSFASSTETSRGRSPWTSSSEGVCCSTTTCRRRIGGRTPSRCFATSTLTARDPLASTS SLKRFESSTSKATT H257_01121 MGAKNSVVEVVAANVIVVEREALLKAHPVDDDLRHWHIWSQLDM HDEATAVRLSKFMRNLMDLVVPDAAIRDSDTAMSGELDLSSVKLHDAIHMDWPLKASQ IEEMIRDFELSADAVHIPLPRESYHKLLVQSTEYYAAQPNVIPLQIPHGCTLTVVGDL HGQLHDLLYIFKTQGLPSPTNWYLFNGDLVDRGSCSVEICAIVLAYQSLFPDAVHVNR GNHEDEFMNSVHSFRQEVLVKYDADMFDAFNALFNALPLAHVVNRSIFVVHGGLSDAP LTLAQINTIPRHEYHLHVPNDRRMSEELHWMQDLLWSDPQVPLGLATSRRGAGVVFGP DVCAAFLRLNQLKMVVRSHEVIREGFMWTFDVDEVTADGRVPEVPPSHVPLDMGDVPE SMLLTLFSCSNYCHDSNRGGILVLDAVLNYHIHTYSVPPKRSLIRGLPAFRSIEDHNR HNIMQLLLSHKSKLAQAFAALDESKASDNMVTVDTWAAVLRQVLELDLDWKELAPRMA AVDAQGRINYVKFLASFRAVTASGTGCLMRCTRTGRRWRRSFASSTETSRGRSPWTSS SEGVCCSTTTCRRRIGGRTPSRCFATSTLTARDPLASTSSLKRFESSTSKATT H257_01121 MGAKNSVVEVVAANVIVVEREALLKAHPVDDDLRHWHIWSQLDM HDEATAVRLSKFMRNLMDLVVPDAAIRDSDTAMSGELDLSSVKLHDAIHMDWPLKASQ IEEMIRDFELSADAVHIPLPRESYHKLLVQSTEYYAAQPNVIPLQIPHGCTLTVVGDL HGQLHDLLYIFKTQGLPSPTNWYLFNGDLVDRGSCSVEICAIVLAYQSLFPDAVHVNR GNHEDEFMNSVHSFRQEVLVKYDADMFDAFNALFNALPLAHVVNRSIFVVHGGLSDAP LTLAQINTIPRHEYHLHVPNDRRMSEELHWMQDLLWSDPQVPLGLATSRRGAGVVFGP DVCAAFLRLNQLKMVVRSHEVIREGFMWTFDVDEVTADGRVPEVPPSHVPLDMGDVPE SMLLTLFSCSNYCHDSNRGGILVLDAVLNYHIHTYSVPPKRSLIRGLPAFRSIEDHNR HNIMQLLLSHKSKLAQAFAALDESKASDNMVTVDTWAAVLRQVLELDLDWKELAPRMA AVDAQGRINYVKFLASFRAVYEGHREWHGVFDALYPHRKALEAIFCFFDRDQSGQISM DEFKRGCLLLNDHLPSPDRWKDPIALFRDIDM H257_01121 MGAKNSVVEVVAANVIVVEREALLKAHPVDDDLRHWHIWSQLDM HDEATAVRLSKFMRNLMDLVVPDAAIRDSDTAMSGELDLSSVKLHDAIHMDWPLKASQ IEEMIRDFELSADAVHIPLPRESYHKLLVQSTEYYAAQPNVIPLQIPHGCTLTVVGDL HGQLHDLLYIFKTQGLPSPTNWYLFNGDLVDRGSCSVEICAIVLAYQSLFPDAVHVNR GNHEDEFMNSVHSFRQEVLVKYDADMFDAFNALFNALPLAHVVNRSIFVVHGGLSDAP LTLAQINTIPRHEYHLHVPNDRRMSEELHWMQDLLWSDPQVPLGLATSRRGAGVVFGP DVCAAFLRLNQLKMVVRSHEVIREGFMWTFDVDEVTADGRVPEVPPSHVPLDMGDVPE SMLLTLFSCSNYCHDSNRGGILVLDAVLNYHIHTYSVPPKRSLIRGLPAFRSIEDHNR HNIMQLLLSHKSKLAQAFAALDESKASGTVDQPPYIYIYIVALCIQATGCRQHGDCGH VGGGAASSAGARLGLEGAGAADGCRGRARTYQLRQVSRVVSRRVRRRKKVIWYPSITC DVAPRVARGV H257_01121 MGAKNSVVEVVAANVIVVEREALLKAHPVDDDLRHWHIWSQLDM HDEATAVRLSKFMRNLMDLVVPDAAIRDSDTAMSGELDLSSVKLHDAIHMDWPLKASQ IEEMIRDFELSADAVHIPLPRESYHKLLVQSTEYYAAQPNVIPLQIPHGCTLTVVGDL HGQLHDLLYIFKTQGLPSPTNWYLFNGDLVDRGSCSVEICAIVLAYQSLFPDAVHVNR GNHEDEFMNSVHSFRQEVLVKYDADMFDAFNALFNALPLAHVVNRSIFVVHGGLSDAP LTLAQINTIPRHEYHLHVPNDRRMSEELHWMQDLLWSDPQVPLGLATSRRGAGVVFGP DVCAAFLRLNQLKMVVRSHEVIREGFMWTFDVDEVTADGRVPEVPPSHVPLDMGDVPE SMLLTLFSCSNYCHDSNRGGILVLDAVLNYHIHTYSVPPKRSLIRGLPAFRSIEDHNR HNIMQLLLSHKSKLAQAFAALDESKASGTVDQPPYIYIYIVALCIQATGCRQHGDCGH VGGGAASSAGARLGLEGAGAADGCRGRARTYQLRQVSRVVSRRVRRPPRVARGV H257_01121 MGAKNSVVEVVAANVIVVEREALLKAHPVDDDLRHWHIWSQLDM HDEATAVRLSKFMRNLMDLVVPDAAIRDSDTAMSGELDLSSVKLHDAIHMDWPLKASQ IEEMIRDFELSADAVHIPLPRESYHKLLVQSTEYYAAQPNVIPLQIPHGCTLTVVGDL HGQLHDLLYIFKTQGLPSPTNWYLFNGDLVDRGSCSVEICAIVLAYQSLFPDAVHVNR GNHEDEFMNSVHSFRQEVLVKYDADMFDAFNALFNALPLAHVVNRSIFVVHGGLSDAP LTLAQINTIPRHEYHLHVPNDRRMSEELHWMQDLLWSDPQVPLGLATSRRGAGVVFGP DVCAAFLRLNQLKMVVRSHEVIREGFMWTFDVDEVTADGRVPEVPPSHVPLDMGDVPE SMLLTLFSCSNYCHDSNRGGILVLDAVLNYHIHTYSVPPKRSLIRGLPAFRSIEDHNR HNIMQLLLSHKSKLAQAFAALDESKASGTVDQPPYIYIYIVALCIQATGCRQHGDCGH VGGGAASSAGARLGLEGAGAADGCRGRARTYQLRQVSRVVSRRVRRPPRVARGV H257_01121 MGAKNSVVEVVAANVIVVEREALLKAHPVDDDLRHWHIWSQLDM HDEATAVRLSKFMRNLMDLVVPDAAIRDSDTAMSGELDLSSVKLHDAIHMDWPLKASQ IEEMIRDFELSADAVHIPLPRESYHKLLVQSTEYYAAQPNVIPLQIPHGCTLTVVGDL HGQLHDLLYIFKTQGLPSPTNWYLFNGDLVDRGSCSVEICAIVLAYQSLFPDAVHVNR GNHEDEFMNSVHSFRQEVLVKYDADMFDAFNALFNALPLAHVVNRSIFVVHGGLSDAP LTLAQINTIPRHEYHLHVPNDRRMSEELHWMQDLLWSDPQVPLGLATSRRGAGVVFGP DVCAAFLRLNQLKMVVRSHEVIREGFMWTFDVDEVTADGRVPEVPPSHVPLDMGDVPE SMLLTLFSCSNYCHDSNRGGILVLDAVLNYHIHTYSVPPKRSLIRGLPAFRSIEDHNR HNIMQLLLSHKSKLAQAFAALDESKASDNMVTVDTWAAVLRQVLELDLDWKELAPRMA AVDAQGRINYVKFLASFRAVYEGVRK H257_01121 MGAKNSVVEVVAANVIVVEREALLKAHPVDDDLRHWHIWSQLDM HDEATAVRLSKFMRNLMDLVVPDAAIRDSDTAMSGELDLSSVKLHDAIHMDWPLKASQ IEEMIRDFELSADAVHIPLPRESYHKLLVQSTEYYAAQPNVIPLQIPHGCTLTVVGDL HGQLHDLLYIFKTQGLPSPTNWYLFNGDLVDRGSCSVEICAIVLAYQSLFPDAVHVNR GNHEDEFMNSVHSFRQEVLVKYDADMFDAFNALFNALPLAHVVNRSIFVVHGGLSDAP LTLAQINTIPRHEYHLHVPNDRRMSEELHWMQDLLWSDPQVPLGLATSRRGAGVVFGP DVCAAFLRLNQLKMVVRSHEVIREGFMWTFDVDEVTADGRVPEVPPSHVPLDMGDVPE SMLLTLFSCSNYCHDSNRGGILVLDAVLNYHIHTYSVPPKRSLIRGLPAFRSIEDHNR HNIMQLLLSHKSKLAQAFAALDESKASDNMVTVDTWAAVLRQVLELDLDWKELAPRMA AVDAQGRINYVKFLASFRAVYEGVRK H257_01121 MGAKNSVVEVVAANVIVVEREALLKAHPVDDDLRHWHIWSQLDM HDEATAVRLSKFMRNLMDLVVPDAAIRDSDTAMSGELDLSSVKLHDAIHMDWPLKASQ IEEMIRDFELSADAVHIPLPRESYHKLLVQSTEYYAAQPNVIPLQIPHGCTLTVVGDL HGQLHDLLYIFKTQGLPSPTNWYLFNGDLVDRGSCSVEICAIVLAYQSLFPDAVHVNR GNHEDEFMNSVHSFRQEVLVKYDADMFDAFNALFNALPLAHVVNRSIFVVHGGLSDAP LTLAQINTIPRHEYHLHVPNDRRMSEELHWMQDLLWSDPQVPLGLATSRRGAGVVFGP DVCAAFLRLNQLKMVVRSHEVIREGFMWTFDVDEVTADGRVPEVPPSHVPLDMGDVPE SMLLTLFSCSNYCHDSNRGGILVLDAVLNYHIHTYSVPPKRSLIRGLPAFRSIEDHNR HNIMQLLLSHKVRLAT H257_01121 MGAKNSVVEVVAANVIVVEREALLKAHPVDDDLRHWHIWSQLDM HDEATAVRLSKFMRNLMDLVVPDAAIRDSDTAMSGELDLSSVKLHDAIHMDWPLKASQ IEEMIRDFELSADAVHIPLPRESYHKLLVQSTEYYAAQPNVIPLQIPHGCTLTVVGDL HGQLHDLLYIFKTQGLPSPTNWYLFNGDLVDRGSCSVEICAIVLAYQSLFPDAVHVNR GNHEDEFMNSVHSFRQEVLVKYDADMFDAFNALFNALPLAHVVNRSIFVVHGGLSDAP LTLAQINTIPRHEYHLHVPNDRRMSEELHWMQDLLWSDPQVPLGLATSRRGAGVVFGP DVCAAFLRLNQLKMVVRSHEVIREGFMWTFDVDEVTADGRVPEVPPSHVPLDMGDVPE SMLLTLFSCSNYCHDSNRGGILVLDAVLNYHIHTYSVPPKRSLIRGLPAFRSIEDHNR HNIMQLLLSHKVRLAT H257_01122 MIPSMVVRCRGMALLGQRTFGTVYAEQLQLKEIKSPEFYDLHAH DRNYFYHVDLQGQLFLEDTVPKNIATSLKSRKFLRFFFGQLRPNPHHANTQSLFHDYP YISPCGSEMNYIKAADTPVVFTELKQPDCDDGTWTLVTNAGHEVEFHPSNVAMSPATN RLYHWIQTKHLSLFGLLKSHVAVEVSQFIDFHDDGHHVLTWHDQAYPLSQDTTHPPPS ARPS H257_01123 MDDDGLEKEVQTLQLQITELNRLLFSTSDSAKRKSLEQQIEELE EEGIALRKKCHDEKELMPAVSRMNNARPASARPRRTTLKPVIEDAEVPIAHLTAELAR RHFTNTIHLDNDAIRDILTSSHNERFPATAVIDSGVKTYWMSSGMYPQVLRLMLRQTV YIASVEITCVFVKELVIHCTHSRSVVNPEPIRVTLPPPPLSSMSSSPDARGDDWDTRV SHKFKFKGDAVEAIDVRILSGYFDFCIVHGIKVKVDEDLETQQQPTKSVSQSSSSNTH IELVR H257_01124 MLKLICHIVGGREPFAVKIDANELVTDLKTQIKEQNPSLRSCNA MDIQLYQSLKDATWLSAEDLDQMTSDGVMDAYLATIREMKPTDNLAYYFGPNPPPLTK HVHVLGVVRPASLQQGQAQTVQGIPAAAVPREEFQQFALDMREAFRRQVEAAQETREA LRRQEEAAQETRESLRRQEEAIQTIAAGTPDTCSSAALGIKSLEGLEQRKAIANFVPN EEAPAFWSPADQANANGIFLEKAFDAFITPFYNTALANCDMVFVNSEHVAWLPQGPQL PPNTNIKPDGFATHPGM H257_01125 MDHVHRPSEHVFRFGEPEKQLMDCVVLFESQLRITDAAFGQVVQ YLRRLFPTGSANGGSKALFESFVSDHTSPWVRLLTRACSALGVDVVEGGAFLGRGAHG RVFKVERKADKKVLALKLVDSSSKTALFREELALTNAELTGLTARLEHGFVEFPGGAA LLVTPVGAPLPRPTTLQEVVNLFDLLRQLHEKSIIHGDPRVPNVIVVKDNDKDKLLWI DLVEAVQVTPGFRTTDAAILTRSILRLLHTSLLGEPLESLINEYGQTPTSENAHRMYH AKDAPMDHVHRPSEHVFRFGEPEKQLMDCVVLFESQLRITDAAFGQVVQYLRRLFPTG SANGGSKALFESFVSDHTSPWVRLLTRACSALGVDVVEGGAFLGRGAHGRVFKVERKA DKKVLALKLVDSSSKTALFREELALTNAELTEVVNLFDLLRRLHEKNIIHGDPRVPNV IVVKDNDKDKLLWIDLVEAVQVTPGFRTTDAAILTRSILRLLHTSLLGEPLESLINEY GQTPTSENAHRLATAVFQCTKFSARR H257_01126 MPRTTPSAARLNLHLYIGYAHCVGRLLILIQRMRQAEPRSPDEY SALKDRIVSKAPQSIHGACLRLTKLLRSTASIAATSSAVPRPTPRIGRRHYSSLQHGL PAF H257_01127 MGRMYGIVALMLVMLLHASVCVHSALYEDQIGLFDWHREGLGEV THAVFPSKNSKDVKVSKALYVASRANVLAKLDSKTSAVEWRHVLPESSIDALHFADSH ASVVTLSTSTNNALTTGNATVVRQWDAVYGRLLWEMNLPASSSSSSSFAKVHEVRGEN EVDETCLVVVRNQGVTILGLKRGDVLRTVPFKSATFLATLISSKVSADGSKLYILGTT DKAAASPVVLQVVLKSGALSTLDVASPAALHRTDDEDIVVAVGVLPDGAGLALQSLDD LTKPPSIASPASLQLPYPADVSFSAVDASLGHALVASLSNDKRVFLRIAADLSVQVVA SLPSSGSLVDSVQAPGALFHVALDASRNLVSVTSHYTGAASPAFTASLDVALYGGSVL RGFAGVAFKKKGAALSLVRVGLVFADASLVLVSNEKPADAGPVWIREEALAHVTQMYW VTPTFDSVDQDKSLKVIPTYWEELGLELKKLVKFASSLSTLWSATDTTKHSSTFGFAK LLVLYTSTGKLYGLDSQTGAVAWSRFLGPGHQLLVTRDHPAFGAGAELLVVTPQSKQL LWLDAGDGSVVHEDVPASSSSGVKWVVLLPKLKHVELDATVPRRVVGVLDDATNNVDL FPADEDVRDHVQSFYVHRYNPHDHAFQGFVLASTTAASPVWSVALPANERIVARSVQP EHRAIDSSVTVLGDDSLLLKYLNPHLFGVATIDDHRVLHVSLIDGVSGRIVHRVKHRD AAEPVHLVQSENWLVYSYWNTKSKRTELVSLTLVEGGVPANGLNPWKQPRWASAKSSF EPKLPVVLQKTFVYPASISALGVTVTNQGITPQYILVAQSNGQIFKLARNFIDPRVPD GAPTPEQISEGLFQYTPYVPVLANALNMVTYNQTIRGIRRLVTAPALLESTSLTFAYG LDLYYVRLAPANAFDVLPSDFNFELLLLLCLGFIGGAYLTSVLADRKELHDAWK H257_01129 MQYQTPQGYVPGQFPPPQQYQHNPHDQIHYQQPHSRHDPHPSYP PQQQPSSLPTLDPQQQHQQYQQASHEVQRQFQQAVSQHRSMLQQHPHQQHMLQQHHQM VVHQQQQQPSLTPQPQPQPQLQLLQQQPAPHLNPPPQQQPPPQTPSVELSYPPQPPPS LQPHDNSLSPDSSSQQIQPLLDDAVHRLNARVAQLEAQFGLPSNHSSSSHAPPPLTSL PPPQASAAATSSSAPIAHGFVAYDVFSTAFLQPFLESCDKLGPDVAKYGAIVRRAFDA QRNYLLAASLSQKPTTGPVKGLLAPIQDAIREMHDLCDLRSDFANHQHMLYEGLQTLE WLHVDGAAPHAFMARYMGDVWGTKIRAQYKASNADQVRFAASFKALVTELMAYVEDFH PSGVTWNPDGGDVKDFLKPPSKRTKAKITLIRTLLGREPVKRNLNYSRQGTDEHDNLT KALAEWRADGEPVDKLSYRKKYGVPKLIWNTRTNANVNRRVSLYASPGITAVRMEQEE ALVAKLKAQCHDNNIRWTAKDVQQYAVRDMGVSQISVRQWYSQHFLKRWPEAKGFIMR YKEAHGRETLTSTML H257_01128 MPTAATPAYGRVLSEADRLKVDALEKRMKKLDDTVHIWVRDGGN AREGATPREFFSMKLRPALPVSEIRDQIQERYERDGYYTSGVDPPLRLLFGGKLLVDG HKFVDYLPLPPPQSHPRLKWVKPYAGIMWVIPIQIEPRFATAWGDYITPHPLTETQRT H257_01130 MQRCRSESPLPAAADLFLVSPSKRRIRDIIELNRSVNLKLEEQA HVEQIAQRAKYYTRWKHLRDIYTMYTLDKPPPTSPTRREAPPLPTQHAGSPRRRKCNH GSPLMVACVEFCVDPLVPVLVMTSIMYSALGLDARVPATQTLVQEFLKCMQVSHPCKQ PSSFFVDHRVICCMWDVLAYPTFPPLRRLTRWFDTFCIASTTLPLEAVVHPQDIRSML FSACPTPASETAMDVFVKHLVAAVGDSHLRLPQLMAFADARFDLQVLVRRLCWENLTE SQREAIEKDESDMTAAFVERERLAVQHTKALTYWIHMEPRRRFMRWKQFTQDMVRLKT ADRHARRYKYGRGVTYLETNARRVRWMKQSLVKAMTCHTRTLALTTFDGWVCFSVGCY ELERVARGQSQRHYEAVRTRAAWLGLVQYAMTQRQAKQVLLQRTMKLMHQTTDKLLAK TWNAWRYFVQLQKLDRMSQQRQDDMVAAAAEFQRFQHECSCMDREDALATRMRQGERD DMERARKAMFREQARQVYEVRKVKKQEEARTALKKEREHAKAQLAQAAWTDIEQMAVA KARAAAEEWLQSPQGQVELHTAATDIYEDPPTNVAKMLQTDSTYSNVPDCVWVCRLEN IGGRHAKAYFYHTQRLEKVLCDDLTMKSSVVIASEHLIQARINAMKAQLAQRGQEEQA KFTRNAAAKRIQMLFRCRQARKYVRSLLRPLVMKRIDAATGRLVYFNIQERKTSPVPP RLMGAAEATLPVESATWVRRLDADSGDQYYMDVSTGVTSWNPPNSYVMCKKCKINFCT SRNTETGERLCVSCYAEVAQLQRQADKAARAASSIKPDDDNKSTWTRIAVVPSKCCVC KVNNGERLCHECRGDITCARCFATLHKNPKLKHHIQHESLVYSDLQ H257_01131 MHAARTLLRCAPFATTAGARRPIGVRCVAMVRRANPQDGDDTSD ASVDLLRTATSSKEVDLWRTVFGAKSSSASMDEDSVVEDDVCTTNFAYEVVAKVDDIA EETEVDLWSNVFGAKKPKASVHDSSVSDTENDVSGEEDPVDLWGTVFGATPPDCFRED PPVESAASSPSLPPLKDHADVWTSVFGKRDV H257_01132 MYSTLYCVRAPSRAERTVDRQPRSMLRCACLCNEDDNAVGLTTR RRNQPSSSPSSPSSYARFSHEAKTSLESFQLDNTASSSSRPSHKDTIDSPTLKDYTQH MASTTLASDWQEMRSRDVGHATATPVFLKKQPVSPPKANTPKQPANVSPVRRDDRQVG SLYRTYSSSEVAADGDPHSIVLVRVPPGPTGLVLRPHTSAPVVVDGFEPVYTSEYPSG GPGPIESSDEDVGPGSLLVAIDNVSLLNASYADVVALLQATDGNAWREFVFQTYASE H257_01133 MYGNRTPDVRQVRRPRSLCIVQHLWSEAAVTNPMFAVSSRRLEK DLLRALRANCANREMHQLSTQVSNTMKRINRHAHADTWKRANIRAHTTNTSAKFARQG TNPPPMSLSAWLSQRIPKGFERFYPKGAKGEGKPNAGNKKATGNGPKEEPKPSSGGGG SSASGGSDPKEQLMYTIPIAIALLLFLELTSGDNALKEITWQEFRNDLLSQGKVEHIV VVNKTYARVFLHRPSTTADSHAPSSTATHTDLHEVRDHQQHAAATAPQPPTPSYYFNI GSIDNFERQMEQTQASLGIPPQAYIPIQFSNEMNWKMELLKMAPTLLLIGFLMMSMRG IGGAGGGGGMGGIFKVGKSPAKKITKEDIKITFKDVAGVDEAKKEIMEFVEFLKNQKK FTDLGAKIPKGALLVGPPGTGKTMLAKATAGEASVPFYSISGSDFIEMFVGVGPSRVR DLFKEARANAPCIVFIDEIDAVARARSSGRFGGGNDERENTLNQLLVEMDGFSSSEGV VVLAGTNRVDILDKAILRPGRFDRQITVDKPDIKGRREIFKVHLKNLHLDGSVDDFAR RMAALTPGFTGADIANICNEAAIVAARRLGDSINFKDFEQATDRVIGGLETNRLMTPE EKKTVAYHEAGHAVTGWFLEHADPLLKVTIVPRGKGSLGYAQYLPKEVSLHSQEAIED IMCMALGGRASEYVNFDGRITTGASDDLRRVTQMAYSMVQLYGMNSRIGQLSFPRDEN APGEPRMYSERTAELMDEEVKKIVDRAYKRTIDLLVSKQDLLVKLSEELMENETINHS DIVRVLGPRPYGGNKTYTEFVEESWQNADRHEEEKKAKAAAAAEDAPKPAKDDATNSD KTSTDATKHDKKEHD H257_01133 MYGNRTPDVRQVRRPRSLCIVQHLWSEAAVTNPMFAVSSRRLEK DLLRALRANCANREMHQLSTQVSNTMKRINRHAHADTWKRANIRAHTTNTSAKFARQG TNPPPMSLSAWLSQRIPKGFERFYPKGAKGEGKPNAGNKKATGNGPKEEPKPSSGGGG SSASGGSDPKEQLMYTIPIAIALLLFLELTSGDNALKEITWQEFRNDLLSQGKVEHIV VVNKTYARVFLHRPSTTADSHAPSSTATHTDLHEVRDHQQHAAATAPQPPTPSYYFNI GSIDNFERQMEQTQASLGIPPQAYIPIQFSNEMNWKMELLKMAPTLLLIGFLMMSMRG IGGAGGGGGMGGIFKVGKSPAKKITKEDIKITFKDVAGVDEAKKEIMEFVEFLKNQKK FTDLGAKIPKGALLVGPPGTGKTMLAKATAGEASVPFYSISGSDFIEMFVGVGPSRVR DLFKEARSVGCFGFHDSYIVCRANAPCIVFIDEIDAVARARSSGRFGGGNDERENTLN QLLVEMDGFSSSEGVVVLAGTNRVDILDKAILRPGRFDRQITVDKPDIKGRREIFKVH LKNLHLDGSVDDFARRMAALTPGFTGADIANICNEAAIVAARRLGDSINFKDFEQATD RVIGGLETNRLMTPEEKKTVAYHEAGHAVTGWFLEHADPLLKVTIVPRGKGSLGYAQY LPKEVSLHSQEAIEDIMCMALGGRASEYVNFDGRITTGASDDLRRVTQMAYSMVQLYG MNSRIGQLSFPRDENAPGEPRMYSERTAELMDEEVKKIVDRAYKRTIDLLVSKQDLLV KLSEELMENETINHSDIVRVLGPRPYGGNKTYTEFVEESWQNADRHEEEKKAKAAAAA EDAPKPAKDDATNSDKTSTDATKHDKKEHD H257_01134 MADAAENEKNLGNEEFNAKNYEQAIHHYTQAIKLAPTNHIFYSN RSAAYGALNNWEKAEADAQECARLNPSFKKGLLRLANAQRQLGKNEAAMATMALANGG GVPAKRAKQESAAPLPSSVQKELQELQPQFQSLHRELETIDSKLGAYGREKKRIQLTK EELGELPTGTRTYASIGKMFLEMTPEENVARLDGNAGKVDDQLAALEARKQYLERQKS SLEANISELLAQCNTTG H257_01135 MATPAAYQTPDSKKEEFRKYLEKSGVIDSLTKVLVGLYEESDKP PNAVDYIKRFMGAPTGVDVEAMRLENEELKKKNAELTKVIEELNKRLTAEEEEEDD H257_01136 MQNYHILERVGEGSFGKVYRGRRKYSGHVVALKFVSKRGKSEKN MSNLRQEISILRGLNHSNVIAMLDSFETEGEFCMVTEYAPGELFQILEDDHQLPEDEI KKIAVQLIQALHYLHTNRIIHRDMKPQNILVGPKQQIKLCDFGFARAISADTNVLTSI KGTPLYMAPELVKEQPYNHTVDLWSLGVILYELAVGRPPFYTDKIVSLIQLIVNENVK YPETMSDEFKSFLSGLLQKDPAKRMTWPEILQHPFARETAVQMQNREALERQVRRLPT FYEENLRLLANKNDALQRSVEWKLVDPETSLVLRTNQKSHDEVRMPSQVEDMMHVWKT YEADTAGLDAGFVRLLDAPHLLVHVTQALMGEDMACLRTALYVVHRALQQVSKASHPL SAAHVTVVHALRAAFLGHLPRIMMHTSRDNALQMIRSLMLPVGREGPDSNCALEIGGI VWLLQETNVVVADVPLLSKVLKWLGTTLDHADKSVVVYDAIAHDKPELIPMLCGLLGH HDATIATYAVFALASLVHPNEAFWDVDMPFPVTSAASLSSAASSPDKLQRLRSTYALR IKLHTDVSTALFKAGLEPLLANVNVELATAQACDEADDDDDDPHTMLTNVLKVLFYSC RVSSPVSKRAAATVQQFHTVLDRLNRSERYFALECLAVCQRRGVLSTRTSSALFRHEM ARRPRHALHHSAACSLLSETVEGDESVAMAAVDLVPLLFLPKHHSSARVHDLLNCFGL RASGVADSCVIVLYRVVASLMKHGHSDLVHQVLIDLETHDHWTVFCALLESGGDDGLS PWGLFCLLKLIRSLTEHMTETDQFLPPHLERQRTLVPLLVSLLRPAHIQHLLVWPDVV GGGLQAVKAMVHAIVKIVSMPFMLADVSEELVFRTQELLYESGCVGLLLGILSQHALE MELLVKFLARLVTSSPHFAVQFVDAHGLALVKSQRLLEPATTPPHLVQDALVLLSHIA RSSRAHYASIDAANLMPELRDLLQSPDPTLRAKACNCVGNLSRHSNHFYDHFAQPLPP TQTSLVDGLLTCVQDPGDVLTRRYACFAIGNAAFYTDQLSRALQPAIPHLVHHLHDPD VKTRSNAAAALGNLVRQSATCCVDLVVHHAPYSLLECAFDETDLGTRRIALFSLGNLC EYELCRQSMTSTDALFGHSLQGLCDDSTDDLVKKYCRRILSKWPVADSTVLRAPP H257_01137 MQASVFYPEDVPGVPTSLLVLPASPSTLRVQVQPPSGIKPLGSN GDPVLGYKIDVATHVAAVQTFSIQSPDGPITGGSYRVSFTNSFGTATSASCIPWDATS DVFSMALQSLTNIDGVFVTRSAFGAVPQGYVYTITFTGAVLANGAQNQLVSGSATTCS PFLPPNHRVTLAGAQSTTAGNVGFVPEVWQLTTSESSLLQGISGTFDLSVGFEGVMTS LGKVVSVNAGAKFATTTVANSLVGVVSRGEVISIGGERFRVHATAPFTDTVVPLDSKH IRGANNVAVFGMDTIVGRVSVVQGNPVATTAADYTGVLAVGDSIQVAGVEFTVNAIIA TEVTFGLVSDATTTSNWPTTSDTHVTLLKRKKATFKADADPSEVVAGLQSLPGVGSVQ VTRVGPTAQRGYQWLMTFLSLGPTTCPHSPCLRLDAHLVNEYAAACITCSAALVRVRA GVLPDFSRLLGSTEIGGAVLEVQSIVVSGASPDVAVVPLGGYFYIDFQSYYQSPASTG VLVKFDDTADDVTTKLQSLPTIGTVTVTRTVIGTGFQWLVTFVSNMGDQPLLTVNGGL LIGTNAAVAVVEVTKGVAPQFEAVLAGLPSSTSLIVRAFAKNAKGYGASSDTMQQYGR GASSLATKLLDTPAAPSISKIWPVSFSQLGISFTPSDAAGGTIKTFRLEATPDAAFGV PHVIAIDISNPVPNDTYGTFQLTYGGRTTQLLTSDASAATVQAAINAMPNLRPVSVTR SLYVFLGTVASQVTAYSATLTTLTTTALSQAHVSLLPVGARVMVNLAEFTVAVVPSVG ATTVKVTPVVATTTNFAGLFSMLRTDSSGALAGSFGYRWQVTFSDEPFGIDMLTADKW AVTSSTLASVAGSGSLLSSYAITTVTAPTGPTHYAPLELSTEPWCNTYVVGTSSPTQV VEFFASTTITAGSFALTLGQETTACISFDAPASGTPTSVKSRLEALDAVNTVSVEDLI KFKTMLLPGSATSKVTTYDSVASVLTLGVGGLTQAQADALPVGTLIRVAKSPWDVYQD YCDFSVGTAAALNDVTLAVNLINPTTTTCASFSGDARTLAIFDMKGYRIVFQGDHSTG KWPTLRVSTAGTAPCAAFSPVVPIRSRVQTIKYEGACAAGSPEVQTVIADADSAMGGT FTLSYRGQVTPPLGVASVSVNAMRAAVQALMPTSTAVSVTTNQHNTFGRAWQITFSAA ADDTIDVFVLDDSFVTGMSSEMHLYPAVEFVSTSQANSLRGSFTIALGGEVTGALGCA ATLGKVIQALESLNSIYTVLPVANSASDPNQVGFTQLALTVTATAGSNVLTVVKYQGA AIDPSLYVAIGDRITVGAEPHVVIGVSSGDITLDQNMVAGGANVNANAGLLLSSTTPA DGVASTLTPTASIVTATVGSPTIVLATGHGWVLNDVVSIGTSSYSVLAVNMDGVTVTL ASNYAGPAIVASVPVVFGIKNTLLTTADLGLAAGDKVWYTWTDGSTSEFLVVTSSPRR LTVTGVLKEAIFMGTLRVNGGGFRYPIVFKSISSDLATIDAYPNPDWSGYAARLKTIR PQLVQPYTFTLGNPSQIQTVKLSASTAGAVGTGGTYTLSFRGETTAPIAWAATPAAIK AALELLTVINGVSVTSLALGNGFMHTLTLWGWNYDIRYLPLLTSAFQVGAGGDATQIS IVHHVMQPTYPYTPSWPQYTSLSAATPYILRVVAKNEVGFGLSSALTTASTAMTAVLP SPPRGVTLGNSHGVDWLGVTYTAPKYNGGAAINMYRIEWDASSEFDSTGPDFHYQIVQ QQFEVQEVLSSFRSAVGQGGTFTLAFGGFTTNPMPAACTAAQMTAELTSITGNVKTEG SPIKVTRTAVGWGYSWKVTFMANLGNLARLRVDASMLQGDFAQVAVREITPGVQDIVP GDFTYEVQDIYTSAASPLAGTFVLSFHGVFTSPISVSATALEMQRALQALTTIYSAKV TKTVLSPALQTAVWSVTFAHVENNVLVGSGNIFRMIVESTALTSGTLGSVAVAERVKG TNPFQFRATGLVTGTTYYVRVMAYNSQGFGSNSSPFAAGVPRTQPGPPSNVVTNVQDS TTLHVAWGPPSVTGGALVDQYKVEWFRAPGLPVEQTITTSATRGIQEIQQITSFASTP SLGGHFKLTYQGYTTANIAWNALATGAGSVKERLERIPTLGAVYVSRTTSLTTVANLY VILATTTTAVAQAPITDVATCCGFAIGNTLVVAGQTRTIAGLAAGGTTITLSADAATT TVPVQVYRSANGFQWTITFGPMHVGDVLPLIVTPSDNWGGSSPGIYVSTVQDGIAPIG GTFRLTVPLSVNGVIMSEQTPALPFDISGADMKVALESLSTVSVVDVTRSVNGYGFNW FVKFSSESATDVQLIALDGEGLTGPSVAIAASITQPGTSPTLYCEASGVAGTCHGVSA TLGLSDAIPQLVTGVPYMTRVRAHNIEGWGLAAWGQPQYEIPRGVPSAPRNVQLMSLS STQLKVVWTTPSSSGGSLVSSFRIEWDTTATFANIGVPGFDYFNIFAVPAGNLGPYYF NIPVSVSATYFVRVFASNDRGLSPPAGSVPSSLQPNNMPPGTPQSPKLVVLSSTGYLV SWLPPSTALTVFGGPGGLPITQYMVEWDTASTFDSPASYAMVAGDQSEFIIGGRNVLT GVQSSVLTPGGTYFVRVTAFNGLGASPTAATVPPSLLLANQLPWVPQALTLTDVSASS VLGQWTTPKYDGGLSVRKYTFQYDQQSDYMSGSMQSVDVPIVHEVQTLWLATDPISEE QNIEATVQVTNERQTVRTTVTGVDEVQVVTTSCDNVVDEVQTITTTATDRNEVQTIVL DGTDVNEVQAVRTTLVNSAEVQTITIGVVRVFEVQSFTLGFTGITTPASITGDLILGL DTMLCTFCTVQMVLQPVTVTTAIVDPIDTSGASKMELLLASLANVDVVTVTRLTTVDT LANTLTMVFTVTFSGVEVAGNVPAMTLQSSLAPLIPLTSVQTVATEVQAGSQPVYNAA SQFKLYYTCEQYSDPTQKIGLFAGISAGCQPTTQLCATCATSFDGTDVTVNMDLTAAV AVGAVLQIGPCVYGIASRTATKLTVDTTNVGKFCSPFIGKALPVYIAQVYPAITNLVI RRGSGSMDYPEQDSVIAGQFLNTLGKSVTVVGNILVTNTFVGSTYAVTFSSHTGTIPL LKCDVTSMAISSGTRSCTVARTAVGSMIYGSFVMSLARMSDGVVFPTTALPFDVSEAD LTTALQAVGSAAEWVFGTVAVTRNAFPITGSSRWYGGYTWQITFLSRGWNIPTLTVDS TLLLNAQAATPVPVVLVEDGSNLMTPPAGSVHGNQIGGSFLLSYNGVAATAPCVLGTN TDATSLTTGPTNPDTLLETYLKAQFGFASISVYRSLPTQARGFTWFVTFVDKDTGGDV PPLVIVSSAGLTGTGVTLTNNELVKGNQISGTFQLQYNGQTTGPILYSASDAEVAEQI NSLDSIRPSTVVVTRTGPYGPSTGVADVTTQVLGYQWSVTFRSSVWKDPTSDHSVMTP GNWIGPPASWTDVWETGYSKAWGRQVGPLTAMGLQIACLPQALTSSDGSASCSVVTAT PGVGPLKGTFRLQLDSGLNPYMKQGVAQSVAIAHNAWGSAVQSRSSGTSMEEILEGML NIGNVAVTRGSVNVLTGGYAWTITFLNDKEPCVEHDSLTGQCNSPGNVPPLTVFANTL IASNPAITICEASTTNCGVNADGVILRSDLSVFKVTGDPGVEHRFLLDVTCQGATGGS TCAALVGGFVINTPSPALATTLLAGDRFFLVGYSTCVFTVLSITPTFLDVVNQACVPM QTVLTGGPFPLTIVLPWNAQENQVLRVVQAASDTSLETGLWSGGRKISVQKTVIGKYG AVSWLIRFIANPGMTPPGAGNINPLDVQFLTTPACACTVTVMETQAGSIPLSGDFTVD YHSVYGPRTVHFDSSPERLERMLNEMNTLGMVRVTKFNIPSASTGCSSSTCAGGWDNL PVENDGTRGGYRWRVRFLKNPGDYNGFTYPPGTGDMNTLTVAIPNLVGASKSVDMFVT QQGQTPLTGSFTLTHSGVSTPPIAYAGSASMIEQALETLPDISHVTMTQDVLTYYAVP GASANILQDATTATVSGADIRQYFTAGDFIRFGPPTAGALVGSNGDVPVTGALSTSRV LVQTLSPLVVSDSTLTALVYPGHQLRLGGSVYSVVRTGVEVQKLTTSLPTASWSVANI GIPFFKVTMQYRGSTATSISCLPIQITNLGTVLSGLVTTMDGTAASNSVTVTQSPVTV VGAVSSFVYSIYFGGSSVVGDVPQLTTTLCPTALTGGTVAVQTLVQGGRVAQQRVMLS TDSGIIVDSAGYYKLQLGGATTACIKWGDSSDAVQSALNAVLPDSVVVSLQGSGASAT ELQQLVLTSNAPVVNGLQGLFRLAFKNNGATATTGCLNYGLSASALQTALNGLSNVMT GHIVVTRTGDGTAAWGYGFQYQIAFSGNLHVGTSNVLGNVHQLDIFSVGQDMCAPLVT GLPSIQVKTIRGGKPGFSYDVFFVGKTFGLVDPLTLVQEGTCTGLWTQVGGSSRRIEV QVIDTGSSPEIQDLVVQDSTTAITGTPSFKLSYMGVTTAACIPFNAGASAIQAALIGL STIGAGGVLVSQDTPPLRAPNGFLNRITFVGDTVAGNVNSISVVYTGCTAFAATSSVT VVTRQDGGGTGNKIALSTQYSGDQVGSIVAYAVSQTFRVLDEKFQVDQIVVSNPNNDI AAPGTYTLTLGGVTTAVLWNAQDTEMEVAFGTGLGVVGGVTVTRRTDATVAPGGFVYT IYYLQSLGSLGALTQANTFTTATVAITNSRAGSNTNLFTSSVVPLALVTDSTTPASFV GAGTGLSVFKANGFQWSVLFDSNIGNVPALGGQSSSGLPVTVYDDFIQGSLSNSVTVT DLVPGIPYYMEVSASTAIGQSAFSASAAIIPSSVATSPRNFVAGYDLFVSEIQVVKTA ARHVLEVQTVTTTAAVISEVQTLTTTAGQCNNCLTGNIAYRQPTVQVVSISALAPILG GTFQLVYTDTAASGTGTFTHTMYTTTTLGWSSTAAQVAAALVATTAFGSSDIVVTREG DATVGYNYGYVFSITFVGNAVAGEVLPLILRDTTTTPACGTCTAFTTLGGVGYTLSQS QNVRSAMGTDTAVQRVIVKADQVLFTGGYQLSLTNAGAAQQSGCIPFNTAAADLETLL QAMPNIDKVYVERSLDAVVAPNGYIFDLFFYGQGVTRYHIPALVVTMGCTAFQTLANN VLSTAGVNAAVTVTYVHENAFADPTGFRSAASTPAQLQADLTRLPIVGSALYVSRSLQ DNQGGFKWTIVFDQEDGNVPLFICGSDAAFQAVVGSQCSVESVIDGNVLSGSFMLGAS DPIPFNADETTMANALQQLSWLGSVAVTRTGPTGQLGYTWTLSFLTYQGALPVLLATN LMNGIGSSIQVVETVRGNALTGTFQLGFRGTTTTPIAYNAAAMAVGDGSSMQEKLQAL STIGALNINRIGPDFEGGYEWWITFTDDIKTGGDLPPLTPSNMQLGATGAVVNVREVL KGSMGSGNRLWVSFDPPTSDNGDPVSGYGIQWDTSNTFIASPQRYVLQDSQLLFYRQK IISTAPSLAWSSTLLAVQSTIQSIAVDAASTTFTLSFKGVTTSAIIVGMTSLSTVQTL LNGLSTIQGGVTLSPLVGLVTASTSFAITFTGQYGPQPVLVSSDTNNVVSTVQVGVTN YRKEVLAFSCTATTGTITITAKTMSKTFPFNTLLTEVEAGLETLLGAPAGSITVTTPS QAFVCAAVPQIVTITFHRTYGALASGVTAAGGPVLTLSDMTTAGIYVNPVTAMSGTFY LAFQGTTTPPLNSQSAALDLRIALETLPSIQTVSVSRTLSYQPVTGKVDVQQGQLYVT CSFGETCNFAALRYGVPGTAILIGGGWYTVVSDVVSADMPTSQLYLGDMNNNPIAYQG DTATGVTVYEWAKGYVWTVDMLLLAPTATLSMLRPRQVNLTPVDGKVMVQGDSCQKCY YIPDQTTAPLLTMGATYYLQADAVNTNGKSPTTPLIQAVPRQIPDAPNAINIVVVSGT QVEVFFSPPNLAPARVAPSYNNDITAYIIQWDTATTFKHGLPACRVCATSFTGSVVSV SSDLTAKLAVGKSVTVGNMNCVLTITALDASTLTVAPNSCAPFLAQAYDVLYYIYPPA VLSGLPIQGTPPYRYLIQSLTIATTYYVRIAAVNSVPVQSIAVSGSPPDNRRWTFPSS VTTNNVLPDPPIAAYLYVISGTSLEVQIQPALRDGQGLGGGAITAYSIDVDTVSTFTT PGRAYPVDVPIASFTLLYPGGPYTYYITGLTTGTPYFVQVKAKTTVGYSRATIATNTL APTCTSGPPTQVAVSTIAKLTTAPISTAVIQWGAPLALGGLPLRYFHVEWWTAASRAE VQVVELKWTLAPTALSFTLAFGGALSGGIPFDVSPANLRNALMNIGGTTTPAALPIGN VQVTRTAINVNQGYQWTVTFVSTLRNLPMLQLSVATTTGGAGIQSRVFEAVAGVAGGA TTSPGTPEVQVLTLTHPTAAQAITGFFRASFMGSSWSTYIPATASATFVQNVLQELFT IGRVTVNPITSANFPANTIAWAITFNSIVGNVPALTVDATKLLPATSVARVYDGNNVV LPTGAWCTTLDLACQAIYTYVRIGEQAVGYGFYDTNVPTVLTYTVTGLTTGTSYYTSV TAANALGLGPRAASFPPSIIPPKQVPSQPTSVTVNVNYGISTQLLGSWSTPRSDGGSS ILKYTVEYDTSPTFSTRASQDVWCATANIKAVWRISLTRVNVAQTAAVALGWFKLKLT RSNSITTSDPIPFNAVPMGSDELGAQPAMSLVYCTACATCTDTCDAAKQGLSGSLQYK IQALQDIAGVVVTRSAQQSDGGYVWSITFQDDGDDFAFEPVASVQALNCAGGTCANTD YQVAAVKVTSGVKYPSCIGTQVLPATGALTKGQLYYVRVSAFNSIGFSFPQLAPNPQK PMVVPGLPTGVSLQVNSVSSLKVVFSPPNDNGGDTITSYQVTWSIYSDFHASSTTQVT LLSGGAPYFCLIPGLTKGQFYYVQVAAQNSQGTGLAAASSPASLNPHTFPSAPTTVVL GITSPSMLTVAWQPPTDNGGDPISGYIVEWDTLASYNSLNLPPDKNKVPISDVTQRSY TISLLTQFSAYYVRVFAVNSLGAGVGQDASPLPGVPDLVRPGKPVTVTATAVTTPASG IYVQWQAPYIPDHGLPCFGSLSAPLPCPNILGVSSVFGGVPLNNYVIEWSLSAVFPGT NTKTSQGNNLYLLPADGLVTGTTYYVRVQVVNQNSLVSAFCQRINVSPYLCPDNLLLY DGSYTTGAYVTAVMP H257_01139 MQRTIVTLMCISGGLNLLFRMGIHLGGSHIQFHELLATRTVRMY RGIQPSPVPCPVGMYRPVGSGVTMSECQFCPRGVYGNLPGLTTSTCTSLCPKGTYNDK LGATSIDDCKPCPKGTYGQSTGMTSKVCTAPCPLGSYSMTEGLSSVSSCVACPGDYRG PNGRRGNNLNGFATGGYACDRYYLGKDVPSGRNAKYLAATLD H257_01138 MGPGRPSGRPVRGARESTRSAAKAAAQKINATQSVRSARMKRVV YDESDLSSDPDDKQKDTSAKEVSDAEEELEDADMEEEDEEKAPEKPPEPPADDKEVPK DEEEEKEGVEDDDDDDEAQDDDEDTEDTRQLLDNAQDDESVQRLIQSSLGQLARDGGS PSRELILAYASCVSSNPSKFQHPTTLKAMLRLLRSTFQGRERSSSVDKKAKPKPPREV RGVLPLAVLVANLLATILKNIPQNEWPDDCLKVFVDDSLHSRSWVDHSMCSVFVDMIK AHIAAAPSTDASVQRIMEHLSSKVTEIKKGGVNPTTFKHVMLTLMDLTPLPQGRLMAS SNLELWFQHTTHKTIARDLLLKIVRSCTTLDAPDLDTVENLLNMKFKTVSFPQLKSEV FTMLERLAATLKDVDNLKMMPLIFRETAQGGHDSKDDQEPDNDVVFKRSPQHQHPGTA TSRALAMVLQDLATASSNLPTLKNTLRKVLRSLTHDQLDVRALCQGLLVVPKGIQSFE FFVMMGELVALVLFVQGAAVRSLQVNVPDASSNPRLLPKAMDKGVRRLGTGAVASTPA SNAGNTNGPVALGSLKSKPMFASKEPKHPAITPAVKSKEEFAQLIAHVQSMAIHWCHD VQELGDHLGMRLFGAVIRKVLFLDMLADMQATEHDRGCFNFCRDMLPLHASTVGRIVD MCKYANPEETLDILKVLEAVVVRASDAQTTREAYFSTQDQILKYQADGGVMGLKVDTL ELVQPLLEAGTVRGHLYDGNAVCYSELFWLAHCILLILVAFNPESLGAFVWDNVPTMR SLMQMVITGRFKFPPVEPEDLKLFDTHSPPSMTLSQANQLVVERERALFEAHKLPVDQ SLMVVQPLQCSARAPPVDVLRKVDALDKSLRLGLRLRKSRSTDFLMDMVDVAVSDKSS QSSWSERPERISWIVEIVCAELETLTYLPRRCLCELLLLACVDGGSDDKSKGAMHAML LQQVPSILSRLKECNDDDDNVEVMTFFLNRLASPNVATRQLSAYLLDLLTRQDTSSIT TLSKAVPCLSFDWLPGLVSLPCFPALHERVIASLEALLKLESSVDALKLCLGALYDIF GNQAHLHLPLAVALGNFLVQRASVARLLLTDTAVFGRMVDTFWAAVERQVHQPTSQPH DLKGAEAWVTVAVAPEVHRGVSAVDLPASIVSGAIELLSFPTQQVAVSSFDHLVAFFF PPESTLGLWHPRQTYVCSSDHLFRLACVANTQLLSAAIQKMTLEVLWRVVLSYGRAPA CLLAVLTALQAATVSRPDKCVDALTKESGAGDAARACDEALVHLNMYLHQESFAEVRH AGDAMITWLKDHHEQPLGIPGSEDTRCPEKKNRFLALVTCPKKAPPPTSDLDSVVNVP AIMPHPSPVPRQYAATHDRLADMCLLIHDKSHRSSVLGRVHVASLGHTSSLVKLGSAL AKARPVGFVQVFLHEIMALGCQDSTGVFLQSFLSQHTTDSLLPFASTVLDAMWTVMPW HVHGVWQRIVRLIVAEQAQHWPQTQQVDRTRLNLIYHVAFDIQNNMASTITALYNFEQ VSADLAAVERQVVEFIVMDLYASRPHSFALAMDVCAPGWQLHASRLVHFNSSSRLESK IDRWLTSKDHADALRLVALRHPLLVTSRIVHVALSLEGRSALFVSKAPLDNWLMAIDL VAESVFRHPHTLKHLVQCFLLYLEHHHDESKAITALRVVHTVDRMIRKDSCAMLPFFT QHAYRIRWIHALSKYESSHASVASVSHFLDHHTIKSTEITSWTTTSPTFEDQLEELKT TFTLYAGSAKHLVLPTLTRYISQGEMTKKTTVLICQCLLLVLQSDRTSAGDATKAYVA CLTSPKVGIREAATFFLPDFLVYCSPSQHYTILAHLFLEDSDVAKSSLSQYFKSDLFK ADRS H257_01138 MGPGRPSGRPVRGARESTRSAAKAAAQKINATQSVRSARMKRVV YDESDLSSDPDDKQKDTSAKEVSDAEEELEDADMEEEDEEKAPEKPPEPPADDKEVPK DEEEEKEGVEDDDDDDEAQDDDEDTEDTRQLLDNAQDDESVQRLIQSSLGQLARDGGS PSRELILAYASCVSSNPSKFQHPTTLKAMLRLLRSTFQGRERSSSVDKKAKPKPPREV RGVLPLAVLVANLLATILKNIPQNEWPDDCLKVFVDDSLHSRSWVDHSMCSVFVDMIK AHIAAAPSTDASVQRIMEHLSSKVTEIKKGGVNPTTFKHVMLTLMDLTPLPQGRLMAS SNLELWFQHTTHKTIARDLLLKIVRSCTTLDAPDLDTVENLLNMKFKTVSFPQLKSEV FTMLVRQRPEYINVAFKVVLLKERLAATLKDVDNLKMMPLIFRETAQGGHDSKDDQEP DNDVVFKRSPQHQHPGTATSRALAMVLQDLATASSNLPTLKNTLRKVLRSLTHDQLDV RALCQGLLVVPKGIQSFEFFVMMGELVALVLFVQGAAVRSLQVNVPDASSNPRLLPKA MDKGVRRLGTGAVASTPASNAGNTNGPVALGSLKSKPMFASKEPKHPAITPAVKSKEE FAQLIAHVQSMAIHWCHDVQELGDHLGMRLFGAVIRKVLFLDMLADMQATEHDRGCFN FCRDMLPLHASTVGRIVDMCKYANPEETLDILKVLEAVVVRASDAQTTREAYFSTQDQ ILKYQADGGVMGLKVDTLELVQPLLEAGTVRGHLYDGNAVCYSELFWLAHCILLILVA FNPESLGAFVWDNVPTMRSLMQMVITGRFKFPPVEPEDLKLFDTHSPPSMTLSQANQL VVERERALFEAHKLPVDQSLMVVQPLQCSARAPPVDVLRKVDALDKSLRLGLRLRKSR STDFLMDMVDVAVSDKSSQSSWSERPERISWIVEIVCAELETLTYLPRRCLCELLLLA CVDGGSDDKSKGAMHAMLLQQVPSILSRLKECNDDDDNVEVMTFFLNRLASPNVATRQ LSAYLLDLLTRQDTSSITTLSKAVPCLSFDWLPGLVSLPCFPALHERVIASLEALLKL ESSVDALKLCLGALYDIFGNQAHLHLPLAVALGNFLVQRASVARLLLTDTAVFGRMVD TFWAAVERQVHQPTSQPHDLKGAEAWVTVAVAPEVHRGVSAVDLPASIVSGAIELLSF PTQQVAVSSFDHLVAFFFPPESTLGLWHPRQTYVCSSDHLFRLACVANTQLLSAAIQK MTLEVLWRVVLSYGRAPACLLAVLTALQAATVSRPDKCVDALTKESGAGDAARACDEA LVHLNMYLHQESFAEVRHAGDAMITWLKDHHEQPLGIPGSEDTRCPEKKNRFLALVTC PKKAPPPTSDLDSVVNVPAIMPHPSPVPRQYAATHDRLADMCLLIHDKSHRSSVLGRV HVASLGHTSSLVKLGSALAKARPVGFVQVFLHEIMALGCQDSTGVFLQSFLSQHTTDS LLPFASTVLDAMWTVMPWHVHGVWQRIVRLIVAEQAQHWPQTQQVDRTRLNLIYHVAF DIQNNMASTITALYNFEQVSADLAAVERQVVEFIVMDLYASRPHSFALAMDVCAPGWQ LHASRLVHFNSSSRLESKIDRWLTSKDHADALRLVALRHPLLVTSRIVHVALSLEGRS ALFVSKAPLDNWLMAIDLVAESVFRHPHTLKHLVQCFLLYLEHHHDESKAITALRVVH TVDRMIRKDSCAMLPFFTQHAYRIRWIHALSKYESSHASVASVSHFLDHHTIKSTEIT SWTTTSPTFEDQLEELKTTFTLYAGSAKHLVLPTLTRYISQGEMTKKTTVLICQCLLL VLQSDRTSAGDATKAYVACLTSPKVGIREAATFFLPDFLVYCSPSQHYTILAHLFLED SDVAKSSLSQYFKSDLFKADRS H257_01140 MNGQVLRVSEPLATQFQTAQETNTVRCIEAKVTGEQLVVNKVFQ IHEGVQADAEWASIQAECSTPSLVLFHIATSNGPLKWILVASVCDTLPARDKMLYASA RDCLKQQLGLAYFVGDVHTTNLSAFTYRDVVSTMHTTTGPLSDREVLLKEEALLERDL SVKSSAMNVLPFGMSAACDTALIAFSKKGTSSTWLSMRMTNEELVVDASVHITRDDQI NALVDKEQPSFVLYRSLVDDPTPTTFFVYICPEAANVRLKMTYSTAKASLLHDLQRRG ILVDSTIEVTDAWNVLEALQGNSSKHEHDDGHPKVFARPAAPGRGRARGRRS H257_01140 MNGQVLRVSEPLATQFQTAQETNTVRCIEAKVTGEQLVVNKVFQ IHEGVQADAEWASIQAECSTPSLVLFHIATSNGPLKWILVASVCDTLPARDKMLYASA RDCLKQQLGLAYFVGDVHTTNLSAFTYRDVVSTMHTTTGPLSDREVLLKEEALLERDL SVKSSAMNVLPFGMSAACDTALIAFSKKGTSSTWLSMRMTNEELVVDASVHITRDDQI NALVDKEQPSFVLYRSLVDDPTPTTCTVQLLYIDSNVAL H257_01141 MSRRPKGRAKENVAGELYDSNAIQIARGNSNSGSSAEQKAQFDQ MTQMMKSMGMPIPPGMTPERMQQAMQAMGMGGGMGGLPGMGGMPGMGGGGGMPGFPGM DMDPEDMEELMGLNRGPAAIFGNNTPSSVDFSRWATFYPNYIDSSKTNADGRRIPKSF ACDKPIVDEMGEVCRYFQLKYVIEPYKRYSRDATVQGRVRVKLTDNGSFLHHEIHTRK DLMLKMGELIPKLQIRTERLAKELEAAKATASGPSTAASSSTASKKKGKKKGKR H257_01142 MSSLSKPLVISAIAVSVIAALFIIYQSQSGKSSSKSSTPSKESA SDAADESSASTSLANVPSLPKEQLLAILGVISTQMGQVVMSLASIEQKIRQESSQSGR RLPEDQLAAYLMGQFEEAMKAIESQVYTKYTTTEQDVTVASEYYESIEDTEVLAELSK LRELHNLITGSGAMDVEVPEDLTLDKFLFIMEETMESLNVAMEEVCQEVKLLDPVDKE EAINQRYVKKADQLSNDIHQQHGLSREVLQAAMMKYQQETAFLTRMAELQRVQAERFA AAGSIFGGEVNMGLSGE H257_01143 MSDEPRSKLDSRNEVPSHSDSLEAETPRYLTRADAFNSVFQGVG TAAAQPREQLVKNVTGTMVVLVHPSQSGNPMLHHMQNVLPEVNADITADYMMGDTCAA YFISVRYHMLHPHYLKNKLARATRVKVQVLVCHVDVSDSESALKEINCEALSAGFSLL LAWSWKEAARYIETLKAYENKSAALIKEKVEGDFNSQLIDVLTTVRSVNKTDVLTLSG SFGSLRRIMNATEEELALCPGIGGKKVQQLLEAFNQPFHS H257_01143 MSDEPRSKLDSRNEVPSHSDSLEAETPRYLTRADAFNSVFQGVG TAAAQPREQLVKNVTGTMVVLVHPSQSGNPMLHHMQNVLPEVNADITADYMMGDTCAA YFISVRYHMLHPHYLKNKLARATRVKVQVLVCHVDVSDSESALKEINCEALSAGFSLL LAWSWKGSTCMSPTCIKLHHDIPQKRRDTLKH H257_01144 MVSAVEWVAVGVLVFALFLVYSAVNAMRPKKSAEGDDILEEMIN GFDFTLPAQIEEYRALKEKAPEVLTEEDMKTLCSALFRRAVADIPLIRRIQTEAQGMH KLKTNDLIKDGSYMSFKLAEEMIGEEIKEVREEAQALQPQDNWGESIFAQAVQFINHM SEQEELAEQKKRQAEADAQQQAAKQTEMAAQLAADLRKRK H257_01145 MAYRDLTTKFQAKRSIVNRRQQHQLNSKKPLDGISPYLSNLFST SSKNHRRGHEALLRDVEENTLPPATRPIWADSVDKVNELARQLELKKAARWHIMLVDF LQKIHTRRLMVRFDESEAQHDLEIDSLTREVSVLFRHSETSLQRLSRAVTSSSSAAGT QVCVNIQRSLACRLQDLSHRFRTIQKEYMNALQTQRQKSHLFGLDDGGNASTRHSLSA RQALFAQDDDDCAIEAREREIQRIAKSIVDLAAVFKALANMVIDQGTMVDCIDYNMDM VVDRMEMGMKELRRAEKYQANSRSTRCIYLLLTLISICLCILMLKHANPYY H257_01145 MAYRDLTTKFQAKRSIVNRRQQHQLNSKKPLDGISPYLSNLFST SSKNHRRGHEALLRDVEENTLPPATRPIWADSVDKVNELARQLELKIDFLQKIHTRRL MVRFDESEAQHDLEIDSLTREVSVLFRHSETSLQRLSRAVTSSSSAAGTQVCVNIQRS LACRLQDLSHRFRTIQKEYMNALQTQRQKSHLFGLDDGGNASTRHSLSARQALFAQDD DDCAIEAREREIQRIAKSIVDLAAVFKALANMVIDQGTMVDCIDYNMDMVVDRMEMGM KELRRAEKYQANSRSTRCIYLLLTLISICLCILMLKHANPYY H257_01145 MAYRDLTTKFQAKRSIVNRRQQHQLNSKKPLDGISPYLSNLFST SSKNHRRGHEALLRDVEENTLPPATRPIWADSVDKVNELARQLELKKAARWHIMLVDF LQKIHTRRLMVRFDESEAQHDLEIDSLTREVSVLFRHSETSLQRLSRAVTSSSSAAGT QVCVNIQRSLACRLQDLSHRFRTIQKEYMNALQTQRQKSHLFGLDDGGNASTRHSLSA RQALFAQDDDDCAIEAREREIQRIAKSIVDLAAVFKALANMVIDQGTMVDCIDYNMDM VRSIDTKLNAS H257_01145 MAYRDLTTKFQAKRSIVNRRQQHQLNSKKPLDGISPYLSNLFST SSKNHRRGHEALLRDVEENTLPPATRPIWADSVDKVNELARQLELKIDFLQKIHTRRL MVRFDESEAQHDLEIDSLTREVSVLFRHSETSLQRLSRAVTSSSSAAGTQVCVNIQRS LACRLQDLSHRFRTIQKEYMNALQTQRQKSHLFGLDDGGNASTRHSLSARQALFAQDD DDCAIEAREREIQRIAKSIVDLAAVFKALANMVIDQGTMVDCIDYNMDMVRSIDTKLN AS H257_01146 MKKYFHFTLSPSKKKYAAEKQALESALQDIENKKHRLFQPRLGE DDYDDVADDEMSSTESTTTFHIGQIDDEFRYRQLKSRPMTSDSKPSSLTIPRPHSVDD PKFLATIDAIGVPVALHVPKAPPMSSAIVSDSFQHMTRAYAFDSLKQKVPGSIDWVVT DVLVHDEGKGPVRLGAIEVYFGTTLGETRNLIGKFVPNAPKAYAFCHGTEHVEVDALR ENSVLVSDVYDGAIRLKMTSAAKSKEEKLKRLMVKDRQQAAFEQFVVRQQLVEPKPIV ASTIRVADVKPPPKPPLQSTPPSGLPHEALTTTASLKHTIYHTQTTIDGQECQVKFVY FPTQRRIGIRVKAIHGKTKPATTTVDELAFRTMVGASNDDLSLLEYTLNEANTQSILH SLKLAPSFTDSDDTFIVRLKRFVHVPKMKFRRQKKRVDDDVVTSDIKHSPPPLSKVEA PKLVKHVAAPIKEPRDTKKAVAAPTPPRSLTPTVVDIEPRELKRKRVPTPLPVHDRYH SPTRHTHAKPHAIQVGPAKEYKTKGEDKRGKPLSSEASTPVPALTVPSVDSSNHRRLR ASLEIAATKATAPTPLDDDDDDQPSHPMVSKLKAIDTSGIESALKKLRAFVHSGAAIH MYHHEIHKAEIDKVYGPHSKSISLARHCVVAAFLTFVRDQGILLVPHPFEYAVDMAHP TNAAFHELVLAVQDELTVFDSGRTADIHKDIRKCVMDDVGPLVSLVDKLKDDDMDKSV QALAMVEAKLLLVCHVAQWGQPTHLRTSIFEGTFATLTKRETMDLYKLTYVPAKEKPF LPQFIKNQAILEPEFKALLEVLYILIFSYYASPRSSADKKLRYFTSLATTQVDRVQLV LQAIEERQGKLMDIHLAYTDIKSELLLQRVQQLMSCIPRAKVLVKDRTCKLPSFLANV ATFLAGTFVLFQQTLVTALASHNNIQMAQTLRFFVELVKAHVSHDSIIPQVSIMGKHL ADSLGPRLTGLDKINMERVKAKLDKVTVGGNLCEAVWRQAHTVATNSLLQWKARWDSP LDVATEALDRYVFKAEHECIGEFVVLMPAPSMAADPAEPTRVETIDVSQELQAEHDDM LKHVGAIWANLLESPKKKTGGTHRIAFGLNLRRMELLTPDMLASTNVLYFLSHPLVKH VGVGYALAHNVLRVHIRFYGAGQPSAMDGTATTHVRESNAFNDLLVHIADADGEHVGL FILQNGFHFVMDNLLAQRHVAWQRLRLGGAFYTLLLEDRLVARFSEAVMKKAITKLLA TIADNASLLSIHLLQLLMDSIARANNDCDGNDPVLSLMHFIGAAALRLLQTLVRVHAS PSTVLDMSSMVPSVELDHLHQKQKYFVACKGMRLLDAMVSAPTFVVSGVLLDETSTYQ LCHQLLIDLLLTHEFALRPRALIVHHNLWLAYFLPDVKAEKLEPFVHVVLTSFSQVLL GTASEVFWFSTSVVCDCGCADSVTWNIDMYESVLHVYLELIQTRSGSRWAQERNMFGV LSLLKMLDTVYVKAMPPASPTKVLLLRTMFTLAQKIKVADNLVKLFEIVPAELLLFFT ASSAPSLDVEVFRLLAMLLHFGLQRKVPQLSIEVYAAVVVDIACVVVGTLNPHVMDII PPLWSDVDLSEITFKSSRTLRLVAAEHAQSLTDESRHGTPSLLMLFVLLVDSKLDKSI HILHFPAILGLCGMLYDKELAEICTRANVLVQLLDVHETSTTLFISTKQQFCIWAVCL ICFHVAHNASLAPSVSSQTLKRILQHSYSSLGMINRSRSTRLDSYVQQVNKNGYVGPV EPPPPPRDHVMSGKKPRDPLQNDTLKLLSSLALQLKTLFVSNDANTMRHHASTFHTLN NHVSKTDRLLEWEDNLETAAVSDRDDRTSTLYFILVNAVSCMSTNLMQIKGADEIKVI CRSIVGYFIAEELTPPEYFVATYCFALRNIVFAVCRGANLNELWEKQPLNVFLTKLFG FIQQKKVMSTTGLSVGQEFSLELLWGMLMISKGKETWFLQDVDNDMPLQALAPLNFTS AAEISSGKTLPALDRTKLKMLVDCASSQDVVVAEYACASLAIFMQNPDTALFFMCEFG FSRALMLLQQYRNKSPSKSPQYRKSNSIVLTRIDVDAKKYVREVAGASAMYAEGFRLN RDGRACVQLVRFVANCIRIVAKDSSISTDYISTSEKVTKDTFVVLLHRATDPAVLVQV LRGLRSLISVSSPANFDSYFTRHDFDHLHKMCVDGSYTSPVQAMALKCTRLLLNKYTT SIPLCRLMFDDRAKVIELFDHHYLRVKVQAVHLMLDLALIYINPVQMRLLADDFNTPQ AKAALSAMLSEFSAILQDPIQKEAGQVLMDILIRLIIQLDLLSFVHDDYIVDAICNMI QAVTSKSNHLPGQETHQDNHMIKPLRPMLCTSLAKVICKGGNAKWFLKPDRLDVVVAV LGQDSTHTELLDVVCILLTLCKEESAIATYLGTYSSHAIRHICYVLGSFYELQMVNVV EVEKGTIDVQDSSPRPPRPTHDDRNTPSGDESDTHISLEVGMLKKCRTMKKRLLLKVY FQKTEELKISRHMYKIYKYCLVLLNMILDACGCDVAEVFGCTCKTKDGCYMCTPADLL RKANAGETFRWLLLAKRIRATEGVMYNRLPDREANCIVFNALRILKSACFNHLFRQQL LDATSAKKMSGQTTAVLMKLRDICGDINNPYVAKQKGSILDAVVVVGQLCSEDGVREF MGVTADISTHLFSIINDRVQVNVLHMLRACLLVLCRVASSEHVVSSKAFKRTIRLTCS LLTMETVVTDCVCFNNIMLFCRNIVAFGKQDMPLIHEYLSGSILRKLGLTMHTTVDSP DKQLIETNLRLVAADTVCYLLFHSSSALRHAMAEEQAPRSSTDSAPIGPSLPTVTGDQ PVDASPHDDHTVFAKMGTLWHAHMQNMNSDELSSLGSLDDKLLHVYTALYGAKDETQL FLDLLSIHANIKAPGPILTQQAPSPTVELSPTGPNVAQPVPAFYMLEHSTPSDLRNYT FFGGAMSSHVPGSPFSWKVLRKLMAGLHHRSERLKLSNAQLATVVELLSVCHPNGSKN EVSRSSQWWLVELESEFIRFVHDIVHTHAFLQASDSTFHLSTKTALRYFHSAAVAPRD KDTFSKHFRGFVILHAFANHPASMLKLCHLLTDQPPPSSPAAVTTPDAKAETDAPDDE DRVPRLATECLQGVGLGKRKVTWTKREHGKQPFAMTYFVTDVSHSKLLPYALGVLTSL YEHDARFLLWFLQLDGLDVLVDVLDLELEHQHAPVIPSRVFVLLTTLRLLKESVELRP EAMGVLSANPRIFLRLVDLTSHVKTHVKQVAMALLAAMTTAPWVSQGVYSMQAFEDLP VFGQEIDDSVLLQHKLKQLKSIFGATAVERDGGYVFVDTNTTVDLKKFTTLSNLRFFR MLKLSTLVKLTKALDSWTTLDSKHFMVCADGRPVTMPLWLVEKYVSEDIMSNPTLSSH KRTMVLDIVSKMGVKLARHGNVATDKGHRLTRDDYMSSVDASERKLVATSIWKTIHAC VGVPKMERFRFTQQMYRVLLEDHARLLRSRRLDSVPLTEPQGGALYPFELYGFLRTCV LLRSLDMEKIGAMCRRMSSARAFCADMMTGLVVVCRGTVTVSYKPSVFSFQRTKAAQW KRSFGRGEVVWCPSWLSTDPRIERFDWSRFKVDATVHTTVFEWTQALHDATFSSETLS RLSVDISALVDSIVVHKRRPRELWSHEMTSFAVEEAQLRQLQVHCLTVLGHVAQVPDM AKMLVNDISLINVVVGMALSSLEDVVVMAAVQTLQRLCTQDAGIARHLLAIPLDLFQG HSLLDGLVDAIDIWRMSPPLTSEVLVLMRHLYKFVPEVASSIPTTWTEPHYQFFQQCL VQPDNSLIHRNLTALVVEALVQAPSVVSAFTAGGLHWGFARLVHSCDQSLLCDVLHLM LLFCQDEPTRRSLWADRTYHRDLKPTLCAMSTQLEDGFMMERDVKYLDFFNLLLHGHH DPGRCRQYRDYVGARTTLIKTLTGRIVSVELSPEHRLELQVCIECLWRLCFEHQANAK LVMISCDQVTDILAVVANLFERSHHDQAFQISVLHLIRSLCTDLEFATNASAVGLQQQ LIECAFSSRPDTMSTTRRCGALQAVNAALEHSSGARSALTSGNLSACLALYLSSSVEP QLQRDAMQFLRFACIDPSVRRMVWQTQNDHPGSIIGWKSTLQTIAQCQTNYVNTRNQV HQELILHTLGLIAELCLDEATARTFCAYEDAVLPRVMFEMATTQASGAIVFQLLRVVV TLAEHMHQWADPRWLLCEQFGTQPQILRLATLLGEKGCNALVLRFLWLWEQQQLPFDS NNLVGDKASHPIHVYAAESLCKLDTTATERTMFLGYLGCHLKRMVRNGCDLGGLNDAS SVAGHICACFRHVVDCLSLQDLGSVPDNWLSYFSWSQDPQYIPYAQVWLLMELVVMAF KMYEVELLQLTRSFRQALEFCTRQAICIIESVDSAVTNQTSTQVLCTAFNVLAYCKDR HVCRSRVLFDRMGSLLCQADTSLAITILSSVHALVGNNSTLCLWLAKSKVDILQWLVD LVNVNTDATVETLALAVLHALVAQSYRFAANAIGLDVPFLCVRRSILATPECAYLWLR LIGRLVMVCGATKVYGRIDEPMTRELCYFLMSTAASGLNTESNWRSWHSSTTSVVGSA LFHKQLVSEWTSCGSSFVAALLNNILTEPIEDAHRAAHSLSLVKILIGSKNDVLVQTI FSLHREMATIVKHAISFQSPPSPQNQVLLLELLHSLLLSVNENVNGSTLFEPLSDAFA HFDTFPAAYHPVCFDLLSLTLAAKVHLRHPTTPPLGDVLPTVPWSHIVHLVLSGLHSS EYRLQMSSLGLLDALFTYSSQCTVREFDFMDISLLKATLSTILEEFTTDSCLDNRLEA VCRSLEGRLCFAGPFNNSLAMTNSQPRPFMHAMNTKTPALGAHLFQDYGAMYSIALTY TQRLDLDAKTNSVHNLVRHEASHFGWGCSFVVYRTVNSDTFHETAIHAMLVLLYNGLL HSGDGNGEVLANAPFMHCLLRFAASQLYLSLRKMATAFIWKALHRASVPTLYAFCSLV SQCQHRLLGNLYDNIQLETPVVGVVLAARDEYKDLLLSTCGLLCELSAGDTVMSAAIT SKDYIRDLLGILQKHRPSQLNAINIQFWTMMERLIDAGFTELHSTNPGLLLHCLHCLA LDNSDTLRFQAIYVLYLFCQHPSGLDLLQRIVFPGITRHHVATTDASGDAAPLLPSGH LYALTESLKCNRPRPQRAAMYLVSELCHDPQHATTLRLCRQSPTSVTNLVAHVLAATL GTTHRNRVQFRGNVDVSEPDDQFNLVTGCFALSCLQRLDVHVDVFVDVVCGNKVIVQL FAALGWTNYQVCLPAATLLCQIFRYASTPNLDEAESSTIDDMGDDLGDIALLKGHLRS HPLNRMKCFRHKVTTQIPSYVKLLADWVDWFCSQNHPEAYLAVLGSVLEVLSTSVQLF FPTIYFPIHSSTRIHHQRLLDNVFDLAFGLLDHSSLGDADAATVQVEALGVLAHLCSH VEYPCVRFEHPDAMVKLLALVETAFGKLQLVAGQLLQAVTKHSPVKECIYVHDLLHFI AWINQPSFEPILEPLLHTVKHLVTATPSHHYNATTIATLLLKASGQPQIQTQPQATTF RRIQLHVSVKPRDGLGRNPTFDAQIELDDNVVLHRRLYLPNSMHTELTWLHSTAGRTI RIRLARDTGTEPRDPITVLHVCGTTSLPTVVTGADAAYFIETRVTDATETDLQSLTGP LGQVLKHCVQQMKVAELGLLSGLIGELCKHDDVFCNPDLNLWCFIAYLLQHGSALGDT GQGMQCLRAIAATASTQHPQLRTFVSHVMYLIQLLAIYGMSALSKQVQDTKMSGHSGH HCMRNKESITFLVALADMVAGYGSVSFAEDVMRQLFLEHRGVVMYLCGQHRGMEHACS GYFYIQWHLMRTLPSHTILLSQACFIQMNLRHFVESAHASDDSVDQATKVMLHMVSNS VACHQFVVHNGLHLLGRVAQLLMANNTARGIKHIAPVLRQLVLVASFLSENMLSQVPL PQEVERLFFPSAVTKDVMPFLEILLWPLMPGANGVFTWTDSSVLAAKVLSTVIEMRSS RLLLENHLIHICFRLKGASRVEAVQAAIAMCLECTAWDQKHAVDVIQRVYFVAIRKGI KLGMLSAECTELLPQTTSSKTDQHEVSRKSVVNHSSKRLHVAKSDGKTAIVQEALTPY RDQLLYCLSFTEQHREGRLRDILVRSRKKGISDMLSAVNNAARVLVLVHNVLQMFFET YVSVVSSSHIEYSDVKVKKVRYFCKQLESIAALVVSAVNQMEASYFGVIFAQLHDIKV HSTTNHHHRNNGGDPSECRNTMRGNMKKLLFILKRIIDKKKLKPLLDEQSFVEAEEIL SAIRLDFAVNSRRRLGHAALDSASVADFVCEAAEIGGIKKFDQFITSTRYLYMRSMQR DDGTFDNLEDFMMGTSDSMTLKQAVKALLASIWSHAKSICSFPFRMARRLLTRWMCTK AGDALTDGAARSALRSGGSFTIGRSRHHNSTQQLVHAQARVLERRLTSPFPIASVLFC NRHEQAAKGGEVWRVLRQVGLFQAPANTSPMFPMLLKPNFVQDILTGIPDKKQELIVD HLLRPDYNNTVHCCDDVLEQRLTGTEIQLIMLNIEAFLARQDNNDMALYHVQSSTLSV NVWKFVVSPVYHTRLMHAHFVLSDLHRADSLAAAQALWQTLEKGHTLLTQAIRLSPMM KLKATRRKMSERQQQAAAVSRYKSWLPWKWHEYDRDGGEYDAARGTDDIRRKPMGVLY VNYILPVCDRHLDDPLSDQMAWEHLVGEINDGKFPPWVFRVNDPHSSAMVRRVTAAYR AVLRGVIYLTFWRLEEYFLDLQDRSWPDGDVIQLACTRRTRLDEDIIDSIVVYKHFRK AMSELFRVWMLESGSLGLPLWQHWGYFVGGCIFPAVVLYIYLPTLMSYTDYTPRQTDY HMKWFLAGFFSLLFALVMWSIVLLVKKTENFMVQERQQVRFFPVTRHYSNYLALFGLF SELVQHNSIPFSDGVKWATGYKLPGMIKFIGQLGITNFDFIHIGTFKLAYLKSFFAGG ILVLFLVVLKCANKFHKTYPALNTRLTKDLPPIVSGLLFVGIVNSFSSLLFCCSCDQY TDNPAAQESCFHQRNGSSEPFLYSYPDLKFTCWSPEHLPLAYVGLMGSAFFIPIGILG AGMSQVLFPLETLDIKFSPIIDLTSQLTKTITAISALFFTFRMQYMISIGFGLNLLLF IVTLLNKTSSIWYIGTAKSVIYVMSVWTSLCAFVNVLLESPSSGPIYYLNVGWFCIAC LACAVLGIRLRYRNIREERARRERLRDYQLLNDVSELSRLTDMEEDILRRAAKPLTTE QLEATAPKTFMDAARLKAEQLTSQHLPSHLEDFMRLAKQSAEKPTTSELMFMRRAKRL GHRITAFEDLERLSSHHDKHKSV H257_01147 MATPEKKKQASIASFFSPVSRSVTASAASKGVPTSVVEEPQPSP LTSKPDKAASPTTQRKTPPNSALKRKIKSSDPAQNSEDVQAASPTPSSPVQPVKPPPS VVEQQPSPLTITPGKSAAPAVQAKSPPTSASNRNIKPSDSPPSSELPKPTEQTSPTSP LQPVKLNLEGEAKSPTVSSPKAKKRKTKSPAVSAPPPASAFATSSAPESDLTDVASEP REEAQPTTTSEVVVIDDEDSSDSTKGTTAAKNTQEDTSKARPKRLAATAGRKQEDVAV DVKQPARKRAKAAAPSPPDGPPPVTKPALSAAQQHKHDVYLQKLTDLEELYVSLALGT NRDEVLQEIYGAHTDVGLNLSTAEHLEPCRTEVVTECSHASKAWSTVPPLAKSFIARR VQGSMESLSALAGTIHAEWLGWLKVPATECFNVSMLEMEIKSMAERVSYGAKPKKAHM FQDTTPRAMWVWEVGAVESYFDDDAVKVIRRVRKQRKRTGQTIKTLDKIVAMAQEPAT DDSKLSLEESKASRFYVAVELELQKAQKRLDLEKQKVAEKRLKAQQQFDKDEAKRVDL EHKRKEKDEAKKKLEALAKEKEDLELQRRRQTWGSFLKKDADANTTDELSRDKAAQAH AQMDAIDQQLGLGGAHASDSKHPPALPPSSSSSGARVVTFGSWSSQRHRHPTLGVKKL LQFHDNYRPAYWGTYSKKARTLRRGRRPFATVPSLDYTVESDLEWEEDDEMGESLSGR DSDDDNGDEEDRLDYGDKWLAYEDEVDYIDERPADDDDLTVSTHKKVAEHHHRSSKLV KQVPRIITDAADELDAYAIVVLVERPNLVSPLLKVNLPAVEDAAVIAPVVATPAAPSP GVLPVEEGIVPPKQPSGITTFFKPKPVT H257_01148 MMPHEQHHAHGGHGRSEKPSPLDPEYWRGITHSVGEPVSTSYDQ HYYDTYSPHSGMAVHPHHHVVISPIPHARQVSSGGFDMQGKSMDVSISQSFHNPHYQE APQLREQLRRCFSAVTMDLRQLLGAYDHHRCGGVTVSAFQDALNQCGIFLSTMQLHGV QQRFYNSKMDAIDYNALSLFVALDSHEVDAILKDIAAHVASSFGNIRQMLQQYDPHGS GCVPRSTFQEFCRRFSSVSDVDLHWFAQSFVSPYEPHMISYGRLVDVMPHPAPGGTQM SGGHYDPRGAPVTPMYNMNAAFPTSPMMARQPSPQAVLFSPTHQQHTGYSTMVNHAVP TAQVVLQKNGWICRVCAHQQIEDWVTHCEICETSKPHGGARGIFVKCPHCKFDNNFDA TRCELCNHTIKSRQHHKKHKKKKYASSSSSSSSSSSSSSSSSSSSDGSRRRRRNRSHR SKSQKKRRQEHTSRFHSGESIQAIPIKGNEYETGRIVKAHKDETYDVKFDTGKVEQYV PEQSIRELVRSKHHQSPSHHHQPSSPRKSHHHSHHGHDEYRDPHEDKHHRPSSPRKHA TYDESSSDAPVKAKSPRKPSRPDPIITTDSESSRPPKYKVGQGVKADYKRQGEKLAAV VAKVHSDGSYDLEYETGKLESRVPESLISTGLSHSPKKKKPADDTTTTTSDEKVVFEK GQKIEAKYGAKSSWKSGVIARKRVNGTYDIDYDEGEKESGVATRLIRARPTSPKKKKA EASTAEEDKPKKHKFKQGDKIEAQYKGKDKFYPGVIARARLNGSYDIDYDDGEKESAV SADLVRAKKSSPKKSPKKKQPDDTSTEEDKSKKNFEQGDKVEAKCGGEDNMTTASRKP AWLPT H257_01149 MTAEKRGLGSGDVGSTSPKKPRANSAETLSPGTTLPLPSKMIGV SNDPEYINMSDDVFHNTSLASSDTHYIHSLSVPGKYEAFDVYGHADKNSTVSSVYFGK KLCGHEGIVHGGCISTVLDELFGWTMFWMTEDVGFTANLNVNFRKPLPVDTFGLIYTK FDKRERRKVYMKSRLEDNDGNLYAEATTLFILPKPTE H257_01150 MQVDFQPLGQSLRTVVEAGVILAGVAGYYYFGSASPIPKCAPTQ KDAQNDSAQALERLSIADLPSKVVHLAQDASVTNLRDLLFRTVPAEQLEGHYIHSLNI AGKFQGFEVYGHPNKSGTTSVIHFGDKLCGHPGIVHGGCISTVFDELFGWTMMWTSGK LGFTANLSVNFRKPLPAEIFGIVFTDFDKLEGRKLFMKARLEDNEGTLYADATALFIL PKEQT H257_01150 MQVDFQPLGQSLRTVVEAGVILAGVAGYYYFGSASPIPKCAPTQ KDAQNDSAQALERLSIADLPSKVVHLAQDASVTNLRDLLFRTVPAEQLEGHYIHSLNI AGKFQGFEVYGHPNKSGTTSVIHFGDKLCGHPGIVHGGCISTVFDELFGWTMMWYRIP TYCSRRILYLVLTTNVGQVESSGSRRI H257_01152 MLSTVKSVAKRSVSIRAFSSIVRDVPNSIEQITVGRQGEELKLA EEGVELFNRDPIASEESQGNSKDDPILVPSWNSTRAVGISHNDSPYIVWFNLEEGKVH HVPRFNKYFKLDNKNPNAGHHHH H257_01151 MQVPRKMKAKKSDKDVSDAKHHAGTIQFEARQGRERTNSEETAM ILSSMCMNSSSVPSDKASLEEGAGAKVHVKREGGEDEDETLINPGTKYIPSLARFDEA DRAKYISSYAKFKNEDEGEGWSSDGSEITKRRYVANAANRMKYHATKFISIEELREHF DQPIVEVARFFGICITLMKKVCRRNGIKRWPHRQIRSLTKSISSMEAAMMTTTGAERE KYEGQIQTLKMKRDAVIADPNKEVSVSVTKHEFKDEKMDDMMPNDDNLDGSDSCGSPR QAARSSVAKDDAVPPFILPATRPRPPPQQYEAKGGRWTSQEHAAFLEGIKLFGKNWRR VAQVVGTRNAVQTRTHAQKYLLKTSAHLDLHFSLLNKNDGDEDDDANHAAFLTSLTTH RDQVAPTAPPPTEVVAGMDRLSSLMLKAESMHTHMLESADTIRSPLDALNFSAPLLLS PTSWNGASDTPHDPPGGALEPPLRKVVLGRKAAADALAVDSKDTKKRKVVENDTENLS PNQTSAADVTTAVPTEHFAFLGSSTDQHNTAITDVGVTPDEAIEEELGDAPKVDERVH EGGSPMPMPFDQVSTKAHEDLKRFHDEGGSEPQPEEEPSALSDENSFAHNTFTSNDMR EFCPQI H257_01153 MAVCRRNVPVQRKVNDWLIKDSFNVTSQGGEDGVIERVFDVIGE HEAAVGARPESRWCVEFGAWDGKHLSNTWKLLHEENWHGVLIEADKPRCDQMARMYAN HPRVQCINAFVSFDGPMSLDAILARANAPSNLDLISIDIDGADYHIWDSLRSIHPKVV VIEFNPSIPNNVVFIQDKDMSVYHGSSLAALIELGKAKGYELVSTTTFNGIFVQHQYY PLFHMPDNSIDTMHDVPMPTEFFQLYDGTLKITGVKKLLWKKQPINEKDIQVLSAAQR RFPFIPPNIEREMANADALFLDAQKDSTNRGAVARHFVELVQRWSVHCSYPNEDIRRF AGYALSLGRGDAAVAAALLAFYEQTADVHFKANSPQHAIQWLKQALYLPSVQENLVHK AHVMTRLGEAYLRQHRYDKAEFWLQMSMALEGHDKTTLKTLAKLYMKLGHTDAQRRIV DELRALNTD H257_01154 MSLSKPMATLATATSAAVGGCTSSLALYPLDTLKTRMQSESKKD ANDKKTPVTWDVGTILSLYKGVEYKAAQSTISKFLYFYAYTAMSNMLLAKNSTSLSTG ANLVVGYLAEMFHLPITLPLEVVITRMQTSKGNDGKHTGFVHLLQSIWEENGGKWTAF YKGFSAYFVLCLQPAIQFTVFEQVKKVYLKAYKPTTKSLSAIEAFVLGAAARSLATLV VFPYIRAKVIVQAKAKADSHEKPKSMLEILQNLVRDEGYPSLYRGLAPELTKGALSSA FMLMVKEKIEAYVTLSIMLLRATS H257_01155 MTPPAKSLTPEQFRLRCRDGSFQSNSAGYCPGFAQANLVILPKE HAFDFLLFCQRNPKPCPLLEVSDPGCVDMRRFAPNSDIRTDLPKYRVYKHGVLTEEVI DIKPYWRDDLVTFLLGCSFSFEDALQNAGLSIRHQDEGKNVPMYQTNLPCDPAGVFSG NLVVSMRPFSPKDAILASVITARYPNVHGSPVHVGDPQAIGIADISQPSYGDAVTIQP GEIPVFWACGVTPQNVLLASKPAFAITHAPGHMLITDRRNDTL H257_01156 MQELHHVQMSILSRKVHGVDRGAFGPVVVQEGDDVQMAMFRRTV QRHVGAPAGAVGVEKCHHFQMSSTGRGIHGMGAAGVLMTYQELNHGKVAVLGGGVDGG VSCRWRKMLGVEMEELDNVQVTESGGTGEGLGGHKGVAADAALDHVQIAFEDGHMQHE PMVRHGGKEQILRDMHLVVEETSVAHGRGDVVSEVGVGLHERLPFGISRLQVHKQTAL VLLDRRHKGRIEEHGRKRRVSPEQTSSSDTSLSPNLVAWWWAGGQCRRRDCRLVWLWL KLCLLGK H257_01157 MAPPQSTTIALIKPDCATGYRSPGEGDASKNGTILDDIMQRIKA EGFTIEQRRTLQLTKPQVRQLYRHSWGDPELEGVLDFMVSGPCIALQLDRDDAVEHWK VVMGPMDPAKAKAVAGPKPLLRGVYGTTPVRNAFYGSETKLCALRDRLLLFPTASPVL QQALAIVKPTALNYVDALSVRFEREGFFLMDRAQIAIESDEELLLMAESNDPAYDSEL KLAMTTGTSVVFLLEGLDIAAKLELLLGSADPVEAQVYFPTSIRAEMGVSLAHNVVFP LHSVPAAHKYMQKWFPAFFALEPEQTLAIIKPGTANLHALAIQSIILAHGFRIARQSR RLFSREDAMEFYAEHKGKAFYDKLMAYMTSEVVVVMILVRVKAVYAWRKCMGPTNSKT AKDQYPHSIRGQYGIDGTQNATHGSDSRGSADREISLLFHAPVAVPLGASVSTKEVAG RPIQYLSRDKTLHEALARGVTLLCQQDPKLDGLEAVAWLGRFLATFATNERPETAVVA RLPSGPPRSRRSQEAADLGIPPLAALHVVGFFGRGSNRTLLATQIAKDFNYHYMDMDV LLQKKDTQEDDMVVLVKAFKRCVSKRIVLDKCPPELAFYLSFQRHVAGFVWITVVADD EPDRQPSHHVATEGVPEVLPFPVHSAGEREFYDYFHRFGQLHYVPPRPHLATALTQHM FLADVHGAFSPRILLVHDRNRVIPSHQWHDLAQHFRWSVLDFSALVEQQVGREASKGH AGVYSTMARTKETMPLDLIVRLLAQAIAITPTVHRFVTINVPPHALLSAGFVSLVDTT TRCFPPTQLINIEPNPLWRNTNLTSLEWRGHALGHVVPVWVDGPAESTHLQSILQPVL APTVAFSIDEGIESGGDDATILATARARGYLKLSAKDALRAEILARSADGVHIHELVQ ANEPIPDDVVVRVLHKFAFHPHHRRIFLDDFPATVEQTTAISVGPLGSPSMVLRSHES ATPFKRDILTHLALSHAVVTLTGPNLHAHLSQLTASAVLGDVSDLDVSRLQRHFHVEG VHVLPIAHVEASVDTLWPHPGHPMDDRSFVELVCLMLRQRNLRKVLFIGFPRTLLEAQ TFRAIGVDFDHVAILTKKVMPVPHALTDEDYYSSDEEVKARKKNAPEPQLSQLSRYFT DTAPRGAIQALTYVDITRVYPHLEAWFRPNVILAVGNKASGFHDALQRAAHSSNCVYV HVPTLHAKHVQRFPFSDRAKRIRAAWTERRLVDVEITVDLVKTEVLRQPVSTRVVLTG FPRMMGNTMPYVHDQVVALTEHVGAVNQLLHFTASPATLLARVGTIEAVHAHTDAFNA ENAPLVAFCIKMNKVVTTVSADVSLGTLTEDIQTLLLT H257_01158 MDTRDDTTMPAPGDFYNYSRATWKASRSNMQSNEPQGSVESGPK PSSHFERSRNAWRAKRQDFESQVHAPAAAVSAERSAPALQLQNGTLSNALSSSFQERV EAFYRQYNPSKMAEVGNLVASYTGRESLLFEKLEAKYCPKPQNLPPLTNASHVKVYFD IALHATVSRVVMRLLHDVVPMTAENFRCLCTGEKGKSLHFKGSKFHRIKKHFMVQGGD ITKGDGTGGCSIYHGTPNANAWGYFKDESFLPHDRVGLLSMANKGPNTNGSQFFITTK EKCLNLNGKHVVFGEVIQGMDVIRRIEILPTDPSNDRPLPGHDATIVDCGQLS H257_01159 MAKAKVAPDDLRHVRRERDQVQKDWDRSKYRRRNGWDDDFYYSS HQRRPKARHRSKAHKHAGDPYEQAPPRPPYVPPLTHYQVLRVPSTATHAEIKKSYRKL ALLYHPGAWVSYRVF H257_01160 MATKAPARWRRHTLVLASVLAACTLASDIADTSPITTTSVVVST PTPSLVADAEDDDGLEGQHFADDDDDFVTPKPASNDTTNDAFDMPVPPPVAPPTVPPT TSTPNEGGGPLLPPVLVPSDPSSHPRSDHPTSPASVNTTNDPATNGSDITIAAPTTSP LTTSPPSPPTTTAPTTAAPAATAAPTTTLPATTSEPTTSSPPTTTAAPITTVAPITTA APITTAAPITTITTTPSDAPVTTAAPLVDSPGENEPTTKPVKHPSNDLAKGGRHGPSM TSYTALAFVGCSLACVFIVLRRRQGRAASTSGASTGRPSSSGNYAKLNNPHDPVDDDD LDWQDDPELGSRSNAMAARKRLSPDFNPFSRNQPVPSTPKVVPPLSASPSTPPPSEPR VLPRLHEPINPFAVNHDVFSEFNMVPQVKASAQPPPLILPPPSKRPPTTAFPPPPASH SAIFSMEMDDQAEGDATGWDEDDWTH H257_01160 MTLIIMCCRLNNPHDPVDDDDLDWQDDPELGSRSNAMAARKRLS PDFNPFSRNQPVPSTPKVVPPLSASPSTPPPSEPRVLPRLHEPINPFAVNHDVFSEFN MVPQVKASAQPPPLILPPPSKRPPTTAFPPPPASHSAIFSMEMDDQAEGDATGWDEDD WTH H257_01161 MDDIPATTFLVAGRPSAVSAVTDGLKIVVPSKPLLNTLLKWDDV LGANNSQNPTTVTVHTLGKASNGKRYANIVALVATKNDGQQEVERWVQIITFFADPTR AKATVLPCLNDVLLTRTKPRRYMVIVNPASGQSKGVKLFQKVEALFAHANIHVTKVLT ERAAHATELATAIDHTQYDALVIVGGDGVIYEVVQGLMQRPDWADVIQIPLAILPAGG GNGLAKSLTESSGEVYSFENCAYLAIKGQPQPLDLATLRSKTATKFIFLSLSWAFLAE MDFESEKYRFAGAQRFTISSLGKILSGKNWSGSFSYVEPTADEVVPTYWADGHDDGRA APKLTLLPPSAEDPKPETWKTIEGNFSLFWAMNAAWAGTNGLVAPSAEFDDGYMHVVI MPGKVSMAEYMGVFLTLDSGRHVDKPTVQVIKTRAFEVKAPESDIMMADGERIDGGFC QVEVHRALGRVMAIRK H257_01161 MVIVNPASGQSKGVKLFQKVEALFAHANIHVTKVLTERAAHATE LATAIDHTQYDALVIVGGDGVIYEVVQGLMQRPDWADVIQIPLAILPAGGGNGLAKSL TESSGEVYSFENCAYLAIKGQPQPLDLATLRSKTATKFIFLSLSWAFLAEMDFESEKY RFAGAQRFTISSLGKILSGKNWSGSFSYVEPTADEVVPTYWADGHDDGRAAPKLTLLP PSAEDPKPETWKTIEGNFSLFWAMNAAWAGTNGLVAPSAEFDDGYMHVVIMPGKVSMA EYMGVFLTLDSGRHVDKPTVQVIKTRAFEVKAPESDIMMADGERIDGGFCQVEVHRAL GRVMAIRK H257_01161 MVIVNPASGQSTSSSRRLSSCSRSLGKGVKLFQKVEALFAHANI HVTKVLTERAAHATELATAIDHTQYDALVIVGGDGVIYEVVQGLMQRPDWADVIQIPL AILPAGGGNGLAKSLTESSGEVYSFENCAYLAIKGQPQPLDLATLRSKTATKFIFLSL SWAFLAEMDFESEKYRFAGAQRFTISSLGKILSGKNWSGSFSYVEPTADEVVPTYWAD GHDDGRAAPKLTLLPPSAEDPKPETWKTIEGNFSLFWAMNAAWAGTNGLVAPSAEFDD GYMHVVIMPGKVSMAEYMGVFLTLDSGRHVDKPTVQVIKTRAFEVKAPESDIMMADGE RIDGGFCQVEVHRALGRVMAIRK H257_01161 MDDIPATTFLVAGRPSAVSAVTDGLKIVVPSKPLLNTLLKWDDV LGANNSQNPTTVTVHTLGKASNGKRYANIVALVATKNDGQQEVERWVQIITFFADPTR AKATVLPCLNDVLLTRTKPRRYMVIVNPASGQSKGVKLFQKVEALFAHANIHVTKVLT ERAAHATELATAIDHTQYDALVIVGGDGVIYEVVQGLMQRPDWADVIQIPLAILPAGG GNGLAKSLTESSGEVYSFENCAYLAIKGQPQPLDLATLRSKTATKFIFLSLSWAFLAE MDFESEKYRFAGAQRFTISSLGKILSGKNWSGSFSYVEPTADEVVPTYWADGHDDGRA APKLTLLPPSAEDPKPETWKTIEGNFSLFWAMNAAWAGTNGLVAPSAEFDDGYMHVVI MPGKVSMAEYMGVFLTLDSGRHVDKPTVQVIKTRYTHR H257_01161 MDDIPATTFLVAGRPSAVSAVTDGLKIVVPSKPLLNTLLKWDDV LGANNSQNPTTVTVHTLGKASNGKRYANIVALVATKNDGQQEVERWVQIITFFADPTR AKATVLPCLNDVLLTRTKPRRYMVIVNPASGQSKGVKLFQKVEALFAHANIHVTKVLT ERAAHATELATAIDHTQYDALVIVGGDGVIYEVVQGLMQRPDWADVIQIPLAILPAGG GNGLAKSLTESSGEVYSFENCAYLAIKGQPQPLDLATLRSKTATKFIFLSLSWAFLAE MDFESEKYRFAGAQRFTISSLGKILSGKNWSGSFSYVEPTADEVVPTYWADGHDDGRA APKLTLLPPSAEDPKPETWKTIEGNFSLFWAMNAAWAGTNGLVAPSAEFDDGYMHVVI MPGKVSMAEYMGVFLTLDSGRHVDKPTVQVIKTRYTHR H257_01161 MDDIPATTFLVAGRPSAVSAVTDGLKIVVPSKPLLNTLLKWDDV LGANNSQNPTTVTVHTLGKASNGKRYANIVALVATKNDGQQEVERWVQIITFFADPTR AKATVLPCLNDVLLTRTKPRRYMVIVNPASGQSKGVKLFQKVEALFAHANIHVTKVLT ERAAHATELATAIDHTQYDALVIVGGDGVIYEVVQGLMQRPDWADVIQIPLAILPAGG GNGLAKSLTESSGEVYSFENCAYLAIKGQPQPLDLATLRSKTATKFIFLSLSWAFLAE MDFESEKYRFAGAQRFTISSLGKILSGKNWSGSFSYVEPTADEVVPTYWADGHDDGRA APKLTLLPPSAEDPKPETWKTIEGNFSLFWAMNAAWAGTNGLVAPSAEFDDGYMHVVI MPVAATWTSQRCK H257_01162 MSNSKQAEATGEGAVPSLQHHHTPVSVTHHDHPDHHLMWCPRHN HYYDDRKMETFEEVPDPTELSPLRDFIAGSCAGVSSTVVGHPFDTVKVRLQMNCPSAP FKGPMDCVMQTVQKEGFWGLYKGMVSPMTTVPLVNAVVFSAYEHAKAFLLTRRLNDTS GVLTNHEAMMAGAWAGFVNSIVVTPVELVKCHLQAQGEAIHTSKNEIKFNGTLDCVKK VIQIDGVRGLWRGHVATMVREVPSYVGQFGTYELLQRVFSAQLDGDNGKVSPATTLLA GGFAGCVCWTVSYPQDVIKSRLQLQPLGQPSSYATSTFCPDGGFIACAKSIVRQEGWR GLWRGYSPCLLRAFPSNAAGFATYEMVKSVFFLDKDGVSMPVQAKAKAGQ H257_01162 MNCPSAPFKGPMDCVMQTVQKEGFWGLYKGMVSPMTTVPLVNAV VFSAYEHAKAFLLTRRLNDTSGVLTNHEAMMAGAWAGFVNSIVVTPVELVKCHLQAQG EAIHTSKNEIKFNGTLDCVKKVIQIDGVRGLWRGHVATMVREVPSYVGQFGTYELLQR VFSAQLDGDNGKVSPATTLLAGGFAGCVCWTVSYPQDVIKSRLQLQPLGQPSSYATST FCPDGGFIACAKSIVRQEGWRGLWRGYSPCLLRAFPSNAAGFATYEMVKSVFFLDKDG VSMPVQAKAKAGQ H257_01162 MNCPSAPFKGPMDCVMQTVQKEGFWGLYKGMVSPMTTVPLVNAV VFSAYEHAKAFLLTRRLNDTSGVLTNHEAMMAGAWAGFVNSIVVTPVELVKCHLQAQG EAIHTSKNEIKFNGTLDCVKKVIQIDGVRGLWRGHVATMVREVPSYVGQFGTYELLQR VFSAQLDGDNGKVSPATTLLAGGFAGCVCWTVSYPQDVIKSRLQLQPLGQPSSYATST FCPDGGFIACAKSIVRQEGWRGLWRGYSPCLLRAFPSNAAGFATYEMVKSVFFLDKDG VSMPVQAKAKAGQ H257_01163 MVGHMEQSLVRILRGAKGSFISPKIQVKRFPSMGLGIQAVEPID SGEVVFVASSDVWREYSAGTARAEARQQAPAFVERVDSYCGNNQRMADAVLLATHIVV GDASDVYLNSLPPVLDVPMYWTERRLDELRHCDVRHTIVNARQVYRKMHTDLFGSTAP MVSSIDFQWALSVLMSRATSGKDQPFTLIPYFEWFNHSHAKSACEHAYVEKDDSFVIR TTAPHAPNDQLYINYGDHHTPATYLRHYGFASIEHARVLDPVMMHDKVSFHDLPDSDG SKATKLRLLHALGWPVEKAKPFTVHMAGSTDQVDVWDWLRLYVATADELTHRQTHPSS VPWLESNSQRLQALVKQLCQARLAQYPSSPEDDFDLLRLHHATLEPWHAACVNVRLAE KLVLRSFLDQLDSRDAGSNMFRA H257_01163 MVGHMEQSLVRILRGAKGSFISPKIQVKRFPSMGLGIQAVEPID SGEVVFVASSDVWREYSAGTARAEARQQAPAFVERVDSYCGNNQRMADAVLLATHIVV GDASDVYLNSLPPVLDVPMYWTERRLDELRHCDVRHTIVNARQVYRKMHTDLFGSTAP MVSSIDFQWALSVLMSRATSGKDQPFTLIPYFEWFNHSHAKSACEHAYVEKDDSFVIR TTAPHAPNDQLYINYGDHHTPATYLRHYGFASIEHARVLDPVMMHDKVSFHDLPDSDG SKATKLRLLHALGWPVEKAKPFTVHMAGSTDQVDVWDWLRLYVATADELTHRQTHPSS VPWLESNSQRLQALVKQLCQARLAQYPSSPEVRHCNSPKFNLSWIGRL H257_01164 MFRRILRTPGVHAATRNVYRRAPHLSFATHSMSTASMAAARPVE GRAVAAATCLLMCAGVSAVSLCDDNNRDLSVEEVIALYEQVDANMRILAQKLMEALAH DIDLEHEKDEADRLSVEQRAIQMSDSFETLLSQVQDSVFRNHYVTKDQVAAAMQRMES GKLKLRDEEAETIQEYIRKLGRLRWECTGSRDPIRGRLSPQRRPKPSASPIPRDVVVQ IANALIPSLTRDMELIVATLKHDEADLQAPAVRQRLAKLYLDASQATTERIALKYNVT VEALQDALLYFHDDKKFQDMLTALTEHQHQRFVELGL H257_01165 MCCVPRMSSSKVMSIPSLCHGVEPVVIPAVRGPMLSHDLKKREL VKKVTSVRKGLLHFQQCHDSHRCDKALCKSTRRLVDQYRTHACVSRSTNSLSPSNSPN SPSLEPPTSPHKCQVCMLWTLVTGNPNIIV H257_01166 MEEVDNGPMQEIEEKDDDQPQHGPAEPVLSSIPEGGRWLSSLEG AIPAIVIIRLMSVRAFDGDAASFSVATGFVVDKKRGLILTNRHVVTPGPVVADAIFVN KEEVDLVPIYRDPVHDFGFYRFDPSRVKFLTLHEIPIDPSGAKVGVEIRVVGNDAGEK LSILPGILAKLDREAPNYGSSGYNDFNTFYYAAASSTSGGSSGSPVLNIEGAAIALNA GGAKRSASSYYLPLDRAVRALRCIQSGLTIPRGTWQTIFRHAAYDEVRKLGLPADVET EFRTRFPLETGLLTVDQVLPQGPGFGQLEAGDVLTHIQGTPETTFLALEDTLDANVGN DIVVDIRRGGTPVTVQLRVQDLHAITPSRFLEVGGCIIHSLSYQQARNGSLAVGAVYL AQAGHMLMKAHVAQPCIIVSLDNKPTPSLDAFISTIQEMPNGHRTVMRYFLTRDRHRV RSAVLTIDRQWFPMQLYTRNDSDGLWHCHEYPLPPLADVPAIPAFPAAPLRVAGTGTE VDKHMLTRLLSSLVMVAFDIPHMIDGISSSSYNGVGIVIDAAAGLVLVDQNTVPIALG DVVVTVAASIEISAKIRFVHPIHNFAIIQYNPALLGDTHLESARLSNEVLHVGDQADF VGLTSTWTVVAMKSLVTKIDRLVLRDFQPPRYKASNVEVLHFDRITKSVGGIFVDAAG LVTALWLSFSYQDESGRREVFRGIAADVVREVVAAFQAGHSPRTVAVLPVQLYAYPLS KARAGLGLSPHWIRTLEQCYDDKRQVLAIKRCAAGTNAYAQLQSGDLLLSIDGVVVAK DFDVEKLCFGKTQVRVMVLREHQELALTVETLELSGTGTSRVIVWCGLVIQEPHYAVV SLGYMPEEGGGVYCSRWCYGSPAHKYGLRATMWIVQVNNEPTPTLDAFIRVVEGLRNG DSVRMKTISLNTKPKVVTLKTDYHYWPTVELKRRDESGDWAYVHHPNKR H257_01166 MEEVDNGPMQEIEEKDDDQPQHGPAEPVLSSIPEGGRWLSSLEG AIPAIVIIRLMSVRAFDGDAASFSVATGFVVDKKRGLILTNRHVVTPGPVVADAIFVN KEEVDLVPIYRDPVHDFGFYRFDPSRVKFLTLHEIPIDPSGAKVGVEIRVVGNDAGEK LSILPGILAKLDREAPNYGSSGYNDFNTFYYAAASSTSGGSSGSPVLNIEGAAIALNA GGAKRSASSYYLPLDRAVRALRCIQSGLTIPRGTWQTIFRHAAYDEVRKLGLPADVET EFRTRFPLETGLLTVDQVLPQGPGFGQLEAGDVLTHIQGTPETTFLALEDTLDANVGN DIVVDIRRGGTPVTVQLRVQDLHAITPSRFLEVGGCIIHSLSYQQARNGSLAVGAVYL AQAGHMLMKAHVAQPCIIVSLDNKPTPSLDAFISTIQEMPNGHRTVMRYFLTRDRHRV RSAVLTIDRQWFPMQLYTRNDSDGLWHCHEYPLPPLADVPAIPAFPAAPLRVAGTGTE VDKHMLTRLLSSLVMVAFDIPHMIDGISSSSYNGVGIVIDAAAGLVLVDQNTVPIALG DVVVTVAASIEISAKIRFVHPIHNFAIIQYNPALLGDTHLESARLSNEVLHVGDQADF VGLTSTWTVVAMKSLVTKIDRLVLRDFQPPRYKASNVEVLHFDRITKSVGGIFVDAAG LVTALWLSFSYQDESGRREVFRGIAADVVREVVAAFQAGHSPRTVAVLPVQLYAYPLS KARAGLGLSPHWIRTLEQCYDDKRQVLAIKRCAAGTNAYAQLQSGDLLLSIDGVVVAK DFDVEKLCFGKTQVRVMVLREHQELALTVETLELSGTGTSRVIVWCGLVIQEPHYAVV SLGYMPEEGGGVYCSRWCYGSPAHKYGLRATMWIVQVNNEPTPTLDAFIRVVEGLRNG DSVRMKTISLNTKPKVVTLKTDYHYWPTVELKRRDESGDWAYVHHPNKR H257_01166 MEEVDNGPMQEIEEKDDDQPQHGPAEPVLSSIPEGGRWLSSLEG AIPAIVIIRLMSVRAFDGDAASFSVATGFVVDKKRGLILTNRHVVTPGPVVADAIFVN KEEVDLVPIYRDPVHDFGFYRFDPSRVKFLTLHEIPIDPSGAKVGVEIRVVGNDAGEK LSILPGILAKLDREAPNYGSSGYNDFNTFYYAAASSTSGGSSGSPVLNIEGAAIALNA GGAKRSASSYYLPLDRAVRALRCIQSGLTIPRGTWQTIFRHAAYDEVRKLGLPADVET EFRTRFPLETGLLTVDQVLPQGPGFGQLEAGDVLTHIQGTPETTFLALEDTLDANVGN DIVVDIRRGGTPVTVQLRVQDLHAITPSRFLEVGGCIIHSLSYQQARNGSLAVGAVYL AQAGHMLMKAHVAQPCIIVSLDNKPTPSLDAFISTIQEMPNGHRTVMRYFLTRDRHRV RSAVLTIDRQWFPMQLYTRNDSDGLWHCHEYPLPPLADVPAIPAFPAAPLRVAGTGTE VDKHMLTRLLSSLVMVAFDIPHMIDGISSSSYNGVGIVIDAAAGLVLVDQNTVPIALG DVVVTVAASIEISAKIRFVHPIHNFAIIQYNPALLGDTHLESARLSNEVLHVGDQADF VGLTSTWTVVAMKSLVTKIDRLVLRDFQPPRYKASNVEVLHFDRITKSVGGIFVDAAG LVTALWLSFSYQDESGRREVFRGIAADVVREVVAAFQAGHSPRTVAVLPVQLYAYPLS KARAGLGLSPHWIRTLEQCYDDKRQVLAIKRCAAGTNAYAQLQSGDLLLSIDGVVVAK DFDVEKLCFGKTQVRVMVLREHQELALTVETLELSGTGTSRVIVWCGLVIQEPHYAVV SLGYMPEEGGGVYCSRWCYGSPAHKYGLRATMWIVQVNNEPTPTLDAFIRVVEGLRNG DSVRMKTISLNTKPKVVTLKTDYHYWPTVELKRRDESGDWAYVHHPNKR H257_01166 MEEVDNGPMQEIEEKDDDQPQHGPAEPVLSSIPEGGRWLSSLEG AIPAIVIIRLMSVRAFDGDAASFSVATGFVVDKKRGLILTNRHVVTPGPVVADAIFVN KEEVDLVPIYRDPVHDFGFYRFDPSRVKFLTLHEIPIDPSGAKVGVEIRVVGNDAGEK LSILPGILAKLDREAPNYGSSGYNDFNTFYYAAASSTSGGSSGSPVLNIEGAAIALNA GGAKRSASSYYLPLDRAVRALRCIQSGLTIPRGTWQTIFRHAAYDEVRKLGLPADVET EFRTRFPLETGLLTVDQVLPQGPGFGQLEAGDVLTHIQGTPETTFLALEDTLDANVGN DIVVDIRRGGTPVTVQLRVQDLHAITPSRFLEVGGCIIHSLSYQQARNGSLAVGAVYL AQAGHMLMKAHVAQPCIIVSLDNKPTPSLDAFISTIQEMPNGHRTVMRYFLTRDRHRV RSAVLTIDRQWFPMQLYTRNDSDGLWHCHEYPLPPLADVPAIPAFPAAPLRVAGTGTE VDKHMLTRLLSSLVMVAFDIPHMIDGISSSSYNGVGIVIDAAAGLVLVDQNTVPIALG DVVVTVAASIEISAKIRFVHPIHNFAIIQYNPALLGDTHLESARLSNEVLHVGDQADF VGLTSTWTVVAMKSLVTKIDRLVLRDFQPPRYKASNVEVLHFDRITKSVGGIFVDAAG LVTALWLSFSYQDESGRREVFRGIAADVVREVVAAFQAGHSPRTVAVLPVQLYAYPLS KARAGLGLSPHWIRTLEQCYDDKRQVLAIKRCAAGTNAYAQLQSGDLLLSIDGVVVAK DFDVEKLCFGKTQVRVMVLREHQELALTVETLELSGTGTSRVIVWCGLVIQEPHYAVV SLGYMPEEGGGVYCSRWCYGSPAHKYGLRATMWIVQVNNEPTPTLDAFIRVVEGLRNG DSVRMKTISLNTKPKVVTLKTDYHYWPTVELKRRDESGDWAYVHHPNKR H257_01167 MVQTVSLLVAACAVAHVSAWGMHRVRTIQARVQGGKPVYDDVHK QWVAPFGNTFEEKWRASMDSVNTASVEGALMYVQSEGIIDGPQKPYCDRKNKIQYVWI YDIEIAQTNASLAEYDANWGVYPEYCPFVALDGGKCTAMWENSTYAILPDICNKFAGL AGQNNIGPCVGGEDRTNHPKAPYPNNIWFSFPNSCFTKGFSGKTDACRAAEKGGLCPF GIRPDGVTCTFSATVLGYLNLDDLVGITALNNTNTGHPYVTRTEFCQAGGVETDLPFW QDTLNPAANNARSDKLIEFYQSLAKTDPQMTPLPELTALTAANPKCYENSHRCTNGCR RKLYAQVCEVCSSGDDCFKKPDSVPGVPDLVKSVPATVAPDTTVAPATTTAAPVESTK VAPTTTSFKTTAVVPVVTVVVGSSASEIVVSGVLAIASVVVALSS H257_01168 MAAKLVGASTSKMYAMEVTIIAPTTTITDDPAMILAASWKSESE GRPPTCVRHLHHMAASLGLSVTFADDILVKSLQVYLLFLASDDRKKDTGEKGQSDIGE KGDMQAWSWGDGFPYSQVSVATAAEFDGTVGQLTCLSSKFNFNDMTMLVKALVLIGGL SDDSWLFEVFPPGGFVTVDLVLPADVTHISASPYTQSQTTTGIK H257_01169 MHPEPVALARGSYGVLTGSKPTSGRGVTGLITFRSAPSITRVKY TIAHARNGKVEGDRSGQRVPAKYWLATPPPCDQLHYNYTITDCDKHSRRQVTFLWKVA DNGASSECTGGIPLPPPTSVLCSTTCPRPSPRYSPLSCCVLAHAN H257_01170 MRQLTTSDWTYPGSTSSPQSFGGVILGTPVFIPHSAIKAIGWPS EAHVIERLLDQVKALGLVPWMYCTYSRQNSAEYDGANTIEPTIRDRHEPQDVLPLNAV PTLLCNHTCL H257_01171 MVRLLHVLRPLMSVIPDITTPDRRIPFREKVVWTVVVLLIFLVC SQLPLYGVKNNSASDSLYWMRAILASNRGTLMELGVGPIVSSGLILQLLAGSGLLEVD QNSKEDRALFSGAQKLIGVFITLAQATAYVFAGMYGSVGEIGAANAILIIVQLFFAGL VVIALDEVLQKGYGFGSGVSLFIATNVCQNIVWDTFSPVSITTGRGTEFHGAAIAFFH VLFSRENKLNAIKEALYRQNLPNITNVLSTILMFVLITYVHGFRIDLPIKYAKFRAQQ GAFPVKLFYTSNMPIILQTALVSNFYFASQLLFKKFGDNFLIRLVGVWADVEGTTGAI PVSGLAYYISAPGSAAAILYDPIRALVYVAFVLGSSAFFASVWIEISGSSSRDVAKQL RDQQMVMKGHRDTSLVHVLNRYIPVAATFGGVAVGALVIVSDFLGAIGSGTGVLLAVT IIYQYFEAFAREQADLQSLLGFS H257_01172 MSAVRHRRRQSVLVPVNPNAYNTPRRGSAVSYVSKSNTAKAKPT VTARYSSDTLKVVEGGSSGFYSVHFQFTTPPTHPIIVSPMTNAHTLRIYPPVIKFNPE DAHLPQFFRVSVLHETDDDTRAPMTLEHSVQSIDPSFCGYSVMHEPKILTVAIFHGGG KYLMSTGDAAYGTLGQDTLDPRYEFGDVSFCHLYLNGRKTTSGHRKGTSARSTSLCDS VHVTMLSEIACGSHHAIVLDANGRLFAFGDSSRGQLGLGSRRSMAKPGVWNGIDVDGR LHSKLFGVACGAAHTVVITDDGEVLTWGDNDSGQLGMSSRQLPSLDLPKCLPWPLGHK IIHMACGLKHTMALLDTGAVVSWGYGKSGALGHGNRDNVDGPKEVVSLRGQSVFQVAC GDMHSAVVLNSGELVTAGWCENGRLGRMKALDDCGCTFERVDLKGKLCSWVACGGAHT MCLTDQYDVLAFGANTFGQLGVGDCRDRAYPVEVVFFRKVRVHHVVLGKFHSLAISDD RLLYAWGNGEQGQCGLGPFPHIYTLPQLVRSVLGCPVFQIAAGDAFTLILTSNTPTLV KDLAGRDEHWRLRQKQLVASDKAHRDAIRKQLDDKTQQKFHDTMHGNHPFLAVLRQLH QSSPLALAHVQLKTPPSADAIAAAVKRSGLSRQRSLDKLQFVVDPATMAQVELKVHSP RNKTLTNPRCHSANLHGPVRTSQMLAQSPSLQRALKSAAALRRPRSGLAACIGSPRCP QQSIQIKPPRTQIMAPPTSPQAQPQWLLRQKQQMTCRRQVILRRPRSPPPAYPTDENA ADNLSKPRDDQHRPPSPPPDYGVAMMGLRSTTISLPRDR H257_01173 MNEEYIRNAVNHRLIPLPVDMDDIHAEYMERPLEVDHMSMSNLK KTLDALALKHGFVVKQMYRTTSNAKWACRPKLKAAQQEEERTDTACTFSVNANVRKAG GVYITSMNLNHNHALLTDVDDAVDLSSIDAQVQELDTTRGDAVPRSKRPHDSASPDDA HGGGNSSSSSSWTRNIVRHRASSSGFWGDARWYDLQLTRRLPLVPRMLDEMIFALPPV GPSHRVADLCAGSGACSEKVLAAYPDVELVLFDNAKDRLDMAVQRLRASSRSVSFVVA DLNKLSILRKAPFDVIVASLAVHVLVERPPHYEAPSSAGPQRDNEADHKRIFQLMFNS LRPNGHVILGDHVGQAALFAQLKWMEEVGFVDVDCAWRQDDFFVIGGRRPSCPSSISD GQLLL H257_01174 MGLLDDYYREVTALDHRHPQARGRQSKKQQRRRPVPLLDKLDEL PVARPQTHAVARHPVAKIKEAKRRGLTTAAAPRTRAPNQDNNNPHNDTSVDDPNDKSV RFKLAWRNHVATSPVKKATTHVAVVANSGVASLATIDAPIPFFPVQLLQQVHVNLSMW TLVATDTAFLKIAAHNNRLRNLQSNAFAMDKMKAQPNYQGFTRSIVAAGIEALTDVGL MAVAKAVEALECLDIAHATKVTDAGMRTLALHCTKLTSLNISGCTGIAGAGLGAVSDH CHSMQRLALAECAHLEDWVLVRAFYNFERLTHVNLSACVQITDNMIKAMALRCRYLIE LDLSSCPQLTDTGIVYLAEHCVALERLSLASIKAQFNERITDLALLSCGEHCPRLQLV NVSGCTFVSDIGVRWLAEGCPALTSLDMTHCLKLTDVAMRALGSLTPHLQTLYLGHAK NISDVGLRFLSDGCPRLSLLHLKQLYLVSDGVKREFGLEGLQAVAQSCRALTDLDVSG CFQIVERSLHSIGTHCTSLKKINLRGCVSATSVGLSYVLQGCASLVHVNFTAIEQCTN AVVQEIAKCCAALKELILAQCVRVTDSGLRYLHRLSNQLVVLNLSGCTQITDVGLSAF VSSFRSTSSALQQLILNGCPLVTEDFMNRAALTCPHLIRLSVLGCGISTRVLSSLKSS WKYTVFRSSQSEMGYFPTHRAKERRYMDESGQLCLAAIKIQNVYRLRKARRDFAVQEE VALRHRVVRRLQSQWRGRRARKRAAVKRLGMDKRHRAATQIQCCFRRYRTKQTALHEY EDLLLKRTKELVVVIQRRYRAVRGARVAQVAMKAQKRWTKKRHEAATKMQRRWRGIDG RRKFKLAKAMREADRQEETASATQLQSLMRGRQARKVAAARRQAELDREVQRQHAAIR LQCMYRQRIARRKFRARLDRLAETYRAATKMQCAWRARQGRSIMGALRMARDRREHEA AATLVQLRWKKRVAYKSRVWEAEMRRSGNVARRGAASRLQLWWRNVLAIRCARAEMTA LLEMKKQDDAMLFWAAGLVQNQFRRHRARHVYAQLQLAHECRWKQVLDVDNAHGMGHG APFYYVQTSHATWLF H257_01175 MEYVRQLNLVHMTKMEALLRIVTVDVPTIIFDHVPFSDQAVKYF FVYIMLQALAILWGFLFNLGTMVGENVIDIVTMPFLQASCVLFVHYAVIGIILGIGRT TWILTWQSLTNFPYIRRIMLRESSPQVVVLLSSIPILLLLVSLILVPSFCTNMDTAEV TNTLCKSSYIRYLTPSYPVFQRSLRLTTVIASAQFLQVVMEILPPWSSIIAKTGTRHL VVLGMSLAALNGCTILWTFEWLLRHGQVKTTSLSDLSQLRWETLGLVLLCLWMVWFLT LVCHSFPLSYASRTDDRSLSGFFRRLKVTFLTGQAIVFMRACVHPQMGFVHAQLELTI INLLLPLFYVFSLLVLRGFYLSSFRKVVVAAPLSLVLAYGIHRHAAIGKGHTYWIISL FLFGAFLRLLQPSRSLFSSADTQKGMKSHPTQRVALRFVLAASGIFTLFLLFMIGFGA LTFLQREKEHFPAMATVQIDSDGRLDVRHASVVRLQLTLATASPPPIAPRPPHYASCG NRWFNLSLVDYALLSQAAYFNPQNNQLKDFVASVFPSASALPMFDIRLPENYTAHGSK VEFFEAYSKDLNVSVISVRGTDVGRFRDFIEDAKMYAEPVIFVILSSIFPTIRMWPDV TFSTLIELYHEMATMFGLTHEYWYYHELLQYVKSIHDRNVILTGHSLGGAIARVVGSV LGKPSVSFSPPGSGQTYSKLVHDIAGQDMQVDRSRLHHESTCVLPEYDPVTMIDTQSG LIQRITCDTPHLSMQLSCHMIQGTLCNLLQHCGDDRGRFSSCQFEHRITSLSQDVFEA VFVDVLSPTTLSGLLMAVALVLSLVRHKIITHRRLSVTAASPSPSNHKTSHDPSR H257_01175 MEYVRQLNLVHMTKMEALLRIVTVDVPTIIFDHVPFSDQAVKYF FVYIMLQALAILWGFLFNLGTMVGENVIDIVTMPFLQASCVLFVHYAVIGIILGIGRT TWILTWQSLTNFPYIRRIMLRESSPQVVVLLSSIPILLLLVSLILVPSFCTNMDTAEV TNTLCKSSYIRYLTPSYPVFQRSLRLTTVIASAQFLQVVMEILPPWSSIIAKTGTRHL VVLGMSLAALNGCTILWTFEWLLRHGQVKTTSLSDLSQLRWETLGLVLLCLWMVWFLT LVCHSFPLSYASRTDDRSLSGFFRRLKVTFLTGQAIVFMRACVHPQMGFVHAQLELTI INLLLPLFYVFSLLVLRGFYLSSFRKVVVAAPLSLVLAYGIHRHAAIGKGHTYWIISL FLFGAFLRLLQPSRSLFSSADTQKGMKSHPTQRVALRFVLAASGIFTLFLLFMIGFGA LTFLQREKEHFPAMATVQIDSDGRLDVRHASVVRLQLTLATASPPPIAPRPPHYASCG NRWFNLSLVDYALLSQAAYFNPQNNQLKDFVASVFPSASALPMFDIRLPENYTAHGSK VEFFEAYSKDLNVSVISVRGTDVGRFRDFIEDAKMYAEPVIFVILSSIFPTIRMWPDV TFSTLIELYHEMATMFGLTHEYWYYHELLQYVKSIHDRNVILTGHSLGGAIARVVGSV LGKPSVSFSPPGIEIRRMNAQTLSSTMVSNRRIWSNVQQTCARHCRPRHASGSQSPPP RVHVCASRVRPRDDDRHPVRTHPTHHVRHAALVDAVVLSHDPRHAVQLAAALRRRPRS VFVVPV H257_01175 MEYVRQLNLVHMTKMEALLRIVTVDVPTIIFDHVPFSDQAVKYF FVYIMLQALAILWGFLFNLGTMVGENVIDIVTMPFLQASCVLFVHYAVIGIILGIGRT TWILTWQSLTNFPYIRRIMLRESSPQVVVLLSSIPILLLLVSLILVPSFCTNMDTAEV TNTLCKSSYIRYLTPSYPVFQRSLRLTTVIASAQFLQVVMEILPPWSSIIAKTGTRHL VVLGMSLAALNGCTILWTFEWLLRHGQVKTTSLSDLSQLRWETLGLVLLCLWMVWFLT LVCHSFPLSYASRTDDRSLSGFFRRLKVTFLTGQAIVFMRACVHPQMGFVHAQLELTI INLLLPLFYVFSLLVLRGFYLSSFRKVVVAAPLSLVLAYGIHRHAAIGKGHTYWIISL FLFGAFLRLLQPSRSLFSSADTQKGMKSHPTQRVALRFVLAASGIFTLFLLFMIGFGA LTFLQREKEHFPAMATVQIDSDGRLDVRHASVVRLQLTLATASPPPIAPRPPHYASCG NRWFNLSLVDYALLSQAAYFNPQNNQLKDFVASVFPSASALPMFDIRLPENYTAHGSK VEFFEAYSKDLNVSVISVRGTDVGRFRDFIEDAKMYAEPVIFVILSSIFPTIRMWPDV TFSTLIELYHEMATMFGLTHEYWYYHELLQYVKSIHDRNVILTGHSLGGAIARVVGSV LGKPSVSFSPPGIEIRRMNAQTLSSTMVSNRRIWSNVQQTCARHCRPRHASGSQSPPP RVHVCASRVRPRDDDRHPVRTHPTVPLIPLRLFGLTLLS H257_01175 MEYVRQLNLVHMTKMEALLRIVTVDVPTIIFDHVPFSDQAVKYF FVYIMLQALAILWGFLFNLGTMVGENVIDIVTMPFLQASCVLFVHYAVIGIILGIGRT TWILTWQSLTNFPYIRRIMLRESSPQVVVLLSSIPILLLLVSLILVPSFCTNMDTAEV TNTLCKSSYIRYLTPSYPVFQRSLRLTTVIASAQFLQVVMEILPPWSSIIAKTGTRHL VVLGMSLAALNGCTILWTFEWLLRHGQVKTTSLSDLSQLRWETLGLVLLCLWMVWFLT LVCHSFPLSYASRTDDRSLSGFFRRLKVTFLTGQAIVFMRACVHPQMGFVHAQLELTI INLLLPLFYVFSLLVLRGFYLSSFRKVVVAAPLSLVLAYGIHRHAAIGKGHTYWIISL FLFGAFLRLLQPSRSLFSSADTQKGMKSHPTQRVALRFVLAASGIFTLFLLFMIGFGA LTFLQREKEHFPAMATVQIDSDGRLDVRHASVVRLQLTLATASPPPIAPRPPHYASCG NRWFNLSLVDYALLSQAAYFNPQNNQLKDFVASVFPSASALPMFDIRLPENYTAHGSK VEFFEAYSKDLNVSVISVRGTDVGRFRDFIEDAKMYAEPVIFVILSSIFPTIRMWPDV TFSTLIELYHEMATMFGLTHEYWYYHELLQYVKSIHDRNVILTGHSLGGAIARVVGSV LGKPSVSFSPPGSGQTYSKLVHDIAGQDMQVDRSRLHHESTCVLPEYDPVTMIDTQSG LIQRSPLYPSVCLV H257_01176 MARLAPYRMKIPDLVKERVAQTLAMTMYLVQTTGPTNYVIQEPN SDKKHRVLIGSVQSCSCGDKEICCHLLFVMLKILRVPASNPVIWQRSLIDSETNMVLT EGYSQVDGGGGRKPFLRRKVLEDEASPETNGDGLGKECVRHTVVNGEVCAICREDMME AQHNLTYCKRGCGNNFHIDCMKILGESRKQAKENIICPLCRHDWGDSALSELKKEADV SNRNPLVHSGASCKQCQTKPIRCQRFRCLQCKHVDLCERCFKSNAHAKHSFVVRKAHK GTWFPALRTVRSSLLSPQAINDLEGRELSNADYDMLMELDAAEKYPLQDYLMANMTGQ HITDTKAWVPAQPNATSWCTVCQQSLRIAASIRSLPCQHTFHESCLLQHVLSNKYTCP NAPACDHVLFPGLHHLSDGKKAPAHPTSDVTSTTGSGMLPALFSVMPLMTKPCGTLLT LDGPMTHKASAPSKGKMDKGSGRRTLPRVNNQLEPDLVLSGGLHLGPNQQKEERAVAS KPHHRPLKPPTIPRHPCPPPDLSSLEASFVLGNSSAYPLRLNPLQKVPHTAPSARLAQ DDITHQQDRTQSSERKRQHVQAVHSRRQNQTNQSASGRLVLPELTVGSSGGPVLNLAD VDRPTIDKGLQVKKAERELKHRQVKERRDQQHSMHTSFTGLNLL H257_01177 MDAGRKKFVVKPFRNNIGMDVNKAKETWQSLRSAIGEIHGHNAS LLSFEELYRNSYNMVLHKHGDMLYSGVVECITEHLVQMADRVIKATDDTLMTQLFAAW TDHTITMTMIRDILMYMDRTYVSQKRKMLIYDMGLVLFLESIARHPQVKDRLKWHLLA NIDLERNGELIDRGVMKDILGMLVDLGVRSNSVYEEDFENEFLSTTAAFYNAEAQRLL DQNTCPDFMEKADRRLTEEHHRVMQYLNSSTELKLKAIVERELIANHAKALVDMEGSG CVAMFRDDKVADLRRMYVLFKRVPATLDDIGTAVTTYIKTTGLDLVQAQLNLQQTQSS STESALQFVNALLTLQDKFMGFLTSCWADDKAFHKCIQRGFEAFMNTSRLCAYTLAQY VDDLLKSKSRFEADLERQADKVVGLFRYLSDKDVFEEFYKRFLAKRLLHAKGSTDEGE KMVIAKLKAECGYQFTSKLEGMFKDMAMTKDLMDGFKKLNPLLSVQVLTMGYWPTETS SAASHLPPGVRSWVQRFETFYFGRHNGRKLTWLHNMGSADIKATFGHGDTMVRHELTV STFQMCILMLFNERPTWVFREIQEKTGIDPVDLRRHLISLCTPKFRIVLKSSKGKTIE DDDVFTINHEYKSKLHKVRIPLVSVKDSPGSAESMAAPPTELPPTVEEDRKHLVEAAI VRIMKTRKSMQHNNLMTEVTRQLTTRFVPSPQMIKRRIESLIEREYLTRNQSDRRLYN YVA H257_01177 MDAGRKKFVVKPFRNNIGMDVNKAKETWQSLRSAIGEIHGHNAS LLSFEELYRNSYNMVLHKHGDMLYSGVVECITEHLVQMADRVIKATDDTLMTQLFAAW TDHTITMTMIRDILMYMDRTYVSQKRKMLIYDMGLVLFLESIARHPQVKDRLKWHLLA NIDLERNGELIDRGVMKDILGMLVDLGVRSNSVYEEDFENEFLSTTAAFYNAEAQRLL DQNTCPDFMEKADRRLTEEHHRVMQYLNSSTELKLKAIVERELIANHAKALVDMEGSG CVAMFRDDKVADLRRMYVLFKRVPATLDDIGTAVTTYIKTTGLDLVQAQLNLQQTQSS STESALQFVNALLTLQDKFMGFLTSCWADDKAFHKCIQRGFEAFMNTSRLCAYTLAQY VDDLLKSKSRFEADLERQADKVVGLFRYLSDKDVFEEFYKRFLAKRLLHAKGSTDEGE KMVIAKLKAECGYQFTSKLEGMFKDMAMTKDLMDGFKKLNPLLSVQVLTMGYWPTETS SAASHLPPGVRSWVQRFETFYFGRHNGRKLTWLHNMGSADIKATFGHGRTKPMARGRH H257_01178 MAFDFAAFLALVGLGFLVLFAFLGFFAFCAFFTFFRVFVFFVVV GGAVVSCMTEDSVNPRPATMSAATLSFARVVSSGSSVVCSSVPSRRARPPVKAHKAAT MMATRVNFILAPKS H257_01179 MSADDGIPEGTLQNLIDQKSLRWIFVGGKGGVGKTTTSCCLGVT LASHREKVLIVSTDPAHNLSDAFSQRFTREPTLVNGFSNLFVMEIDPTIEMEESADGV SDESGMQSFMKDLTNSIPGIDEAMSFAELMKQIQTMDYDVIVFDTAPTGHTLRLLSFP TALDKAFGKIMALKNQFSGMFGQVSAMLGGALPSEEALVGKLEQTREVIQKVNAQFKD ADRTTFVCVCIPEFLSLYETERLVQELTKYDIDVHNVVVNQVLYPEEGSTCNNCSARQ KMQQKYLDQIYDLYEDFHVLEMPLLNEEVRGVPALKSFAKNMITPYQG H257_01180 MGERKVLNKYFPPDFDPRLIPRRKIPKNKQIEVRMMLPFSIQCN ACGEFMYQGKKFNSRKEDVMDEDYFGVKIFRFYIRCSMCSNEITFKTDPKNGDYATEH GCKRNFEQWREREADEAAILKEREEEEKGDSMKALENRTLDSKREMDIIDALDEIKAI NQRHAKVDTDAILTKFKQVDEPAPSEHILDEAAIQAQMEAFRKRKLAPTESINVANKV AKSSGAGLTSDHTNNDVVVAPKPSIKIKAKTPKTNKIAASKPVKAPVVALVGAYSDSS GDSS H257_01181 MGERKVLNKYFPPDFDPRLIPRRKIPKNKQIEVRM H257_01182 MIDMTGMIIVFCMLPLQKEMSFLLCYCSNMRRVHKAKHADPTAP TAAAALDGAVRQFERARDDAVKAIDSLSSSVANVADVATSTSSPPDRAALIAQTVDDF VKYLVTRFDVSNLEGLTSLAKAFVFHPSEKSPQVDAFVANGLDVVLHARRCAAQGVPG TLVVLPATADEALESLTDGQIEFLQLKVAQVKRDRRAVAEGKPNAVGAGGSVPVKPNR KIPKVTKVPRPSQVLA H257_01183 MYVVKRDGRQEEVKFDKITGRISKLCYGLDAKYVNPILIAQKVV AGVYAGVTTSELDELAAETAAYQSTQHPDFSKLAARISISNLHKNTNKLFSDNIELFY GNKHAKTGAAAPLIADDVYKIIMDNKEVLNSAIITDRDFEYDYFGFKTLEKSYLLRVG DKVCERPQHLIMRVAVGIHKEDIESVLTTYEYMSQLYFTHATPTLFNAGTPRPQLSSC FLLSMKDDSIEGIYDTLKNCACISKWAGGIGVNIHNIRATNSYIRGTNGSSNGIIPML RVFNDTARYVDQGGGKRKGSFAMYLEPWHADIFEFLDLKKNHGNELHRARDLFYAMWI PDLFMKRVESNGDWSLFCPNEAPGLHECWGAEFEALFHKYESEGRARKTIKAQQLWFA ILESQVETGTPYMLYKDACNGKSNQQNLGTIKSSNLCCEIIEYTSKDEVAVCNLASIA LSKFVENAVFDFAHLHKIAKVVTTNLNKVIDVNYYPIQEARNSNMRHRPIGIGVQGLA DAFILMGYSFESVEAKVLNQQIFETIYHAAMETSMELAKSQGPYETYEGSPVSQGKFQ YDLWGVTPSDLWDWASLKAQVAQHGIRNSLLLAPMPTASTAQILGNNESIEPFTSNMY SRRVLAGEFTIVNKYLMKELISLGLWNSDVRNQILHDRGSVQNVASIPANVKEVYKTV WEIKQKVVLDLAADRGAFICQSQSMNVHIADPSISKLTSMHFYAWKRGLKTGMYYLRS RPKADAIQFTVDQAAIKKQQAANAGKAPKPVEPEEEECLSCGA H257_01184 MAPADDGYDLIVSGDCGGTNTRLTLWRVPHNSVHLKGKIAPGEL VFNQKYLNENHGSFVEVVHLFLKEADVDDPPICCVLACAGPVLNNSVVFTNIAFGWSI NGDNLQRELGIANVKLVNDFVAMGYGLLTLREHEYLALNDVPREVDAPIATIGAGTGL GECFLTPSGESYECFPTEGGHCDYSPTTDIEVEIYDKLRNKFGPNKRLSTERIISGNG LASIYEHLAEKFPEKVNSTVHAEFESTDSLRGGVVGKNAGSDELCSQAMEIFVKGYGR EAGNAALKYLPRGGLYITGGMAPKNLDFFTKKSWFLDALFDKGRVTPALKSVPIYLVL TEDLGERGAHYYSYQLLNKSRGQVAAAVAPTAAVEPLVSKKSSSGVVADSAKYIAVVT AALVGVTIGFRLGKK H257_01185 MHHDTSFHFPFRMPRSSTDKKVRTKVAPHSAFVCQYTSKRCDRA RSAKKNGQLHRLCEYHRGKANRFQKAYKLRVTKASPPDSPVVPLTPPLAADDDNNDGL DPIPFFSTDCDLSWNAEDYQMLCDAIITAA H257_01186 MLRHHLRRAFGLQKPRCAHLSTSVSPKEYDLIVIGSGPSGLQCA LESAKMGKSVAIIDKSEEIGGVCVHTGTIPSKTFREAVLHLSGFNHHGFYGKSYSRER ITLSDILHRVNVVVSSEMDVIRAQLKAAHVQIIPGKARFENANEVSVENGTVHREAMI YRGKKFLIACGTYPAHSPVVPVDGEVILDSDGILEASKGELPKSWIVVGAGVIGMEYA SMLNVLPGVAVTVVDGRPDILTFCDDEIVSALKMEMRHKGARFLLGETIQSVTRVAKG VRVDFASGKSSFAEALLYCVGRQANTDALNLEGVGLAKNKRGLLTVNKQYQTSQPHIY AAGDCIGAPSLASTSLEQGRLAACDMWHHEDQPTSQLDSGNYPYGIYTIPEISMVGKT EQQLTKEGRNYAVGMAKYSELAKGMMAGGQKGALKILFDPDTQSVLGVHAIGQGATEI IHIGQVAMAMNCKITYFRDAVFNYPTLAEAYRVAALNGLGRLTKEL H257_01186 MWSCRLKWTSSEPSSKQRTSRSFPARRALKMPTKCRSKTAPSTV KRTRLLRVVVHISILSMIYRGKKFLIACGTYPAHSPVVPVDGEVILDSDGILEASKGE LPKSWIVVGAGVIGMEYASMLNVLPGVAVTVVDGRPDILTFCDDEIVSALKMEMRHKG ARFLLGETIQSVTRVAKGVRVDFASGKSSFAEALLYCVGRQANTDALNLEGVGLAKNK RGLLTVNKQYQTSQPHIYAAGDCIGAPSLASTSLEQGRLAACDMWHHEDQPTSQLDSG NYPYGIYTIPEISMVGKTEQQLTKEGRNYAVGMAKYSELAKGMMAGGQKGALKILFDP DTQSVLGVHAIGQGATEIIHIGQVAMAMNCKITYFRDAVFNYPTLAEAYRVAALNGLG RLTKEL H257_01186 MLRHHLRRAFGLQKPRCAHLSTSVSPKEYDLIVIGSGPSGLQCA LESAKMGKSVAIIDKSEEIGGVCVHTGTIPSKTFREAVLHLSGFNHHGFYGKSYSRER ITLSDILHRVNVVVSSEMDVIRAQLKAAHVQIIPGKARFENANEVSVENGTVHREAMI YRGKKFLIACGTYPAHSPVVPVDGEVILDSDGILEASKGELPKSWIVVGAGVIGMEYA SMLNVLPGVAVTVVDGRPDILTFCDDEIVSALKMEMRHKGARFLLGETIQSVTRVAKG VRVDFASGKSSFAEALLYCVGRQANTDALNLEGVGLAKNKRGLLTVNKQYQTSQPHIY AAGDCIGAPSLASTSLEQGRLAACDMWHHEDQPTSQLDSGNYPYGIYTIPEISMVGKT EQQLTKEGRNYAVGMAKYSELAKGMMAGGQKGALKILFDPDTQSVSYRTSRRRSHFAC RCSACMRSGKARRKSFILAKSRWP H257_01187 MVLLYSDGSPTTEISDDDLKQALVTALDKFHGKDKRKVMFVPPD FTRFHSKSGIVTQHAYEYFGEHVKDIMPALGTHAPMTPIEISKMFGAVPHDLFRVHDW RWVCMLFLPAYSYLHRNDVVKIGEVPRELVREASDGQVDEPWPAQLNKLIWEGGHDLI LSIGQVVPHEVMGMANYNKNLFVGTGGSDAINFSHFIGAVYGMERMMGRANNPLRRIL NYASDHFLTHLPIVYVQTVIGRRDDGSVVTRGVYIGTDDECFTKAAALSLQVNFILLP APLHEVVVHLDADEFKTTWLGNKSIYRTRMAIADGGTLVVLAPGVTHFGEDKQIDLLI RKYGYRTTPEVLAHIAANRDLMKNLSAAAHLIHGSPENRFRVVYACAPNGLTKEEVEG VGFEYAKLDDMLALYQIDGRQDGWNTTEGGKRSFYYVSNPAMGLWAYEGRFEASQLPH VPPAEAPVQVLTALHGNEVCVDAGVGGGPFTKADHDKLLE H257_01187 MVLLYSDGSPTTEISDDDLKQALVTALDKFHGKDKRKVMFVPPD FTRFHSKSGIVTQHAYEYFGEHVKDIMPALGTHAPMTPIEISKMFGAVPHDLFRVHDW RNDVVKIGEVPRELVREASDGQVDEPWPAQLNKLIWEGGHDLILSIGQVVPHEVMGMA NYNKNLFVGTGGSDAINFSHFIGAVYGMERMMGRANNPLRRILNYASDHFLTHLPIVY VQTVIGRRDDGSVVTRGVYIGTDDECFTKAAALSLQVNFILLPAPLHEVVVHLDADEF KTTWLGNKSIYRTRMAIADGGTLVVLAPGVTHFGEDKQIDLLIRKYGYRTTPEVLAHI AANRDLMKNLSAAAHLIHGSPENRFRVVYACAPNGLTKEEVEGVGFEYAKLDDMLALY QIDGRQDGWNTTEGGKRSFYYVSNPAMGLWAYEGRFEASQLPHVPPAEAPVQVLTALH GNEVCVDAGVGGGPFTKADHDKLLE H257_01188 MTVVSLVAAVIFTIIMVLAIVFYISLVFGAPYGEYCMGGRFSGR LSTQSRLICLLLQVPLLVLMALVVLARADVAFPTFYSSWLIWVVVTIMGFSLLMNVIM ASSWDQYIWAPQVIACFVCSLCVALDA H257_01189 MKMALAVRRLSHQPQQPPRVIASLRSLRALPPSKALKFRWKGNV DGFVFLSGDTPHAYANRCSHVALELDLNDADFFSHGFIQCKVHGAMFDPESGLCLRPP PQCKRLHPLRRIPLVVEADNVLLSDGHPPAPSQTQIFDDTYLRDKQVQLQAAMDADAM DIQAEVDAINQRSLRLMAAAKRHTKPTK H257_01189 MKMALAVRRLSHQPQQPPRVIASLRSLRALPPSKALKFRWKGNV DGFVFLSGDTPHAYANRCSHVALELDLNDADFFSHGFIQCKVHGAMFDPESGLCLRPP PQCKRLHVRCLMTSSSQECVAASTDTTCCGGRQCAAERWPSTCTVTNSDI H257_01190 MDVAMCVLTTDTGHVLLNYAAQDTSISASHLGSLVATLQQFSHG QSMSLLQLDTYHIVVVSSPLIPVLCCLVSTTSENLAAVKLVGSYILHECLQQHRATIV SIVQQAKSDAESMAHEYTLTSALRGQSSTDTHDDLGGFQASCIAPCVMNSLNHKLGRS LTDAKLSPAIAAVWVGHVDSIDMAFQWHQVPPNTLAPWILLPCLTFHRFLHALADPTQ RSLTLQLPHEPLSALVECHWFIALHLLHLDGFGVAVLYSQDGAVLPLPPKRCHPSNPL VEATGMLDIDGKPWAFRKGPADAPPHDAVQALLAVLTAPKSSLPKPLAVQIT H257_01190 MDVAMCVLTTDTGHVLLNYAAQDTSISASHLGSLVATLQQFSHG QSMSLLQLDTYHIVVVSSPLIPVLCCLVSTTSENLAAVKLVGSYILHECLQQHRATIV SIVQQAKSDAESMAHEYTLTSALRGQSSTDTHDDLGGFQASCIAPCVMNSLNHKLGRS LTDAKLSPAIAAVWVGHVDSIDMAFQWHQVPPNTLAPWILLPCLTFHRFLHALADPTQ RSLTLQLPHEPLSARAL H257_01191 MHRRLGALQKLKALVDTGALSPKDATHRAIQMLESTPQPVRLQE PRLAKISNELLALTLNGSDRASASTTTIQGRLNRLIQEDMRMEDQFKVSDTVHLPQTV EASTTAQLRLIKKLDHLKGSIDDGSLQPLDAARRAMQIARSAPNDTVLDHLASKHLHD ILHLVDDTRIRDSMHQQLEALQLIPSSSPPSASSAPPSTPSSSLPTPAILGDTVHFAK LYTAFDLGHHRQAFDLLAQITPAMWKRTPHANRHQVIKVSSMAITSMAHDDDHPVAAQ HFLQFVQSVFRAKGLPLNWINQPTAIAAVAAASVQVHDSATLLLLYKSQVRDAKRAKG YFAQRATTKSIKSTSNNAHKTILPPSTALFTAVVDTLGALHRQTALPEIIRAFPGPVG HGGLLALLRVLTLPSSAPHVVQLAASFPLQSFVDSQFQAPLLRAMLKVDLVVQAYDAL LHMHTLGQSSVAKQIPSSVQTDILVELYKLKAFDRFHTAYDELWTRGYQVGLLEFRAL SNVVPPAVLQTMLGRKEYRQCLQEAQRRASRQAQRSHEMELAAGLDLRAAPDSMCAEM LNLHLKALSRPEDVILFVSAANQAVVEAALVADGLSFVARHHHQLVVPAQSVDQFMQR NERQRTRDFVLKFLTLRGLAVYSIVVGGVAIATTTT H257_01192 MHRDEEQEALLSEASTSVRQSSFYMKRAMDAGTTEADIAVVLKH AADFLRELRTSLLSPKNYYELYMLVVDELRELSGYVDTLRSSVNLRTLYEQVQQSGNI VPRLYLLVTVGTVFMRHDASVTKDILNDLVEMVKGVQHAQRGLFLRHYLVVSVKEHLG GVDIADAVSFLLQNWDETIQLWIRMQHQSNIKDKKQREKERQELKTLVGTSLVRLSQR DDVTTSLYTTTLLPKILAIVVKARDKIAQEYLTDCLIQVFPDEFHLDSIQLFLDAMAN LHPQVDISEPVVALLTRLAKYHHATPNHVFPPQAQLYDTLMGCTENAVAQRETEVSSA SLLRLYVGVLEFVLACFHNPLPSMSRTAVSATAFLVRVKMRSDAVVEAGERLALVPLE ALGVAALEDPALEASVVTTLQWLPVPNRKRAAYRFCTSVIKRRVSITEPATAEKVFTL LLPLIRDEVNPADLSAPSRATVEREQHALAKLTHLLVHPTPSTQFEMYSHARRLLGQG GLERIRFTLVPLVLLSLQLARRVFQAESDGSGASGVSTRAILQFVHEMATALASKVEG TVESTLSVNLFVQCALAADQCRLDAIAYEFFTQAFVVYEDQLSQSSQQVAALELLLGA LSQVRDIRQANYDTLATKLTQYVAKLVKKKEQSGMVATCAHLFWRKAEGSASGNGVRV LECLQRALKIADASGIGAAAQVGLFVDILDEYLYYFIGRTPEVTKQYVVGLIALVKEH LETMEPGQARADVETHYRNTLKYIEGVEF H257_01193 MSDSISQTKVPQDEFEVLQQLGEGSYGKVYKALNRLTARVVALK VVPIETDDKEMMELTKEIRILEKCSSPFIVQYYGSILYENNLWIEMEFCEAGSVADMM RVSQTCLTEKEVAGVCANIVKGLAYLHEQKNIHRDIKAGNVLLSSSGLAKLADFGVSA QLTNTINKRKTVIGTPFWMAPEVIQETQYDGKADIWSLGITAIEMAEGDPPLSNMHPM RAIFMIPSRPPPTLGDPSRFSPQFADFLAMCLKKDATQRPSAEALLAHPFVKKDVDKL EHVLPGGLPALQELVDRNLDALSQDREGPVDMNDVQIHGVDDTSSSCSGTMVNVNPID AERRDDAGYGTMVFRGNTGKGLKPGSRDTTELYGTVVNRGSIVVQSAEAVKELSKKSS EPSFMKYFRMGSDLSANAEGNLILSKDSTIRPSSATGDVQSITQDLLQLEARYNADRA ALEEAYLMEKARLEGKLTAAARD H257_01193 MSDSISQTKVPQDEFEVLQQLGEGSYGKVYKALNRLTARVVALK VVPIETDDKEMMELTKEIRILEKCSSPFIVQYYGSILYENNLWIEMEFCEAGSVADMM RVSQTCLTEKEVAGVCANIVKGLAYLHEQKNIHRDIKAGNVLLSSSGLAKLADFGVSA QLTNTINKRKTVIGTPFWMAPEVIQETQYDGKADIWSLGITAIEMAEGDPPLSNMHPM RAIFMIPSRPPPTLGDPSRFSPQFADFLAMCLKKDATQRPSAEALLAHPFVKKDVDKL EHVLPGGLPALQELVDRNLDALSQDREGPVDMNDVQIHGVDDTSSSCSGTMVNVNPID AERRDDAGYGTMVFRGNTGKGLKPGSRDTTELYGTVVNRGDDDTAHAMVSHGILGSIV VQSAEAVKELSKKSSEPSFMKYFRMGSDLSANAEGNLILSKDSTIRPSSATGDVQSIT QDLLQLEARYNADRAALEEAYLMEKARLEGKLTAAARD H257_01193 MSDSISQTKVPQDEFEVLQQLGEGSYGKVYKALNRLTARVVALK VVPIETDDKEMMELTKEIRILEKCSSPFIVQYYGSILYENNLWIEMEFCEAGSVADMM RVSQTCLTEKEVAGVCANIVKGLAYLHEQKNIHRDIKAGNVLLSSSGLAKLADFGVSA QLTNTINKRKTVIGTPFWMAPEVIQETQYDGKADIWSLGITAIEMAEGDPPLSNMHPM RAIFMIPSRPPPTLGDPSRFSPQFADFLAMCLKKDATQRPSAEALLAHPFVKKDVDKL EHVLPGGLPALQELVDRNLDALSQDREGPVDMNDVQIHGVDDTSSSCSGTMVNVNPID AERRDDAGYGTMVFRGNTGKGLKPGSRDTTELYGTVVNRGSIVVQSAEAVKELSKKSS EPSFMKYFRMGSDLSANAEGNLILSKDSTIRPSSATGDVCLVIVGV H257_01193 MSDSISQTKVPQDEFEVLQQLGEGSYGKVYKALNRLTARVVALK VVPIETDDKEMMELTKEIRILEKCSSPFIVQYYGSILYENNLWIEMEFCEAGSVADMM RVSQTCLTEKEVAGVCANIVKGLAYLHEQKNIHRDIKAGNVLLSSSGLAKLADFGVSA QLTNTINKRKTVIGTPFWMAPEVIQETQYDGKADIWSLGITAIEMAEGDPPLSNMHPM RAIFMIPSRPPPTLGDPSRFSPQFADFLAMCLKKDATQRPSAEALLAHPFVKKDVDKL EHVLPGGLPALQELVDRNLDALSQDREGPVDMNDVQIHGVDDTSSSCSGTMVNVNPID AERRDDAGYGTMVFRGNTGKGLKPGSRDTTELYGTVVNRGDDDTAHAMVSHGILGSIV VQSAEAVKELSKKSSEPSFMKYFRMGSDLSANAEGNLILSKDSTIRPSSATGDVCLVI VGV H257_01194 MLRLVKPSIHVANRARWALVKRAPFSSLPEGVTRLVMPALSPTM ESGTIAAWLKKEGEVASAGDVLCQVETDKAVVDYEMQDDAVLAKILVQPGTAVDVGTL LAFTVDDEETYQALVSSGDLNKLTTDAIVAPSAPTTEAAAPVTAAPAADHADTHRVPR IHFLGKRSLLPADHHAVAVKAAPAQAPAAAAPVAKSAPVAPAVASDGDFTDLPLSNMR KIIAKRLTASKVTVPHAYASIDCEIDAIMTLRARLKKQHGVAVSLNDFLLKAVASALR DVPEANCGWDAATHSIVPNASVDISVAVATDSGLITPIVPKVQALGLTSINSTFKELV ARARANKLKPEEFQGGSFTVSNLGGFGVDNFTAVINPPQACIMAVGRGRAELVVPTDE TLPPRKVTLLNVTISSDRRVVDDVIAGQFLQAFKKYMEEPDLMLL H257_01196 MTDNLAWRLVTAKDIDALAAIHDSIACRQILYDIFAFHGLASST SAPLDLLLDLYMYLYSFCKEHELTPLKTSVVLAIMHRVILRDLFLQSHDHGKQSPWTL PESFEHFQSLLLQHSVERPPVSTGIFDAVDVATTVEYVTHSYYRQYHLYQTIFIPQTH VSIVQVWYFVTLVH H257_01195 MSETAPAPRVNKAIMAQFVGHTVSLVGSIESWTGSQAVLKTSDN GFVTVHPQPGADYSSKFVEVIGAVQSDGTLKEFKCTSFGDDFGSTSSSHASIHTIAQL TCDDRLDELRQACAVDARQILSVVCLMEFCILYHGISYDSTTAMCSSESEGGGGGSFE RSMMAC H257_01195 MSETAPAPRVNKAIMAQFVGHTVSLVGSIESWTGSQAVLKTSDN GFVTVHPQPGADYSSKFVEVIGAVQSDGTLKEFKCTSFGDDFDLTNYDKLVQLTHGKF SPLFV H257_01197 MSEVQAIVEKLNKDPFNHNFTLVAFDEKSNFELLQVLNEVFAEM DSRHKIDIRDELDEQRTYRYMETLQLLKYQLPPDIDSFREGLSHGERYVVYPILYWAL KNFNVHKKRAYLGRFLAPLQVPQEFLGNDSLNTMHEHYKALQNEFKGVHKQVEQLRTS KIRPGELRKEITQLEEESHQLSEKIAHLKKKTASETGFKDILEATSSLRKEQEEQAKL SERKRDQMMGLNMAEKRSREYEHRVSEMRAAINTDMQPDQLFDQLQSQVDRHRDILVN KFPAEFRIQQEKLQHLEAALNEPAKTEGDIADMEDEIQNLKSSIQNLTEQLNDAQRAA GDDKLAIFRQHANLQTKKLNDKLDELAAAKHEKQTLQRQLEEQEAKMAEVSGPKFMKR EEFKQYANTLRNKTNQYKKMKAELAEITAESVVLHRTEQVLKSRDSDLDGLLKEIEAT KGVMGYMDTQGKLNEISERNAQVNAFKGETLEEISRIVTDINQTLKERKNQLAPQIKD LRAVRQKYQEMEQTYLEKKAQYDNTAVGLETERIKLEQECAAFQDDCLREESQFHHLN CQIEIEIAKLDKVTQEEEFEKGNGKLLRDFRTFQELYKNKVNQQESLTKELRKQQKTL KTNLGDYVVQRGLFDQLLKLLQCKIKLTKSEQDITLKQDFTNADIAQFDVGGADVMTI ES H257_01198 MSFCVVSSSPLSHLLASMWPSISVVQLCLTGLADLSMLPAIDVI QKNRRHFELYVALLHIAVSLAHNISQSFDIPLFLSVDDWHNMSDVLWIAYFLLLCIHV LGLKDIQHTMILRYVAFSCAWMAKTKDTWTTNTYSTALVSVAITLVVVTRLALRKSLL PIQLTEAGYAVAAGAVAMVLFLSPRIVHMGEVPFFTVVVPTFHLALGTMFYFGWKCVA APAKLWDDSLTAHFI H257_01199 MTTPLPLAAMQDEMLNAVLAFLSLDEVISLACASKTLRAGLHAA SIDFWRHLDLANATSSSLRQLVPFAKHIQTLTCMKSTASEEVWIHFACHTQLLQVLNV AGSKHFTDVALVSFVDSNADSLVQVHADNCAYIATTLSLAKCAPRLRVLSFNRCRQLT TNDMIGLVVNAPQLTVLNLKGCPHINPVAVLTSVASNCPQLHTLTLGGSGRFAKDTDL HLASAFNSFTTSLALECLDLSCSNPFGSRSPLSNDGLVPVLRSSPSLKALYLKGHSNL TRDVLDAMPRGLTTLDLTGCTQLASDLNALADLKSLEQLVMLWCPNVDVAAVQLLQDT NANLTKVDVEATVVAESTTQLVGVH H257_01200 MSGKPPRGHAAAASLSAPVGVLQEGDVVWAKMPGFPLWPSIVFF SAQSLFDHGLQIPPTIRATIDQPMVCFLDSMQYGSVMRSSIKPYLSYDVKLAFQGLKS KKGLRPSLVVAVKRAEEILYQRSCTPWVKEDYDAIHVGPVQDTAKPRPVDMSVAISSD DDEHVEESEENESDDDFQAAPSKKARPSSRRAVSTKVEPMTPPSKRTRPTRATAAVVK EEVPPQLKPASRRGKPSPSPAKVELRQSPHPTRASARHKLVIPDEVEEVIDELPVAAT TKLSAAATDKHARLQGDHVTESPDNVIDDVAMKSDDESAGIEEEDEGGDGLGALTDEQ IAFLEQKLAKEKAKREKLRQGTAEPAVKANDQVNIQADIAPEKTKIPRRYVKPPSKGA PSDISYSRDTKRNQVKAEARTPRDEDEHRHAARVREAFALLKHPYVKPDFDKWINMSE AEKKADLELQKRHKRAEKLLEDELKASEARNRLRPPAKRPSNNYDEWESDQPKPAAPR DKPPAKPTTPHSSERSRSPPRTKKRAKAAYPPAVAPHRQGDKKLPPLPPPAAHERDAV KREEAAVRPSLKREANDAAEPPHQPRKKPTPPTPKPPPERREDVASRIQMVDVSTPLE VAVVKEHKAPVPPPKPKRGRPRKSPVVDSDDDVIEMWKPPQQLHQKIHQHHPPLWKKS KPQHQQRSLTPYRGPVQQSPLSLIWQRTNTSFRCHTDLVWDNTVFKDPVQPSGYSLDM AAAGLDAAPPVRGKRQVRSVQQSQIRHKLMANNLDPHTMVECIQYANDGKSQAESKTL VQPYDVRVHPDAMFVCDLHAHLAICEIIGFLGGRFDDATNTVFIHAAFPCRSLLIEGD DGSTDVEMDPESELDLRELIRKSHLDVVGWYHSHPAFAPDPSVRDIENQSNYQTLFET SMDKKQQKPFVGLIVGTYDPKRTVPAGLFRFFHVRGEKVYIYCVLW H257_01201 MLLALVDAVSRDECKQGAAGHQGPVTTSDFDDQDEVVVWSVEEQ RQFQRAYVRGDPVDSMVIPSKSSDAIADFFDEFTRGTTRTGGELRGRVLSFSPLRVAI HRPPQLHAADASRDRLRTKYGRGVLDCVEQVLQLVDYYRTFDRRVNLKDPWFKKMNKL DKIRQSLREYAKDLDLPHGSHQAFVEDIVQYLDLSWS H257_01202 MLSRAFVVVARPRGVMMKRMFSVAESNAPTDAPKLVLRELKEIP RDMRRKLRQQAEAANEGSTIKTSRTPVSRVALRLFLLTSGAGIAGWNFYLEESVRATV RETVHSTFLGTAYDWLVVQIKEICRPFTEPSRDKLLPDWPMPGYGIPEGFPPLPTLVL AVEDVLLHSEWDRKYGWRHAKRPHVDEFMETLSRHYEIVLYSSESQGLVEEVLAKLNQ KGCAFHYLFRDATHFINNAHVKDLAPMNRDIKRIILIDTKPENFQLQPENAICIPPYT DGQDRSDRQLKDLIPFLVAVAEGKVQDVPKVLADFRDSDGVVRDVPSKYNAKVHALDE RKRQAEARGLGGFVRGRLHSSRPPTALKPLK H257_01203 MATCSTNPPRYAEKAQVVLVKKSPNAAEVMSFLSSCGPFTCKQV DKCPVDLMFCAQARTFPAIPFMFITSATSDVVAVTKERARHATTLFPFVHAIILFPSA LPPRTFRELQSIHLNQDLSDKIQIVPASSIETAVHFMLSVRSKQSFQAQERTAEFYAR LWAQVESKAHTVRIFQSNMPFLHEDECEMLLDVFGSIAGLSRVSEQDFLDQTVLDADV IKLLVEYFEPVS H257_01203 MATCSTNPPRYAEKAQVVLVKKSPNAAEVMSFLSSCGPFTCKQV DKCPVDLMFCAQARTFPAIPFMFITSATSDVVAVTKERARHATTLFPFVHAIILFPSA LPPRTFRELQSIHLNQDLSDKIQIVPASSIETAVHFMLSVRSKQSFQAQERTAEFYAR VR H257_01204 MRQAFHSSQLSIGDRTSIRHITGVEAKRLSEVRQSNETTPTSPR DAAATQVMCQRRVNQRIMHDLALRNRVMEETESKKAKNYEVSLRAAHTATERIKAKKR RELKALDDGVEVLILNQPSSIEAMNIARMLSPRFAEIIAFTPDIAKNSADDVRLKGLL DRDRVGSYYR H257_01205 MTYSNVKTYRASCAWQTRASRRTWCAAGVSGENCWCRRAGRLIS CNIPSRCGSRTGRPCTRGTCLRPSGRARTTSCAASGTCWLWRGCPGTLCARTFGTAFL SLA H257_01206 MATRSPTKLALDKAMHRPASPSNKSPVKKALLKHWDAQSEGEDS AESTRDTSQLRTELLHKVLQLLDQDASVVDFVASLVDKVSTLPLQDATMRTAFLAQLH DVHPTKPGRTSGRTRCLNPHSCRAFILACANEIVDLDENDPSFDYAETCVDSDEFKDL ALRPVTPLNPPRVLHVHLPNVDYSETLSSCSSQPNARTSSPPADVAMRFRRVLRQHFD YASSAHASTCSSECESDECLQHAFSKLHQHAQDLAQKRLVFAEFKRQMPMEPMSPPKR QLTAPRYHRPHDPPMKELASKFQSFEALKANRSSSPVNVGRKKRAFYKFKTQHAGAPQ HIHVQSHGHRPKVAASAFLKDCALSALLVALAFIAFDELKLW H257_01207 MAEQRKSISSTDSRPWWATGELTGTGSGSHTCSSLMDMPDTKLV LLHRSSLKMPSQLSTDNRPSYESVSEKNSPQSRWPPNEWPDKRISTLPCLCVDLVSLA TDRFWRSNLRWMRLAFSR H257_01208 MGVDTAPLVAMPPMSWAHQPAFRTKGELLQGRRKENLRVASKFS TEHGGTKAKSNGRRYVMSGAMHPRPHISTLERGQVDHIVFDDDEDRTPTKTRSELHHS RREADLRQLQSVYRSAGSGEPQQTSPPLESIKMSKADKAQRLKDRAGRTRTNIQAERA QERVSINQIYQALLAQAPVVVEAQARTAAIEWWKREPGYVEDPSGMVAASHRVRKSTS HELKFDGSKTRTVTVEELLEKRAKCSQIPGKITQTTFRPSTAGARVPHNVKWTNAIIQ FSRTPTENAELMDKYDLKFKGPLDSSFTKDKLFREDRIKGLPQRVPTPPVNPHAIDRS AKATNKLLADCASKRLALNARKAARGSSSARVEAQVLQPTYSKAVTSAPASTVRASGF DHIDVDRILHWNANASVDLAIKKHGLS H257_01209 MARRLPNILVTGTPGTGKTTLCQLLAERTPLRNVNVGDLVKEHE LHAGRDEDFDCFILDEDKVVDDMDDMMSSADGGIVVDFHTCDFFPERWFDLVVVLRTD NTTLFDRLTNRGYTAKKVSENVECEIMQVVLQDAQESYVPEIVQELTSVTVADMETNV DRIVSWMNHWVATQQQQQ H257_01210 MALLRPLRLTHVLWSFGVYLVVWVAISISILHVLDVDYHSTFSS ILPSKNHAVSNSLHRHRKPKQSVDQEYAAYYNFTQCYRQVDYGLLDSIVPTVVCQSSK SSPQSTTITHLTSLGWNDGSRWQNFALDFSSAPVVSSIRNASEEGGHHDPRYRLTSSR LRCQCDLSPNASSFLVEKFFPSNDTSMSWQCDDSSILPPAPPVVVVKPTALLVARKDD HNPFFQLAATLNAWALLKHLQIDSTTTQLFVLDDGEPMPTDVLMQTLLAPRHPVTYGR DVVGQTIAFHQAVFLPFEFAGPLVEHLNDEQLCHDSRLVADFRADVFRAFGLPLVPHS TTFNATTGDKRDCIITIVSRRDYGGRRIARMWLNEHEMVLKMQAKYPMCTVQSVDFAT KTMAEQIQLVAASRVVVGMHGAGMANVMFASPNTFVVEIFPLSTFRYGYRNLCQYLGL EYVEFRDGADTPWPESHKFIPEADWFKTFDLIMNVVLNDNERVKYDARQHVQRKIHRQ HILDMEFD H257_01211 MWSSSALRVSRSMLHSRVPSAIVRTSVKPMKPFNIQTSHAGRVS CRPTSSVATKPSGNAISWVTACATGGFAGVVSALTGVGGGIIILPTLAKWTNLTQQTI NGTSIAAVTVSASVGSANYLSAGACNVPMAVLVSCSSVFFTKLGVQLAHKLSQVHLRR VVGTAMLISVPFIYFKDHLQELKRSNGPAVPHELSDKLDLQFFNDHPSLSSYVDAVST DKRGFAAVNAKYLVAGALSGFISGLCGLGGGILMTTYLTAASDMPQSHIIGTSLLGIV PVGLSSTYHNMKKQSLHLPTALKIGVGLVAGVALTSKFITLQMSQENLRLILGSTLAM SAIVMLKKA H257_01211 MWSSSALRVSRSMLHSRVPSAIVRTSVKPMKPFNIQTSHAGRVS CRPTSSVATKPSGNAISWVTACATGGFAGVVSALTGVGGGIIILPTLAKWTNLTQQTI NGTSIAAVTVSASVGSANYLSAGACNVPMAVLVSCSSVFFTKLGVQLAHKLSQVHLRR VVGTAMLISVPFIYFKDHLQELKRSNGPAVPHELSDKLDLQFFNDHPSLSSYVDAVST DKRGFAAVNAKYLVAGALSGFISGLCGLGGGILVRPLVLLG H257_01212 MSWIWGSDATAEQANMVVMSLQGELDERQATIEVLVKALKKEKE EYKQALVDEVHDLRERKQALQDELAHIDALISAKTQQITLGDKPKPKVRATVAVGSTA SPAQAAATSSSSSQPTSAPVDKPRKKKAAPITKNTGEPEAEASVQGVSSTIMLPTHPE LLKVRGIDAHSAFIIQRSNNANTVVYKGNVVAPSNLLDPKTPLHIYWIMFALPGHPTE ELNVIERNTAYGATATATSSGEFDVALASLKDRRIAIFVDASGHVRARTTVDGKPNVY LERVFVQSTTSWGLPKVEYVEIFAVDPVTHEQVYEKKFP H257_01214 MGSQSLARERNRLGCVVSHNRNIRETGAMAEFFRRALSNLLNEQ AVKTLANNKAFQNFALRTHLHVEQTKKTVAEMAQKDIKPEEALPAFKHIKEFGQALKE EFQKDLRRFK H257_01213 MNPPPPPLPPGGPPSMVPFGGAPPMIQLGSRPMPPPMQHHMIMQ PPMPRFRVPLEIGPLNVFVGKLPPDLHDNYVRNLLERVGTVVQWKRTTDPISGKPKAF GYCTYATALDCIRALKLLNGFSLDSKNILLKVDTTTQTKLDEYTAQMPPQLQFEEDHK NERVAAMLQRLFEERSGLHGGYNNDIASWGDLMENVDSGAKEVVRGGMIMNEVEKFRL AQEERAAQLEAKRLALIQEKLRRDKEDAERGASDSATKGQDPPTAAVSSSSPNKDDSR RSSPSRRSDRRRRSRSRSRDRERRYRDSRRRRSRSRSGDRGSRHARTSPDTSARGSEA TRPSAPPAPTTSSLAATPATHESAATPPPLPPPRVMAKIELKLQKKDKADGKPATTSA PSGVTVFGVDDDDTAKPQRKFVPLDYTDEEKLAASVEAQVARTVARINSRSDGVEGII RSIPTDKKGLFRFPVDWTAVDKLKLVELKMKPWVNKKIVEYLGEEEESLIGFVLRQLN QHTAAQAIVDELVPVLEHDAEIFVVTMWRKLIFEMLRAAAGN H257_01213 MPPQLQFEEDHKNERVAAMLQRLFEERSGLHGGYNNDIASWGDL MENVDSGAKEVVRGGMIMNEVEKFRLAQEERAAQLEAKRLALIQEKLRRDKEDAERGA SDSATKGQDPPTAAVSSSSPNKDDSRRSSPSRRSDRRRRSRSRSRDRERRYRDSRRRR SRSRSGDRGSRHARTSPDTSARGSEATRPSAPPAPTTSSLAATPATHESAATPPPLPP PRVMAKIELKLQKKDKADGKPATTSAPSGVTVFGVDDDDTAKPQRKFVPLDYTDEEKL AASVEAQVARTVARINSRSDGVEGIIRSIPTDKKGLFRFPVDWTAVDKLKLVELKMKP WVNKKIVEYLGEEEESLIGFVLRQLNQHTAAQAIVDELVPVLEHDAEIFVVTMWRKLI FEMLRAAAGN H257_01215 MFITVQELAKERYVVEVPREATVEALVQAIAAVRPSINLADVKL EFPEGREITTGALAAAGIDDGSTVFLQASPSLGHHEDAESVTSDEMSSTIIVTNIPIA DKIATEHAVAALFTKSGPIKRLILQEDGDNVYQHAVIVFASPEAASISLHHSGATLLN GVITVVAANTLSADAPHPSRSGAAVKSIAKVFAGGISSAKGFDEHHKISLSVKSAAEV AKLKAKELDSQFKVSEKISEVDEKYHVSEKATKATNFAIGTAKSAMANPVVSTGVKKL GSMFSSVMTLASHAVDEVKTQIKVEDEKRLKSPTRQSGDIAAPLFPVPDAAAASAAVV PPPPLPFVASVAPPAPGGNYKDI H257_01215 MAIEGREITTGALAAAGIDDGSTVFLQASPSLGHHEDAESVTSD EMSSTIIVTNIPIADKIATEHAVAALFTKSGPIKRLILQEDGDNVYQHAVIVFASPEA ASISLHHSGATLLNGVITVVAANTLSADAPHPSRSGAAVKSIAKVFAGGISSAKGFDE HHKISLSVKSAAEVAKLKAKELDSQFKVSEKISEVDEKYHVSEKATKATNFAIGTAKS AMANPVVSTGVKKLGSMFSSVMTLASHAVDEVKTQIKVEDEKRLKSPTRQSGDIAAPL FPVPDAAAASAAVVPPPPLPFVASVAPPAPGGNYKDI H257_01216 MECHKAAVVPLDVWYSACFGFVDVKDRWQHLALVSRAWRVAALA SVKAHSHLDLTWCRGEDQLEQAIRMLLLPLKGDDHSLLVAASTRVQVSSIRLYGPRVI KPTLARLLCGVGPLQFRRIDIESKQADVPELQLVTLCRHLHTLHLNCIKLTDDVLVQI AVACTELVELDVAGCSRIGDDGLVAIAMSCPKLQVLDASMCIRMTDHGLHAMALHAPT LKSVTMNKCLKISDVSVAHLLHRQHNLTYLSVANCPKLHHALHIAPMSGSPSSLTHVN LMGCASIDDVPPPHEQLGGFSQFLQRHSKTLRYLDLTGLIHLLPTSLHRVAVCDGLVS LNLSMCRTVTDTEVAIIAAGCPRLESVSLQGCVHVTDVALTALASHCRDLKSLSLEFC YNISDRGFCTLVQNCLRLTHINVKACNLLHETSFTTLASRQSEVALERLVIGACADLA TTAMYASIIKQSFPHCVVMWT H257_01217 MLGTILIAQTLVCLCCLKRGVMTDEAPLKPLTPNPPSAKNPHDE EAGDDVHAPLKAATSHGRRDSLTHMKTFANITITKPIMPMLKSLKEAPNFRHSSFFET STVNKKHDEAGHKRLNQYILYDVIGQGAFGKVRKAYWPEREKYYAVKIINKKHVRRLS RSKRGPGGDGLETIRKEFAIWKQLVHPNIVKLKEVIDAPQSEKMYMVSELIEGGCVVD GETTCTPLEEEQAKRFFCQLIEGIDFLHFHKIIHRDVKPSNLLCGSDGVLKITDFGMS HVFEDENDDFRQTVGTGPFLAPEMLTGGKFKGRPVDIWACGVTLYMFVYGHLPFQADS LPDLYDKIKSHPVAYPTDVNGRLVDADLIDLLKGILHRDPDTRLTTSGIRAHKWTQAM FNRVASVVTMEPISLTPESMISAISPIHLYERLHQKIKALVMANKLGQGMQKHERISL PTLASPDKATDDHTPHVKAGVSSPQEVPSAPKPTASHSSQNPSPRSSFVKSGGGATPP EGSKHPVVVVLKDTIVLDNDDTTNQATASLSPAQSASKLAAATISSLVVSEPVG H257_01218 MGLFKGCRSPRKVALQVHADDPHAPPATSGSTVLRDAADTSVTK KLVNDMDLFGEDSDASDDDAAIERYDRDDVSEDDEVYKSRNVDALLSKMTPEEMFVKF DEDHSGMISTDEFLKMLPQLGIKLSDAKAIRIFDTCDKDGSGEIDMEEFKMAMFMVDP TTGNSLGFSPSSLLTPKDAFHLFDSNGSGQLDELEFADALEYFGFSVTDAKQERLFLK YDTDKSGFIEYSEFRAMWLQCANIKHELVSRGVDVPKYATRKTHMQILEKALDEEERR EDEAMDEAKWFHEWQLEKIRRRTLAAKAALRAQDELAAALDAAGQVYVLGSGQHGQFN GDPAARDALVYDGYTHVSEIWQSRVLPTYVPPDLKLKTSTIHPPSSLSSHEQPQRLVT PDYIQKRKEKLAEAKSAAAAAAAPAVPTVEQNKLPMMRRRPENVTYDENRVSPPKLIR AAWHAKQTTDDRGGSTSRTEDDENKDDDAEESELELLNQKFLDDRAFIRSLRFKDIHP MTNTGWLWGRQVVQAAISDNVAYAVTASGQIYCWGGQNKWWKGIEADDDDDATTVVDE SEATRKEVERMRMLTSRSELIKMAAPRFVAEAVAVEVEAQTKKLEDKRVRELAEDAQY EKHKRVVLYYDKWDPPPSYSTRIIYMHQVLLPKLDHKNLHSSITARGVVCERATKQEL VDLMGDLLLIEADMCTEEAQRGIKQMDFFIQDTNELRAKKKTKSFEEIPEKAILLDSY LPLKRELERREAARKAQAIENKLNEARLKEDTFEACVARKRVQLEDMCPEYTPRSTST VIDLNGVTSRGPPLHPPRGSSAVWKIAAGESYACAVSQNGSLYSWGVGISGRLGHGKS LEGIINADADHPSRVMALQSVFVKDVACAFDHSAAVSVDGHVYSWGSASTGKLGVGLL DDSYEQFAMYPMLVPFPNRKRFRQVSCGRAHTGAVSTDGDLYMWGCANGGRLGLGERV QDMVVVPTLVVSLARVLVAQVSCGNSHSALTTEIRVDVDGNVETISGGDVYVCGSSGP LMHFTPTWSIVSKLRGTPVRDVCCGFGHTAAVTLSGELYTWGQNTNECTGHAADRRVI EAPELLRAFHVAPYNLAVGKRARQSSVYNQQDADLAVDGNRSGTLHTCMHTQYDDHPW WEVDLGQASVLERIKVWNRTDQPVDTSRRRDEFTSRLFPFWILVSEVPFDDSVGSASL KAGRAQSNASAQFADNHRLTEWVLPSTGTVGRYIRIQVKGKRYLHLAQVDVFGVYNAF NYVGHVSTVQCAKNVTLVVMRPLPSATSTHDHYLKAIQADPDNATILRQYDAYAKCFQ LYGRGEALTHEKCRLCRAIRQCEVCEFYTSTPSSDLPLTTLGEKLGLAEATDVILSRE PPRLTFDKRASTPAVVSESITHKFAKVFTLSPKKLGLPFKLSPSKKDPPRS H257_01219 MAVLVWLLATACVLFRAQASSDAIENLGDDSFSSTIQESNSVWL IDFYAPWCSSCIQLEPLLEEAAVEASGFLRVAKVNVDANPALQARYEIVRYPTLMYGR WNSRLNQVELKSYPGDRTVSSLVKFGKRLSADVVSTVSTKADWQRFLSVDGSMLFLGF QHEDNAPPSDLVAKFHREASQFHKHHVFVSSNEPAILSKFARPAPFIARVDANQDEPF YYDGDLPFPSWVEKNRYPSYAAFEASNVKHIGWFRILVIGCYVPEKHPGFESTMQSLA SYTTSPLSRAHQDHFAFGWLNSERYEHYLTKFFVYPEQAPTLFVWNMQDQVFYNYEGR PTDDVDAIAAFLTNVLAGKEPAIAQGNYFIKIYRYMVRESPWSLLWLVPMGLVGFFLV WGCAAMRFHDSAAEKKALDQSLHPAGRRTSPSKKAE H257_01219 MAVLVWLLATACVLFRAQASSDAIENLGDDSFSSTIQESNSVWL IDFYAPWCSSCIQLEPLLEEAAVEASGFLRVAKVNVDANPALQARYEIVRYPTLMYGR WNSRLNQVELKSYPGDRTVSSLVKFGKRLSADVVSTVSTKADWQRFLSVDGSMLFLGF QHEDNAPPSDLVAKFHREASQFHKHHVFVSSNEPAILSKFARPAPFIARVDANQDEPF YYDGDLPFPSWVEKNRYPSYAAFEASNVKHIGWFRILVIGCYVPEKHPGFESTMQSLA SYTTSPLSRAHQDHFAFGWLNSERYEHYLTKFFVYPEQAPTLFVWNMQVSKHITSVNR TLI H257_01220 MPNTTSANMAATKKRPMTLPEKILTHSAVGLKHPFVEPGQMICV KTQWTLACEITWKSMDKTYSDMGRPRIWRNDRFWLAVDHTVDPRVNHLPRQQMMIQAS TDFAAEAKLTNFQKPNTTILHTEFYRQRAQPGQVVVGADSHSCSTGGLGAFAIGLGAA DVVMPLVTGETWIQVPETVKIEFVNAPPFGLGGKDIMLYTLGQLKCNTVAIGRCVEWS GNIAPLSCDARFAIANMTAEFGGIAGIFPADERTQAYIAGRDSHNQDALYFRADPDAT YADVFQIDLANLEPQIALFPSPDNVQPVSAVLDTPLHGCFIGACTTAEEDLILGALVL EQCLKQGLTPVAHGERRVTPGSQVIIDHLRAEGLLAFYEQAGFTVGAPGCSFCLGIAA DVAKEGHVWLTSQNRNYRNRMGKGSIGHLASAVTVAASSFGMAIADPTPFLKLITPER LQSYLPANISLPPLVVAVPAPELPEPAVKSTGEAAAASSPEHAAGVLEGRAQVFGDNI DTDAILPGEYLCENDVQALGKVAFLHTNPDFRDKVAQGQSIVVAGHGFGCGSSREQAV TCLKGAGVKAVIAKSFGYIFSRNVQMFALVGIVITDDTFYQLAQPNVPIRIEMRGRTI HVEGQVFRFQMSLFEEKLLNGGGIIPLYKKFGNRLFRVAVEDAEADDGAASCATASTD CGSAGKAVDVAW H257_01221 MQTLRAATTATAKTTSDSRTTDKPAGIIGDGAPPPVRLTPASGI GFNTNLRDMLLYVNKNEVIYAIGKYVALQNIHSQKMSFFEPPPSKDHDSTSSVRLGDI CALAITVKRNYVAIGRSAHSSLRRTESSISIYCLKAPKEGDDSARWSRLTPIRTLTYD THSFTSVAFSHDGKFVVAQSTTSEWTFALWDWTRARQVAVAEAHSKVTRICFNPVDVA QISTSGGVHLRLWRLTEPTCRPFATFNSANSAIRYVDHTWVGTTDGIVAVLDNGDVQF FRNGELLRTIPMLHHGHVLQCVQSFKTSVVVGGDRGWLSVLEVDAFNGADIHMVKRMR IDSKEPVLALSMDAAGTGFMCATPSYYGAYDLSNLCLLREDDEFVTLMTFTALPRLVS VTTLATSSRKHVVAVTGKRSTGATLACVYGQADTRGLLHHTFVHVVPTCIDFHPSGFE LLLSVSAQLHIYHVLYDSIRLAFEVDVKHASTVAYSGGGNYFVALVDDKSIYVYRNFG GLEPSLVAVCSGREANVLCLRWGLDDARFYSSDDCGALLEWGMGSDGSFSATQATSTG PSTAASTSVYDRALVFSSLAVTRHPSTSHALLAASCTSDADVSVVHVWCDGRLNTPPL KSAGIDAKITVLEFGPACVVCAGTAHGSVLVFSWEASGGGLSVLSATPAWFDLSVASI LSLRSCLNDRVLLAATVDGTVISYTVDIPAPSPRFHADTLLPAHTKALHVLQAAPETQ FSAALVTDDLCLVDRASVAERSLRITDLETEKEQLKMEKEILSKLSADQLSLLDRERQ SELRQAKAAWEAKMKAVEGDMHTKSHDAHTAMLEMKQEHERSLQTMQSIFTTKLSAMT DVCRQLERQVVTERQRVDDARFGGDERQMVLRGEWEAAMASTVATYDAKVAALTHSLD RKQKEYDELLSQMNDDSLIHLGAMQAAVDNGKAAQVSQQEETKAKISSLQQQLRMMLN ALTTKDDRVKQATLEANRLHLAVDALNYEIALERKTAAKAVAACSVLEARVADQARQI EGLDEMNNARLCKLKTMQSAIATKEQDIHGMRRFVEELHAENSAVVEDANEMDEAHGQ MKRRVAFFERSVTELKRQLVDAKAVTTACCRDLGILIEREQHGTRVSIDDIVKLYHKY DFRTNNNRVVRREMASDEAVIQELTRQNKCVEDQQRKLRTRLDAMTHERQKLVSVFST DNTKLLQELNVMAQRNHELQVRNGVLEADAAKRLRKGGGVEGRGGMQASQSLPDLPVV PATGESEASNQVEPTTTTVVDDQGPRIYIPCGVSVGAGPQKAKDLSPLKPLQRPRSSK PKQTTQPRPVNSMAQTKLYARRRPQSAHPTKSY H257_01222 MSNQNRDTASKLPAWEREKLVRLESKLRREKFATNQVPDRGDSG RRRLPSDKSTVQKKRSAVDVKLSDDNPETTGPVKRSADDDATDHQNSMIHKRAPVTTV AMKPPMVHKLAPPTLTSFAARTIHPPQLDDDASSDTNSDEDEKLLDSLEFSIAMSPKK ANKAAPRQWMPQSAPQETKPCNNTPERHALSQLQSQPMALTRLPQSQVQSKTAIPESK FNGQRASSVSTTGRLPSPVTPELERESKPTPFNGGEVKPIPTIKAKVIPPPTKETRPI DTHDPPPPRAGVPSEAKPKEASSTIPQTSKATSKSAAVRDATWDIFDLSKAVAVPRHA TAEPKPRSDVVQARGRPNALTLSMEEEHLQREISSLNSKLEKVRVSTDSHDEGDDTKN EPDSRNDDDSVETLQAYGGGAHYKAGTRTAPVDRCSMEKRMQMSGVHQTRVRKGVQVH VQDDSKADGKKPDKVVVKKDLALKMLLS H257_01223 MPVINASVAATLSARGRRALQPALSYFQLALDALAHEATDDDLD GYVSVAISENRILDANKMLTKLNESCAAASQKSGLGYDDFTGRLAFKQAYASFVKETL LKPHSTTKAIDPTHLAISSGVGSLLAHLSSLLHDEGDAVLLPTPAYGALYNDFFVSSG TKVIDVPMTPTFDITTDALQAGYDQAVLAGHTPKSVLLLNPENPLGIIRSSQTLRAIS TWCEHHHLHLIVDEIYANSIHSPEQSPHAFESGATIFDTSVASASFVQLPPHVHILWG LSKDWAASGLRVGVVYTGNPDLLRALSNVLYFSGVSNYLLDGLALVLSDLPWSTSYIA DNNRDLRHSYTQVASVLTKFKVPFVPAPAGMFVWVDFSAFLDTPTWHGERALTDVMFD KCKFIMTPGEAQHAPTPGFYRICFAYNTPKAVVHGLTRTLTFLTTQK H257_01224 MRVLCLALASAAAVLSADAAALPKAEVGKVTIAAENAFASGDMK KAISLYSQVLEVDPNERLYYKRYRAYLAERKYASALADLTSAVKFKPTYTQGYLQRGR LNLMTGNCADAVEDFTKVVALDPNNVGGNDNLAKSHDCAHHLTHAAEAQATGNFDKAV QSLTHAIDNYAVSSPSLLLQRAELNGFLGKTFDLIADTGSILRIEPGSLSALNLRGEA YYSLGDIQSLEAAVTHFRQGLQFDPEHKAMKALYKRTKKLLKLIEHARVAAESHQYAE AVDDLEVAVGLDPSHSALNKDLNFKLCDAYAHLQKHVQAKAACAASLHVHEESADVHA KLGDVLINLEEYDEAVRHHRRAVELDENDRSFHEGLRRAEAALKQSKTKNYYKILGGV SRCGEFHRLDDVLARHSSRCFQPSYQESVQEESAGVASRQACGPWGRGVRRSNQEVPG GGGSVRDPLERRHPSEVRPRRRRDGQPAEPATEPVPSRRELPLPLGISKLR H257_01224 MRVLCLALASAAAVLSADAAALPKAEVGKVTIAAENAFASGDMK KAISLYSQVLEVDPNERLYYKRYRAYLAERKYASALADLTSAVKFKPTYTQGYLQRGR LNLMTGNCADAVEDFTKVVALDPNNVGGNDNLAKSHDCAHHLTHAAEAQATGNFDKAV QSLTHAIDNYAVSSPSLLLQRAELNGFLGKTFDLIADTGSILRIEPGSLSALNLRGEA YYSLGDIQSLEAAVTHFRQGLQFDPEHKAMKALYKRTKKLLKLIEHARVAAESHQYAE AVDDLEVAVGLDPSHSALNKDLNFKLCDAYAHLQKHVQAKAACAASLHVHEESADVHA KLGDVLINLEEYDEAVRHHRRAVELDENDRSFHEGLRRAEAALKQSKTKNYYKILDIP RDASSQAIKKAYRKKALEWHPDKHADRGDAASEEATKKFQEAAEAYEILSNEDTRARY DRGEDVTGNPQNQQQNPFHQGGNFHFHWG H257_01225 MSSALPVGWMAYKTADGKEYYYHAQSKVTQWNRPKATTSSTRSS TAKTASSEPVSTPPASGGGGGDRGGLLAQIAQGKKLKKTITRESSPLDAVKPSTSTSS SDPSSSSSGGGNPLFAAIAQGAAGLKKSTHRTPSMRASEQPSPTDASSSSGGFADIMR KNREAAAKRAGNTETSITTTSSNAGGSTSPVLQPSSFRQSLPVAATSSGEFADQLNRI EAKLDKLLAHLNVN H257_01226 MDYRSSKSSTIFADGSCVMTDAAAYDVVDVDGDKSYRGIKKAER VLSSIALNAATPTLYVGDSSSSLHAFTVHADKAVRFHQQLKKVYHDSGTQILDSWGVC ATIIDGKLATLPLPLDKDSLTATTTFLDETKGVTQLHAHELSRVLCCLVKQNLMVYDW SVQGKLQLRSSHDLTTDKSNVATSVLCLGGSIAVVQFKKKGLVLLDLDTGVPLSASLP SADASLFVSRATGTHKSLDNLLVTTKDHGIIFAWDSGRRLMGEVGRLAWPPSSVPKAA PVAHHPFVLVPFVDRVDVFNAASFHVAQSIPIKSVSQFSVVTTLATADHHRPVLLLLA PPFHLTLLRMKPIADQLKYAMHTLRYADAVGLCTLCPDEGHLPPADLHQLHLNYALHL FQQQHFDLAFRQFVLGATPLRHVLTLFPEELLPRSVAAVTPPVYPHVSVLTGEALASA LAALPAYLTAIRSASLTERDSLELCDTVLLKAYALNNADADLTSFCASPNAADLGESE LFLRVHSQWPALLALYKLHALHRKALDVLDELYTADAVAYEQPLAEYLTQLSDGPLVF EYSRRLLTTRPDVGLAVFTHRHHHHQVPDLDPSLVLRHLKSIDATAPSTRPSSDLPLS DGRYLAIAYLSQLIYTNDVELPLTLHDELVYLLLDAIDAAATSLRKPHQNKKDSTFHV RVHLQRDPELGPLRRHLLTFLQSPLAMYHPERLLSRVPVDMLEERAVLLANMGRHEEV LQLYLHDIRDAALAETYCNECFAHRITDASIYTLFLQTYLRPPVVAGRPPPLRSLTGG IGMHFVAAFMLRHAQCIDVATALALLPPSVEASAVAAYLERVLELKVEQKRHVQVQKQ LLKIENLHVRSALNVAKQASVDVDVHSTCDVCARPVERGPVLCHPNGTLLHYACQTSL H257_01227 MAESPPAKLALSVAPPTAVSESIQIKKEPQGPTSARKESIGWMS DDLMGMITHTPPAFSPGSLTLPSTKRNRSGSISGRLLSASDLEEKGFIDRYQKGVLKD LIISGDEKLQKALETFEQGDPTALEALLDSGALNRKSSVDLLDDLDLGFLNVGSLGDT PKEDYTSRQTLDEWDEIGFDSAFADISSRDLMEGEYLGSSLGNSLPNSLPSMGLGGMT PPSSFSFAEHDFLDQSSKLGADGVKIKHEPSDGLTSRPIGIPGAARLNASAFLSGTLN TTAGGLSDADKKAAFVGAYSPDSRRKRVEKFLDKRQKRVWRKEVKYDVRKNFADSRLR VKGRFVKKEDEQLLRELLSFT H257_01228 MNAAVVGPRDEWLMYCWGDGERGPLCSRTTDAIAEPYLIPPIVE NITVQDASKRRWKIEREAKFTAVASGKYHTLLLAESHQLFACGDNSYFNLSTPLEPGS DPCDNFTTTPTAVVDSFKGLGHSRILSIACSDFASFALVDKPIDDDSDSDSVFKQRPN ELHIKSAKKRQKDNEPKEVNEFNHVYSWGRGERGVLGHGTTESQPVPRIIDALNYYKV TQLAAGRQHVLALTESHGVFAFGNGGHGQLGLGTTSDCLVPTHVDTLEGIHVTFVAAG DEFSAALTDTAGSRQVFMWGCGKHGVLGNGNDDDKLKPTRVQALRGTKVRKLACGGSH TLVVTEPAGAKLKGTTVVMSWGWGLYGQLGHRDNWDVATPKLVDEILHERIVSVSGGA RHSLALSESGNVWVWGQGIHAHQPAVGQPKDWTSSALLFPRKVYLPNIHVVGGVAGRG RTFVWGDRATTSAKEHRQVSGIDTLSSESHCSMSSASTVLSSNSLRVLYACGSCQMGT VCVVCAARCHGGHCLTLRWTLDNCLSRDCDCHDTGKCQAMAGDRSFPGKDE H257_01228 MGSEGRCVHEQQTPSPSPTLFRPSWRTLLSKMHRNGGGKLNEKR NSQQLRQESTIHCCLRVYVNPSSPFLRVMTGCSESHQLFACGDNSYFNLSTPLEPGSD PCDNFTTTPTAVVDSFKGLGHSRILSIACSDFASFALVDKPIDDDSDSDSVFKQRPNE LHIKSAKKRQKDNEPKEVNEFNHVYSWGRGERGVLGHGTTESQPVPRIIDALNYYKVT QLAAGRQHVLALTESHGVFAFGNGGHGQLGLGTTSDCLVPTHVDTLEGIHVTFVAAGD EFSAALTDTAGSRQVFMWGCGKHGVLGNGNDDDKLKPTRVQALRGTKVRKLACGGSHT LVVTEPAGAKLKGTTVVMSWGWGLYGQLGHRDNWDVATPKLVDEILHERIVSVSGGAR HSLALSESGNVWVWGQGIHAHQPAVGQPKDWTSSALLFPRKVYLPNIHVVGGVAGRGR TFVWGDRATTSAKEHRQVSGIDTLSSESHCSMSSASTVLSSNSLRVLYACGSCQMGTV CVVCAARCHGGHCLTLRWTLDNCLSRDCDCHDTGKCQAMAGDRSFPGKDE H257_01229 MSGKLRNGNDGGPKGSKAPPVMMESVATQTEGLSVGKKKKKKKK KKKKAGTDVDAASVTGSIGGIDDDEDSDIESAILEDDNADNDDAADTNAVTVVRSERS NSVEVEIQRRYDASSPAAMEGQKGGVANDVMSLPLSARLEKAASHSNLKSGLLGLVDW SRLGLSPDVLTLFFESRALTLLLENFFRVCPVHDSLYDSLTLLLSNLLVGTFPFSTGT STTSSSKDDAARIVVQSIRSIVDSIRVLKKLKHDDKTSSVATQLIPRVASALHDLIVD AHTRRLSLDSIGKACDLATDISTMDDQLKVMLANENTIRGQSIRDTFRRRDLRSESIA VSAKKLGTLLALVAPAADQRFSTPSPALGSTKGDASGVISLALNGPVETDASSTMGPR LAEVHQQRQGQLAPLHERKEKFAHELSALKQRHDELSVLLADVETRMTILTQLQAEVV GDIASVEGTFDDEVSQFGSDHSIAIASLQGLEKQQGIVTTFRDLRSTLDTLSSTSLLQ NVAASVQQHLNGVRRYASAQAICVQFMKNRIVDAEMKRHKARVELDAYVSLGANALSD DLEGQITDLSARIDEDEQCLAALQRRDTDVRAQFEALVAGELHHAKEAANLSWDPQVV NDVRHIFGKIFAVYSQKCRASSTSSRSKSWDTVEESVKSLNEIQTDELEDQITRDNLA RLENGQRPTRSSELDTMRLKH H257_01230 MAERSPSSLNGPTSRKLSSLAHTEYEDSADKPSSSLRSDRSVSL LDNRDAKVKKASPSDDAILKESLQVHNSSKTCVTTATTQLKVLCRKFAVLSEKVKQEA KMREDAEKEIRRLNDIIQDATNPLITSTRTDAQKDELADVRDQLHRTQDELYHVTQAY DRLRAELEHHHHASLQPPSHPLQQQHQGMVDHQIQWDREQASRIQDTLSSTITELHEE LNVKEVEIESLRASLQREKTKQREMEQTTVAYEEQNRQDKDQLTKVTQHLRDVTMSHA NELEKLHAAQESAKEERTVKEALQHQISTLHQVNDALQKRCDALVRRLQLNSNCMSES QGLKSQLEHMEAQHEILAKAMRDAKQSHYQDMEALKAALYESQSATHEAEKRALELQG ELTAVRVQNNTMSEYMMYGPKRVQPIAAIGSDNHSICSHQSSPRISPTYGRQQAPQPP PPPPLPSSLHYHTSPLPRFHTHSIHQPTSQLPHQDALLTSTPAQPPHSSPHYSSTYHN PNGHLQLPRRQGYVDHPTRAGSDPTIRAAPPAGALYSDETYRPELERRPVVGGKPYEP ESEQPKTVIHISSTPHQPPPPSTASTTPIAARTNPPPLQCRTTSTTNSRQSNASSNGS DHSILRALKNRNKQLQERLQQEADATFQLEEEINMITSSYHTLLNPHD H257_01231 MAFFPQWDDDECMEALYSSSAVFDDKMLHTWKPAVHWTVRGQTT DSSKVSTLKPSLLIHHESLARRFVRKGVEPVPLVINHVVSSMIRDKDLLHLNEFQAMC EHVSFSRWLFRTAVVTPARWGLHSLHSLWSNDTSNSSSVSSTPIPPGPYVSMASLAAV SDAIVHFVTSELEPSERTFCLSQADHVACGPRLSCSFHALCLRVALFDSSAVASILQH VAPMEYDLIAKYLVWAKLAVVRGSFLKIDTPKHGRVVVVEEADESLLLLQHMIATLET STTKLQAKMDETKAKAIAQKRAQKTSDAVVYLRHLKALGDTLAQRQTGLLNLLHTEHQ LRDMHVQALVVEGYKVASRSLRSVRDSLGLTADAVDDAVADWQVVLDEATQVDALLSS SSLGVEFDDEALLAEFEALHEEPKAAPPARGQEEAEVPMPSKRAAATSNRDGHRDEVR ALEGLVAQMTV H257_01231 MAFFPQWDDDECMEALYSSSAVFDDKMLHTWKPAVHWTVRGQTT DSSKVSTLKPSLLIHHESLARRFVRKGVEPVPLVINHVVSSMIRDKDLLHLNEFQAMC EHVSFSRWLFRTAVVTPARWGLHSLHSLWSNDTSNSSSVSSTPIPPGPYVSMASLAAV SDAIVHFVTSELEPSERTFCLSQADHVACGPRLSCSFHALCLRVALFDSSAVASILQH VAPMEYDLIAKYLVWAKLAVVRGSFLKIDTPKHGRVVVVEEADESLLLLQHMIATLET STTKLQAKMDETKAKAIAQKRAQKTSDAVVYLRHLKALGDTLAQRQTGLLNLLHTEHQ LRDMHVQALVVEGYKVASRSLRSVRDSLGLTADAVDDAVADWQVVLDEATQVDALLSS SCIYSFNSFKFNPKCGCRSAWRRV H257_01231 MAFQGKPAVHWTVRGQTTDSSKVSTLKPSLLIHHESLARRFVRK GVEPVPLVINHVVSSMIRDKDLLHLNEFQAMCEHVSFSRWLFRTAVVTPARWGLHSLH SLWSNDTSNSSSVSSTPIPPGPYVSMASLAAVSDAIVHFVTSELEPSERTFCLSQADH VACGPRLSCSFHALCLRVALFDSSAVASILQHVAPMEYDLIAKYLVWAKLAVVRGSFL KIDTPKHGRVVVVEEADESLLLLQHMIATLETSTTKLQAKMDETKAKAIAQKRAQKTS DAVVYLRHLKALGDTLAQRQTGLLNLLHTEHQLRDMHVQALVVEGYKVASRSLRSVRD SLGLTADAVDDAVADWQVVLDEATQVDALLSSSSLGVEFDDEALLAEFEALHEEPKAA PPARGQEEAEVPMPSKRAAATSNRDGHRDEVRALEGLVAQMTV H257_01231 MIRDKDLLHLNEFQAMCEHVSFSRWLFRTAVVTPARWGLHSLHS LWSNDTSNSSSVSSTPIPPGPYVSMASLAAVSDAIVHFVTSELEPSERTFCLSQADHV ACGPRLSCSFHALCLRVALFDSSAVASILQHVAPMEYDLIAKYLVWAKLAVVRGSFLK IDTPKHGRVVVVEEADESLLLLQHMIATLETSTTKLQAKMDETKAKAIAQKRAQKTSD AVVYLRHLKALGDTLAQRQTGLLNLLHTEHQLRDMHVQALVVEGYKVASRSLRSVRDS LGLTADAVDDAVADWQVVLDEATQVDALLSSSSLGVEFDDEALLAEFEALHEEPKAAP PARGQEEAEVPMPSKRAAATSNRDGHRDEVRALEGLVAQMTV H257_01231 MIRDKDLLHLNEFQAMCEHVSFSRWLFRTAVVTPARWGLHSLHS LWSNDTSNSSSVSSTPIPPGPYVSMASLAAVSDAIVHFVTSELEPSERTFCLSQADHV ACGPRLSCSFHALCLRVALFDSSAVASILQHVAPMEYDLIAKYLVWAKLAVVRGSFLK IDTPKHGRVVVVEEADESLLLLQHMIATLETSTTKLQAKMDETKAKAIAQKRAQKTSD AVVYLRHLKALGDTLAQRQTGLLNLLHTEHQLRDMHVQALVVEGYKVASRSLRSVRDS LGLTADAVDDAVADWQVVLDEATQVDALLSSSCIYSFNSFKFNPKCGCRSAWRRV H257_01232 MTTTQGALAVQDLTTLDVKTLTPLTPEVISRQATINVGTIGHVA HGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYRSEPQTPKPVSSEDGVVATA APAGPMYTAFGSSKPDRFTDDKGVTWVLQRHVSFVDCPGHDILMATMLNGAAVMDAAL LLIAGNEVCPQPQTSEHLASVEIMRLQNIIILQNKVDLIKPDAAKAQYEQVKQFVAGT VAANAPIIPISAVLKYNIDLVCEHIVRNIPIPLRDFTSKPKLIVIRSFDVNKPGQDVE SLEGGVAGGSILQGVLRLGDEIEVRPGIFSKDDDGKVNCTPIFSRIVSLKAEKNDLQY AVPGGLIGVGTLIDPTLTRSDRLVGQVLGLKGCLPDVFTELEINFYLLRRLLGVKTTE GSKGLRVEKLTKTEVLMINIGSTTSGAKILAMRADLAKIVLTQPVCTQEGEKIALSRR IDKHWRLIGWGEIRRGIKIHIA H257_01233 MWKSLKGLDAYPKTMEEFKVRTTQGGMISVIAIVLMVVLVISEL NFHLTVDTVDKMYVDGERNVNIIINFDIEFPKMPCSIISVEHGDMQGRVHLDVIDNIH KMRLDPNGHSLGEMVKHEMGNSLKQEHVKGHADTGFYCGSCYAAGSPGDCCNTCDDVK KAYARKNWAMPSMHSVSQCMNDELEGILNGTVNEGCRVFGHLVVSKVAGKVYFAPSRI FENGYLLDTDMLDLTFRSFDNTHHIKTLTFGQEYPNMKNPLNSRNKTLPSDQRGAYQY FLRVVSTDYSFLNGDEIKSNQYSVTEHFLQMTPTGHKGLPRVSFAYEFSPIKFRIEQT QNGLFPFFTSICAIVGGVFTVMGLVDSAMHQLSTKALKQPPLL H257_01234 MMQWSKLLTFHGRPMRRLSTGVSKPPLVAMNSLTGRLDTFPSSS SPLKWYVCGPTVYDSAHLGHARSYVSQDILRRIVTQHFHQDIFLVMGMTDVDDKIIAA ASAQQTSMANVARHHETAFLRNLAALNVLRVSSITRVSEHIPEIIGYIQGLDSNGFAY ATSDGVYFDTVAMGSSYGKLAPSTPQEYTDIVLEDGAVGGEKRNVRDFALWKLSKTPD EPGWESPWGRGRPGWHIECSAMTHHVLGGSIDVHSGGVDLRFPHHNNEIAQCDAYHHH QADHTWCQHFVHFGHLYIKGLKMSKSLKNFITIDALLANYSADAFRLFCLQYKYNVNV HFSHDRMRDANAVYHRFHSFFHTLQSHVKATEAGGASEHARRVDAADFRVLDALAQCK LEVDSALRLDFDTPGALQHLLELVTATNKAWTDRPAMSVEVLCAVATYVMDTLALFGL DALRFPSLRLAAPVADDAASQSNAIQGADVMDVFTTFRAQVRALALTNTSDKVAQDIL KLCDTVRDAQLPSLGIQIEDISPGKSFWKQQIDAVEDNTSTEKAVLAAKAAEFEAEMQ VPPASFFQLSPQFAGKFTAFDHEGLPTHDADGTELTKTARKKLAKKLDKHAKSYEKYW RNK H257_01234 MMQWSKLLTFHGRPMRRLSTGVSKPPLVAMNSLTGRLDTFPSSS SPLKWYVCGPTVYDSAHLGHARSYVSQDILRRIVTQHFHQDIFLVMGMTDVDDKIIAA ASAQQTSMANVARHHETAFLRNLAALNVLRVSSITRVSEHIPEIIGYIQGLDSNGFAY ATSDGVYFDTVAMGSSYGKLAPSTPQEYTDIVLEDGAVGGEKRNVRDFALWKLSKTPD EPGWESPWGRGRPGWHIECSAMTHHVLGGSIDVHSGGVDLRFPHHNNEIAQCDAYHHH QAGRYLMVGSCVCFYSGVDHTWCQHFVHFGHLYIKGLKMSKSLKNFITIDALLANYSA DAFRLFCLQYKYNVNVHFSHDRMRDANAVYHRFHSFFHTLQSHVKATEAGGASEHARR VDAADFRVLDALAQCKLEVDSALRLDFDTPGALQHLLELVTATNKAWTDRPAMSVEVL CAVATYVMDTLALFGLDALRFPSLRLAAPVADDAASQSNAIQGADVMDVFTTFRAQVR ALALTNTSDKVAQDILKLCDTVRDAQLPSLGIQIEDISPGKSFWKQQIDAVEDNTSTE KAVLAAKAAEFEAEMQVPPASFFQLSPQFAGKFTAFDHEGLPTHDADGTELTKTARKK LAKKLDKHAKSYEKYWRNK H257_01234 MMQWSKLLTFHGRPMRRLSTGVSKPPLVAMNSLTGRLDTFPSSS SPLKWYVCGPTVYDSAHLGHARSYVSQDILRRIVTQHFHQDIFLVMGMTDVDDKIIAA ASAQQTSMANVARHHETAFLRNLAALNVLRVSSITRVSEHIPEIIGYIQGLDSNGFAY ATSDGVYFDTVAMGSSYGKLAPSTPQEYTDIVLEDGAVGGEKRNVRDFALWKLSKTPD EPGWESPWGRGRPGWHIECSAMTHHVLGGSIDVHSGGVDLRFPHHNNEIAQCDAYHHH QADHTWCQHFVHFGHLYIKGLKMSKSLKNFITIDALLANYSADAFRLFCLQYKYNVNV HFSHDRMRDANAVYHRFHSFFHTLQSHVKATEAGGASEHARRVDAADFRVLDALAQCK LEVDSALRLDFDTPGALQHLLELVTATNKAWTDRPAMSVEVLCAVATYVMDTLALFGL DALRFPSLRLAAPVADDAASQSNAIQGADVMDVFTTFRAQVRALALTNTSDKVAQDIL KLCDTVRDAQLPSLGIQIEDISPGKSFWKQQIDAVEDNTSTEKAVLAAKAAEFEAEMQ VPPASFFQLSPQFAGKFTAFDHEVSRWMNCT H257_01234 MMQWSKLLTFHGRPMRRLSTGVSKPPLVAMNSLTGRLDTFPSSS SPLKWYVCGPTVYDSAHLGHARSYVSQDILRRIVTQHFHQDIFLVMGMTDVDDKIIAA ASAQQTSMANVARHHETAFLRNLAALNVLRVSSITRVSEHIPEIIGYIQGLDSNGFAY ATSDGVYFDTVAMGSSYGKLAPSTPQEYTDIVLEDGAVGGEKRNVRDFALWKLSKTPD EPGWESPWGRGRPGWHIECSAMTHHVLGGSIDVHSGGVDLRFPHHNNEIAQCDAYHHH QAGRYLMVGSCVCFYSGVDHTWCQHFVHFGHLYIKGLKMSKSLKNFITIDALLANYSA DAFRLFCLQYKYNVNVHFSHDRMRDANAVYHRFHSFFHTLQSHVKATEAGGASEHARR VDAADFRVLDALAQCKLEVDSALRLDFDTPGALQHLLELVTATNKAWTDRPAMSVEVL CAVATYVMDTLALFGLDALRFPSLRLAAPVADDAASQSNAIQGADVMDVFTTFRAQVR ALALTNTSDKVAQDILKLCDTVRDAQLPSLGIQIEDISPGKSFWKQQIDAVEDNTSTE KAVLAAKAAEFEAEMQVPPASFFQLSPQFAGKFTAFDHEVSRWMNCT H257_01235 MPDVIQVPAKATNAQNAAASPARTQTPIQRPNNAIPTSATSRQP ATPQSPSPIKTRVPTTLPQSHLVGSNTPQSTSAAQPLSKSVALSTGPAKATPAPAKAA AVPEFQPSMQRRKSSLKTVIKSKPKVPTKLKGKRGSELWQEREKVFERFRKGNIVMPV PEGPLAPARVNKVSGYCVDLHLVKNWPLTEQLEGAMLTYGLQMTFFHSPTKRFFGNTW LSPELPNNGKADVVVQISVGFISDVVDANCVAVVELVAYEKDPASTLTTASHGCGWCL LPMFGQKLLGLAHETLTVNVFAGSPRHLYVVNQPEWQNQAKVPGCKLLYHVHPHDGLA KCHALMRKNEIVSSLDKVPGLKGDTLVGNNAQGGNVAVFQLPRDIATAEEFSVVVTPT QAFVHLREELEQDLIERLSKTRKLIHRDVESLHGEVATRVLKLALHNGRCFRTRQHTV PLKCEHGSNTLTAVAEPVKLKGYALSPLVAVVVLLQYTVHFRLVWPKGAKPKNDKEPL PTEDVVVVTVGARALVPSDGKKFYYHDRSLLRDQRDDEPMHVELLSGTKARPYSDNVI YTSPVWNNALKAGKLEESFASCDMEMLVEGAALSSESEGDDDLTKQNVKPESDRDAWL RELYQKAQMDAALARTLQAPLQKSTSTSPTKRSSPAQHPPRALDLHDVPTTVITDDPT PSHELSRASKSLLTRHGFYDTVTSVPMEDNNRPNQHSSASGMHHPLPPLKTVADELRD GLNLLEITFQFAAFRALSESGGQPSPLPTSMYFTFQFYTFEPTKSERLLVTSTPAAAT YLLCRDHAKKPSLAIQFDLHTTKQCPLEAAAFATYLLTKSLHVDVWDGESLLPLGSMT VPLHDLMRQGNRVKKYHAEFEVRRSADFSMMSPATTSSIPSSMGAVQLLMSNFASTSS ACPPAVVRPIDNPREAGNWRFAPPPPSSDSALLQKGPRHRVRAKPLADSNEELRQLLV REHLYDPDPENHRSSKHRENSSQSRGHSDATSITKDEIERLCRRFQSQATRNNRLDAA ALLALFSMAPSSTKQEKAAFALAEDIRQAFLNAFARGTDFREMFAALDGNGDGTVTTA EFIEGLHALGADFRACAPQSLRAVVDSFDSNHDGRINYMEFMAFLNKHLHLSIRQELQ AVFIRAAQRGVDVAALFRQLDTSGDGQLTPREFETALKHIGFVVKDRNEFDAFCRSLD DDGNGSVSYIEFIRHMGLQTLATDGVLTTLLAILKRTIAKGIDVGELFLHMDSDGSGA VSYAELMKVLTDLDLDRQLSAAMLQDIVLRVDKDKSGSIDIAEFLAFVQIPFDAAKMI QTRLHRILSRAADQGVSVRDAFSQFDHDGSGEVSAVEFQAALQSLKCPLSPADLAVVL AKCDVNRDGSVSYKEFLAFVFGNQQDATKVLAKPIQVQLATLFQDASAKGVDLAQCFA HFDKDGSREISTSEFMAALKELGMAHVDEEDTMRAIVALLDKNHDGKINYDEFVALAT PRTRDRQVPPPVKLFNMLTQALADGVDVESAFGHFDKTGSGSVSHADFRSALNELGTV QWTTSEMDAIFQHLDKDGGGTVSLREFQTFLQLTPAKRVRALLVKAHGQGVALAQSFG HFTATDGIDLAAFETGLVKLQFTDFTKQDILGLFGSINTSTSGHISIEELSAFVGVPS AATTNELPGLSPMDKLKELLLRAQSQGVDVGASFGHFDKNGDGTITYDELDVALKQLN FTDFTADDVASIRRALDKDHSGTISLDEFQKLYGENKNMSTNKPPKKVQEKAGTQQRR PPLSKQASTSSQLHLKKLHDLLLKAKDQGIDIADAFAQFDTNGNGVISYDEFDATLVK LGFDGLTPPDLADIRKAVDRDKSGSISLDDFKVLYEPPKISAGGDGGASTKPWLAKKG SKGAPSVKTQAAPNAMLSGVAKLGEFLRLAKGKGIDVDLAFGHFDRDGNGNISYDEFS AALAALNLDAITENDVLEIRTALDKDKSGSISLEEFKKLYATESTVVAESATTMEALA KLCALLQKALSAGIDIHQAFGHFDGDGNGTITRAEFASAMTALQLDGLTNADVAEITT ALDIDNSGSISLQEFQKLYAITTPPEPTKPMEVKSTKSSTAEQPTADEDRKGLEGMER WKGIARMGSETADEKSISDTKDVSKDNALVSKQTPSAKNILGDKSALDKLRELLGRAK AGGVDIDQAFLHFDADQSGSISYTEFDAAILDLHLDSLTEADLVAIRSALDTDKSGAI SLVEFKRLYAEPTSVPAIAVGATDVPTQPHEEGGVHKVGGGNPCENPEIQKLTRLLVE ARGNGADVAKAFAASDSNGKVTYVEFDAALLELGLDDITEEEIVAIRTHLDRENLGVI SYQKIQALWTTRSVQPDKLSGDKTSNPPSRGGSTKSMKSASTTGSDVDNTSLKKQESK SKEPSDSKEQQKDDMDPGTKEPAESPSESKAKEGPSTSLEMLRALLVRAKDSGVNIDQ AFLHFDKDGSGTITHAEFKGGLAELHLEGFSDHDVDSVMQMLDKDNSGSISLQEFKKL YKPPPKPVRDSSKSATKPWLTKKASKSLAPPSDDVVQSKKDERSPHSDVKGSGGVSVA AVTKLSDLLLRAKEKGVDIAAAFSHFDADGDGVISTAEFATGLASLQFDLSAEEVATI QSHLDRDNSGTMSLDEFKSLYVNPKVSKTNSNSTKASDSDKPKKPPSGLGKRPSVAAS RRQSAKPEQPTNSPLLDQLQKVLAMAKVKGVDVDQAFAHFDSDGDGNVTYAEFDHALA ELGIVHEAADAEHIHALLDKDKGGTISMTEFKHLYASKSTATPTPATSKKQPPVVAAN LESRRASAKAGVEAGGITSHMETLRKLLLRAQAKGVDVHQSFAHFDKDGDGSVSYDEF ALALKELQLEMKAEDMAPLCNALDKDGSGSISLSEFRNLYQAVPPLSRLPSTGVTDAK KTSARASARTSKPPVSDVDTSSHESSKPSQSQPPVRTNLSSHRSTGDDKSDATSSRRL IDKTNNLSEPEYRFSTEPEVRILEIKLRKAAIAALARGVPATSLLGKYTQKPTGEVLR VDFVQFLMELGLSVIDDLGSGGYVCDVPTMMHDKVYARQLERLRQFRHETNRQTSKSQ RELVRAASMSNRLGRKEHGGQARVVEAFVAQKNKMLQVVQYYRDGHKKALIHALLRDH VTTSIHVFPRFGTMMFFEFPVRNPYGHAERFLIEWQDAELMLVLDAVEWRYYRDRVPV CVDMGDGRGDIEADMIDDMHEILLEGGDAVVLPFRLMTLQTHKQARVVPVYVKSVAHG HVVSVLQVHIQPLPFVCHRTHRLFHAAGGILRRCLKFMSPLEDDDDDSLHPNQPRRHR SREKFVACPDAGVVVETNPVEHKHMPQEIYFKYRVGEYPSSGEFYLLLYEDMYHAVLY EIWRLCVQSMLRLDLHATMGQGVRNELILKGDTMPRRVRCYSSQPAKVHFNPERIFQL LPHAFNRIELLYCSMDVGALQVLINVVDVDSHELIGSWLLNANTSEPLVTKVFDVTLP LGVPVLKKISYRNPWESDRMFVLRTSDPSIMKPREPTLRLSGGSDGFLRLAFAPYSIP CTKKVYLFINDGSDQNEECLLLHITWTDHRD H257_01236 MNEIHALQRELAAAQETKEAIRLSERNIIDLLFKLQALNMVELI FTTNAKAVLTPAQLRKEILDQVQSHSGRVSLHELYTSTNVDMGYIEKYAREIVDQPNP TDKVHWVGNDLISDAYLDTIMTSVHNTLQDTGSVSIGDLALQYGVPVEFTHQVVQARL DSILENVRMKGTVLYTPEYASAQLERVRDVAGALVRPTSLAEILASSSDVDGRFVADC LSDLIASNAVRGHLRGREFVPTAFLDTQRAAVDAFFTSNNYLPHAMTTQLQVTGRPVD FVKKQFPDCVDLEDVVVSGALLLHVEGAVEGLLHDPTWLDVRSELPSAIDAQNATLLL AKALERVNAPSVVQIGGLFAVHAAMMSQAMEKFQGVAKSRASQAAYVALESGGSEAKT STTKSTSGQQAKKQNKTTRGTICPAHDEQRDLVMAWFDHCVDEDEFVAALLESLHDAI ESCFATALTKAEATIYRGDSYQRRELTELFEAGFDDKLGHLVVSQKALHKLAIKATSA SDTTTGLDVIERSVLRSSGVQLCAWVLQYVNEHHQVELDDVGSILDATQPVMTGLTPS QENVLKKRVTFAPTVVRMWQLADGGSSLPDFIQHLTQVSTALGIPSRKFDRKKEKAIV AAFKHRLLLDGSLTDDESDFNGVLRVVCSLVFYAATSLALPLAALTASEIQTVYPVLR HSFLASAVAADTIALTDDLHTAATELQLLAENPDLLSRALVLLQQAR H257_01237 MNFSKLMRSSPPPPPMEPPPPHAERASLTSAAPVVPIGTSTLML QEITSLALHDQPPSPSRRTSSSPVLRRVASDMTAFNSAPSASTPKATNRASIQVVTPP SEPVARRLTRTPSISVPNLDRTQFGKDSELVLLIDQLKADLARSQQEVTKLQKKLMDR AQGVDEEKVAVEHTRYLEEKVRHLEAENVRLKQHAQRHQLHDADKRRLVELESAMHDA KRAEVRALELVVATIGKDRLDALLNNPALADLTLEDRLAAASSTSASRSKGSKHYKTP SSSVGNSSDRGGRSTSASHLNDVDALDARSKQLDVLWKQHCRDMQVTSTFDRSLRR H257_01238 MTGAIGLRGERMELSHIMGSTEGITRRTKIVCTIGPSCWSVEKL TELIDAGMNVARLNFSHGDHKGHGESLTRLRAAIASRPHKQVAVMLDTKGPEIRTGFL SSPDKKITILKDSIVELTTDYDFLGDETKLAVSYEDLPTSVKVGSPILVADGSLVLTV TEVRETSVLAKAANTAVLGERKNMNLPGAKVSLPTMTDRDEDDIVNFGLVQGVDFIAA SFVRCGQDIDNIRAVLGPRGRAIKIIAKIENQEGLENYDEILAKTDSIMVARGDLGME IPPEKVFLAQKMMIRKANIAGKPVITATQMLESMIHNPRPTRAECTDVANAVLDGTDC VMLSGETANGDYPVDAVEMMSKICIQAEGAIHYDELYQALRNSVLENVGAMSTQEAIA SSAVKTAIDMGAKMIVVLTETGTTARLIAKYRPAQPILVLTALGETARQSEGFLKGTY ARVMGSMIGTDSILYRAADIGKQLGWIKRGDAVVAIHGMQEARAGSTNMLKVLVVD H257_01239 MGDVYGAELQHPVFQALDISDLFATIEDHESRIQHAFESPKSSF QTFHDSLQDLFECLQKLKAQFRAFAAHRSLHIDNATFSRWRTKLDDAHKVEKTYLHTI TQLQSQVMELQHQRQVAHRTFEAALAAMEARISTRLSVAELAECERMWAHERESLGID TANKMEALEQRADDLQCQLHQTGDSLYQREHRLKCLEQMIDDSQQQPTASPMTMRPVT PSVSVVVTTSGPRGPVPPPKPTTASAAVQADLRPPPTRPSKPKTNTEMDQDMILIELD AIRKLLDRDMVDGGGGAWDQVRSCGVLASVVSIKQRLVTGLAIAKMVKLRTAADTNNN NQVLSARHRPQQQQATPETPLLPHSTHNVLTTKANLKLTQRHKTSTLPTVHARSKSAV RRPPTHTDTCSSGGAAYSAPGDKLFNLNLDHPASPGLLSIQSSRERQPARM H257_01239 MELQHQRQVAHRTFEAALAAMEARISTRLSVAELAECERMWAHE RESLGIDTANKMEALEQRADDLQCQLHQTGDSLYQREHRLKCLEQMIDDSQQQPTASP MTMRPVTPSVSVVVTTSGPRGPVPPPKPTTASAAVQADLRPPPTRPSKPKTNTEMDQD MILIELDAIRKLLDRDMVDGGGGAWDQVRSCGVLASVVSIKQRLVTGLAIAKMVKLRT AADTNNNNQVLSARHRPQQQQATPETPLLPHSTHNVLTTKANLKLTQRHKTSTLPTVH ARSKSAVRRPPTHTDTCSSGGAAYSAPGDKLFNLNLDHPASPGLLSIQSSRERQPARM H257_01239 MCVYTAVTTSKAYLRSGQLHQTGDSLYQREHRLKCLEQMIDDSQ QQPTASPMTMRPVTPSVSVVVTTSGPRGPVPPPKPTTASAAVQADLRPPPTRPSKPKT NTEMDQDMILIELDAIRKLLDRDMVDGGGGAWDQVRSCGVLASVVSIKQRLVTGLAIA KMVKLRTAADTNNNNQVLSARHRPQQQQATPETPLLPHSTHNVLTTKANLKLTQRHKT STLPTVHARSKSAVRRPPTHTDTCSSGGAAYSAPGDKLFNLNLDHPASPGLLSIQSSR ERQPARM H257_01239 MCVYTAVTTSKAYLRSGQLHQTGDSLYQREHRLKCLEQMIDDSQ QQPTASPMTMRPVTPSVSVVVTTSGPRGPVPPPKPTTASAAVQADLRPPPTRPSKPKT NTEMDQDMILIELDAIRKLLDRDMVDGGGGAWDQVRSCGVLASVVSIKQRLVTGLAIA KMVKLRTAADTNNNNQVLSARHRPQQQQATPETPLLPHSTHNVLTTKANLKLTQRHKT STLPTVHARSKSAVRRPPTHTDTCSSGGAAYSAPGDKLFNLNLDHPASPGLLSIQSSR ERQPARM H257_01240 MFVTCAFHRVYRDGLLAVMGRNSTSRSDSYVKEGEVLRASFNSG SHHDTILDKLLKVVPSRSPSGSYDDNDHSRGSVVDSSENEVYDLPTFENRGHLMNNLG RKPERPKKAVTFASELEKVEWVAELQYKCPEKPLPLSLFGTRRVIGYEEEEHLLAPSW SKALPYSAVDFGCYQMQLLTREKAFFVISPVQIHHITCMGVSWKTYWAGLSSGLIVFC YEDDNDQVFACPIHKCRVQVLDDTQLKLFEVAGLREVDELFLKFPNSSTMYMWFWAIQ IAAATPLYSDTDAHTKALRRFLAKSPPMIPPASLSNNLWSNLSSKLGLKKPLPTDPVV TLPFTLRNPTPQDHFRGLSPPSSSSPSSSSAAKNQSGIQRRLLFIRHAEFNNVHFKTA DVEKNITDSGEAAARRTGQFLQDLIEAAGLTYQDVQLVYSTITRTIQTMDIIAKEVDK AAGVYETYNPYDTQSSKVNRVARHELALLRESVPHGLSTSKKFQCRSAKMALALQTIC MGEVTYPITVVICSSSFIRYCVHQAAYGVGFIGSRGEMNHSIGIGHCSVTQIDVDQEY ALHLRGVNQMAHLAGYSVGQNPQEAAAPYSSSVGACSSI H257_01241 MPPPPPRLGRKQASVFSRDEIGVEQLHSLKEKFAGGDLTEVEFV RLFRETIDSTLSETQLTDLFQKIDANSDGTVSWDEFTNYMFLSGSDSANSNLGDDMSC RYITTQSDKVVDVADAGGGGGGDGSAVRPANNHKDIITRIVALDKPHLYVTASRDGSV RTWSSNTLAYQSTIATGRNWITDCSYMKRSNRLAVSSMNRTLAFYDMSTGQSLGELSE YSRKQCIPLCLEYVEKPSDEKEALVVGDDTGGITVMTTSSQWTNCDGRPGAHPLETHG FSTRAKYKKHTDWITRVKWVHDMRAIVATSLDTNISIIDVERMVAKFEYSKHKKGVFD LVWSSSSRLIASCGMERDISIWNPYSSQRAVATLRGHAASVLHLACDDDNFQIVSVSS DNVFKVWDIRNHRCLQTYTDRYKSTGGADNRISSLLFDPKAPGLISATTHLARWPLKM ISSEEAELITKKAPCISCYNSVFNQVLTAEMSEEGVVKTWSAETGEEVCSFNNAHGTS PITAMSFDCAGRRLITGSHDGAQVKMWNFSNGALVKQFLKQENDVVESRVSPFSVPPP RPPRVRDIVVMPESFDAEKLVADGSSNPSLPSPTRTTLRTCDSVTAHPPRTSQRTSSH HQRYQQGEVTCILDIERNVRVGMGEYICQRFVCSVGWDRKIYIWLDSNDDNDEPLPMW VIAASDTAKGHTDDILSVVYIPPATLATSGLDGKILLWNLNSGEFMSQLHQSGGAIEC MMYCNKLELLMAAGDEGKLVTLDRVSSYKTEIPLEHPPGESVVVMACDRCGDNVVTGD SAGYIRTWGLSVTLKDKGMYFEHGCQWRIGGGRILSLNFIENTRQIDMFVLVSSGNGE VSLWTLDSVQVGVFGRHKYWHLGKPATYSASTPQANAHAGKHHKHFIPSRSIAAALSH TQHMESQLTEDTMPKPGEVWICRSTHHHNHRNSVDLLSQVAAAATVGKIVTTSFLGGT GDEANLLTRKASMSMARDATSDIADVITVIKVSKGEILAWDGFAQQIKQKTLKLDEFV RDNMWARDSHFSQCIGRCFMSTHENTPYKCLYVAIKSVHMRNTHEGAFAFVDTEGSRH RTQMLDSAVTTNSKLKNLARTLISASNRLGQLAHKTSNYTAMRTMTAKMHLDKNIAEG AAEGGHDGMLPPATNKTTAASSASKEGTGLVKPDFVCSTLPLLRPKDKHVMGGNPPKR SNMRSKLDPNADFRRVHDPQTIPKTTKEVLEQRHRHRPFDAVGLQ H257_01241 MPPPPPRLGRKQASVFSRDEIGVEQLHSLKEKFAGGDLTEVEFV RLFRETIDSTLSETQLTDLFQKIDANSDGTVSWDEFTNYMFLSGSDSANSNLGDDMSC RYITTQSDKVVDVADAGGGGGGDGSAVRPANNHKDIITRIVALDKPHLYVTASRDGSV RTWSSNTLAYQSTIATGRNWITDCSYMKRSNRLAVSSMNRTLAFYDMSTGQSLGELSE YSRKQCIPLCLEYVEKPSDEKEALVVGDDTGGITVMTTSSQWTNCDGRPGAHPLETHG FSTRAKYKKHTDWITRVKWVHDMRAIVATSLDTNISIIDVERMVAKFEYSKHKKGVFD LVWSSSSRLIASCGMERDISIWNPYSSQRAVATLRGHAASVLHLACDDDNFQIVSVSS DNVFKVWDIRNHRCLQTYTDRYKSTGGADNRISSLLFDPKAPGLISATTHLARWPLKM ISSEEAELITKKAPCISCYNSVFNQVLTAEMSEEGVVKTWSAETGEEVCSFNNAHGTS PITAMSFDCAGRRLITGSHDGAQVKMWNFSNGALVKQFLKQENDVVESRVSPFSVPPP RPPRVRDIVVMPESFDAEKLVADGSSNPSLPSPTRTTLRTCDSVTAHPPRTSQRTSSH HQRYQQGEVTCILDIERNVRVGMGEYICQRFVCSVGWDRKIYIWLDSNDDNDEPLPMW VIAASDTAKGHTDDILSVVYIPPATLATSGLDGKILLWNLNSGEFMSQLHQSGGAIEC MMYCNKLELLMAAGDEGKLVTLDRVSSYKTEIPLEHPPGESVVVMACDRCGDNVVTGD SAGYIRTWGLSVTLKDKGMYFEHGCQWRIGGGRILSLNFIENTRQIDMFVLVSSGNGE VSLWTLDSVQVGVFGRHKYWHLGKPATYSASTPQANAHAGKHHKHFIPSRSIAAALSH TQHMESQLTEDTMPKPGEVWICRSTHHHNHRNSVDLLSQVAAAATVGKIVTTSFLGGT GDEANLLTRKASMSMARDATSDIADVITVIKVSKGEILAWDGFAQQIKQKTLKLDEFV RDNMWARDSHFSQCIGRCFMSTHENTPYKCLYVAIKSVHMRNTHEGAFAFVDTEGSRH RTQMLDSAVTTNSKLKNLARTLISASNRLGQLAHKTSNYTAMYDGTCRVTESDDT H257_01241 MPPPPPRLGRKQASVFSRDEIGVEQLHSLKEKFAGGDLTEVEFV RLFRETIDSTLSETQLTDLFQKIDANSDGTVSWDEFTNYMFLSGSDSANSNLGDDMSC RYITTQSDKVVDVADAGGGGGGDGSAVRPANNHKDIITRIVALDKPHLYVTASRDGSV RTWSSNTLAYQSTIATGRNWITDCSYMKRSNRLAVSSMNRTLAFYDMSTGQSLGELSE YSRKQCIPLCLEYVEKPSDEKEALVVGDDTGGITVMTTSSQWTNCDGRPGAHPLETHG FSTRAKYKKHTDWITRVKWVHDMRAIVATSLDTNISIIDVERMVAKFEYSKHKKGVFD LVWSSSSRLIASCGMERDISIWNPYSSQRAVATLRGHAASVLHLACDDDNFQIVSVSS DNVFKVWDIRNHRCLQTYTDRYKSTGGADNRISSLLFDPKAPGLISATTHLARWPLKM ISSEEAELITKKAPCISCYNSVFNQVLTAEMSEEGVVKTWSAETGEEVCSFNNAHGTS PITAMSFDCAGRRLITGSHDGAQVKMWNFSNGALVKQFLKQENDVVESRVSPFSVPPP RPPRVRDIVVMPESFDAEKLVADGSSNPSLPSPTRTTLRTCDSVTAHPPRTSQRTSSH HQRYQQGEVTCILDIERNVRVGMGEYICQRFVCSVGWDRKIYIWLDSNDDNDEPLPMW VIAASDTAKGHTDDILSVVYIPPATLATSGLDGKILLWNLNSGEFMSQLHQSGGAIEC MMYCNKLELLMAAGDEGKLVTLDRVSSYKTEIPLEHPPGESVVVMACDRCGDNVVTGD SAGYIRTWGLSVTLKDKGMYFEHGCQWRIGGGRILSLNFIENTRQIDMFVLVSSGNGE VSLWTLDSVQVGVFGRHKYWHLGKPATYSASTPQANAHAGKHHKHFIPSRSIAAALSH TQHMESQLTEDTMPKPGEVWICRSTHHHNHRNSVDLLSQVAAAATVGKIVTTSFLGGT GDEANLLTRKASMSMARDATSDIADVITVIKVSKGEILAWDGFAQQIKQKTLKLDEFV RDNMWARDSHFSQCIGRCFMSTHENTPYKCLYVAIKSVHMVPT H257_01242 MRAAMCRAATVAAVAFFSCIHASPDTYRLQTAVVRTSTGDLYGT YAKDPLSSNTWGGDLPDQDMALQGQVVSLRALSPAATFACQASELINNTALQQKLSSL TTSRFILVVERGNCTFTEKALAAQQIGAAAVIIADTVEAIYNTTVRENGSKYDKGGAF DCSQGSAVLPSIESPPWSDLNNAATCNTSPSCASQRCIPTGSGNQVCCMWDVADYLGY GVNADQVIIPVVRIRVADASKLGSSSVILFKRYVPRVDLAQVLIWLMAVATIVTAGYY GATFERKKATAKRVHATSSSGRVPAAVHAALRQEQMDEPALDMGWVHAVAFLVFGSGF LLLLFYVNVVMVVIVLFCFGATSAVGTILLTPLLHRVSAFRGQLYAADNAYLGPIRIT VSDVLSFGLSLGLVLFWVLTRHQDYSFVLQDVFGICVSIQFLQTIRLPNIKVATILLL LVFVYDIFFVFLSPYIFGKSVMIVAAQGGKQDDAEAPSSYCLRYPSNDNGAKCVKEDI PILLRLPKLTNWLGGEAMLGLGDIVLPGLLVVFCARFDYATRGNVVGIPRPGSFGGRV GLFGMMCVGYAVGLLLANIGVILMRSGQPALLYLVPCTLGVLVVATWRTNGLLRKLWD GPTEFNQLPEVELPLDTATTTASAVPYAIPMMEEEVQRPSSPSSA H257_01243 MAAFSVHDMPMDATEAQRYWTPYIDTSQSNGATHPHVAHPYQGG SQEAPMGFSMGIRDDSTKKKVALRHRMTRKAISKKFPANAQQGPWTSAPNSATSFNVQ TSSYATNTTAGDSRRRDDPPPPPPSSSTHVPQTSPPPSFVPFTVPMSTPAAFPRSSPA FEPTTFPHEPPPTSSSSFQFHMGVPPAVASNGRRKRHYEIRRRLRKERPPPCTNQEIP SSTTPSTTPGESFAFDIPLPPSPKQDHNDMRVDDDLRHGFNEIHIVDPPIAERPEPTD PAAQSTPTLVLTPTELARRFCVQHTTHPPPPSPGLCASRCWECNAEFVSAYNHLTYCS TCSKSHNMCAVRACNLPLASVRRVAKAAPSSGRRPSQRPAAATPPPPPPPTPPEWLRF KEQGGKAYTGGDFASSIELYSAALDALENEVQRSPPRPELQAEKAKLLSNRAAALEMV DKVQEALHDSIASVQLDRTYLRAHLRVAKCWLLLGKTKDAREAYRHVDVMLRDLDPSR HHPQLEKYQAQWHEGHVAVRSLESLVRQFNRYTIANDTEAALRATEAAMVIAMASREL RVQKVTLLLTLCEFAKVEEYCTGLVRNGASGGVTSLGIEMAVLYCRALHYDDKSEKAL EELHQLLRAAPTSVDVLSVKRLWELMADVRLAANDAFKRGDYSRAELLYTKALSLDEN HRRYNASILGNRAAAYMALNQYDKAVQDCNAALQYHPVYFKVLLRRARCYYTQNHYKE SVADFDEYMAKAKMAPDDLRHVRRERDQVQKDWDRSKYHRRNGWDDDFYYSSHQRRPK ARHRSKAHKYAGDPYEQAPPRPPYVPPLTHYQVLRVPSTATQAEIKKSYRKLALLYHP DKAKTTQDGELFKDMSAAYAVLSDEQLKATYDKELRYSGHY H257_01243 MAAFSVHDMPMDATEAQRYWTPYIDTSQSNGATHPHVAHPYQGG SQEAPMGFSMGIRDDSTKKKVALRHRMTRKAISKKFPANAQQGPWTSAPNSATSFNVQ TSSYATNTTAGDSRRRDDPPPPPPSSSTHVPQTSPPPSFVPFTVPMSTPAAFPRSSPA FEPTTFPHEPPPTSSSSFQFHMGVPPAVASNGRRKRHYEIRRRLRKERPPPCTNQEIP SSTTPSTTPGESFAFDIPLPPSPKQDHNDMRVDDDLRHGFNEIHIVDPPIAERPEPTD PAAQSTPTLVLTPTELARRFCVQHTTHPPPPSPGLCASRCWECNAEFVSAYNHLTYCS TCSKSHNMCAVRACNLPLASVRRVAKAAPSSGRRPSQRPAAATPPPPPPPTPPEWLRF KEQGGKAYTGGDFASSIELYSAALDALENEVQRSPPRPELQAEKAKLLSNRAAALEMV DKVQEALHDSIASVQLDRTYLRAHLRVAKCWLLLGKTKDAREAYRHVDVMLRDLDPSR HHPQLEKYQAQWHEGHVAVRSLESLVRQFNRYTIANDTEAALRATEAAMVIAMASREL RVQKVTLLLTLCEFAKVEEYCTGLVRNGASGGVTSLGIEMAVLYCRALHYDDKSEKAL EELHQLLRAAPTSVDVLSVKRLWELMADVRLAANDAFKRGDYSRAELLYTKALSLDEN HRRYNASILGNRAAAYMALNQYDKAVQDCNAALQYHPVYFKVLLRRARCYYTQNHYKE SVADFDEYMAKAKMAPDDLRHVRRERDQVQKDWDRSKYHRRNGWDDDFYYSSHQRRPK ARHRSKAHKYAGDPYEQAPPRPPYVPPLTHYQVLRVPSTATQAEIKKSYRKLALLYHP GAWVSYDVF H257_01244 MTSDLMRATVAVSVAAGMVYVAFQLHLLPRSIASVVSRVYFFPT WPLTYLSRRSAYYTLVDSHVFLGAAPMEFMGHVSQMTSRGVRAVVNMCDEYDGPVDAY KKAGISHLRLPTPDHTEPSLANIRKAIEFIEFHKAQGSRVYVHCKAGAGRSAAVVFCW LLQSTGWSLDDVHEYLSDKRRVRRRLKTQPNVLAFFHSLPANQQSTLSE H257_01245 MLANMAVGAAVVVGALAVAYIVFQLHLLPLPLASMAGKFFFFPM WPFTYLARRANYFTEIDDTVILGAVPVVFMGHMSQMAALGVRGVVNVCDEYQGPITAY KKCGIAQLRIPTVDHMEPTLEDILKAVEFIEYHKKLGARVYVHCKAGSGRSGAIVFCW LLKSTKMDLMEVQDFMRAKRRVRRKLYKQPNVLAFYNTLHPAATVPSHVESV H257_01246 MVAAAKRVTWSTTTVHEFHLGHNACSVPSTGGPSVGLVGAAVTS RTAPVDDRLTAIPRSHRDQLLPPMRRIELLRDAGYAVDEIAVFCMATNQCRTERHETE QEYVALIRQRQYETYLVKQQIIAMEMERQRQLYLHRHYQMNMLPSHKVLRSRAASSDY VRQSSFPSYAAPPTRVVDVQVLDNKRRRLSVDALLN H257_01247 MKSYLRYSAESSFGVVCSPNSRCVYDLTGQLAICGALKNVIVWN IRTGAKVRVLHGDSETDTAGQVTALSLSPDGAYVATGYSTGIVRIFKLSNGNVEVTLD GHKNAIESLAYGENGALLASGSRDTDIIVWDIVSQSGLYRLRGHKDAVTAVAFLDNQR TLVSTSKDTLMKVWDLDTQHCVQTCVGHRNEIWSLDTHEPTHRLLTGASDNLLRVWDT SDAASVQLLGTIQRQANDRAMLVQYNSTGTLIGCQGSGKTLELFRIRSADEQKKKAQR KLKRVREKARKLQDGSQPAIAPDDNDNDDSDVNPIANEVESVCVIRCSAKIRSFAFSP DAAKDGTTSLLVTLHNNSLETYAIVPHAETVDGRFARVHALTLPGHRSDVRQVTLSSD DALVLSVSSGEVKVWNAQSLQCVRTFTDFSLALSAVFAPGNMHVIVGTKGGSLHLFEL SSGECIWKKDDAHAVGSAIWSIDVRPDGKAVATGGADHVVNFWDFEMTSEYTQTSTLK LGLVHARMLKMADDVLCVKYSHASDPRKLLVAVALLDCTVKVFYDDSLKFFLSLYGHK LPVMSMDIASDDTLLVTASADKNVKLWGLDFGDCHKSIFAHDEAIMGIAFVPQTHYFF TASKDKSIAYWDGDHFERILKLTDQHFGEVWALAVSRDGSFVVSASQDRSLVKYSRGE DQVFVEEEKEKELDTLFESDLQPTNTAGPTLGGTSVAATDASATAGKRTVQTVKSGER LIESIDIADRELLAQKNSKDPHAVSTNFMLLGYSPLKFVLRSVREIRANEMEEALMVL PFEYVKKLLSYLLQLIAAEMEVEICCNCVLFLLRVHHHQIVTNTSLLGELDTMWGSLR AQLVSSKNRIGFNLAGMKYIKRQVDHNKTGYVEELGGVPSAAKKSKM H257_01248 MGPDPGVPYPLSNLPYKHKREKLAQLGVVNHRGRHYVGRHRGSR ERIPSERAGAAKDSQGRRWVVTAAGLGGAAFVHVEQVRGAAARRFGPALYDVVGTVTN TGTSSQYTPSQALPIFVGSGSMIIPDADATIQIIVDAAAGAHVALQSSWSDMTHGCLV HIPPNVFMLGN H257_01249 MQTQHAQLVDVSLTANERAQVHRALAQYLNASSTEHDAQVDAAV VDNALVQDEAWEDTMLPFTLDICMLVVGTQQDDADAFVAIGHWTVVGGR H257_01250 MPLPLVASMARSDDVNNYRSYYWSSLLLSRREDWGDEVNIVEFV HLTDPEPSYCPSFNVSAALATPFRSHR H257_01251 MGGNSFLVLDRLAVVDESVPLPWLMHRVEGVSHQAENLHVFALL QHTQPSVAVPVLGIERHNPVALVTLVSALLDRTTSAVRRAVTSIYKHLPVNAMACDLL PHKVAAVYDRALILKMSYEAAFVAIKQPDHRSLKYTPVHYSMGHPPRVVAVNDVTSTL TTSHPHGFVESLRLAGLSPQVHS H257_01252 MQDTMACKHQNKMCEPTPSTLAMTQASGPSTTSGSLPNAATEAT AASVAGTTNGSHDNSQKDKPRWGKWTKEEETYTARLIADFTAGVLTDVDNGTTMRSWL SAKLRCCPMRISKKFVGEHSIGKRMFERNDAKIAGMTDADKALRTAELEVLHTEFCES WAREERERLEHQTNGTRKRKRNKHPKKPKPATAVPFPANMVAMAAKAKRPASPLAFKH PPRAMTTASTPLHMATKSQSLLQNQQSSRAPTVVSLAGGKSKPTLIASQGPAKPVQST SQPTPLHVSRGASKMLDLQDSLTTRSRHLSVDLTGGDVKSGGYELDFTVFAMDSPDAS HLFDLMDTTEDAWLAGDHELDEDPFLSFDAAAELMLPPQVQIHFTNDKSNGNDVEDLA LSPTSVMDMSGWPLTMEYYDPLFTHQY H257_01253 MNLRQVSGGCYGRCGGSLGAVDGHILHTRVHFKANTMQRHGVRE RKQDKVPQEHQNANPNVLFALSNLKLVQVIDAVRVRIRRRRKLVKYDAAQRPRDGIEP VHPPPVRRNQVGEDDVARKQEKKRHRDARHRLRRRDARIQRTQERKIRANRVLEHHED GEKDKERTRGRREAAHKVEDRAHDDAHGQVHGNLDDRVCNHVRNRAEKVARPLLVKHV AKRERDGDALPRLPLEDGDGEEAHAQVQRLVAVEIDHAKQQPHNQGFGHFPVQQGLVP HKEHLLALEQQPRAFEKPHAEDLRLSCHERDALDGRYVVDRMALAQLVLHGRVDVAAL ESISELRGGHQAWRRGIYSHINSPSSWFDGKGLMERVWWITSGVVLGAAPRHDLDQKV GRVGAGGTGKAQPLEVGQSELARPVVDEVAFAQHHNVVEQLDHFWRRLQQRHDAASPQ RLGPRPERVDNVKRGRRVQPRTDLITQKDALSTHEHLAHRHPLALSPTHAPKQRIAHH LQKRSQLPSHLHSNVRTVSAQLLSPNTCNTSSDRKLATSASFPGRFFFFG H257_01253 MNLRQVSGGCYGRCGGSLGAVDGHILHTRVHFKANTMQRHGVRE RKQDKVPQEHQNANPNVLFALSNLKLVQVIDAVRVRIRRRRKLVKYDAAQRPRDGIEP VHPPPVRRNQVGEDDVARKQEKKRHRDARHRLRRRDARIQRTQERKIRANRVLEHHED GEKDKERTRGRREAAHKVEDRAHDDAHGQVHGNLDDRVCNHVRNRAEKVARPLLVKHV AKRERDGDALPRLPLEDGDGEEAHAQVQRLVAVEIDHAKQQPHNQGFGHFPVQQGLVP HKEHLLALEQQPRAFEKPHAEDLRLSCHERDALDGRYVVDRMALAQLVLHGRVDVAAL ESISELRGGHQAWRRGIYSHINSPSSWFDGKGLMERVWWITSGVVLGAAPRHDLDQKV GRVGAGGTGKAQPLEVGQSELARPVVDEVAFAQHHNVVEQLDHFWRRLQQRHDAASPQ RLGPRPERVDNVKRGRRVQPRTDLITQKDALSTHEHLAHRHPLALSPTHAPKQRIAHH LQKRSQLPSHLHSNVRTVSAQLLSPNTCNTSSDRKLATSASFPGRFFFFG H257_01253 MNLRQVSGGCYGRCGGSLGAVDGHILHTRVHFKANTMQRHGVRE RKQDKVPQEHQNANPNVLFALSNLKLVQVIDAVRVRIRRRRKLVKYDAAQRPRDGIEP VHPPPVRRNQVGEDDVARKQEKKRHRDARHRLRRRDARIQRTQERKIRANRVLEHHED GEKDKERTRGRREAAHKVEDRAHDDAHGQVHGNLDDRVCNHVRNRAEKVARPLLVKHV AKRERDGDALPRLPLEDGDGEEAHAQVQRLVAVEIDHAKQQPHNQGFGHFPVQQGLVP HKEHLLALEQQPRAFEKPHAEDLRLSCHERDALDGRYVVDRMALAQLVLHGRVDVAAL ESISELRGGHQAWRRGIYSHINSPSSWFDGKGLMERVWWITSGVVLGAAPRHDLDQKV GRVGAGGTGKAQPLEVGQSELARPVVDEVAFAQHHNVVEQLDHFWRRLQQRHDAASPQ RLGPRPERVDNVKRGRRVQPRTDLITQKDALSTHEHLAHRHPLALSPTHAPKQRIAHH LQKRSQLPSHLHSNVRTVSAQLLSPNTCNTSSDRKLATSASFPGRFFFFG H257_01253 MNLRQVSGGCYGRCGGSLGAVDGHILHTRVHFKANTMQRHGVRE RKQDKVPQEHQNANPNVLFALSNLKLVQVIDAVRVRIRRRRKLVKYDAAQRPRDGIEP VHPPPVRRNQVGEDDVARKQEKKRHRDARHRLRRRDARIQRTQERKIRANRVLEHHED GEKDKERTRGRREAAHKVEDRAHDDAHGQVHGNLDDRVCNHVRNRAEKVARPLLVKHV AKRERDGDALPRLPLEDGDGEEAHAQVQRLVAVEIDHAKQQPHNQGFGHFPVQQGLVP HKEHLLALEQQPRAFEKPHAEDLRLSCHERDALDGRYVVDRMALAQLVLHGRVDVAAL ESISELRGGHQAWRRGIYSHINSPSSWFDGKGLMERVWWITSGVVLGAAPRHDLDQKV GRVGAGGTGKAQPLEVGQSELARPVVDEVAFAQHHNVVEQLDHFWRRLQQRHDAASPQ RLGPRPERVDNVKRGRRVQPRTDLITQKDALSTHEHLAHRHPLALSPTHAPKQRIAHH LQKRSQLPSHLHSNVRTVSAQLLSPNTCNTSSDRKLATSASFPGRFFFFG H257_01254 MSTTATPATQTAEALLSRPEDPLAFVRTVISEKLAQRSSGESYN PDHAMAYIKQCYAEASASADENGRLCLRPKRDTNATPSGDLASNKRLVLLEKLIQAFR VITMQLDPMEATNSIIKHTCKILNAERATLFKMDPVTQMLHLNVAEGASEISVPFGKG ICGVVAATGKLVNIANAYADPNFDSQYDMQTGYHTRSILCVPVRNGQGQVVGVMQALN RSGNEKDTGFTDMDEEVMTILAAQAGIAIQNAQNHKTALQAQKKVTEVLDIVKAMHSD LGINSLIFTVTHRIQRLVGSDRCSLYLLDKVTNELWTLQGEVDIRIPSNQGICGAVAE SGKLINLKNAYEDARFNKEYDQKLGYKTSTILALPLHSHKQDVIGVMQLINKTDGIFT PDDEEILTTFLSIAGPILENSPLFLRAKGTGGENELTGRPVSHGKPVTFDPEMNQIQE SDGAEDEE H257_01254 MSTTATPATQTAEGGEEYLNSMNFRSLIEWFTAEALLSRPEDPL AFVRTVISEKLAQRSSGESYNPDHAMAYIKQCYAEASASADENGRLCLRPKRDTNATP SGDLASNKRLVLLEKLIQAFRVITMQLDPMEATNSIIKHTCKILNAERATLFKMDPVT QMLHLNVAEGASEISVPFGKGICGVVAATGKLVNIANAYADPNFDSQYDMQTGYHTRS ILCVPVRNGQGQVVGVMQALNRSGNEKDTGFTDMDEEVMTILAAQAGIAIQNAQNHKT ALQAQKKVTEVLDIVKAMHSDLGINSLIFTVTHRIQRLVGSDRCSLYLLDKVTNELWT LQGEVDIRIPSNQGICGAVAESGKLINLKNAYEDARFNKEYDQKLGYKTSTILALPLH SHKQDVIGVMQLINKTDGIFTPDDEEILTTFLSIAGPILENSPLFLRAKGTGGENELT GRPVSHGKPVTFDPEMNQIQESDGAEDEE H257_01255 MESMEQRSASTSPPKCRVARHRRTRSVGVSETNEIIEGTSISED TEQDGCEVDVYLPRRTKSFESHDQATLPLPRVRTYTKSLGSLVKHLASDPSNPHLSSS NVQASPAGGGSGTAQSTPAAARARLSFPWPSWPKKPEQTREPEIDCSSPLPYEFALVL HNKPARTRDLERQGLDDAGVQQCDVIRRCIDAGLEVTAMTSTLHSRKFMCLLLKPTFD RMKIEKNRLVVERWLQIGAVGEVPSEIEQLIYKSDLGSSPMSPRKGAMARGGHVNSAG NNDEEDEPQGDEEEEEDRKFTPAERIQTIGRIISSTADTAQLNPPGAGISIEDPNQRD PIIAACFPLHNRKVNKMLLRKKQRWWINTDDITNTIRFHYGEHVAFHFAFLLLYTQWL VVPAVIGTILYLSFRWYTAIYYMTGLSIFGYAVVTIWGTAFLKAWQRKNEYLNDAWNV RLFKEADYPNPRFRPHGFLDIVDNSGVLLFREPYYNSLYRIPAYIQTLVVFLFFTLTY VVGITFFVQWYTAAMCAPVCAECPDCSGFLTCFDTLGATVGTSRWFYIFVQGMFLGVT LDVFVYLLSVKLLRFFVIRENHTTEAQVDRTMINRLFIINWISFFLWFMLIAFVIVPF GKDVEAWMNAQFHWATIYTVNWHSGRIDMSTALVTPLLITQALNLLIDTALPHALRKH RLLGFRTVRKLRAHPAETMLALSEIQSQFQRRTSLPDLAFRASSTSATTATTNSLNFQ EKIELQMITPIRIPYIEAALGVSIPTIEGFYNEEFMTADSVVDESQLELYETFPDYLK MVIQFGYVVMFSVVWPFCGLAAVANNVVHIQNCFHKLCLTRRRPVPRKANSIGQWEKT LYTTLFLAVFAVVGLICLSSGEVEYFVGDCLALDRFNGTDYSMTPELSCFGLSSRFLV ALVLEHIGLLVIYLIMDNIEGTPESLRSSFQMKKELIRRAICGQNGAVTTTPNGIYRS PSVEVV H257_01256 MEVTREEGGIPDVVLPSDVFDLDFHPSRNVVAAGMIDGKVQAYT YTPEGNTCVLSLNHHQDACRVVMFSEDGSALYTGSSDKSIRAYDVNGGVLWAELNAHL NPVNQLHSISNNVFTSGDDQGCVKMWDVRQHRCIVEWNEHTDYISDMTTNESKSTLLV TGGDGRLSVYDLKKNKFVQKSDELDDELLSVQIIKNGRKVVCGSQDGVLVIFSWDTWG DMSDRFPGHPDSVETILKVDEDTVLTGSSDGIIRVVQLHPNKLLGLIGDHEDMPVEVL KFSHDRRIVGSVAHSNKVHFWDVGYLYDDDGGDDDGDDTEEAKGLEFDPKGDDDSMDD SDDDSDDAGGQGGRQAFPTKREAFYSDL H257_01256 MEVTREEGGIPDVVLPSDVFDLDFHPSRNVVAAGMIDGKVQAYT YTPEGNTCVLSLNHHQDACRVVMFSEDGSALYTGSSDKSIRAYDVNGGVLWAELNAHL NPVNQLHSISNNVFTSGDDQGCVKMWDVRQHRCIVEWNEHTDYISDMTTNESKSTLLV TGGDGRLSVYDLKKNKFVQKSDELDDELLSVQIIKNGRKVVCGSQDGVLVIFSWDTWG DMSDRFPGHPDSVETILKVDEDTVLTGSSDGIIRSPPFGSIVDPHILVSS H257_01257 MQSSAHMNDENNVTTKSRPRAYKPLGDRGAAKHGERVSTFSTIL KPQNSFKRPALGNITNKSTVVTNKKFMLETQKVARREEVIRRTSIGTPKKLTPAMPGL SSQISTVKIEPILEVDNEYDIDSEDKGIERSCWQYAAEIHKYYLDIEKYRVASPTYML DQVDVNTKMRSILVDWLVDVHYKYNLLEQTLHIAVNLIDRHLEKNLTLPRARLQLVGI TALFIASKYEEIYPPEAADFVKITDNAYTLSEMFQMEDELLSSIGYRVTVPTAFQFMN RFLKASKTVNTKTHLLANYLIDRCLQEYKMLRYPPSMIAAAGVYHARMQMHVVPTWSA CVEYHSTYSVAAMRLCIKDMQEMMESAAAGVAKSSKLTAVTRKFSKEKFQGVSKLPLR FPVDHE H257_01257 MLETQKVARREEVIRRTSIGTPKKLTPAMPGLSSQISTVKIEPI LEVDNEYDIDSEDKGIERSCWQYAAEIHKYYLDIEKYRVASPTYMLDQVDVNTKMRSI LVDWLVDVHYKYNLLEQTLHIAVNLIDRHLEKNLTLPRARLQLVGITALFIASKYEEI YPPEAADFVKITDNAYTLSEMFQMEDELLSSIGYRVTVPTAFQFMNRFLKASKTVNTK THLLANYLIDRCLQEYKMLRYPPSMIAAAGVYHARMQMHVVPTWSACVEYHSTYSVAA MRLCIKDMQEMMESAAAGVAKSSKLTAVTRKFSKEKFQGVSKLPLRFPVDHE H257_01258 MEVPVLAPRDGKEGCQTNCWQTLHLSPGSDVALIRALLRWGEGK CQRSLEHLKSLDLPIVNRLISATATVAGRIGLQGWVDSIVQCCDPLTATLDKFLAQNL LTTLAFALDQSSQLESGRERGLEERIMQLELHVEELHTKWLKEVHDKEQVVHELHDVR RMNDVVATSHAATVSKLEDQLALLKRKMVADQEAMTTGSDLQVGHLERELAVAKQQCG RLQDEKAVLSAKLARTEQKLVWAMLFLTIRSQNDQKTTSVTGTV H257_01259 MSSDVGADGMLPLEIRGIPRAKEIVDMMKFFEFSFKPASSFEGT ICFTFNVEHSDQKMRYLVECKRNQPVRAELVGAASTNLKYDCEVTSSIEDFLHVYSGK ASAGEMAKMCYTGRVYISGLQFRLVTRFAQSFDFTSQKWNDFYTWEQQQQQRRRRQLG GDDTDDSMIEHVPRQIALYIRGGGVNMSMVQRGCFEASMGRIFGPRVLMTYTALVHQG RRLPHRPADLWSHFKNKKFSRAIRCALGHPSQYYTTHKHKAHLPPSKLRSDVLVLWES SYELISCPAIVPAPSTNTSLLLWSDSSLEADPVDALAPLDPPCRPANGSRFPAEAYTR RIDLRDAGMAQLSKIIDNVVGEAFQPAVKSNHVSAPARLMREVRKWILNSHEQTTAAT DLHSVLRDIDGLICAQLGKPTRPAPCLQKEVPTADIIRYNTRRDLARIKQTMAGMKRT LLAHQISPKSLPDNMSLLTLDY H257_01260 MTSRQSYELRSRSGTPFDTPPPKSGRWMAQTPQETEDDGDRDGA TSTHIHPTEGTSETPTSSTFTGDSAAFRDDSEFTTEYSDDSDDNQVQFIKHNKPRFGK RVHAVAVSAFRMLLEVVNLTWFLLPFICLVVALVLPRYLIAAIQATPASLAAPGQGHV NSMSSIQAHMDAMIKDIGHFKKFQHEHEARIEEVMILYDQTTKQLDTLVDTTRSATSG GNNGAVLEHVTDMIQTALKKSIRDLKSEYKAALQPLEASLRQISTQATLLESDVKTHK ARLTDVEDLVEGTKAQLLDATSPQLLERQIASIVATELVVVQAKVSRAVAEETARLRN ATQGDLERLVDRKVAAWTVHTSRPDDSTTPGTAAPSGRVDYASFASGGRVVRHKTDLV GGSSWASAVQNLFFATSSSSTFTSKSLTPFPLCSIVAGQSCQNSKPETAISPNVAIGQ CWGLASNSGSLSVKLAHPIVADTVELYHIDPSIAPDFSSAPKHFQVLGLVVDGTDVRH VDLGSFTYHENHEPLQRFPLNHHAAVVHGVTLRVLSNYGNDKYTCLYRFSVHGARK H257_01260 MTSRQSYELRSRSGTPFDTPPPKSGRWMAQTPQETEDDGDRDGA TSTHIHPTEGTSETPTSSTFTGDSAAFRDDSEFTTEYSDDSDDNQVQFIKHNKPRFGK RVHAVAVSAFRMLLEVVNLTWFLLPFICLVVALVLPRYLIAAIQATPASLAAPGQGHV NSMSSIQAHMDAMIKDIGHFKKFQHEHEARIEEVMILYDQTTKQLDTLVDTTRSATSG GNNGAVLEHVTDMIQTALKKSIRDLKSEYKAALQPLEASLRQISTQATLLESDVKTHK ARLTDVEDLVEGTKAQLLDATSPQLLERQIASIVATELVVVQAKVSRAVAEETARLRN ATQGDLERLVDRKVAAWTVHTSRPDDSTTPGTAAPSGRVDYASFASGGRVVRHKTDLV GGSSWASAVQNLFFATSSSSTFTSKSLTPFPLCSIVAGQSCQNSKPETAISVRAPPSS RVHPMDIGGLFLWICM H257_01261 MENYNIYDEIGRGQHSYVYKARRKRSIEYMAVKSTAKDRMNKIL NEVQFLRTFSSPYVLKFHNWYESSNHIWIIFEFCIGGDLLNLITQDKTLPESTIKSFG YDMLMGLQYLHSNGVIYCDLKPANVLIDEYGGLKLSDLGLARKIPTDESVLKVDKLAP GSPHYMAPELFEQHPVHSFASDFWALGCVLYELRTGSQPFSSVGGFMDLRSMIQTKAV AIPPPAHVDMSRDLCDLLHRLLVKDPAQRISWDDILGHPFWDKTSHKTSSSPLPAFPP QPLFMKRYPTRLPQSSPICDQDQSPQVSQDSNSTCSNDDGGDSMIRTRPATAPPLARQ PDLPPPNNDGTSARHPDKQQQLSPLPPPKTAPPPHRNLARPHQPVKLSTPKPAPHRIF TTLDGVVQPIVACADIEAVAVPRINETLVPFAVVPVASLVTQADIEAKLEQLYHYLRR DDVTVSDKHNALAYLFSISTSSKVANIIVNSSLMTLLGKLLEKSTSSALSSRLGLVLG YVVRYATFIAPDVVASLAPILIQAMDVENVQITRRVVACMGELAFYSVTQHQPLSCAL VQSLVRVLQADDVIVRHYAVQTVGNILTHSSDDSDVGVVDPFVTPAVALALIEKSLRN ASPPNLQMAAMLTLSQVLKHTLSQVLNHHNLGGNDAVFGLVARNLSIVWDHLWLARGS HHFYRWAIASLNVLNVTLQHAAQDTQAFLASICIPDSFDQLSQVLRRREHEEDSSIGG ADSPAGGRFKEGEAKLTNLVQGKLLLLVYFGLQLSRDFAVCFVNSDLLHAVDSILSAR HPHASAATTSYALQSAHQVVTLSVRIALEVTASLSKRADVLPLFDALLGKLLHYPACR QGLVDTLLDNANHEYEGFVDGLANVVQTPHATSSAIKALLAVLSNQDIVCDVVALNQV WFQSALVQVAQVVLPDTSSPDVLVDGIRVISSALDMFAPAPYVDEFVVHHLLPTFHGL LHSSTNDSARRFAVELLYSIVLRDVAFASILHRLDLIGPVLNLVSHTMSPSTTKLVVL VVTSHEVPVAALLALGLPSILSQAVLTVDNHALVGPTGDLLEAMYALLYDQYIAMTKE EAASSSPDPTLALYAQHLPVFVQCLPRLVDLCTHSSHDQDAQTCVDSASRCISILCQL YGDLACNALLPRVGLPSPALSSLVNVVVGGGYNSAVRLRCIQGLKAALASRNEPQKVP ARLVQALRTLAASATSPTASSEARTTAMVALQICHMTRAE H257_01261 MENYNIYDEIGRGQHSYVYKARRKRSIEYMAVKSTAKDRMNKIL NEVQFLRTFSSPYVLKFHNWYESSNHIWIIFEFCIGGDLLNLITQDKTLPESTIKSFG YDMLMGLQYLHSNGVIYCDLKPANVLIDEYGGLKLSDLGLARKIPTDESVLKVDKLAP GSPHYMAPELFEQHPVHSFASDFWALGCVLYELRTGSQPFSSVGGFMDLRSMIQTKAV AIPPPAHVDMSRDLCDLLHRLLVKDPAQRISWDDILGHPFWDKTSHKTSSSPLPAFPP QPLFMKRYPTRLPQSSPICDQDQSPQVSQDSNSTCSNDDGGDSMIRTRPATAPPLARQ PDLPPPNNDGTSARHPDKQQQLSPLPPPKTAPPPHRNLARPHQPVKLSTPKPAPHRIF TTLDGVVQPIVACADIEAVAVPRINETLVPFAVVPVASLVTQADIEAKLEQLYHYLRR DDVTVSDKHNALAYLFSISTSSKVANIIVNSSLMTLLGKLLEKSTSSALSSRLGLVLG YVVRYATFIAPDVVASLAPILIQAMDVENVQITRRVVACMGELAFYSVTQHQPLSCAL VQSLVRVLQADDVIVRHYAVQTVGNILTHSSDDSDVGVVDPFVTPAVALALIEKSLRN ASPPNLQMAAMLTLSQVLKHTLSQVLNHHNLGGNDAVFGLVARNLSIVWDHLWLARGS HHFYRWAIASLNVLNVTLQHAAQDTQAFLASICIPDSFDQLSQVLRRREHEEDSSIGG ADSPAGGRFKEGEAKLTNLVQGKLLLLVYFGLQLSRDFAVCFVNSDLLHAVDSILSAR HPHASAATTSYALQSAHQVVTLSVRIALEVTASLSKRADVLPLFDALLGKLLHYPACR QGLVDTLLDNANHEYEGFVDGLANVVQTPHATSSAIKALLAVLSNQDIVCDVVALNQV WFQSALVQVAQVVLPDTSSPDVLVDGIRVISSALDMFAPAPYVDEFVVHHLLPTFHGL LHSSTNDSARRFAVELLYSIVLRDVAFASILHRLDLIGPVLNLVSHTMSPSTTKLVVL VVTSHEVPVAALLALGLPSILSQAVLTVDNHALVGPTGDLLEAMYALLYDQYIAMTKE EAASSSPDPTLALYAQHLPVFVQCLPRLVDLCTHSSHDQDAQTCVDSASRCISILCQV CT H257_01261 MAPELFEQHPVHSFASDFWALGCVLYELRTGSQPFSSVGGFMDL RSMIQTKAVAIPPPAHVDMSRDLCDLLHRLLVKDPAQRISWDDILGHPFWDKTSHKTS SSPLPAFPPQPLFMKRYPTRLPQSSPICDQDQSPQVSQDSNSTCSNDDGGDSMIRTRP ATAPPLARQPDLPPPNNDGTSARHPDKQQQLSPLPPPKTAPPPHRNLARPHQPVKLST PKPAPHRIFTTLDGVVQPIVACADIEAVAVPRINETLVPFAVVPVASLVTQADIEAKL EQLYHYLRRDDVTVSDKHNALAYLFSISTSSKVANIIVNSSLMTLLGKLLEKSTSSAL SSRLGLVLGYVVRYATFIAPDVVASLAPILIQAMDVENVQITRRVVACMGELAFYSVT QHQPLSCALVQSLVRVLQADDVIVRHYAVQTVGNILTHSSDDSDVGVVDPFVTPAVAL ALIEKSLRNASPPNLQMAAMLTLSQVLKHTLSQVLNHHNLGGNDAVFGLVARNLSIVW DHLWLARGSHHFYRWAIASLNVLNVTLQHAAQDTQAFLASICIPDSFDQLSQVLRRRE HEEDSSIGGADSPAGGRFKEGEAKLTNLVQGKLLLLVYFGLQLSRDFAVCFVNSDLLH AVDSILSARHPHASAATTSYALQSAHQVVTLSVRIALEVTASLSKRADVLPLFDALLG KLLHYPACRQGLVDTLLDNANHEYEGFVDGLANVVQTPHATSSAIKALLAVLSNQDIV CDVVALNQVWFQSALVQVAQVVLPDTSSPDVLVDGIRVISSALDMFAPAPYVDEFVVH HLLPTFHGLLHSSTNDSARRFAVELLYSIVLRDVAFASILHRLDLIGPVLNLVSHTMS PSTTKLVVLVVTSHEVPVAALLALGLPSILSQAVLTVDNHALVGPTGDLLEAMYALLY DQYIAMTKEEAASSSPDPTLALYAQHLPVFVQCLPRLVDLCTHSSHDQDAQTCVDSAS RCISILCQLYGDLACNALLPRVGLPSPALSSLVNVVVGGGYNSAVRLRCIQGLKAALA SRNEPQKVPARLVQALRTLAASATSPTASSEARTTAMVALQICHMTRAE H257_01261 MIRTRPATAPPLARQPDLPPPNNDGTSARHPDKQQQLSPLPPPK TAPPPHRNLARPHQPVKLSTPKPAPHRIFTTLDGVVQPIVACADIEAVAVPRINETLV PFAVVPVASLVTQADIEAKLEQLYHYLRRDDVTVSDKHNALAYLFSISTSSKVANIIV NSSLMTLLGKLLEKSTSSALSSRLGLVLGYVVRYATFIAPDVVASLAPILIQAMDVEN VQITRRVVACMGELAFYSVTQHQPLSCALVQSLVRVLQADDVIVRHYAVQTVGNILTH SSDDSDVGVVDPFVTPAVALALIEKSLRNASPPNLQMAAMLTLSQVLKHTLSQVLNHH NLGGNDAVFGLVARNLSIVWDHLWLARGSHHFYRWAIASLNVLNVTLQHAAQDTQAFL ASICIPDSFDQLSQVLRRREHEEDSSIGGADSPAGGRFKEGEAKLTNLVQGKLLLLVY FGLQLSRDFAVCFVNSDLLHAVDSILSARHPHASAATTSYALQSAHQVVTLSVRIALE VTASLSKRADVLPLFDALLGKLLHYPACRQGLVDTLLDNANHEYEGFVDGLANVVQTP HATSSAIKALLAVLSNQDIVCDVVALNQVWFQSALVQVAQVVLPDTSSPDVLVDGIRV ISSALDMFAPAPYVDEFVVHHLLPTFHGLLHSSTNDSARRFAVELLYSIVLRDVAFAS ILHRLDLIGPVLNLVSHTMSPSTTKLVVLVVTSHEVPVAALLALGLPSILSQAVLTVD NHALVGPTGDLLEAMYALLYDQYIAMTKEEAASSSPDPTLALYAQHLPVFVQCLPRLV DLCTHSSHDQDAQTCVDSASRCISILCQLYGDLACNALLPRVGLPSPALSSLVNVVVG GGYNSAVRLRCIQGLKAALASRNEPQKVPARLVQALRTLAASATSPTASSEARTTAMV ALQICHMTRAE H257_01262 MGGGGSTRRLNLYVLSAMQDEGYAPIEWIGTSLKAKKALSLRDF DVKTLIGTGMLGHVYVAQYKGSRQYFAIKSMWKADVVDRNMLKHVQCEKQTMESLRHP FLIKCYAKFQTSDQLHFVLEYVPGGDLFKWLHEFHRFYDHEAKFFAAELVLVLEFMHR EGYIYRDLKPENIVLDAHGHIRVIDCGFAKYVGNPEDNGRCTTSVGTPQYLAPEQLRK KDRSYTQAVDWWAFGCVVFELLMGRTPFYRSPSDTPYELYTRVVQGKLTFGDRFTPHA KDLIRQLLQPDLAKRLVDPVKIKRHAWFGDVDWQMVEALRIQAPIHPPLRMAGDTGNF TPLDKATKATHKSISAAMDREFSDF H257_01262 MGGGGSTRRLNLDFDVKTLIGTGMLGHVYVAQYKGSRQYFAIKS MWKADVVDRNMLKHVQCEKQTMESLRHPFLIKCYAKFQTSDQLHFVLEYVPGGDLFKW LHEFHRFYDHEAKFFAAELVLVLEFMHREGYIYRDLKPENIVLDAHGHIRVIDCGFAK YVGNPEDNGRCTTSVGTPQYLAPEQLRKKDRSYTQAVDWWAFGCVVFELLMGRTPFYR SPSDTPYELYTRVVQGKLTFGDRFTPHAKDLIRQLLQPDLAKRLVDPVKIKRHAWFGD VDWQMVEALRIQAPIHPPLRMAGDTGNFTPLDKATKATHKSISAAMDREFSDF H257_01262 MLGHVYVAQYKGSRQYFAIKSMWKADVVDRNMLKHVQCEKQTME SLRHPFLIKCYAKFQTSDQLHFVLEYVPGGDLFKWLHEFHRFYDHEAKFFAAELVLVL EFMHREGYIYRDLKPENIVLDAHGHIRVIDCGFAKYVGNPEDNGRCTTSVGTPQYLAP EQLRKKDRSYTQAVDWWAFGCVVFELLMGRTPFYRSPSDTPYELYTRVVQGKLTFGDR FTPHAKDLIRQLLQPDLAKRLVDPVKIKRHAWFGDVDWQMVEALRIQAPIHPPLRMAG DTGNFTPLDKATKATHKSISAAMDREFSDF H257_01262 MLGHVYVAQYKGSRQYFAIKSMWKADVVDRNMLKHVQCEKQTME SLRHPFLIKCYAKFQTSDQLHFVLEYVPGGDLFKWLHEFHRFYDHEAKFFAAELVLVL EFMHREGYIYRDLKPENIVLDAHGHIRVIDCGFAKYVGNPEDNGRCTTSVGTPQYLAP EQLRKKDRSYTQAVDWWAFGCVVFELLMGRTPFYRSPSDTPYELYTRVVQGKLTFGDR FTPHAKDLIRQLLQPDLAKRLVDPVKIKRHAWFGDVDWQMVEALRIQAPIHPPLRMAG DTGNFTPLDKATKATHKSISAAMDREFSDF H257_01263 MTTRLPDKAIASGTHQRMKQPLELTRCPHMTQLQLPGLCLDPTH ISKSGHDCIAKAVTTDVTIHEYFRLQHREVAQTAPSLSEWICE H257_01264 MHDAPSSLPVLCGSLMFYFLWLTAASASFIPLPNTTSVTCPRLS LLDARSAMATEPELYSTTSQWWKQPGATATSFDIAMESRTSSYVCPNTSKPDQSTKKK KKKSKSADKHIALKLLLFGMVVIVLTTLF H257_01265 MDELTLGGESVKKRRKCVSKAERLAKKALAGALTEEQRLRQMQP LLESNRSEDWDSANRLVESPATQVLFLSSLLRKQQGRAAAHYMKRWSHPPQYELSTLL KTPALLAILNADASTAATFLNTCADNPVPLSSQSLYFILHQFVLPWIANELDAPLQTL LHNFSPLKWLLLEHALVTGQGRHLVNQYAYVCQELKRTSSSLTPWWPSELTDVSTADT RERIRHTMEAALQRAWPDASVHLFGSSKTNLCQPNDDVDLCVLVPSCDVRGADSADLA ADMHGHLALYFPAPDAVVVRHARIPVIKTRDADSHLRVDLCVNNMAALWNTALLEAYL ARFPVLRPLCQCIRLWAKARALVGTSHMLSSYSMVLLVIHWLQSRRVVPFVDVAYTDA LDVSAIHGAIARAFDDAVPPSALQTQLPPVSELLVDFFVFWASDFPYATAIASLRRHD LTKPKTCHNQPLLYLEDPIETGRNLGSYLNRDSQRVLRNEMVRVCVLVRQWQQLQPSP SLLLHSVSFGTSAESQYDPDALTACILRRRAPHSQCFTMTGDVVFRERTHGLHISTIT SDETEARRVVASAVRLGVVGVDCEGVALGRHGPICLVAVAVGCHVSLFDIYTNPALMD VLKPLLEDPTTLKVLHDCRKDSDALYHQFGIALTNVFDTQVGHAERQRQRGLKKAKQG AKYMQVSSTHVIPLGNANHECIAFGDLLWQCLSIEEPDKHHVKAAMTTSTWTQRPLSA QLMHYAAVDVLYLAVLYRVLVKALSADALALAQHRTAQYVACREWIYSSLLDNAVVPI GHYVDGWINNVTPHTVYVSISPSHVAAVAVPPHGAPPLPDSAMQHQLHVGDAASVYIE SVDAESGAIVGRFRIPSEVRMML H257_01265 MDELTLGGESVKKRRKCVSKAERLAKKALAGALTEEQRLRQMQP LLESNRSEDWDSANRLVESPATQVLFLSSLLRKQQGRAAAHYMKRWSHPPQYELSTLL KTPALLAILNADASTAATFLNTCADNPVPLSSQSLYFILHQFVLPWIANELDAPLQTL LHNFSPLKWLLLEHALVTGQGRHLVNQYAYVCQELKRTSSSLTPWWPSELTDVSTADT RERIRHTMEAALQRAWPDASVHLFGSSKTNLCQPNDDVDLCVLVPSCDVRGADSADLA ADMHGHLALYFPAPDAVVVRHARIPVIKTRDADSHLRVDLCVNNMAALWNTALLEAYL ARFPVLRPLCQCIRLWAKARALVGTSHMLSSYSMVLLVIHWLQSRRVVPFVDVAYTDA LDVSAIHGAIARAFDDAVPPSALQTQLPPVSELLVDFFVFWASDFPYATAIASLRRHD LTKPKTCHNQPLLYLEDPIETGRNLGSYLNRDSQRVLRNEMVRVCVLVRQWQQLQPSP SLLLHSVSFGTSAESQYDPDALTACILRRRAPHSQCFTMTGDVVFRERTHGLHISTIT SDETEARRVVASAVRLGVVGVDCEGVALGRHGPICLVAVAVGCHVSLFDIYTNPALMD VLKPLLEDPTTLKVLHDCRKDSDALYHQFGIALTNVFDTQVGHAERQRQRGLKKAKQG AKYMQVSQQTKLKGR H257_01266 MPKQTVTPFGRVQSPEERETAMTAAAAPRRIESSRKHTTFATPR GDLTPHHAGPGICMHTPISEKDEYVFQVRCKAMEEVNVILSHDDSDSTMKARQAAACD SMKQFFWRTASTKESTLMTMFNFFHNSRTEESYQLDASQQSINQSQVVLFLLIVSRVA MAVWWFHTKNDAPLVGMELIADKYADPFHWMFLPFGLVAVLPFRCFNSWGKTKLIAYW IRRYWKHIVTVILMLFCVGYLIYYKMVHRAMADDFQRRHSQTTCGQDAPSSLNGVATM FDLVTESISGLQDHLYNMFLINVIATSLVLCLTLKLDFPQAVLVLGSSFLFYLLDWAL DAPVNHVYRACNYFVFAFAIVLPIVTGLTAFYFIDRDARMAFFSKVDAERVNTALKHG VTVNRLQYQTLGRVGPFEEQLLLELLAKTPENALIHNVSIPFEDLTLHELMTEHAKGD VVRGEYTGLRVAIKRLSVLTRETVVEFKAHVELLACLRHPNVVQFIGASFDALLNLCV VMEYMEKGDVYTLLRTPMALEWNDPLLQIAIDAAQGIAYLHHSNVIHRDLKSENLLCT ATYACKVSDFGESKQVQTQECLETMVGTPYWLAPEILRETPYQNKVDCYSFGIVLIEL ESRRDPYFDCDDMSTIDIMMQVAMGTLRPTIPASCPPRRRALIDQCLADTPQDRPSMV TILKALQTDIRDEVLGVNFSDPQQNRRQLLQKHQMLNRRGLHEVLATTDQHS H257_01267 MIFLESESKIVQEVLLGRLGETAPRPVEPLEIRLCDFDDVQYDI SIQGTTLAVSMAYRPYIELQKFGAANMLAKVYPEFQITTPKPGFELTLVANVDTISPQ DAANVVHRIASLKRNILSAPLNHCFLALHAGQAKVLSPIQIPFRRQETIYVLPQEDRI VIVFSVAFQDRTDQAIARVFLQEFAEARRHVNNAPPVSFGKDPPLELRAASGLHTSPD HVGYLSFAIFKSHIDSEAKRENACTLLQGFRNYLHYHIKASKTYLHIRMRKRVDLLLQ VLNRAQPAKDPAKTSKKTITGKTFDRKV H257_01268 MLARSMTRWFGTSTRLQGVVVGHIVKVTSHPQAERLNICDVAIA VGADPVQIICGAPNVREGMKVPVATVGTKLTFRVPNPEDAGGALVDKMVKIKRSKLRG EVSNGMICSEEEIGVGEDSSGIMELSSASIVGTPFAEYLAELEKLHVIQDQLHHD H257_01269 MAKDVFVRVALFCGLFAIQCSVTVLGSVTRDTIFLRVYDSRYIS HMVLVMSFATAYASTAISQLQQRGVLASTIACAFPAVSSVVMLVFWGVLIHVPALVHV TSILLYMWVEISGQLLAQQFWDTCSGAFNVTESKQYFGAITFGSTIGTLFASFGLIPI MRTYDVSTEGTLVVVALLQATIGLSMLVVTPMFRARPSNTTPPPRGGPPTSVISEIQR RSYLKHVCFFEFGATVARVFVDYSTLAILGQYPEATVKAALGSINGVQSFLMMPLQVV AGPLFTYFGVMYGISTLPLAVLLFGVTTYMSSSSMLLIASRAMYNSVTYAIFNPAREL LWLPLHAQDRSKFKSFVTGPFRSLARVLGALLSMALTSDVVTSYCGSSAVSMGVIVLG LVWFGDALAARQAYAAEFYASLKKGHMDVTSSHLVDFTTDQIDLVHSTLAQGEPTRIA FVLGFIRPPHVPMFHKALRSVFYRAETSLPTKLKLLQLHVAFKTQQPHDTADDLCDMF QLDDLVTLYDDLASPRQLRLAAVLACGSSPQALGRLQARLDSETDMSLRVGAAIAVLR ASEWMDEKAIMLLQKLLHEPPDVKAKVTCLRIVGKELPELLGNGYLVYLLHQSQESRI VHAALECCRQSQRTSPMLVPALVKWLAEASFRPQALDALVTFPPPVVWGPLVDFLEKA LDRVSLDGTLGGVRCLEMGQFPPHAKAEVLLNMMDSLVELETEMTLRRVLELRQRLPL WEVLADALVGTDTSHNEGHRVDGVAAACIFTAYQLSHVRRQLADGGGRDGLLAQVLEE ALDTHLRVVLKLVSATFPRGFNIHVLIEGLHSDVPEVLSAVQEVLETLLRSTVKHTLT PLLFPQSPKAPAALQILKRVEGVQGQSSLEMVQQAMTDPSVDIELACLALEHYLGLAT KAVDLNDVVVLSEAHALRLMQHPIAQEVVSRTFLCDSTDRAKTALQHIFQAISLPSPD TGAAAVAPLAFVDVVTSLRTCALFRSINVLELIQKIASHFCQVVVSGGSVVVAEGDAA THMYVIATGSVQLHQKCHGALLTTLHPGACVGELALLTSKGTHPTTATAQSACVLLGI SRQSFNSLMQTHTAVARGVLDALASALQWSYVVRSSTEGGVDDKAANRQWLKRLVSHV SHVDKAASAMLATIGRHRSKSEVPPTQARKEVVPQGETTEEVVPTLKQRGGRRTKSHL RECSTLLDFAVSAAVQTHDHDDDMHCARVTMTHLEKCLHLKASQFMKDMDDDQIAAVA QMAQVVVLANGATLYEDGSAATRMYVVVQGCVVSKASDGENSHHETFEPGDSLGEWSF SRGATHMSTATALSTHLSVVLLDIPAVEFVELAEKHVKLLHLVLAWLSRKITIKMNLP QDFHLVTPQPLSPIGSPRHPHSPPRKMWSDEHAKGNDSQEWGTVTSMALRQRQMTI H257_01269 MAKDVFVRVALFCGLFAIQCSVTVLGSVTRDTIFLRVYDSRYIS HMVLVMSFATAYASTAISQLQQRGVLASTIACAFPAVSSVVMLVFWGVLIHVPALVHV TSILLYMWVEISGQLLAQQFWDTCSGAFNVTESKQYFGAITFGSTIGTLFASFGLIPI MRTYDVSTEGTLVVVALLQATIGLSMLVVTPMFRARPSNTTPPPRGGPPTSVISEIQR RSYLKHVCFFEFGATVARVFVDYSTLAILGQYPEATVKAALGSINGVQSFLMMPLQVV AGPLFTYFGVMYGISTLPLAVLLFGVTTYMSSSSMLLIASRAMYNSVTYAIFNPAREL LWLPLHAQDRSKFKSFVTGPFRSLARVLGALLSMALTSDVVTSYCGSSAVSMGVIVLG LVWFGDALAARQAYAAEFYASLKKGHMDVTSSHLVDFTTDQIDLVHSTLAQGEPTRIA FVLGFIRPPHVPMFHKALRSVFYRAETSLPTKLKLLQLHVAFKTQQPHDTADDLCDMF QLDDLVTLYDDLASPRQLRLAAVLACGSSPQALGRLQARLDSETDMSLRVGAAIAVLR ASEWMDEKAIMLLQKLLHEPPDVKAKVTCLRIVGKELPELLGNGYLVYLLHQSQESRI VHAALECCRQSQRTSPMLVPALVKWLAEASFRPQALDALVTFPPPVVWGPLVDFLEKA LDRVSLDGTLGGVRCLEMGQFPPHAKAEVLLNMMDSLVELETEMTLRRVLELRQRLPL WEVLADALVGTDTSHNEGHRVDGVAAACIFTAYQLSHVRRQLADGGGRDGLLAQVLEE ALDTHLRVVLKLVSATFPRGFNIHVLIEGLHSDVPEVLSAVQEVLETLLRSTVKHTLT PLLFPQSPKAPAALQILKRVEGVQGQSSLEMVQQAMTDPSVDIELACLALEHYLGLAT KAVDLNDVVVLSEAHALRLMQHPIAQEVVSRTFLCDSTDRAKTALQHIFQAISLPSPD TGAAAVAPLAFVDVVTSLRTCALFRSINVLELIQKIASHFCQVVVSGGSVVVAEGDAG ISIVQCHMFLCSPFMWLCGSHPHVRNCDGVGAVAPEVPRRTFNDAAPWGVRGGVGPLD VQGHPPDDRDGAVGVRPPRHLPSVLQLAYANPHGRRARGAGCARVRPPVVICCTQFDR GGGGRQGCQSAVAQAARVACEPRGQGRVCDAGDDRAASVQVGGAADTSAERGGSARRN DGGGCSDVEATGRTPDEESPEGVLDVVGLCRFSRRPDTRSRRRHALCPCDHDTLGKVF TLESIPVYERHGR H257_01270 MTSFLFTQHSSFGIVDGCNIEKVAANKWTLQLWMSGADESPLRE ESDPWLGSPDPACVDSLVACSSITPDVRATISVWSRGAPWLNPLTEEASSVPVDSSAS LGSRKARRNVWVCAVIVLLGMLGAAILLAYVAFVPSQKHFSPPPSPTRTIAPEPAIPP SPTTNLAPGTTTAVPAYLLYEPGALVLVNECTTESTVYFTRTMPNAVAEVGQREMNST QYVMVVPGKHWDDFIAADFRLGESIFATNFAVNRDYGQVFYSISTRNGFNVPVEVAPM REGATRDGCPVLRCDAHDCEGRVHVQFDCNWIERLQVTFCPSSSLFIS H257_01271 MAANSKDPNIQLLVFNGNKKGFRVWTQKFVQHLKALTTAKVGLW LANQTSRPEPKIKFEDWLSGEPPVVHGANESEQRRSLLSKVLPNAFTQQFKDAFGEDQ PVHLLWAAVEKRYGESNVNTRYDQ H257_01272 MLAVRRVASLAVARASVATKNAPTLKKSNRFAVFPRRNFSESAK PNSAAHGEAAQTDAIVLTPYQKVAAGTQVGMWTAALGLASVCGYFIVRELFPGRMSPN SLFSEASDICLQNDLVVQRLGQPIRCYGKDFGSHKEGRRNFIEHVELNDKEGNKTRLR IKFNLKGPNGKAEAWAEVNKDMPTGEFVYLIVRTYTGELIKIQDQRQILQADSEEERE AMRRLLGQ H257_01273 MVCCLSGVEGSMITSLSWKRWRVDVIGGGELNRMGRGGIVVKPA RHWILLPQQTDQTSDRRQPLEEWQVSRGGHDVLPVRIRQQHIRRGEGCSCSGRMAELE TPQLAVSLALVGVLLVAVVRTQRVQFAVGLDGAMIGALAHRRVHAQVGQVGRFGNTLG RRRRCRREDVCFTAHDAIPK H257_01274 MTIWAAWAYVLLPPAVILLVLLTIPFPKAIAKGVVRMNDFFLNF EVAGVPVVSLVTFFAFVALAGQSYDLQKRYNYQISGMEKHYEADLQHKATRWRSERNW WISALTFTIYWMLLAFQSMKKQLLAASRRVD H257_01275 MTMWATWAYVLLPPAVVLLLLLTIPFPKFIAKGIVRMNEFLFNL ELGGIPIISIITFFAFVALAGQTYDLQKRYTNKIPGIAKHYEADLQQKASRWRSERNW WISALTFTIYWMLMAFQSLKKQLLAANRRTD H257_01276 MCFLDSSIIQRIDACRRAMDQANPRALAIPVVYYPVLYERRYKL HFTIEATVLPSRVNVATLMPATSACFGSVSYICKVSYIRYGNQQANPGTRQTRHHIAP PHPSTRGSIRLERTSMPIETRRVAGCGRVCSLQRLVLH H257_01276 MCFLDSSIIQRIDACRRAMDQANPRALAIPVVYYPVLYERRYKL HFTIEATVLPSRVNVATLMPATSACFGSVSYICKVSYIRYGNQQANPGWSVPVCRSKL VVSPVAAECAASNV H257_01277 MLRTTTKVLKPTVASVRAFSSASGAEVIGIDLGTTNSCVAVMEG KNPRVIENSEGSRTTPSVVAILENGERLVGMPAKRQAVTNPYNTLYAVKRLIGRKFQD KETQEVAKVVSYKIVAGTNGTDAWVEAQGQKYSPSQIGSMVLTKMKETAEGFLGKTIT QAVVTVPAYFNDSQRQATKDAGKIAGLDVLRIINEPTAAALAYGLDKADGKVIAVFDL GGGTFDVSILEISGGVFEVKSTNGDTLLGGEDFDEELLRHLVSEFKRESGIDLSGDGL AMQRLREAAEKAKRELDGLAQTDVSLPFITADATGPKHLNIKITRAQFEKLVNHLIER TLNPCKKCVKDAGVTKDEINEVILVGGMSRMPKVQTSVEEFFGKRPSKGVNPDEVVAM GAAIQGGVLRGDVKDILLLDVTPLSLGIETLGGVFTKLIPRNTTIPTKKSQVFSTASD NQTQVGIKVLQGEREMAADNKLLGNFDLQNIPPAPRGIPQIEVSFDIDANGIVNVGAR DKATGKEQNIVIQSSGGLSEDEIERMVREAEVNAEADAKRKELIEAKNEADSLVYTTE KTLTEHEAKLDASLVESVKASLQGVRDAIEKDDLATLKAALEDLNKTSMKIGEAIYKQ GNTTTDGADDKKEDKEDVHDAEFKDKKP H257_01278 MTTRHIAKSFVSVAQREGVGATVRRSIGHPMLRRLDPFLMLDEF HVALPGGFPDHPHRGFETITYLLPHSPGKILHEDFCGHKGELAPGDLQWMCPGRGIVH AEMPATRDAPAIGLQLWLNLPARLKMTDPKYQEIPATGLPRAKKGNVEAIVIAGEAMG EKANVFTNVPITYVHFTLTGPAIHFHPIPAHHNSFVYVISGSGRIGGEPVEAHSVVLL TAVQGQTGISLEATGGELQCVVLSGEPIGEPIEQYGPFVMTTRAELQQTVQDYQLGQN GFERADAWHSKIAQLAHTT H257_01279 MASCELTLEELLPEVANVFAIQESALFNCMSILSTTITRHEEES KSKASAADIAQVRGDVKKATEFFGDSKKMIQRLEKTQERLLAELSDLRQENASKDTAL AALERQQEQHMAAYEQHLEELDRRFECISRMKSEQKDVVKRIEIQERSYAELKFGMAM LAKSIGDDTGEAAPILPLVSLVPVSGRNSNSDHRSMKHIMSTAHLRRPTLAAVAAITA VSQGSASNVAPLDPMMAPMSRKASISKLNDHLSDRNSSDKSVLVDVTTAVLVRQGSTL FKKAPSMALLPPSPNASAPPPDLTDTSIQMSRRMSERSDSYRVESADALSEVALLDEV TQTLVASAVQSPPGLVTDASANFVDGMADQDETTLEQEHEADVGALLEPRMVPGGVAG GLAPNDPARRVGETEAGGASSANAPQEPAHLEPVGSAATPATTVDRIQPISPQVDILD APPTNETSQRQESTPIQSADTTTSRNISTKSLNEKAVSESRAISNDKTSQVVETNKAV TSLTQTSSKQVAPMSAEPDAQSSASRRVSSSSIAPPMAEVVQELPESPRESGMMRSHA EYKYLWHWAYSNVIALYRMHGQQNVQQLLSGTKKDTVGSRVRQIEDTMDAVHDSLDDM RAKVDGLDAVHSDLEHIKVSTTEVVEQVASLRIVSSQTEANTQHLQDQLRQLEGQVQE FVQSFTTSRELPAPKPHTPRPVAPVVPVAAFEALQAYVATLDKSLARLDPFMATCAAV EATTKTELQAITANLTTQAQVWEKELGKLADDGRKNAADHKRQADDAAAHVNAVVGRL YEWVHFLGDALHVACCADNPADSAPYRHLVSAFRSKYSSLGAKLVVAKAQPLNPATDA AISAVCHHVDGLADVKDRDVDKYMVSGMAPLALLLDTLSQATSDDPAANSHRTALVRL VRETLVEAKGVACVALLFARFGAVHTKAAALATALDDTKAAMGRQTKDIYELNSLHSV IKTMSAKVEYVVNNTQTMIVEDDLNKVVARLSDEREQLRADVYTSVKQLFAVSSELEA SLEREVTALASRLHTKIDRDEVGMAQQTMQGELERLAHDVVSHDEFVVLSERLKRKPD SNDIRAYVRQKISTLKLQQTEANTDAPLLGSVPVRCISCQNVVEAKAQPVVPRDDKPQ RDVLPFTTSSVRHVQKQKLEAMLRAKGALK H257_01280 MQDAPLPPLGHVPLRDKRRPSEVLIAEDPSIELVCATTRSTTVD VSKLQEALLALPEERWSEAYQTKHNVSLRRPFHDKVGVNKIISIFSDNHLEHVYLLPE WTHWQPLVLPIFEHLDIPLDRVVRCLFARMPPNTLIPPHHDNGPWVARTHRIHVPLVT FPEVEFKSGRDEATMQRYAFNVGTVVELNNAAKHSVFNGASGWRIHMIFDVLEQIGGH ETDSLPTVTTLNAGQLCRQVRGRVELVTDVQQTSTEAATQVARELLAKLKTRLPKEHG DALGTGIRHFFIEQITAPEFASVVRRHCPDAMQGDVLEVLRSVDAVMADEATAALRAD ESFGPSYCILGVQKCGTTSLFRQLGQHPLVLNGKRREPHFFDWMWGHALAWNGVPRTD YAAAHALLQHYTFLPNNDNDAASNTSAHDMRCKYLLSLQAPLEMFDPASMLLADSTPS YLLYGAPVALRMRQLLPSIRFIVMLRNPIERAYSQYHMTADPTGTPHQLEMRKVVQGK SFQDIIHEDLARLQAVQADPTSISKFQEYADALPQDHGSHSYLGRGLYALQLRIWLEH FPRSQFLILNVDNMDTPVSTQATLDDVCTFLRLPPFTLRDAARQNTREYPPMSDAVRD QLRAFYAPHNAALADLLPEFTFSWS H257_01280 MQRYAFNVGTVVELNNAAKHSVFNGASGWRIHMIFDVLEQIGGH ETDSLPTVTTLNAGQLCRQVRGRVELVTDVQQTSTEAATQVARELLAKLKTRLPKEHG DALGTGIRHFFIEQITAPEFASVVRRHCPDAMQGDVLEVLRSVDAVMADEATAALRAD ESFGPSYCILGVQKCGTTSLFRQLGQHPLVLNGKRREPHFFDWMWGHALAWNGVPRTD YAAAHALLQHYTFLPNNDNDAASNTSAHDMRCKYLLSLQAPLEMFDPASMLLADSTPS YLLYGAPVALRMRQLLPSIRFIVMLRNPIERAYSQYHMTADPTGTPHQLEMRKVVQGK SFQDIIHEDLARLQAVQADPTSISKFQEYADALPQDHGSHSYLGRGLYALQLRIWLEH FPRSQFLILNVDNMDTPVSTQATLDDVCTFLRLPPFTLRDAARQNTREYPPMSDAVRD QLRAFYAPHNAALADLLPEFTFSWS H257_01281 MGTDDFRASMDKLVLLPTPTMSITILCVTLYIVVGMTALYRSVV PRPLPVTRLKAFQYLVAAFSLLRSMSFVSGDPSARNIVNRLALCIFFSLVLFQVFFWF DIVNPGMSVRSKRIWNTFLAVNFVFYTFVLVLQLVSPATPVELDDESRVRINLWSDLL PVLLVALGSVGSAGGMLYLSCKMRLRVQRLVDEVPRQTIQAMHRALAFLNGVLLLSSV VFAMRTVFYLQRPWSHKECGAIQDPNVCIFVGYVLPEMVPCILFLALMQQVDPRLWTS SCHASTSETTPLLRKQPASSVFDTPNHVRWQRPLKSPTTITTPLPPRPSPSALGSPPT PHSTAAASEPALWLSIRCIDLHTDSHGPVSTFVVATSIATGSEIGRTDVCHGDNSSPM YYRVLLNVPTLQGALRLQVFALHDVASLVMEAVLDDPSTLAPGHAMSFRRDEDGAMAL PDGLLMIRCEAVSSVEPTFQRLARAFVWPEMTLLAEEELVESPFTWSIPYQLLQVIVV DLADKLDRLTDAATSEIESSLVVATSPPEGEEDDGGGNRSLLSDMIFHLQGNKRRRSN HKWRVEMIQRMEMYLAQVRSVLQTYEAGPVVTFKPSTKKADPDLRFVALNLHAQMLTL GSAIPDEGRIVASEATWDDAPLALKEVPPFKNYRLFGTVTVGAFAAHVYGFGQGGVRQ LRENWERLRELSMQAIGADLAVLQRDMHALEWHIDQRLDVAFSQAMTALVTSFSQTLY VHLHASDVAGDGDENRKTPALYLQQLERHGFLFSVESLLSTVGAEAGMLGDMDAAVKA LAHVTLQLQVVRSSGVFDMRMSSRGSSGLTIELPLIDAREKALPTGRRVPGQPVSLSP SSCSSVDHLAVGTRLDIAVVPVLFNQGMNELQTVANTVGRSQLQEDINIESSIVLEAY LTAVSTSPDVLRAWEGVKGKIQQAKAEKVMDIVATTSWIARQVGGGRVTCCKSGKDRT AMSVTLEEATWMADHAATTISSSSSSSSHIDMDQASRQGGWTVEWTQLLRTYGVRREN ARKNIGKAQYAFNTWQNYLLPSEYKCPPGTGGGGTS H257_01282 MVVGQGLRFALARVVSRPKGEDKQRAKTNGPTVAFTCLQSYASI STMFLETAQRVVLKSRHHYRPTRLTTSYGGGNGSHSTCDIPTSIQPCPLLRADILEEL DWSMGIDVDTSSKSRSSIGHAAELFLDLWEYLLLTCENMVQASTLLHASSSAVDFGEK MKWEKSELVIVKIMERREFHKVYEAFRVAADAAATTTDNQTSSMSSSTSRTKASQSKA YLAQRTHSAKSPAAAAAFVDDPVGLAFSSDPNQLLTTYIDLLHRTLRYASHTDIRSIM ASTIYNSVVSIAFCRFPPAQALLLDTFDAKGFNGSTTGPRKVPRHHRRPSMAKRTFFE DDNPALFGWHLTDIPHLQPALAAIDDCIHATLTQLLDAPNNIPPSAATHSTGQTTSGN PDDANIGSNNNDDDDGRPPLDENDEDEEDRPPHPSPTNSPVHAQSTLCVSMFLALWIA MTHHILRTSRGHIPWQDLPAYRHLHDRGIRLFEKAYTLQTLECDDDSNLVRLDMMLQR RIKVDMDFPIDILYPQVVFATTTKIIGLTQSPIDFIAILFKHTSSSYVRAVPACVGHL EHWLTVLPMEVFQEASLHKLLVKHVRKLLMSDRVDRLKSILIFLLHSVGLFDMALQAS ILLALHDAFDHLFRHWFRDVRFCYHLVLLYLFGDRRQLGSRSDAILLGLDTDIVVLQR HPLWLQFDERLLDALEGCRSATRTTPYDRTSFNEYIGQLEHYYQSAESLPDGEAVPIP RIKVQAPNSRGG H257_01282 MVVGQGLRFALARVVSRPKGEDKQRAKTNGPTVAFTCLQSYASI STMFLETAQRVVLKSRHHYRPTRLTTSYGGGNGSHSTCDIPTSIQPCPLLRADILEEL DWSMGIDVDTSSKSRSSIGHAAELFLDLWEYLLLTCENMVQASTLLHASSSAVDFGEK MKWEKSELVIVKIMERREFHKVYEAFRVAADAAATTTDNQTSSMSSSTSRTKASQSKA YLAQRTHSAKSPAAAAAFVDDPVGLAFSSDPNQLLTTYIDLLHRTLRYASHTDIRSIM ASTIYNSVVSIAFCRFPPAQALLLDTFDAKGFNGSTTGPRKVPRHHRRPSMAKRTFFE DDNPALFGWHLTDIPHLQPALAAIDDCIHATLTQLLDAPNNIPPSAATHSTGQTTSGN PDDANIGSNNNDDDDGRPPLDENDEDEEDRPPHPSPTNSPVHAQSTLCVSMFLALWIA MTHHILRTSRGHIPWQDLPAYRHLHDRGIRLFEKAYTLQTLECDDDSNLVRLDMMLQR RIKVDMDFPIDILYPQVVFATTTKIIGLTQSPIDFIAILFKHTSSSYVRAVPACVGHL EHWLTVLPMEVFQEASLHKLLVKHVRKLLMSDRVDRLKSILVRSSQPNLTVSHIHLPT LVTDIFTAQRGAI H257_01282 MVVGQGLRFALARVVSRPKGEDKQRAKTNGPTVAFTCLQSYASI STMFLETAQRVVLKSRHHYRPTRLTTSYGGGNGSHSTCDIPTSIQPCPLLRADILEEL DWSMGIDVDTSSKSRSSIGHAAELFLDLWEYLLLTCENMVQASTLLHASSSAVDFGEK MKWEKSELVIVKIMERREFHKVYEAFRVAADAAATTTDNQTSSMSSSTSRTKASQSKA YLAQRTHSAKSPAAAAAFVDDPVGLAFSSDPNQLLTTYIDLLHRTLRYASHTDIRSIM ASTIYNSVVSIAFCRFPPAQALLLDTFDAKGFNGSTTGPRKVPRHHRRPSMAKRTFFE DDNPALFGWHLTDIPHLQPALAAIDDCIHATLTQLLDAPNNIPPSAATHSTGQTTSGN PDDANIGSNNNDDDDGRPPLDENDEDEEDRPPHPSPTNSPVHAQSTLCVSMFLALWIA MTHHILRTSRGHIPWQDLPAYRHLHDRGIRLFEKAYTLQTLECDDDSNLVRLDMMLQR RIKVDMDFPIDILYPQVVFATTTKIIGLTQSPIDFIAILFKHTSSSYVRAVPACVGHL EHWLTVWQFGSLDTCATWVT H257_01282 MVVGQGLRFALARVVSRPKGEDKQRAKTNGPTVAFTCLQSYASI STMFLETAQRVVLKSRHHYRPTRLTTSYGGGNGSHSTCDIPTSIQPCPLLRADILEEL DWSMGIDVDTSSKSRSSIGHAAELFLDLWEYLLLTCENMVQASTLLHASSSAVDFGEK MKWEKSELVIVKIMERREFHKVYEAFRVAADAAATTTDNQTSSMSSSTSRTKASQSKA YLAQRTHSAKSPAAAAAFVDDPVGLAFSSDPNQLLTTYIDLLHRTLRYASHTDIRSIM ASTIYNSVVSIAFCRFPPAQALLLDTFDAKGFNGSTTGPRKVPRHHRRPSMAKRTFFE DDNPALFGWHLTDIPHLQPALAAIDDCIHATLTQLLDAPNNIPPSAATHSTGQTTSGN PDDANIGSNNNDDDDGRPPLDENDEDEEDRPPHPSPTNSPVHAQSTLCVSMFLALWIA MTHHILRTSRGHIPWQDLPAYRHLHDRGIRLFEKAYTLQTLECDDDSNLVRLDMMLQR RIKVDMDFPIDILYPQVVFATTTKIIGLTQSPIDFIAILFKHTSSSYVRAVPACVGHL EHWLTVWQFGSLDTCATWVT H257_01282 MVVGQGLRFALARVVSRPKGEDKQRAKTNGPTVAFTCLQSYASI STMFLETAQRVVLKSRHHYRPTRLTTSYGGGNGSHSTCDIPTSIQPCPLLRADILEEL DWSMGIDVDTSSKSRSSIGHAAELFLDLWEYLLLTCENMVQASTLLHASSSAVDFGEK MKWEKSELVIVKIMERREFHKVYEAFRVAADAAATTTDNQTSSMSSSTSRTKASQSKA YLAQRTHSAKSPAAAAAFVDDPVGLAFSSDPNQLLTTYIDLLHRTLRYASHTDIRSIM ASTIYNSVVSIAFCRFPPAQALLLDTFDAKGFNGSTTGPRKVPRHHRRPSMAKRTFFE DDNPALFGWHLTDIPHLQPALAAIDDCIHATLTQLLDAPNNIPPSAATHSTGQTTSGN PDDANIGSNNNDDDDGRPPLDENDEDEEDRPPHPSPTNSPVHAQSTLCVSMFLALWIA MTHHILRTSRGHIPWQDLPAYRHLHDRGIRLFEKAYTLQTLECDDDSNLVRLDMMLQR RIKVDMDFPIDILYPQVVFATTTKIIGLTQSPIDFIAILFKHTSSSYVRAVPACVGHL EHWLTVWQFGSLDTCATWVT H257_01282 MVVGQGLRFALARVVSRPKGEDKQRAKTNGPTVAFTCLQSYASI STMFLETAQRVVLKSRHHYRPTRLTTSYGGGNGSHSTCDIPTSIQPCPLLRADILEEL DWSMGIDVDTSSKSRSSIGHAAELFLDLWEYLLLTCENMVQASTLLHASSSAVDFGEK MKWEKSELVIVKIMERREFHKVYEAFRVAADAAATTTDNQTSSMSSSTSRTKASQSKA YLAQRTHSAKSPAAAAAFVDDPVGLAFSSDPNQLLTTYIDLLHRTLRYASHTDIRSIM ASTIYNSVVSIAFCRFPPAQALLLDTFDAKGFNGSTTGPRKVPRHHRRPSMAKRTFFE DDNPALFGWHLTDIPHLQPALAAIDDCIHATLTQLLDAPNNIPPSAATHSTGQTTSGN PDDANIGSNNNDDDDGRPPLDENDEDEEDRPPHPSPTNSPVHAQSTLCVSMFLALWIA MTHHILRTSRGHIPWQDLPAYRHLHDRGIRLFEKAYTLQTLECDDDSNLVRLDMMLQR RIKVDMDFPIDILYPQVVFATTTKIIGLTQSPIDFIAILFKHTSSSYVRAVPACVGHL EHWLTVWQFGSLDTCATWVT H257_01282 MVVGQGLRFALARVVSRPKGEDKQRAKTNGPTVAFTCLQSYASI STMFLETAQRVVLKSRHHYRPTRLTTSYGGGNGSHSTCDIPTSIQPCPLLRADILEEL DWSMGIDVDTSSKSRSSIGHAAELFLDLWEYLLLTCENMVQASTLLHASSSAVDFGEK MKWEKSELVIVKIMERREFHKVYEAFRVAADAAATTTDNQTSSMSSSTSRTKASQSKA YLAQRTHSAKSPAAAAAFVDDPVGLAFSSDPNQLLTTYIDLLHRTLRYASHTDIRSIM ASTIYNSVVSIAFCRFPPAQALLLDTFDAKGFNGSTTGPRKVPRHHRRPSMAKRTFFE DDNPALFGWHLTDIPHLQPALAAIDDCIHATLTQLLDAPNNIPPSAATHSTGQTTSGN PDDANIGSNNNDDDDGRPPLDENDEDEEDRPPHPSPTNSPVHAQSTLCVSMFLALWIA MTHHILRTSRGHIPWQDLPAYRHLHDRGIRLFEKAYTLQTLECDDDSNLVRLDMMLQR RIKVDMDFPIDILYPQVVFATTTKIIGLTQSPIDFIAILFKHTSSSYVRAVPACVGHL EHWLTVCTSLQLSCIYVR H257_01282 MVVGQGLRFALARVVSRPKGEDKQRAKTNGPTVAFTCLQSYASI STMFLETAQRVVLKSRHHYRPTRLTTSYGGGNGSHSTCDIPTSIQPCPLLRADILEEL DWSMGIDVDTSSKSRSSIGHAAELFLDLWEYLLLTCENMVQASTLLHASSSAVDFGEK MKWEKSELVIVKIMERREFHKVYEAFRVAADAAATTTDNQTSSMSSSTSRTKASQSKA YLAQRTHSAKSPAAAAAFVDDPVGLAFSSDPNQLLTTYIDLLHRTLRYASHTDIRSIM ASTIYNSVVSIAFCRFPPAQALLLDTFDAKGFNGSTTGPRKVPRHHRRPSMAKRTFFE DDNPALFGWHLTDIPHLQPALAAIDDCIHATLTQLLDAPNNIPPSAATHSTGQTTSGN PDDANIGSNNNDDDDGRPPLDENDEDEEDRPPHPSPTNSPVHAQSTLCVSMFLALWIA MTHHILRTSRGHIPWQDLPAYRHLHDRGIRLFEKAYTLQTLECDDDSNLVRLDMMLQR RIKVDMDFPIDILYPQVVFATTTKIIGLTQSPIDFIAILFKHTSSSYVRAVPACVGHL EHWLTVCTSLQLSCIYVR H257_01283 MDFKPPTAPHAVAHPVFACLSLNRSDRIRLIHFPPEAVQWVKGA ILESKWSGGIQSTQVYAGNSYEFKLSGYPWDGNGSDATTSRRLMLCILRTLKMHGFHL VTATDMSWSEYDKDTLIFRLLEPSSVPPNMFSISLNTSDKLRLIDAPPELDVVVSECI TKHWYEGLQRVQPYGPGCTEFKLRGYPWSGESSDAVRARLFVMNLLSILEANGWRLYA SIDMSTGDADKDSWFFEAIASP H257_01284 MQLFSALLLTASAVVAQEAGTTPAPTPATTVGCGSLARCLTDAG EFTQCFNPARAGCCDGSVYFLWKTYDGNHEIFQFCCHDAQGHGYSNDTCPVATTTTVA PLTTTTTAAPLTTTTTAAPLTTTTTSKPGSTTTKPPINTSTAPPTTTSSTITSPPSTT STTPSSSEPQVTETSTPATTTQAPTTTRPTTTAPSGAPAQSVAILVVAAVVATVML H257_01285 MQVLVWVNDKNEQLSVDEEAVTAFESLAPSTKLRVVGVLNGDAA ADASFEATKDHQAMLHTMSQALPTQPTPAASGKRPLLWMHVEASSNVVVLHGNNEHAG RLLLVLLSSVLLYSQDSELNFDKLQWISSLPSLLKIRGNQDEAGVAKDLGSHLPRFVW VSRNSKVKWLKDAATGASVSPVDYFNSLLALDTGFSEASMHANAFKTYFSSFFPHRDV VMLSRALDLNAGIELAWDTPVDSLRPAYVSAVEKLHSRFVAPDAGQDTLPVKLVHGTA LTASQFPILLDSYVDAVNAHQVPIVANAATKLIQVTIEQGVARASAAYAETFAALTSS EPGCSSRALLLAHLKALSHASLEVFAVTQQVPPAHATLLADQVANMHTLCEATYASQV ESTTALSKATCDTVLQSLRPVAFSNATAELEHRSREDFSDGLHSILLGIKNSLQASLG EYKQRATPTAIDSDAGLGPAMYPSLVGYLRDEILQSVLEWGKQVLRLFEKHMRAAEAE KEELDNAYEIAVASDVSSSGLDAADHRKLYEAELAARTDQLATMKSTLSAELEDKRTE LERLLLDLRSMQSKQDARVASVEAEIQRIKTKAGDVEAQAQAERLRREQLVQGAASEI SNMESTFHAEQKSLYNEQRELLTKVVELERAVVAKKTAHLQTLFEMETNCTKAVEDVR SKHKKELTELKTQAKHDISMLKRAYESKKGVVQRELDNVNALVKQCEEQLRSLEPMLD LPRGSTSSISVSTPVNAGHSSSLSAPSPTRRGKHDEMCKQS H257_01286 MYRNPEIVNAVHQRRVVGTPAQIPWPRRAVSRSSSVLADLDSKA ITNQSVNKSPNLPSKRQVNPLNADQRKRFAAGECQARVARLVPLLLEHISPWNSSSKI ILRCVAAARRHPWIATWPLDPSQSTQFPGLGVILVEL H257_01287 MKHVFPVAHVSTVALVAILAAATVSAATPVSICQDATYSIAGSI CSGNGLAPVGQSCPRVGDVATKDCHPYLPSWDAKTSTCILKEDSVCTKLASGAWGCVL PSIGCGQPAKKKNSKPFDCDASQSAPVAVTSWEFSENDSPSLATASAASAPASWFVAS TAKVQYEVGCLNSAKFVKTTTTVAPTTTQLRTATPTYPTASPATTITAKPTTTAVPVT TTKPPSTAAPTTSKATTTATPTTSKATTTATPTTTKAITTATPLTPAPTTTQAPTPAP TPAPTPVPTPAPTPCPTPPPTPVPTPAPTPCPTTAPTPAPNPEPTTTPTVTTTTTANP TTTTTSSPTTTANPNTTTASPSTTTSSPTTTTANPTTTTASPSTTTEAPNGV H257_01288 MVMHVQLAEALAKVDKPTQKLLKHVIHYEPVQQMLCTFLADTSR SFEDWIWDPKTRDVLSRLQSRVEFAGPQAVKSDPTLQANYEDALVNGIDHMDVESFFA AADAAKQAGKLHFAEKRFDAALNAFRKAADLLKPQLEPCESPSILTPLFVTSCTNASI CAIRLQRWPTVREYAQLALAQSPSDGKAWYCLAKVFLWEHRYDEAKDAAEKAALANPS DKLCRKVLHDIDVLQARRDDQTAKDVEQLRHEAERLRLEEETRRRGLPAEDAAYKPRF VWLPHPTSPKSPTSNLHTYMQRSKEHITIEFGQLHDPENGEPPLFECVVTNHSSKTRL ATARAPSKKAAQAIASEVAILKLWFDRHAANNLHADDQSYLAQHPEALEAALAVDYSI VHTVLRSQLKPTAPSTYTCAIFLNDLDKGMAPAMYLNQLHSQGKLHIDYDIADLSDLR NNIQLFRVSAVLNGRVVATHECPSKKTAKQQVAKVALDVALRESRAMFPDQVEEPSSL WQ H257_01289 MLWVGSSFLRLLAAVLLTVLSIVSAACDDCAMSGDCTLAYKDIA PGSYCGRVGGVYCCCPLTMQCAATVFDCRCRNLPYPGYAPPQNLPMDRNDGLVVAIVM GLVVSLFVGAFCCVRHNCTGRQAQAQAKPSHDQLPASNQLLPLPNRPNRGYSHPVLAT APVASTYQRYDTVPATYGSVLQQQHIQAFDPNVQSSYPQEQPPYALPVARLLQPSSND STLPTSHNQPTYPGNQPVPAYAVAAPFATQSSARNKLTDQDQSHVPFESVYEARPYES PPPAIRSIHPPPSAPSPPRQYQPKM H257_01290 MKTSSGLVLLVSLVLLCFASVVDADCNSCVYNGECSTAYRGTPG RSCGVVAGKTCCCPQNAQCATTPFDCRCAAAPAPYRPHNGSGSTIVGSIASFLFLICL AWCLCRCCCRNDDAEYVPVAQAQYIPVAQPTNQYGQPVYTNQQPVYASQQPVYAAQQP VYMAPPQPVVYQSYQSGYGVGTGAALGAAAGVVTGVAIGEAMHHHDGGGYDGGYNNTT FAGDTGDNTFAGDSGDNGGGDFGGDF H257_01291 MTASAITLRALVLRAMALAFFHAAAVSGDCSACATSGQCATAFN GGAGYFCGNWAETPCCCPDNAVCIPTSLTCQCSPVTDHATFSPVESTSWDASKIRSSG LVAVFVWLTCCFCVYWGCLKRMRQSFVRQHHYVAIPPLHAGANMYSLPFSHAMQYPPQ PQVQNQPHHELPQQIAAPTPPLRPDGVDRML H257_01292 MSQSLPTNPILSLSFRMLLRRFAAATSRASVARFSSRGGASLVK ELAEHAEYEQLIARPDAKSVVYFTAAWCGPCKMISPIYANLSNKNEDISFVKVDVDEL DHTAHVAGVRSMPTFQFYANGKLQQSLGFSGADPTLLESNVNKLKTL H257_01293 MHPISPPRPIPMRRSKDNCNVRLAQQQYTAFRQTSIHKKGFQDP YEVGRGPGYIDPHLPSTTRSISLYKVDRCTSLHLVLPLPTDAIYEVDHGITYDAQYKG NATPFKSATPRFAESTRSAPGPGSYRAELFQGAFPTLLKTITPRDVRQEHYEKQLQLK SLMGIAPPPPHQPNVTPAKDHAMPTRPVKKPLHKSTGRRIEGKRPALEIEDAAVSSKA SICCSSPLIARLTPKNAYNGSFVKAMVATPRYRQALAVGKRPAPYVTDDAEPVVIGEV STDTNGAAAVPTTPSDVVATGIMDTPPPMLSLTSEVVKQEIALSDHVVERDDATI H257_01294 MRLTVHRGENIGTPEQEVSLDTYVRVVYDGKEVGITQIVRRAAN PVWDAELWVPLLESWDMSVAFVAFDVKCNDDSAHTECIASCRVNLSLMQDAKEPTQVR CQLIRGSTTRRGWLYISMQMEESEMAYFSKAKRMDVRDVLPPHNDRFHHHYAPIPRVW HPLHDCIVPSNEKVLYLVEEVSLTFHVTEGNQGVLALMVVTNFRLWFIPYAPVQGLHH EDVHTIPLGKIAKLTQTQSKQKHFTVHGLNMENMDAALYSVTLNARCRAGDSDAKRVR MLTHIVHEIEWLQMENNFCAFTDLNIVESHPEANVTAQHPVGISPMTSRSILSTLTTA APLPTGPPPQLLRSYTSSFDHSLAPPARLVSPTATSAANKRRIRYDPLSEFSRQGVFS HPAWRQTTSNAHYGLCGSYPSFVLVPTSIPDDVLAEAARFRSKRRHPALTWLHPRTGA PLCRSSQPKSGVLRTSSKEDRDLLWAIRDAAYPVDGRSKPSTLVHIVDCRPEINAKSN ALAGKGHESAKHYDRDGIPCASIAFMGIDNIHVVRASFNQLSQALYQVDDATFHSTLQ KSRWLEHINSILAGAVEVASHLERGDAVLVHCSDGWDRTAQLCALAQLMLDPYFRTLE GFAMLVEKDWCSFGHNFAKRCGFPISDDTSPVFQQFLDAVYQLTLQFPTYFQFNEMFL STVADAVYSSWYGTFQKNCEADRAAFLCAVPTISVWDSIRASTDMYRNPLYNTDTDDA MVPVCRVRLMQLWTSQHQKAISHMRLQQREVEMLALIRQQERDLARLRDMLSPEQHVE VKNAQLRSEIQRLARKIAIHHEPRLPLSSLSSTPSTTPDKAKLLRRLTSNVASPTHSN TPHQDASSPSLGPPPLQPVDLLRTRSGSGRMKQNLTALLTGRPHVSDLTTLRSEYQDL TRQLEAMRTIAVSLDEDAHATMQQLRFQNFNTPLEEVTIGHEYARPDEGPFMLPSPLS SVPTTAHNNAAMVSATLSNSYSNAIRNISLLAMTDFNQTTKGPRLHSATTSRGGNSNV QPVWESDKDAACCKQCKKKFIAVVRNRHHCRCCGYVFCGKCTSHRMSLPEFGYFELVR VCRVCFNSGSGSDEYLHDD H257_01294 MRLTVHRGENIGTPEQEVSLDTYVRVVYDGKEVGITQIVRRAAN PVWDAELWVPLLESWDMSVAFVAFDVKCNDDSAHTECIASCRVNLSLMQDAKEPTQVR CQLIRGSTTRRGWLYISMQMEESEMAYFSKAKRMDVRDVLPPHNDRFHHHYAPIPRVW HPLHDCIVPSNEKVLYLVEEVSLTFHVTEGNQGVLALMVVTNFRLWFIPYAPVQGLHH EDVHTIPLGKIAKLTQTQSKQKHFTVHGLNMENMDAALYSVTLNARCRAGDSDAKRVR MLTHIVHEIEWLQMENNFCAFTDLNIVESHPEANVTAQHPVGISPMTSRSILSTLTTA APLPTGPPPQLLRSYTSSFDHSLAPPARLVSPTATSAANKRRIRYDPLSEFSRQGVFS HPAWRQTTSNAHYGLCGSYPSFVLVPTSIPDDVLAEAARFRSKRRHPALTWLHPRTGA PLCRSSQPKSGVLRTSSKEDRDLLWAIRDAAYPVDGRSKPSTLVHIVDCRPEINAKSN ALAGKGHESAKHYDRDGIPCASIAFMGIDNIHVVRASFNQLSQALYQVDDATFHSTLQ KSRWLEHINSILAGAVEVASHLERGDAVLVHCSDGWDRTAQLCALAQLMLDPYFRTLE GFAMLVEKDWCSFGHNFAKRCGFPISDDTSPVFQQFLDAVYQLTLQFPTYFQFNEMFL STVADAVYSSWYGTFQKNCEADRAAFLCAVPTISVWDSIRASTDMYRNPLYNTDTDDA MVPVCRVRLMQLWTSQHQKAISHMRLQQREVEMLALIRQQERDLARLRDMLSPEQHVE VKNAQLRSEIQRLARKIAIHHEPRLPLSSLSSTPSTTPDKAKLLRRLTSNVASPTHSN TPHQDASSPSLGPPPLQPVDLLRTRSGSGRMKQNLTALLTGRPHVSDLTTLRSEYQDL TRQLEAMRTIAVSLDEDAHATMQQYVPP H257_01295 MRRSIRHAVAVATLLPTATQGVFFYADFNETLGLTLNGNSATSN CIFKPMNAYGVNYADGDVLEAQLDVIQSHSLDRVTLDTTETSTPASTGRIASTTAMFG HRDTYQPSSQRECPVRLRLTASQPHQASSVWYNDPLNVLDGFETRFTFQISDHAKRCY DVKTPNFGLSAYQSCVVHGGDGFAFVVHGDPNATVALGGSGQALGWSDIAPALAVVFH TRPNGALLVDHVSLHVSSSMPGSPPLVLSVPTPVDIADGGIHIAKVRYYNTIPQQYFA AMSATPDVVPFLKDMSEERRVGCVVVFMDNGITTDTPLLAVPINLAAALALPNDQAYI GFTAATGHAWEKHDLLSWYYCAAPPCLNVHGATVALEFDYETQSRLNTASYGTGLYPE LIFPDTGPWGIDQTYFAPGVPRGVS H257_01296 MRPTCSIAWGLCSIVACAWGNEQQSDHSTLLQEWSSPASAQMSP WHRTDIERRLAEVDPVSTELTCDLAIYTAFLAGEGKEMFVQASLCEASIEYLKLRLAG RFGPVLQSDIQTVMCSTSCMDSDVMHQSAMERSHCSCTQLSTDSFISQDFCRQNSARL LCSILGVCGTWGCGLHDFMCPRYDWDRLYPCAATSFQVSFLVMGVLALAVLDAILIQ H257_01296 MRPTCSIAWGLCSIVACAWGNEQQSDHSTLLQEWSSPASAQMSP WHRTDIERRLAEVDPVSTELTCDLAIYTAFLAGEGKEMFVQASLCEASIEYLKLRLAG RFGPVLQSDIQTVMCSTSCMDSDVMHQSAMERSHCSCTQLSTDSFISQDFCRQNSGPI IVQCLSPLMVSVARLLCSILGVCGTWGCGLHDFMCPRYDWDRLYPCAATSFQVSFLVM GVLALAVLDAILIQ H257_01296 MRPTCSIAWGLCSIVACAWGNEQQSDHSTLLQEWSSPASAQMSP WHRTDIERRLAEVDPVSTELTCDLAIYTAFLAGEGRCCPHSRTSRSPLMFKEGKEMFV QASLCEASIEYLKLRLAGRFGPVLQSDIQTVMCSTSCMDSDVMHQSAMERSHCSCTQL STDSFISQDFCRQNSARLLCSILGVCGTWGCGLHDFMCPRYDWDRLYPCAATSFQVSF LVMGVLALAVLDAILIQ H257_01296 MRPTCSIAWGLCSIVACAWGNEQQSDHSTLLQEWSSPASAQMSP WHRTDIERRLAEVDPVSTELTCDLAIYTAFLAGEGRCCPHSRTSRSPLMFKEGKEMFV QASLCEASIEYLKLRLAGRFGPVLQSDIQTVMCSTSCMDSDVMHQSAMERSHCSCTQL STDSFISQDFCRQNSGPIIVQCLSPLMVSVARLLCSILGVCGTWGCGLHDFMCPRYDW DRLYPCAATSFQVSFLVMGVLALAVLDAILIQ H257_01296 MLDRLGYDCRQACVANHHDSLPVGLCSIVACAWGNEQQSDHSTL LQEWSSPASAQMSPWHRTDIERRLAEVDPVSTELTCDLAIYTAFLAGEGKEMFVQASL CEASIEYLKLRLAGRFGPVLQSDIQTVMCSTSCMDSDVMHQSAMERSHCSCTQLSTDS FISQDFCRQNSARLLCSILGVCGTWGCGLHDFMCPRYDWDRLYPCAATSFQVSFLVMG VLALAVLDAILIQ H257_01296 MLDRLGYDCRQACVANHHDSLPVGLCSIVACAWGNEQQSDHSTL LQEWSSPASAQMSPWHRTDIERRLAEVDPVSTELTCDLAIYTAFLAGEGKEMFVQASL CEASIEYLKLRLAGRFGPVLQSDIQTVMCSTSCMDSDVMHQSAMERSHCSCTQLSTDS FISQDFCRQNSGPIIVQCLSPLMVSVARLLCSILGVCGTWGCGLHDFMCPRYDWDRLY PCAATSFQVSFLVMGVLALAVLDAILIQ H257_01296 MLDRLGYDCRQACVANHHDSLPVGLCSIVACAWGNEQQSDHSTL LQEWSSPASAQMSPWHRTDIERRLAEVDPVSTELTCDLAIYTAFLAGEGRCCPHSRTS RSPLMFKEGKEMFVQASLCEASIEYLKLRLAGRFGPVLQSDIQTVMCSTSCMDSDVMH QSAMERSHCSCTQLSTDSFISQDFCRQNSARLLCSILGVCGTWGCGLHDFMCPRYDWD RLYPCAATSFQVSFLVMGVLALAVLDAILIQ H257_01296 MSPWHRTDIERRLAEVDPVSTELTCDLAIYTAFLAGEGKEMFVQ ASLCEASIEYLKLRLAGRFGPVLQSDIQTVMCSTSCMDSDVMHQSAMERSHCSCTQLS TDSFISQDFCRQNSARLLCSILGVCGTWGCGLHDFMCPRYDWDRLYPCAATSFQVSFL VMGVLALAVLDAILIQ H257_01296 MSPWHRTDIERRLAEVDPVSTELTCDLAIYTAFLAGEGKEMFVQ ASLCEASIEYLKLRLAGRFGPVLQSDIQTVMCSTSCMDSDVMHQSAMERSHCSCTQLS TDSFISQDFCRQNSGPIIVQCLSPLMVSVARLLCSILGVCGTWGCGLHDFMCPRYDWD RLYPCAATSFQVSFLVMGVLALAVLDAILIQ H257_01297 MESPSAKEYDAADYAKGCRHITAAVNIAMLRKRMKKGGLHRCET CGKATAGGKKKSTKKHATKLVNDLDKVQLNAICIACGFVGCLHQSHTVAHLQHMTKHY CFFNMSTKEIWCVKCDGVVLDRSDRIGRAIQDVIAAYDEIVVGKSGRMLHAKGDHEPA TDKPLDRPPPSSKKSKRAKDPKPSKHEANEEEDADGTIVEAAAIGLIPAGLANLGNTC YFNSTIQALKSVFAPVLANRARPSKASGGGDITRALLDFILDGKPATKKTGKRVYNPS QLLSAVREKCRQFRNRQQQDAYEVLLGLVWAIDDEFNGNSTSSHATNAEEGPSSPPME QIFVHTDDHDTLSLMVREGSSMEEIQKLVAETLRLDQDDVFLAGPPPASSSASTQPGT ASSWHDNFVGRAMMGCLVNTVVCRTCSTTSKAFDACVSLTLSIPNNVDECALEDCMAL FTAPGELSQAQGCGYQCDHCSQTTPPDPSRLHTAGIQLQLHTPAPVLALHLKRLTRLK KLSRHVAFPMDLDVAPYVAQPLYGPHWPTTYTLQAVVVHSGNRFGGHYVAYVKHGAHW FFTSDTHVKVVPEATVLGAEAYMLFYTNTQTFV H257_01298 MVTGNLNIKVLRVEDLKNQAKGAKILVRVTIGKIQSQTEPQPAE TGQFNEILTFEGFQSDNKNAVQIEVLQADGAKPIASAKLSLAPFINARGIEEKEFNLD DGAGVIVLSYEFAQKDKGKKQSPPPPASSTTKSSSSNDPHASIPRSLTTTAEHAPSLW YIHPSFYYAKTKEVYSYATSFSAVGYVARYGESTLEYLLHRLAPKQVGSLDAVDQSLV PALTKVDSRVDENVSHLLKSIAASQDYLLKTKDGALIKVHNTVTATKSSVVSTVSSVQ AKVSQVTSSTVETACSLHQKTVDKANEVRKSTVDTISSVSHSTYATLSNATHHILAHV PFINSKEKA H257_01299 MNLSPQHHKHRLPTAATIVTAITTHKKLLPGNSMTSSTSMSSKK KLNATATHSFSKHITIDILRPHFDKPLAEVAKLFGICTTLMKKVCRRVGIPRWPHRHI RSLRKSILSMETASSMFDGVDRTAYDIQIRKQQKRLAMLLYNPNAIDMDDDDDMENED DNAQSSVIDSMSSDSRHTSPALHSLPHNSHSLFPSPDLPPLDLSDATFGRSYSHMMMA PSLPRLPSISTWYG H257_01300 MPEGTKKEPRAPLKKALTGATGRRSRMDTQVSIRKARKEDGYNQ RRNLAAQAKAQAENRPQERSLLIEQLASRSQTLLVGLQHPDKEVRRKSLRAFVYLMSS GVPPLFDDGDPQHVPLWHTIATAVPFFVSTLDLPDVPMQSDAAFALRFISSMDRSNLV IEGGALPKLAVLLQCGISELQIQAAWCLGNVASESKACCEAVVHICPPIQVIPHIRLS TPSLRRAAVWLLRSMIDMTDLLATMQVLTPQFELELVTVLGNVIKQLVQERAKLWAKD KDKAVPSAAPWRLFEFQTGKPAPNDIAVVRLLRDIDDTTVEVVDVDVNFETGENVTFR AARSTLRPLQAIEDVFDEDGDDEDASSAQDAETLSLDERALTLCDSTWAVVEVTQHNV AVLAVLQSTGVFAKLVEALHMYSSPLVLSPVLRLLGYVISHDEAYVQVVLDAGLLAAC PRVLQNSSRSIREEACWLLSNLAGGTVQHMTAVLEAPGVVTSVVEQLTWAEYSVKREA GWAVCNLLVNANAVVAAELVRLDVLSSLSTLLDEYEDPSLELVILEAISAVLDKGHDE AKVAVEESGCLKCVENLCYAANEQVSAAASHIIDTWFDGVLDDDVDAELAPAAVDEAT NTLAFQPATTPFQFGGQ H257_01301 MVGLHSLQITTMASFTTPAVSQNSKGWGPTDLPKQFLNIPYAPF GKLDKLGKAADFTAQYQSANPNRPARYQRTNDPSGVNAEFQYKHDTIEDQSFQLVDTA KATRNNTRLRPTWGQQRFAANRLNNRNGKTPGQGPPVLESQSQMMKKGQQKQNKRWDR LSNARRMFSTKRRDGETKERMASVQVSTEWKLVQQFELMQLMKLQANIPPSEDLKTLG TLHKYDDNFDRISARTAKPLARFEVKENVAVTTTEDPVIESLATEGAGTVFATDAILA HLMSCPRSVYPWDIVVQRVNNLLFFDKRDISSGVDLLSVNETALEPPNPDEPDSVNHP DRLAVEATDINTFFAHQVLRPAAEFSKAYGPNPFPDNTAPVAYKYRRWALNESVNLVA RCEVNALSLKGDVEQTVKTFALNEWDPKLSGSIDWRKKIDSQRGALLANELKNNAPKI AQWTHSALLANAGLMKLGYVTRHNTKDSQSHVILATQSYNPVDFAQQTSLNLTNAWGI IKMLVELFMEQPEGKYVMMKDPNKPVVRIYSVPLDTFEDENGGDDDDEDDEEDDDNLD DDDEIDE H257_01301 MVGLHSLQITTMASFTTPAVSQNSKGWGPTDLPKQFLNIPYAPF GKLDKLGKAADFTAQYQSANPNRPARYQRTNDPSGVNAEFQYKHDTIEDQSFQLVDTA KATRNNTRLRPTWGQQRFAANRLNNRNGKTPGQGPPVLESQSQMMKKGQQKQNKRWDR LSNARRMFSTKRRDGETKERMASVQVSTEWKLVQQFELMQLMKLQANIPPSEDLKTLG TLHKYDDNFDRISARTAKPLARFEVKENVAVTTTEDPVIESLATEGAGTVFATDAILA HLMSCPRSVYPWDIVVQRVNNLLFFDKRDISSGVDLLSVNETALEPPNPDEPDSVNHP DRLAVEATDINTFFAHQVLRPAAEFSKAYGPNPFPDNTAPVAYKYRRWALNESVNLVA RCEVNALSLKGDVEQTVKTFALNEWDPKLSGSIDWRKKIDSQVCPVYFYIYIVVLYLP CVPNSIIHPYYLLHVI H257_01302 MSAKKKVQKQMEFYLSPSNLRQDKFLQQQMQLDTEGFISLDVFL SFNRMKSLGATMRMLTEAIQKSSALVLNDEQTHVRPKEFPTKDGDDSLDRTIYIENFP CGSDHDHLRRLFAPFGKVNLVSMPRFPGSQKFKGFAFVEFAVAEAVDAALAALKAATE DSHPNLVAIKGMSKTKWLQLRDSLKERIQHVPSQTTSGTLKQAVEAAANASSPHDFFT RGLLVRLSNVPSDMPRKDIKAALEIAAPVAFLDDSKLKFGGDVAFARFLSTSHSHRVL DTFHRAPLTLKGNDITIDLVTGDDEVEYWTALVNHASSSKGGATAATVHVSKPAKAVI HDTHVHFNDDPTTPPHKRQKQNPETPKR H257_01302 MSAKKKVQKQMEFYLSPSNLRQDKFLQQQMQLDTEGFISLDVFL SFNRMKSLGATMRMLTEAIQKSSALVLNDEQTHVRPKEFPTKDGDDSLDRTIYIENFP CGSDHDHLRRLFAPFGKVNLVSMPRFPGSQKFKGFAFVEFAVAEAVDAALAALKAATE DSHPNLVAIKGMSKTKWLQLRDSLKERIQHVPSQTTSGTLKQAVEAAANASSPHDFFT RGLLVRLSNVPSDMPRKDIKAALEIAAPVAFLDDSKLKFGGDVAFARFLSTSHSHRGT H257_01302 MPRFPGSQKFKGFAFVEFAVAEAVDAALAALKAATEDSHPNLVA IKGMSKTKWLQLRDSLKERIQHVPSQTTSGTLKQAVEAAANASSPHDFFTRGLLVRLS NVPSDMPRKDIKAALEIAAPVAFLDDSKLKFGGDVAFARFLSTSHSHRVLDTFHRAPL TLKGNDITIDLVTGDDEVEYWTALVNHASSSKGGATAATVHVSKPAKAVIHDTHVHFN DDPTTPPHKRQKQNPETPKR H257_01303 MSQHLDPPYGGVHGTQPALSNEPVKRPSAANPFASGPVASVSQV RPGAGFGMPPGAAPFRPQASSQLNTPLGQKPLKDPSVGAVPEATDMSSFPPPPAQFHT HELDTAPLDSLAHQFQTTNLNSTSSFGPAALSIQQDDPFQRVPTAYSSSFPISSTTFQ APPPPSAKPLDLFSSNFNSGDSVGASDAFATNDPHGFARVPPLPPARRDSFNSPFETA PTNDSFRQIQYQPPATPPPPAAPFLNYNSSPNTKIAFNQPPPSASPKPFAVRPPLSPP QPPAQDITTAIRTTDDLFYEFMSHVSPDKLPTPSATDLPPTLDTLVGLYRQQQWQQLE TKAWSMLTSPDPQFNLHVHTWAMVAQMKLGKVDDLEQQVIVLGDLDQYQYENYPERFP SKQGSFVPMRLRYMTVQLPRLKNNVSMYETMASQLLVDLDQNTFQLSPEDATSWHNIV TVNLIHSFLDRKKFDVALRLATSLYERQRTANATHRVILASRLGRIYLQVGDLRRAEG LFADAAALSAEISDPHHDCAARVLLNQGLLHFAHNRFKEALDAFNTILGVYSGDDDPD DDWGDECFAWWDDGDVVSSAANNMSICALYSCQVQTAVTVLETVLQSDPRRHLHSAVV FNLSTLYDLVCDNVNSTNRKNMIKRVAEAYNVEHIDNACFRI H257_01303 MSQHLDPPYGGVHGTQPALSNEPVKRPSAANPFASGPVASVSQV RPGAGFGMPPGAAPFRPQASSQLNTPLGQKPLKDPSVGAVPEATDMSSFPPPPAQFHT HELDTAPLDSLAHQFQTTNLNSTSSFGPAALSIQQDDPFQRVPTAYSSSFPISSTTFQ APPPPSAKPLDLFSSNFNSGDSVGASDAFATNDPHGFARVPPLPPARRDSFNSPFETA PTNDSFRQIQYQPPATPPPPAAPFLNYNSSPNTKIAFNQPPPSASPKPFAVRPPLSPP QPPAQDITTAIRTTDDLFYEFMSHVSPDKLPTPSATDLPPTLDTLVGLYRQQQWQQLE TKAWSMLTSPDPQFNLHVHTWAMVAQMKLGKVDDLEQQVIVLGDLDQYQYENYPERFP SKQGSFVPMRLRYMTVQLPRLKNNVSMYETMASQLLVDLDQNTFQLSPEDATSWHNIV TVNLIHSFLDRKKFDVALRLATSLYERQRTANATHRVILASRLGRIYLQVGDLRRAEG LFADAAALSAEISDPHHDCAARVLLNQGLLHFAHNRVQDIMSTSRLYSVPICVILTRN TRGLLVQGSAGCVQHDSGCVQWRRRPRRRLGRRVFRMVGRWGRRVECGQQHEHLRIVL VPGADGRDGP H257_01303 MSQHLDPPYGGVHGTQPALSNEPVKRPSAANPFASGPVASVSQV RPGAGFGMPPGAAPFRPQASSQLNTPLGQKPLKDPSVGAVPEATDMSSFPPPPAQFHT HELDTAPLDSLAHQFQTTNLNSTSSFGPAALSIQQDDPFQRVPTAYSSSFPISSTTFQ APPPPSAKPLDLFSSNFNSGDSVGASDAFATNDPHGFARVPPLPPARRDSFNSPFETA PTNDSFRQIQYQPPATPPPPAAPFLNYNSSPNTKIAFNQPPPSASPKPFAVRPPLSPP QPPAQDITTAIRTTDDLFYEFMSHVSPDKLPTPSATDLPPTLDTLVGLYRQQQWQQLE TKAWSMLTSPDPQFNLHVHTWAMVAQMKLGKVDDLEQQVIVLGDLDQYQYENYPERFP SKQGSFVPMRLRYMTVQLPRLKNNVSMYETMASQLLVDLDQNTFQLSPEDATSWHNIV TVNLIHSFLDRKKFDVALRLATSLYEVLLRPTTTMIVLHVV H257_01303 MSQHLDPPYGGVHGTQPALSNEPVKRPSAANPFASGPVASVSQV RPGAGFGMPPGAAPFRPQASSQLNTPLGQKPLKDPSVGAVPEATDMSSFPPPPAQFHT HELDTAPLDSLAHQFQTTNLNSTSSFGPAALSIQQDDPFQRVPTAYSSSFPISSTTFQ APPPPSAKPLDLFSSNFNSGDSVGASDAFATNDPHGFARVPPLPPARRDSFNSPFETA PTNDSFRQIQYQPPATPPPPAAPFLNYNSSPNTKIAFNQPPPSASPKPFAVRPPLSPP QPPAQDITTAIRTTDDLFYEFMSHVSPDKLPTPSATDLPPTLDTLVGLYRQQQWQQLE TKAWSMLTSPDPQFNLHVHTWAMVAQMKLGKVDDLEQQVIVLGDLDQYQYENYPERFP SKQGSFVPMRLRYMTVQLPRLKNNVSMYETMASQLLVDLDQNTFQLSPEDATSWHNIV TVNLIHSFLDRKKFDVALRLATSLYEVLLRPTTTMIVLHVV H257_01304 MDALFGGRQNFHPSYIFETSLRDANDESKQCDESFLSDAIGNDD DLDDHDYSKDQRDLTTSDNPNDDPVADEYWTENDTADPGRQANAPLDHEVEARHSGNG KGKSKVVPRKFPTPEKRLPPRKDFSSMYMVAQNETTQLKREKFEYMKENNKSIQAAAA VMDLQRAKALFVEKIVTSGVVGAEKIRELLSIAFAQE H257_01305 MNEVYGATHETDLPGNEPQTPQPTNSKRSRRSPTTTPKRTHLWG CGVVPFTLQVMLAAELSVSMEQDDSVAQIQDKSQPAGNAAFLPLSLHYDVMLEYCSAK KGYQRESLMSTDVRDDKRNDCSDVIDVKDEHDLKDQSDDETSYERRKKRSKVVKPKSH SEALEAGFLALKDGLIHLGTSLASAPTARLVPTTGATMDDVLLAIQGQSAMMAQLLAH LVAQKEI H257_01306 MMMTSTAMAGFRRGEMCMVVGEDVTRSNVPVTILLWYKQVVVVV PLHWFASTAQHENKDHQGQESDANADDHRRVSCCTQRVGGFGCSGGGVEVHL H257_01306 MMMTSTAMAGFRRGEMCMVVGEDVTRSNVPVTILLWYKQVVVVV PLHWFASTAQHENKDHQGQESDANADDHRRVSCCTQRVGGFGCSGGGVEVHL H257_01306 MMMTSTGTQVHQIDVFKQHNIVVAMAGFRRGEMCMVVGEDVTRS NVPVTILLWYKQVVVVVPLHWFASTAQHENKDHQGQESDANADDHRRVSCCTQRVGGF GCSGGGVEVHL H257_01306 MMMTSTGTQVHQIDVFKQHNIVVAMAGFRRGEMCMVVGEDVTRS NVPVTILLWYKQVVVVVPLHWFASTAQHENKDHQGQESDANADDHRRVSCCTQRVGGF GCSGGGVEVHL H257_01307 MRWLTLFIAVAAATSRHDHKSHTGAATHGVICRIVRVCALSTSP PTQCWRQDEQCPPCLMDFGNEGYACMEKESSGQCSTHQVDCATSIRHNMSLRGPSNAS NVSSIMVRETSMPPEGLTTMHIGYIIGVSVCAVGIAAFLFLQSPTRVESDKDDTAMSL TLDCKKKSLFPLLDSRRAGDDADLTFLDTQQFMTLSQQFMTMPRHIPLLEDGQVDMDT LMKAHAQAGDKAGKLSMVTPLSYTFSSLSVSDSEATRDESMSGSLSWWKEKPSS H257_01308 MGDRDEDHHSICSRPGYDATFMKEGYLQKKGQLLKGWKKRWFVC DGRSLSYYSTKLEKKPNAVIPLESATVQDGGTSETWNSPRIYLTDGTSGTMYCLSGDE GDVVSQWLDVLDRAVKRIHEKRELSQPIGVVPRSKSHITPFDEEEGRQKPIMSDKSPT RKALLASSFSDINATKPRGSSDHAASPFISSKPGGTHLPTTIRLENELSTASELLNCL LFNKTTTSDHTSSPIQFHYDGVVDGVRISSAVDPTTGKHYARGSSILPVAPSLALRIL LDHHRRHEWDSLFPHSTHVATYGGSTDLIHLCGGDESGFFDGPLLASASSPVLPAAAA AIVSGVLAPSDWLVHAAAGAVVAGVAASVDWRPLACPRDLLLLRHVYEAMTLSSSSMT DRSSCQHLLDAVGVSSGANAMIIAEMSVANELKPVVRGVVRAHIGVSGWLVEPLDTES TLVTYVTDLNVKGWIPSYVHRAIHARRMRCLAAISAFVSQAKVLGPTLGYDDDDDYND EVLLGANNSGSSSNILGGRSSAALGMRGDDGNPAAAFHPRDYFRMVVQVPSGGVKLTD KDIAKKQNGVLMEVIKNMGTKLLDGKSAVSLSLPVRIFEPRTMLDRLVDLYLYAPNYL SAANDATDMVERFKLTMAFAVAGWHHTVGCMKPFSPILGETMQAEFVDGATVHCEHAS HHPPISYAQIVGPKYKVHSYSILNGSLQTNCIVQVQQGPVRVTFLDGAVIEFTLPAIR MGGFLWGDRVVELVGSIHFQDKANGISCELKLNPDEKKGMFASNKVPTDRFRGTLVSK MDDVCEVSGSWLEELRFGDHVYWNLQTDRCAPVVRLPDDKVLPSDSRNRQDLKFLGQD DLDEAQEWKLTLEKLQRADRTKRKEGRRPNHWTYGSTASGH H257_01309 MQEKDSACHMRADDNTSVCKSMSDRSIDGEHASCLSSRPGYDPS YMKEGYLQKQGQLLKGWKKRWFVCDGRTLSYFHTNLDKTPSAIIPLETATVQDGGTSE RWNGPRIYVTDTISGVVYCVSGEDSTSVLQWLVILQRAVQRICDAKAEHINAPLRQKS HSVPADLKATDTAFGGSLRRPTASVSVSNVLSNTTASSKPSKSTSHVTSKPVNLSTTL RLENDLAVAKALLGTLLFQHSRFHVMSNVQHHPNLRIGFVTDPVTGKAYLRGSIVLDV VPALALRLLLDPQKRPEWDVHFPLASHVASYGGATALLHLASTSSVPFMPAAEYVTLL VVVACAAVAALVMSSQSLMHAANAAVVGALLGSLLVITVSPQTLWRHAVQRRDLLLLR HVFEAQSSANSVTLSTNDAMVVAELSVVNELKPVTQSTIRGRVSISGWLVQSLGTDAT LVTHVVDNVNLNGWLPAAVSERVARDRLSKCLPALASFVQHAQTQGSHCGYHDDLFDI DATAVIDEEEDDVTDRNDLLAPILPLNPPHVGPSALGFHPRQYMQAVVRNPAGGVTLT DKDVAKKQNGVVMEVIKTMGTKLLDGKSAVSLSLPVRIFEPRTMLDRLVDFYMYAPNY LSAASDATDAVERFKLTMAFAVAGWHHAMGCAKPFNPIVGETLQAAFVDGATVHCEQA ASSPLPISYAQVVHPKYAVWSRAVVNVAMHTNTFTQMQNGPVVVAFPDGGRVELALPS MRVSGLLWGDRVLDLVGSITFRDEANDLVCDLKLNFDEHKGLFASNKAPTDFFRGTIM QHGDSVCDVTGSWLDELRAGDHVLWSFERDACAPLVRVPDDQVLPSDSRHRPDLRALA TYDLDEAQDRKFQVEKLQRSDRAFRKDGRRPTHWTFAAS H257_01310 MATTSPEGATSAPVADSAVASLTHLCLEAVARHIFQFYNSRLLD LCLGDSTCQSLLHAFDVSIVERLHDDGKLTAVILSHLPTKPSKSSLGLERRFGELLFL EKHALDARDIDRFGLAFAQAIHGSSVSPSTDTPEGAEPVDQTFSTSPKQFEFPPPPEH VHDSTTKGRSLKDLLQRFDTIERLSLVSCCLGTAGVIVLASAINHVKALRVLDLSNDM WAAHKSAYSNRIGDAGAMALASALRTNASVIKVVLSGNPIGPRGGHALAATVRCSNTL QVLHLKQTNVKRAATALIRAFEDSTSLRDLDLEWCHLPPGMGTHLSQVRRHRQDDNLS H257_01311 MLLSRPCRVSCCRSLRVWVGWALHRLERSPAELGGRLGLWGWGQ GEILLAQDQKDARRHVNRWQWASEMRQSWLYLLGLQLLLGLLSLACQQSIVASEQL H257_01312 MSPTTLGESPEPSATLRVLSAEGDSTSCACLAPCCSANISGLRS ARERDGVSAALLDEGARPPRLPDISDSTSALDSRAWRNDVRVDDCSRTVVWRMELACS WELRVKLARVLVRTGSSFGTTGV H257_01313 MSALDSEMEAYGYATDLIIVLDIKATDKWNMKSEEMRRFVDGLT ALAALECDERGTQIRRMLLAQGPTAVQNLVDALSCREMLLKCKGASALGSICMCPSAA ATLMERHSASIVHGLLRMIQTKNRWAQGDACFVLGWIVRWSAPDSTSILLQIAEHVPR VCDALTSTFIAPSSTAPSADNSNDQDRESNLRIYPLVLLLNLSQQVPDIGAFAPAILR ALEVVFHEVVAASSMDERSIIAQLAVSVLYTLTHRSSTLCQMVLELKLVPTLAKMTKL PLDSPDIAQQIRVVLDTVVRASR H257_01314 MASSSLWGSLAANVANYGKDLTQDIRDIVSGVDDEHDIEKEGGI NASTPGQDEDLDAYIEDLERSLIRKKQEVEAAFKKIEDLEAQLALQRESLPLPVVDDT ATRELQRRVESLQSQLEHDRALHATAMNEAVASFDVKLAAALGEAHRWHVECDRLCSS AAAKANEGATSDSPADSLIEANPVVVDAVATLSSVLHAADLVDDDATMSHKLGHYASL VTSRLVAAEVDAKALETTLTAFVLSQGEQLDPPFHLAECKLKLDQVGVARRTDMERVV AEFEQTTGQLQLELAAAQAKVRDVEQRHVQESPDNDQAVRHLQDKLHQSQVNVEHLSR ELEATTLELQAALGARDGEEQSSVLVERNMELERMAYAVSDQLAQVKAQLDMERAQWV QHGRANTSAVSEAQWFELKSTLALVEADKNVAQQDADRLHSELLNLHAVLTQFQSRRE TEEAQWQAKEASWTSRLAALEADVASSRERQTSTDDVALLKQSVAKKDGEIERLRDAL ERSAARFHGDTVESVDKRLMVQMMIQLHESPNKSDVLEVMGRILGFTPEENERMRAHP GRLKSIPLLGSIFGSSDVAPPPQDQVHVAGTTFSDAWADFLLRETK H257_01314 MASSSLWGSLAANVANYGKDLTQDIRDIVSGVDDEHDIEKEGGI NASTPGQDEDLDAYIEDLERSLIRKKQEVEAAFKKIEDLEAQLALQRESLPLPVVDDT ATRELQRRVESLQSQLEHDRALHATAMNEAVASFDVKLAAALGEAHRWHVECDRLCSS AAAKANEGATSDSPADSLIEANPVVVDAVATLSSVLHAADLVDDDATMSHKLGHYASL VTSRLVAAEVDAKALETTLTAFVLSQGEQLDPPFHLAECKLKLDQVGVARRTDMERVV AEFEQTTGQLQLELAAAQAKVRDVEQRHVQESPDNDQAVRHLQDKLHQSQVNVEHLSR ELEATTLELQAALGARDGEEQSSVLVERNMELERMAYAVSDQLAQVKAQLDMERAQWV QHGRANTSAVSEAQWFELKSTLALVEADKNVAQQDADRLHSELLNLHAVLTQFQSRRE TEEAQWQAKEASWTSRLAALEADVASSRERQTSTDDVALLKQSVAKKDGEIERLRDAL ERSAARFHGDTVESVDKRLMVQMMIQLHESPNKSDVLEVMGRILVRDLSWRWS H257_01314 MASSSLWGSLAANVANYGKDLTQDIRDIVSGVDDEHDIEKEGGI NASTPGQDEDLDAYIEDLERSLIRKKQEVEAAFKKIEDLEAQLALQRESLPLPVVDDT ATRELQRRVESLQSQLEHDRALHATAMNEAVASFDVKLAAALGEAHRWHVECDRLCSS AAAKANEGATSDSPADSLIEANPVVVDAVATLSSVLHAADLVDDDATMSHKLGHYASL VTSRLVAAEVDAKALETTLTAFVLSQGEQLDPPFHLAECKLKLDQVGVARRTDMERVV AEFEQTTGQLQLELAAAQAKVRDVEQRHVQESPDNDQAVRHLQDKLHQSQVNVEHLSR ELEATTLELQAALGARDGEEQSSVLVERNMELERMAYAVSDQLAQVKAQLDMERAQWV QHGRANTSAVSEAQWFELKSTLALVEADKNVAQQDADRLHSELLNLHAVLTQFQSRRE TEEAQWQAKEASWTSRLAALEADVASSRERQTSTDDVALLKQSVAKKDGEIERLRDVR FFWEL H257_01315 MSSSTLSPSTIIAVHVPKEASRAIAIHPPSSTGSTSTATTANIH TTTLAHSCPAPRHLMSLPPHLALSSSKPRMAAPRTPLIGSLPDPSSSFLAAHPMPPLH LPPPPSELNLPSESTSLSSRRETVVQFASSCPAQVGFLHMPRRATTKTPHPLTTADDL SKSPSLAVMRSIESLRQERLSSLTDNRLSLDRLCLDEHDEDDESDNDEDDPANFEMDD VFVFEAQ H257_01316 MAPSTLLAVVLCLVVFVGIVMAQDTWTTDCDSVCGSAWSGSCVV VNTSTNVVESPDRVALARTFHCLPSTGSLIASLTISSNHHASSIDAGSPVYGIRHIGV DWTKLNVTTLILRGVGDTVVPMDITFNSADPLLGLSHVSISHLDGLDLPPLPATLRSL QVSGCQLTEVPPHLPPQLTSLDLSNNLLTSISPRIYALKSLTHLDLSHNPLPPLSSHD LAFVSTLESFHVDSVVSPPPPSIVLTPSLLAADPASLSSTSSSSLTLVLVGIGVGLVL LIMVFLYVLRRRHFCDRQQRHENNNPLSSMDQIYHTAFTSTKDPAPSIVKPPSSSSVD SIFHATVTADVELGRMRLPVDSLVTTRRLAHSRTHLYVIYLGQCDDTAVVLKQVSATT SADNMDTAALHLMDEMRLLGRLRHPHVVTLIGVSWDDLHAPVAATATGVMEYMNQGDL RSLLDAAPLTWTDAKFGMALHIARACLYLHSHHPVLIHRDLRAQNVLVHRRPLDDLDD SLSSSTLPNSEDLDEVNGETRRTSGGGFLCKLTNFTSARVRSYVDTMTSGVGSAKWVA PEVLRGDDYSERVDMYSFGVVLCELDTHALPFADHATDSTREDQRSLVQDIMTGKALP RFSPTCPLPILQLAKQCLQLDPANRPTARAVVDTLEKLVMEHAWGGRNGDGIYV H257_01317 MGQVVPNRVVGLANLTLAPFSLTKAVQRQADLVLRKSATRGNVR ELLPGQTVVLDTARTFETQQHDCMLDPMLCIPAGSSHSTKDGVTMTHTRKRRYVGNAN VKRRQEVEMPNNTMPRRFNDTNYLPSPTKPPADVVLAHDIQSSEVASTYVINTNTQSH HPTPPPSTGTSHAKEHLVSHITLHQRSTKTYKLEAWYKSPTGIGTVANTIAAALSAHD KNQSGFITTDDLMATLQQMNFGLKEHEVRELLLHHDPTASSLSSKDGVVHYRSFAKQF DVPRDPEDDKIEDPLTRQAKYIAGLQQRVAAASQVPVPTAVGRRRQHHRKNDINTTKL GTLDPHQIPTTTTPPEESSADPPHLPRLATAARPPTKVLGSHRRLVDKATDDVFCVED LEAALRTHLPSPTRYSAKLAPGIVPQTVSTLVLGGDMRNTPKSLKRAKLMPQIVDQYH RDATRQAKRHVITEHIDRINAYAAETDYKAKLMAENHIKATSTKMLHYHQTFFANQSQ QALKNHEKGLIHSDVAKHNHNASLESMFSPG H257_01318 MPTVMLLPPWIFVVLLGGLSSVKAAAVEPVICDSCVNIESPLGF CGSLRNHYPVCLQRSMTVALQDRLAESQYALFHAASVSATNNSTPSCHQALREVACTF AFPACELAQARPLCSSMCALQVANNCSASFASQAQPVTNNVCGTVDDSKCIPWTYTGP NRGAWVAGFTISVVFSFLSSIGINLQKKALKQNEVTAHETGTQPMSPFRLPLWTLGFC LIVAGSLLDFIAFGLAPQSLLAPLAALTLVWNMMLAPCFNKERLTRKDIWATLIIFLG ATLAVVFANHNSPSYTLDDLKHLYRNPLTLLYFAIVFVLIVLHYAMIKCVEHLNLASH RHRIINFGDPSVWSTVRLVAYAGLGGLMGGQSVLFAKSTVELVKSLLAGGDCFTHPET YCIIVAMGGCLVAQMHFLNGGLANYDALSVVPIYQAYWIISGVMGGAVYFQEIRSFSE FQACMFVLGILTTIGGVALLAQRSLLSPPPLLKKRKTLASRNLSSYWHTPQALVKSVA GSDEPVVAVAVVVEAKPGGASGSRGTSAEVDLDEADEEEDEVPGLGQDSSDEDATGAA SSAPTSIGVDDDVDNEDMNSMNRQAIENSLDMSPMGTFLMGFPSQRNLSIFLRRESSN RLASAVLRPNNPLRTAQDDFEIGLPTSGTGSSSSAALAPDDSTDTDDKRKAKRRSITF AGFKSSKK H257_01319 MLSEPRRVSAQQLYKVHPGGAETIDDADSDMRNDFIADNKEFIQ EETVNAAQAISKWWRIRKLHNRIKSKWTPEHLRKLREQQRQVRIQLRIREIALYIVYL LFLNDYVLGPYTDSSLYYFNANLKRLFMTASVKETQFNAISTIPQVHRWLQGPFFDAL YSEKDPVTGDYTILQYGQVVGGISIGQLRVLPQECTSKLPPQAGNEKLYWCYGTKSGH FDESVESKLPFGTGNGSNFQWNSISEDSNISDTRRAFFTSYTGATAAVYPAPAFRVVL PHSNADETKALLASIESNAYMDVQTRAVFVDLTIFNVMLSSVLVLRFAFEVPPSGGVV PTSDSVVAPYADTSFNFLTHYSMLAVALFFLLYFLLLLRATRKEGWPVYRRLETWVQV ANSVAYIVMWLLRACAGLDFPNIHVASTSPSNFRSYAFMYNVSMRLAAAVCFLSYVRL ILFLDVLPHVNLIVKTVVLSVTQVSSFLFVFGLLVYAYATCYCVVFGSSVEVFSTVSH SYTSLLQSLVGDLDYAPMREASPGMAMLLVVAFTLVAVFVLAHILIAMIIDAYWASKE STQWEQVNLVVETLSYVWSIGMDLVHYVGRVCGVSFVLVEDSLDAQSTGHHEMLGPLR PRRLDVQACHGHRLSAVQKLVVMPLAATHAKLEQLGHTLSQSQLVRSGVDGIRRGTNM VVARSNQITDRLNSFRDERGGSPSNNHQSARRFPSGNGGGMASDAQMKVLQGMIIQLA HQNTKIQQTLVMLQSQVTELREASKGDDDRRQDDDPTGPS H257_01320 MEYSLLKAWNVRVPEQSSKPRLAVDGRRSEGFLILHPRQGPPKT AMPVWKQSCRPRCSLTPTSPNKQVDFETITSKIGTGQPRDLSHSRRPTLRNPGTCKRY DSMRGILEHDICQSTTLYHGLRHAKPNSNIDLGNSRDQLRHVREGASGDKVCTLHLAE RLVVCDTDVAMKRQPSARPPWPKEFVAQYLTESGPLVASCANANDTNEAKNDERQRTF DAHCLNVSAWDNPRQVDCTAAVSEAPRVAVKRMGCSNPAQSNAHTRLSPPPLKGKQQF QSQVNSWKAALGGQSEEALAAMSEEASLFKTQQILLRSQRAADGSIVGKRMLSSTNQR GLSPVTWRRTLPRQMKPTTATAVMGVARG H257_01320 MEYSLLKAWNVRVPEQSSKPRLAVDGRRSEGFLILHPRQGPPKT AMPVWKQSCRPRCSLTPTSPNKQVDFETITSKIGTGQPRDLSHSRRPTLRNPGTCKRY DSMRGILEHDICQSTTLYHGLRHAKPNSNIDLGNSRDQLRHVREGASGDKTLHLAERL VVCDTDVAMKRQPSARPPWPKEFVAQYLTESGPLVASCANANDTNEAKNDERQRTFDA HCLNVSAWDNPRQVDCTAAVSEAPRVAVKRMGCSNPAQSNAHTRLSPPPLKGKQQFQS QVNSWKAALGGQSEEALAAMSEEASLFKTQQILLRSQRAADGSIVGKRMLSSTNQRGL SPVTWRRTLPRQMKPTTATAVMGVARG H257_01320 MEYSLLKAWNVRVPEQSSKPRLAVDGRRSEGFLILHPRQGPPKT AMPVWKQSCRPRCSLTPTSPNKQVDFETITSKIGTGQPRDLSHSRRPTLRNPGTCKRY DSMRGILEHDICQSTTLYHGLRHAKPNSNIDLGNSRDQLRHVREGASGDKVCTLHLAE RLVVCDTDVAMKRQPSARPPWPKEFVAQYLTESGPLVASCANANDTNEAKNDERQRTF DAHCLNVSAWDNPRQVDCTAAVSEAPRVAVKRMGCSNPAQSNAHTRLSPPPLKGKQQF QSQVNSWKAALGGQSEEALAAMSEEASLFKTQQVLVVHIWSK H257_01322 MPMAQLNPVLAMAKCDENAVEIIGGVRTRSSSSSSRELDNGWLS TGEMYVTLSYEAALRWDMSSMVDTARKTALSTCGKQEVDIAELSMMGRLLTAPPHSAS H257_01321 MATPSMADPSSVEPSKAKPKLFFQLSRAQQRKLGKAAPVLRQLE GDNLSDKLVTYRKTIRFHVKASHDDAVQMVQDVHRGLQDPNARDENGRNGLHFACHEG ANGAVLALIKEDCSVDEPDMDMRWTPIHYAVMGKRKHIVALLLKYAPTPYVTVNRRDK IGTTPLMLAAGEGYPSIVRLLLDNGADIDDRDNEGMTALHYAALTDQVRAAETLLEYR CNTEIKTKSSSETALELAERIHSHLVSIALFEC H257_01323 MGRSSRKFYIVTTEVRIASVPKPGQWIDHAKKLPGEVVEVVDEG HRALSMPVSPSKAARRQPPTDKADDITYLKLAYAEGWVPLETSSDGTMNMELLPETDW TEELPPPNSLFLFRALVPLQLKSGPDAFAPKLLPVETKEPCDVVEVSRIVTFPRSSTS FVEVHPMGWLSIQLPSGRKLLERTTSFTPSPTPSHPLVEPSSTVAVIAGKSGVLERGH FFYCVHIAVGIREFPDIMSPRVGKGFHINTIVEGSQRFTPQSSPITYVKLQHERGWVF ESTMDGQVVLAPLRSQIQRDISRAFYRVLHTSINVYSGPTFESPVIGTRANLVECRER LQIQVPTGASCSNTWVFLKLRHAPGWVSETSRDGLDKLVDPIEGDATTVENPKFYKVK LTVPVLAAPDVDGPRLQGSFPKQVHSIVESSLRYTPPESTMTYVKLAHEPGWIYETTL AGDCILDTLTKEPAKQHGKFFYRARAKLRVLVSPEPSSAVLKHLDEHTVFCGALQFSL PESDVVYAAVKDKGWVALDRPSASKVTVERISESMYQLCINPPSWVAVGYPNRSWFKV PDEGGNRDILAVETTAQNELFADRNTLSSSSLGVDSIPLVRHGYVATETAVIPVVLEE IKHPANAILLTFPWKQLWWHVSFPDKSVVVVELQHGLHGGFRAVFCNGNVMAQSRLLW DSGDTIEVAAAGHTFQVSIVLEGGAAFFSSAVQYYTYSLVVDGHPIRMSTYKDN H257_01323 MGWLSIQLPSGRKLLERTTSFTPSPTPSHPLVEPSSTVAVIAGK SGVLERGHFFYCVHIAVGIREFPDIMSPRVGKGFHINTIVEGSQRFTPQSSPITYVKL QHERGWVFESTMDGQVVLAPLRSQIQRDISRAFYRVLHTSINVYSGPTFESPVIGTRA NLVECRERLQIQVPTGASCSNTWVFLKLRHAPGWVSETSRDGLDKLVDPIEGDATTVE NPKFYKVKLTVPVLAAPDVDGPRLQGSFPKQVHSIVESSLRYTPPESTMTYVKLAHEP GWIYETTLAGDCILDTLTKEPAKQHGKFFYRARAKLRVLVSPEPSSAVLKHLDEHTVF CGALQFSLPESDVVYAAVKDKGWVALDRPSASKVTVERISESMYQLCINPPSWVAVGY PNRSWFKVPDEGGNRDILAVETTAQNELFADRNTLSSSSLGVDSIPLVRHGYVATETA VIPVVLEEIKHPANAILLTFPWKQLWWHVSFPDKSVVVVELQHGLHGGFRAVFCNGNV MAQSRLLWDSGDTIEVAAAGHTFQVSIVLEGGAAFFSSAVQYYTYSLVVDGHPIRMST YKDN H257_01324 MYYAHDTVAEENERLRQELKRVMTESKSLQHQVTQLKQAAEREA AFRQVLESEHETLRRFICSSFGLPTSTGSTGYGGFNPTEVPYTLSLTRSWSDPTKQSS STDDSTGATRVLTKSAEAILASRTPSVVRKGERSVRLQEDWIKEADTPMTTVYGLGSE PWSRLLADWSQGDAKKHDYLNQWLSYHLNGKRDAKSPFSNPRVELKSMNSHMLAGFLQ LVVPVLQASRPDLNVDVYTKDYVGHSLRIVLEDKHSRSSAIHDNNYTAAPSSTCFDTS RGSLRCLAPIHEHLPIR H257_01325 MAIRQFASDDLLKHMSTQVVTASVAVPTSKVGEQTRYRLMYTDE KVKSRYDLVLRTTISLASHEKRSNSNTMLAQKAMKYRKLDQTKLAMTCNDPNVDVFQC MGIQWCKVERCT H257_01326 MDEEEWSKEQEANRKPGQKHPTPSPGFADRVFYESLLSQNPKSK MAKKWCLEYGVLEWDDAAVLCKELGVSYSSKGAAKKSSEPKKRKANNILDDTVDDTGL HASSNYEGIGIGGV H257_01327 MTTRNPSYELGVQHGALAGIGLTIGASILTVAATGAGAAVWKYV KKQRQLWDVEALIAHRRSIFPQDYDATRSVPEDVLNKLLESANWAPTHGRTEPWRFVV FGGDGRRVLGEKDAEIYKKITPEASFMPKKYAKKLSSKLQASYVIAICLKRQDSKKIP EIEEVEAVACAVQNLHLRATALGVGAYWSTGPGVYSDEMKEFLHLGADDKCLGFFYVG YPKPDFKHPIGSRKPVQDKVRFVYE H257_01328 MSQSMSAARSPAVTGLTESSRGGPPKQKIRGSPPTSAAELAHLG TKCGYLRKEADNEKGLWSKYFFVVKPSTFLYYYKTEKDEYPRGVIDMEYMTDVRLNSQ CIKRSVGGSNYSFRVAADVKTTEQKKIRPLFLDIDTDDREGGEAAAADARKWMQALQG HRYIQVDSQRDDLAVELKELKERLAASEATVAQLTDKLDHITRRAKYTVKEAMASTHS CRTAQEASFVSDSYESIAESLDALASLEKLGQVVGDVTTQVHARNQHIAKLHAELAEF GREKNLSPNFNDDTNDGLDELNPEILEAVLDDSQGAISSKQIRAMKQKSMRGFAAPTA PSTQMLGAAMSLGFSKAKAATTRLVQAKLQRNPTNITPTSRGGGRWTRVESKQAPGTF YYVNEATGEESWEMPLSGVTEDGGSDDDGGVEYGYGGGASNQSSSMVDDDGDEGNTTN SATSGDGTGGGGDFDKYKILWKKTMNKQTFARMNTYAFAKQKKDAAVNGDVKEIDRSR HQF H257_01328 MEYMTDVRLNSQCIKRSVGGSNYSFRVAADVKTTEQKKIRPLFL DIDTDDREGGEAAAADARKWMQALQGHRYIQVDSQRDDLAVELKELKERLAASEATVA QLTDKLDHITRRAKYTVKEAMASTHSCRTAQEASFVSDSYESIAESLDALASLEKLGQ VVGDVTTQVHARNQHIAKLHAELAEFGREKNLSPNFNDDTNDGLDELNPEILEAVLDD SQGAISSKQIRAMKQKSMRGFAAPTAPSTQMLGAAMSLGFSKAKAATTRLVQAKLQRN PTNITPTSRGGGRWTRVESKQAPGTFYYVNEATGEESWEMPLSGVTEDGGSDDDGGVE YGYGGGASNQSSSMVDDDGDEGNTTNSATSGDGTGGGGDFDKYKILWKKTMNKQTFAR MNTYAFAKQKKDAAVNGDVKEIDRSRHQF H257_01329 MGAVTKYPYPKHTWSPAGGWWNQPKNWKNRTAILTGVMVLLIVP MTVFSTKNKTTYSHLPKAASDDE H257_01330 MVLATRASVFACAYGPHILVSTGKESAQFSVAAQVVALAFNADA SVLLVASQDKQLAAYKVDTQAGHLQTSLVEAREVPRNATSMVTSRKTLADGTQQDAVL IAVNAGEVMAYPVPHVSSHEGKSLLAHTTSIVTDVAINTDNTLLLSADRDEKIRVSNF PTTALVQSYCLGHRQCVRKLATSVTTPSLFVSVGLDDTLKLWEMTTGELLDSASLTGV ETSADETKQCGLAVCPLTNHVAVVRNNTKHVDFFTIEDKVLRHIRHEVPSEAQPTHVQ FLADGRLAVAYKQAPFLELFEVGASSVKVVDIPNVHTFAELAEITVLGDANEEDGDSD DGELRKKKLKPTHWKAKLPGSRATTEGTTNDE H257_01330 MVLATRASVFACAYGPHILVSTGKESAQFSVAAQVVALAFNADA SVLLVASQDKQLAAYKVDTQAGHLQTSLVEAREVPRNATSMVTSRKTLADGTQQDAVL IAVNAGEVMAYPVPHVSSHEGKSLLAHTTSIVTDVAINTDNTLLLSADRDEKIRVSNF PTTALVQSYCLGHRQCVRKLATSVTTPSLFVSVGLDDTLKLWEMTTGELLDSASLTGV ETSADETKQCGLAVCPLTNHVAVVRNNTKHVDFFTIEDKVLRHIRHEVPSEAQPTHVQ FLADGRLAVAYKQAPFLELFEVGASSVKVVDIPNVHTFAELAEITVLGECVKMVQ H257_01331 MDATPTNTSSNDDNQEIPSDHWTTVPTFMPQVQPAALVIKMGFN RVFQYQPVTHYVFSMQCPATHTWWVIRKRYSECDAMRKSLLRLLKTTSRDANLANVTR LLQKVADMSTFPGKHLRDDDDDIRAERLVGLRKFSTLLVAIRLSSMVLAVQTPVPPSL FVYYINHMYHDLSDFLQVPEPQVHQELHHVIGKISPHIPPSVTKPANVQSANEGTTDV ICAICLDGVDRGAQEVLELVQCGHTFHKECVGGWLKDHCTCPLCRTMSFDEEFMVEYV TQRVLLKSRHDLLYLLNKARFQFDLFRQQDAAASLSPIPPSHPHHYHHDALDQHIACA VCMDDLTGRDHHPDTLELECGHAFHRRCIYGWLGDHTTCPICRRLSHYGHLPPTP H257_01332 MTCTTGAMIAFGLALVMVFVPALHKYGPFMVGMGFGATVLDIVS TTFVAILDTYVSYAIHYWVLLVLLVVVALGCGDKNDTFLIMATSCTGAFAVSSGIVAA IDVIFNLRSVVAHLAILLGVGVALMVAGMFHQKAALNKLNAPLPIATPVNDKLDY H257_01333 MLRSRHQSTQSTKETPSSSLNVDPATFVVKFDLNRWAQCTPITT YILALCSPQTKTWWVLRKRFSECYAIRQQLVHLPGVTQPVASMIRPMSLLKFPRRKIQ GDNDEIKAERAAGLQTFTAALARMRQECMALAMSRVDTDVLEQVDLLYSVLTTFLHVP LLQIQEEVRQVVSTTVAGSHRLCTSRSDDECTNGHVECSICLEDLAGDERLVQLTCGH TFHKNCVGGWTKCHFTCPLCRTASYDESYLISCTVHAILSDETGTFLARLRRCQATFD HVGQSPGILLDGPRLMERSPVLDSVCSVCLEDLDDSSQHRQLHCGHGFHPACIVKWLS SHTTCPVCRAEGCHGYMGS H257_01334 MPSGEQAFHGTSCCDQVQHDRMSVSVGATALLVKQGRPTQTPFT VYVLEVNSMPTNTWWILRKRFSQFRSFRSELVALHKHSRHAAQLQDLHEVLGPVVLTP FPTRSPFLVESDRTKHQRRAALKAFTVQLANLRQTALSHVTPSCQLKYLSKRLDLFLQ VPPPIHFQAEGNYRRDEDWSSSPPPSHHALPTRSLDVPQCCVVCLEDQTLQDAVYLHC GHAFHNSCLFQWLTDNQSCPLCRKHASYGHLLPPPAQSN H257_01335 MMSSSTDPKDWMESAHHDLKQVNVYTSATRMVHGDSDPFTVYTV IVSCASTKTWWVIRKRYSHFHALRQGLVHKVRAMAKAKSNTLADVHTLLQPLTSFPFP KKFLRLDTDAIMGHRKAAFKTITTMLMQARSAMLVMARRQENNSALVGELKDLRSTLD TFLGVPDRQVAEEEHRMATPLVGSFTSMDLLHTHDNDWCEDDGCSICLIALKDATDKA TGDRVLRMGCGHAYHESCIVQWLERKLSCPLCRQVAQDGVVH H257_01336 MSVVSKRGPWVGREGRCLDQLRVDIFFWAQGCVTFGSECAFTVR CENNFPFYNLHSRPHITTTTTTAAHSNMTTHHRLQSFDEDAQHLLEDGMKQITVEATA NTKILYGSEKFTLYTILVMCPITHAWWIIQKRYSEFFSLRHKLLKIAKRALKTHPEVV QLLQPLGEFAFPKKYLRTDTPEIMNERRDAFKRLTSTLLAIRSSFVLHMLQAPQRQLV LNQVVDTIEAFLSVPDCQKEGELRHASITRVSESVEEKNLPACDEEMCPICLYDFADA PECTLHLGCGHVFHKDCVVPWLEQTMSCPLCRQESTHGVL H257_01337 MTTAKPKQPASFAWLVRDTLVSVGVTYLTPEHLRRSKSSDATKN SDHTALSSLLWRALHDLSMVVLADFDIDTVALAMESQALSDDENGIQVCIDVSRYYLY DWGFLCHDFYSSSTPLDVSGPVLLSALAFVLTYGDFFSRQALAIVRIQLACDTVCIPP FPLEPPLQAPHISNAIADAVATHQPTIVHQMESQPSVSDLVHRVHATYGALQYKLREL DALNEAHSKYVHRIHTTQQDNLGSVILMDTRAIAERLSSPYILWLLRCPAQLQVHEQA LEKRLSNFADEKLYYQWMVSVVGQVRPSPSAVHHVDTSSRFADLLASAATANDHFQSP PNKELYRVVSKQWKDISAPDKAKFKTKIDRAMAKMQQELPTLSALYQPLPKNSTKPPP HDAKKLDEPASPTSLKVATVQDQVDALVALMERRFKVKLV H257_01337 MVVLADFDIDTVALAMESQALSDDENGIQVCIDVSRYYLYDWGF LCHDFYSSSTPLDVSGPVLLSALAFVLTYGDFFSRQALAIVRIQLACDTVCIPPFPLE PPLQAPHISNAIADAVATHQPTIVHQMESQPSVSDLVHRVHATYGALQYKLRELDALN EAHSKYVHRIHTTQQDNLGSVILMDTRAIAERLSSPYILWLLRCPAQLQVHEQALEKR LSNFADEKLYYQWMVSVVGQVRPSPSAVHHVDTSSRFADLLASAATANDHFQSPPNKE LYRVVSKQWKDISAPDKAKFKTKIDRAMAKMQQELPTLSALYQPLPKNSTKPPPHDAK KLDEPASPTSLKVATVQDQVDALVALMERRFKVKLV H257_01338 MASSTFDTWLATRLEELSVDSEVYGEYVKGIVADTETELEERCS TAVDILRAVLGDDAALDTMAGELQAKWTEHELEVIELKAQELEKAKARHLVEKMEELK LVELNKQAEADKAQARSHMSKEELQQREKILRDYGAVGDSEFDEDGNVIFKGSQQTEE LSVVNTNRGQGKVAQQELRDKMKKEHDAKVKREKELLEADRLRKDKAQKRTQKREKQR GCG H257_01339 MRTKSLSSDKSLYTSTIIELRRPGKHMSASFLIHRYDDAEELNP FLTVTVCEEDKLPPMKGKSPVVIKLRLLHVNWQHGKQLFVNALVVVCLVCIGIIPLVS WPRQHSSLQFSRKELQMSNDHAVQDDLSQFRHFQATNNNQRRHRGHISSSSGLHAIAA DKVKGPRAWRPVEQATRAPEVQANDSDNENLPVDQHSMSDESHVESTPPEETNDGAID PSSNSAGIVRERNE H257_01340 MQSLLSSYQDSDESAAGYSEPKGALGQGLLGDGSISSSYYQSRG HTRMVFVGGLLCCLALIPLVMSPTKPESFGLTDAASNVVTAIPSIHTGSRFRPEVGDD FNFTMPEREGEPELVDAEPTVESNAQLPVAEPIPSTTPEPSVDVSAVDALVQSTKHES DAASTMPTLVIDDTTPGVGAPHHGHFTYPPECSEADMIAVAANLPVSEECHTAYKLFA LEQGGKALITRKDEVLPSTEYPVECSADDVAALDIASGVVQNATVSAECAEAYEAVRQ TQLHLHLESLEAELAQLRAQLASTDP H257_01341 MATAYALHKAIWDGNVDQVEDILRLATPADDKAAKELPLLQERD GLGNTPLMLALRCVHASQLAIVQLLLSYGANTHTRDAVGWSCVQNATLCDNDDVLTAV FLQAEKQTVELLTARSMVLYSILHDIPDFYVEIKIELTSWVPLVSRALPSDTLKIWKK GSNIRCDFSIKDLHNTTWKRGRLSHLLRTFPDRPGQVVLLDHDTRTAQDVSKFIMHPS KEAIDTSLEMLYTCKMSTYHMDIAKMRLTPKKRVFSSAKATAGVGGKKYEMHHARVNL RLRGASQPQWTPNDGTTPVSASTKLAKAKTFFAHLTPKQPNSSSSHKTTLPPNTLVVT STAPLEMHLQVQPGDCLHWQFTSSVAAVQCKAVLCANNAMVDVVANPYAVADHGLVVL TWTNPTKKKAVVAHQIVHQRLCAPPVATEDDNQVSPRRQSTATDGLSTPPLSTRVPNP RVQTTAFHEYFATDPELHESFRGLTCPPDERSFSKELKGTVTMSESFPFKMSDFLPVA QFLSSRAEQFDSLREFFEMKLPPGFPVRFHLPMLLSVRASYTFVNAGPCTVPDSHFDM ADSYTIT H257_01342 MSPIRNLVKYPNRVKELQALFTKNPHLHGAENPTFLKGPNDQAI FYTSIALFGLGTVQTLRGWVNMSFGWGKVE H257_01343 MWRSLRLCTAVVGVVSANTAWTVCNRKLLKDGALFFIRGVNYQP APIDTWSGVDLLLRPELWQRDLPLLRNMNANAAKVYAFTSGNPDGHNAYLDAAFNNGY LPIYTMFSIWVSPYPMSAAVAIDSPDFAQFVPKYEAMAKEVACHPGTMGFVIGGEMNG IWEVKTQLFWSKFNALSQAVRRGIASANCASPAPKILTTNFIDDYAASVTYGEQFRAD VDVWGINIYDKRFGSDKMLVHSRATSRPFLFGEYGVPFASNWNEAIGAASWDVGMYLM SMANLLRDSFLGKDPSGTAVLVGGFIFEFTDEWWKQGFPSSQEFGWDPAPIFPMGYGS EEFFGLFSVARGPGLNKISPRQVVSQLATLWAISVDGQSYNCANPTPPATPRGPTTVV QSCGKDPSNGVASFSDSICSTPNGALGCQGTGGHCRYCQLYPTPQSSPYVGCPATSRP PTTTTSSMTCANPSQLCLNGVALLSNPQSCEFGTCPTTTCDADPVMLVRGVGMVYDAT CVTNSAQVGCDLLRPGCRYCSPPNSRTAFAPCPTIPSKSTCVATLSDLNMGLGVVEDG GCAGGGIGCDATRPTCRYCKMKETAQSKLLNACPGVTSTSTSGFAAADDSESAVEGTS TTNNASTILLLVATVVGVVVAGVLVAKVVFRKPKKSEKQGEVAMHTPSGAGIL H257_01344 MAGWRAVVVGCLLAILALCAANDVATDGSTYYVSRAEKLSSADW RTNTEDVRVTSANAAADGTITWSDSLASGGTEIVGANVLDAAGNLYVVGSTTGNVTAD TCNAGSFDLFLIKLSPTGTRLWIAQIGTPQHDEARHVLLQTEADGSEYVYVCGVTFGA LDEQLHATNGYRQFGGRDVFVMKYTTAGRKLWGRQFGTSTDDFTYGLSKSDDGSVVVA GGGGRGPTRVKFLAMFSPQGQLVNHVVDGGVSILPVQTRISEAPSTTTGRYTLVLNRR PSAPVTVAMSSPAIPSPSGETLTQVNFAPVAGLVFTPENWNLPQEVTVTAIDDSIAED THYASITHAVVSSDPHFAPSTPFMLGKSVAFTVTDNDRPGVFLSRSNVYAVEGGGTDR YGVVLTTRPWYNVTVTAVAMRPLQTQLTPSQVVFTPQNWNVVQYIVVRAVDDNVSENE FGGVHSGGSIWHYATSRDCFYNTRQPSCFYVATCTCAGSTVTRGQCTSIQTTAACVAG SPELVCDIASNGTVSQLGNGVSVVQLGGGTLAVPLSAVPVRYGHLALNPNPPSSTSTS VNADGETIELWARQVQFNPPPFDDGWGGTWSSQTVQSLDFPNPNPVSTNVSGLVLTAV PADVLGYVYTFAGVLDGPGLATLSQDPFKLLWQLCLGVQRLTTQRWAFEAWPPGMADR VLDAIFRVLPSTVVQERQLWNCGMASFAPRPEMAVTIFDNDPAITLSSPVLRVAEGPG GTTSQYSVVLHAPPSVSGVASTVSFCDPSTDLNVCRTSLSAAFFTSVFPPGASSDTVS VVAQSSAQVTISPPFLTFTNANWFVPQWFAVSAVDDAVAEGTLNTSITHTLFGTSSFA NAAFWFKQELPSSNLPDTMPLPIPYNDMPTVLKAPNHRRIQVVVADNDVAGVVVSKPQ LSLKQSTATTRHVGETVRGTCIDSLTVVPGLGVQRNVLLLTDASMTLLKFHVPTLHWT AFHGAMSSASIVLFRTQYDVVNSTQLNATNDNALYPTALLRVLLVASNWSEADLSPQP PTLPFTAIEQNVTLSPDFTLSIDVTALLKAVSPLVVVSFRVDIVADPANLQMHSRVHP ENLPPMLVITTDFPNLLGNQPSTAVQPNSSIANVTTDGSRTSVGDVITSFWQASLGTT TPSGTLAIFLPQSVTSGYLDVVVSTDPFNSTWDLATSQAHGTAFRRLPILRPVLLWPV KAATLYIRIFASVALAEVEFYGPGITLTTTDDGWGVRSTTALLEPKLNDGLVRTSWGF ASGAYIGDDNLAAGMPTTQSSVGSVPFSTSFETNPWWNLDLGSVVSIGDIALTLGRTL DEVVACASPTMPVDATSISSFTSIRLRLSALPMTNTPDPTKEVSYTKALGACFSTQVT WPSYASGRYLRVELTGRGILTVQDIQVRRWRAEMTRYVLLELRGSGQRPIALPAFTFM DSSSAFVPYRIHSTSSPQSFDMQLPWGTSCYRAATSSSYREWIVVDFSTVQQLQSIQM SFDTSGCGGSVDPVSAISIAVYGDSKLVRTVPTAASSTDPCACTSSFLGVLSAALPPL CQNRCAVFQCPSCALDSFSNGIVGETLAASGFLDVALLVPPPSLPTQDVVLLPTGYRA IILRDQPLSYWRLQQANADSHVQVVRATFGTSTTWPLGYALVNNDASLVLTAPPLARV QWFGQSYLNAGDSSFSVEFWFSFPTVAPPVTVVLFAYVAASPSASYDIGVFANQTSFF TLRGYGGVVTTLLGPVVGGVPNVWTHIVATYDWSSQVQTLAANGWNVVTNQLSTSDTV QVVRTDTISLRWTGTFMITSALVPCRMAHVATYQRALTPFETLEHFYYPTPSKSYSTY AIQLTSQPSTPVDVDVVTEVQCYRWGLCNTSAIPPTLRFTAKNWNSPQYVIVHGTDDS LAQGLQILTNQHQAFCAPTTTPSVQVATPAYSDATRANVSSYFHDLLLNQATAAALIN ITVDSLFGETTLSWSTQTLSTSAVPQADYTSVAVPPIGLNLQSMAVAGVVLSSPYLTV SEDGLHAVFEVALETEPKRDVHIYFNANTDCYRPCGVPTLQVSCPVVTTPLVGNETWL CNATVSPTSLVFTAATWNIPQPVTVEAVDDHLDEADIHFTTIKTTTASLDPDYDHALL PNIRVAVEDNDWSQVVTSRSSLALTEARPNDEGNYTLVLTTEPWSEVNISVSNEAASE CYRLCGYPLDKGTCGLPRALAANSIALGTSSSKEVQTVTASMTTTNGIQKVMTSTTHV NPIFQVTMTGGYVLEVWTLQILFPTTVQYSNTAAFGTTFTLRLDANTVAALDAFASAS QLQTALNAALGSALYDVATTVDSTSSQLVMTWRITYLSSVGVPELSVAIAPFPAIALL NRTTPLQSPSGSWVLGYGRQRSLLSVPWNATADQVAATLKAQGTVKDVAVVQSAARGP SVTWTITLTSVPNYFPQLFVDASRVVAAPGAAAAIDMTWTCLQQANTVNGWFQLSYPV NSTFVALSAPLLYNATEAEVVQAIATIPTVGPVQVRRRALAPELTFEWTIEFTGNVGP VGNLTAVSLNLTGAGSTVVSVFIQQGAALSGTFQVALGGRFKFTYPSTEVYDKVVPPQ TTPTLPFNANASAVQAALLALPLGIPLTGVDVTRSDGRCDGFGNCREYTWAISFTKTP GDVPSLVGTSQLRGPGAALTVATVANGTYLTGSFRVSLTLNESGVVYSGTTWPLPVNV TSDGVKEALEALPFVTSYRQGDSAFDPDHPFATPTATKGVRVTRQGPELDGGYMWHMD WSLNDWLRFTDVRISVDTTSVGQEVVPPMVATQFGPMGARCAQYPLTTFASDPTDIYG LRGSCVYPLVVTLSPERYLCNATVVTPRPKFDASNWFIPQTIHVVPVHDYLDETTPSS NTTQSTLMHFPYTLDMIYASLAVPNITVEVQDVDRAQVVVSRTLLTVSENGTLTDMYT LTLMTEPRANVVVVVYPWLDSTNTSCYRFELCNVTLDTNNVTFTPQNWYLPQSVLLRA TNDALDEADVHYSGISHSVFSADLKYHRLAVPTIDVAIYDDDVSAMVVSKTTLVVAEL GRNDQFTIVLTSEPFAKVTISPMSDGTPALGNVLLLPPPVVFTWRNWNVPQTLTVQAF HDWTVDPAPHSTLLSHVVSTNDLIYAKQAVANVTVFTVDTDVAGVTLSQISVNGTEGD VVSYALRLSSKPWFPVTLSFNASQGCYQTKYSRKCNVTMATQTVQFTELTWNTWQFVT VSITLDNLDEAAVHSALIAHTLSSLDAGYGAAVAAPSMTVYITDVDTSGVVVRASPSK LTVAQGSFNASYSIALSSEPYDDVTVLLSVPFESFLPRGTFDSTSLVQEPLVYLTDSL NTSQGVQSIVFTPLNWNDSRAVVLAAITTGSPKPLTMQTSIGHRPLSKDAKYNASAPS ILMATVLGREDFPPPIPIRAAFDGTGVVVVVSFDSPVFHAATMSVDKSKSITQIAARY VLPSAPFDCGLVWNTTNMGYYLGDGARCLWLDLQTLQMTLGNQATLLPSHILTLQTCA PSYVVDGLCTSPYVVKARDFNVLYTTASIAVTVGLVVVKPNIVLVGAKFIGPCGDLTL DATASTGNANRPFRLKWFAMLTTAIPSDVLPTNATAVLAAASSLYSTITPLCTSPFDS MTSPLSSEDEFNSICALASLAATATSLTWTVNRATLVSTSTYVFGVELTNYFNQKSTA MYTVQTRSDPVPVVAIAGDTTFSMRRPRALQLSATVAAVSSNCSTAQTNTKVQFQWTV ESPVATRLTLVNMAVDPRTYSLAPNALRAGITYRFRVDAFYPGQPTLMSSDWANVTVV PSDLVVKLVDGDHVIGSADPLVVNASLSYDPDQVAVPMTYVWSCQDVTPSTNQTKSTA GEVPCLNPQTGTAIEFSSANLSVLTLPALTYPPAKVLNMTVRVIQNCTGAVTPCATTR VGTASVLITTVNGRIPVVRCQSIVARVNPSAKVMLLSSVESLYPYTTTWSQDQGDLNL TSTNVSAFVFPVTAMQNALAPNVLTPGKTYIFRLTATDSNGAQGFGTVSITVNSPPSP GSLTVSPPLGMAIQDQFTLRCENWSDEDQPVQYSFFKLDSVTGGWIPLAPPQTLPSTQ TRLFLTNTSETNGTVQVLAVITDALGASTSVMASAIVVQPVIVDVAAFWTQTNNGTFA TTLNAGNLNDAMTVLMSTTALVNAKGTCNATSCGAHGRCNNVTVVCDCVSGYTGTACS ISTAVVTSMTAEMLTSLASVTTVMDPTPASLTQSVLTLSNIVAINDVATMEVANVVVA ANMLKDATSHMMKQDDPSSMVASVGATVLTAASSLLQTTKKDLVPASAGRRLAEDAVS TMDDVSSWLMQSMLYMAAMSSLNLLAGEPPVDIRSNGVATFSVLGNTLSYTLDEAAIT PTVSTVQLTPAAVACLGDLFFIDAVVWTTPSHSRALSQDTPALAPSVTVAIHTATSVL QARYQGLLLAMSTVAPNDPCMREQKLKAGNMDASLPLATVTVAHPALTKGPRYATACR VWNGAVNGWDSSSICSKDMVTSSATSTTCVCDTLGSLEVVIVSEEVLTFVPQHPTMYR NERPSVVPVATLSVLLLAYVGGMVWGKRQDKADKEAVRLKRLGMLSKETWKELLLHES TKSTATMRNVQGPQLEMYKKSTANAFMTTFRTPNSHDPKPANDSEALSAIAAESTALD VLADMALHEGALFGTLRLQSQHVLLRQSLQVVNGFLIVVSVVFIAVGVDFIHFLGNTT HSVVLVLYGPTVGTVFLGFGLSLAGVALLGVLVAYRNTSSRLRGLYIFLMFVLVVGEC VLLASAYKHLVDVEAFPEATKVYLQTLWGGFSDTIRGDIQTQMGCCGFVSTSDAPVLP CPEESVPDSVDRRTCFRELTKAASTLFENVFTSLSGVVVSQIVALALANVLVRWERIR IDNLSHGLKGDSKLFVIVLRCTLPVCCHLSASAAVFGILAGLDAILQWNVFALASASV FLKLEVGLPLVIVSGLYLVLHTMGAWALAGVHARQMKWFAVGQVVLLVAGVCLSIALY TVASNLGSYATLQAMLEARYVALSTHNKLDLETAFACCGFSLQAQGTCTSSTILPLCA PSIMSAVQHFCLTTLNRLLVFLASQGALLLCTALFLAYQNSHATSSALVLDTADEFSD PTSVIVTRVCTQILVFASVAVVFCGSILVGVACDLLFATNLVTVSAVLVAFSYHAGTY ILLLGLAVLAVGGLGVYAGLTRRKKWLWATAVVLVGVTLSLLALFAAAYRIQNPQSSS ATNASLLSAWTNFAPSTRHFVQEAYLCCGFAKVSTTTGDVNFTLPYLEPTWNDANNVT NVEYTPHCPPDATDGCSVYMMETLARAAAVATKSSAAVCALLVAVLVATGVLYYRQGK KKPATWQVWMTQVVMILVVTCVVVTLLGLGLVGVDVAAGTTVFTSSVIQTLFGHSIGA LVVVFVTYASAVTSYGLYGSIQKILHVVLIYMGLTLGLVVLGWSSVGVVSSMTTSTLS WQPLLDTTLDSIWTGLSFDSRMFVAESRLCCGYNDPVLVGSLYQFDRATSSDGKSLAC PTGLRTGCRSVLLQDAAALLGQLFELLVAFSTLESIALVTSMLLLRGLILLHRDVWVG IVKKMRWWGAKYRDDIDRHHMTRSLWKNYDAKFSRPQRLTCILCAVATLAFVNAVVQT NHGCTRTSSVECQPLSPAALLSLGLVYSLVSLVVQIAFVGLFSHIRHRYDDDDAAKVA ERRRKEKVYFRDVMMQSLHTLMAKFGHLTMVTSEERFYTWFVHQVDWLAFVLCWLRVF LAVAIVVYMILGKVGLGFYMFGLEVPSSIDFVVVPGLMMLSAGGVLVCTSLKRRTLHS RFTHLGLRAATGVSLVLVVVLALVVFLILQSMEEPTTPRNWTIRNTGFSVVDALRAIW LGDTSGVLRLQWQSQLGCCGFPEFPARPCPPGPSTQQNVTATKVDGTIIVKRITVVAD LGGCQDKMLAQVQSVAQIVLAVLIVMGVMELLVAACTTFLARDILISWDAKLRHASVK KTNAVPPADELFDMSPATIAPPQRGCLTSSLVQTSIDNVSEAVATALAQTPLSPHTRL VPMSHDKLQRKLNIRYPSWIVKVVYVVCGVWCAGFGGGAIFIAWDLSDYAALPWLGVF VWSAVLHGAVVEPAYVFGLVMSKTLSAWWKQTWMAALIGMGKAILHLDDAATPDDATV IMDPFLRIRHSSALVIQRRWLAKLARLRYLVILRVARENAHRVAVESRARQVKAAIAG FTREEMNAFAVLFRDADHAKTGLVSYKVVSHAVYALGVKVPTAVVKQYLEALDRGFVD LIDQDYFMYAMSCIRGYHQDQQVAQVTTDEIVVSSSLQGKTQIKKQNMLRDLKDKRTT ISKQLMNKVEKLTSKLRADSDETEDAKPSGAYILLNTKKTMANASPPRTGNVRQATID ASNINSIGTATAEGRKIDTDKETVEQVQQAVRPTPKALLSVRATKDMEKAIHQMKLKQ KSKK H257_01344 MAGWRAVVVGCLLAILALCAANDVATDGSTYYVSRAEKLSSADW RTNTEDVRVTSANAAADGTITWSDSLASGGTEIVGANVLDAAGNLYVVGSTTGNVTAD TCNAGSFDLFLIKLSPTGTRLWIAQIGTPQHDEARHVLLQTEADGSEYVYVCGVTFGA LDEQLHATNGYRQFGGRDVFVMKYTTAGRKLWGRQFGTSTDDFTYGLSKSDDGSVVVA GGGGRGPTRVKFLAMFSPQGQLVNHVVDGGVSILPVQTRISEAPSTTTGRYTLVLNRR PSAPVTVAMSSPAIPSPSGETLTQVNFAPVAGLVFTPENWNLPQEVTVTAIDDSIAED THYASITHAVVSSDPHFAPSTPFMLGKSVAFTVTDNDRPGVFLSRSNVYAVEGGGTDR YGVVLTTRPWYNVTVTAVAMRPLQTQLTPSQVVFTPQNWNVVQYIVVRAVDDNVSENE FGGVHSGGSIWHYATSRDCFYNTRQPSCFYVATCTCAGSTVTRGQCTSIQTTAACVAG SPELVCDIASNGTVSQLGNGVSVVQLGGGTLAVPLSAVPVRYGHLALNPNPPSSTSTS VNADGETIELWARQVQFNPPPFDDGWGGTWSSQTVQSLDFPNPNPVSTNVSGLVLTAV PADVLGYVYTFAGVLDGPGLATLSQDPFKLLWQLCLGVQRLTTQRWAFEAWPPGMADR VLDAIFRVLPSTVVQERQLWNCGMASFAPRPEMAVTIFDNDPAITLSSPVLRVAEGPG GTTSQYSVVLHAPPSVSGVASTVSFCDPSTDLNVCRTSLSAAFFTSVFPPGASSDTVS VVAQSSAQVTISPPFLTFTNANWFVPQWFAVSAVDDAVAEGTLNTSITHTLFGTSSFA NAAFWFKQELPSSNLPDTMPLPIPYNDMPTVLKAPNHRRIQVVVADNDVAGVVVSKPQ LSLKQSTATTRHVGETVRGTCIDSLTVVPGLGVQRNVLLLTDASMTLLKFHVPTLHWT AFHGAMSSASIVLFRTQYDVVNSTQLNATNDNALYPTALLRVLLVASNWSEADLSPQP PTLPFTAIEQNVTLSPDFTLSIDVTALLKAVSPLVVVSFRVDIVADPANLQMHSRVHP ENLPPMLVITTDFPNLLGNQPSTAVQPNSSIANVTTDGSRTSVGDVITSFWQASLGTT TPSGTLAIFLPQSVTSGYLDVVVSTDPFNSTWDLATSQAHGTAFRRLPILRPVLLWPV KAATLYIRIFASVALAEVEFYGPGITLTTTDDGWGVRSTTALLEPKLNDGLVRTSWGF ASGAYIGDDNLAAGMPTTQSSVGSVPFSTSFETNPWWNLDLGSVVSIGDIALTLGRTL DEVVACASPTMPVDATSISSFTSIRLRLSALPMTNTPDPTKEVSYTKALGACFSTQVT WPSYASGRYLRVELTGRGILTVQDIQVRRWRAEMTRYVLLELRGSGQRPIALPAFTFM DSSSAFVPYRIHSTSSPQSFDMQLPWGTSCYRAATSSSYREWIVVDFSTVQQLQSIQM SFDTSGCGGSVDPVSAISIAVYGDSKLVRTVPTAASSTDPCACTSSFLGVLSAALPPL CQNRCAVFQCPSCALDSFSNGIVGETLAASGFLDVALLVPPPSLPTQDVVLLPTGYRA IILRDQPLSYWRLQQANADSHVQVVRATFGTSTTWPLGYALVNNDASLVLTAPPLARV QWFGQSYLNAGDSSFSVEFWFSFPTVAPPVTVVLFAYVAASPSASYDIGVFANQTSFF TLRGYGGVVTTLLGPVVGGVPNVWTHIVATYDWSSQVQTLAANGWNVVTNQLSTSDTV QVVRTDTISLRWTGTFMITSALVPCRMAHVATYQRALTPFETLEHFYYPTPSKSYSTY AIQLTSQPSTPVDVDVVTEVQCYRWGLCNTSAIPPTLRFTAKNWNSPQYVIVHGTDDS LAQGLQILTNQHQAFCAPTTTPSVQVATPAYSDATRANVSSYFHDLLLNQATAAALIN ITVDSLFGETTLSWSTQTLSTSAVPQADYTSVAVPPIGLNLQSMAVAGVVLSSPYLTV SEDGLHAVFEVALETEPKRDVHIYFNANTDCYRPCGVPTLQVSCPVVTTPLVGNETWL CNATVSPTSLVFTAATWNIPQPVTVEAVDDHLDEADIHFTTIKTTTASLDPDYDHALL PNIRVAVEDNDWSQVVTSRSSLALTEARPNDEGNYTLVLTTEPWSEVNISVSNEAASE CYRLCGYPLDKGTCGLPRALAANSIALGTSSSKEVQTVTASMTTTNGIQKVMTSTTHV NPIFQVTMTGGYVLEVWTLQILFPTTVQYSNTAAFGTTFTLRLDANTVAALDAFASAS QLQTALNAALGSALYDVATTVDSTSSQLVMTWRITYLSSVGVPELSVAIAPFPAIALL NRTTPLQSPSGSWVLGYGRQRSLLSVPWNATADQVAATLKAQGTVKDVAVVQSAARGP SVTWTITLTSVPNYFPQLFVDASRVVAAPGAAAAIDMTWTCLQQANTVNGWFQLSYPV NSTFVALSAPLLYNATEAEVVQAIATIPTVGPVQVRRRALAPELTFEWTIEFTGNVGP VGNLTAVSLNLTGAGSTVVSVFIQQGAALSGTFQVALGGRFKFTYPSTEVYDKVVPPQ TTPTLPFNANASAVQAALLALPLGIPLTGVDVTRSDGRCDGFGNCREYTWAISFTKTP GDVPSLVGTSQLRGPGAALTVATVANGTYLTGSFRVSLTLNESGVVYSGTTWPLPVNV TSDGVKEALEALPFVTSYRQGDSAFDPDHPFATPTATKGVRVTRQGPELDGGYMWHMD WSLNDWLRFTDVRISVDTTSVGQEVVPPMVATQFGPMGARCAQYPLTTFASDPTDIYG LRGSCVYPLVVTLSPERYLCNATVVTPRPKFDASNWFIPQTIHVVPVHDYLDETTPSS NTTQSTLMHFPYTLDMIYASLAVPNITVEVQDVDRAQVVVSRTLLTVSENGTLTDMYT LTLMTEPRANVVVVVYPWLDSTNTSCYRFELCNVTLDTNNVTFTPQNWYLPQSVLLRA TNDALDEADVHYSGISHSVFSADLKYHRLAVPTIDVAIYDDDVSAMVVSKTTLVVAEL GRNDQFTIVLTSEPFAKVTISPMSDGTPALGNVLLLPPPVVFTWRNWNVPQTLTVQAF HDWTVDPAPHSTLLSHVVSTNDLIYAKQAVANVTVFTVDTDVAGVTLSQISVNGTEGD VVSYALRLSSKPWFPVTLSFNASQGCYQTKYSRKCNVTMATQTVQFTELTWNTWQFVT VSITLDNLDEAAVHSALIAHTLSSLDAGYGAAVAAPSMTVYITDVDTSGVVVRASPSK LTVAQGSFNASYSIALSSEPYDDVTVLLSVPFESFLPRGTFDSTSLVQEPLVYLTDSL NTSQGVQSIVFTPLNWNDSRAVVLAAITTGSPKPLTMQTSIGHRPLSKDAKYNASAPS ILMATVLGREDFPPPIPIRAAFDGTGVVVVVSFDSPVFHAATMSVDKSKSITQIAARY VLPSAPFDCGLVWNTTNMGYYLGDGARCLWLDLQTLQMTLGNQATLLPSHILTLQTCA PSYVVDGLCTSPYVVKARDFNVLYTTASIAVTVGLVVVKPNIVLVGAKFIGPCGDLTL DATASTGNANRPFRLKWFAMLTTAIPSDVLPTNATAVLAAASSLYSTITPLCTSPFDS MTSPLSSEDEFNSICALASLAATATSLTWTVNRATLVSTSTYVFGVELTNYFNQKSTA MYTVQTRSDPVPVVAIAGDTTFSMRRPRALQLSATVAAVSSNCSTAQTNTKVQFQWTV ESPVATRLTLVNMAVDPRTYSLAPNALRAGITYRFRVDAFYPGQPTLMSSDWANVTVV PSDLVVKLVDGDHVIGSADPLVVNASLSYDPDQVAVPMTYVWSCQDVTPSTNQTKSTA GEVPCLNPQTGTAIEFSSANLSVLTLPALTYPPAKVLNMTVRVIQNCTGAVTPCATTR VGTASVLITTVNGRIPVVRCQSIVARVNPSAKVMLLSSVESLYPYTTTWSQDQGDLNL TSTNVSAFVFPVTAMQNALAPNVLTPGKTYIFRLTATDSNGAQGFGTVSITVNSPPSP GSLTVSPPLGMAIQDQFTLRCENWSDEDQPVQYSFFKLDSVTGGWIPLAPPQTLPSTQ TRLFLTNTSETNGTVQVLAVITDALGASTSVMASAIVVQPVIVDVAAFWTQTNNGTFA TTLNAGNLNDAMTVLMSTTALVNAKGTCNATSCGAHGRCNNVTVVCDCVSGYTGTACS ISTAVVTSMTAEMLTSLASVTTVMDPTPASLTQSVLTLSNIVAINDVATMEVANVVVA ANMLKDATSHMMKQDDPSSMVASVGATVLTAASSLLQTTKKDLVPASAGRRLAEDAVS TMDDVSSWLMQSMLYMAAMSSLNLLAGEPPVDIRSNGVATFSVLGNTLSYTLDEAAIT PTVSTVQLTPAAVACLGDLFFIDAVVWTTPSHSRALSQDTPALAPSVTVAIHTATSVL QARYQGLLLAMSTVAPNDPCMREQKLKAGNMDASLPLATVTVAHPALTKGPRYATACR VWNGAVNGWDSSSICSKDMVTSSATSTTCVCDTLGSLEVVIVSEEVLTFVPQHPTMYR NERPSVVPVATLSVLLLAYVGGMVWGKRQDKADKEAVRLKRLGMLSKETWKELLLHES TKSTATMRNVQGPQLEMYKKSTANAFMTTFRTPNSHDPKPANDSEALSAIAAESTALD VLADMALHEGWRIVQPYCLYTYSR H257_01344 MFSPQGQLVNHVVDGGVSILPVQTRISEAPSTTTGRYTLVLNRR PSAPVTVAMSSPAIPSPSGETLTQVNFAPVAGLVFTPENWNLPQEVTVTAIDDSIAED THYASITHAVVSSDPHFAPSTPFMLGKSVAFTVTDNDRPGVFLSRSNVYAVEGGGTDR YGVVLTTRPWYNVTVTAVAMRPLQTQLTPSQVVFTPQNWNVVQYIVVRAVDDNVSENE FGGVHSGGSIWHYATSRDCFYNTRQPSCFYVATCTCAGSTVTRGQCTSIQTTAACVAG SPELVCDIASNGTVSQLGNGVSVVQLGGGTLAVPLSAVPVRYGHLALNPNPPSSTSTS VNADGETIELWARQVQFNPPPFDDGWGGTWSSQTVQSLDFPNPNPVSTNVSGLVLTAV PADVLGYVYTFAGVLDGPGLATLSQDPFKLLWQLCLGVQRLTTQRWAFEAWPPGMADR VLDAIFRVLPSTVVQERQLWNCGMASFAPRPEMAVTIFDNDPAITLSSPVLRVAEGPG GTTSQYSVVLHAPPSVSGVASTVSFCDPSTDLNVCRTSLSAAFFTSVFPPGASSDTVS VVAQSSAQVTISPPFLTFTNANWFVPQWFAVSAVDDAVAEGTLNTSITHTLFGTSSFA NAAFWFKQELPSSNLPDTMPLPIPYNDMPTVLKAPNHRRIQVVVADNDVAGVVVSKPQ LSLKQSTATTRHVGETVRGTCIDSLTVVPGLGVQRNVLLLTDASMTLLKFHVPTLHWT AFHGAMSSASIVLFRTQYDVVNSTQLNATNDNALYPTALLRVLLVASNWSEADLSPQP PTLPFTAIEQNVTLSPDFTLSIDVTALLKAVSPLVVVSFRVDIVADPANLQMHSRVHP ENLPPMLVITTDFPNLLGNQPSTAVQPNSSIANVTTDGSRTSVGDVITSFWQASLGTT TPSGTLAIFLPQSVTSGYLDVVVSTDPFNSTWDLATSQAHGTAFRRLPILRPVLLWPV KAATLYIRIFASVALAEVEFYGPGITLTTTDDGWGVRSTTALLEPKLNDGLVRTSWGF ASGAYIGDDNLAAGMPTTQSSVGSVPFSTSFETNPWWNLDLGSVVSIGDIALTLGRTL DEVVACASPTMPVDATSISSFTSIRLRLSALPMTNTPDPTKEVSYTKALGACFSTQVT WPSYASGRYLRVELTGRGILTVQDIQVRRWRAEMTRYVLLELRGSGQRPIALPAFTFM DSSSAFVPYRIHSTSSPQSFDMQLPWGTSCYRAATSSSYREWIVVDFSTVQQLQSIQM SFDTSGCGGSVDPVSAISIAVYGDSKLVRTVPTAASSTDPCACTSSFLGVLSAALPPL CQNRCAVFQCPSCALDSFSNGIVGETLAASGFLDVALLVPPPSLPTQDVVLLPTGYRA IILRDQPLSYWRLQQANADSHVQVVRATFGTSTTWPLGYALVNNDASLVLTAPPLARV QWFGQSYLNAGDSSFSVEFWFSFPTVAPPVTVVLFAYVAASPSASYDIGVFANQTSFF TLRGYGGVVTTLLGPVVGGVPNVWTHIVATYDWSSQVQTLAANGWNVVTNQLSTSDTV QVVRTDTISLRWTGTFMITSALVPCRMAHVATYQRALTPFETLEHFYYPTPSKSYSTY AIQLTSQPSTPVDVDVVTEVQCYRWGLCNTSAIPPTLRFTAKNWNSPQYVIVHGTDDS LAQGLQILTNQHQAFCAPTTTPSVQVATPAYSDATRANVSSYFHDLLLNQATAAALIN ITVDSLFGETTLSWSTQTLSTSAVPQADYTSVAVPPIGLNLQSMAVAGVVLSSPYLTV SEDGLHAVFEVALETEPKRDVHIYFNANTDCYRPCGVPTLQVSCPVVTTPLVGNETWL CNATVSPTSLVFTAATWNIPQPVTVEAVDDHLDEADIHFTTIKTTTASLDPDYDHALL PNIRVAVEDNDWSQVVTSRSSLALTEARPNDEGNYTLVLTTEPWSEVNISVSNEAASE CYRLCGYPLDKGTCGLPRALAANSIALGTSSSKEVQTVTASMTTTNGIQKVMTSTTHV NPIFQVTMTGGYVLEVWTLQILFPTTVQYSNTAAFGTTFTLRLDANTVAALDAFASAS QLQTALNAALGSALYDVATTVDSTSSQLVMTWRITYLSSVGVPELSVAIAPFPAIALL NRTTPLQSPSGSWVLGYGRQRSLLSVPWNATADQVAATLKAQGTVKDVAVVQSAARGP SVTWTITLTSVPNYFPQLFVDASRVVAAPGAAAAIDMTWTCLQQANTVNGWFQLSYPV NSTFVALSAPLLYNATEAEVVQAIATIPTVGPVQVRRRALAPELTFEWTIEFTGNVGP VGNLTAVSLNLTGAGSTVVSVFIQQGAALSGTFQVALGGRFKFTYPSTEVYDKVVPPQ TTPTLPFNANASAVQAALLALPLGIPLTGVDVTRSDGRCDGFGNCREYTWAISFTKTP GDVPSLVGTSQLRGPGAALTVATVANGTYLTGSFRVSLTLNESGVVYSGTTWPLPVNV TSDGVKEALEALPFVTSYRQGDSAFDPDHPFATPTATKGVRVTRQGPELDGGYMWHMD WSLNDWLRFTDVRISVDTTSVGQEVVPPMVATQFGPMGARCAQYPLTTFASDPTDIYG LRGSCVYPLVVTLSPERYLCNATVVTPRPKFDASNWFIPQTIHVVPVHDYLDETTPSS NTTQSTLMHFPYTLDMIYASLAVPNITVEVQDVDRAQVVVSRTLLTVSENGTLTDMYT LTLMTEPRANVVVVVYPWLDSTNTSCYRFELCNVTLDTNNVTFTPQNWYLPQSVLLRA TNDALDEADVHYSGISHSVFSADLKYHRLAVPTIDVAIYDDDVSAMVVSKTTLVVAEL GRNDQFTIVLTSEPFAKVTISPMSDGTPALGNVLLLPPPVVFTWRNWNVPQTLTVQAF HDWTVDPAPHSTLLSHVVSTNDLIYAKQAVANVTVFTVDTDVAGVTLSQISVNGTEGD VVSYALRLSSKPWFPVTLSFNASQGCYQTKYSRKCNVTMATQTVQFTELTWNTWQFVT VSITLDNLDEAAVHSALIAHTLSSLDAGYGAAVAAPSMTVYITDVDTSGVVVRASPSK LTVAQGSFNASYSIALSSEPYDDVTVLLSVPFESFLPRGTFDSTSLVQEPLVYLTDSL NTSQGVQSIVFTPLNWNDSRAVVLAAITTGSPKPLTMQTSIGHRPLSKDAKYNASAPS ILMATVLGREDFPPPIPIRAAFDGTGVVVVVSFDSPVFHAATMSVDKSKSITQIAARY VLPSAPFDCGLVWNTTNMGYYLGDGARCLWLDLQTLQMTLGNQATLLPSHILTLQTCA PSYVVDGLCTSPYVVKARDFNVLYTTASIAVTVGLVVVKPNIVLVGAKFIGPCGDLTL DATASTGNANRPFRLKWFAMLTTAIPSDVLPTNATAVLAAASSLYSTITPLCTSPFDS MTSPLSSEDEFNSICALASLAATATSLTWTVNRATLVSTSTYVFGVELTNYFNQKSTA MYTVQTRSDPVPVVAIAGDTTFSMRRPRALQLSATVAAVSSNCSTAQTNTKVQFQWTV ESPVATRLTLVNMAVDPRTYSLAPNALRAGITYRFRVDAFYPGQPTLMSSDWANVTVV PSDLVVKLVDGDHVIGSADPLVVNASLSYDPDQVAVPMTYVWSCQDVTPSTNQTKSTA GEVPCLNPQTGTAIEFSSANLSVLTLPALTYPPAKVLNMTVRVIQNCTGAVTPCATTR VGTASVLITTVNGRIPVVRCQSIVARVNPSAKVMLLSSVESLYPYTTTWSQDQGDLNL TSTNVSAFVFPVTAMQNALAPNVLTPGKTYIFRLTATDSNGAQGFGTVSITVNSPPSP GSLTVSPPLGMAIQDQFTLRCENWSDEDQPVQYSFFKLDSVTGGWIPLAPPQTLPSTQ TRLFLTNTSETNGTVQVLAVITDALGASTSVMASAIVVQPVIVDVAAFWTQTNNGTFA TTLNAGNLNDAMTVLMSTTALVNAKGTCNATSCGAHGRCNNVTVVCDCVSGYTGTACS ISTAVVTSMTAEMLTSLASVTTVMDPTPASLTQSVLTLSNIVAINDVATMEVANVVVA ANMLKDATSHMMKQDDPSSMVASVGATVLTAASSLLQTTKKDLVPASAGRRLAEDAVS TMDDVSSWLMQSMLYMAAMSSLNLLAGEPPVDIRSNGVATFSVLGNTLSYTLDEAAIT PTVSTVQLTPAAVACLGDLFFIDAVVWTTPSHSRALSQDTPALAPSVTVAIHTATSVL QARYQGLLLAMSTVAPNDPCMREQKLKAGNMDASLPLATVTVAHPALTKGPRYATACR VWNGAVNGWDSSSICSKDMVTSSATSTTCVCDTLGSLEVVIVSEEVLTFVPQHPTMYR NERPSVVPVATLSVLLLAYVGGMVWGKRQDKADKEAVRLKRLGMLSKETWKELLLHES TKSTATMRNVQGPQLEMYKKSTANAFMTTFRTPNSHDPKPANDSEALSAIAAESTALD VLADMALHEGALFGTLRLQSQHVLLRQSLQVVNGFLIVVSVVFIAVGVDFIHFLGNTT HSVVLVLYGPTVGTVFLGFGLSLAGVALLGVLVAYRNTSSRLRGLYIFLMFVLVVGEC VLLASAYKHLVDVEAFPEATKVYLQTLWGGFSDTIRGDIQTQMGCCGFVSTSDAPVLP CPEESVPDSVDRRTCFRELTKAASTLFENVFTSLSGVVVSQIVALALANVLVRWERIR IDNLSHGLKGDSKLFVIVLRCTLPVCCHLSASAAVFGILAGLDAILQWNVFALASASV FLKLEVGLPLVIVSGLYLVLHTMGAWALAGVHARQMKWFAVGQVVLLVAGVCLSIALY TVASNLGSYATLQAMLEARYVALSTHNKLDLETAFACCGFSLQAQGTCTSSTILPLCA PSIMSAVQHFCLTTLNRLLVFLASQGALLLCTALFLAYQNSHATSSALVLDTADEFSD PTSVIVTRVCTQILVFASVAVVFCGSILVGVACDLLFATNLVTVSAVLVAFSYHAGTY ILLLGLAVLAVGGLGVYAGLTRRKKWLWATAVVLVGVTLSLLALFAAAYRIQNPQSSS ATNASLLSAWTNFAPSTRHFVQEAYLCCGFAKVSTTTGDVNFTLPYLEPTWNDANNVT NVEYTPHCPPDATDGCSVYMMETLARAAAVATKSSAAVCALLVAVLVATGVLYYRQGK KKPATWQVWMTQVVMILVVTCVVVTLLGLGLVGVDVAAGTTVFTSSVIQTLFGHSIGA LVVVFVTYASAVTSYGLYGSIQKILHVVLIYMGLTLGLVVLGWSSVGVVSSMTTSTLS WQPLLDTTLDSIWTGLSFDSRMFVAESRLCCGYNDPVLVGSLYQFDRATSSDGKSLAC PTGLRTGCRSVLLQDAAALLGQLFELLVAFSTLESIALVTSMLLLRGLILLHRDVWVG IVKKMRWWGAKYRDDIDRHHMTRSLWKNYDAKFSRPQRLTCILCAVATLAFVNAVVQT NHGCTRTSSVECQPLSPAALLSLGLVYSLVSLVVQIAFVGLFSHIRHRYDDDDAAKVA ERRRKEKVYFRDVMMQSLHTLMAKFGHLTMVTSEERFYTWFVHQVDWLAFVLCWLRVF LAVAIVVYMILGKVGLGFYMFGLEVPSSIDFVVVPGLMMLSAGGVLVCTSLKRRTLHS RFTHLGLRAATGVSLVLVVVLALVVFLILQSMEEPTTPRNWTIRNTGFSVVDALRAIW LGDTSGVLRLQWQSQLGCCGFPEFPARPCPPGPSTQQNVTATKVDGTIIVKRITVVAD LGGCQDKMLAQVQSVAQIVLAVLIVMGVMELLVAACTTFLARDILISWDAKLRHASVK KTNAVPPADELFDMSPATIAPPQRGCLTSSLVQTSIDNVSEAVATALAQTPLSPHTRL VPMSHDKLQRKLNIRYPSWIVKVVYVVCGVWCAGFGGGAIFIAWDLSDYAALPWLGVF VWSAVLHGAVVEPAYVFGLVMSKTLSAWWKQTWMAALIGMGKAILHLDDAATPDDATV IMDPFLRIRHSSALVIQRRWLAKLARLRYLVILRVARENAHRVAVESRARQVKAAIAG FTREEMNAFAVLFRDADHAKTGLVSYKVVSHAVYALGVKVPTAVVKQYLEALDRGFVD LIDQDYFMYAMSCIRGYHQDQQVAQVTTDEIVVSSSLQGKTQIKKQNMLRDLKDKRTT ISKQLMNKVEKLTSKLRADSDETEDAKPSGAYILLNTKKTMANASPPRTGNVRQATID ASNINSIGTATAEGRKIDTDKETVEQVQQAVRPTPKALLSVRATKDMEKAIHQMKLKQ KSKK H257_01345 MGGTLVWLFRKLEIAATCAPSACSRNSVPTNARGVSSPHQEADE ATHLLVLPPRRVQAGENVSWNHSTNVRSYRRENPAMPQVQQKQQLVLERQAWDLQLAR LNVVPSEHTAAWDASTNVKSTRVVSPLQQGTARLHRTGNNGASSNHYRPGPCA H257_01346 MILFKLHPTGGGVVTSQDVINYIDLAPQDDIFTLRGRLMLTESD RRRAQEEHMTGVSLNIDNNRDH H257_01347 MEELLECEECHVFQSKKDEFRESQRENPRPVCNACSTKRAWTMF AADIAACPNARVIHNHGAPQPSTTSSLSAAQRSRERSLNNAAPTAWEHAAAEKIKQEL KDANPTSDDKSISPGDAVRRTKWCAGCNQGVVSAQRCSQCKRAFFCSTACQKTGWLKH KAQCRAISVEPSVAALWSPMLTATRSSNTDESYRAFSTIQAWMASDSTAATVFRGLNG VSMFLQHLESVDLESTCQSLLLITRFVESSADIAEDVVLMGGVATLVSLAANALDFRI QASAVVAVGSLIASFHDPIALTRHLVNDLDAISALLRVLHQASPLEDDVELVACVQST AILLQLLEHHPDALSQLATFQTPDEDDVGALLTGLVGRVDTIYRLNRSATKGVPVGHH LYLNLLALTGALVHAYPECKPQFVVANVVATICTTIDKHCQLPPLPNTTIMDVLTAWI MWFIWTFIYDWRVRLMTSEAMDILDRLVPLLTPFLSLPAACDDFTANHRGIHLASSVL RHVVDSDANLAPRTRLSALDLFVCAILPPFDVPEADRQRRIEANVDVIVGTLDHVLTR TLAFLDPNSSEKDTTLATMFLAEAVKCTGLVAHFGQAMPPVDHTNLPRTLAQVLQHEN VLEDVKWIAMAALIQWDTNGNSTAGLVRDAATLEGIVEALARHANASQVKLIEPMRLL LRRHNLPPTEL H257_01347 MEELLECEECHVFQSKKDEFRESQRENPRPVCNACSTKRAWTMF AADIAACPNARVIHNHGAPQPSTTSSLSAAQRSRERSLNNAAPTAWEHAAAEKIKQEL KDANPTSDDKSISPGDAVRRTKWCAGCNQGVVSAQRCSQCKRAFFCSTACQKTGWLKH KAQCRAISVEPSVAALWSPMLTATRSSNTDESYRAFSTIQAWMASDSTAATVFRGLNG VSMFLQHLESVDLESTCQSLLLITRFVESSADIAEDVVLMGGVATLVSLAANALDFRI QASAVVAVGSLIASFHDPIALTRHLVNDLDAISALLRVLHQASPLEDDVELVACVQST AILLQLLEHHPDALSQLATFQTPDEDDVGALLTGLVGRVDTIYRLNRSATKGVPVGHH LYLNLLALTGALVHAYPECKPQFVVANVVATICTTIDKHCQLPPLPNTTIMDVLTAWI MWFIWTFIYDWRVRLMTSEAMDILDRLVPLLTPFLSLPAACDDFTANHRGIHLASSVL RHVVDSDANLAPRTRLSALDLFVCAILPPFDVPEADRQRRIEANVDVIVGTLDHVLTR TLAFLDPNSSEKDTTLATMFLAEAVKCTGLVAHFGQAMPPVDHTNLPRTLAQVLQHEN VLEDVKWIAMAALIQWVRTTVLPLDSSYYHSL H257_01348 MLKPWPRPPSFAATTGRVLLLVTIIQGYVSGQPSSKLLCSSVKA CISVDGTNCTTQCPPCITLAPSGIFACVSRVGLACPVTSTLSCDGVGIFASNYSTTVP TTQRVPTNSPSTRPPQSNNVSPTSTPSSSDSVSSSPSITIYLAIAAAVAVLALCVVLY CQHKSARQSVSSPLDHPNPQDRFKPPGVSSAVTISTVASHGGGVSGPSNAFFRVNNPP TVGNNDALESSPLSVTSFPTASSTGFSHSLVQQHPKHFDNPSSSSLSSVFSIFHMALS PAANHQAKRVTSTVVELAETMEYDPWDDNEDSRQLQQQRLRDSSVVMMVEGDDEEDLF DKDVDTMRASIITDDGGRFSDCSSTMSDISDWNDFKI H257_01349 MSGADEFTGYDYSSLKRIHQDLIRRRSKRGVKSIRNPRGYFLLA TKFAKKEPLPLHLVTKPANLGSNAIASNVAGYLDPNIQSYIRSTWWPLAHFNPITFKK DKDLDITKDDYVRFNCKVYRSLLPDITYGEALRRIEKDWRDDTFVASVNDPDPVESND SDDANPDVTPTTASSSVISVDRTMDFHLFSMSVLDLATSCTAGGMDALYLFLQSLRIK IKCVREFDEIPKPKYASDITDASGSNRITVLVEYGKMYTEDITPTNNTVQTATLYQVG LFPTRLPLVALFVSLDHAFMDMHVKAIDSSKSPTDPTPTWILRNMCAGALVYKTTEID ITSIQYETLEYFVLPTTSGKAAPSTADTPKPTLDQSSYQMTCVLQDTTAVEFEGTFYG SVAAKRVRVFGLQHPSAVPLILTVTTEPTKQVLTAGRDGDGRRAVIGCIYLVQQSGLA SYKFQQHKVLYDYNSETKAQIICEAPSPGYYYAIVFCIREMQFVLEYNHTTLPEATPL PLGVVANSFPLESVPNHPHNSMPCYFPGEKLVVQTPHSKASLRAKIYSQRLQTRMSQM QLLDEEFRIKTAGQRKEIDDRHKHRRQQREAAAALDHVDKVAQDKLKKAARDGGGASL NREVELIHEYFEHMAKTQPTFDELKKLDAAYANRYNQADQLSDDFNSVYHDDSELKKT SAKAKSAKFGVDDDRPPQDSVFASEEYSHAATYLEKLGDELRRQQLQQDNTTTQETMS AAIEQNLYLALAEGKPMSCEDMLQLREILVEGGGLTECRRPGKREASTVPVILPKTYV AATLPWQPMWQLPPTDPTVPDPLVPTSPKKHKRPSSPPKAHVTKPGKCPKEDECLPTC STVPKSRSSHVLPKPAADISILTNILTRRPSSHVDTKSTNDTPRAHRPSTAAPMLPSQ PLLPTTDTTTTAEEVQADDDTPLDTKTADSPTEFQSSTTATTAVPPSVKPPATAPPSF RRRKQQHPSSQLTLPWSRLQKRSVPRHNHTFLPAATTPAQSDIVMVQQVSYHVVRHHN QVVALADTNNTTHVTTLHHQPPSHSAKKKRKPKKQTSSHLSEVAKHNMDKLQLAKVLG NERMALMWAQLEDEFAWVEPPPL H257_01350 MADDAALIDNTPQAKPACKKLHLPDGFMTFVREHGIDPKVYDVD PSAMPRYFRTKDDASVTEEELRRHFPALEVVPWLPNFYAIPSSVALATSDLYTRGKIY GMEASSGYAVSVLDVQPGDHVLDLCCAPGAKLTMLADVLQKRGSVTGVDFSKSRISAC KTLVHKYDLIHAHPPTATTSQTVGAWRCRLFHADGRSFHVLPLSNDTSSALETVLDTA EISARSTKTVARKRVNKSARARLAKQAKAAVPQVPTSTNEEDDEAHSSSSTSTYYDKV LVDAECTHDGSLRHLVKMTSDADWNEYLGKYLSPTHVQGVLDLQHDLIRNGFRLLKEG GRMVYSTCSLSRKQNEDMVDQFLQDEPTARLETFDTTNVPHQPGHIDHTIRFTPLENT GGLFVSLFSKTKPTKRQKLD H257_01351 MVLPKVDECRGGAAVVKRGRTLSKLLDAAGVIDANVAALRGLEL SKLPFMEEMVPYRARRHPETLSTLARCTAYTIRKKALELQAQAPLAHDHTFFSNESQS NSLQHVPRRRPTPLRSIVRPTPPPSIILPEYASSHSSAVTSPKTKSLRITYRATPHPS TSPPKLALKFKRKRPSVGGGGPTGHSASRTKVPEVTQVACCHPTHIVTQYHGHLSDYN IEGLMADTGFSRAELYTMWSRFKALCSLSYTPKGVDQDTFRRGVPLLSIEDSLFVDRV FSILDEDKSGIIEWPEFIRAMSALEKGDLRDRIRFLFQVYDLNCDGTIGRDELATFFI ASLMVNTPNDDLQEVTRQFVDEILFKLHPTGGGVVTSQDVINYIDQAPQDDIFTLFGR TMLTETDRRRAQEEHMTGATLDMDNNEDDDPA H257_01352 MDKGSPEYKDAVREYARTLGLDPDKEPQYLWIVEEALTAPVPED WEQGESDDGTIYYFNVNTEESVWEHPMDKHYSAMINTKRAEDKAAAASKNSTAATFNS APKSTPVKSAVVEEFDMEDLDEPKPFKASIKASTTTVTKPVGFGKDSQSWLLDDDDDD LDVPSLSKATTVLPKPSVVNSIKKTCDATKAGASVVMVSKPSPTSTITPVPATPPFQP RPSLSVTTAGSSSSTSATSQNSGQGDKIEKLEKQVDALTGEVLQLKKEREAAEKAERV AKAALNKEIDTLQRGKEQAEVEAKESNYLRMKVIELKAKVAALEAKSKHGDGEPTKAA SSAVTAELTAKTQELGVKCIEFDATCKELAAKEHEMTQLQAKLGHLQADHERVMAKKD HEWALKVKEHSIEVEDLKEQLLMRQATNITLQHSTGELDRLKKKCTDQEEEMRVVHAN LQAQTATIADLRASLEAKDKVMTMQMDSEVKKRIALDADLRLARDQVSDLERRHDENE RTTLHLTRENHDLELRLQRVGDELQRTKGLLDDAGTRAKAMEDSERKAAEEKRLAERE LKSTQDSLQSALQDLDDAQNMVKRQQEQHVHAQSKMQLDMEELRRDKERGSVQTIEVQ ALKKEIESLNIDIARLRDVKATVDFDMRNLKQAMSLESSQLMQCKAQLEEFVRKDFME KQRFDLLSNEKGAVEKKVMALEAQLQTMRSEHRTETDKYLYRMRELESLNARYEYDMS RAEEKFVTAEKWRLKEMGRVEQRDADILDLKEEVGRLKARNIDGENHHIINELRTTSK VLESQVKELKLQLHDEETAKRQLEKHWTMEMEQVKAQLAGQIPQLAAAATQRASDEWK RRCDDMVGKLKTEYEDAWVLEKQKSGQREAAWYDDKRELERQIKTNSSEKDFLNKEIS RLEDNNKHLMEQLHTIRVYLTQRPVHSAGAMYSHVPPSANPEVVGSQHLQNQLGILHA QFQQLFDHTTAAAVPPRQSRRPYEREDQPEAKAAAPYSSHNAQQLLDEKQQLVRAMEE LGSGNPSDWLPVVDTTADGNSTWYRKGYWRSKYS H257_01352 MDKGSPEYKDAVREYARTLGLDPDKEPQYLWIVEEALTAPVPED WEQGESDDGTIYYFNVNTEESVWEHPMDKHYSAMINTKRAEDKAAAASKNSTAATFNS APKSTPVKSAVVEEFDMEDLDEPKPFKASIKASTTTVTKPVGFGKDSQSWLLDDDDDD LDVPSLSKATTVLPKPSVVNSIKKTCDATKAGASVVMVSKPSPTSTITPVPATPPFQP RPSLSVTTAGSSSSTSATSQNSGQGDKIEKLEKQVDALTGEVLQLKKEREAAEKAERV AKAALNKEIDTLQRGKEQAEVEAKESNYLRMKVIELKAKVAALEAKSKHGDGEPTKAA SSAVTAELTAKTQELGVKCIEFDATCKELAAKEHEMTQLQAKLGHLQADHERVMAKKD HEWALKVKEHSIEVEDLKEQLLMRQATNITLQHSTGELDRLKKKCTDQEEEMRVVHAN LQAQTATIADLRASLEAKDKVMTMQMDSEVKKRIALDADLRLARDQVSDLERRHDENE RTTLHLTRENHDLELRLQRVGDELQRTKGLLDDAGTRAKAMEDSERKAAEEKRLAERE LKSTQDSLQSALQDLDDAQNMVKRQQEQHVHAQSKMQLDMEELRRDKERGSVQTIEVQ ALKKEIESLNIDIARLRDVKATVDFDMRNLKQAMSLESSQLMQCKAQLEEFVRKDFME KQRFDLLSNEKGAVEKKVMALEAQLQTMRSEHRTETDKYLYRMRELESLNARYEYDMS RAEEKFVTAEKWRLKEMGRVEQRDADILDLKEEVGRLKARNIDGENHHIINELRTTSK VLESQVKELKLQLHDEETAKRQLEKHWTMEMEQVKAQLAGQIPQLAAAATQRYQSSVS SKLFNVGVYC H257_01352 MDKGSPEYKDAVREYARTLGLDPDKEPQYLWIVEEALTAPVPED WEQGESDDGTIYYFNVNTEESVWEHPMDKHYSAMINTKRAEDKAAAASKNSTAATFNS APKSTPVKSAVVEEFDMEDLDEPKPFKASIKASTTTVTKPVGFGKDSQSWLLDDDDDD LDVPSLSKATTVLPKPSVVNSIKKTCDATKAGASVVMVSKPSPTSTITPVPATPPFQP RPSLSVTTAGSSSSTSATSQNSGQGDKIEKLEKQVDALTGEVLQLKKEREAAEKAERV AKAALNKEIDTLQRGKEQAEVEAKESNYLRMKVIELKAKVAALEAKSKHGDGEPTKAA SSAVTAELTAKTQELGVKCIEFDATCKELAAKEHEMTQLQAKLGHLQADHERVMAKKD HEWALKVKEHSIEVEDLKEQLLMRQATNITLQHSTGELDRLKKKCTDQEEEMRVVHAN LQAQTATIADLRASLEAKDKVMTMQMDSEVKKRIALDADLRLARDQVSDLERRHDENE RTTLHLTRENHDLELRLQRVGDELQRTKGLLDDAGTRAKAMEDSERKAAEEKRLAERE LKSTQDSLQSALQDLDDAQNMVKRQQEQHVHAQSKMQLDMEELRRDKERGSVQTIEVQ ALKKEIESLNIDIARLRDVKATVDFDMRNLKQAMSLESSQLMQCKAQLEEFVRKDFME KQRFDLLSNEKGAVEKKVMALEAQLQTMRSEHRTETDKYLYRMRELESLNARYEYDMS RAEEKFVTAEKWRLKEMGRVEQRDADILDLYEKCKLKWDVHIHAI H257_01353 MSQTLKIAMEIRYGSTSDAAAAPERHALGWKGFFVALVVSMLIF ASDILYPVDLTPHDPASATQSSTERTFDVIVVGSGPAGLVAAELLSRDPDVNVLILEA GGPSLQCTGGTLVPPYANGTGLTYFDIPGEYANVAFMLPSNVSSSWHMEWLDTPKNHL AKLVGGSSSINAALYFRPPASYVDEIQWPYGAADVAAGFAEIEKGFGWTDVPSTDGLW YAQDVYAHMASALKHSANFTERSINQTPDLKHLVYGHPPFTIKHGLRDSPAKTFLGAM ATRSNVVLEMWATAVQVQHKHGRASGVVYNKGNALWTAQLRSPHGAVLLAAGTLNTPK LLLQSGIGPTQQLPLVHALGLPLPLGPWIQNEAVGAQLFDTHQVAVTFRAPTSVLQGR RRFGFDYLHPPPEAVRQFLDRRAGPLTSSNPVFISYESVVHPTTSREFHFQITVFPHA VPPYGDNAMEFTLCFNLNNPMSRAALGFSPNTNRRGRPLYGMLPNTTLYWDNDDDLDM MVTYINQTISRLSDVDTMPVFSPPDQATIASSSWEESPHEWVRQHTLVTDHFGGTCSA HPSSSSSCADTSLVVRGTSNVFVGDGSLVSGGSVNPYGFVMYTGYQASIGVKKHLTMS NQSHPDNSQTPRDDNRGGD H257_01353 MLPSNVSSSWHMEWLDTPKNHLAKLVGGSSSINAALYFRPPASY VDEIQWPYGAADVAAGFAEIEKGFGWTDVPSTDGLWYAQDVYAHMASALKHSANFTER SINQTPDLKHLVYGHPPFTIKHGLRDSPAKTFLGAMATRSNVVLEMWATAVQVQHKHG RASGVVYNKGNALWTAQLRSPHGAVLLAAGTLNTPKLLLQSGIGPTQQLPLVHALGLP LPLGPWIQNEAVGAQLFDTHQVAVTFRAPTSVLQGRRRFGFDYLHPPPEAVRQFLDRR AGPLTSSNPVFISYESVVHPTTSREFHFQITVFPHAVPPYGDNAMEFTLCFNLNNPMS RAALGFSPNTNRRGRPLYGMLPNTTLYWDNDDDLDMMVTYINQTISRLSDVDTMPVFS PPDQATIASSSWEESPHEWVRQHTLVTDHFGGTCSAHPSSSSSCADTSLVVRGTSNVF VGDGSLVSGGSVNPYGFVMYTGYQASIGVKKHLTMSNQSHPDNSQTPRDDNRGGD H257_01354 MESGVDAASPVSVTDAVQLDVGPQPELPVAYVEQFLADDACASI VDDLCNGIALQSEAKHMAVRMLSYTSADVVAQLYAAVTMVLIPRTDSFDRMAPPASSS EPPPASNNDSTSGFLRALGRVQTFVTRRRHSAQAYMAGTSIDGSVHLRQDELVPFLHS LPLFLTKREVAFISNQSTKTSNTELDVRLDVHDITKLVATAIKIAKKKAGASPFRPPV VFPSPEEPTATPHDVHAPKELKARPPGDSPDKDSTTHLTTQSSTFRFTHHTSTTSVPG DKRGTKYSMAATIQTTKLSMDDVDLHVMLDTSSPDKVDQASMSNIFSLGTPRQLSPRE LARRRDILSYLDSIHAAAMHEDMLAKKKQALLERPVNVVEGDVLESPSSQHCLPSNHD SFLTIATAFDIRDPPHPHGQPKAHKSKPVGRKQTQENLVDLPKMAEDEASPQPIPDFH LPQIDTNRLEVGVKVVYPGKEKIGGKRNPSRNARLKLHNYNVKTPPHLPPGDFNPPLP AKPTDGGGVILPKLPVSPIKASQTQPAKPTVPAAPLLMLPKSIASPIKPPPYHDGEIS QYAAGTSPQRVAISHARMRGKPPVRSRFKFSDSLFRPSQN H257_01355 MLQAASRVSRAVSSTGARAFSGKGAYTIVDHEFDAVVVGAGGAG LRAAMGCAEAGFKTACITKLFPTRSHTVAAQGGINAALANMTEDDWRWHMYDTVKGSD WLGDQDAIHYMCKEAPRAVLELEAYGLPFSRTDEGKIYQRAFGGQSLDYGKGGQAYRC ACAADRTGHAMLHTLYGRSLAFDTEYFIEYFALDLIMNDEGECCGVIALNMEDGTIHR FHTNNTVLATGGYGRAYFSCTSAHTCTGDGTGMALRAGIPLQDPEFVQFHPTGIYGAG CLITEGSRGEGGILRNSEGERFMERYAPSAKDLASRDVVSRAMTMEIREGRGVGKEKD HIYLHLDHLPPELLAERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYFGE VVVPDTTGLPDVNKTYPDKVVKGLFAAGEAASASVHGANRLGANSLLDIVVFGRAAAL RIADISKPGEAKRPLPRNAGDQSLHMVDTIRYANGAIPTAELRLEMQKTMQSDAAVYR TQETLAEGVVKIDAITPKFKDIQVTDRSLIWNTDLIETIELKNLLACASATMHGAEAR KESRGAHAREDFSARDDVNWMKHTLAYHDDETTKTHIAYRPVHYHTLDEDECKTVAPV ARVY H257_01356 MSTSGGGLLLLSRKLAAVGDMGDDDCPLLARPGVFSGEDGTREA PHLGHFRDASHRISSQSICFTNVACSRRRMWTSAAASVAHNPSTSTLPVSAYRCTRVR SVVVSAWISNGVEVSSCVAWTTTLSGSGNWMDSVAPPSVMGTVEDANGVEVISPTIEK H257_01357 MPSPTAAAAASAMVQGAIEAELAKDVFKQKPYGHHQFPVDIVVS DGPAVHAHVDPVIAGVPSEAALPPSLPASIAPLPVSGDGTTPFEDSLNLHAAGVEADG GIMHDAVNDDDLNEDIEVIPPSEAYLAQCYALGILSRVKFNDLDSFDLLKDKVRQLAL RSGFRVRVQRPSSGHRRVWICKSKPQCPFAIIGNKNRRGVSLVTKLAHNHTLHSTADG VPALSLCEATTQEMATFVRNSDLYATSPAVAKITAQQISDVVHAKTGYHINAMRASRI KHLLLADPEQYTAPGMSVQCPKATAASTTTGITGWKSLAEAVWDGFMLVVNDPVTVQS VGIVAVKKMVSTFKKPFHKETLRSIVLMSCPNPEAPPSTTTNRPSPIKFLDAFHRHEQ FGFFE H257_01358 MDNLTDSRSTAEDVAAAPVDRMGSLDKALEDSGNDALRGPKAVA AVQVLPEGYLPLATRLGLALPDATTSSLLQIESFDVLKAKVRQLALHSGFQVKLDGRS DKRRQWKCTSHRSCPFSIVGNRNRYGIFVKPHLPHNHAFHVHEAMNKRFTTGTTHELA CIVRRSALFANHDLFHISGIQIANCIFDVTGFHINQMRASRIKRMLLEDPDGYASPGG ATPDSRLASSSSSFLPVIPPASNLVGNNSQITPDSVAWECFVVLANDPLVFSTLGMAN VKKMVATFRRDVHKPTLRAILRMSVHDDPTSPSGNRPVPAKFVDAYQRQVALGFFDDI VVDSSSQLVVPPTSNISSTATTGPLCPPQGPPPIPH H257_01359 MMMASSQETWRDGTSPPIPARPESYMPHALHLGLVSKDPSDVLT ADSFKTVRTKARHLAILCGFQVRMAKGGSNKRRVWVCASAAECPFAIVAQNNKHGIEI RAKLEHNHAFHVMPEQGKRYTTATTEELACYVRQSDVYQHATDINKLTGKQISDVVFT YTGRHVKANRASVIKKLLVHFPERVVPCASLFRGHHSEPPQPLSSTPLLSVPSSSRPP PSSHHPTLAMLPVDASPVKTMAEAVWDCFVCIVNAPWTVERLGVARVRHMVAVFKHDR DTLRATLLMTCPRYHALPCMATNPPDVEQFVEQYLRQTDLGFY H257_01360 MDALTIRRAQASDAADVGELDAPEKTTQRIMYGRSHANMLIEAS YLALTAETSTGEIVGFMSVNDQPPGDLCEEVAYLEYLCDSFALENHPTNNALCRLKVH TTLFLTYFVYKSTASASEILPEMLSTIFHLLTSMELVVFPAHNSLGQAELAPLLPHFH LATKVNPNTKGYDEELFAEFDVLVCPGDAFLPSLVIRKANIEDHDDLEPILKAQNQAL VDTFGDYFLAELIGSQTAHNMCLVAQPPTVPSRAVGIMAISDEVDLGILRDCFDLSMY NNLVKPPSSAVAGGPGGSRLEKILKRRKSPKMIMFGPPASGKTTQVDKLLAQYGLVHL TINVLLRVASRMSHPTGKKVKRHLDKHEEVPDEIVLTLLADRIHESDCITQGWVLDGY PTTEAQAQGMLQRGIKPDVVVLLEVSDEEVAQRLVKVSAGMETKPRLKKYHDHAPGIL KCLSRDQALITIDGTKDKEVVTYSLIQALSASDKTKLTRQHKGSSITDGAIAPPTIVI CGPPAGGKGTQCELLVKQYGVVHLSTGDILRAAIKTGSDLGIKAQTYMNAGELVPDEV IIRVVLERINEPDCQRQGWLLDGFPRTEVQAQTMVVQGIVPQLVIVLDVPDGEVIKRI SGRRVDVDTGKTYHLIYNPPPPELKDKVTQRADDTEETIKVRLGHYHTNCGAIVKTFT PTSIVLAIDGMQAKDKITQEIAKALAKPVASDQTAATASSAGGKPSGTAPNAARNGPP KLIICGPPAGGKGTQCELLVQQYGVVHLSTGDILRAAIQAGSEIGLKAKSFMDAGELV PDDLIIRVIVDRLDQSDCQEQGWLLDGFPRTEVQAHAMIGLGIVPDLVIVLEVPATEV IKRISGRRVDVATGKTYHLVFNPPPPNVNAVQRSDDTEETIKVRLSKYNENCGAVVRS FSKTSRVLRVDGMQGKDKIAAEIHRAMEGGGASVKHEVMPPKLIICGPPAGGKGTQCE QLVKKYGVVHLSTGDILRDAVKAGTDTGVKAKKYMDMGELVPDELIMDTILDRLGHVD CVQRGWLLDGFPRNESQARSMVSFGILPDMVLVLDVPDEDVIARISGRRVDPVTGKTY HVTFNPPPPDLKVVQRSDDTEATVRIRLKSYHLNVNAVLKVFTPLANVVQFDGRAKTS ADMTTAILSSVDDARALVDVEGNAFLMTLFCIDDAYLVRSTEFLRFAFSLFPHKEYCV VTVAPLSTPPLVLASFTLVPPKPSSTYSHCLYLLHRDALSFLTPAPPNFSLDISRFKL SESFDQLAPLVETLPAASIATLEDEMALAAEEDEIGLEDNPKHVLFVARVHGVVVALA SLARDHEVTNSLKHYFDMEQLVVLAHHRVKDQAILTHWVLNPIYACAGRVILKEVMRH FKKTCLFACIPPQAHVSPLIVDDFVLALPRRQIALPATSNQEPDDPKKALFATCALYF FTKRLFSEPKLVVNTRLVVVGASDTGLTLLKRLLHVPYARFTHVTLVSPHGLNVFPSS SADLHPFLRPSLLTPTEVDQYGLPSHVRVVVSRVVQIDRVAKAVVLVDNSCLPYDYLV LATGLTDGTPTKLHLTSQYDGDTYVPASVPTGVHTLHDAASARAVVDTLKLAGGAAHK PPPPRVVVSGSSAFALSVLQGVVALNVTNVTWLKQGTAAIATDMRVLEVVGALMQRHK ITVLDHHELSSLVVNPRTNALEGLNVVSTAPVESPSPGGATVSSSPRGGLPQNTLVPC EVLLCCSYDDADYDAFRAINECGLVYDGRLVVNSRFQTTDPCIYAGGSLCRFSRRFPQ ALYQQHYSARECGELLAASLLHAIDPLLGHAPTAAEMDVAKKTPPPKFTMPKVFSSVW MEGMQYVHINLPDVSASLKSLTTEHADGSNYCCLQFDEFGVLATLTYLGTGAVEVSNL QCVVGLHEAYLNCAISSFQQNLVSDWISFFREAWASAIYHDRFQEFCVRLNTALKYDE GIRIVVEAVKRHVAETGDLKEAMELAQAQAGRGGKALMPTTKKMIELNLLDYLSANRE VLNMYFLPRAGGGGGNGGNT H257_01361 MALGRFSYVTLDATGTLLRPKHSVAAVYVDFFMSIMPPLVIPIP RDVVSVKDFGRSFKSEMTRAPNFGLDAHSAKPWWGRVIVDAFPSNMQAHMQAHPKHAT QLIDALYEHYGQGAAWEVFADVRPTLNALKEANVSVGIVSNFDDRLHGIVRDLELASA VDFVLTSWEHQSMKPHPSIFHEAARRLHCRPHELLHVGDDPTNDYCGSVAAGCSARLL CRNNNGTVDPSVPIEHVVTSLLDIVPSKERGRQTIAFHTILSQHASRKRRV H257_01362 MESSATDASVDASGSVVAAIVVTARQLLLSHLKALNIFNTEVAD LIEEAYLSMESGVELPLAFVQHRIDIHTRHLYLIQQLDVALQGHLGADNKFQDEVANI LPALKERLDGISFILRLLIQNQPS H257_01363 MKLSTSLYPATMSKPMGSISSDSLNKPKNGDKKTVIDRIRNVDK LETPKLLRYMRVGNMLCCILQVFAGISGLASIVTLNITAVFVSLYVIMFGVLFLLFEC RLSSLEPKIRANFGFLYSYHGRARFIFFIGFMDFGTGGGLGYLAGMIMCANAFLNFYI MYKHPEFASGNLSSSSDPTAGYAPASQEATKFLKNNPDIAMQATNYAFAQASSAAANN SSSSYGSRV H257_01364 MSMPFFENIDDTSSLYQSKSPSNEFTGEELSLLCDMLVPLTSGL PALSLPPTSPVSSTTCDDLLFLDQLEPPPSFLFTAAASSDASDDTKKSLLHCSIAGCP KRVRSRGLCKSHGGGRRCSVSGCIKSSQGQGLCIRHGGGRRCGVDGCSRGAQSNGKCK NHGGGIRCRESGCSKSSQGAGYCRTHGGGKLCKYPGCKKGSQRKGYCATHGHAIPVAV DVPYETYVI H257_01365 MDPSFFRELQQKYAKENVESLDMPESTASMFHDTVCLSSTAAAI DICMQCQGQRIEKVPYNFMVLERTCTGCDGEGVIERKPQPLANSHHKQL H257_01366 MNADDFAALCQEVIVDMDTTCTMIAEELSSMVDPSDYLAAILEV VSSSTVEGDALDRLIADYMPHFVRVVLARSFGDDCAPHVNEFLQVTLECVIQRLREGD PSMIPTMHRIFDHRRQFYTSRLTAKSSSTNETYDYAASASALVHADVLRNINFFGDQG GFHVLLCNIDVHMALDESSPTSADNNHNEHDDNNRVFDSFSLDALVCALNAVAVAVDL FTDAFAVRFLDDLTTALCHYVRRTPVPRESVRELDELVTLLAVRVDKSTEAPRRSSVV LVNEFRLEMALQGFRGRTLERRINGLTDLNDALKNLSAVAGTPTWTCRHVVAWLDTNM VLDDLLGEAMHPELVKRGGTVFEFYIHQALLLPSHVTRLWAVCIDTRRHATTLAAVHE LYLDVVTHVAHQPPMTDHVFGLLDEVSQLEAHALATLRVLATSGQLARVVSWLWSAMK ANKCSGDHDVEAALALLDELIVQDGTLLSQLLVDCVQTVEGRGQGHDAALWFLSQLSR LMSEGHKIELLAEHRHHVNVSFLCTLLDDLTAYKQQSPKGNHADQIKRRLLALHGSWI LASSSGAYTLTTNHLDLLWGHCVTHATSPDESSLFFQWIRLCETLVPQSHGQVVLLSL PLQRHLLTKFQSLDGTFVTQDALECFQLLFGKVNAAQNFLDDSHVVCAPLQSLEGLSQ LWHLAIHASDVTVAEDTISTLVGYHLDVVPSLLDASKQVFVDTAIDFFLAAKSAQGVG NHVLRANRCIDLLRFFLEACGDKAGTDEDELTVLPSPMKYAGGSVPPPLSPSKVKRAN LQWPPPLSPTTTQGPDQRDTDVAAILMEFQPTPEQVQNDRTSSLQRIDTQRPARVHPL SSEQMALAIQASGDRCIGGPPPSEAYGTMQATVVNHPHFFDALFSILDWPGDTSERAW ELLCRLPSNRALLQHMVVLRDSRTSKVPWQSLLDTSNIPRLLYGLRLVEALLKPLTAE CESHKHTPRRQWRERFIRLGGGLHLYTALLHWSHTPSTSPETGFAQDLHSTCLALLAT TLQYFITLDSTQLASSPYDVALGESTLPAFIAQLSLHKIAAQAVTMVRTSPEANAVVV VAATRLLFATVSAEDVVQWTDADLKELVLDLSVRHPSIRADLCAQLVSFVVSHADVLT NISRVTCDLVVCGTVANATNELPELLVALLSHGIAPTLKSWMHDSRFGQRFLSHVTSH RSTDTWSATDHTLAAYLGVLKLLVHAKVCPVADAVELLLDTCLFGTNTSSNSVLCKSS ATRAVASDLVVELAATASVWASSLAPRVSRFHDQVRDVLDTLGRPWNLTPRDEARDGV TAAGLYNPGCICYMNALLQQLFHVPSFRECILATAVDPTESSAAEEVAELQAVFVSLI HTTRKWHDPSAFGVSHRDVDGQPTDLRVQMDADEFLGMLLDRVESVLLTKPASKMTIG TLGFGGQLVNQIITEHGHVSEREEPFVVLSLDVQHKPSIEASLGSYVQGETLEGDNAY FCEVVKEKVRATKRVCIKTLPETLVVHLKRFEFDYDTMEKVKLNDFVEFPTQLNMAPF TAEGLQRGPGHDEAWYALKGVVVHSGTADMGHYYSFIQDRAESTDWWEFNDQIVRPFS LDNLTDECFGGDEVVDKWDPATRAYASVLQPKKRSAYMLVYDRVSKTPVESPPLPVSS TALALQASVQVENDHFDRLLHAVDGAHIRFLLSLWPQAPAEHQPPLLRAIMDLASLLP AGSTVVPALDVHSAAASMGSWFLHQATEVIMPHESAPVVIGDYAHRRTWLFDMTFLCH EPSVRHAFWDLALASVRHVIATDADVVATFLDHIVTLFFQRDSIEVSASCMSTVVSNA ALGAALQPVAAFLEATTDGDRAVDIAHVLVERCHVLHHFCASFTVDDCPEGYMQPTAR VATFPIEQRFLTRLVEAGPRVPSVDVDSFVARTACILSCGFEKLMATVVAHVVEDDAD VSLTVIRALLDVLDQVKTTHLEAMFDVFNALLGVADAHSSLRAAALLSPESGLLEVAE FFKLHRTLHQYTYYVLEFCLTSEVPAVVEYLNDVKDQVPWVRPWMWSYLRGDVPVGDL TAGDDDTDVQTVLELMEQLFGQLGGNDQDDEEGPNQEDDKTVELKGKHGAMSVGKLVD SSEGILHSNNPAEVET H257_01367 MPSVAPTGDLLFCCGTSSSLEPKRGRSLQHPAKKLDRKQPKAVP AYSLFSPRTDDDMEKMVDVLRKSLHLTKPSTAPASSLPHGVVKRRVYLSPRERVHSSA IRHNMSVLGAPPAALRQTSPGVDKLPTLAKPIESTARTSTPDPDERSEGSPNQAAAVS TTPRLFQSKSADDILSNNATNAATLIDHSKSCSDLDTIRSQLAFGGFHVEFEEPETTE DNAPTSPIGPWMNQGDGVLRQVAATASSPRSRLLSLSVDPGGPKDPRRPKTSVKVVPP QRKKPTPSKKKQPSRPTSSASSVDPTTLDL H257_01368 MAGNDDKRLGYEHAQGTCSPMSWEVLYIFRNELHRTLRNGHRTR HASRRDGRPLVVAAHAILNLVDHNVIGSFVIIVVGIVRRRGFLGILHVYVHRSTVAGT ERRAFHRPAQDRKPAGTMGAGFAHQKHGRAVESSVASIHVRGGWGHLRRDDGSGHGGV QGR H257_01369 MPSGGDLDLMRNKLGLPSWTAWCLTRRRRGCIARSADGDGVSRP ASLVVFQGYHVDRSRCSIAKHSRNNDMRGELLAAPWASPRQAKTPTTPDPVVSTPSAA TLRLALTTLDANKERVVDAIRSLSEALYVHGHDALFTKKYAGVCRIHEPVNPRSMTAE SNLVTGAQANPHTLTQQCTVSALLNLVRAPPVASRQIVLLQTQGCRRRLRCGLLSARF LVHVEQEAEHAFHTTDSEDVFLSTGRFHVTATTQCLLLIFNMVKSLSALALVAVATTV TGEIYFNEDFTTSDNWVQSTFKPAAERSSFVHTAGDVFYDDSDKGFQTKEDARFYAAT AKLAKPFNNKGKDLYLSYLVKFEQDIDCGGAYIKLAPSNTDQETFSGDTPYSIMFGPD VCGTTRKTHLIFNYKRPNESESKNLDHKTDIKAEKDKDAHLYTLELTKDNKYNVKIDG KDTMTGTLADNWAFQPAKKIKDPSQSKPEDWVDAAKIVDPNDKKPAGWDDIPKTIPDP EAKKPEDWDDEDDGDWEPAVIDNPEYKGEWKAKYIDNPEYKGEWVHPEIDNPDWFESD SLHNVCHDCGVIGFELWQVKSGTFFDDILVTDDKATADAHEVKVLAKIEKLNAHRKEV EENEQKKKDEEAKKKAEEEKAKKEEEEKAKKDAEGDKEDADEEAKEDADEEAKDGEAT EKDEL H257_01370 MSTRRRNIRFEEYASTGAVTNVVVASTLERVAPSKAPARFAASS SHKDNDAYLAAFDSDPRLPRLNAQAENDDPSTAKAVSPPLPALLAPSTKASAAIDGSA RAPWLRGPSDPSGRVDSVSSQPLTCMSLAPGGSEVVVGSCDHALYIIPLQRQPSKARG GGSSGVRTLYSKTSGHGEWVTAVAHLPDGRVVSGGMDSKLCLWEGNHRCEDLTGHSGS ISVVRTFAENWIVSASYDKTYRLWDGAMGRRAASGRERHCLKGHDAPILDFSIWGNRL IGGDRNGSVLVFDLSQHAAERKAKGVHTGHCTAVLGSQHSPELAFSGGQDGLLRLWDA RAKDAAVTVSVHASQRGTGAVSFIKDTATMEHVVATGGADGKVQVVDVRSANVRATFT EHTTFIYSLHVDHDLCFSGSGSGMLLVHDLRTDALRYGLGANQAAVRGICTTANALAA AGDDGGVLVYNMT H257_01371 MNRAQVRWHRLKCLVRCIGQFALAANQRSAGEVTLFEPQSWHRH KLERFQHAYNKDQEHRSDVDLKLLMEVVQSMHVFAHASYTSKLYFCRHMQVVVLHDGQ VVYHQGDPIDGASGVYVVLEGIVSSYKNAAYDYSDKAYPAWHQATYPSEDVQFGTCET TATTGDTFGNTAVNGCLCRDTTILAQSSAKVAMLSQSDYRRILAHNNDTMWTPRHCLY AIETEPPHRTPRQVRDLIEFLLHFQYFRSLPRDAVEALCHRLRHRTFKAHEVLSRQGD PDVPLVICVAGKVLLYVQDSVEESRCRLLGPQNASAPASSGTITKKFGTNAASALIDK LEYDPGLGWCVGELGDGESFGEQAVASGGVQSATLRAAYTTTAIVLNIADVEQIVAQY DSVDLPKKSDEVVAHLTALLATAPRVRTAGMVRDIAKALWRCDAGLFFQQLGQYALET MAQEAAVRVVDAGTIVLQQDHTCEYMYIVLNGSINIHRLTARRKQRRRSSIIHMELHQ HKLPDDSHPPTPHGTTRDGADTSASLGTETFDKCGQFLSSLGVGGAFGHVPILTNSHS QSSYVVARNLAKGTLTAMLLCVPARFVAALLQRYDDSLLYNPRQVLDQATKPKHDRQN ALKFANFLCTKTAFAAMPQRTLHRVLESMQVVDIPFNHLLWDQGERLGHGVVVVLAGS ILLVRSGHRPTLVDQVPKPADAGTHPRLVLHVGRTANTVTLMHSHDQVHSCGPGDCIG SMRIDDSENNTIVTTDTAMTLTDCKVAIIQWPRVYVAEPYVAKLCNDLLDLHATHLKR QQEQPQQVPLEGESPPLAAPATSDDVHAAIGNLLSAIEWKDKFPVRVQGCIAELFTFA VYSSNDIIFNQGDQTQALYIILAGHVNVWIHRHRRPQSQGHVFARKDAMSRRGGWQDG RVSTSNVKNELDPMKAIQALSKRPRTPTSIKRSLSTRRGAESGTSVAINHPLGDIEAR LGPGDVLSEQALFHPGIQHRVSAVAATDVTVLVLPRSQYDHVLAHGRTVPSSATIVVA RNSSERARDHWKLVIHYIIKNRSQRSHWPCVIEFARQKRIRLVMDIIKNVPVFQAMDV ELRVRICERTLFQTLAPNVVVFDKGKPVERFFVVVRGTVDLVHVTSPTTTDAATSSSD ASDSWLKIRTVRANEWFGEYEILAHEPNRQILAMTTAEGAHVVAVYKGEFIVSWPTLQ KWTDRLAFLRQSDALGALEDDRFCSVWYGLRKLRFRRNDVVVPERSSANKLADAIYWI DEGECVVHQSTTLVRAIKESRSHEKDVELDVQVATLTRGNVLYCDDSTWMPRTCVIAS SYVMQAFVLTYPSHPTTLHRVMGKRGIASLRRIMRLGNDFQHTQRETATQLAVASEPK PIDSPMLPLLKCVPIARMQGNRVKLPNFLLQRHVPPPTTTADASNQRPERDPRRASLS PTARTSVITPTDGWNPHRPLSDAKPSYMNGSFAFNQPSPTQPRSSPLGLLETAHVLRP QIRLDEAETSSVRLQKALDLQIHQDVKLLHELGSATKRSKLSEARREQQVRIKHGRPT QCPLDPFKPPEPNPCQPPTLQAHTAPRRLRQSYRGRSTRGVHAKS H257_01371 MNRAQVRWHRLKCLVRCIGQFALAANQRSAGEVTLFEPQSWHRH KLERFQHAYNKDQEHRSDVDLKLLMEVVQSMHVFAHASYTSKLYFCRHMQVVVLHDGQ VVYHQGDPIDGASGVYVVLEGIVSSYKNAAYDYSDKAYPAWHQATYPSEDVQFGTCET TATTGDTFGNTAVNGCLCRDTTILAQSSAKVAMLSQSDYRRILAHNNDTMWTPRHCLY AIETEPPHRTPRQVRDLIEFLLHFQYFRSLPRDAVEALCHRLRHRTFKAHEVLSRQGD PDVPLVICVAGKVLLYVQDSVEESRCRLLGPQNASAPASSGTITKKFGTNAASALIDK LEYDPGLGWCVGELGDGESFGEQAVASGGVQSATLRAAYTTTAIVLNIADVEQIVAQY DSVDLPKKSDEVVAHLTALLATAPRVRTAGMVRDIAKALWRCDAGLFFQQLGQYALET MAQEAAVRVVDAGTIVLQQDHTCEYMYIVLNGSINIHRLTARRKQRRRSSIIHMELHQ HKLPDDSHPPTPHGTTRDGADTSASLGTETFDKCGQFLSSLGVGGAFGHVPILTNSHS QSSYVVARNLAKGTLTAMLLCVPARFVAALLQRYDDSLLYNPRQVLDQATKPKHDRQN ALKFANFLCTKTAFAAMPQRTLHRVLESMQVVDIPFNHLLWDQGERLGHGVVVVLAGS ILLVRSGHRPTLVDQVPKPADAGTHPRLVLHVGRTANTVTLMHSHDQVHSCGPGDCIG SMRIDDSENNTIVTTDTAMTLTDCKVAIIQWPRVYVAEPYVAKLCNDLLDLHATHLKR QQEQPQQVPLEGESPPLAAPATSDDVHAAIGNLLSAIEWKDKFPVRVQGCIAELFTFA VYSSNDIIFNQGDQTQALYIILAGHVNVWIHRHRRPQSQGHVFARKDAMSRRGGWQDG RVSTSNVKNELDPMKAIQALSKRPRTPTSIKRSLSTRRGAESGTSVAINHPLGDIEAR LGPGDVLSEQALFHPGIQHRVSAVAATDVTVLVLPRSQYDHVLAHGRTVPSSATIVVA RNSSERARDHWKLVIHYIIKNRSQRSHWPCVIEFARQKRIRLVMDIIKNVPVFQAMDV ELRVRICERTLFQTLAPNVVVFDKGKPVERFFVVVRGTVDLVHVTSPTTTDAATSSSD ASDSWLKIRTVRANEWFGEYEILAHEPNRQILAMTTAEGAHVVAVYKGEFIVSWPTLQ KWTDRLAFLRQSDALGALEDDRFCSVWYGLRKLRFRRNDVVVPERSSANKLADAIYWI DEGECVVHQSTTLVRAIKESRSHEKDVELDVQVATLTRGNVLYCDDSTWMPRTCVIAS SYVMQAFVLTYPSHPTTLHRVMGKRGIASLRRIMRLGNDFQHTQREVRNDAIGVL H257_01371 MNRAQVRWHRLKCLVRCIGQFALAANQRSAGEVTLFEPQSWHRH KLERFQHAYNKDQEHRSDVDLKLLMEVVQSMHVFAHASYTSKLYFCRHMQVVVLHDGQ VVYHQGDPIDGASGVYVVLEGIVSSYKNAAYDYSDKAYPAWHQATYPSEDVQFGTCET TATTGDTFGNTAVNGCLCRDTTILAQSSAKVAMLSQSDYRRILAHNNDTMWTPRHCLY AIETEPPHRTPRQVRDLIEFLLHFQYFRSLPRDAVEALCHRLRHRTFKAHEVLSRQGD PDVPLVICVAGKVLLYVQDSVEESRCRLLGPQNASAPASSGTITKKFGTNAASALIDK LEYDPGLGWCVGELGDGESFGEQAVASGGVQSATLRAAYTTTAIVLNIADVEQIVAQY DSVDLPKKSDEVVAHLTALLATAPRVRTAGMVRDIAKALWRCDAGLFFQQLGQYALET MAQEAAVRVVDAGTIVLQQDHTCEYMYIVLNGSINIHRLTARRKQRRRSSIIHMELHQ HKLPDDSHPPTPHGTTRDGADTSASLGTETFDKCGQFLSSLGVGGAFGHVPILTNSHS QSSYVVARNLAKGTLTAMLLCVPARFVAALLQRYDDSLLYNPRQVLDQATKPKHDRQN ALKFANFLCTKTAFAAMPQRTLHRVLESMQVVDIPFNHLLWDQGERLGHGVVVVLAGS ILLVRSGHRPTLVDQVPKPADAGTHPRLVLHVGRTANTVTLMHSHDQVHSCGPGDCIG SMRIDDSENNTIVTTDTAMTLTDCKVAIIQWPRVYVAEPYVAKLCNDLLDLHATHLKR QQEQPQQVPLEGESPPLAAPATSDDVHAAIGNLLSAIEWKDKFPVRVQGCIAELFTFA VYSSNDIIFNQGDQTQALYIILAGHVNVWIHRHRRPQSQGHVFARKDAMSRRGGWQDG RVSTSNVKNELDPMKAIQALSKRPRTPTSIKRSLSTRRGAESGTSVAINHPLGDIEAR LGPGDVLSEQALFHPGIQHRVSAVAATDVTVLVLPRSQYDHVLAHGRTVPSSATIVVA RNSSERARDHWKLVIHYIIKNRSQRSHWPCVIEFARQKRIRLVMDIIKNVPVFQAMDV ELRVRICERTLFQTLAPNVVVFDKGKPVERFFVVVRGTVDLVHVTSPTTTDAATSSSD ASDSWLKIRTVRANEWFGEYEILAHEPNRQILAMTTAEGAHVVAVYKGEFIVSWPTLQ KWTDRLAFLRQSDALGALEDDRFCSVWYGLRKLRFRRNDVCDFKWWCRSGPVPTNWPT PSTGSTKASVWSTSPRLWFEPSKNRDPTRRTSSWTCKWRR H257_01372 MHRGDRCAVCSRQTQVSGQPLLRCSRCHMIRYCGREHQMQHFTT HKTRCCAVKKAVDAAAHAKEDLLAVQGLDIFRVGQFWGMYETRPYMLSLASQIEALEH MGTDSSLRAAIDVLFECLRLNRSDNMGLRDVAPGILLRLGEDQHAYDFVRWWAQDRPT FEWENTSLPYLDTRGADATESVEHANFLSPFGGPSLQHLVALVLVKLRVRDDIEARGC FRLMLAGTLRGSSPLRQLRGHIPALRMIEAFTATRSSATPGPVFGQNEATIATMGQCA TRVACLDHQISRLLLHAERRNPRIWKAMVNPISILSVPDPRDCAVGDENDAKKAVERL LPAYRATPRALARLVAHVGSPSSSLYDPVPKPSKYPS H257_01373 MRATLWLVTFWMAMVSAKSKQHSRVDRMWRVQKKSCESNECRHL DLMTNMNCVHECISPTCFTEVYASEPLEDGEIDEYRYNKFLTCVRNDYRLRARRPSKD EL H257_01374 MGAAASVVTKGQCQALCGDLFDESEWDKQAVDGVVSRDKLTTVL STLTDAFLTHDWGSDGGTHTKVSVVNHLLQARGITTWFDQEKMEGNVKKQMIHGIDNA RVIVVFVTQRYIAKVGGPNAEDNCQLEFNYAARRKTASRMIPVLIDPTPALKNPASWN GEVGFVLGGHLYLDLSGTFDDDHLLASKVDELVAKIVSIGGTPLARRFPPESPSDAKE QAKYVPSPPVVATLQAAPVFSAPTVSLASLTKEDVALLLNALACSKFSAAFLENEVTG EVLCGAANVEEIKELGMPLAAKARVLFDKIAEFKASGVPPTLLRDKTRPSTGVISTPS TIAEVKTTELSGSNVASTAKSFEDITMALLPSEWVAVMKYKLEVNGANTLSKHTLSWF DSSCSVSAANGFFSDGNYAAELKGTASSRAMRLQAMGGRLDKNAFLLRFRMKPMAGGA TPLAAGRFPWFAIDVTTDLCLALSCNQGKDVFFVKMDGAPVTVALAEWVDVAILVSIR TASIIQVVLDSKYMDAITVDKGFELHIPTDVNQSLRDTEHNQLYLFRPDTHQGLFHGY LRDIEMYSTLPASRKLPRITDADDPDRHRLHLTHRDALVEGLTLAFHYPKMAVDAVDI VTGRAMTLPGGSFIHSSLGAYFDGNYYDDTELDRNLVVAGKLGATFSRNKFAVAITMA PLAACCVFCMGSYYRWFGLTVTNTMEVKVTFNNQRVGLVVRQEREMVRLSRERWHDVV VVVDGLSVTVLIDGNRMDELYLPQDFTYTAPPDADNDMFLVNYSCSGCFHGFMREFAM WKLS H257_01375 MDTFSHAKLAAADFLHEVKLSAMETHRLLHALLLGPDSWRLQLQ ELTGVQYVLDTVDNIVQVLDSKASVSSCLLPVVV H257_01376 MSGTAFVPFANAVLPSLAQGLIAQQLTTVVTIWPKSELAEHCDD MLLLLTSAIQDHKAFVRVAAGEALCAFGET H257_01377 MFRAVQSRLQKLPTRARMLSTAGPDGTAYARSTSVFHWVVAGVF GGVVGTVKLAQNTTDKVEKLRLMNLHKSLAVIAAVLVPARIGTRLLTRSPQALAGPKW EQLLGSASHLGLYGLMIGLPSSGIAMGYYSGFGIPFFGSRIPGAEKPDKSISGPAYSA HKTMGQALVYFVPLHVGAAFFHHFRGAQIFQRINPFKASK H257_01378 MEFVEESPSPPPTLGTVETVVTHFFSGTIAGFTGAIVGYPLDTI KSRMQTQMHLPSAATIGRRVTPLQAFVHSIRQEGFLSLYRGASTQVARQAIGCSILFG LMAQFKWLFYTPSTGVGSAEAHPQIVLAASAACTGVVEASIYCPFEITMIRMQTQATT SQTTSQCARQIFSQYGLRHGLYRGFTPTCCREVVGNTVYFLTYDRVKDQLQAKTQLTP MHVYGTSGAVAGFAYWCVSFPLDTIKSVVQADVLDRRHQKYLGTMDCASKLYREGGVG RFFRGLSPCLLRAMPVNAVQFMSFEKTVEMLTPLWPHSWRTSQDAR H257_01379 MKVPPPTSFWRHLPRPFFCVAPMANVTDVSFRATITEFAKPHVM WTEFVSCEALCSSSQASRNRMLTALQYAPEERPIVAQLFGSKPHQFRESAMLLRDLGF DGIDINMGCPEKNVNKQGSGAALILDPPNAMAIVRACQEAGLPVSVKTRIGFHYIDYH DWINRILDTEPEALTIHGRTRDEMSAVPAHWDVIGDIVHLVKDKRQSTCVVIGNGDVE SIQHAQSKVDEYGVDGVMIGRALFGNPRMFQGTNWVVTETDGVHCRGGGCVGHDNASM SVEQKCHGLLRHVYHFEQLLASTTSFHYVKKMFGSYLVGIPNAKVLREALAATSSPAQ VYPLVEEFVAKSVRQ H257_01379 MKVPPPTSFWRHLPRPFFCVAPMANVTDVSFRATITEFAKPHVM WTEFVSCEALCSSSQASRNRMLTALQYAPEERPIVAQLFGSKPHQFRESAMLLRDLGF DGIDINMGCPEKNVNKQGSGAALILDPPNAMAIVRACQEAGLPVSVKTRIGFHYIDYH DWINRILDTEPEALTIHGRTRDEMSAVPAHWDVIGDIVHLVKDKRQSTCVVIGNGDVE SIQHAQSKVDEYGVDGVMIGRALFGNPRMFQGHDNASMSVEQKCHGLLRHVYHFEQLL ASTTSFHYVKKMFGSYLVGIPNAKVLREALAATSSPAQVYPLVEEFVAKSVRQ H257_01380 MSLAMRRLLPHRTSKLPAFGQRCRHLTVVPMAQVSPSMTGGRIT KWTKDVGDFVSCYDLLYEFEVSGLTDIDEEENVTTKMELESCDEGYLAVVFDPVVPNT CPKIVGGGTPVALLCDTVDEMHDVQDQFKRLGPKMLQNVPDHNVMPWHAYLLEPQRKD NSCCS H257_01380 MACMSAAFGQRCRHLTVVPMAQVSPSMTGGRITKWTKDVGDFVS CYDLLYEFEVSGLTDIDEEENVTTKMELESCDEGYLAVVFDPVVPNTCPKIVGGGTPV ALLCDTVDEMHDVQDQFKRLGPKMLQNVPDHNVMPWHAYLLEPQRKDNSCCS H257_01381 MPTGVRDDVIVEDVDMDDSQYDDSIKDVDVVGLDAEVETFLLQV KLQMDERDAAGVTMVFESFTSVLVQCFVPLLEQSSMLPIVPMVHLRVAELDHAYLVEV LSNTHIYVPHELITKSTTGSTSTYHVNLPLLQTPLKQDFVRVAPKLVTEFIKQMHSFD PQPPPPPIPSAAQSPPSRRRPSPAVVLPSDCTLFPLAHVPGTLFHGNDVTGAVVTAIL VGVTPSSLYILHPGPTHHQHDLAAVHQVIPLKDIARVVLKRGANQSFVLHFKAPGTPC KPIFSQNSERIVATVEANMENHNSTKRRLEQSAREHDDPRRPRLAKRSSGFDMSSFFS NVEKVTKEMSQKVVGSFNEVSKLLSGEAPPLTLVAISQMEAHFFRRPSPAQVRRKDHR MSRFDWLFCVAVCHHRRLQDGCPAPCPAKSGCKRRRGRPSRNESADVCAPTSSAASAP FDNINLFLR H257_01381 MPTGVRDDVIVEDVDMDDSQYDDSIKDVDVVGLDAEVETFLLQV KLQMDERDAAGVTMVFESFTSVLVQCFVPLLEQSSMLPIVPMVHLRVAELDHAYLVEV LSNTHIYVPHELITKSTTGSTSTYHVNLPLLQTPLKQDFVRVAPKLVTEFIKQMHSFD PQPPPPPIPSAAQSPPSRRRPSPAVVLPSDCTLFPLAHVPGTLFHGNDVTGAVVTAIL VGVTPSSLYILHPGPTHHQHDLAAVHQVIPLKDIARVVLKRGANQSFVLHFKAPGTPC KPIFSQNSERIVATVEANMENHNSTKRRLEQSAREHDDPRRPRLAKRSSGFDMSSFFS NVEKVTKEMSQKVVGSFNEVSKLLSGEAPPLTLVAISQMEAHFFRRPSPAQLFAITEG YKTVVQLHARQKVDASDDVADQAETNLLTFVRQPQVQRVLHSTT H257_01381 MPTGVRDDVIVEDVDMDDSQYDDSIKDVDVVGLDAEVETFLLQV KLQMDERDAAGVTMVFESFTSVLVQCFVPLLEQSSMLPIVPMVHLRVAELDHAYLVEV LSNTHIYVPHELITKSTTGSTSTYHVNLPLLQTPLKQDFVRVAPKLVTEFIKQMHSFD PQPPPPPIPSAAQSPPSRRRPSPAVVLPSDCTLFPLAHVPGTLFHGNDVTGAVVTAIL VGVTPSSLYILHPGPTHHQHDLAAVHQVIPLKDIARVVLKRGANQSFVLHFKAPGTPC KPIFSQNSERIVATVEANMENHNSTKRRLEQSAREHDDPRRPRLAKRSSGFDMSSFFS NVEKVTKEVCIGHDR H257_01382 MQLEDDRTTSWSPPLRRASASVGAASVQHERIVSINQWWSALFV KGKLDQLKQSRKPQAPVNHTVLQQPLSTTFKVYFPKLDYTAAY H257_01383 MTSIRDGGGDLQLEEVHDGDDDDRHDVGAEDDEGAMDDQEMYAL QRLDSKCTSLQQEGKYTDALECMEKGLVLRQHFYGSDSAEVRDACKTVGDMCNLLAMT YLQQENFPIVLELLKKAEILTERHLAGRAVTLNNFACYYRRQGNLHKALTHLTEALAI EAKLEHVPNRADTHLNMCAVLSQLGRHQGALEQAQAALILLHEELFSTNNNNTQGENV QLDRIAVLGIAYHNVGVEQEFLKKLELSIHSYRKGADVAAKYLGESHGMVITLRNSLV TATRALDLKAARGRVPTTGDGISKFSQMKHTFKAKFRRKHNSKVAVER H257_01384 MTSIIVPKLQVHTTSSTSAKTFVFHDEDHTVGNAVRYMLMRNPD VNFAGYTIPHPSEPKMHVRVQTVPSKSANQSMRTALTDLKAVSVHVDKAFAKELKSFK KKHGKQP H257_01385 MADVLSDAEKLNVAKGFLLASPPGEVRDVSKDVAKLLPRGLLSD TALRGILHTYNVENSLPVQIPNVDESKRLVVCQEGEVDVGHYLDTHGRKVWGFDHVTQ EILAQDVQDASARFTSSLEPLRAELQVEVDAYLATQFGNQGGAAVFATDSTITIVLST ERVSLRNFWSGRWKSKWTLTNVSPDAATLAGRIDLHVHYFEDGNLQLQSHKDVAPVPV TGESLAQAVLAVLRAEEHVVHSNLEDMYINMTEETFKEMRRVMPVTQTKMEWNLYAHR TAKDLSRK H257_01386 MAQATTWQSVCADLEDVLRPRGLDLVIPMNVGMYNEVAPPAYQL DASPSSLLVMVGNTKHLWPTFVNSLDVGNIPDHPLNDFTVYEVTRALALVTSTSSVAV ENVFWVHDTEIGKMIAAVRMVVASGFASYSNEAHLCVHPTYGPWFGLRAAIVFACPGP TEPVYHEPATLTLPADVSQRTRDLFDQAIAVKTVSAEAKSIWFRLRVGLHPSHPFMYS DAQVRFHYANSPESRKAVIAAVQSHTPIDYVLEPPTSAAVTCRRLVQTVLTDLMASHP PPDVVLLSGGLDTSIIAEASACDLDALTVEFATPILTIQSGITVRADPAAQDATYASA ICHRLNIPHHCLEVSVETLLEHVPVVSRILTTFDPMELRNAIVIYHSLLRAKELGYQC VVTGDAADELFAGYSFYASMPEDRLQLYRHHIARIMRFSAQPLAAALGLTVRSPFLDP RVVEFALSLGKHALVGDKTPVPNGKTYGKLVLRQAFPEAFSQWRDKEPIEQGAGTSQL RLGYFGDANVPGFYSRQRQLYQQHHVVLRDHEHLVYFEHFLAAFGGSLDAVPKVRDGD DPCPACRFDLSSKDQDFCITCGFWPARTTDANAASAKPAMDKLAELLQKLQENDESVE EPNPSVN H257_01386 MAQATTWQSVCADLEDVLRPRGLDLVIPMNVGMYNEVAPPAYQL DASPSSLLVMVGNTKHLWPTFVNSLDVGNIPDHPLNDFTVYEVTRALALVTSTSSVAV ENVFWVHDTEIGKMIAAVRMVVASGFASYSNEAHLCVHPTYGPWFGLRAAIVFACPGP TEPVYHEPATLTLPADVSQRTRDLFDQAIAVKTVSAEAKSIWFRLRVGLHPSHPFMYS DAQVRFHYANSPESRKAVIAAVQSHTPIDYVLEPPTSAAVTCRRLVQTVLTDLMASHP PPDVVLLSGGLDTSIIAEASACDLDALTVEFATPILTIQSGITVRADPAAQDATYASA ICHRLNIPHHCLEVSVETLLEHVPVVSRILTTFDPMELRNAIVIYHSLLRAKELGYQC VVTGDAADELFAGYSFYASMPEDRLQLYRHHIARIMRFSAQPLAAALGLTVRSPFLDP RVVEFALSLGKHALVGDKTPVPNGKTYGKLVLRQAFPEAFSQWRDKEPIEQGAGTSQL RLGYFGDANVPGFYSRQRQLYQQHHVVLRDHEHLVYFEHFLAAFGGSLDAVPKVRDGD DPCPACRFDLSSKDQDFCITCGFWPARTTDANAASAKPAMDKLAELLQKLQENDESVE EPNPSVN H257_01386 MIAAVRMVVASGFASYSNEAHLCVHPTYGPWFGLRAAIVFACPG PTEPVYHEPATLTLPADVSQRTRDLFDQAIAVKTVSAEAKSIWFRLRVGLHPSHPFMY SDAQVRFHYANSPESRKAVIAAVQSHTPIDYVLEPPTSAAVTCRRLVQTVLTDLMASH PPPDVVLLSGGLDTSIIAEASACDLDALTVEFATPILTIQSGITVRADPAAQDATYAS AICHRLNIPHHCLEVSVETLLEHVPVVSRILTTFDPMELRNAIVIYHSLLRAKELGYQ CVVTGDAADELFAGYSFYASMPEDRLQLYRHHIARIMRFSAQPLAAALGLTVRSPFLD PRVVEFALSLGKHALVGDKTPVPNGKTYGKLVLRQAFPEAFSQWRDKEPIEQGAGTSQ LRLGYFGDANVPGFYSRQRQLYQQHHVVLRDHEHLVYFEHFLAAFGGSLDAVPKVRDG DDPCPACRFDLSSKDQDFCITCGFWPARTTDANAASAKPAMDKLAELLQKLQENDESV EEPNPSVN H257_01386 MIAAVRMVVASGFASYSNEAHLCVHPTYGPWFGLRAAIVFACPG PTEPVYHEPATLTLPADVSQRTRDLFDQAIAVKTVSAEAKSIWFRLRVGLHPSHPFMY SDAQVRFHYANSPESRKAVIAAVQSHTPIDYVLEPPTSAAVTCRRLVQTVLTDLMASH PPPDVVLLSGGLDTSIIAEASACDLDALTVEFATPILTIQSGITVRADPAAQDATYAS AICHRLNIPHHCLEVSVETLLEHVPVVSRILTTFDPMELRNAIVIYHSLLRAKELGYQ CVVTGDAADELFAGYSFYASMPEDRLQLYRHHIARIMRFSAQPLAAALGLTVRSPFLD PRVVEFALSLGKHALVGDKTPVPNGKTYGKLVLRQAFPEAFSQWRDKEPIEQGAGTSQ LRLGYFGDANVPGFYSRQRQLYQQHHVVLRDHEHLVYFEHFLAAFGGSLDAVPKVRDG DDPCPACRFDLSSKDQDFCITCGFWPARTTDANAASAKPAMDKLAELLQKLQENDESV EEPNPSVN H257_01387 MMLHQSRRDILPVSLPGNCDNDDTNDMAPLMLGINININIRPES SRSDWRKVGLGVVLALVGLASVGGITYGVWYYRREAMMMDPTLDTALLSDPLPYDRVP ALWGDDFECLGWRATAGCDPGGERTPQYDKTCDTAIGLGDAGFCEVRNRTSLQVYRVM ATTCRGVIGLKTFTCNMAEEFTDFSKQAATYVHDPAPQFSGVRRGIVFSVFKKALPGV FAIIKVLRSYGCTLPIEIFYRADELHAQSNVLVQALLQSDPNVVLREITDPHATKFRT KPYAVYHASFDQVLLLDCDNVPLRDPTYLFDSPAFATHSAIFWPDLWHPSHTIFSVQA QNLLWQYVDMPFWDVFEQESGQVLVDRRRAKDALHKLMAYTFGARQDGTLIESMEFLY GDKDLFRFAWRNTSTSYQYIQTPPAFAGMYSWHRWISFCGLAMLQHDMDGEHLFLHRN QVKLTASPSQVPLFTHVLRFTGHDPHLYRAECKGRQDGYLCWGFQWPWVPATLDTIDW SEDGGGRADRKHVNIHQAEAMAVRFAIEAGAILGEVDADVPLTPLPLWRNWGVDVIVC SAVLLLWCLWQHVAQCWTPQKKTLFRSMFMSRKKRKTSTLPGHEQH H257_01388 MIAARLMRRGVMPAVTARVTSSTMLLPRSMLMPLASFSSISRST VGTTNSFGAGSGSAGKPLELKYLEANGSLALALPLTGDPHSEIGLGMKLFSLHPTTSV ASFVSQVQLEDTTAKDVQIRTQKGVPVAPETAFSSLMADDFQIVLNDKVLRVAAPVFT GDAYTSLPQDGGLDMRAIAHKAAIIKLRETIANHPKWKIDVTEFKKLASDHGIPPKQA SQVLHAFHQVGLVFHFSQAADEELKSAVFLKPRNILDGYFDSLGLIPPSTQRYVEKRQ QLEADLAAIMPEHDALLHLQAQLDETAHKRTAVYCYLSSAGLVGTGGLYAWLTFVEYS WDIMEPITYFTGFGVSVLSYFWWTLTTSEYEYGNVYDFIYQRRRNALYTKSQFDPIKL DNLQAKVAGIHRDIADITTKLTKPTCLQAEFLKEK H257_01388 MIAARLMRRGVMPAVTARVTSSTMLLPRSMLMPLASFSSISRST VGTTNSFGAGSGSAGKPLELKYLEANGSLALALPLTGDPHSEIGLGMKLFSLHPTTSV ASFVSQVQLEDTTAKDVQIRTQKGVPVAPETAFSSLMADDFQIVLNDKVLRVAAPVFT GDAYTSLPQDGGLDMRAIAHKAAIIKLRETIANHPKWKIDVTEFKKLASDHGIPPKQA SQVLHAFHQVGLVFHFSQAADEELKSAVFLKPRNILDGYFDSLGLIPPSTQRYVEKVY NSTVWIYLVADKRGN H257_01388 MIAARLMRRGVMPAVTARVTSSTMLLPRSMLMPLASFSSISRST VGTTNSFGAGSGSAGKPLELKYLEANGSLALALPLTGDPHSEIGLGMKLFSLHPTTSV ASFVSQVQLEDTTAKDVQIRTQKGVPVAPETAFSSLMADDFQIVLNDKVLRVAAPVFT GDAYTSLPQDGGLDMRAIAHKAAIIKLRETIANHPKWKIDVTEFKKLASDHGIPPKQA SQVLHAFHQVGLVFHFSQAADEELKSAVFLKPRNILDGYFDSLGLIPPSTQRYVEKVY NSTVWIYLVADKRGN H257_01389 MDKDAAVVPPTKSFLISLIAGGMAGTGVDVALYPLDTIKTRLQS SQGFLKAGGFKGVYKGLSAAAAGSAPGAALFFSSYETTKAFLQKQQPHLADSPVIHMA SAAMGETAGCLVRVPTEIVKQNLQTGAFQSFQESIRSIYGTNGIAGFYRGYWSLLARE IPFSFIQFPLWEGMKSTWSQRQGEAVSPVQGAVCGALSGGFAASITTPLDVIKTRLML GKDAQGVEYIGMRDAFTRVYTQEGWQTLFSGVKPRTMWITIGGFVFFGVYEHASATLS R H257_01390 MMRRYGLRMPPVLSSCCHRFLSSNEDILSVARVVHAKSPTSFTV LPSTYVVPDHDDFPLHLRGKSLAISELRRQYKNHKVPSSLVEQFDALSFVWDVNFHKS ALRLHALTVYKELHGDLNVPRHFKVPAGDSRWLVDTWGLSLGAAVHNMRTGHLLLHPG HEASFLALGFTWDVKNSQWDDHLEALHQYIRLHEHSHVPLRFVVPVDDPEWPVHMRGI PLGRVAASWRQLGENAMPADRRAALNALDFVWNAWDHQWTRNMDALMAYVKQHGDLLV PKRFVVPDDDPSYPEHTRGLALGSLVHSTRNRMDDLPRAHHDALDHIGMVWDPLDYHW DHVVLALRTHERLHGHLRIATKFKVPCGDPHWPKDTWGLHLGSLVTQIRHRAVAMAID QVDLLAMIVRQDEQDELENDTNSSLHAETTTSSS H257_01392 MTSAITSDRSEVVRIPVTTTDATCIEVECNWDVGIGGSLWTSGR LLVDYMARNSRERDALVGRTVLELGSGTGLVGLAMAHMGPSRVIVTDLDTHVASMERN VVRNAELFPPSTKVEVVALDWTTFTAADARALTPIDWIVGTDIAYLPEFYAPLLRTLE LLVVPSTTRILLGLGRHDTDMRFFRMLAEAGYEYYKISDALIGPEYRGKDFGLFDIRK ASPIE H257_01391 MDPFVVHKFGGTSVGNATAMKAVREIIANVANDRIAVVVSAMGG KPKVTDLLISLVELAKTRQQDAIASIIDNILRKHDDAMSALLPSAVAAPILDSIRADL KTLEDLLKAISIMRSYNENVTELVSGHGELWSARILTAVLNQHLIEQGKAERFAFVDA REVLVVELDDNHGPVVQYAETKQRLSTLMDTLGNHTHLVITGFICTTTDGVVTTLKRD GSDFSASIFGRVLEAAAITIWTDVSGVYSADPRRVPEAQILPHVSYQEAMELAYFGAK VIHPKTMAPAIAQSIPIYIRNTFDADHPGTRIFHRRALTRSLSGTLAEAKTIVSGFST IDDMALFNLEGSGMVGVHGVASRLFSALDRIKVNVVLIAQASSEHSICLAIPAANAPD AKDAIEAAFFKELHHGLIDAVTYVAPATIIAAVGDNMSETPGVCARFFGALGRAKINV LAISQGSSERNISAVVRFQDSGAALRAAHAAFFMADHAVSVGILSPSAASTVGMALQS QLFTQSQVLVNRFNVDLRLCAVACQSPTTNGSSTRPTAVTCSVHATGFDAASLSASVD VASSMAEWHRHVQVDHIPHAVVVDLSNDEATLSQYPVWLAANCHIVSANLHVASMPVT WHLQVAAVQSLHKVTVDSNASLGMSVPVLSTIQNLLLTGDSVERVESSWSSLFNALVA KLQGTAELAGAAVDSVLSQYAGLSPQDMLEDLTGLRSAKKMVLVARELGLNEDVHAAK IASPFPMPTSDEWKSWTSVADVKAYILLHVPTLQPWLATASNTRLVSTLNADVGGISI DVQTLLAPHPFAGLMGEQCALAFYTSRHQPHPLVICGSSFASDASQSLLASTVFGSVL KLARSL H257_01391 MDPFVVHKFGGTSVGNATAMKAVREIIANVANDRIAVVVSAMGG KPKVTDLLISLVELAKTRQQDAIASIIDNILRKHDDAMSALLPSAVAAPILDSIRADL KTLEDLLKAISIMRSYNENVTELVSGHGELWSARILTAVLNQHLIEQGKAERFAFVDA REVLVVELDDNHGPVVQYAETKQRLSTLMDTLGNHTHLVITGFICTTTDGVVTTLKRD GSDFSASIFGRVLEAAAITIWTDVSGVYSADPRRVPEAQILPHVSYQEAMELAYFGAK VIHPKTMAPAIAQSIPIYIRNTFDADHPGTRIFHRRALTRSLSGTLAEAKTIVSGFST IDDMALFNLEGSGMVGVHGVASRLFSALDRIKVNVVLIAQASSEHSICLAIPAANAPD AKDAIEAAFFKELHHGLIDAVTYVAPATIIAAVGDNMSETPGVCARFFGALGRAKINV LAISQGSSERNISAVVRFQDSGAALRAAHAAFFMADHAVSVGILSPSAASTVGMALQS QLFTQSQVLVNRFNVDLRLCAVACQSPTTNGSSTRPTAVTCSVHATGFDAASLSASVD VASSMAEWHRHVQVDHIPHAVVVDLSNDEATLSQYPVWLAANCHIVSANLHVASMPVT WHLQVAAVQSLHKVTVDSNASLGMSVPVLSTIQNLLLTGDSVERVESSWSSLFNALVA KLQGTAELAGAAVDSVLSQYAGLSPQDMLEDLTGLRSAKKMVLVARELGLNEDVHAAK IASPFPMPTSDEWKSWTSVADVKAYILLHVPTLQPWLATASNTRLVSTLNADVGGISI DVQTLLAPHPFAGLMGEQCALAFYTSRHQPHPLVICGSSFASDARYYFNY H257_01391 MDPFVVHKFGGTSVGNATAMKAVREIIANVANDRIAVVVSAMGG KPKVTDLLISLVELAKTRQQDAIASIIDNILRKHDDAMSALLPSAVAAPILDSIRADL KTLEDLLKAISIMRSYNENVTELVSGHGELWSARILTAVLNQHLIEQGKAERFAFVDA REVLVVELDDNHGPVVQYAETKQRLSTLMDTLGNHTHLVITGFICTTTDGVVTTLKRD GSDFSASIFGRVLEAAAITIWTDVSGVYSADPRRVPEAQILPHVSYQEAMELAYFGAK VIHPKTMAPAIAQSIPIYIRNTFDADHPGTRIFHRRALTRSLSGTLAEAKTIVSGFST IDDMALFNLEGSGMVGVHGVASRLFSALDRIKVNVVLIAQASSEHSICLAIPAANAPD AKDAIEAAFFKELHHGLIDAVTYVAPATIIAAVGDNMSETPGVCARFFGALGRAKINV LAISQGSSERNISAVVRFQDSGAALRAAHAAFFMADHAVSVGILSPSAASTVGMALQS QLFTQSQVLVNRFNVDLRLCAVACQSPTTNGSSTRPTAVTCSVHATGFDAASLSASVD VASSMAEWHRHVQVDHIPHAVVVDLSNDEATLSQYPVWLAANCHIVSANLHVASMPVT WHLQVAAVQSLHKVTVDSNASLGMSVPVLSTIQNLLLTGDSVERVESSWSSLFNALVA KLQGTAELAGAAVDSVLSQYAGLSPQDMLEDLTGLRSAKKVQMEDHGRGNFV H257_01393 MSTPPPPAATPPLPVMASNPGQWEMGECTELQKASLKCIEENYT KRSNCNEHFERYRECKKKRHASIVAARRAGILD H257_01394 MTMLTRKLFRQKSTRIDMKFLDEEQTNKSDMTRTSSMVPEPVAP TKRPTSIVHSSTEPLLRDAVKLKSKPTKESPHTRLYALGVHLLDHKDQVHNQFSHYTT TGKKPGRRRSQQSTPATAFASSNRHPTERKPGYHGKSLSYDAARFSQLTTNPSSSSSK PTSVRTAIKHLRRKLMAQDPDDVAPLVEVSRKTATPIDKHSTTVRRPGVSPIRTPKSP TRLHVEVVPRRLSLYAPDDSAADDDGDDDGSEQSHDDKELPPKMKLQRTAPQEKRSLD KVEVDPAVVAANEAEKAKFLQLTEQINMLKSTLGLTDEDLQWKVESAKSNAFVLADKK LSAAEEEYDRLLYEQSLLVSCPFEDCTKELAPLLKTLCLQAKETAETKAVMLVTQHEV HSTKKSLLDARVDAEMKRARSNSPPRRESVLTRDCVGYQATLGDQKKDLLAIQEKFKA GVLKQDALQKQVVAATEAMVASFGAQAVRFSTDDMANAARSLMELVHSLGQTTGDDLA GVCTKAMDICKLFHGYQAKELARKEREINATLKQMEIWRNRREQAKEYPMEIRRMESE WKAMHAADNLVCYERLCSLIPDTIASMSVEQLLDAAKAAGVLYTRDLANYLKQNKFLQ WIVTHDRDKCRDNFITGEWAQCFANFEAFDVTEMRGLFVALPDNFEFDKDGRKAEWRE AFVAHLKMLVQQQRGDCVKAGWDPVKGTRAEVKLKPPSDKHLLNPMYRYPTEVEIKQR LERFETQAKRLAQKKARMQVLTNQDIPMAKKEYHAVAEDARSEDLLRQFGKPALIKLR DESKKVYQSLQKEYDTLKGEVAVGDRAAQVACPTHEQYVEEIAAIRLLPPEVRAEVIR GPFDAHPVLKPKERAVHKKLSAEEEAVARKNELSHAIAERTKEFHDAKKVADDDAPPG ALTDAPPPLLHAEDGAGLAARSSNRRGSLSQTDVTLSKTPRAVKASPAVLSFLQNDFC NKQRKPSGSPEKPSNHLSPPKMAVILDGDEMQDEGGQVPVDALPKSHALMKLMGLATK SVPVKRPSMLHDPNLLEDLSKPNMMAEIQRRRSSKGTPPPSPLQKKQGVNFLDELKRR AEARDSSAAQAKNPIEEGHDVAKGEETKEVAPAKPMSFLDELKKKAKAKE H257_01395 MMLYRWGSLSCRHFAKSTKGRPVTGGEGIRKRLRDYVEVAKAIR ILQAPHSDYTVVPMRFQVPTEAPWPESIQGKLFHTSEVRRHYKAGTLEPDVVDALNNL GFVWDVNEHKWELKVAALRRYKELHDGSMAVPFSFVVPDQDPNWPKDTWNFPLGQFVN HTLQEMSNSKRKRQLLESNPTPRQQQLNALGFDWTQSFEPRN H257_01396 MLRRMSTEYAPARLSVAPMMDWTDRHYRYMMRLITRRTLLYTEM VVDATLMHQKHNLDYFLGHDSLEHPLALQLGGSNPAMLGEVAKMAESYGSFHEININV GCPSPKVSKNCFGARLMLDPAHVRDICHEMRRQVTSTPITVKCRLGVDDFDSYEYLHE FVATVQTSGVTEFTVHARKCWLDGLRLSPHENRTVPALNYDFVGRLKADFPHLRIMLN GGVSSIQHAHELLQDPSLQVDGIMIGRAAYNTPWNFRDADRLHFGVPNPGLSRRQVVA AYLDYADDMQAKWGSVRKPGQYAMPTSLLMKPLLNLFNGEFGGKAVKRHVAQRWADRQ GEQLELRDLVETAMEECIPAAVLDATCDDDDDIVD H257_01397 MSRRKRRGSKLAGPRKKQSCPTVTRTRHVQQVPTQPVTPVSIVS AKARRIAWAVYFIDALEAPPRDEWNEYRGTVYQIMQQFNVPTGSRESVWNVLNDVEDC SWLGHEYCGDGAPRGGTNKLIVLRSCESQIVADCMEIGMGLTETTHMVNEYRSSNYKI HVGQSAVYSCYLRLNPVVTPLTGPLLDVVWRSSLPQDLGSGSGTTIVVWYETHKDARI GNFGANGCKQQIRFLRDAQGQLDDTKARFSFGCAVVVNEAGVREGRRCKAFTTSLATM RLGLLVFARKIMVYFMKIASLDQVETKYDPSVQLREGAHSPRLVDHKKADNPYESLYG PDWKSAIMASNGLKSSICVTELVEHIVHASAAVMHNTAHAKDWMFMHDALFQMTCKST IQWMKEKNYHRRWILPELGLNDGTRFAGRPVGNSPELMPWDCSLNKDVDDCFHRHRSV TLGLSRDASAKFCASTPKRLESAYLRLIDPSHGPHKGCPTSNRIIQDVTKCLTTHVLA VIAAGGAIVPGLGSRSGCRRVRGVERRGGRRDKAPDVQGRWYHDDAVVARAELLKTSI ATTREHSDG H257_01398 MAVNVFSRPRSQQLKTSPRRCIASKKARALQQWLSRHHDLSARM AQKLSKARNAVTREGVTGYFFDLVKAALVLTCTAGDQGPPTNALRGKRLAELYFTKTT PTGNEWKCKCGHVHLKKTTGYTNLCSHVMSCHPEYVHLNETDADHPSIIANMLIPHAV RKVFGWL H257_01399 MLKRYVAIRTYIRLLGDRNILHLTPTDDQDDEIDAVLLVLDELE SMTLALQEEATSMLDVRNLFDECMLLHPSASKRLASNSAVVGHADFEVAITKILDQSV SSMSDGQVASVDRLKMPPKALDASQDKPLTLAQPAKKRLKVSADADSYVDCRFIRPTS NNCERFFPGPSMH H257_01400 MDDPKKVNTAVAIGLHTCGIWPLPRMLCRLEAAKKNGVKGSLED DTWLKTQEFARDMALTLPPKQVGWKRVLTELNWHTRDGLRASAVQPKKRKK H257_01401 MSGITTNQYVNPLSVVRVGLVEVGRNLRLSAICVVDPPKVTKAQ VDASTGVLLNTTCVPSPSVAPSTWILILTSSTVSSASTWAGMMCPSVRRQPRHRKCFL LTLQIDIQ H257_01402 MPSTTAISDDDYDEIASYIRQERPRSLTKEESLDILRLNAELRR DGQMQVSSTIGRLLGRSQKVVKEIWSQYLRSKNVLAVPPPSNQVQRTTRIPHTHAVTS IVREFIPTRSVTRVRTVANDVMALLLEAKIIHYDVNCKPEAVNCLRRVQQFLLKLGFK RGKRRGHAAYAMSSAHATARDVCVQHMMQLAPATPVVYLDESYIHHHYAGHHDSLYDP TTMAPPKKCIKVAVSMARARGHDVVFTPPHHSDLQPIEMVSAKVKGDVGVQYTVDTTF ADVRSRLDAAFVSLPSDVVWNCVRHCNKLLQETYELLLANDDEVEDGMSSDDASEDSS DSDSD H257_01403 MSVGETESAGGLRVAPLEAAVTDKHETYAERALKRQRRVPSENT FLDDRFIVPTSNICEGFFAATTRAILDHRCGLLPKNFESQMFLYANTDMWGMDDVQKI MQGNEQYEM H257_01404 MKLRCDDYEARFMANISNAQLSKYWGNIALNLSQEFNTTVTGTA AKINFCKLKIDYAKIQKAASATGNKSDIEYPVYWSDMDMVLQGSSDSEDDNVTLTPNK RRRSNQGSAEKKQDEVDRQRAEHQLQPSSLKESSLRCAKV H257_01405 MISINMRWRCVVLLQVYGIETGCVCLLLGISERSVTRFNKMFST TGHVCNTKRRVRVKGWPPEVNSWVSEYAIAHPCFYIEELEEALRLQFPSLNNISASTI CRALMHDLGLTRKVLEKRAPEAAEFELRDHYRRLSPYYSYPDQLVYVDETSKDGRDSI RKYAWSKRNQKAIVRPFLVVNEFPI H257_01406 MPLQPTVSTANRPRNPRGPKGGKTHLDHDERRSIYESLLAVSSS GILPRGAIVKLARQHNCHPDTVQRVWARGQSSIREGHISADVSSKIRELYLFRQFRSQ KNAHK H257_01407 MQGERLNVEFPDSFRCQLATKVGVSLGKSRTSVGKPTELTISTG TSFGVLHASVMDAVTTAVAEHHAVPTNVKLSWDPATQSTPSDIFVKVAANTTQDKYVQ LTLQNYSDVLNKYG H257_01408 MRVFCSTCKECEASTDPASFDVTLTCSKCSGPCVQPSVFECAQY GLVDELKYLLEENAETSSPVDINMRDAHNATLLHWASLNNRLLVMMYLLDVPGIDVNA LGGDLKSTPIYWASHRNNIYAVALLLEHDADPAIADKNGINAFFVAVQSGHTILASYM IAMGSDVDTPSQDADKSTPLMWLCRYKFELDTMRMLIGLGANVHAVDGNGYTALHWAA ARDVPLAAKHLIDLGIDLHAKTPKGETALDLSNRVAWDIEDASRGKRTAAAVGFLRKV YAYDQAYSTFPLSILKQHSQMTGFWTPWVVLGLGGYATHALDGPYVVAGVLAAVAVGY ALYSVAGLGVNPGKRKNASLMLGVNVGSTFWIVFVFLSCMADRVPIGTILFEMAWVGG ILGCLYVTTTRDPGMLRTTPDERRRNIRELVDMKSRSEVKLCTTCIQRRPLRSKHDAE LNGCVARFDHFCPFVANAVGAANHTFFLGFLVCAVAGIGGFLGLAYDYLCDVVRDDVT YWDMATHLTHDYPVVISTCVLAIVHFTWIGYLLGANIYGVLFAWTTNECVLQGRVRVD PAAPMAHHSKYSRGIKQNVIDFFHLPIGHNRIDWAKVRFYNHADIQAYQDTTDAPHEE H257_01408 MRVFCSTCKECEASTDPASFDVTLTCSKCSGPCVQPSVFECAQY GLVDELKYLLEENAETSSPVDINMRDAHNATLLHWASLNNRLLVMMYLLDVPGIDVNA LGGDLKSTPIYWASHRNNIYAVALLLEHDADPAIADKNGINAFFVAVQSGHTILASYM IAMGSDVDTPSQDADKSTPLMWLCRYKFELDTMRMLIGLGANVHAVDGNGYTALHWAA ARDVPLAAKHLIDLGIDLHAKTPKGETALDLSNRVAWDIEDASRGKRTAAAVGFLRKV YAYDQAYSTFPLSILKQHSQMTGFWTPWVVLGLGGYATHALDGPYVVAGVLAAVAVGY ALYSVAGLGVNPGKRKNASLMLGVNVGSTFWIVFVFLSCMADRVPIGTILFEMAWVGG ILGCLYVTTTRDPGMLRTTPDERRRNIRELVDMKSRSEVKLCTTCIQRRPLRSKHDAE LNGCVARFDHFCPFVANAVGAANHTFFLGFLVCAVAGIGGFLGLAYDCTSLSPYISCF GCVSDTPRV H257_01408 MRVFCSTCKECEASTDPASFDVTLTCSKCSGPCVQPSVFECAQY GLVDELKYLLEENAETSSPVDINMRDAHNATLLHWASLNNRLLVMMYLLDVPGIDVNA LGGDLKSTPIYWASHRNNIYAVALLLEHDADPAIADKNGINAFFVAVQSGHTILASYM IAMGSDVDTPSQDADKSTPLMWLCRYKFELDTMRMLIGLGANVHAVDGNGYTALHWAA ARDVPLAAKHLIDLGIDLHAKTPKGETALDLSNRVAWDIEDASRGKRTAAAVGFLRKV YAYDQAYSTFPLSILKQHSQMTGFWTPWVVLGLGGYATHALDGPYVVAGVLAAVAVGY ALYSVAGLGVNPGKRKNASLMLGVNVGSTFWIVFVFLSCMADRVPIGTILFEMAWVGG ILGCLYVTTTRDPGMLRTTPDERRRVRRRRRRISCCDEHAIEHSRVGRHEVSVRGQAV HDVHPAPAAAVEA H257_01408 MRVFCSTCKECEASTDPASFDVTLTCSKCSGPCVQPSVFECAQY GLVDELKYLLEENAETSSPVDINMRDAHNATLLHWASLNNRLLVMMYLLDVPGIDVNA LGGDLKSTPIYWASHRNNIYAVALLLEHDADPAIADKNGINAFFVAVQSGHTILASYM IAMGSDVDTPSQDADKSTPLMWLCRYKFELDTMRMLIGLGANVHAVDGNGYTALHWAA ARDVPLAAKHLIDLGIDLHAKTPKGETALDLSNRVAWDIEDASRGKRTAAAVGFLRKV YAYDQAYSTFPLSILKQHSQMTGFWTPWVVLGLGGYATHALDGPYVVAGVLAAVAVGY ALYSVAGLGVNPGKRKNASLMLGVNVGSTFWIVFVFLSCMADRVPIGTILFEMAWVGG ILGCLYVTTTRDPGMLRTTPDERRRVRRRRRRISCCDEHAIEHSRVGRHEVSVRGQAV HDVHPAPAAAVEA H257_01408 MFVQNAETSSPVDINMRDAHNATLLHWASLNNRLLVMMYLLDVP GIDVNALGGDLKSTPIYWASHRNNIYAVALLLEHDADPAIADKNGINAFFVAVQSGHT ILASYMIAMGSDVDTPSQDADKSTPLMWLCRYKFELDTMRMLIGLGANVHAVDGNGYT ALHWAAARDVPLAAKHLIDLGIDLHAKTPKGETALDLSNRVAWDIEDASRGKRTAAAV GFLRKVYAYDQAYSTFPLSILKQHSQMTGFWTPWVVLGLGGYATHALDGPYVVAGVLA AVAVGYALYSVAGLGVNPGKRKNASLMLGVNVGSTFWIVFVFLSCMADRVPIGTILFE MAWVGGILGCLYVTTTRDPGMLRTTPDERRRNIRELVDMKSRSEVKLCTTCIQRRPLR SKHDAELNGCVARFDHFCPFVANAVGAANHTFFLGFLVCAVAGIGGFLGLAYDYLCDV VRDDVTYWDMATHLTHDYPVVISTCVLAIVHFTWIGYLLGANIYGVLFAWTTNECVLQ GRVRVDPAAPMAHHSKYSRGIKQNVIDFFHLPIGHNRIDWAKVRFYNHADIQAYQDTT DAPHEE H257_01408 MSHVLLLALDADKSTPLMWLCRYKFELDTMRMLIGLGANVHAVD GNGYTALHWAAARDVPLAAKHLIDLGIDLHAKTPKGETALDLSNRVAWDIEDASRGKR TAAAVGFLRKVYAYDQAYSTFPLSILKQHSQMTGFWTPWVVLGLGGYATHALDGPYVV AGVLAAVAVGYALYSVAGLGVNPGKRKNASLMLGVNVGSTFWIVFVFLSCMADRVPIG TILFEMAWVGGILGCLYVTTTRDPGMLRTTPDERRRNIRELVDMKSRSEVKLCTTCIQ RRPLRSKHDAELNGCVARFDHFCPFVANAVGAANHTFFLGFLVCAVAGIGGFLGLAYD YLCDVVRDDVTYWDMATHLTHDYPVVISTCVLAIVHFTWIGYLLGANIYGVLFAWTTN ECVLQGRVRVDPAAPMAHHSKYSRGIKQNVIDFFHLPIGHNRIDWAKVRFYNHADIQA YQDTTDAPHEE H257_01409 MTEARIAFYGSDADDDNSPRGHDESPLAYETLSSVEPNNRPPSS HHMEDLGDDDVDELIKQKRNELYQIKARKLKSRDNLSGNGLNLDDFDLLPMDVSPMKG AQSTSSSAYSTPTKTSLTEIAHRVASNEKQLRSDRMRLTELVSNDSQYHQSRAESAST PRSHRSSPRTTPSPSRQKQLLSQKAIHKWHPDSKRTQVLNQDTPLDIGLSSSEYDGHI GFAPAEEEFAITSSATRRLKALAMELDSETHEDEAEAVVQQVLDFGKYLGGEEAVKGF FPADDDDGSAFHDLQRKMDDMNQHLERLQEEKRLLERQQQESERNATDMSSSLRMLSA QVSQFVNGGKGSGNGAMDPRDLHSSSIHYKEDLMDELKMQRKLMGDLEVEISRWRHDA DMLEQSRVLEHQQHKTQALQIASTHRVLDEKVDMQRDAVKALQDQAREWQHKLDSMWD RILVVEAHVLDVDKLVAAAAAPPRRSWLLLVLVFMVLLLMYVVVQDAAVGDKVNAITS FFE H257_01409 MTEARIAFYGSDADDDNSPRGHDESPLAYETLSSVEPNNRPPSS HHMEDLGDDDVDELIKQKRNELYQIKARKLKSRDNLSGNGLNLDDFDLLPMDVSPMKG AQSTSSSAYSTPTKTSLTEIAHRVASNEKQLRSDRMRLTELVSNDSQYHQSRAESAST PRSHRSSPRTTPSPSRQKQLLSQKAIHKWHPDSKRTQVLNQDTPLDIGLSSSEYDGHI GFAPAEEEFAITSSATRRLKALAMELDSETHEDEAEAVVQQVLDFGKYLGGEEAVKGF FPADDDDGSAFHDLQRKMDDMNQHLERLQEEKRLLERQQQESERNATDMSSSLRMLSA QVSQFVNGGKGSGNGAMDPRDLHSSSIHYKEDLMDELKMQRKLMGDLEVEISRWRHDA DMLEQSRVLEHQQHKTQALQIASTHRVLDEKVDMQRDAVKALQDQAREWQHKLDSMWD RILVVEAHVLDVDKLVAAAAAPPRRSWLLLVLVFMVLLLMYVVVQDAAVGDKVVIHLC ALMGYDCLTPLT H257_01409 MTEARIAFYGSDADDDNSPRGHDESPLAYETLSSVEPNNRPPSS HHMEDLGDDDVDELIKQKRNELYQIKARKLKSRDNLSGNGLNLDDFDLLPMDVSPMKG AQSTSSSAYSTPTKTSLTEIAHRVASNEKQLRSDRMRLTELVSNDSQYHQSRAESAST PRSHRSSPRTTPSPSRQKQLLSQKAIHKWHPDSKRTQVLNQDTPLDIGLSSSEYDGHI GFAPAEEEFAITSSATRRLKALAMELDSETHEDEAEAVVQQVLDFGKYLGGEEAVKGF FPADDDDGSAFHDLQRKMDDMNQHLERLQEEKRLLERQQQESERNATDMSSSLRMLSA QVSQFVNGGKGSGNGAMDPRDLHSSSIHYKEDLMDELKMQRKLMGDLEVEISRWRHDA DMLEQSRVLEHQQHKTQALQIASTHRVLDEKVHPTKPSSPCIY H257_01410 MAHHYVSARGAAQLPKYKYSGNDRSLLYNYFLSPLAQRIVDTFF PPWLAPNTITTGGLALVATSHVILAYYAPTLDGVAPPAAYFFSAAALFWYQVLDVTDG KQARKTGNSSPLGLLFDHGCDAVNVVFSACTMASTMLMGPSIWSLGLLLAPSCVFLFA TWEEYYTGSLDLGLVNGPNEGLAIMYVIYAITGVLGPAIWTQPCVLYPALPNNAVFVI ATALGAAGQCLVNVFNVSQAVTPAKFVAALGRLSPFVGFIAASLAYGLYSPSDVLHSH PRLLLWTIGLISCKMVMHIMLAHLCEEPYWLVRKSFVLVGAVVGLVVAGVIPKVHEEA VLYGVSAGVVAVYVHMVYFVVTDLTAILKIKVFTVKEKQ H257_01411 MSDIRRYGSSGIHTVEGNVAGSLAAQFSREREKQEKEFAEKKKQ IEADNSRSSHIDKAFESHTDAASEAEFKRQTIGLVSAAEYRRRRENCNNPVAVVEDDD QPAAKRAKPIKKKKSKPISFSMDSDDEQVTEKPVIKKKALKCPFVETAFLPDKEREEE IARETVKLTQEWHAEQERIKLQKVVVAYSYWNGNGHRRHLEITKGTTIRQFLDTVRQS LSKDFHDLRGVSTENLMYIKEDLIIPHHVSFYDMIVTQARGKSGPLFHFGVRDDIRLV NDIRVEREESHPGKVTHRAWYDKNRHIFPASRWETYDPAVARDARYTTRGE H257_01411 MSDIRRYGSSGIHTVEGNVAGSLAAQFSREREKQEKEFAEKKKQ IEADNSRSSHIDKAFESHTDAASEAEFKRQTIGLVSAAEYRRRRENCNNPVAVVEDDD QPAAKRAKPIKKKKSKPISFSMDSDDEQVTEKPVIKKKALKCPFVETAFLPDKEREEE IARETVKLTQEWHAEQERIKLQKVVVAYSYWNGNGHRRHLEITKGTTIRQFLDTVRQS LSKDFHDLRGVSTENLMYIKEDLIIPHHVSFYDMIVTQARGKSGPLFHFGVRDDIRLV NDIRVEREESHPGKVTHRAWYDKNRHIFPASRWETYDPAVARDARYTTRGE H257_01411 MSDIRRYGSSGIHTVEGNVAGSLAAQFSREREKQEKEFAEKKKQ IEADNSRSSHIDKAFESHTDAASEAEFKRQTIGLVSAAEYRRRRENCNNPVAVVEDDD QPAAKRAKPIKKKKSKPISFSMDSDDEQVTEKPVIKKKALKCPFVETAFLPDKEREEE IARETVKLTQEWHAEQERIKLQKVVVAYSYWNGNGHRRHLEITKGTTIRQFLDTVRQS LSKDFHDLRGVSTENLMYIKEDLIIPHHVSFYDMIVTQARGKSGPLFHFGVRDDIRLV NDIRVEREESHPGKVTHRAWYDKNRHIFPASRWETYDPAVARDARYTTRGE H257_01411 MSDIRRYGSSGIHTVEGNVAGSLAAQFSREREKQEKEFAEKKKQ IEADNSRSSHIDKAFESHTDAASEAEFKRQTIGLVSAAEYRRRRENCNNPVAVVEDDD QPAAKRAKPIKKKKSKPISFSMDSDDEQVTEKPVIKKKALKCPFVETAFLPDKEREEE IARETVKLTQEWHAEQERIKLQKVVVAYSYWNGNGHRRHLEITKGTTIRQFLDTVRQS LSKDFHDLRGVSTENLMYIKEDLIIPHVRTTDRVGNIPMDPNEFSSTCRFTT H257_01412 MTTRTADGVEVLRDRRRVFSPDTRHEVPTGVEAKFRQQRILDHT NHAPLDTVLPSRPTYVPKIPESVKSRSLREKARIAGDAPAVRSVVRRCSSQVQLKLRD VVSDAEEMTELLLRLNLDNVAALHDVEDRRMTLDDFLTVVVDCSTQVKGHADSTVTQL CQLFHKIDHDEDGVITWTEFTSFVLETMRGYSEQLLVDGLHEYNKTAVPTPIVVNRGM EKLVEAHDGHSFIGFEQHSKVFQVFDASRNGAVVATSAPCTGGHLVDVTTVPPWDYIV GTTTSTTINFWDGREYALRQQLPTTEVQTVVRWNDQHKLLYSASTTGQVRAWNCETLE CMGSVNLKSKHSVTDMTFLSPRANAVVASLAPTISILDISTNKLLNLLVGHRLGVTCI KYSVAYRYIISAGLDHDLRLWNPYVETSIATLLGHRHQVVGLTWVDDSPEVHSVDDAG FLKIWDLRTYRCIQTLCTLTATSATRSADAALKPTSAMVYLPRLNRIALASSRVDFHD AVLWNASDENALEKETPSMALFMPSSLTILTTSGRHVRVWDAQTGQLTYNLRALVPTT ISAGCYGSGPVAFLGTQAGMLYCLNVVSGTLVRSRGVHTKEVSAVEMIESRDRTLARR VVSTSLDGTCVVSDSETLALVHSMNHWHGINGHPLTRVAPTDNVSAGVPHDYFVPQPT KAAYSDYAIDCLKRIFAPFDPHKTGEIAASHLTALFDAVVSLNRRSGQRNPAYLTKIV SKRPPTVTFVDFLMLVHDTWHGTGYVTSDVGCVAVSHQYNVIVTASIDGHFCVWHGSK CQPLASSPDGASAGITAVVFLEPHPIFAVTDDQGGLELFAIPPHPLRFERLVHTTCAA TVHSLAWCLPRTLVTGDDEGHVTSWRIDGLFAPTTEDAEPAHISDSRRTAHELMQTKV SRRRGRRRCTHQFDYMLEGQVSVGATWPAHVDALCTLHACNGVNHVASHLVTCGWDGF VRVWALLEERLVGTLIRGPQDNPNFDDNVAWGLTFRHAATNGKVDVHAEAMRFLTACE GKPATTTPVNRSPVVVRDPAEVKKKQTRPLRRNVHSAGDTVRRMPTDKRRQDNITLFE DGEFVISPLGTRYAMRLQEALDSLEPTTASRRPAKKVDPRIQRIQLALKILQPAKSQR CLRLPLPSPRLQDNGEVLLQTLLSKEQRLSTMNLSREQQNELLFVGFNQDYGCFACGT DTGFRIYNCDPFKETFRRDFSSGGIGIVEMLFRCNILAIVGGGRNPRYPPNKVMIWDD HQSRNIGELSFRSEVKAVKLRRDRVVVVLQNKIYVYNFADLKLMDHIETITNPKGLCA LCPSSTNTVLACPGVSRGTVRIELYDQRKTTLITAHEAELSQMALNLEGTRLATSSDK GTLIRVFDTQSGQLLQELRRGADKAEIYSVCFSPNSQYLACSSDKYTVHIFALSQDVA GAEGLPAPPLSASRTNSGRYMSGVLTQSTPLSKEDDNTENSKSSFSFMRGLLPKYFSS EWSFAQFKVPETRTICAFGMDKNTILVVGADGSFYKAVFDANGECERTSYSKFIQADD ED H257_01413 MEKYTRIRLLGEGSFGRVFLMREKAEDGGGLVCVKDIPVLHVSS SKKTRRGGHSSSDGLNEAHLMQKLRHPNLIAYRDSFESTNHRHLFIVMEYCSGGDLHA KLQRKQAKHCLESETNVCLWLVQLCLGLHCMHHRRIMHRDIKSRNIFISHDGHLVLGD LGISRELDRDDLAHTTVGTPNFMSPELLDGTYSYASDVWALGCVLYEMCTLHFPFEAK TTPALVAKICAGDYAPLDRKFSPDLRRLQDELLAVDAWRRPSLQSILTRDFLRPALEC YVTDVVKCGSKSHVDELRTQLHQLQLGCVWTQVHHQLKPPPNAARSAAKSIIPPNPLI PAHDYKLEEDMLQWLENERQRHLILVLERIKQARCNASILSPRPMIPRTVVSPESPRC RPPPTPDPEWRPPVQSKPPSSTSFLLSAHLDTSTCFSSAFRKGVNLTPHAKPYLAQAC KDVRVLRRSVYAQAAHARNHVAAHMVLLSSPPKGLPGDSIEDAAVATPDEVDAALLQY QRDVERRLRRHSAINQ H257_01414 MVAANKIVVCDNGTGFVKCGFAAENFPRHVFPSLVGRPILRAEE AINRDVILKDVMCGDEAAYVRSNLQISYPVENGIVKNWDDMEKLWDYTFHERLQVNPK EMRILLTEPPLNPKANREKLVEMMFEKYGFEGTHISIQAMLTLYAQGLRTGVVIDSGD GVSHVVPVYEGFVPQHLIRRLDVAGRHITQYLIKLLLLRGYAFNRTADFETVRQIKER HCYTALDPVVERRLALETTVLEETYELPDGRVIKLGRERFEAAEALFQPHLIGVEGPG LSDMLFEMCQKADIDLRTEFYKNIVLSGGSSMYPGLPSRLEKDIKDRYCAEVLKGDKA RLSKFRLRINDPPRRKHLVFLGGSVLANFMKDVDEFWISKREYDEQGVRCIDKLK H257_01414 MVAANKIVVCDNGTGFVKCGFAAENFPRHVFPSLVGRPILRAEE AINRDVILKDVMCGDEAAYVRSNLQISYPVENGIVKNWDDMEKLWDYTFHERLQVNPK EMRILLTEPPLNPKANREKLVEMMFEKYGFEGTHISIQAMLTLYAQGLRTGVVIDSGD GVSHVVPVYEGFVPQHLIRRLDVAGRHITQYLIKLLLLRGYAFNRTADFETVRQIKER HCYTALDPVVERRLALETTVLEETYELPDGRVIKLGRERFEVATIIIIVTPHVICPCS CRFCRRRKRCSSRT H257_01415 MPIVAVGDKRYELSEVLASDRLDALFSDAWTGSRVWNASIFLSK HLLRLHDERQFITTALQSVIELGSGCGLIGLVARDVGAAHVVVTDQAEIVDLLSANVA QNTTASSGTGNVHAAEFTWGSPTFRSLWIDNTPFDYILVSDCINPIYGVDSWRNLARS IRDLGSSTTVCYLSYEERGDNAALADFHACSRDYLTHELVLQEGKIHLYRITQKDLRE ISSN H257_01415 MPIVAVGDKRYELSEVLASDRLDALFSDAWTGSRVWNASIFLSK HLLRLHDERQFITTALQSVIELGSGCGLIGLVARDVGAAHVVVTDQAEIVDLLSANVA QNTTASSGTGNVHAAEFTWGSPTFRSLWIDNTPFDYILVSDCTYSEHRVQLKGCMYTV GINPIYGVDSWRNLARSIRDLGSSTTVCYLSYEERGDNAALADFHACSRDYLTHELVL QEGKIHLYRITQKDLREISSN H257_01415 MPIVAVGDKRYELSEVLASDRLDALFSDAWTGSRVWNASIFLSK HLLRLHDERQFITTALQSVIELGSGCGLIGLVARDVGAAHVVVTDQAEIVDLLSANVA QNTTASSGTGNVHAAEFTWGSPTFRSLWIDNTPFDYILVSDCTYSEHRVQLKGCMYTV GINPIYGVDSWRNLARSIRDLGSSTTVRWVP H257_01416 MTCGTLADLLAKIRAGAVDMKPRTSLPSSTSAAHFSRPPQPQLL VVQELDEDDWEDDQDCSDVVVSIAPKQLASPQVVAMASTDKSSESKTCIDQAIALKRK ALDMEKSRAFQEAMELYADAGHIFLRVGRSCPSGSMQNALKMEAFSLLTQAERLARWI ENSKFASCRESVVEYDSQMSMLAAPHMRHVPRCSYSQQTPMPGSSAPRP H257_01418 MPSTLEEQLAKLRAGNAPSPPSRVQFSPASFLAIPHHDEICEDD WDDDEDVINPTPNTQESTIPVAPPPCVINPPPTIHPTSQSNVPRAQRNDIVYQQQLYQ RAIDITKSAMAKERGRDYAAAVDAYVDAGDIFTEIGRNELDPATQRTLKKKAFSLLQR AEALADWLDSHAAPSIAEAANVAAMASAEAETEVQATEKHIEDMKQELKQLKYTSQIM KADDASDLGEATKASVLPEESALDSMKRAVVNEIHGLLKLPEISTFRQFEPLGSSADK EQYAQDLKAQVDHLHKELQFEKASHLLATAMRKHKYSKLASDVAEQQRLQAEVAQLRQ ELQVHQATLEVTRHSIVQITQEKLRVEAASAQQVQSLQSELQSLAKDTTSSRSSSRVD RTKESRMQWFQGPRGLGKKDDDSEDRSRRQSEPSVGSPRHQLSDTEGDSSSIWL H257_01417 MTFHRLGIMLSTALLIQAVSGTSRFDGLGGLDHGTCLQGLEATV EIEVDGQRHVFAPMWQRPIAEATAFCGTLRVYQSDCASLVAKQAQDKLSDVHALASYL NCRGFHSFEGHTSLFPVSVQILSSLVAEPASVRRALQVGFNAGHSTMTMLRANPNVHI TSFDLAEHPYVFEAAAFFDVVFPGRHRLVPGDSTTTIPIFPHVHGEDAPFDFVFIDGG HSYEVASADLRNCNRLARAGTIVAMDDVAGVSKSFWTDGPTRAWDDAIASGLVVELGR VESGCGNVTPTWVLGRTQTHGDGLYGIAFGMYTGHVQNLVAAHV H257_01419 MKRQQVTLDIDVDGQMHTFTPSWEVSLSAQAKEFCDALNVRGGG CDTLLAQEVHTKLLSNDAISTYLMCRGHSSVEGHTSMFPVSRHVLSSLASDATTIRRA LQIGFNAGHSAMTILTANPTLHLISFDLVEHPYTPKAAAFLDAVFPGRHKLIPGDSTK TVPEALEDADAGPYDFMFIDGGHTYDVAAADLRNCMRLSRAGSHTSLE H257_01420 MSYTASPPTWHAHKSRWGATCTSKTDPYAALATPTALNLSRSIT ATPFEPHATYAAVLERAMACASPWKRRLAVRIVGRRGSVKSTTTSMPESHATYAYRSW RICTALARKDVPPTMRGSVEVHVWVRVSKKHRPMRLHATTATVAVSATPTAGTANCPA T H257_01421 MLRRGLQRTFATGSWSGGRSESSQSWRNSKPDSARSWKGRDASA RTPSGDGFRRGGGGGDGFRRGGGGGRKSFGASSADGSGANVVPEEVIPGEALHGIHGV RQALRCNMRVFHKLMLRDTNGQTTSAAAASKKATPEIDRHLKEIKSLALEYGIPVTYE SKWTLNHVTHDKPHQGVVLFADAVELPTFDPLVPPPTMDGRPPVILALDELHDAQNFG AVLRSAYFLGAHAVLTSARNNAPLSAAVLRASVGSSEVLAYERRLFETSNMHQALASC QQLGWTLVGACSGTKAVSSAAYEITSPTILVVGNEHRGLKSAIRRICDNVLTIPGTKR PYESTLGDLFRQHPRRSVKGGLAQRECGVGHLALSIASWGGFCTTKALTSQLVQYCAL H257_01421 MLRRGLQRTFATGSWSGGRSESSQSWRNSKPDSARSWKGRDASA RTPSGDGFRRGGGGGDGFRRGGGGGRKSFGASSADGSGANVVPEEVIPGEALHGIHGV RQALRCNMRVFHKLMLRDTNGQTTSAAAASKKATPEIDRHLKEIKSLALEYGIPVTYE SKWTLNHVTHDKPHQGVVLFADAVELPTFDPLVPPPTMDGRPPVILALDELHDAQNFG AVLRSAYFLGAHAVLTSARNNAPLSAAVLRASVGSSEVLAYERRLFETSNMHQALASC QQLGWTLVGACSGTKAVSSAAYEITSPTILVVGNEHRGLKSAIRRICDNVLTIPGSIQ DEASKVDSLNVSAASAILLYQLLHGVASAPLKP H257_01421 MLRRGLQRTFATGSWSGGRSESSQSWRNSKPDSARSWKGRDASA RTPSGDGFRRGGGGGDGFRRGGGGGRKSFGASSADGSGANVVPEEVIPGEALHGIHGV RQALRCNMRVFHKLMLRDTNGQTTSAAAASKKATPEIDRHLKEIKSLALEYGIPVTYE SKWTLNHVTHDKPHQGVVLFADAVELPTFDPLVPPPTMDGRPPVILALDELHDAQNFG AVLRSAYFLGAHAVLTSARNNAPLSAAVLRASVGSSEVLAYEVRNTIS H257_01421 MLRRGLQRTFATGSWSGGRSESSQSWRNSKPDSARSWKGRDASA RTPSGDGFRRGGGGGDGFRRGGGGGRKSFGASSADGSGANVVPEEVIPGEALHGIHGV RQALRCNMRVFHKLMLRDTNGQTTSAAAASKKATPEIDRHLKEIKSLALEYGIPVTYE SKWTLNHVTHDKPHQGVVLFADAVELPTFDPLVPPPTMDGRPPVILALDELHDAQNFG AVLRSAYFLGAHAVLTSARNNAPLSAAVLRASVGSSEVLAYEVRNTIS H257_01422 MADMNKKIEEIVVKAAGVAIIDDEGLLVADDEWTEEREELAKSL LDQDKKLVAPFWQSKYEKEAAKSWDLFYKRNSTNFYKDRHYLHVVFPDLAPKDSDSAD EKTWLLEVGCGVGNAALPLLEVNPRLHVVAIDFADKAVELFRCQPLFDPSRCHVSVCD ITKDPLPAIIDAEHGVNFALFMFCLSALHPDKMQAAVQKIADAVKPGGKIFFRDYGRY DQAQLRFKPGHKLSDNFYVRQDNTRAYYFTTDEVRDLFAAAGLIERENEYIRRQYANR SQGVVRFRVWIHAVFEKPV H257_01422 MADMNKKIEEIVVKAAGVAIIDDEGLLVADDEWTEEREELAKSL LDQDKKLVAPFWQSKYEKEAAKSWDLFYKRNSTNFYKDRHYLHVVFPDLAPKDSDSAD EKTWLLEVGCGVGNAALPLLEVNPRLHVVAIDFADKAVELFRCQPLFDPSRCHVSVCD ITKDPLPAIIDAEHGVNFALFMFCLSALHPDKMQAAVQKIADAVKPGGKVYETQHDMD TFSYHIVMNRSSFGTTDDTTRRSCASSPATSCPTIFTSAKTTRERTTLPRTKCVTCLR PRA H257_01423 MNTTNLRDALTTLGISAATPGVRGDDRRAILLARYEEATGTTTS QVMGKAPSTNQSVAGADAMNMADLRRELEQVGISTNTPGLRGDERRAELILRLQQHRT GGTSLAGSVPRGKASDAWQCSKPAENEDNQVSPTTNDRSQPRVAPRSGKLADSTGDDE DQVGVSTSPMKRSQQQKSQASRIKAEIQSIQKARSSAIAAALGQDTVAFYTQKLLDID HHKTEGGDTITRAAMDELLALETEMHEKLNAANDKELRVRELERLHPDHGVHVEHEKM QMLRGLQDDIARQGLQGFKDLVRIASQDDQPTPQHLSKPPPSPGKSAKAAHTRVNPLL GATMTTLDDLRRQTLPPAVLPATQRTFDPLEYRDRVATFHGASVVPTAPESQTRADKL GRKAFFLHKIKGDFVQAEAAYTQAIDANPTHGVNLGHFALFLDHVVHRVDDAEEFYLR AIDATADNALHLSHYANFLQRVRGDTNRAEAYYVRCMADFPLHASNLGNYANFQRYAK DDLANAEATFVKALKVDPTHVNNLSQYASLLSEMGKLEHADAMYQKAMHMDKDNVTIC GNYANLLVKRHKLSAAKELYLKAMALDRENLHAQQNYALFLRDHPSMRTGETRPIKMH PRDRWVQLKQDTSLLVKASTAFRIK H257_01423 MNTTNLRDALTTLGISAATPGVRGDDRRAILLARYEEATGTTTS QVMGKAPSTNQSVAGADAMNMADLRRELEQVGISTNTPGLRGDERRAELILRLQQHRT GGTSLAGSVPRGKASDAWQCSKPAENEDNQVSPTTNDRSQPRVAPRSGKLADSTGDDE DQVGVSTSPMKRSQQQKSQASRIKAEIQSIQKARSSAIAAALGQDTVAFYTQKLLDID HHKTEGGDTITRAAMDELLALETEMHEKLNAANDKELRVRELERLHPDHGVHVEHEKM QMLRGLQDDIARQGLQGFKDLVRIASQDDQPTPQHLSKPPPSPGKSAKAAHTRVNPLL GATMTTLDDLRRQTLPPAVLPATQRTFDPLEYRDRVATFHGASVVPTAPESQTRADKL GRKAFFLHKIKGDFVQAEAAYTQAIDANPTHGVNLGHFALFLDHVVHRVDDAEEFYLR AIDATADNALHLSHYANFLQRVRGDTNRAEAYYVRCMADFPLHASNLGNYANFQRYAK DDLANAEATFVKALKVDPTHVNNLCTLTLNANVKLYCVWLYVTAQYASLLSEMGKLEH ADAMYQKAMHMDKDNVTICGNYANLLVKRHKLSAAKELYLKAMALDRENLHAQQNYAL FLRDHPSMRTGETRPIKMHPRDRWVQLKQDTSLLVKASTAFRIK H257_01424 MELNQEQLVHELERKHMATSEALLASKARADALEAEVHSLKADA EVHLKHLQGAKSAEHELSIELAQITREKAQLDVQLHSALTLSDQRLEEVRAEREHAAD QAKKLVELQTSETRALQAQAHLEAKLSPLQLEVARLHKDREHDKVQVEELQNRLVEKS KALTEFRLKHNKKLSEVEHQNASLTEDVDELKKSLQRVTADNAALKDELRIARENAME LHAEHSRALGHLEKELSAQHRLTDLYKDAASDSNLQVESLHAKCETLQTALQEAEDAL AEESQKVREETQAHTMHLFTEQTQVSEKKIDELDVALRKALARVAELEAAQSAAATQV ATIADLSPTAGDLHLATHGLSPTDMYNRIVELDKQVLDERATKETLEKYLERILNEVT SKAPYLDRLKKENARALAAHDQLSERLDVCMHDLSRAREHLRGAQADKKAVESERDAL QQSVADLSKQIQQLLFQSLQTQSSNTTSVVVDSSESLVVFRNVDELQTRNQQLLRIVR ELSEAKGGNSTGGSVVVTIEPDDGGMCTSAQWEKVQDELTTLRAEREREQEMVAAVVK QRDMYRVLLSQADSRVVDHHATPSSSPPRPSSLLSPTESHEARMLRELRLEYDDYKKE KQAVVTELRDTVDGLRVECSKAKMGGLEANVHVKTLTDKVAIVEARKADADKELARFR SKYDQSNALLIQTQQQAADLNAKLDASVAEVKALHGDLQKTKSELAFLTSQDERHRVE LATVRAEQTSQLKLMEAVHRIEAHQTDRQAHELDRLSALATGLQVKLADKQRALDDAQ SLASAKIAELTLEAKQVRKVLDAEKSAHAAVREVKAGLDEQVKALNGQIASLSQEVAG LKVQLKKGAGVAAAERVSSLETQLHDAKQELHAALAAKQTAELHADQYKVISEANEKS FAVLSASSEKLQAEHDAATKSIADELATAKHDLAELQTKMLNNIAEENKLRDEMDSWD QTKREELRQSHDRVVVAESQLASCKQELATVKDSLAALQADLTTAQDNYSRELQAHAT AEQTLNDLRKQVDAAAKATKAAEAQRDELQHALDGVDAQHTRVADTLKGALAESQAAV ESLTEQNALLHSQLDVLTQDFNRLHEAATLKAFHDRDVTPETEHDKQVRELRGIISSL RRDVEIAHSKSDVAKQEVLRHQTQVKSLEKALDRLKAEYQVVSQEQAKILTASEQTKR SAQLDTLSLLRESNAMLRDENDKNLAKVREKDAVIASLEAKIQPLQTSENMLKAQLQA LKEDVESVTQANKRWKDRVNQLIEKYQQIDPAEHEQVCLERTQLKARVDELVAATQAV STEDKKRIEELVTEKKHAEERLARMRLFVKDWQQQAKAAKERVAELEATKSETESNEK AALEDKVKALDDQVKALDQKAKLSDERLKLAEAELKAKLESETKKNNALKDMNTKLLQ RCNAFRQEIAELKTATRVLEAPEPTSVAAELPTTKEDAAVEAEQPPPVAAPVVTRPLP LVTEPVVEAPAAAVNPPLPPAESPVVVPVAAAAAQLPSPTPPVPPEEPKAPPAADDPE KIRELALRTLLMKSKKLAKEPTPPPVPLVAPPAAPSPAEVVATTDVAPTTEALSVDVS TAVVSAFSSSSSTTSIATTSALDPSAPVFTSGFGAFAASKGLASFGAFKPKSAEATSA TAPVAAAASAAKPSNPFLNLAPPSASGSTPALVFGKPNITLPVPTLSDASQPALVVDR EAEELKRQERALRFNAAAAAAAEKSNKRPAAGAADAPPPTKKANTEAVEDEEKDAAPD NQQTPPGAAD H257_01425 MDASNPSASMPLPKADVPAATSTSKATAAKNKGAEVVGKYLDSI NKTDIVRINGYLRFANIGVGFIFGLMGLVGIFSVSGYESFLVQLYVIVLSGLLVLFEF REKFPKLESKTKENFGFMFTGFGRSVYILLIALLSFTQGTFGIIMGIAFFFLSAFNFF IMWNHPQYHSVMRGPSTTTASPDAANPAVEQRVADAAYVPTSAGVAV H257_01426 MEMALERHQRRAHPARDGEEVVDQHHDRVVTDALPCEHALEPRG VMERPTAMEIHADEGHDVASQFHTSPAQSEGKRTAKRERRAAHPHKQHAHNVVQTRAH DGPNGAPWVHGPVLEGAAADRLRKPLEHPLGTLAAAVCNRANDHVLGIKDGQVIFLWV DGGDPVEEYEVPNNNHRLQTCKHDVGSRLVCQEWRLARARCHHGHVGRRRVRDVGLRR GAVID H257_01427 MQISQQEVDQWRLDRRIQQAVASSEVAMKRLRLVSDKPLWVATQ PPVMSRREFWNQLHSALPSEYKSERSRIRTNKHAETPNDPFGVQTVKSCNADLATQLA QTMNHLSQRKKAPWTKRSNASGKAGSVKQGDKSVGGRAHYRHIDPDLESYHSKWHEKA SQIHAIETQLQTYRVTRESGDIERQHDAWVANRQWELLVEDVVREELGDIIDELLEES DAGKELPHDDDQVVEDALHGGPMHEVLCQKFNVDVSRTLLQCLLPATWLNDEIVNFWF QMLTERDASAHDRHLKSHYFNSFFFAKVSEGGYNYVNVRRWTRKIDIFAMDKIFVPVN IRNVHWCLAVIFMQEKRIQYFDSMAGTGSQCLDVLLKYLHDESQHKKNTPFDATGWEL VGTTDDTPQQDNSFDCGVFTCMFADYLSLDRPLTFSQRDMKFYRRRMVLRMVHGSIPQ VEPFDV H257_01427 MKRLRLVSDKPLWVATQPPVMSRREFWNQLHSALPSEYKSERSR IRTNKHAETPNDPFGVQTVKSCNADLATQLAQTMNHLSQRKKAPWTKRSNASGKAGSV KQGDKSVGGRAHYRHIDPDLESYHSKWHEKASQIHAIETQLQTYRVTRESGDIERQHD AWVANRQWELLVEDVVREELGDIIDELLEESDAGKELPHDDDQVVEDALHGGPMHEVL CQKFNVDVSRTLLQCLLPATWLNDEIVNFWFQMLTERDASAHDRHLKSHYFNSFFFAK VSEGGYNYVNVRRWTRKIDIFAMDKIFVPVNIRNVHWCLAVIFMQEKRIQYFDSMAGT GSQCLDVLLKYLHDESQHKKNTPFDATGWELVGTTDDTPQQDNSFDCGVFTCMFADYL SLDRPLTFSQRDMKFYRRRMVLRMVHGSIPQVEPFDV H257_01427 MQISQQEVDQWRLDRRIQQAVASSEVAMKRLRLVSDKPLWVATQ PPVMSRREFWNQLHSALPSEYKSERSRIRTNKHAETPNDPFGVQTVKSCNADLATQLA QTMNHLSQRKKAPWTKRSNASGKAGSVKQGDKSVGGRAHYRHIDPDLESYHSKWHEKA SQIHAIETQLQTYRVTRESGDIERQHDAWVANRQWELLVEDVVREELGDIIDELLEES DAGKELPHDDDQVVEDALHGGPMHEVLCQKFNVDVSRTLLQCLLPATWLNDEIVNFWF QMLTERDASAHDRHLKSHYFNSFFFAKVSEGGYNYVNVRRWTRKIDIFAMDKIFVPVN IRNVSTPNLYRL H257_01427 MKRLRLVSDKPLWVATQPPVMSRREFWNQLHSALPSEYKSERSR IRTNKHAETPNDPFGVQTVKSCNADLATQLAQTMNHLSQRKKAPWTKRSNASGKAGSV KQGDKSVGGRAHYRHIDPDLESYHSKWHEKASQIHAIETQLQTYRVTRESGDIERQHD AWVANRQWELLVEDVVREELGDIIDELLEESDAGKELPHDDDQVVEDALHGGPMHEVL CQKFNVDVSRTLLQCLLPATWLNDEIVNFWFQMLTERDASAHDRHLKSHYFNSFFFAK VSEGGYNYVNVRRWTRKIDIFAMDKIFVPVNIRNVSTPNLYRL H257_01428 MTTVPDDIAHGSKAVTEGLKKMYISKIKPLEKKFAFEEFHSPLL SEADFDAKPTILMIGQYSVGKTSFIEYLLGEKFPGSRVGPEPTTDRFCAVMYGDEERI VPGNALAVSPDLPYGGLSMFGTSFLNKFEASQLPCKQLEHMTVIDTPGILSGEKQRIS RGYDFVQVAKWFAERADMVLLLFDAHKLDISDEFQRVIEVLKGHDDKIRCVLNKSDQI DQQKLMRVYGALMWSMGKVMKTPEVMRVFIGSFWDQPLMYTENAALFEKERNDLMSEL RNLPSNSAVRKVNELVKRTRLAKVHAYLIGYLKEQMPMMLGKEKKQAELIAALPSVFR EVQKKYNLPPGDFPNLEEFQKKLEDRKFDLFNKLSLKMIQDVDELMARDIPKLMMSLP KKNGSRVDSKEAINPFLSKTMDWAVHPFKEEYDTIFRTLTVNSMGEASGMACMTPLLA TGCNQDQLKVIWDLADVDKDGFLDSEEFAVAMYLCHQVRDGHDLPATLEEHLIPPSFK R H257_01429 MDRMIPLTASVEVLSLLANSVGVEDDEVDNDLVDNDVLEDGGLP LRLHADVARGSDSDVEDGETEKKRYTVQEQRDVIRKIHESNQILKQELSIEWREAKAM LGAEKQAKLKRLQKQSTSYSHKIEQEKRAIKKLEESVAARHRELQHLREENVRNDKLE SAAAATRRVRALENRLEISLVKKNEVESINKHLRQQIDKVRRDRIVFDGIYKKLERET YEYRHRLEVATAELAKGIAAKEHMDKEVQDLQLLVESEQVTYERDFKELRMLIATSKR EATDQARAIELAPETEVVSGQLSSDQESTIHRSSALSSWKIAYDKALSTASNAEALRY QQMFDTIYAETGIPDADKLAQEIQRKDEDNFKKFKHVEGLHKETDDLRVEIEKTTAEL EAYKLQEGIGTNVLDKATFRALSAKLAAVEDANKRLDAEFDETGVRLARVKATVHSLF TMLNQKSSEKGQGGAAVHGVSLNDITDANMLEYLQVVETCIISLLLHNNDGSGGATHG PHVLAETSPYANAKKFKQALEPPSCHDLTGSSMALATSRTYGAVGRTSARAGTPTTHR PHNVVVRGGGGSDEDERALTYDELKESAQAAFATATGHKTNHGATAHVVMMSTPSPPT S H257_01430 MSLTARLPLKAVTGLVRKRSTSRPLLETIKHAGQERSARTDAGA SLLVAGGFVSVVAIAASLSDTFVSHSDALLSKPKYFDDEYDLVNARSLGQGAFGMVMQ CVNKSDHSTAAVKVVADGYDEAEREKNALMCVEHAGGHPNIIQLTNYFTHDGFHYMVL ECVRGVTLFDFVAEHKQLTSREAVDVLTQVASALAFLHAYGMVHCDLKPDNIMVTRDQ STISSSIAVKIIDFGSATIPHPRESSRMPLKRALTLSSAPLSGTKTYWSPEMLQGPKV DHVRPSMDMWSVGCLLYIMLTGRHPFDPRGSLSEEMVLHNVVHAAVDLPSNWPPQLTA IVAQLLDKDPSTRLTAPDLVAALQAMSC H257_01431 MGRPTAGTILRMKKTDVKPKKKTPSLKNKIRNLERFLKKDTLPE DMRLAKEEELKELQRQTEGKQEEDKSREITLKYKKVRFFDRRRLMRSLKKLKRQLDAV ASNEADNTSEIEKEFQQAKEDMMYVYYYPKGERYINLFPEAKKPHSNEDLARQTTLKK AALKAFKKDADHTAFDQYCFNDDDAPVDDTKKVEKKRKVGKDGKPKRKEKRRKSNTER VNVVADMDDAVAGAGGEDDFFL H257_01432 MAKHHPDLIMCRKQPGIAIGRLCEKDDGKCVICDSYVKPQTLVR VCDECNYGTFQGRCVICGGPGISDAYYCRECTQLEKDRDGCPKIVNVGSSKTDLFYER KKYGFKKR H257_01433 MDSPASGSENVWGDIRAEIPTVTKKKRRLQKKAPGAPKRGKSPY ILFSMDKREEIKSTMAPNAKVTDVMRAIADAWSKMSEDEKAPWKTAAESDKQRYEEEM ATYDGPLRVPNKRAKKHPNAPKRASSAFLFYSQVMRPRIKSEHQEMKNTEISKQLGEA WGKATKAQKAPFVEKELVDRARYKREMEEWNNAKPERDYQEAHQQQYNAQYGFDQLGT YDEAYATTDV H257_01434 MEALVGQVHLPAEIQSMTERDFLAKTNVELAFGLTRDEAIARRL LHGVNRVTPPVNCPSWVCCLLPCIMRTEGMRLYTNHSPKEVNVMRSGKKLCMDAASLV FGDVVIFKAGDTVAADCRLLECSEDFTVDLSALANEKLPRVCSVECTDKENGVLSRNM VFMASAVVKGDAIGVVVATGDNTVWGQLISNHKWPHVTDVSNAESERFIGNKA H257_01435 MTTHMLKLVLCVCMALVAQLAQSDPRESETSIGFDDGDLNTQSL VHTKRGYSLDLEHSISIDGAVGGFSSRGEVAIDFERARPTVTFPNKVVLNDAQRAAFQ KLSSQNGFYTVRARSQPGNPKSAYVMASVPVCFLVKNRFREDFSFHVNDVGHLISIEF RTPSISPAECASVGKRVIKDASFSSTGSVAVPIDGPEVPRIIAASTAAKVAIPPGVQP VRDENAPPAEESQSFFRKYWYIIVPVLVFLVSSGGDAAPAAAGAATPVAGGRR H257_01436 MPDITATAAAPILGKSGKRICCSCPDTKSVRDLCVLNNGEENCA DVIEAHKQCLRAEGFKVK H257_01437 MAADLSHVLTTSGEFGASYTQRDLLIYALGIGCGHYPHGERDKY VDAKFTTELRDQFAAFPLYPVVLLFKGTSQDTVAYPPPNLSWFPDGIPSFNPAGTLHA EQSIEIHRPLPSTGAAVTCHRRVVSFHPKGATSALLETEYKLVDESTRVPLCTIVMSS FLRGLESRFTGVGPKASKKPSMPHRKPDASLALPTWPSQAFFYRLSGDYNPLHCDPDM AQALGFQEPILHGLCSMGVAARALLHLCCDNDPTAFRKMSVRMTKPCIPGETLETSVW RVEGAPMVHFQTRVVERDVVVLDGGEFTFGPSARL H257_01438 MDDDILSRHEAQLNHYAVPVHLREEAMRKVIEKEFSAPWTMQDN AVVVATEALVPEADVWVLDHVWLFQTAKDAAEQLKANDSLREDMAAIAGHFAQQPSSA ADDVDALVGWIVVHLVHCAYSIKFGHTASDLYHYVLANLGSMLTCAKATADINIHVVP LFYMDEGRLVSLMWAVQPIAEGAALVRAHATKISLIQLGKQTYWESRYEDEDEFDWYC GYDHVKDVLRRHVRPSQAVLLAGTGASTLPIDMAQDGFTNVVAMDYAANVVDKLRTKY ASTHVQFVQADMTAMTGFEDGSFDCILDKGCLDTMLLAPETQVHQANVWKTLTSDNAD EFPDAAAAMREFMRLLSSGGILLLITYGSPSNRMGLLDWHTNDTPGFLWEILECLELS PDQTSRGLAQPFFVYVLQKQAQTPLERQD H257_01439 MSSPRVARTVYRELLRHAKLMDKHAAVKALISPTEVSSNARCQD AVSALLRQKMYYLPYASAADVVRNAFRSPSSAGDLGAAFMAMRFTSEKLHVSRQYGLL NEPPFPPTRTYDLLSTSPRATSPSSSSVAITTQIRSGVFLIAHPMLFRPFEQSVVLIT SHGETGTTGFVVNIHSDKKHTLWPNYRLDAQVQPLFGSHPVLYGGPVGGGSVQFLHSQ PNIGGIAVDAACPDDSSPLFVGGNLLDFAKLPHSKEVVFFNGYAGWTPHMLEKELDMG SWIMVTAPLSFAIHPPTPNLWRHLLKQLGHEYDQFARIPPNVDFTVHVS H257_01440 MTPLSTADGRGASVVDPGIARFQAVIRGNRSRKIHVERVKQNLA WAPFVPCSHDAVDAMLRLANVTAEDVVLDIGCGDGRIVFAAVDAPFSARHAIGVDIDP ALIAQCQHMQHRRRPEIPANNVTFILDDWVHVDMSLVTVVTLFFLPHPSIATDLRAKC QPGTRIVTYVFEIGEWTPVATGVTVPFLKEHGESPLFLYQI H257_01441 MSRRNYAAKGSGNSGGPSSNNPRSGGGPPRGQPPMRQNTSNSRD SDDDFNQQNNALLGVPVWQDSNTDIQNGSYLEAGTPHRSAPDNSRQPPRHQYSQSNPS TRHHYAQQQLEQQPYQAPQMVKNVANPNRVLEDVHRQRQSNAIAVNAVPATTEEAFRL IAVGVTAEGEKRYESAVRSFLDGGEMLCTVHEQETDMHVRSLLNQKATQVIAWAETLN RWIESGRVGAAPKRDSFKVGVDMVNRLGTCAGPVSSHSPAELRTMYYTPCANKVVSNF TNEGYRLHCIEEGRSPQLLVVITMYNEDEVEMYSTLKKVANNIEHIKSKKLPGYEGDD SWKNILVCVVSDGRTKANKGTLAFLRDVGVFDEDAMNIMMVGVDVQCHVFEFCVQLKK GKDIADSIASKHLYPPTQVVFALKEHNAGKLNSHEWYFNAFAEQIQPEYTVLLDVGTM PTARAFYLLLAAMELDPQVGGTCGEIAVDRPIPHLCNWVIAAQHFEYKISNIMDKSLE SVFGFISVLPGAFSAYRYKAIRGPPLQAYFKSLTTPMHELGAFQGNMYLAEDRILCFE LLARKGQRWTMQYVKDAIARTDVPTDLTALIGQRRRWLNGSFFALVYTILNWGRVYTE SNHSYIRKFFLCIQYAYMTANVGLSWILPANFFLVTYYLVIIGFLKDNWGYIPTDKIS PYAKQIAVQVFSLLYGSSFLVQLVAGLGNKPKHIKNLYRITAVFYALVMTLTTVIAVG FILKPIIDKFKAGLKVADIQGIMDNFNLVDAAAFVASAGVFFLASALHCELHHIVLSF VQYMALLPTFVNILNTYSFCNLHDLSWGTKGLESSDGHGPKAGGGKGNFKDAVEKKKA EEARKAKEAKIKDEMEGAFQRFRSTLLIFWLLCNLGFAYTIIVLDVNEATGQQGLAYL KFLFYTVAVFNLVRLVGSILFLFSSFKLKIFRCCIRGTMEERIRAKAKRRSQHPPGHL V H257_01441 MVNRLGTCAGPVSSHSPAELRTMYYTPCANKVVSNFTNEGYRLH CIEEGRSPQLLVVITMYNEDEVEMYSTLKKVANNIEHIKSKKLPGYEGDDSWKNILVC VVSDGRTKANKGTLAFLRDVGVFDEDAMNIMMVGVDVQCHVFEFCVQLKKGKDIADSI ASKHLYPPTQVVFALKEHNAGKLNSHEWYFNAFAEQIQPEYTVLLDVGTMPTARAFYL LLAAMELDPQVGGTCGEIAVDRPIPHLCNWVIAAQHFEYKISNIMDKSLESVFGFISV LPGAFSAYRYKAIRGPPLQAYFKSLTTPMHELGAFQGNMYLAEDRILCFELLARKGQR WTMQYVKDAIARTDVPTDLTALIGQRRRWLNGSFFALVYTILNWGRVYTESNHSYIRK FFLCIQYAYMTANVGLSWILPANFFLVTYYLVIIGFLKDNWGYIPTDKISPYAKQIAV QVFSLLYGSSFLVQLVAGLGNKPKHIKNLYRITAVFYALVMTLTTVIAVGFILKPIID KFKAGLKVADIQGIMDNFNLVDAAAFVASAGVFFLASALHCELHHIVLSFVQYMALLP TFVNILNTYSFCNLHDLSWGTKGLESSDGHGPKAGGGKGNFKDAVEKKKAEEARKAKE AKIKDEMEGAFQRFRSTLLIFWLLCNLGFAYTIIVLDVNEATGQQGLAYLKFLFYTVA VFNLVRLVGSILFLFSSFKLKIFRCCIRGTMEERIRAKAKRRSQHPPGHLV H257_01441 MSRRNYAAKGSGNSGGPSSNNPRSGGGPPRGQPPMRQNTSNSRD SDDDFNQQNNALLGVPVWQDSNTDIQNGSYLEAGTPHRSAPDNSRQPPRHQYSQSNPS TRHHYAQQQLEQQPYQAPQMVKNVANPNRVLEDVHRQRQSNAIAVNAVPATTEEAFRL IAVGVTAEGEKRYESAVRSFLDGGEMLCTVHEQETDMHVRSLLNQKATQVIAWAETLN RWIESGRVGAAPKRDSFKVGVDMVNRLGTCAGPVSSHSPAELRTMYYTPCANKVVSNF TNEGYRLHCIEEGRSPQLLVVITMYNEDEVEMYSTLKKVANNIEHIKSKKLPGYEGDD SWKNILVCVVSDGRTKANKGTLAFLRDVGVFDEDAMNIMMVGVDVQCHVFEFCVQLKK GKDIADSIASKHLYPPTQVVFALKEHNAGKLNSHEWYFNAFAEQIQPEYTVLLDVGTM PTARAFYLLLAAMELDPQVGGTCGEIAVDRPIPHLCNWVIAAQHFEYKISNIMDKSLE SVFGFISVLPGAFSAYRYKAIRGPPLQAYFKSLTTPMHELGAFQGNMYLAEDRILCFE LLARKGQRWTMQYVKDAIARTDVPTDLTALIGQRRRWLNGSFFALVYTILNWGRVYTE SNHSYIRKFFLCIQYAYMTANVGLSWILPANFFLVTYYLVIIGFLKDNWGYIPTDKIS PYAKQIAVQVFSLLYGSSFLVQLVAGLGNKPKHIKYVPYNIVAYIYIECVTTWWWQQE LVPDHSRVLRARDDVDHGHRRWVYPQAHH H257_01443 MENGNATTGASAIITTPPTPTTTPPDTPGRWRESSDFVARYKFL YDRLLSQPPALPASVPSDVLLRLQGTNLNWTTLNTFAQRALLWDAGYVRIAGASFAKV LTPCGQGGTTIDTGASMASIAYRSSDLGVPLTSCVNAAGDHYFRLNASLPSQLRTPLK CAIEVFAATGTSNSSLWSQDTLPSTTIPELSAQLHRTSSATIAAIHASPFAEPAAATC PASGGSVVVPCSLVVLGTGWCRPEGSKVMTSWLKDLQVLASRTKAPTAQPLVTAPATK AITFPPLPPPNGRVGSLSTLNPSRDTAVIVIGGAFGVFALFVGVCFMRWFHRKLQSML EGSYVPTATPRPSVHHAQHRPV H257_01442 MLRLPGRRIAALGHIHRPMRHDAMALHQVALRQPVWMAVPLQHR PFFSWFGKDKDVPAASPPAVDAIAAVEDASTPPLSTKATKVEESLTQRQADIDYSIGK YAKLADGSVMARWGDSMVLTTVVSEKTVDATASPDFLPLSVDYREKYSATGIIPGTFK RREMGSDAEVLKSRIVDRIVRPLFPKGYNFETQVLSTVQSYDVDHDPVVLAVNSTSAA LVSSNIPWNGPIGCVRVVLVDGDLIVHPTAEQAKAATFDLLYAGTAHRTMMIEAEGFE VPDATVQEALRLAHRHIQPLIAAQLLLPQKPKREFQPAAVPDGLTDAALAVLPEVRAL LGSLASTPNSKKDRQALEGKCYHVVKSRLVEAFPEFTKDTPAVNSVAHDLIQLALRSN ALDGVRLDGRPTSAIRTLDMETSVLPMAHGSSLFSRGDTSALCTVTLSNLDLAMRVRS ALADGDNYETKRAFLQYEFPPYCVNETGRLGAPNRRMVGHGALAEKAILPVLPSVAQL PHAIRMTSETQGSDGSSSMATVCGVTLALLDAGVQLKAPVAGISIGLVSGGDLTDGVT PIEEYRLLTDILGTEDHYGDMDFKIAGSTDGITAMQLDVKLPGVPVEILCEGIERATA ARSEVLAEMAKVRVVPRANAPTTTATAITHYMTYDPSMRGVLIGSGGSTVRELEAQSN CSIHCKEGGLVEIVGKTEGDVAVAKRLVDEITFVYRRNQRYKVVVQSVMDFGCVVVPE FAYGTALAQDKAKQAFVHVTEMAHTPVKRANLTVKAGQTLDCWCIFAERDTTKLSLKA LIDPVTNKYLSDAEAIAQLTKHEDSVKRTRMT H257_01442 MLRLPGRRIAALGHIHRPMRHDAMALHQVALRQPVWMAVPLQHR PFFSWFGKDKDVPAASPPAVDAIAAVEDASTPPLSTKATKVEESLTQRQADIDYSIGK YAKLADGSVMARWGDSMVLTTVVSEKTVDATASPDFLPLSVDYREKYSATGIIPGTFK RREMGSDAEVLKSRIVDRIVRPLFPKGYNFETQVLSTVQSYDVDHDPVVLAVNSTSAA LVSSNIPWNGPIGCVRVVLVDGDLIVHPTAEQAKAATFDLLYAGTAHRTMMIEAEGFE VPDATVQEALRLAHRHIQPLIAAQLLLPQKPKREFQPAAVPDGLTDAALAVLPEVRAL LGSLASTPNSKKDRQALEGKCYHVVKSRLVEAFPEFTKDTPAVNSVAHDLIQLALRSN ALDGVRLDGRPTSAIRTLDMETSVLPMAHGSSLFSRGDTSALCTVTLSNLDLAMRVRS ALADGDNYETKRAFLQYEFPPYCVNETGRLGAPNRRMVGHGALAEKAILPVLPSVAQL PHAIRMTSETQGSDGSSSMATVCGVTLALLDAGVQLKAPVAGISIGLVSGGDLTDGVT PIEEYRLLTDILGTEDHYGDMDFKIAGSTDGITAMQLDVKLPGVPVEILCEGIERATA ARSEVLAEMAKVRVVPRANAPTTTVHT H257_01444 MDVAMDEAANVDRTEPASSLEDVAMDVIRVLMDEHSGSHALQDA LDVLQRLPFIVRHVVDAWRTSPCPSRNGAKILLQVAQDELFQDVADSLDVAHVIPFLR GSELPHGTKIALVTALACRQPVQEWFDEAYGILQADFPMHPSTLMQMTTLSRCLEMHK HPMSTTHDTRLGHLVQTAASSSLSTSSAAAWSPAIPASALSASLAILARWEKLHAVVW RLCVSKPFQILTASSVVFFSDVISLLWDAAGSLSLVPDIASISRRMVVEVAAVAAFSP LACAQAIHAACVAPSRPSKSTRLSLHRCRPATWILDVVRDCFHLAPPQEDNVMAWMGL VADISMLLFPTDHTLTAARSLWDVCLVECSRRCHVLLALASHVDSMPPSSPHYTHLRA YVVDTFASILKPPSTITTTTSFNLPSSHEKAMERRRRRQLGWTIPNDAMGIFMASITS VHMWADVFAICVADDSCQSYWVHALASERSALKQLVTDVLLLWITLGTTRGFHLAVCV SSDLQSIESATAQDFSDFLIQFDRLELLVSYLGDPVAAQSLPPRRRVFVLHVVDLCLV HADPDTTAHLMAGVVVMGRTLAASPLIAHSSSVVTTFLAHLESPHHTLDDTYQFYAHC KGQDDQFCDNIYYSVVLAEAWKPTFSPESRHQWHFLLTCLAWWANCTTSRGRTTNILC PWTFDPVPDALLEYVTPTPTRPFSSLRLEMLVRRYLEGSGQPNLAHLSQVLATTMIEH DTMRHDVAAVWRRFSKWMVVNACVPSLQTALLQEGGVRRAFFLPSVCPDLSLSTLFNH PRLCSRLVHLAATDPATHDDLRAFLDIPNNLVFAGVVSMILDLVADLQDLDAWKDLWH RQRSWQFDHAPSLPFGHVSCFGFVVGSCDTVYTLTPVTGGGWTRTVLPTTAPRSEPTL RFDFASWLLVMAHLTEDSTHQQSALHLVTSVYLPVQFRGCRLDLLREFVFVVATTDAP LPPWLTATLFSIPFPSSFSTWLRETLQHLVNRLEASAHDKIDVLSAALVKAFENASLL ERATGAMMQQACEPIWGSLWHSHAVFRSSEVAPYVIALMAGQYCLSPDKPTILLQLQA TLSHTKDFMSNIVEMCPNVIEKVERSWMYLYPTECLDVRRMLERLRNTPPQ H257_01445 MYRFLSVAAGAATIASASPYKNPPSNNYNGGKASSNYKATTFAP AYQIIDPSYATTILTRYQECLAKKCTTLPSAYAATTVEDNLGTIAAYTSCVTSCAGAE ALQTTQDFQALLGEVLSIGASQLKTVRKHLSGQDATFDAIAERIHIGESCCLPTDHFA EWSRILVPVTVAGIDTYIPPVTTPKPDDTYGGYGEYDNGYGGDDSYSSDNYDSQGGDY EDGADDYDESYPKQYGSNYQLLSAEERVLIEQVSSDPVDDGTRADYLISAPEYLAACT GSPRPVSGNKLLDTITCDDAVTQYLHFLQSNVGHLILAADCDETAPDGACCNSAHSNP RASAFHNDVCVAYETTKGGKVSTVSVPFVKPVERSATTGRLVCPSDKLPKAVESDPDF IINYQRVSYRDLKYFTVTSGLPSKVTVTDHLTTCEYDRGLVGSTIRKDGYFKVTDTPL GPGDIEAAKKCPSDGLFEYCSLDQYAGFLQTQLFDHSRCDIADLRLQNTDYASSYQTV ACLYKIFTLKCDCMEAVLNCYTHASKFDNALSKTIGQAASILCGFILCQQPSVYSLFG EEFAIDHAILIKEFLQSAGLLTASQVTPALTMLVSFGLGMIALVAAKKVTSSSPVKIE NGYQNLI H257_01446 MRQLLSTRLVEIPEDVKFSLSGRKITVTGKRGTISRDFSHVTLD LRRVNKKTLRVDLWFGNRKQLACVRTVCSHIENMITGVRVGFQYKMRFVYAHFPINVT FEKNTVEIRNFLGEKRVRRVTLSEGVSYVRSPDVKDQIELSGIDLAKVSQDAANITQS CLVKEKDIRKFLDGIYVSEKGNIEIEE H257_01447 MADADPTTPQPASSPLDDSFSIIQSMLTGFASGGAVGASWGATL AALRGERVVFYAASVGANFAVASASYVTFHQIISKRRGQRDMTSYVVPGTITGGGLLG LTGSSVRAVQGAAAGSAVGAGIFFGLKYFDQWRQATAIERYIAKYGPDAIHDVPGTSD AAFVSPVIDSIDLPSLIPSFVNISEEEVESRIQARLKQLNDDAGRLE H257_01448 MEFQLMQQSLASPRKPLRHLLIPPTSVRPPLPPRSTNTPEPRLL GLHAGSVVYCSTSGALTVNG H257_01449 MLRNKVTLAMEAGKMRDAAHGREDVIPRERHVSVNKFERKMKWL SSEEHYRVRISRWLDNGVLGLVLDILEAVVSVVFAICYVVNSYSPGPGIPADVWTVEL SCALFFLTDLMSRGILLAESFKSMLCWNTFINCLVILPVYPAAMFVPTHSFWHGGGYL RLIYPIRFLKCSMEIRAVLHRGRSFMSPVMLFGLMAYFKFLSVIFVAAGVIQITETSF SADVTNDGDWTFFNSLFKSILTFVYVDYPPAENPVSKIIVGTLLVFLLIIIPYELSKL FDINSLYSSYEHDTYHPSHHSKHIVICGDLTPQRIEQCFWEIFHDDHDLVDIRVVAMC DEAPPSSMVALLMDPFFAKRAVYIQGSVLDADAATRAACDTAAAIFVLTRKTGHEDVN VSDHRTIMRAIEVRQVSPAASIFVQIHLSTNRHLLEASGITNVLCISEVMHSLLAQNC FCPGFSTLMFNLTAALSDVKVDHTWESRFLHGASHELYSVTLPPSHVVSGLTFAEVAV MVHTQCQSAILIAIRVPVPEDDADDDSSSSVIILNPGNSYLCHGNETGYVIARDRTQA DLVLGMAKDPNWGSSHSASTSTSNGANDPLVPKASLQQTAQLTPDKAVSSPTAAAIAK DKVKHLSNPTNIKRGLGARKKRTARTIMWAASKLQETSERHKSKKMDEFVVDDVPKLH FPLKPIVVVSLGSVFPENLEFFIAPLRAPTVKDHHPIVLMSSELPSEEVFAHIRPFSD VFLVKGEPTRLGSLKRAGIEIAFKVVLLCSEEDFGTASNQLLADATSIAVHKSITSLL GPRNAPMVVTKLVNRSNVNFISHNLKQSGWFDRGRPPSTTSDTIKFLCSPSFASGLTY STELCDNLIINHFFNPMIKDIVRELVFSPLRESKLYPGKSKFSAFERNFATSGLQRSS LFTCELPLDFVGKSFAYCFEYLLLSDAILTLGVYRCLPPDLVPGVSPQSTAKARIERH ETERAVPFGYVYLNPLASEIMTGDDLLYVLSHKQPCWA H257_01449 MLRNKVTLAMEAGKMRDAAHGREDVIPRERHVSVNKFERKMKWL SSEEHYRVRISRWLDNGVLGLVLDILEAVVSVVFAICYVVNSYSPGPGIPADVWTVEL SCALFFLTDLMSRGILLAESFKSMLCWNTFINCLVILPVYPAAMFVPTHSFWHGGGYL RLIYPIRFLKCSMEIRAVLHRGRSFMSPVMLFGLMAYFKFLSVIFVAAGVIQITETSF SADVTNDGDWTFFNSLFKSILTFVYVDYPPAENPVSKIIVGTLLVFLLIIIPYELSKL FDINSLYSSYEHDTYHPSHHSKHIVICGDLTPQRIEQCFWEIFHDDHDLVDIRVVAMC DEAPPSSMVALLMDPFFAKRAVYIQGSVLDADAATRAACDTAAAIFVLTRKTGHEDVN VSDHRTIMRAIEVRQVSPAASIFVQIHLSTNRHLLEASGITNVLCISEVMHSLLAQNC FCPGFSTLMFNLTAALSDVKVDHTWESRFLHGASHELYSVTLPPSHVVSGLTFAEVAV MVHTQCQSAILIAIRVPVPEDDADDDSSSSVIILNPGNSYLCHGNETGYVIARDRTQA DLVLGMAKDPNWGSSHSASTSTSNGANDPLVPKASLQQTAQLTPDKAVSSPTAAAIAK DKVKHLSNPTNIKRGLGARKKRTARTIMWAASKLQETSERHKSKKMDEFVVDDVPKLH FPLKPIVVVSLGSVFPENLEFFIAPLRAPTVKDHHPIVLMSSELPSEEVFAHIRPFSD VFLVKGEPTRLGSLKRAGIEIAFKVVLLCSEEDFGTASNQLLADATSIAVHKSITSLL GPRNAPMVVTKLVNRSNVNFISHNLKQSGWFDRGRPPSTTSYVVT H257_01449 MLRNKVTLAMEAGKMRDAAHGREDVIPRERHVSVNKFERKMKWL SSEEHYRVRISRWLDNGVLGLVLDILEAVVSVVFAICYVVNSYSPGPGIPADVWTVEL SCALFFLTDLMSRGILLAESFKSMLCWNTFINCLVILPVYPAAMFVPTHSFWHGGGYL RLIYPIRFLKCSMEIRAVLHRGRSFMSPVMLFGLMAYFKFLSVIFVAAGVIQITETSF SADVTNDGDWTFFNSLFKSILTFVYVDYPPAENPVSKIIVGTLLVFLLIIIPYELSKL FDINSLYSSYEHDTYHPSHHSKHIVICGDLTPQRIEQCFWEIFHDDHDLVDIRVVAMC DEAPPSSMVALLMDPFFAKRAVYIQGSVLDADAATRAACDTAAAIFVLTRKTGHEDVN VSDHRTIMRAIEVRQVSPAASIFVQIHLSTNRHLLEASGITNVLCISEVMHSLLAQNC FCPGFSTLMFNLTAALSDVKVDHTWESRFLHGASHELYSVTLPPSHVVSGLTFAEVAV MVHTQCQSAILIAIRVPVPEDDADDDSSSSVIILNPGNSYLCHGNETGYVIARDRTQA DLVLGMAKDPNWGSSHSASTSTSNGANDPLVPKASLQQTAQLTPDKAVSSPTAAAIAK DKVKHLSNPTNIKRGLGARKKRTARTIMWAASKLQETSERHKSKKMDEFVVDDVPKLH FPLKPIVVVSLGSVFPENLEFFIAPLRAPTVKDHHPIVLMSSELPSEEVFAHIRPFSD VFLVKGEPTRLGSLKRAGIEIAFKVVLLCSEEDFGTASNQLLADAVRLPFSF H257_01449 MLRNKVTLAMEAGKMRDAAHGREDVIPRERHVSVNKFERKMKWL SSEEHYRVRISRWLDNGVLGLVLDILEAVVSVVFAICYVVNSYSPGPGIPADVWTVEL SCALFFLTDLMSRGILLAESFKSMLCWNTFINCLVILPVYPAAMFVPTHSFWHGGGYL RLIYPIRFLKCSMEIRAVLHRGRSFMSPVMLFGLMAYFKFLSVIFVAAGVIQITETSF SADVTNDGDWTFFNSLFKSILTFVYVDYPPAENPVSKIIVGTLLVFLLIIIPYELSKL FDINSLYSSYEHDTYHPSHHSKHIVICGDLTPQRIEQCFWEIFHDDHDLVDIRVVAMC DEAPPSSMVALLMDPFFAKRAVYIQGSVLDADAATRAACDTAAAIFVLTRKTGHEDVN VSDHRTIMRAIEVRQVSPAASIFVQIHLSTNRHLLEASGITNVLCISEVMHSLLAQNC FCPGFSTLMFNLTAALSDVKVDHTWESRFLHGASHELYSVTLPPSHVVSGLTFAEVAV MVHTQCQSAILIAIRVPVPEDDADDDSSSSVIILNPGNSYLCHGNETGYVIARDRTQA DLVLGMAKDPNWGSSHSASTSTSNGANDPLVPKASLQQTAQLTPDKAVSSPTAAAIAK DKVKHLSNPTNIKRGLGARKKRTARTIMWAASKLQETSERHKSKKMDEFVVDDVPKLH FPLKPIVVVSLGSVFPENLEFFIAPLRAPTVKDHHPIVLMSSELPSEEVFAHIRPFSD VFLVKGEPTRLGSLKRAGIEIAFKVVLLCSEEDFGTASNQLLADAVRLPFSF H257_01450 MRLYGKRKASHTRAGANTSITAATIIVGSAATLPKFLGLDDGVV VDGGQERRRAFRSVRFQPRCKRTHVMAKSAERTRRTREGRNYLGGASSGRSSCRCRQR QGCDSHLAPAFHRNRLWSWHHARDAQQARGALWMRQVHPPSHGSHLEPLSASRGRRTR RRPRPMGGASPRCLPHRRPGLRQHGHSSAKRSHRCPGCPRRQRVRADDPPPPSRPGVV ARVPRPRSHGAELRDEVHAKQRRQRHTGVRGRRARRGQRRRPLLRPRGKSCVEVGDHV SPGTIRHQRIRAPVCVSQTKIITFKQIIHGIIQLNMGRSYDDVYDEWQAAPLLGQHDL HMRDGLWNRRQSRALRQKHQLSRDSRTAE H257_01451 MNPVGLSDSQDTAAMSFQTLLATALSEDEEDVPSSGSMYEDGSE SEEAYEDEEVEDKRAFSQKRKASTEDLDMENEDHSDLERQLWGKKRGIPRRRGRRRRV TNPVPPEYAELMGEANAAYISGDHAKAIAMLKDFVKKAPTVPDPYHTLGVIYEKLQDR AKAIQFFLIACSLTPTDAGLWRRVGRMAKDECNSDQALYCYKMATQADPKDVDTLYTY ADMCKEGGDHRRAAEALKKVANLTPTDLSVWLQVAECYHANSQDDDAIDALLTCIQNA VTHPDDASQFELHAVNMVSDLYITLKRYQAAIHAIEAMHERSHPNTPLDEDSLPLDIA VKFGICHLHLGHLATAQPMFDALFHHEVDVYGDLYIDVAEAFIEAGQVHDRTAIDILQ NVLLCPTFANDKVYAMMARAYHRQGVLDTALQFYDQALTYQSGGADGSRPDPELVWQA MCICRDTGATARGLGFFGFVHDAVLLPPIRPYWAAAPTTTTSSKADDDQDQDVPTDDD DDDDDDDEGGEEEDSTAVDEIGFSEHAMENGIRLKLFLLYGRMQWQSGEPNTMVKIAL PLVLLSLQQTMRLLGRKSLLRRMHKYYDSKSLDARDLDRFNITHSHVLTAMKESYLVN PTDPTTRNSLVSVVMRVTEQVLVVHALSPDEYVGMVRDVAKALCDVGKHICAVELLID VNCSNKIADSQLRFELRFLALTISLTHKENRMAYECVRLNILEEPMNVGYWNLFGHVI NQTGVFSWHQKFLAKILRQYPKCYPAMVLAGLHSSASDSAQLAVGELTLAHLQRPEDP LALFCIGLSYLNMSMFRTVVDRQMTVAKAFAFFQLYQQTRFKQLEANAVGLTSDLGQV ESWYNIGRAYHQLELNHLAIAMYERVLRYYEGKDVAPEFQLCRETAYNLSLIYKQSGA TDLASYLLHTYLTVE H257_01451 MNPVGLSDSQDTAAMSFQTLLATALSEDEEDVPSSGSMYEDGSE SEEAYEDEEVEDKRAFSQKRKASTEDLDMENEDHSDLERQLWGKKRGIPRRRGRRRRV TNPVPPEYAELMGEANAAYISGDHAKAIAMLKDFVKKAPTVPDPYHTLGVIYEKLQDR AKAIQFFLIACSLTPTDAGLWRRVGRMAKDECNSDQALYCYKMATQADPKDVDTLYTY ADMCKEGGDHRRAAEALKKVANLTPTDLSVWLQVAECYHANSQDDDAIDALLTCIQNA VTHPDDASQFELHAVNMVSDLYITLKRYQAAIHAIEAMHERSHPNTPLDEDSLPLDIA VKFGICHLHLGHLATAQPMFDALFHHEVDVYGDLYIDVAEAFIEAGQVHDRTAIDILQ NVLLCPTFANDKVYAMMARAYHRQGVLDTALQFYDQALTYQSGGADGSRPDPELVWQA MCICRDTGATARGLGFFGFVHDAVLLPPIRPYWAAAPTTTTSSKADDDQDQDVPTDDD DDDDDDDEGGEEEDSTAVDEIGFSEHAMENGIRLKLFLLYGRMQWQSGEPNTMVKIAL PLVLLSLQQTMRLLGRKSLLRRMHKYYDSKSLDARDLDRFNITHSHVLTAMKESYLVN PTDPTTRNSLVSVVMRVTEQVLVVHALSPDEYVGMVRDVAKALCDVGKHICAVELLID VNCSNKIADSQLRFELRFLALTISLTHKENRMAYECVRLNILEEPMNVGYWNLFGHVI NQTGVFSWHQKFLAKILRQYPKCYPAMVLAGLHSSASDSAQLAVGELTLAHLQRPEDP LALFCIGLSYLNMSMFRTVVDRQMTVAKAFAFFQLYQQTRFKQLEANAVGLTSDLGQV ESWYNIGRAYHQLVRRMLV H257_01451 MAKDECNSDQALYCYKMATQADPKDVDTLYTYADMCKEGGDHRR AAEALKKVANLTPTDLSVWLQVAECYHANSQDDDAIDALLTCIQNAVTHPDDASQFEL HAVNMVSDLYITLKRYQAAIHAIEAMHERSHPNTPLDEDSLPLDIAVKFGICHLHLGH LATAQPMFDALFHHEVDVYGDLYIDVAEAFIEAGQVHDRTAIDILQNVLLCPTFANDK VYAMMARAYHRQGVLDTALQFYDQALTYQSGGADGSRPDPELVWQAMCICRDTGATAR GLGFFGFVHDAVLLPPIRPYWAAAPTTTTSSKADDDQDQDVPTDDDDDDDDDDEGGEE EDSTAVDEIGFSEHAMENGIRLKLFLLYGRMQWQSGEPNTMVKIALPLVLLSLQQTMR LLGRKSLLRRMHKYYDSKSLDARDLDRFNITHSHVLTAMKESYLVNPTDPTTRNSLVS VVMRVTEQVLVVHALSPDEYVGMVRDVAKALCDVGKHICAVELLIDVNCSNKIADSQL RFELRFLALTISLTHKENRMAYECVRLNILEEPMNVGYWNLFGHVINQTGVFSWHQKF LAKILRQYPKCYPAMVLAGLHSSASDSAQLAVGELTLAHLQRPEDPLALFCIGLSYLN MSMFRTVVDRQMTVAKAFAFFQLYQQTRFKQLEANAVGLTSDLGQVESWYNIGRAYHQ LELNHLAIAMYERVLRYYEGKDVAPEFQLCRETAYNLSLIYKQSGATDLASYLLHTYL TVE H257_01452 MSDTPRAKFHALRPAVGVPSSQARPPALDADSVMRPILQSKKDF KSPRRPSRVVDKSHPADTYTVILPEIYDAIKPESRGEGDMMDRRHSSNQATRPRSNGP EAGDVLGGAATSIRVDDAKPPLASDVYFELQKQSMGMQSPRARQSAMQRHLYHDKMQQ KYHEMRVLRARVKQMEAELAKTSSQAATSSSSDGSPSTVDSATDAPQVVDKASSPAKP PQNDVASRERMQKLERHLMLAKNEIATLNDQLDVARTNDKAKIDTLQAKLELERVANK VLGERVFDVDVSLRVCVSKLTEAESALAQERQEREAMITQLTAMSRQAISDHRRRAVS SKVKGVISSMGKGTLQTKLDATTQRLLDMENAMKQVQMEALAWKKEALHRQAMLTEAT SVHATSTSSSSAGSTSATLTSTQVPLISKLLDLNYAHGVSDRVVPPHVAFHGGRIIDG HALQLHVVHSADPFAFHVVAYESASAQEDIVSFFVDDLQRLLPRHGSFNTTTPMTPCQ MTDLVNVLCDHLAVGFKNGTFVLVERSPSSGRNVEEHGASGGGSAPMEQGEKVCLYRG NATISGNYVSVVVNELYQLGFVGAWSLEVLALSVVDEVEWSACFSVDEVSTLCPHFYA YTPHEAKGLALAHLVDANQVLLQPLLRTLEIDVHNRLVSSAIPRTSDATASVLSAPPA SSFASPVIPSTPPSKAFRHHALTSIQGKLYYLTLRELWDSTALVQASLFDAYHDTLAV HTWREPDLLAVMHCAAVLGMPSQLSFQQGIELAARPTLAHLVTASLNMTIQNQVELRF DLMARSLGPSAHLCRTSISMPDNSAEVDVVGPVKEADVHRTLTQITYPQAARGQRLRA GTFALVKVYCPPAAKAYVVKLMRLEAAASTDILVVYHRLPLEFVLALL H257_01453 MGNKLSNLIQQASSSAKTNGKPTVTVLYGSQSGTAEGFAKSLVA SSQGTAFTVRAVDLAKFNASTLPTLSCVIFVVATFGEGGPTDSAVKFHKYLTNPSLPA NVMQHVKFTVFGLGNKTYARYNAMGRAVNSRMEQLGGHRVYRHGEGNDEACIENDFDD WRQDLWAAISGQFALPAAVSQPPVFSAKTSPPVFEFDVVSVASNTRSTSSPFQEYAFA TLVDTRELRQSTASGSTLHLEFDIKHAGVTYATADNLAILPENDPALVTRVATALRFD EDGVVELKALDKATKLPFPTPATIRTILSQYLDLNAAPRKGALTALAHYATSSVDQDR LLRLASADGKAEYQTWVVDAHRTFGDVIEAFPSLQIPLVAFIHILPSLQPRYYTISSS SVVHPTRIHVTLGVIATSDLPEGRQFKGVTSNYLAKLALPDAAVLDKPKVANPYGEQG KKQVRTWPSIRMTIRKSTFKLPPSPETPVILVGPGTGIAPMRAFLQERHAQKQAGETV GATWLFFGCRRQSEDYLYQQELETYQASGTLSDLHVAFSRDSAQKVYVQHLIRQQGAA LWKVLAAGGYVYVCGATLMGTDVHKAFVELVQTHGAKSVVDATRYVQDLQHNHRYIQE LWSA H257_01454 MIIIDMRWRCVVLVQVYGIDLDVVRLILGMSRRSVARYNAMFTC TGHVIGNWWPVDVIAWVQDYAVCTLASTLKNAKQRFDIIFFRSGSFPSQPFVEYSCKT SG H257_01455 MKSLKNLINAQSRQHLGRDSVTDDLIIYMALNELPSQFYANQGK RHDTGKAFSKATPKSRKCFYCEGKYNVNGVDHMKWDCPKRQDDFRRGWARSSIFEEPR RIEDAVPKGRDVRTEVACGAVVMREEVALPASPPSRQEFDLPDMSMDDMFSCPDDSDM LSYSPALAVATTSTPRVETMAASMRDQELQHVGRCDDKLLSALVLHSPVFFFRALEPL KSNSLDSSWTQSRMFTRGTQDVELPLAQKLPWQRQWLATSVQRKT H257_01456 MTQATRELSSTKRDEFVKGGRLTHGAFAKTAEALDVSARAVSYT WRKFRNDGTTKSSKTGNVGRRLRYTSQAIQQRVGAVPIDQRSTMRDISVATGIALGTL SRHLKKGTFRRRSTRIKPLLSDANKLERVQFCRSRVSPAMPAPAKPKWFNADKDRRTV YLLPDEAPQRRSWKSKRFIPKVMFLAAVARPRFDEGRGVLFHGKVGMWPFTSLVPAVR SSRNRPAGTLVTTLVNVNAQVYRDYVINKVVPAIKASFPSTNKRVILQQDNATPHRSI TDAELVSVSTDGWTFVVRRQPPNSPDLNVLDLGFFASIQSLQLKKVSRTVDEVIQYTL AAFDELSYEKLESVFLTFQAVMRLVLEHAGDNNFALPHLKKAALRRAGLLMSNVSCPV SLLL H257_01457 MKKDCPKRKEDFAKGYYRTSIYKAAKSGPAKVAAVRATRSEVAV GQVEVATPSGGDRTATDMEEAAASLDDLTMQLEYAILDAE H257_01458 MAVVGRKGADELSEEANDTRRARLPKITFEHAPDLGGMRQISVT RIRHTSKPRLVQHVTHGTLGVLGVNAGLKPALLQLTTEGVHPGLEVLTLVTEYDALDV GLVGVHT H257_01459 MSDLYVYVASAVVVAISVYFFARPGVNEADKAFEARYKAMQEEK RRAAAAGSTGVKATTSLTGGSSAIVAKKPVVNGPLVTVFYGSQTGTAESFAKTLVSLG NDQKYFAVDLVDLEEFEPSLLKTLEYVIFVVATYGEGDPTDNAVEFMKFLNDTDGHLA DNEFDTVKFTVFGLGNKQYEQYNAIGRAVDAQLAKHGAQRVFPLGEGDDDGSLEEDFD AWKEKLWSTLRRADGYLETSDEEGDVKASKTKAPHLAFDVVPVAAAAASATAKPIPDD LIQNSTKHFFHNTEAKLVETRQLRQSTASGSTLHLEFDIKHAGVTYATADNLAILPEN DPALVTRVATALRFDEDGVVELKALDKATKLPFPTPATIRTILSQYLDLNAAPRKGAL TALAHYATSSVDQDRLLRLASADGKAEYQTWVVDAHRTFGDVIEAFPSLQIPLVAFIH ILPSLQPRYYTISSSSVVHPTRIHVTLGVIATSDLPEGRQFKGVTSNYLAKLALPDAA VLDKPKVANPYGEQGKKQVRTWPSIRMTIRKSTFKLPPSPETPVILVGPGTGIAPMRA FLQERHAQKQAGETVGATWLFFGCRRQSEDYLYQQELETYQASGTLSDLHVAFSRDSA QKVYVQHLIRQQGAALWKVLAAGGYVYVCGATLMGTDVHKAFVELVQTHGAKSVVDAT RYVQDLQHNHRYIQELWSA H257_01460 MDVIEVVPFAAARVQELHEIHVASGYEQSSNTRIRKSRKDFHLR RRANAYKSHKFPARFRVKPRAKQSATGVEERCRKHRRRAMLRQKSDRLATHQWHAKRM KMGKVDGIWVSLHRLDRGTAAALLAPSTVCDTSYLSVIDVHGPKEDVLEALDSILDES LTDDVLHGDVEGASMLYHADAFPMNAIGPARVMCKRSRDEDSSSLHVWLWTYPSMVPP LLSTLASLDSHNVCVQRRADLCRFELRGPQAPRVMTRVFQSHDRLRWTTNQTLETSRI QSWQFTDPRTQPKARKNTGMSSLVDAPPASVPDPACPVTKAKLPPTDELTVAALNARF ASVLRWATEQGTGYSQLVSPTPPDPLAVPTPQPADANNATDSILWDATAMPPPFVHDH IVNQPPRRHNNPSDAAVPSFPSITVQNALGWDVIVHANMAPTVLKALVFAGASAIGMD ERQALRTRHHLLNFPRDYPDTGAGREFWEAVRREKEAKHTATPKAKRTPFHALQVASP FAPDWRLLFSNDLTDFCVLRGAEFMEPFPFYNPSAKQDLAMVPTAMSTLICVQVALPR RGTVEDNAMICFPTERDVAEFERDDRWQGEMELTAKQAKKRSDEIEMRSVMGFVTSVA HVRGSLRAVGFCSCNTLQLVFISQHKPHGLVMVRNPSSRQYRPALLTVR H257_01460 MDVIEVVPFAAARVQELHEIHVASGYEQSSNTRIRKSRKDFHLR RRANAYKSHKFPARFRVKPRAKQSATGVEERCRKHRRRAMLRQKSDRLATHQWHAKRM KMGKVDGIWVSLHRLDRGTAAALLAPSTVCDTSYLSVIDVHGPKEDVLEALDSILDES LTDDVLHGDVEGASMLYHADAFPMNAIGPARVMCKRSRDEDSSSLHVWLWTYPSMVPP LLSTLASLDSHNVCVQRRADLCRFELRGPQAPRVMTRVFQSHDRLRWTTNQTLETSRI QSWQFTDPRTQPKARKNTGMSSLVDAPPASVPDPACPVTKAKLPPTDELTVAALNARF ASVLRWATEQGTGYSQLVSPTPPDPLAVPTPQPADANNATDSILWDATAMPPPFVHDH IVNQPPRRHNNPSDAAVPSFPSITVQNALGWDVIVHANMAPTVLKALVFAGASAIGMD ERQALRTRHHLLNFPRDYPDTGAGREFWEAVRREKEAKHTATPKAKRTPFHALQVASP FAPDWRLLFSNDLTDFCVLRGAEFMEPFPFYNPSAKQDLAMVPTAMSTLICVQVALPR RGTVEDNAMICFPTERDVAEFERDDRWQGEMELTAKQAKKRSDEIEVRDDIHKRKGLR DIGPVDAIGDGFRHFRRACAGVAARRRIL H257_01461 MVPPILPTRPSMEKRTSSPSLKSLRDQSGDFKQGTLPRLSSPRV DDNATDGPSGDEEAHRIQGSELQHCESILSTIQKETTSNGSRYITMLEFKETSNPALD SMHHFMKSTRKELGDLELLCANGWDNDDIDAILATIPRDQVATAQHLWTDSH H257_01462 MHPILRTFRRELHAFPELGFKETQTQSRVKQFLMEHAKIPEGSI RPCATTGLVVDIESSSSVPSTSSNNVTCIALRADMDGLPMTENNPHLPYRSQNAQCAH MCGHDGHMATLAGVAMVLYPRRHLLPQGTVVRLLFQPAEEGPGGAVPMIEGGCLDGVD EVYGYHNYGFPVGNVHVRSGPVMAHEQEFSISITGKGGHGSAPHLCVDPIIVATQIVT ALQTIVSRSLSPYASAVVSVTTLHAGETSNVIPSTATLGGTMRDFDPAVAATLRRRME TIVHDTCHMHGAEGTVTLVESYPTVINTPLQTQVVQQVAATVRAVVSEDGLPMMAAED FAYYLEQRPGCFFFLGTKQAQTEQGRDLHSDSYDFNDDVLPLGVRMFVGLVEHRFACS IVASEPEWTALFR H257_01463 MGNVESVHGNAGGRHVRANQSGEGAVLDTGLEELEQLREGFRRV TSLSRNAPQQQQHGMEKDTFRIMVLHAFPRLPKTLGERLFDVLNTERSGLLQWSELLS GLDLTQHVHGNKALLVENQCKFLFDVYDLSESGQLQRDILDRFANVIYGVRGIPSMDE ALQALFDKPGKVPTLSYADFSSAFREKSPAFLFTWLETLARHVGRKPHPAIRDLQDRY NVVRVRERIQRSTLLTLEEICGLEKWFQRALRGRLTLRTADFLLQVLEPHVSRPFCDL LAPWLGDKIDFASFCALLSNFCRGHAQRSFLFQVVQVDGKIPQAKAALLATAVAASSE AASTVDETVFTDLNEDQFGGLQLSSLDQFADRMAVAACCQFDCCLRPQDPTIESRIID ARWTEYDQPHVSHVWYLVDSTWWYNWCGYTSFHPLNGSQGGNLAFPTLALDTVQQSGL HLPVGVDETKRPGPMKNWSLQYRHGSRRLKLDMVVGQHFHLLPEPVYTTLGHWYGGGP SFGRTYLASSMELELYPLVLRVGRTDVRGDVTVSGEEVVVGRGSRAGAVLQDCCHVLI VRDHHTRLWCTTCVSKVLVLPNDALPYDQLTQDSILIVEIQDADGSWPLSQTDQGGKA SGDRVKAAAAPSSCSYGLVGLDNLGNTCYMSSAIQCLSHSRLLCDYFRSGHFRYDLNL TNKLGTQGKLAVAFGNLVNVLWSTNKKSVAPVQFRNAVAKFNQHFDNYDQHDSQELLA CLLSGLSEDLNRVKDVPAPMEQPDSAGQRDSVVADQWWQNYLRREVSIVVALFMGQYK SLLSCHTCQYQSASFEPFTFLQLPLRETDTFVLVLTVVFHCGREPMRCSLELRRDGVV ADIKRAVARQVGFAESTPLMVACINSQEHTIQSVYPDQFKLSLIKEQEQVVAYELEVE LYDAPEVSLDTRLHVGDAVGVQNDRSPVPTHARITACNANGTYDIAFWTGRVDFGYSR TRLVHYGGSHVFLNVVHRRLENATVFFTDPNVLRLFGTPLVVAVVPQRTTGYQLYTYI WRRLRRIFHWSAPPDPAQISHLPPSASRASDVATVALGTHLELTRFGFCLRLVTMDGM ACSRCPWLSGCRGCLVSSHPDALLQVCGRETIAIDWDIQTMAEDYDATEASKVQVHAS FEKQAKVQAAVLSLQSCLKDFTASEPLDEAYCSRCKALTPAAKKMDLWRVPPLLVIQL KRFQYTATSRKKLRHLVQFPLKGLDLTEFLVRSSPDSGLQNWQFLGGKLAPDQVPAAV YDLYAVVNHIGVLGGGHYVATVLSESDKRWKCFNDHQCRDIDEKDVVTPSAYILFYIR RDMKSLPVHQVFPVNSTSPVLSDEEMAALLHEPDSSRCVVS H257_01463 MGNVESVHGNAGGRHVRANQSGEGAVLDTGLEELEQLREGFRRV TSLSRNAPQQQQHGMEKDTFRIMVLHAFPRLPKTLGERLFDVLNTERSGLLQWSELLS GLDLTQHVHGNKALLVENQCKFLFDVYDLSESGQLQRDILDRFANVIYGVRGIPSMDE ALQALFDKPGKVPTLSYADFSSAFREKSPAFLFTWLETLARHVGRKPHPAIRDLQDRY NVVRVRERIQRSTLLTLEEICGLEKWFQRALRGRLTLRTADFLLQVLEPHVSRPFCDL LAPWLGDKIDFASFCALLSNFCRGHAQRSFLFQVVQVDGKIPQAKAALLATAVAASSE AASTVDETVFTDLNEDQFGGLQLSSLDQFADRMAVAACCQFDCCLRPQDPTIESRIID ARWTEYDQPHVSHVWYLVDSTWWYNWCGYTSFHPLNGSQGGNLAFPTLALDTVQQSGL HLPVGVDETKRPGPMKNWSLQYRHGSRRLKLDMVVGQHFHLLPEPVYTTLGHWYGGGP SFGRTYLASSMELELYPLVLRVGRTDVRGDVTVSGEEVVVGRGSRAGAVLQDCCHVLI VRDHHTRLWCTTCVSKVLVLPNDALPYDQLTQDSILIVEIQDADGSWPLSQTDQGGKA SGDRVKAAAAPSSCSYGLVGLDNLGNTCYMSSAIQCLSHSRLLCDYFRSGHFRYDLNL TNKLGTQGKLAVAFGNLVNVLWSTNKKSVAPVQFRNAVAKFNQHFDNYDQHDSQELLA CLLSGLSEDLNRVKDVPAPMEQPDSAGQRDSVVADQWWQNYLRREVSIVVALFMGQYK SLLSCHTCQYQSASFEPFTFLQLPLRETDTFVLVLTVVFHCGREPMRCSLELRRDGVV ADIKRAVARQVGFAESTPLMVACINSQEHTIQSVYPDQFKLSLIKEQEQVVAYELEVE LYDAPEVSLDTRLHVGDAVGVQNDRSPVPTHARITACNANGTYDIAFWTGRVDFGYSR TRLVHYGGSHVFLNVVHRRLENATVFFTDPNVLRLFGTPLVVAVVPQRTTGYQLYTYI WRRLRRIFHWSAPPDPAQISHLPPSASRASDVATVALGTHLGAALPK H257_01464 MLLRRLPLLGRPLCIHRTIMTTPAAADFEGEIISEGRAKILFPK GNQVFYNKVQVLNRDLSIAVINQFAHERAKETILKQRKREKNDAVPTVDEVQAHVRDN ADTNGLKIFEALAASGLRSIRYLQEIEGVQSILVNDLDPAAVISIKRNIEYNQLSTDK LIPNEDDATSVMYSHRKEADNFDVIDLDPYGSASIFLDGAVQAIANGGLLCVTCTDMP VLCGKDPDVCFSRYGVVPYKSNYLHENALRMVLHSIESAAVKYQKHIVPIISCSIDFY VRVFVRVYKSPVNVKASMTKQSYVYQCTGCDSFHLQSLGKFNGKTYHASFVEPDVVGS GKCDQCGRRFKMSGPIWSAPLHNKDLVLKIRDNVLKNPTKYPTKDRLHGLLTSVSEEV QEDAPLYYTLPGLSKTLHCQQPRMDQVQVALINAGYNVSQSHKVPEAVKTNAPNHVVW DIMRSWVKKHPIHKKRVNDNHDVGVNILAKEPAFEAQFSGKRPSADKEKALRFPKNPE AHWGPKSRARGLAPSELANVVEAHQEPEAKKPKVDE H257_01464 MLLRRLPLLGRPLCIHRTIMTTPAAADFEGEIISEGRAKILFPK GNQVFYNKVQVLNRDLSIAVINQFAHERAKETILKQRKREKNDAVPTVDEVQAHVRDN ADTNGLKIFEALAASGLRSIRYLQEIEGVQSILVNDLDPAAVISIKRNIEYNQLSTDK LIPNEDDATSVMYSHRKEADNFDVIDLDPYGSASIFLDGAVQAIANGGLLCVTCTDMP VLCGKDPDVCFSRYGVVPYKSNYLHENALRMVLHSIESAAVKYQKHIVPIISCSIDFY VRVFVRVYKSPVNVKASMTKQSYVYQCTGCDSFHLQSLGKFNGKTYHASFVEPDVVGS GKCDQCGRRFKMSGPIWSAPLHNKDLVLKIRDNVLKNPTKYPTKDRLHGLLTSVSEEV QEDAPLYYTLPGLSKTLHCQQPRMDQVQVALINAGYNVSQSHKVPEAVKTNAPNHVVW DIMRSWVKKHPIHKKRVNDNHDVGVNILAKEPAFEAQFSGKRPSADKEKALRFPKNPE AHWGPKSRARGLAPSELANVVGRWFCQMELV H257_01464 MLLRRLPLLGRPLCIHRTIMTTPAAADFEGEIISEGRAKILFPK GNQVFYNKVQVLNRDLSIAVINQFAHERAKETILKQRKREKNDAVPTVDEVQAHVRDN ADTNGLKIFEALAASGLRSIRYLQEIEGVQSILVNDLDPAAVISIKRNIEYNQLSTDK LIPNEDDATSVMYSHRKEADNFDVIDLDPYGSASIFLDGAVQAIANGGLLCVTCTDMP VLCGKDPDVCFSRYGVVPYKSNYLHENALRMVLHSIESAAVKYQKHIVPIISCSIDFY VRVFVRVYKSPVNVKASMTKQSYVYQCTGCDSFHLQSLGKFNGKTYHASFVEPDVVGS GKCDQCGRRFKMSGPIWSAPLHNKDLVLKIRDNVLKNPTKYPTKDRLHGLLTSVSEEV QEDAPLYYTLPGLSKTLHCQQPRMDQVQVALINAGYNVSQSHKVPEAVKTNAPNHVVW DIMRSWVKKHPIHKKRVNDNHDVGVNILAKEPAFEAQFSVQYTPLEYCIAWM H257_01464 MLLRRLPLLGRPLCIHRTIMTTPAAADFEGEIISEGRAKILFPK GNQVFYNKVQVLNRDLSIAVINQFAHERAKETILKQRKREKNDAVPTVDEVQAHVRDN ADTNGLKIFEALAASGLRSIRYLQEIEGVQSILVNDLDPAAVISIKRNIEYNQLSTDK LIPNEDDATSVMYSHRKEADNFDVIDLDPYGSASIFLDGAVQAIANGGLLCVTCTDMP VLCGKDPDVCFSRYGVVPYKSNYLHENALRMVLHSIESAAVKYQKHIVPIISCSIDFY VRVFVRVYKSPVNVKASMTKQSYVYQCTGCDSFHLQSLGKFNGKTYHASFVEPDVVGS GKCDQCGRRFKMSGPIWSAPLHNKDLVLKIRDNVLKNPTKYPTKDRLHGLLTSVSEEV QEDAPLYYTLPGLSKTLHCQQPRMDQVQVALINAGYNVSQSHKVPEAVKTNAPNHVVW DIMRSWVKKHPIHKKRVNDNHDVGVNILAKEPAFEAQFSVQYTPLEYCIAWM H257_01464 MLLRRLPLLGRPLCIHRTIMTTPAAADFEGEIISEGRAKILFPK GNQVFYNKVQVLNRDLSIAVINQFAHERAKETILKQRKREKNDAVPTVDEVQAHVRDN ADTNGLKIFEALAASGLRSIRYLQEIEGVQSILVNDLDPAAVISIKRNIEYNQLSTDK LIPNEDDATSVMYSHRKEADNFDVIDLDPYGSASIFLDGAVQAIANGGLLCVTCTDMP VLCGKDPDVCFSRYGVVPYKSNYLHENALRMVLHSIESAAVKYQKHIVPIISCSIDFY VRVFVRVYKSPVNVKASMTKQSYVYQCTGCDSFHLQSLGKFNGKTYHASFVEPDVVGS GKCDQCGRRFKMSGPIWSAPLHNKDLVLKIRDNVLKNPTKYPTKDRLHGLLTSVSEEV QEDAPLYYTLPGLSKTLHCQQPRMDQVQVALINAGYNVSQSHKVPEAVKTNAPNHVVW DIMRSWVKKHPIHKKASLYIYIYMVSLRMNDRST H257_01465 MESRSLSGQGAGPSTSYGTASADGTGPSSSPTLPTSRYASNEPS TAMVPSSRSSLPPISTSGPSAFPKPYSTALLNAADVRSPLLKRTSPQTYGSVPSSPVI PSTAVAKNKVWNDKKGEKSAWDYIHMDVRRQSRDRLSRFLDQSDVGSIVDIVEAVSAV AFACIYVTYTYLPDDAHLVYLWHAELLLASFFLIDFAFRGIALSTEPLDDMLSFTGFV NLGTIFPVLPVSFFMANYNFWTSGTFWRFVYPLRFLKVYVEVRRVINRFHNDMTPLTL FAVNAYLQIFCLLFCSGGVIQIAETTYGDMDTYNGEWTFFHSCFNSLLLFVAMNYPTA DNTLTKCFVMFLIVLLIIVVPYQLSIFFEIYGSYSSYEYAVLTPSKRMKHIVLCGDLT PNRIEQFFNEIFHEDHDLVDTRVAVMSDEDPSSDLVAMLLDPFVAKRTTFLKGSILHD YDAARASCATASAIFVLTRKVGQEDVNLSDHRTFMRAMAAHRVAKDVPIYAQLHLSSN KHLFHDIEQNNVLCFSEVIHSILAQNCLCPGFSTFIYNLTTTAGCETELDDTWESRYL HGASHELYSVQLPPPHVIEGLTFAEVSAWVYSRCNGVILFAIHVLSADGHGTIVLNPG STYWCRGNEIGFVIAKDRKTADNVTSMAKETTPKQPGVSSHSMLKADSLHDLAIIADV PKKKGTSSATTPGGGGPQALRKKRNSRMLMWSAKESKPAGQIHKRTLKECVVFDMTKL KLSSSPIVVCLLAPTFPDHMEYFVVPLRSPVIKEHHPIVFLTHKLPTRESYEPLSCYS DLYFVETTKICLESLKRGGVEIARRIVLMCGGGEFAETSSAELLADASSIATHKSITS LLGPARAPSVITELVNRANVHFISHNIGSTPWFTADDDPNSELSLVDAGSFSRSRAFA SGLTYSTSLCDSLMINQFFNPMIKNIVREFVFSALRAHTAVFPNVSSPVKAGAPARMA VQRSALFTCEMPMDFVGKTFGYVFDHLLASDAILTLGIYRCVDHTALAEASTPLPPMS PTGMEEVTPPPEPFEEGALTPLINPTSRIEIPEQHRPVPYGFAYVNPKPYDIMTGNDL IYVLAHKQPYWIS H257_01465 MESRSLSGQGAGPSTSYGTASADGTGPSSSPTLPTSRYASNEPS TAMVPSSRSSLPPISTSGPSAFPKPYSTALLNAADVRSPLLKRTSPQTYGSVPSSPVI PSTAVAKNKVWNDKKGEKSAWDYIHMDVRRQSRDRLSRFLDQSDVGSIVDIVEAVSAV AFACIYVTYTYLPDDAHLVYLWHAELLLASFFLIDFAFRGIALSTEPLDDMLSFTGFV NLGTIFPVLPVSFFMANYNFWTSGTFWRFVYPLRFLKVYVEVRRVINRFHNDMTPLTL FAVNAYLQIFCLLFCSGGVIQIAETTYGDMDTYNGEWTFFHSCFNSLLLFVAMNYPTA DNTLTKCFVMFLIVLLIIVVPYQLSIFFEIYGSYSSYEYAVLTPSKRMKHIVLCGDLT PNRIEQFFNEIFHEDHDLVDTRVAVMSDEDPSSDLVAMLLDPFVAKRTTFLKGSILHD YDAARASCATASAIFVLTRKVGQEDVNLSDHRTFMRAMAAHRVAKDVPIYAQLHLSSN KHLFHDIEQNNVLCFSEVIHSILAQNCLCPGFSTFIYNLTTTAGCETELDDTWESRYL HGASHELYSVQLPPPHVIEGLTFAEVSAWVYSRCNGVILFAIHVLSADGHGTIVLNPG STYWCRGNEIGFVIAKDRKTADNVTSMAKETTPKQPGVSSHSMLKADSLHDLAIIADV PKKKGTSSATTPGGGGPQALRKKRNSRMLMWSAKESKPAGQIHKRTLKECVVFDMTKL KLSSSPIVVCLLAPTFPDHMEYFVVPLRSPVIKEHHPIVFLTHKLPTRESYEPLSCYS DLYFVETTKICLESLKRGGVEIARRIVLMCGGGEFAETSSAELLADASSIATHKSITS LLGPARAPSVITELVNRANVHFISHNIGSTPWFTADDDPNSELSLVDAGSFSRSRAFA SGLTYSTSLCDSLMINQFFNPMIKNIVREFVFSALRAVESSPSSSLAPR H257_01465 MESRSLSGQGAGPSTSYGTASADGTGPSSSPTLPTSRYASNEPS TAMVPSSRSSLPPISTSGPSAFPKPYSTALLNAADVRSPLLKRTSPQTYGSVPSSPVI PSTAVAKNKVWNDKKGEKSAWDYIHMDVRRQSRDRLSRFLDQSDVGSIVDIVEAVSAV AFACIYVTYTYLPDDAHLVYLWHAELLLASFFLIDFAFRGIALSTEPLDDMLSFTGFV NLGTIFPVLPVSFFMANYNFWTSGTFWRFVYPLRFLKVYVEVRRVINRFHNDMTPLTL FAVNAYLQIFCLLFCSGGVIQIAETTYGDMDTYNGEWTFFHSCFNSLLLFVAMNYPTA DNTLTKCFVMFLIVLLIIVVPYQLSIFFEIYGSYSSYEYAVLTPSKRMKHIVLCGDLT PNRIEQFFNEIFHEDHDLVDTRVAVMSDEDPSSDLVAMLLDPFVAKRTTFLKGSILHD YDAARASCATASAIFVLTRKVGQEDVNLSDHRTFMRAMAAHRVAKDVPIYAQLHLSSN KHLFHDIEQNNVLCFSEVIHSILAQNCLCPGFSTFIYNLTTTAGCETELDDTWESRYL HGASHELYSVQLPPPHVIEGLTFAEVSAWVYSRCNGVILFAIHVLSADGHGTIVLNPG STYWCRGNEIGFVIAKDRKTADNVTSMAKETTPKQPGVSSHSMLKADSLHDLAIIADV PKKKGTSSATTPGGGGPQALRKKRNSRMLMWSAKESKPAGQIHKRTLKECVVFDMTKL KLSSSPIVVCLLAPTFPDHMEYFVVPLRSPVIKEHHPIVFLTHKLPTRESYEPLSCYS DLYFVETTKICLESLKRGGVEIARRIVLMCGGGEFAETSSAELLADASSIATHKSITS LLGPARAPSVITELVNRYTKLCP H257_01465 MESRSLSGQGAGPSTSYGTASADGTGPSSSPTLPTSRYASNEPS TAMVPSSRSSLPPISTSGPSAFPKPYSTALLNAADVRSPLLKRTSPQTYGSVPSSPVI PSTAVAKNKVWNDKKGEKSAWDYIHMDVRRQSRDRLSRFLDQSDVGSIVDIVEAVSAV AFACIYVTYTYLPDDAHLVYLWHAELLLASFFLIDFAFRGIALSTEPLDDMLSFTGFV NLGTIFPVLPVSFFMANYNFWTSGTFWRFVYPLRFLKVYVEVRRVINRFHNDMTPLTL FAVNAYLQIFCLLFCSGGVIQIAETTYGDMDTYNGEWTFFHSCFNSLLLFVAMNYPTA DNTLTKCFVMFLIVLLIIVVPYQLSIFFEIYGSYSSYEYAVLTPSKRMKHIVLCGDLT PNRIEQFFNEIFHEDHDLVDTRVAVMSDEDPSSDLVAMLLDPFVAKRTTFLKGSILHD YDAARASCATASAIFVLTRKVGQEDVNLSDHRTFMRAMAAHRVAKDVPIYAQLHLSSN KHLFHDIEQNNVLCFSEVIHSILAQNCLCPGFSTFIYNLTTTAGCETELDDTWESRYL HGASHELYSVQLPPPHVIEGLTFAEVSAWVYSRCNGVILFAIHVLSADGHGTIVLNPG STYWCRGNEIGFVIAKDRKTADNVTSMAKETTPKQPGVSSHSMLKADSLHDLAIIADV PKKKGTSSATTPGGGGPQALRKKRNSRMLMWSAKESKPAGQIHKRTLKECVVFDMTKL KLSSSPIVVCLLAPTFPDHMEYFVVPLRSPVIKEHHPIVFLTHKLPTRESYEPLSCYS DLYFVETTKICLESLKRGGVEIARRIVLMCGGGEFAETSSAELLADASSIATHKSITS LLGPARAPSVITELVNRYTKLCP H257_01465 MESRSLSGQGAGPSTSYGTASADGTGPSSSPTLPTSRYASNEPS TAMVPSSRSSLPPISTSGPSAFPKPYSTALLNAADVRSPLLKRTSPQTYGSVPSSPVI PSTAVAKNKVWNDKKGEKSAWDYIHMDVRRQSRDRLSRFLDQSDVGSIVDIVEAVSAV AFACIYVTYTYLPDDAHLVYLWHAELLLASFFLIDFAFRGIALSTEPLDDMLSFTGFV NLGTIFPVLPVSFFMANYNFWTSGTFWRFVYPLRFLKVYVEVRRVINRFHNDMTPLTL FAVNAYLQIFCLLFCSGGVIQIAETTYGDMDTYNGEWTFFHSCFNSLLLFVAMNYPTA DNTLTKCFVMFLIVLLIIVVPYQLSIFFEIYGSYSSYEYAVLTPSKRMKHIVLCGDLT PNRIEQFFNEIFHEDHDLVDTRVAVMSDEDPSSDLVAMLLDPFVAKRTTFLKGSILHD YDAARASCATASAIFVLTRKVGQEDVNLSDHRTFMRAMAAHRVAKDVPIYAQLHLSSN KHLFHDIEQNNVLCFSEVIHSILAQNCLCPGFSTFIYNLTTTAGCETELDDTWESRYL HGASHELYSVQLPPPHVIEGLTFAEVSAWVYSRCNGVILFAIHVLSADGHGTIVLNPG STYWCRGNEIGFVIAKDRKTADNVTSMAKETTPKQPGVSSHSMLKADSLHDLAIIADV PKKKGTSSATTPGGGGPQALRKKRNSRMLMWSAKESKPAGQIHKRTLKECVVFDMTKL KLSSSPIVVCLLAPTFPDHMEYFVVPLRSPVIKEHHPIVFLTHKLPTRESYEPLSCYS DLYFVETTKICLESLKRGGVEIARRIVLMCGGGEFAETSSAELLADAVRAHEKGLDDI VVI H257_01465 MIHFHLVIVSYHCSNFWTSGTFWRFVYPLRFLKVYVEVRRVINR FHNDMTPLTLFAVNAYLQIFCLLFCSGGVIQIAETTYGDMDTYNGEWTFFHSCFNSLL LFVAMNYPTADNTLTKCFVMFLIVLLIIVVPYQLSIFFEIYGSYSSYEYAVLTPSKRM KHIVLCGDLTPNRIEQFFNEIFHEDHDLVDTRVAVMSDEDPSSDLVAMLLDPFVAKRT TFLKGSILHDYDAARASCATASAIFVLTRKVGQEDVNLSDHRTFMRAMAAHRVAKDVP IYAQLHLSSNKHLFHDIEQNNVLCFSEVIHSILAQNCLCPGFSTFIYNLTTTAGCETE LDDTWESRYLHGASHELYSVQLPPPHVIEGLTFAEVSAWVYSRCNGVILFAIHVLSAD GHGTIVLNPGSTYWCRGNEIGFVIAKDRKTADNVTSMAKETTPKQPGVSSHSMLKADS LHDLAIIADVPKKKGTSSATTPGGGGPQALRKKRNSRMLMWSAKESKPAGQIHKRTLK ECVVFDMTKLKLSSSPIVVCLLAPTFPDHMEYFVVPLRSPVIKEHHPIVFLTHKLPTR ESYEPLSCYSDLYFVETTKICLESLKRGGVEIARRIVLMCGGGEFAETSSAELLADAS SIATHKSITSLLGPARAPSVITELVNRANVHFISHNIGSTPWFTADDDPNSELSLVDA GSFSRSRAFASGLTYSTSLCDSLMINQFFNPMIKNIVREFVFSALRAHTAVFPNVSSP VKAGAPARMAVQRSALFTCEMPMDFVGKTFGYVFDHLLASDAILTLGIYRCVDHTALA EASTPLPPMSPTGMEEVTPPPEPFEEGALTPLINPTSRIEIPEQHRPVPYGFAYVNPK PYDIMTGNDLIYVLAHKQPYWIS H257_01466 MILALGGCGTPELSVVGPRGTHAFVSSTKSFARRNYPVITCSEV ESDGRTGQSPSPPPSHISHTSAASYDPVVDDRYVRITPVSVRRTQGLPRHGTPSSTCR HCKQQRVEPPAKKSEPVVVSRPALAHDQPMLQWLQSYYQDKDPSKVPYIQVILNKYQG RHDDLKHMLVAKYGPLAAPDEANDDEPETVQTSPRKDERTSSNSDDTVVVSDVSTNTR QAEPNDDSQDVVLASTASSSDDEDDTNSMETWLRTFYTRHNPSMLPRLHSVLQMYAGR EDQLKAMLGQKYVTSTKRPLEQNTQDDGTKKFKGASIDSVTDVFLTPPSTSRPSPIQY DQVICYVLEYVHTSLPLARVMSDNLRFKTSSDSTTIAWVVDVPDMTWLPHVTALLTSC QDHHPALVVHLTPSSVALHPTYMAWVSAHSTAGHTRHLLFDGQLLDEFRDGACAFNFE ASARLRLQLHAKSAALFPLSSPFQAIATATPTSAASRLMLRSSAGTTFHVAQPGLTCQ LTAMKSNQQIGFHYRTCTLRLATEVSAPSSVEPAGPPSHAPKLAFLGTGCAAPSKLRN SSAIYLDYSPPSTHGILIDCGEGTFGQLWRQFGLATSARLRTLQCIWVSHKHADHHCG LLRVLLERHRAFVRNAQPATSLVVIAPDAVLAYVARWRAAWLHGVHMVTCVDFNHPQH PLRSMVLGLTGFQNLWSVPVHHCHDSFGLVLITHTGMKVVYSGDTRPCDRLVHEGFRP HVLIHEATFEDSMYEDAVKKNHSTVGEALEVGHRMQAQLVLLTHFSQRYPKLPPRQAT ASGSPCGFAFDGMQVTLDALPQRQHDRYMPPLPMAHAPMSTEATLAMFMTAMRKDDVL TTSSPPPSP H257_01466 MILALGGCGTPELSVVGPRGTHAFVSSTKSFARRNYPVITCSEV ESDGRTGQSPSPPPSHISHTSAASYDPVVDDRYVRITPVSVRRTQGLPRHGTPSSTCR HCKQQRVEPPAKKSEPVVVSRPALAHDQPMLQWLQSYYQDKDPSKVPYIQVILNKYQG RHDDLKHMLVAKYGPLAAPDEANDDEPETVQTSPRKDERTSSNSDDTVVVSDVSTNTR QAEPNDDSQDVVLASTASSSDDEDDTNSMETWLRTFYTRHNPSMLPRLHSVLQMYAGR EDQLKAMLGQKYVTSTKRPLEQNTQDDGTKKFKGASIDSVTDVFLTPPSTSRPSPIQY DQVICYVLEYVHTSLPLARVMSDNLRFKTSSDSTTIAWVVDVPDMTWLPHVTALLTSC QDHHPALVVHLTPSSVALHPTYMAWVSAHSTAGHTRHLLFDGQLLDEFRDGACAFNFE ASARLRLQLHAKSAALFPLSSPFQAIATATPTSAASRLMLRSSAGTTFHVAQPGLTCQ LTAMKSNQQIGFHYRTCTLRLATEVSAPSSVEPAGPPSHAPKLAFLGTGCAAPSKLRN SSAIYLDYSPPSTHGILIDCGEGTFGQLWRQFGLATSARLRTLQCIWVSHKHADHHCG LLRVLLERHRAFVRNAQPATSLVVIAPDAVLAYVARWRAAWLHGVHMVTCVDFNHPQH PLRSMVLGLTGFQNLWSVPVHHCHDSFGLVLITHTGMKVVYSGDTRPCDRLVHEGFRP HVLIHEATFEDSMYEDAVKKNHSTVGEALEVGHRMQAQLVLLTHFSQRYPKLPPRQAT ASGSPCGFAFDGMQVTLDALPQRQHDRYMPPLPMAHAPMSTEATLAMFMTAMRKDDVL TTSSPPPSP H257_01466 MILALGGCGTPELSVVGPRGTHAFVSSTKSFARRNYPVITCSEV ESDGRTGQSPSPPPSHISHTSAASYDPVVDDRYVRITPVSVRRTQGLPRHGTPSSTCR HCKQQRVEPPAKKSEPVVVSRPALAHDQPMLQWLQSYYQDKDPSKVPYIQVILNKYQG RHDDLKHMLVAKYGPLAAPDEANDDEPETVQTSPRKDERTSSNSDDTVVVSDVSTNTR QAEPNDDSQDVVLASTASSSDDEDDTNSMETWLRTFYTRHNPSMLPRLHSVLQMYAGR EDQLKAMLGQKYVTSTKRPLEQNTQDDGTKKFKGASIDSVTDVFLTPPSTSRPSPIQY DQVICYVLEFKTSSDSTTIAWVVDVPDMTWLPHVTALLTSCQDHHPALVVHLTPSSVA LHPTYMAWVSAHSTAGHTRHLLFDGQLLDEFRDGACAFNFEASARLRLQLHAKSAALF PLSSPFQAIATATPTSAASRLMLRSSAGTTFHVAQPGLTCQLTAMKSNQQIGFHYRTC TLRLATEVSAPSSVEPAGPPSHAPKLAFLGTGCAAPSKLRNSSAIYLDYSPPSTHGIL IDCGEGTFGQLWRQFGLATSARLRTLQCIWVSHKHADHHCGLLRVLLERHRAFVRNAQ PATSLVVIAPDAVLAYVARWRAAWLHGVHMVTCVDFNHPQHPLRSMVLGLTGFQNLWS VPVHHCHDSFGLVLITHTGMKVVYSGDTRPCDRLVHEGFRPHVLIHEATFEDSMYEDA VKKNHSTVGEALEVGHRMQAQLVLLTHFSQRYPKLPPRQATASGSPCGFAFDGMQVTL DALPQRQHDRYMPPLPMAHAPMSTEATLAMFMTAMRKDDVLTTSSPPPSP H257_01466 MILALGGCGTPELSVVGPRGTHAFVSSTKSFARRNYPVITCSEV ESDGRTGQSPSPPPSHISHTSAASYDPVVDDRYVRITPVSVRRTQGLPRHGTPSSTCR HCKQQRVEPPAKKSEPVVVSRPALAHDQPMLQWLQSYYQDKDPSKVPYIQVILNKYQG RHDDLKHMLVAKYGPLAAPDEANDDEPETVQTSPRKDERTSSNSDDTVVVSDVSTNTR QAEPNDDSQDVVLASTASSSDDEDDTNSMETWLRTFYTRHNPSMLPRLHSVLQMYAGR EDQLKAMLGQKYVTSTKRPLEQNTQDDGTKKFKGASIDSVTDVFLTPPSTSRPSPIQY DQVICYVLEFKTSSDSTTIAWVVDVPDMTWLPHVTALLTSCQDHHPALVVHLTPSSVA LHPTYMAWVSAHSTAGHTRHLLFDGQLLDEFRDGACAFNFEASARLRLQLHAKSAALF PLSSPFQAIATATPTSAASRLMLRSSAGTTFHVAQPGLTCQLTAMKSNQQIGFHYRTC TLRLATEVSAPSSVEPAGPPSHAPKLAFLGTGCAAPSKLRNSSAIYLDYSPPSTHGIL IDCGEGTFGQLWRQFGLATSARLRTLQCIWVSHKHADHHCGLLRVLLERHRAFVRNAQ PATSLVVIAPDAVLAYVARWRAAWLHGVHMVTCVDFNHPQHPLRSMVLGLTGFQNLWS VPVHHCHDSFGLVLITHTGMKVVYSGDTRPCDRLVHEGFRPHVLIHEATFEDSMYEDA VKKNHSTVGEALEVGHRMQAQLVLLTHFSQRYPKLPPRQATASGSPCGFAFDGMQVTL DALPQRQHDRYMPPLPMAHAPMSTEATLAMFMTAMRKDDVLTTSSPPPSP H257_01466 MILALGGCGTPELSVVGPRGTHAFVSSTKSFARRNYPVITCSEV ESDGRTGQSPSPPPSHISHTSAASYDPVVDDRYVRITPVSVRRTQGLPRHGTPSSTCR HCKQQRVEPPAKKSEPVVVSRPALAHDQPMLQWLQSYYQDKDPSKVPYIQVILNKYQG RHDDLKHMLVAKYGPLAAPDEANDDEPETVQTSPRKDERTSSNSDDTVVVSDVSTNTR QAEPNDDSQDVVLASTASSSDDEDDTNSMETWLRTFYTRHNPSMLPRLHSVLQMYAGR EDQLKAMLGQKYVTSTKRPLEQNTQDDGTKKFKGASIDSVTDVFLTPPSTSRPSPIQY DQVICYVLEYVHTSLPLARVMSDNLRFKTSSDSTTIAWVVDVPDMTWLPHVTALLTSC QDHHPALVVHLTPSSVALHPTYMAWVSAHSTAGHTRHLLFDGQLLDEFRDGACAFNFE ASARLRLQLHAKSAALFPLSSPFQAIATATPTSAASRLMLRSSAGTTFHVAQPGLTCQ LTAMKSNQQIGFHYRTCTLRLATEVSAPSSVEPAGPPSHAPKLAFLGTGCAAPSKLRN SSAIYLDYSPPSTHGILIDCGEGTIDRS H257_01467 MTQQVNIGINGFGRIGRLVARAAFANPNTTVVAINDPFMSLDYM VYLFKYDSTHGRYPGTVVAENGNLVIDGHVVRIFTAKNPAEIGWGTVGADYVCESTGV FTSTEACLSHVQGGAKKVIISAPPKDNTPMYVVGVNHHKYDGSANVVSNASCTTNCLA PLAKVINDKFGIVEGLMTTVHATTANQLTVDGPSRGGKDWRAGRGAGQNIIPASTGAA KAVGKVLPELNGKLTGMAFRVPVADVSVVDLTVRLQNGASLAEIKAALKHASENELAG ILGYTEDDVVSQDFITDKRSSIFDANASIALNDNFVKLVSWYDNEWGYSNRLVDLILH MASVDN H257_01468 MNAFGGGASQAKPPEKGSFPLDHYGECKDQMKTFLACLKGAKNS HIDCKHLSQEYLQCRMDKGLMQQENLDLLGFNPESYAKARAKAAPVEGLKEKDGFISG LRAKAGGK H257_01468 MNAFGGGASQAKPPEKGSFPLDHYGECKDQMKTFLACLKGAKNS HIDCKHLSQEYLQCRMDKGLMQQENLDLLGFNPESYAKARAKAAPVEGLKEKDGFISG LRAKAGGK H257_01469 MRVFGNPHHLGSSSSCTFSAHHPHRYTASRLTSVESIHIDGKLD EDAWTSAPWTVPFTDIIGPRHWSQPWFKTQVKMRYDDTFLYVGAYVEDTDVWATLTKR NSVVFNDNDFEIFVDPVGTTHNYKEFEVNAINTTWNLLLNKPYRDGGGENSTRVDPVH GFDMVPLGLRSAVYVKGRANDPNQHVHFWTVEVALPLAALAWKTTAVVPPRPGSSWRI NFSRVEWVVEVVNGRYEKMKDLPEENWVWSPQGQVAMHMPERWGYLRFVQADHIEDAN ERDDPEFPARYQAFAIYYAQKAYRLKHKRYADTIADLLPWIADKHVVSCLHVTYMNVT ADGFFAGASAFGYTAHIRYDSFIHVSSTNDADEVALV H257_01469 MRVFGNPHHLGSSSSCTFSAHHPHRYTASRLTSVESIHIDGKLD EDAWTSAPWTVPFTDIIGPRHWSQPWFKTQVKMRYDDTFLYVGAYVEDTDVWATLTKR NSVVFNDNDFEIFVDPVGTTHNYKEFEVNAINTTWNLLLNKPYRDGGGENSTRVDPVH GFDMVPLGLRSAVYVKGRANDPNQHVHFWTVEVALPLAALAWKTTAVVPPRPGSSWRI NFSRVECGQRAIRKDERPPRGELGVESPRSSRHAHARTVGIPPLRPSRPHRRCK H257_01470 MSDVEKPKFESSLLASLHKGEHPPPPRTATSRKPPPKKPPSEDR QPHARPPQAHVSDSGHHRSKTKQKFMYVQKASPTTDVPPATPSPAVAEAPPLPGPIQV PQAAQLPLPPPPEVKKPFQSSLIASAQSSSGPSQSKSSSSSTPHQFAAKPSTATRALP TASKDGADSRPFQSSLQASIGSKMTPDEAVEAAPKKTLRQSIEEKKLGHPSDRFFTRS VSSRDDKQWPHGSRRQEGDSASYRLDAPKGKLTRQSSHDAHKQGPPQLARQASSEKRE TPPSILSRLGPAPDDHRHPPSIHARMGPPIESAKRDAPLPRSTSPGLRPQSSDLDRPP PFYGRDTKQPDRSKSSSKSPLCDKPPAKGGSSQLLTSMPSPPCRGATSSFVKPPVKGS SLVASISNVDALRLQLTSSIALSHARSNSPAHARQALDKVRYTATQLRALNKSPNVPR PFDLVDLTKIVVTPASPRAKSSKSSGRFWSARATTSSVVRIHAGHARGGASQQQMRGG RGGRGDRQRGKGARHQPPPPPPLSDEPIVPFVKSESRWVPSKEDKVKQPKDVQHQVKT LLNKLTRDQFEKITADIAALPLKSLDTLNMLVAMIMDKALEEPNFAQVYADLCVRLHQ HLAAHRPPFLHAVHHVADQVWYWTAANRSTFPAFHGPVTSRDECFAGALGVHSKADKE VPPEEVQAPAYYVHKGHLVVVATKAGLPKELYYAAVQVDKLSENEPLMGTYPTEESAI KAAATFTSFKRLLVTRCQHKFDSTEHKPKQADDADDPAAVRAARRTKTLMLGNMRFLG ELFKVELIAESVVQQCIFKLLGLELVHVDGGAQAAQTIRYRYICNIYILRTVIEPCSM PDEEDLEALCKMLATVGKKFDHKGVKTAMTMILVRMVELSDTPSLPSRIRFLLKDVLE MRDHQWVPRRKELQQKTLQEVRKEAEKLQRLGKNAQHDDLQGKRHRTAHSSLDVAKQN SMLLLRQTTIPDQPPVTLAVDPTNRIKSILQEYLALHDIAETRQCVAELPRDSHLAFV EQALTLALEGKEKDRAAAVDMLVGLYETLTLGATEIQSGLLGTLEFLDDLRIDIPMVH EYCGLILGRMIGAGCFGLSWLQLGVRHLAESGLAGLLVAEVLGVMDDDMGADTVATML AREELNVAGFLPPDQQSPDAVAAFLKAHDLTGYFYEDDDEEEDDEEVKDALHAMVQEF LVVQDVAEVDACLADLQPHPWTVSLVKTVLNELCECKPAQRPLLLTLWRHVLPHVDPA DVEVGLSWWFDQLDDVVVDVPQAAVYVAPVVAQVLHERVLTWTWLATVVDGARPATVV QLVEGVCYALDALDSRDATRQGICDSGVSMDKFASGGRLAPWFT H257_01470 MSDVEKPKFESSLLASLHKGEHPPPPRTATSRKPPPKKPPSEDR QPHARPPQAHVSDSGHHRSKTKQKFMYVQKASPTTDVPPATPSPAVAEAPPLPGPIQV PQAAQLPLPPPPEVKKPFQSSLIASAQSSSGPSQSKSSSSSTPHQFAAKPSTATRALP TASKDGADSRPFQSSLQASIGSKMTPDEAVEAAPKKTLRQSIEEKKLGHPSDRFFTRS VSSRDDKQWPHGSRRQEGDSASYRLDAPKGKLTRQSSHDAHKQGPPQLARQASSEKRE TPPSILSRLGPAPDDHRHPPSIHARMGPPIESAKRDAPLPRSTSPGLRPQSSDLDRPP PFYGRDTKQPDRSKSSSKSPLCDKPPAKGGSSQLLTSMPSPPCRGATSSFVKPPVKGS SLVASISNVDALRLQLTSSIALSHARSNSPAHARQALDKVRYTATQLRALNKSPNVPR PFDLVDLTKIVVTPASPRAKSSKSSGRFWSARATTSSVVRIHAGHARGGASQQQMRGG RGGRGDRQRGKGARHQPPPPPPLSDEPIVPFVKSESRWVPSKEDKVKQPKDVQHQVKT LLNKLTRDQFEKITADIAALPLKSLDTLNMLVAMIMDKALEEPNFAQVYADLCVRLHQ HLAAHRPPFLHAVHHVADQVWYWTAANRSTFPAFHGPVTSRDECFAGALGVHSKADKE VPPEEVQAPAYYVHKGHLVVVATKAGLPKELYYAAVQVDKLSENEPLMGTYPTEESAI KAAATFTSFKRLLVTRCQHKFDSTEHKPKQADDADDPAAVRAARRTKTLMLGNMRFLG ELFKVELIAESVVQQCIFKLLGLELVHVDGGAQAAQTIRMPDEEDLEALCKMLATVGK KFDHKGVKTAMTMILVRMVELSDTPSLPSRIRFLLKDVLEMRDHQWVPRRKELQQKTL QEVRKEAEKLQRLGKNAQHDDLQGKRHRTAHSSLDVAKQNSMLLLRQTTIPDQPPVTL AVDPTNRIKSILQEYLALHDIAETRQCVAELPRDSHLAFVEQALTLALEGKEKDRAAA VDMLVGLYETLTLGATEIQSGLLGTLEFLDDLRIDIPMVHEYCGLILGRMIGAGCFGL SWLQLGVRHLAESGLAGLLVAEVLGVMDDDMGADTVATMLAREELNVAGFLPPDQQSP DAVAAFLKAHDLTGYFYEDDDEEEDDEEVKDALHAMVQEFLVVQDVAEVDACLADLQP HPWTVSLVKTVLNELCECKPAQRPLLLTLWRHVLPHVDPADVEVGLSWWFDQLDDVVV DVPQAAVYVAPVVAQVLHERVLTWTWLATVVDGARPATVVQLVEGVCYALDALDSRDA TRQGICDSGVSMDKFASGGRLAPWFT H257_01471 MLPPPPRCVSRTEVTLVMEIPIVQPGYEYQFQYKQPHEDWGSSA VLPVTSSTGVLDELNPSCSYHIRVGAKATGAPDSEWIYSEEVAVDTEVPGCTPTPTCC SSCSIQ H257_01472 MSVFVNVGQCGNQVGGALMALAKDPKSRNKRQGGKSHLRCILVD TEPKVVRSIRAPDSIAAIHMEQSGRGNNWAMGYARSKNVALCEHVMESLRREIEATDC YRGAVLLHSLAGGTGSGLGSRLLESIRDTYPKAYIVSGCIAPSLRGDTPLQNYNALFT LRHLQEYADAVLFKDNDDLLRTVSHWTALSNRSGTVSLGDMNALVAADWAGLLFPTVA PTSKLRREFDVGGFVTNVCPMSQAKFVDVRSAYCSTKLTSKPPHACFAQHLTAAVHHD PLALTRQVLASFPRTTYASLGQLVVARGFNVVPVQSLVDAVKKGVPRVEWGIPPPFAV KSIAKAVDGTMSSVTVCSNGTNIVPIVETLLERAARQFHARAYVHWYAKYGVDTDFFT ESFIHTQAIVDEYHALNQPDE H257_01474 MWLSTAYGMLYAAMLSERRESTEKMTLIFLSLCLELVKHHAWMA LTISIKSTDRDAAATCRLTKCRSSSSTKCKNGIDALCWPYAWSSARASAFHHAANKAA SDSISTATRTCHATTANRFFWLCLCPIACKSATSDVHSAVVPSASWYARSPAVDNPVS SRVKMAARTCSATSRTLGLCTLWVQDVSTRRNDVDACAAASNVAPGRIRQSRSMEKLF K H257_01473 MGIDDETREVSARSDASSSAASYHIPHKTHRSATVDSLTDTGTP TNNTSFTSTQHYEEDFVSSPKGKGAGQTTNISATRGSLTNLSLSDLANATSRVRPNGR DSLMVRPTETLQHMDPSFHDVRATMNAPRYDFDTEGLSPLIIAARAGDVVEVNALLVQ PGTDVLRRDPTFGQSAMHFAVRGGHMSVLKALCSPHIVSSIINVPDNRRNTPLHLASA KSRRITKLLLENGADTTFFNIRNQTPLGVHIITTNKDDPMLCEMLLRHNADPNAAVDQ STLLHVALDKGLHEIALRLVRHGARLDTLDETNKMVFDKVDKPMLKKLLHKVTHSPVW IDDKSRPACMLCAKNFSLGNRRHHCRYCGRLCCADCATGKVAAYKFPKGFDNRLKQHG GAPNHKPQRVCNVCHGVLNDQQKEAGRTDLSDRASDLDQFYDRTLNVQWDEVKGQVNH PIATQKLTGGD H257_01475 MVDEGVVELEERVAALDTRLRGLESVARVVQDIRSRRVYSARLH RAPHDYYDWTLADRAKFLQCNVAQLCKSIIMENVAWKSDMPHVPRYYILLSTLRCHPP PSIYLAPWFRFVCVIVQYKAKINSDKVAKLIRDASTSVKISRKQVNFQHAPPDTSALL TGFEFNGVSPFGMSTALPVIVSAPVLELPYIWLGGGAHDVKLKVSVTQCVQSLSAIAG DVSESRQHALTTPLQN H257_01475 MVDEGVVELEERVAALDTRLRGLESVARVVQDIRSRRVYSARLH RAPHDYYDWTLADRAKFLQCNVAQLCKSIIMENVAWKSDMPHVPRFVCVIVQYKAKIN SDKVAKLIRDASTSVKISRKQVNFQHAPPDTSALLTGFEFNGVSPFGMSTALPVIVSA PVLELPYIWLGGGAHDVKLKVSVTQCVQSLSAIAGDVSESRQHALTTPLQN H257_01475 MVDEGVVELEERVAALDTRLRGLESVARVVQDIRSRRVYSARLH RAPHDYYDWTLADRAKFLQCNVAQLCKSIIMENVAWKSDMPHVPRYYILLSTLRCHPP PSIYLAPWFRFVCVIVQYKAKINSDKVAKLIRDASTSVKISRKQVNFQHAPPDTSALL TGFEFNGVSPFGMSTALPVRLTRFCMCT H257_01475 MVDEGVVELEERVAALDTRLRGLESVARVVQDIRSRRVYSARLH RAPHDYYDWTLADRAKFLQCNVAQLCKSIIMENVAWKSDMPHVPRFVCVIVQYKAKIN SDKVAKLIRDASTSVKISRKQVNFQHAPPDTSALLTGFEFNGVSPFGMSTALPVRLTR FCMCT H257_01476 MEKSFRCVTQRCESAKLLIDNDNEYVHIGRGLILYVSWAKGASV EDLPRIVKTLLNMRLLPVAAAPSRSQSICEATLEHDPMHVLVVPQAALTSKLQRGKNI QYHGQLTKDDGAEVVYTEFIRLLRDMSADILASLPSSGRLVIQHGTFGNRQALQFESD GPFTHMFDM H257_01476 MEKSFRCVTQRCESAKLLIDNDNEYVHIGRGLILYVSWAKGASV EDLPRIVKTLLNMRLLPVAAAPSRSQSICEATLEHDPMHVLVVPQAALTSKLQRGKNI QYHGQLTKDDGAEVVYTEFIRLLRDMSADILASLPSSGRLVIQHGTFGNRQVVQSVAV LQLLAFCDTVLSSYAHTGIAVRVRRALHAHV H257_01476 MEKSFRCVTQRCESAKLLIDNDNEYVHIGRGLILYVSWAKGASV EDLPRIVKTLLNMRLLPVAAAPSRSQSICEATLEHDPMHVLVVPQAALTSKLQRGKNI QYHGQLTKDDGAEVVYTEFIRLLRDMSADILASLPSSGSKCTRSTNIQQ H257_01477 MMANDEMEPVIQAKRPSANAPVEGSVSLPIPAVDPKVDDVVAPP LSPPPVVLPRPVLDAASLSYRSTRKELISVFVACTHLNLPQLPQTFFQSIFKGKKPDT NLPEIRVEVDIKPVGGDAAKASTVFHHTTEALRVKNPSFSVGLTIPVPVSKANSDEYH LHFRVVQTDVGLEKTVATTEMMCGLLLESFKQGSPVVHLPLLASTSQEAILSLTLARV FPIKHNVLPTQNMLMHMYAFPQPKHKDTSTLTLVEQAPLLASEELVEVGYATSLPPLF LQQCTDEMMAAHRLWSVRYANARKSALLFDSPDEALANGCDVYSVEVISGKGLALPAD LVLQPPPPSAPVTPTNRSPSATNRSPSAANRSPTANSGGTRSRTSSNASKETGKQPVV TCNPFVAVKFKEASKGQRPVEVTEGRTPVEKNTGDPHWGDSVVGAKTAKPIEFYRPNE GQPAHSLRRTLEFDVLSVCDAHYEGEIALGKVTLPVDAVMYEGKCAAWDINLTRWLPV LSPSGEERGEILIRVQMRRTTTVFSLDVEPSYAHGSLFHLADPKRQLKFQAALDAKNH TPASLSIADIRDLVASHSAAVDQLRAWQAHVEAASTDWFRSSEHKAKADVQPLTTNLH VSYFRLYAGVEPARATVLQGRPRDVEIDVLPGEDLSASTRYMLGHGGGGSRFSLEDLV DLDSPLQVDATYSTVTCGAPTAHGLGLSQFGLVELEDKLLSVESPVESYRCTYALRKA VCMSQALCVLAATFTSQLELVLQKSVPNHEWLLEQWATVGYLIGWESLVSTQGKELHM LSDAWVAIKSLERVQIQLVSHGELTTFAPSPDSDEKYVLQLPLPSPVFGLLPQALQDG RLVGVTSVLFTQGINEMQTLANVVGAVGIALQVRINDKCCLALGEYHERLMAVPSLQG MTAQMKHLKLDALSAFIANSNESTMTKKNHRILLEASDDIRRLNGGRVTFCKSGKDRT AMSVTLDQARVVGSLWKHAPMMLQDASSKQDWALLKPVANLMREFGVRIEVAKKNVGQ PRYSFNGLQRKLLPKMYRPPRGAIIKGDHDVDDS H257_01478 MLIRRPFCFVRRLSKLGNRPPPHAWTAHYSVLLVKHPLVTKTLT SAALAGIGDVVCQLGVEHADTIDFRRLTVFTAIGGIYIAPLLHVSYGMLNRMFAGISA KAVLQRVAVDQLVLTPAFFVSYFALMQTVSPTDVSITDKLRHDWWPTVQVNWIVWVPA QLINFGFIRPSYQVLFSNVVSLFWNAYMSFVSHPHDGDNDTLPPSHG H257_01479 MNDDIFSLFGAPPAPVRPVEIARHVNALTITPPPPPQPLEAGNS SITCFLSCTDLHLPSVHTTAQTMLRGAMSVLGGASTPKNRKPPECMIQVDVKNAHRAP LVAVESLTTEKLRSRNPAFTVGIHFANDPSFIPPDSVVCFQVIMTEDSGSQGKKPVAT AELAWLHLTQNYEHGASVVYLPLHCPYTDAGVLTIRFARTAPMRHPLLATQNQVVLGY AFPNANPSLLPTLVTEEMAEVGTSVQLPLVFLRQCRRELEGAYHLWRVRYNNAKKRLK HFADADEAMQSGCDVFRVSVLQARHLQPMKSMRKVPSKPLLSPKSFKKPGDGKAMDGG FESVGVHPFAVVLFNETPAPHANINSTPWQVVGKTNTEYECTAPTWATNAQMSQCPHG APSTDFFVSTHLHTKVEKRTQFVWYRPSRRQELSGAIQIDVCSENETDGHQPPVALGS VTLSLEELRPLFVPDAAAVVAHAVAFRSANWFPLKSKHGDVVGEVQCEMEVRLTSAAF TFESERETHFQKTAKADETPLFRLATQAMDRALRDTMHTPSDDDTYSIQFLHAHVKEL GGYVKELDAMIRKAEARATSKTWFKGSTDKKKRDIQAMATNLHVSYLRKFNWPPSNSP RPSLQPPPHKRSSQADLLGLHGDAKLTGDVTLPQTNDNNPAIATYATVTCGAPTAHAI PDEGLMELEDKLVKTHKEISLMANGMKNLYHGRVMVEGGNVLVERPLLVPVTDDDSGL TTHGTDEDDDVNSAIALESKSGGGGRKVTHTAKKDKKGMFFPKLSRQSSDTHLVTLGQ LRERFESTKYQYYFRKSVCVSQSVTALVTTFLAMLELHEDDPSTLSQWSQIGFVIGWE SLISSQGKEWRMLSDAWVAIKCLERFSFQLDHTISEIVLEERSVDHEGYIIRIPTKQD MHLGLIAVTPVVFTQGINEMQSLANMVGSSGVELQSTINNASFKSLQMYHTKYLKTSN VESDSTAAHLLLDPLMAVVQSENAAAKNTRILLEASDVVRRLRGGRVTYCKSGKDRTA MSVTLEQARLLFKRVSGVNPLHLLGATEQLNIGAEELHAANIMREFGIRIEIANKNVG RYKYSFNAIQRKMLPDIYRPPMSTIQDIVTSVTARDS H257_01479 MNDDIFSLFGAPPAPVRPVEIARHVNALTITPPPPPQPLEAGNS SITCFLSCTDLHLPSVHTTAQTMLRGAMSVLGGASTPKNRKPPECMIQVDVKNAHRAP LVAVESLTTEKLRSRNPAFTVGIHFANDPSFIPPDSVVCFQVIMTEDSGSQGKKPVAT AELAWLHLTQNYEHGASVVYLPLHCPYTDAGVLTIRFARTAPMRHPLLATQNQVVLGY AFPNANPSLLPTLVTEEMAEVGTSVQLPLVFLRQCRRELEGAYHLWRVRYNNAKKRLK HFADADEAMQSGCDVFRVSVLQARHLQPMKSMRKVPSKPLLSPKSFKKPGDGKAMDGG FESVGVHPFAVVLFNETPAPHANINSTPWQVVGKTNTEYECTAPTWATNAQMSQCPHG APSTDFFVSTHLHTKVEKRTQFVWYRPSRRQELSGAIQIDVCSENETDGHQPPVALGS VTLSLEELRPLFVPDAAAVVAHAVAFRSANWFPLKSKHGDVVGEVQCEMEVRLTSAAF TFESERETHFQKTAKADETPLFRLATQAMDRALRDTMHTPSDDDTYSIQFLHAHVKEL GGYVKELDAMIRKAEARATSKTWFKGSTDKKKRDIQAMATNLHVSYLRKFNWPPSNSP RPSLQPPPHKRSSQADLLGLHGDAKLTGVGLDVTLPQTNDNNPAIATYATVTCGAPTA HAIPDEGLMELEDKLVKTHKEISLMANGMKNLYHGRVMVEGGNVLVERPLLVPVTDDD SGLTTHGTDEDDDVNSAIALESKSGGGGRKVTHTAKKDKKGMFFPKLSRQSSDTHLVT LGQLRERFESTKYQYYFRKSVCVSQSVTALVTTFLAMLELHEDDPSTLSQWSQIGFVI GWESLISSQGKEWRMLSDAWVAIKCLERFSFQLDHTISEIVLEERSVDHEGYIIRIPT KQDMHLGLIAVTPVVFTQGINEMQSLANMVGSSGVELQSTINNASFKSLQMYHTKYLK TSNVESDSTAAHLLLDPLMAVVQSENAAAKNTRILLEASDVVRRLRGGRVTYCKSGKD RTAMSVTLEQARLLFKRVSGVNPLHLLGATEQLNIGAEELHAANIMREFGIRIEIANK NVGRYKYSFNAIQRKMLPDIYRPPMSTIQDIVTSVTARDS H257_01479 MNDDIFSLFGAPPAPVRPVEIARHVNALTITPPPPPQPLEAGNS SITCFLSCTDLHLPSVHTTAQTMLRGAMSVLGGASTPKNRKPPECMIQVDVKNAHRAP LVAVESLTTEKLRSRNPAFTVGIHFANDPSFIPPDSVVCFQVIMTEDSGSQGKKPVAT AELAWLHLTQNYEHGASVVYLPLHCPYTDAGVLTIRFARTAPMRHPLLATQNQVVLGY AFPNANPSLLPTLVTEEMAEVGTSVQLPLVFLRQCRRELEGAYHLWRVRYNNAKKRLK HFADADEAMQSGCDVFRVSVLQARHLQPMKSMRKVPSKPLLSPKSFKKPGDGKAMDGG FESVGVHPFAVVLFNETPAPHANINSTPWQVVGKTNTEYECTAPTWATNAQMSQCPHG APSTDFFVSTHLHTKVEKRTQFVWYRPSRRQELSGAIQIDVCSENETDGHQPPVALGS VTLSLEELRPLFVPDAAAVVAHAVAFRSANWFPLKSKHGDVVGEVQCEMEVRLTSAAF TFESERETHFQKTAKADETPLFRLATQAMDRALRDTMHTPSDDDTYSIQFLHAHVKEL GGYVKELDAMIRKAEARATSKTWFKGSTDKKKRDIQAMATNLHVSYLRKFNWPPSNSP RPSLQPPPHKRSSQADLLGLHGDAKLTGVGLDVTLPQTNDNNPAIATYATVTCGAPTA HAIPDEGLMELEDKLVKTHKEISLMANGMKNLYHGRVMVEGGNVLVERPLLVPVTDDD SGLTTHGTDEDDDVNSAIALESKSGGGGRKVTHTAKKDKKGMFFPKLSRQSSDTHLVT LGQLRERFESTKYQYYFRKSVCVSQSVTALVTTFLAMLELHEDDPSTLSQWSQIGFVI GWESLISSQGKEWRMLSDAWVAIKCLERFSFQLDHTISEIVLEERSVDHEGYVCIHFS WDYCTYMYVLQVYHSNPHQARYAPGTDCSHPRGVYARHQRDAKPGQYGRVVGRGVAVD NQQRQLQEPANVPHQVPQNVQRRVGLDGGAPAAGPAHGGGPV H257_01480 MSAESEKPVALSALRFLAPRTVTSKTSQPAARASSGLSKMSCFL RSLRHRMFHSSDACVSSACMHRRKRNGMDVWYSGSMTSSITSLRRLSDDDDAGANMYD CNIGCCVRTKGCGVGTMDEIVMRRTGSPPLFLDTLPNSSNGTCSVVARVVTRRSMDTV ADPTVKLSCVRVNVKLRTSAVGDARPFASSEARPSVSGNWIPNANAGFGDWTVSVKYV SCLSWWSRSTRIWHSTTSVCLRIDAIAPSKKLCGLGATFTL H257_01481 MKDDNADYDDSRSRSSSDGFSDNEGDSEHNDRFLMEQESRAMER RSRTVGFREGIDVGKEETLQEGFNAGYLVGASQGFRSGVLHGLLRSYTSQFPNLASTD HVALLATLREKEQSSITRGDIPSTNASDVDAVHAILASAFPNFSSHMAAHHDKANHPV PPPPPPLQSQ H257_01482 MARQNKRNKNSNGDGQHRTSPTSNGDANGGAVKRTMYVQLLLND PALVRHAQHLKETWTEATGWELDDEVGQSSLVLLSVDVQSHVQLQVVKDILSTSIGQG DASALDASCRLQVENNSINGTKCLRLAVHFSDVMLAFLAKTSNAAQLKLASHGIKATN RYEPNALKKLRSVVVWSLNQTSPLPSLHDDIAHTNRQGWTAPLSLRTLVLGWTPMGAQ VVSTWLFASSIGQTSRDLPTLSPLYDTLQLVANTVVILRGRPGSGKSTLTRIVKAKAA SLGYDVAVCSADTHFDSPWGYHYDKAQLPAAHDACRLAFHDALQQHVAVVVVDNTHSC LWEYEPYRTAAFEAGYRVVVVEVGCDDVTTAVRMGFRNSHGVGIDVMLRMHQRWEPHV SHASEVHMLVPPAFSAADNRRAMALLLQGDIGDVYIAAVYFSDETKRTLLERFPPKHV NVIAEHMTLAFQPSKAFVASLTLGQHVRLNVVQERFDAKGHCLKLQWVHNAVAVDCVG QRILHTTLSFAPDSAAYYSNALLLDDDNANIVCVQPPDQLEVEGLVGVALQSPYVPKW KKAKLLDLPRSTLTHRCDVVLVDVRGSDAIDQIAFVAELERVAGVGSTLVLLACDEVD CLWFTRRQIEFHHVIISRTMTLSASIKQVQTIVSEVAAITVVTLASSLVPVESLSSYK ELFLSIHSVERSITSDPLPRHDAMSTVFRQFLVDIQNLVDRSWRSVAGASYIDTVSHD HQANHFDMYLSATPDGIVALPTLQSRLVASFPSYMQTRQLRHCASDQVAFVDVHVSAC YAVTFRLVLYVYPSETSPLVRSLHTMQELFHRRPRAIDAVLGWFMDVLYDIPLPFADV ERSMRVLAACLARGYVHQHPHPDMEEFHRHMLDWSETDWLVCLAHKDADATALCHALH APLEEDGGHHLAGTPRQLKDLELQVVRCILQKTAGAPARELEWFAIAPAHGGGSQHWT RYLDDLDIRHRLSRAIPHQIVFRQNQMAIEPSGVAAITHQPLNQPKSADTTTTVQLTH VYTGQQLQLSVG H257_01482 MLAFLAKTSNAAQLKLASHGIKATNRYEPNALKKLRSVVVWSLN QTSPLPSLHDDIAHTNRQGWTAPLSLRTLVLGWTPMGAQVVSTWLFASSIGQTSRDLP TLSPLYDTLQLVANTVVILRGRPGSGKSTLTRIVKAKAASLGYDVAVCSADTHFDSPW GYHYDKAQLPAAHDACRLAFHDALQQHVAVVVVDNTHSCLWEYEPYRTAAFEAGYRVV VVEVGCDDVTTAVRMGFRNSHGVGIDVMLRMHQRWEPHVSHASEVHMLVPPAFSAADN RRAMALLLQGDIGDVYIAAVYFSDETKRTLLERFPPKHVNVIAEHMTLAFQPSKAFVA SLTLGQHVRLNVVQERFDAKGHCLKLQWVHNAVAVDCVGQRILHTTLSFAPDSAAYYS NALLLDDDNANIVCVQPPDQLEVEGLVGVALQSPYVPKWKKAKLLDLPRSTLTHRCDV VLVDVRGSDAIDQIAFVAELERVAGVGSTLVLLACDEVDCLWFTRRQIEFHHVIISRT MTLSASIKQVQTIVSEVAAITVVTLASSLVPVESLSSYKELFLSIHSVERSITSDPLP RHDAMSTVFRQFLVDIQNLVDRSWRSVAGASYIDTVSHDHQANHFDMYLSATPDGIVA LPTLQSRLVASFPSYMQTRQLRHCASDQVAFVDVHVSACYAVTFRLVLYVYPSETSPL VRSLHTMQELFHRRPRAIDAVLGWFMDVLYDIPLPFADVERSMRVLAACLARGYVHQH PHPDMEEFHRHMLDWSETDWLVCLAHKDADATALCHALHAPLEEDGGHHLAGTPRQLK DLELQVVRCILQKTAGAPARELEWFAIAPAHGGGSQHWTRYLDDLDIRHRLSRAIPHQ IVFRQNQMAIEPSGVAAITHQPLNQPKSADTTTTVQLTHVYTGQQLQLSVG H257_01483 MGSFQTCDTCTGAPTTTTAADDEVHHNDIPPLPVEDTESGDVQV PAMADDSSTDLAPTVLPATTIDDDTLLTPHPARSPPAVDISTSATVDVNQTLALDPTT AVPTTTLIAAVASAVATEVASQAKKAVEAASSDAALLTGVSDSSSQLKKPFSLTWVMY DVDDPLGQLLALVTLSPVFIMVMYATLLVFQRDLHIAFLLLGQLLNEVFNQILKRTID QKRPDGADMEDAVLYTSNRMSKRREWEHKVAIVGVVALALLVFVSRIRLGYHSVAQVV VGATVGTGTGILWYIFMENLAVPLFPWIASWEVCQRLYIRDCSHIPDLVEFHYKMTIL RVTSTEPLSSSTSSRNTKYRGM H257_01483 MGSFQTCDTCTGAPTTTTAADDEVHHNDIPPLPVEDTESGDVQV PAMADDSSTDLAPTVLPATTIDDDTLLTPHPARSPPAVDISTSATVDVNQTLALDPTT AVPTTTLIAAVASAVATEVASQAKKAVEAASSDAALLTGVSDSSSQLKKPFSLTWVMY DVDDPLGQLLALVTLSPVFIMVMYATLLVFQRDLHIAFLLLGQLLNEVFNQILKRTID QKRPDGADMEDAGMPSAHCQFMAFFATYVVLYTSNRMSKRREWEHKVAIVGVVALALL VFVSRIRLGYHSVAQVVVGATVGTGTGILWYIFMENLAVPLFPWIASWEVCQRLYIRD CSHIPDLVEFHYKMTILRVTSTEPLSSSTSSRNTKYRGM H257_01483 MGSFQTCDTCTGAPTTTTAADDEVHHNDIPPLPVEDTESGDVQV PAMADDSSTDLAPTVLPATTIDDDTLLTPHPARSPPAVDISTSATVDVNQTLALDPTT AVPTTTLIAAVASAVATEVASQAKKAVEAASSDAALLTGVSDSSSQLKKPFSLTWVMY DVDDPLGQLLALVTLSPVFIMVMYATLLVFQRDLHIAFLLLGQLLNEVFNQILKRTID QKRPDGADMEDAGMPSAHCQFMAFFATYVVLYTSNRMSKRREWEHKVAIVGVVALALL VFVSRIRLGYHSVAQVVVGATVGTGTGILWYIFMENVRPSQD H257_01484 MVKPTSLDHELLALVRDDGRRHSEEVSKTLSEYSKQVLDMQEKN KQLAAELDFDARNIRSDPFYNEASAQEMSHIQIEGNKFTKMIEVERRKIAQYDLAIQD CERTLAEQKMSLGNGLVLEMSEASLVTKIKKMETEIDRKMIKFNEKLAGNKHLRKTLD IKRAERATTDVLYSTIEVDTLETKAKIDLETKEVASLRQAVQEQIAAIEALKKDAAAC ENACDAKAAEILRELKNQAAESMTNSMDDASMISPKKYLSPGADTTLIEEKLNKSKIT RSRWKIIQEKVTSEISVRKYNENREFIERIHQVSGTKTVMELIEAFNTQEVEHFAKIQ YVNQLAEDIETHRTQCSKLREEIGKMKLRNDAVDVQKMQRTKTLKSRKEKSQEQEAHL VDKINEIQQTIAVLKPALMALHVRIGCKENQDEKSLRGILGEVEHKMAEIIHAMHAKS LRKLAVPELSLPPSLMNSTSSIPTISSDPLILGKSEQPYRYMGVRPPTLTLSEMHRKE NAEEEYPLTYDELKAKVWRSGKDSMSNTSSSFDSTK H257_01485 MMRRHRVQDIAPTATTAPQMLHADVLDLIAALCCLYCILMSFMH LGLSIFPYMQRFFGFVNPIFTATTFAICGAVHLRGWWRIRARRWVVNRTAKHLRNISP LLISTLGRQQAMSTNDRSYNDRIRTLVRLQSASTVTASDLDVLREHLLRAKRAYEGQG VRGSAVVMLLIAKMKWFHARIVAETLYQKYFGPRGAFSRQGMHYEARLLVRECIVLPM QFFRGYNNSNHLSSPLTTTFGVVLGLHCIVVAPSFVSNLNLLPRNFPRARTRREHLVV TIVLFDLVLGVVLPLSLAVPVIYSLVTSPTIISDQNWDVYAISVIKAMLIMTFTDLIA AVAPMLLLYVTLQNVHASNVDTFLSSHVLVTATPPPKRKTSSVLKRMFTAFLHFVHGT LLSFYPPPSSSLSSSPTLGSKVTTQATTPPVVPQAWTSPPLEVPLLLAPEVVETPGDL PRSSQQVETISAFNIRCRYFVFAVYTCCSVVSGVVIAWIAVRSFVWTCGDVTMPAYVQ CARHIRPWHVYPLWSQECHCQILEFDCSRVDTPIVDWIQLYGEMDGFLESTAAPFVNH LTFRNCPLHAPHRPSPHIARFTDLYFLQLAACGLDSTDVIDMDFSIFSKILYLSFTNN SFADISPSFQHIPPLCLGISFSFNDMHNATFPDWIGPAWANLTQLYFRQANLTVFPPA FVQLEWIGIIDLTSNSITSFPPLPTAWGFWSHLTELRLANNKLTSVPSELTSLPALQV LTLASNDLADCPAPTHSISTYTMEDNPCCTARSAACPVACHPACDERFRTSYYCILEC ATPACIQVAGSTCQHKTPV H257_01485 MHYEARLLVRECIVLPMQFFRGYNNSNHLSSPLTTTFGVVLGLH CIVVAPSFVSNLNLLPRNFPRARTRREHLVVTIVLFDLVLGVVLPLSLAVPVIYSLVT SPTIISDQNWDVYAISVIKAMLIMTFTDLIAAVAPMLLLYVTLQNVHASNVDTFLSSH VLVTATPPPKRKTSSVLKRMFTAFLHFVHGTLLSFYPPPSSSLSSSPTLGSKVTTQAT TPPVVPQAWTSPPLEVPLLLAPEVVETPGDLPRSSQQVETISAFNIRCRYFVFAVYTC CSVVSGVVIAWIAVRSFVWTCGDVTMPAYVQCARHIRPWHVYPLWSQECHCQILEFDC SRVDTPIVDWIQLYGEMDGFLESTAAPFVNHLTFRNCPLHAPHRPSPHIARFTDLYFL QLAACGLDSTDVIDMDFSIFSKILYLSFTNNSFADISPSFQHIPPLCLGISFSFNDMH NATFPDWIGPAWANLTQLYFRQANLTVFPPAFVQLEWIGIIDLTSNSITSFPPLPTAW GFWSHLTELRLANNKLTSVPSELTSLPALQVLTLASNDLADCPAPTHSISTYTMEDNP CCTARSAACPVACHPACDERFRTSYYCILECATPACIQVAGSTCQHKTPV H257_01485 MMRRHRVQDIAPTATTAPQMLHADVLDLIAALCCLYCILMSFMH LGLSIFPYMQRFFGFVNPIFTATTFAICGAVHLRGWWRIRARRWVVNRTAKHLRNISP LLISTLGRQQAMSTNDRSYNDRIRTLVRLQSASTVTASDLDVLREHLLRAKRAYEGQG VRGSAVVMLLIAKMKWFHARIVAETLYQKYFGPRGAFSRQGMHYEARLLVRECIVLPM QFFRGYNNSNHLSSPLTTTFGVVLGLHCIVVAPSFVSNLNLLPRNFPRARTRREHLVV TIVLFDLVLGVVLPLSLAVPVIYSLVTSPTIISDQNWDVYAISVIKAMLIMTFTDLIA AVAPMLLLYVTLQNVHASNVDTFLSSHVLVTATPPPKRKTSSVLKRMFTAFLHFVHGT LLSFYPPPSSSLSSSPTLGSKVTTQATTPPVVPQAWTSPPLEVPLLLAPEVVETPGDL PRSSQQVETISAFNIRCRYFVFAVYTCCSVVSGVVIAWIAVRSFVWTCGDVTMPAYVQ CARHIRPWHVYPLWSQECHCQILEFDCSRVDTPIVDWIQLYGEMDGFLESTAAPFVNH LTFRNCPLHAPHRPSPHIARFTDLYFLQLAACGLDSTDVIDMDFSIFSKILYVCVMCF LSGEWRGVGTSRSRTTASRTFLHRFSTSRRSVWAFRSASTTCTTLRFPTGLARRGQT H257_01486 MDGPNDTPVPQPQDHLSRHPTQYAEASYAHRHDLAAMALVPPSA VPTMQQTSAWPSASSQVLDVTNTVLLEKQPLQPPRPSYLTVPATSLYQPPQIQQSTGT HVAGAPSMGNAHASSQLLTVRVPTNAQSQLVGAYAGPTSKNQPAPTKPRPVKKPTPMS ITFNGSFEDECKSMLLKLLFAFHEANSSDQVLAALSALYTWIKSQTYNPQPASGAAAP PPPPPPSTVISLPLLRHAKNEFVQCSQIKQRRQPHVWSTGVAQAYAGILQHMLQSITH HTTLLQQQVQQPSQQPSQQPSRPSGATTPRPQQQQKLPPPPLPVVQKPDVLPEKLQQR MQVNLSQAHAQFSRAQQQQKPTPTVKARKREPKAPPTPKPHVPCVQPPTPLMSSVSND VFVDMTLRTAQSGGDDDQLYVPQRNITKVMRTVLPQETKIKIADDAKELMQECVTEFM LYLTSETRDQAVVDRRSKITLTGQDAVKALYNLGFTTYGDLLTQYNEKITAAQREANR TKNERRLAKQKGLGPSQQQPNAPPSIPPTPQSTAEPAQPSPTTAEPAQSLQSTAEPAQ PSPAVSAADLLDVAME H257_01486 MDGPNDTPVPQPQDHLSRHPTQYAEASYAHRHDLAAMALVPPSA VPTMQQTSAWPSASSQVLDVTNTVLLEKQPLQPPRPSYLTVPATSLYQPPQIQQSTGT HVAGAPSMGNAHASSQLLTVRVPTNAQSQLVGAYAGPTSKNQPAPTKPRPVKKPTPMS ITFNGSFEDECKSMLLKLLFAFHEANSSDQVLAALSALYTWIKSQTYNPQPASGAAAP PPPPPPSTVISLPLLRHAKNEFVQCSQIKQRRQPHVWSTGVAQAYAGILQHMLQSITH HTTLLQQQVQQPSQQPSQQPSRPSGATTPRPQQQQKLPPPPLPVVQKPDVLPEKLQQR MQVNLSQAHAQFSRAQQQQKPTPTVKARKREPKAPPTPKPHVPCVQPPTPLMSSVSND VFVDMTLRTAQSGGDDDQLYVPQRNITKVMRTVLPQETKIKIADDAKEFMLYLTSETR DQAVVDRRSKITLTGQDAVKALYNLGFTTYGDLLTQYNEKITAAQREANRTKNERRLA KQKGLGPSQQQPNAPPSIPPTPQSTAEPAQPSPTTAEPAQSLQSTAEPAQPSPAVSAA DLLDVAME H257_01486 MDGPNDTPVPQPQDHLSRHPTQYAEASYAHRHDLAAMALVPPSA VPTMQQTSAWPSASSQVLDVTNTVLLEKQPLQPPRPSYLTVPATSLYQPPQIQQSTGT HVAGAPSMGNAHASSQLLTVRVPTNAQSQLVGAYAGPTSKNQPAPTKPRPVKKPTPMS ITFNGSFEDECKSMLLKLLFAFHEANSSDQVLAALSALYTWIKSQTYNPQPASGAAAP PPPPPPSTVISLPLLRHAKNEFVQCSQIKQRRQPHVWSTGVAQAYAGILQHMLQSITH HTTLLQQQVQQPSQQPSQQPSRPSGATTPRPQQQQKLPPPPLPVVQKPDVLPEKLQQR MQVNLSQAHAQFSRAQQQQKPTPTVKARKREPKAPPTPKPHVPCVQPPTPLMSSVSND VFVDMTLRTAQSGGDDDQLYVPQRNITKVMRTVLPQETKIKIADDAKELMQECVTEFM LYLTSETRDQAVVDRRSKITLTGQDAVKALYNLG H257_01487 MMDHTDNAATGRSASLIDGQLERDGHALAANYERCITFRMLLQE ISATMTMRIQAVESSLGVSEGAFETQDAAVQDMIQAHQQVEEDLRAIFTALKHQRVDP AMVSGEVGKSLFDFVDADTVMDLQRQAQSHIHTIVESRHNTVDSLELLRATMSFYQGL DFNGMVPLSSDGQSVWDALGDLCQHLQDELFECKLRHTSLQETRHHAAVDRITEDSSA LVKASSTALNHLTELYDVALLYFVDMEQCDRRILHTFSAMHDTSQAYDAALSECHVLL DELTNLLRFYERFLAAYEALPLELQRRQAYEATTRRLVADLQSHLNALEATERLDRQA FADDHEQFLPATLCPCIKEPLWAYQVEQL H257_01487 MMDHTDNAATGRSASLIDGQLERDGHALAANYERCITFRMLLQE ISATMTMRIQAVESSLGVSEGAFETQDAAVQDMIQAHQQVEEDLRAIFTALKHQRVDP AMVSGEVGKSLFDFVDADTVMDLQRQAQSHIHTIVESRHNTVDSLELLRATMSFYQGL DFNGMVPLSSDGQSVWDALGDLCQHLQDELFECKLRHTSLQETRHHAAVDRITEDSSA LVKASSTALNHLTELYDVALLYFVDMEQCDRRILHTFSAMHDTSQAYDAALSECHVLL DELTNLLRFYERFLAAYEALPLELQRRQAYEATTRRLVADLQSHLNALEATERLDRQA FADDHEQFLPATLCPCIKDRPYKPTLVMDPPPTATN H257_01487 MVSGEVGKSLFDFVDADTVMDLQRQAQSHIHTIVESRHNTVDSL ELLRATMSFYQGLDFNGMVPLSSDGQSVWDALGDLCQHLQDELFECKLRHTSLQETRH HAAVDRITEDSSALVKASSTALNHLTELYDVALLYFVDMEQCDRRILHTFSAMHDTSQ AYDAALSECHVLLDELTNLLRFYERFLAAYEALPLELQRRQAYEATTRRLVADLQSHL NALEATERLDRQAFADDHEQFLPATLCPCIKDRPYKPTLVMDPPPTATN H257_01487 MMDHTDNAATGRSASLIDGQLERDGHALAANYERCITFRMLLQE ISATMTMRIQAVESSLGVSEGAFETQDAAVQDMIQAHQQVEEDLRAIFTALKHQRVDP AMVSGEVGKSLFDFVDADTVMDLQRQAQSHIHTIVESRHNTVDSLELLRATMSFYQGL DFNGMVPLSSDGQSVWDALGDLCQHLQDELFECKLRHTSLQETRHHAAVDRITEDSSA LVKASSTALNHLTELYDVALLYFVDMEQCDRRILHTFSAMHDTSQAYDAALSECHVLL DELTNLLRFYERFLAAYEALPLELQRRQAYEATTRRLVADLQSHLNALEATERLDRQA FADDHEQFLPATLCPCIKV H257_01487 MMDHTDNAATGRSASLIDGQLERDGHALAANYERCITFRMLLQE ISATMTMRIQAVESSLGVSEGAFETQDAAVQDMIQAHQQVEEDLRAIFTALKHQRVDP AMVSGEVGKSLFDFVDADTVMDLQRQAQSHIHTIVESRHNTVDSLELLRATMSFYQGL DFNGMVPLSSDGQSVWDALGDLCQHLQDELFECKLRHTSLQETRHHAAVDRITEDSSA LVKASSTALNHLTELYDVALLYFVDMEQCDRRILHTFSAMHDTSQAYDAALSECHVLL DELTNLLRFYERFLAAYEALPLELQRRQAYEATTRRLVR H257_01488 MTVLTSAIAHTFLPSILANLPHEWRGTTFANSALLGREVFSASV EKLLLEKHAKRDNIVTEAELSALGNAEDYLRVSTNISVLLELVLGLEVSLPTRQVFTF GSTTIPIISVLLTSKLPVLLYVDEGVASPFTADQLATLALLGTNVTIVSGHPVADPSA VVLALQVSPKKISAAVDAIVSDSVLYIHNPSKVNPDDILVIRKRLSTPLTTPVCEKFL QTIAGVKVTADGDASTPEALADFYAHLQTMSGTSVDAHANPVVFTAGLPAVCSIWLSL LHGGGADILMASTAYGGSSQLTDIYVNKSSGKIHKWKFDITGKNKISDSIKQALDKLT VTATAPTTVLFVEIPTNPDMKVPDIATLAQHLTSYRNTTGKEVLLLVDTTFAPASKVL QKISAVAPDLTTMVFISMSKSVSRGHTTAGTIIANTASPQSRKLLETVRWAGQLLDTT AKTDQLWRLTENHVGVEDRCQKAYEVAVTTGTALQAAVAKYAHGFHMDLAFVSPENAA QGFTTSTYSFNLPPLPNATAEANYAIAQRFVDLLTAHPSFKPCVSFGQDNGKVYCTVP STSTQGAIKAEDKAKQLVGGVELTRLSFPPHGDVQAIVAVLENAVKTIYTA H257_01489 MSKIRVVVRVRPPLPSEKGHATGALVADAAAKTVRVASDSSKSF AFDQVFAASASQYEIFQGGGISSMLLSVLDGFHATIFAYGQTGSGKTFTMEGYEYEKN PMRYSPDRVQAKPNIDVCSTRLGIVPRVILGLFDAIAAATLDKKREYSVKCSFVQIYN EQILDLLNFSTSQRTKPLRLRWASTQEFYVENLVVAACSSADEMLGRFQDGVKQKIMA THNLNAASSRSHCIYTLYVESVDPTNPDDVTKAKLSLVDLAGSERVVKTGATGVTLQE SIGINKSLFVLRQVIQTLSSSDDGSATNKGVHVPYRDSKLTALLKHSLGGNSITLMIA CLSPSDAYVDENLSTLVYAAKAQSISNKPVKNEDPKAQLIQKLRDEVATLKAQLAQAQ QVILHLGQLGDQNDNVEATGAISLLADVTPSADEVPPVMKPVMAHTRPASSLASPSPS NNPATPSVQASPPAAVTSVVVSSAGTKRLKQNVIDNVELIKTMYQNERQLRSEVDFVT SEVSTVRMENRTLNLENQSLRERIEVLEYLVVGGAKGPEDNGDVEGVVELVAPPQHRI DQVLRSCVKSSRSQGALRPATTHDAEAPRNNAPDMKKRETGMLSISELRHLLHKKVDD KGSKKRSSAKVAPPPPVPSHGGRSVAVEHPVVALLSTLEPQGNNSALEQDSLQSLSEL NRLLKVKAALKHSTSSR H257_01489 MSKIRVVVRVRPPLPSEKGHATGALVADAAAKTVRVASDSSKSF AFDQVFAASASQYEIFQGGGISSMLLSVLDGFHATIFAYGQTGSGKTFTMEGYEYEKN PMRYSPDRVQAKPNIDVCSTRLGIVPRVILGLFDAIAAATLDKKREYSVKCSFVQIYN EQILDLLNFSTSQRTKPLRLRWASTQEFYVENLVVAACSSADEMLGRFQDGVKQKIMA THNLNAASSRSHCIYTLYVESVDPTNPDDVTKAKLSLVDLAGSERVVKTGATGVTLQE SIGINKSLFVLRQVIQTLSSSDDGSATNKGVHVPYRDSKLTALLKHSLGGNSITLMIA CLSPSDAYVDENLSTLVYAAKAQSISNKPVKNEDPKAQLIQKLRDEVATLKAQLAQAQ QVILHLGQLGDQNDNVEATGAISLLADVTPSADEVPPVMKPVMAHTRPASSLASPSPS NNPATPSVQASPPAAVTSVVVSSAGTKRLKQNVIDNVELIKTMYQNERQLRSEVDFVT SEVSTVRMENRTLNLENQSLRERIEVLEYLVVGGAKGPEDNGDVEGVVELVAPPQHRI DQVLRSCVKSSRSQGALRPATTHDAEAPRNNAPDMKKRETGMLSVRKRLLSTSPDLNP H257_01490 MATGGGKKLWGGRFSGELDPVMNKFNESLSVDKRMWAADIRGSK AYASALRAANVITVEENVVIQDGLNSVFAEWENGTFVIVAGDEDIHTANERRLTELIG SAGGKLHTGRSRNDQVATDMRIYLKDAITGLSHQLKELIGVAAQLAESNISLLMPGFT HLQPAQPIRFAHWVMSHVAALQRDAERLEDLVKRVDVLPLGSGALAGNSFGIDRALIA KELNFGRISPNSLDAVGDRDFIVEFLFWASMTMIHFSQLAEDLIIYNTLKFVTMADAY STGSSLMPQKKNPDALELLRGKSGAVIGRLNGLMITLKGLPRSYNKDLQEDKTALFYV VDTMVDCIQIAAGVLATLTPNAAKMRGFLVTEMLATDLAEYLVRRGVPFRETHHVAGA AVRLAEDANKPLSDLTLAELQSLHPEFEPDVMDIWNYEASVERKNVPGGTSESAVLNH VRDVKAWIAK H257_01490 MATGGGKKLWGGRFSGELDPVMNKFNESLSVDKRMWAADIRGSK AYASALRAANVITVEENVVIQDGLNSVFAEWENGTFVIVAGDEDIHTANERRLTELIG SAGGKLHTGRSRNDQVATDMRIYLKDAITGLSHQLKELIGVAAQLAESNISLLMPGFT HLQPAQPIRFAHWVMSHVAALQRDAERLEDLVKRVDVLPLGSGALAGNSFGIDRALIA KELNFGRISPNSLDAVGDRDFIVEFLFWASMTMIHFSQLAEDLIIYNTLKFVTMADAY STGSSLMPQKKNPDALELLRGKSGAVIGRLNGLMITLKGLPRSYNKDLQEDKTALFYV VDTMVDCIQIAAGVLATLTPNAAKMRGFLVTEMLATDLAEYLVRRGVPFRETHHVAGT VHGGILVGYEYAGRRGGATGGRRQQTIERPDAGRVAVAAS H257_01491 MRSPSSSPQRSRDHPSAWLARVQERHPSITHAQLLRQLRGSYHG SQVPWDQLALALAYIEPQSQLHDPLDASMKAFWMRFMEDDDHVNVDAALTSIWPKGSG ATMTKTRPPPLHTTRDSEPTSHNADDGERSRHDIVHALGAYGVAALEDSLRHTARIRI PDLFDRVVDSAPHWDMGAEAFYEFCQPFCDPTAPDMVRTRQFLVAMQLPWGALDPAVQ RLRDALQHLSADELETQCAMFDMEDDGWILLSECVSVLHALPNVALTQLEIEAGVRQL SQPDLRVAYKDVCAVLGSASTEGYDSRWQTLRHQLCHDDAAKGQVVFHQLERIFSKLS THPSRCLVTASDLQRVLGTLLTPSDLQWIHRVLAKSDGHVDGHDLLTRLFPASFLASS TGTFLERSQHAPVYPFRSSTPRCRLESTPYDGTYTAAKRAHSTSPSRSHPSKLISPHV TRSTKLQPHRHPSPHSPSKTTPQDDAVLQQLREIIQYRQIDLLTLSDVSDAAGLVPLD NATAVLWRELEALELITFVQLQRALRRFATGKHNRLNLKSILDGLFDWSRLRNVTTHS IEDMAETFARFTTSRRGYLRWHPDFQRALGEMFPVDWMPWEHQVMCHRFGVTMHHEMW IDVGAFVRHLAGVPQDLWPLVVAHCDFQEMDPTHKGYVDRADLKRFLQRVLQRTPTPH QVGQVWQVFLQGDPTATVIKKQHVTAALKRGLLRPPPPAARV H257_01491 MRSPSSSPQRSRDHPSAWLARVQERHPSITHAQLLRQLRGSYHG SQVPWDQLALALAYIEPQSQLHDPLDASMKAFWMRFMEDDDHVNVDAALTSIWPKGSG ATMTKTRPPPLHTTRDSEPTSHNADDGERSRHDIVHALGAYGVAALEDSLRHTARIRI PDLFDRVVDSAPHWDMGAEAFYEFCQPFCDPTAPDMVRTRQFLVAMQLPWGALDPAVQ RLRDALQHLSADELETQCAMFDMEDDGWILLSECVSVLHALPNVALTQLEIEAGVRQL SQPDLRVAYKDVCAVLGSASTEGTSHSYDSRWQTLRHQLCHDDAAKGQVVFHQLERIF SKLSTHPSRCLVTASDLQRVLGTLLTPSDLQWIHRVLAKSDGHVDGHDLLTRLFPASF LASSTGTFLERSQHAPVYPFRSSTPRCRLESTPYDGTYTAAKRAHSTSPSRSHPSKLI SPHVTRSTKLQPHRHPSPHSPSKTTPQDDAVLQQLREIIQYRQIDLLTLSDVSDAAGL VPLDNATAVLWRELEALELITFVQLQRALRRFATGKHNRLNLKSILDGLFDWSRLRNV TTHSIEDMAETFARFTTSRRGYLRWHPDFQRALGEMFPVDWMPWEHQVMCHRFGVTMH HEMWIDVGAFVRHLAGVPQDLWPLVVAHCDFQEMDPTHKGYVDRADLKRFLQRVLQRT PTPHQVGQVWQVFLQGDPTATVIKKQHVTAALKRGLLRPPPPAARV H257_01491 MQLPWGALDPAVQRLRDALQHLSADELETQCAMFDMEDDGWILL SECVSVLHALPNVALTQLEIEAGVRQLSQPDLRVAYKDVCAVLGSASTEGYDSRWQTL RHQLCHDDAAKGQVVFHQLERIFSKLSTHPSRCLVTASDLQRVLGTLLTPSDLQWIHR VLAKSDGHVDGHDLLTRLFPASFLASSTGTFLERSQHAPVYPFRSSTPRCRLESTPYD GTYTAAKRAHSTSPSRSHPSKLISPHVTRSTKLQPHRHPSPHSPSKTTPQDDAVLQQL REIIQYRQIDLLTLSDVSDAAGLVPLDNATAVLWRELEALELITFVQLQRALRRFATG KHNRLNLKSILDGLFDWSRLRNVTTHSIEDMAETFARFTTSRRGYLRWHPDFQRALGE MFPVDWMPWEHQVMCHRFGVTMHHEMWIDVGAFVRHLAGVPQDLWPLVVAHCDFQEMD PTHKGYVDRADLKRFLQRVLQRTPTPHQVGQVWQVFLQGDPTATVIKKQHVTAALKRG LLRPPPPAARV H257_01491 MQLPWGALDPAVQRLRDALQHLSADELETQCAMFDMEDDGWILL SECVSVLHALPNVALTQLEIEAGVRQLSQPDLRVAYKDVCAVLGSASTEGYDSRWQTL RHQLCHDDAAKGQVVFHQLERIFSKLSTHPSRCLVTASDLQRVLGTLLTPSDLQWIHR VLAKSDGHVDGHDLLTRLFPASFLASSTGTFLERSQHAPVYPFRSSTPRCRLESTPYD GTYTAAKRAHSTSPSRSHPSKLISPHVTRSTKLQPHRHPSPHSPSKTTPQDDAVLQQL REIIQYRQIDLLTLSDVSDAAGLVPLDNATAVLWRELEALELITFVQLQRALRRFATG KHNRLNLKSILDGLFDWSRLRNVTTHSIEDMAETFARFTTSRRGYLRWHPDFQRALGE MFPVDWMPWEHQVMCHRFGVTMHHEMWIDVGAFVRHLAGVPQDLWPLVVAHCDFQEMD PTHKGYVDRADLKRFLQRVLQRTPTPHQVGQVWQVFLQGDPTATVIKKQHVTAALKRG LLRPPPPAARV H257_01491 MRSPSSSPQRSRDHPSAWLARVQERHPSITHAQLLRQLRGSYHG SQVPWDQLALALAYIEPQSQLHDPLDASMKAFWMRFMEDDDHVNVDAALTSIWPKGSG ATMTKTRPPPLHTTRDSEPTSHNADDGERSRHDIVHALGAYGVAALEDSLRHTARIRI PDLFDRVVDSAPHWDMGAEAFYEFCQPFCDPTAPDMVRTRQFLVAMQLPWGALDPAVQ RLRDALQHLSADELETQCAMFDMEDDGWILLSECVSVLHALPNVALTQLEIEAGVRQL SQPDLRVAYKDVCAVLGSASTEGYDSRWQTLRHQLCHDDAAKGQVVFHQLERIFSKLS THPSRCLVTASDLQRVLGTLLTPSDLQWIHRVLAKSDGHVDGHDLLTRLFPASFLASS TGTFLERSQHAPVYPFRSSTPRCRLESTPYDGTYTAAKRAHSTSPSRSHPSKLISPHV TRSTKLQPHRHPSPHSPSKTTPQDDAVLQQLREIIQYRQIDLLTLSDVSDAAGLVPLD NATAVLWRELEALELITFVQLQRALRRFATGKHNRLNLKSILDGLFDWSRLRNVTTHS IEDMAETFARFTTSRRGYLRWHPDFQRALGEMFPVDWMPWEHQVMCHRFGVTMHHEMW IDVGAFVRHLAGVPQDLWPLVVAHCDFQEMDPTHKGYVDRADLKRFLQRVLQRTPTPH QVGQVWQVFLQGDPTATVRRF H257_01491 MRSPSSSPQRSRDHPSAWLARVQERHPSITHAQLLRQLRGSYHG SQVPWDQLALALAYIEPQSQLHDPLDASMKAFWMRFMEDDDHVNVDAALTSIWPKGSG ATMTKTRPPPLHTTRDSEPTSHNADDGERSRHDIVHALGAYGVAALEDSLRHTARIRI PDLFDRVVDSAPHWDMGAEAFYEFCQPFCDPTAPDMVRTRQFLVAMQLPWGALDPAVQ RLRDALQHLSADELETQCAMFDMEDDGWILLSECVSVLHALPNVALTQLEIEAGVRQL SQPDLRVAYKDVCAVLGSASTEGTSHSYDSRWQTLRHQLCHDDAAKGQVVFHQLERIF SKLSTHPSRCLVTASDLQRVLGTLLTPSDLQWIHRVLAKSDGHVDGHDLLTRLFPASF LASSTGTFLERSQHAPVYPFRSSTPRCRLESTPYDGTYTAAKRAHSTSPSRSHPSKLI SPHVTRSTKLQPHRHPSPHSPSKTTPQDDAVLQQLREIIQYRQIDLLTLSDVSDAAGL VPLDNATAVLWRELEALELITFVQLQRALRRFATGKHNRLNLKSILDGLFDWSRLRNV TTHSIEDMAETFARFTTSRRGYLRWHPDFQRALGEMFPVDWMPWEHQVMCHRFGVTMH HEMWIDVGAFVRHLAGVPQDLWPLVVAHCDFQEMDPTHKGYVDRADLKRFLQRVLQRT PTPHQVGQVWQVFLQGDPTATVRRF H257_01492 MATTHLGNNERRNLKWIDSFTAPLLDEWDTSTDADSPSLHHYRK QPSPWIKSYHDLYASAWEAAARMHESSAYRLQRQMEHLTHQVHTDMQSLHDRLDNVLA NADDDVKAQAQTPTEDLLCLGSPPQQPALLPSWVQVQPPVEHVSVDEFQRQLDEFSND QTNQLVEQLALHDAKWMGVLDQFEKKLLQSLAIKTHEPLSPKSTVIGVNNQADIYETE SDHSGAADEGKSVESDAWHDEDCPECNHNVDDEWQML H257_01493 MHHQVRGFRESFGDGAEFFYVNGPFPANGPMEDAIEAKFGSSAP FFEWFRGDPVEGDDNTGTRYVGWEHSVAYLLRHLNTHKPYNIVLGFCQGGMMATLLAA HFQADHTPFPFKAVVCVGVVSPPLDGVAPSLNLGLSTTGKTPRGELKVPAMIVLGEAD PFFHTGKYLVHVYDKATRRYFVHAEGHKFPSVKNHHALYDEIVTTLCHVCSQNR H257_01494 MSNLPVVRKLRVLCLHGWRTSTGVISLQIAGFRQAFGPTKAEFV SVNAPFPASGPAQEDIRKFFGEKGPYYEWWDAVKNPDTQKTEYHGWEQSVAYVQRQID ELGPFDVVLGFSQGAALTTLLTAHYQKHQGSFPFKAVVLVCGLVPRDGLPDDTRPLLD IPSLHILGEQDPMLPLGHQLHDIFTPSHRSLHVHPDGHRFPALPKHKPMYVAMAHFLR QVINE H257_01495 MSKLRILCLHGSRTNSDIISMQVAGFRQAFGNTADFVVVDAPFP ASGRPEQGIIDFFGEEGPYFEWWEAFERTKVNYPGWGESLAYLQNVVNTQGPYDIVLG FSQGASVATLLTAHYQAKNQKIPFKAVVLVCGLCPRDGMPQHLLVEPGTTKYDLKIPS IHILGAKDDIFDLSQDLVEAYSPLGRQVHVHSDGHRFPPPSTSRPLYNEIVDKLRSIC ALTTV H257_01496 MSTSCLQQRLRILCLHGGRTNGTVVSLQVSGFVQAFGPAADFVE LDAPFPASGPPEEDILNLFGDDEPYFEWWDANHHTNAVYPGWERSLEFLKREITAQGP FDAIIGFSQGAMMATLLTAHYLQKHWVPFKAIILVCGMWPQDGMPAIKESPTTGKPLL NFPSFHVLGEKDFMYEDGKAQVEYFSASSRHVYTHDQGHRFPPLPQSKDMYKDIADKV RRVVAAARATDV H257_01497 MDTATAASSGGAIPLSPSFLGADASSIGLDFHGATRKRKVSDID LPFVQPPPLSAENSGNSASNSNNGTLTARAEPGSFRIKIQRSTPRQGGGRVPTPPAVP SNTMSGFSYPAMQLNSLPTLMPQQYPPGMPTMPNTYMPNHLMGINPHPMHFQGHMMQP NPATYVPTSLGLPPPPQSLALAPQDSLLGNEGQDLGPPPPAPPRMAVEHSLMGGGIEV QPVRAVDTRNFDWNRRAAAAAAAAASSANVISEDDEQDEPSGRPRSESLPNISLGFLD GLTLHSESSFFKEI H257_01498 MFKRFSVDEHVSNISKVKTSVQRKICQRISEQYPLLEENDGELM ELLLPKKSPLLVAKCSGTEHLQLVCAEDGTPLFFNMRDGPFLPTLKLLHKLPTLMKVI RADKGAIPYVLSGANIMAPGLTSKGGDLPEVIEEGEPVAIMAEGKELAMAVGIMQMST ANIKSINKGVAVELGHFLGDDLWLLHKIE H257_01499 MLRSSTRHLGWRRRWFGSMGERGRFLLSSDQQNVLDEQRMATSR KIAIIEGEPGTGKSVCAASFLLDAGNLLVPTLVFAPPSESSSSSIPMLRHVQDQVPLG YLDAHPHLQVQSFAQWCQTYNDDVGIASTQKIMNYSETSAFVMQHLDAIPYPPLRSTY KSPPMLHGAIKDLLTFFRHLERHGISPQEYSYFVRGLDPNAYTMSPDVFADFAAKQTD LSASYESYRALLLQHNVNTWHGSILDTLGHVAEHLFYLHAAATSFDRVLVDDLHAMTP AMIKVLSRVVLASAKQRCVAFTRPSANTVTLQQCMEATDSSSTSPRIPLAWSHTKLTA NVQCAPSIVQAALAIRSSPSGPISPPNSSITTHSFTSICDEVQFIADHVASIDPTETV AVVCADRTDVPVILAALRSRGLPDVHSFEPGNMFEHAAVQSAHALLQALANPSTSSKY IFRLLQTSPWPVLPTTLAALMECQRKRHVPLFDVLVQAASAADPSSSLPFALDAASTA AVQSFVTVFSHLQEQSMTSTCLELLHTFFSKTGQLDSLLSPSSEDDAAASQALAAYFQ VVGDAQRTAKSEHVPFVAPYLQLLWENGRMLMPKEDDVEAGRVLVLSIRTAEARHFSA DTVVFAGMTDKAFPGRKPRESSLISTLPTALVESSWTHATSSVTKRKEFLDTCKERLS HLMLCAKTRIVFTASGDTPSRIVAPLWQSPTLHPAASSSKTIHKDDPKHGMYPLEHIS FSQLDEYMRCPHRYYLSRVLKIEPSNAPGLVYGRSLHEGIAAWSLAAPSPHAIETAMT ALTSTWESGCFRSKDEEELLMTQATDTLLSFIAFETSSPRGHIRAVELPFDVDLPEAN VRLKGVWDRLETSHDDGGTYVVEFKSNLSDGPRNNQTLAESSLQLQLYMLAYARVYGV PPRGGALRSLETSHGLNDEGIVLYDPMDDERILQVVVDTVQKIRRREFDAVPSFMGCA YCPFADICPSKL H257_01500 MSGGSSKVMPTPTRMDILWPEKPATSSDADHQSYIIGWRLEDRL ICVASVLPVSKCVASKLQQEVDALSKRFSATSKEYSPPLTVLGTISPAQVSTTANMPP GVSRHPLWLDMTWHRDHRRPAVRQVQEHGKPVVVTTCHIVLYDATFSKHQRYRHLTIR KRQRESTTGAEGDCTPLQTAIFHLNHAFEIEQCLVGYTRRDPPSYMLSKVQSMLSWSL AFAFALCRPVCHVLSWLLTAHFPAKMPLYGGHQVKSLSLFLRLLNRRVAMIASISEKY VKFNREYYSDTPFHVLESGYAEFMSDLALFGLDLVGGYTYRAVVPTAIAYFQQSSHSH FRTLKAQVTWLVDAPAGFKINVALASSLTKGIHLMVDVAQYAIDGLSPYVGIMLWVAS AASVLGLSVQLGLLYDFVEFVTMQTYYLYLYFSKLHRVQFDLLSSLWRLFLGKKKNLL RNRVDSCEYDVTQMLVGTLLFTIVFFVVATNSVYYLYFCLVRCIVLGIQTTLWCAIVF SQMIPLYSIALWVQDQFQFPADVYLRPVRLPNALSIAFPNVHQSKCFVDDDFPPTDNH DASPSTTAIPTAYFTLHPVALSFGSLFTRVSEYGSALAKHYTVGKFLRCFLLGEYIPA LPFEATPMRMDESTSMSAMTSAQLIDALRACRWE H257_01500 MSGGSSKVMPTPTRMDILWPEKPATSSDADHQSYIIGWRLEDRL ICVASVLPVSKCVASKLQQEVDALSKRFSATSKEYSPPLTVLGTISPAQVSTTANMPP GVSRHPLWLDMTWHRDHRRPAVRQVQEHGKPVVVTTCHIVLYDATFSKHQRYRHLTIR KRQRESTTGAEGDCTPLQTAIFHLNHAFEIEQCLVGYTRRDPPSYMLSKVQSMLSWSL AFAFALCRPVCHVLSWLLTAHFPAKMPLYGGHQVKSLSLFLRLLNRRVAMIASISEKY VKFNREYYSDTPFHVLESGYAEFMSDLALFGLDLVGGYTYRAVVPTAIAYFQQSSHSH FRTLKAQVTWLVDAPAGFKINVALASSLTKGIHLMVDVAQYAIDGLSPYVGIMLWVAS AASVLGLSVQLGLLYDFVEFVTMQTYYLYLYFSKLHRVQFDLLSSLWRLFLGKKKNLL RNRVDSCEYDVTQMLVGTLLFTIVFFVVATNSVYYLYFCLVRCIVLGIQVRTVCR H257_01500 MSGGSSKVMPTPTRMDILWPEKPATSSDADHQSYIIGWRLEDRL ICVASVLPVSKCVASKLQQEVDALSKRFSATSKEYSPPLTVLGTISPAQVSTTANMPP GVSRHPLWLDMTWHRDHRRPAVRQVQEHGKPVVVTTCHIVLYDATFSKHQRYRHLTIR KRQRESTTGAEGDCTPLQTAIFHLNHAFEIEQCLVGYTRRDPPSYMLSKVQSMLSWSL AFAFALCRPVCHVLSWLLTAHFPAKMPLYGGHQVKSLSLFLRLLNRRVAMIASISEKY VKFNREYYSDTPFHVLESGYAEFMSDLALFGLDLVGGYTYRAVVPTAIAYFQQSSHSH FRTLKAQVTWLVDAPAGFKINVALASSLTKGIHLMVDVAQYAIDGLSPYVGIMLWVAS AASVLGLSVQLGLLYDFVEFVTMQTYYLYLYFSKLHRVQFDLLSSLWRLFLGKKKNLL RNRVDSCEYDVTQVG H257_01501 MMQRFLRTGSSVRAFSSLHTSAMKHVVGTLQHSVNIEGNTNLWL GAGAVDEPTMSILDPSPGFTAALRTRSFNLADSYDLPLIHGARSTRHISDPVTVDPFA LVKDSIVSVSANIKMILGSDHPVLEAVAKYFFDNDGGKKIRPTMILLVSQAAEADRVA DQSTFPKSPEYIAASQQRLAEITEMIHTASLLHDDVIDEADTRRGMQSVNKVFGSKLS ILAGDFLLARSSICLARLRSLEAVELMSTAIEHLVKGEVMQMRHADKGGTISPFEYYL RKNYYKTGSLMANSCKASLVLGDHSDRVCELGFAYGRHLGLAFQLIDDVLDYSGQNTG KPMLADLRAGLATAPVLLAQEEFPVLKELVARNFSLEGDIDLAADLVEKSVGLQKSKD LAIAQAELACQAALQFTPSPARDGLVKLAQLVITRTK H257_01501 MMQRFLRTGSSVRAFSSLHTSAMKHVVGTLQHSVNIEGNTNLWL GAGAVDEPTMSILDPSPGFTAALRTRSFNLADSYDLPLIHGARSTRHISDPVTVDPFA LVKDSIVSVSANIKMILGSDHPVLEAVAKYFFDNDGGKKIRPTMILLVSQAAEADRVA DQSTFPKSPEYIAASQQRLAEITEMIHTASLLHDDVIDEADTRRGMQSVNKVFGSKLS ILAGDFLLARSSICLARLRSLEAVELMSTAIEHLVKGEVMQMRHADKGGTISPFEYYL RKNYYKTGSLMANSCKASLVLGDHSDRVCELGFAYGRHLGLAFQLIDDVLDYSGQNTG KPMLADLRAVHTTFDDRRVLMMLSGLGHRPRALGSRRVPCVERTGGA H257_01501 MMQRFLRTGSSVRAFSSLHTSAMKHVVGTLQHSVNIEGNTNLWL GAGAVDEPTMSILDPSPGFTAALRTRSFNLADSYDLPLIHGARSTRHISDPVTVDPFA LVKDSIVSVSANIKMILGSDHPVLEAVAKYFFDNDGGKKIRPTMILLVSQAAEADRVA DQSTFPKSPEYIAASQQRLAEITEMIHTASLLHDDVIDEADTRRGMQSVNKVFGSKLS ILAGDFLLARSSICLARLRSLEAVELMSTAIEHLVKGEVMQMRHADKGGTISPFEYYL RKNYYKTGSLMANSCKASLVLGDHSDRVCELGFAYGRHLGLAFQVALVTTY H257_01501 MMQRFLRTGSSVRAFSSLHTSAMKHVVGTLQHSVNIEGNTNLWL GAGAVDEPTMSILDPSPGFTAALRTRSFNLADSYDLPLIHGARSTRHISDPVTVDPFA LVKDSIVSVSANIKMILGSDHPVLEAVAKYFFDNDGGKKIRPTMILLVSQAAEADRVA DQSTFPKSPEYIAASQQRLAEITEMIHTASLLHDDVIDEADTRRGMQSVNKVFGSKLS ILAGDFLLARSSICLARLRSLEAVELMSTAIEHLVKGEVMQMRHADKGGTISPFEYYL RKNYYKTGSLMANSCKASLVLGDHSDRVCELGFAYGRHLGLAFQVALVTTY H257_01502 MAKSNDKFYKTVVFAEDMTDVMLEKVVATAKDAFQLQVASGKTF STIAEFVRRNMEKEYGRGWNCVVGLSFGAYVTHEIKTYVYFSVASGVSVLVWKT H257_01503 MRSLLLGLALLAGQFTRALGELAADDIALSCTPLSKSPVALPAS GFCGIDMLLGMEIKVSLDITSIDNVDTKCSLNSIPLTNVSLVQKDSKWKVRLGYTIQL SDPDSEAGVTKVKCTVSRTNETLALITETLPIAIFAYLPEIDSFVAIPPASNAAATTR PPSKNKTNLNPSIVALGIGSPVNFIVSSKSKHAVSGTCLLQPQNQVLSLSASTGQVVV RAGDPYIGVDDMTYSCNVTDVAGNSAHSEGRVLSHSMVLDGTLPVIESVFMAFSSETP AKIGSMVTLTLKAQNLTSGYSGNCSVNGVGPLELAEQTEDKKGLYLVQYHVGSGDHDV GINQTLPVECKLVNAAKNAFMYSTAVPLSFAIDANLPEIVSTKLLFSSDDPAQEESVI EIQIMSSHETLPLAVHKETCSINNVSVARSFTRSGVDTYLLTYVVGKGQAMWKPGKLP IYCVLQDQGGNTVVVTGFTDDNTLFAREMKPHEFDPTKDFGGYLPDKMVFLSFVIVAV ASHTISYACPFVGLPRITGYLATGIITGPFVLKLITTDEIGQMRFVDEVALAYIGLAA GAKLHWVEMRRKIKSILAVTLWLTLFEYVIGTLTIIVLANYLVFLQATTTMECYAIAM LAGCMMIARSPSSALAVIEETGSQGHFTTFIFSVTVLCDIVVIILFNVNNMITESFLS DKSISFHNVMELVAQMAISVAVGVLSGKFMSYIILWRTPRIRRRSRLHKLLQLSKQVV ILMFGFSLFVLGHLCHPWLESLMCCMVAGATLYNWNHGSNREELNLLLKSMADFVYVG FFTLTGASLELDMLLKAMAVSVLLCLTRIFAIFLGSYIGGALAHEPQVHNRVSWMAYI TQAGVTLGLAKKIQLLYPGWGSYFATMIVSVVICNQLIGPPLLRLVLRYVGDCREKEN GKVDGLRALILGDDERLVVVNSAKRRMKNCGWEPFSFCMNMQDAQDPGEMNVQVREAM KEHEPMDVVVVMMSSDVLNYRVIRNVAQVCTKLKRVKILRIVVNVVGDGGDEDWATRF ANMPFHEEHGDAIDVVVVDRNEATDMLIELAACGKLINQKEVLSPRADDSDATEETSV IKVSLSSKMKQMLWV H257_01504 MTRSPRSPLLKFHKPVGNTTASGIYVSPYLSCKDCNALNFINAV PLGMGYNLVSTGKPPVVPGKHTFDIQTTFASLEREVLPTCVGCGRTLHLAVGALDFSP VLADQATAFQKSGVARHNAAIQLQCAGRGLLARKEALRRRLEKARRDELERLAAIAIQ RRMRGVISRQTTRIQSGVRIIHWTHPLITSMLLDEDKHDLATKTALFWFHDDDLELIC SDYRQYLDRCGPLMTLQRFETNVVCFVHRINDMERRMAIRIQASWRGLQARRAVKMLK RAMGAIEERRFNAAVLLQRTVRRYAGGKLTRRHSFQRRKEKIRQRAKADCLKQRRANG LQRDVDQVKALYQSYRRKTDRVATWVVPTNVPRNPVEVQSDTQVLAAFAKSFGSFVSC AAQKHKAGHTEALRQIHKFNDKSRCSPPKKLQPMMVIDTHKTTFS H257_01505 MMNRNDYNYSPSQMMHHHQQQRSMYMDKGSHNSGGPSDFHMMPS HHSTSHHTNSHHSALPPHHQSASHHSSHHSSSHHAPSHGHHGGGGGLSHHGDFDHEPL VLNNGPPHHNFYQHMNQPMLHVSTDFSNVQGMSSMHNGSDDMDDNKSLNGQKRSREEL NQKEKKRMFKLNETIHTLKKALDDAGVSCKKNKQSILDNTAHYISMLRNDLIIAKQKA EHAERMLHSNGGGQNKGYAPFERYFEYSSTPTLIMSMDIQVLRANKAFREVTGYSEDA LKNKETLLSCLSADIGRARTLVHNAIDSRKTLRTVVQNAISNGRVTSSLSLTLLFDPQ GNPECLECVLIPLEEEQQQYDMLKEDVALDEVSNLV H257_01506 MGRGLGVAKALKKQFGVVFDVDGVLLRGKTPIPGAADVLQHLHD TKTPYAIMTNGGGVTEVKKAEQLSDILKFEIPSTQLCLSHTPMRELASTYENDMVLAV GKSCDKTREVMEHYGFRNVVTASDLHSHFPASYPDISVSKVPHHGKFDANDFRAVFVL MDPIYWGREIQIIMDVLTSHEGKYGTLADNDQHVNLYSACSDFQYVGEHHLPRFGAGA FRAVLEDLYMRTTGTKLRQTLFGKPEPTSFEFAEKLLRHQLTAEDERLAAIYMVGDNP YTDIQGANAAGGLWKSVLTRTGMFQGGLNHDDHPADAVVADVGAGLKWILQDFDSIP H257_01507 MLRWVKASGNRGALWKATGGRTFSSTRDEVTPKTLGKIMASQLD DIAVEHTRNFSIVAHIDHGKSTLADRLLELSGNITSKERESAQHLDNLQVERERGITV KAQTASMLYHDKKSNERYLLNLVDTPGHVDFSYEVSRSLSACEGVLLLVDASQGIQSQ TLATYHAAKDKGLRVVPVLTKIDMPHAQPDECMLSLSALLDVDPESVLLTSAKSGVGI ADVLQTVVDLLPPPVADRHAPLKCLLVDSYYDDYRGIVCLVKVVDGKISPGDKVVSAA TKTSYDIQETGVLLPHRSPTQGLQGGQVGYIIAGMKTTTEAKIGDTFFHPNQPVEPLP GFQEVRSMVFASMYPTDECSFDDLRNAMGKLTLNDASVSAQIENSGALGMGYRCGFLG LLHMEVFHQRLSDEQNMQVLVTAPMVPYTIIDAKGGRSIVETPGDFPESTKYYQVEEP MVEASILTPTDYLGTILVLVNDKRGVQTNMVYLEDNRVALTFLLPWQEVVTEFYNELK TITSGYASLNYREVEPQQSDIVKVDILINGKILDALSFVCHRSKATAAGRALCLKLKN VIDRQQYEISIQATLGAKVFAKERIAPFRKDVLIKSGKAVGGGDVTRKQKLLKKQKEG KKRMKTVGNVQLSQNAFWSVMSK H257_01508 MWRLPKQHLPRGGGISSSGFLRMMSATNNAGGGIPGDFIAHVKE LGVSLPNGKKLFENMNLAFLNGAKIGILGSNGSGKSTLMKVIAGVNKDFDGERWTKPG LRIGYLQQEPQLDPNKTVFENVMDGIKESTALLKQFDDVSAAMGEPDADFDKLLDEQA KLQERIELLDCWDLTYEVERAMAALRVPPGDSDVTVLSGGERRRVALCRLVLEKPDIL LLDEPTNHLDAESVGWLETYLKSYKGTVLSITHDRYFLDNVAGWILELERGNNYVYEG NYTYFLEQKRNRLSMDKKREAVRSRQLAMELEWVQTHQGNKKGTKARQRQIDELKNDD GFHRQEEGQIVIPRPARLGNKVLVVSNLSKTIDGKCLIKNLSFEIEPNSIVGIVGPNG AGKSTLFNIIAGEMDADAGSVTLGNYLITFRGYIYICTLGQTVQMGFVTQSRKELKSR NTVYEEISGDNEFVEVNGDRINTRAYIASFNLKGQMQEKHVGKLSGGERNRVHLAKML LGGHNLILLDEPTNDLDVDTLRSLEMALAEFGGVSMVISHDRWFLDRIATHILAYEGD GHATFFEGNYTEYEATKAKK H257_01508 MWRLPKQHLPRGGGISSSGFLRMMSATNNAGGGIPGDFIAHVKE LGVSLPNGKKLFENMNLAFLNGAKIGILGSNGSGKSTLMKVIAGVNKDFDGERWTKPG LRIGYLQQEPQLDPNKTVFENVMDGIKESTALLKQFDDVSAAMGEPDADFDKLLDEQA KLQERIELLDCWDLTYEVERAMAALRVPPGDSDVTVLSGGERRRVALCRLVLEKPDIL LLDEPTNHLDAESVGWLETYLKSYKGTVLSITHDRYFLDNVAGWILELERGNNYVYEG NYTYFLEQKRNRLSMDKKREAVRSRQLAMELEWVQTHQGNKKGTKARQRQIDELKNDD GFHRQEEGQIVIPRPARLGNKVLVVSNLSKTIDGKCLIKNLSFEIEPNSIVGIVGPNG AGKSTLFNIIAGEMDADAGSVTLGQTVQMGFVTQSRKELKSRNTVYEEISGDNEFVEV NGDRINTRAYIASFNLKGQMQEKHVGKLSGGERNRVHLAKMLLGGHNLILLDEPTNDL DVDTLRSLEMALAEFGGVSMVISHDRWFLDRIATHILAYEGDGHATFFEGNYTEYEAT KAKK H257_01509 MEKTGGGYQSLMESTRELSPIPHADAPAFQLETSSITLGSASET GPDEGIPMTAEWSDESRELKRGRDASDDAVVGQHGEEQPRSAWYTRKLEEKVQSLTND LVTANGSEIGGNVMQSSSLSGFAAKRRRTEGENAALTRVEMLRRELEAERLLEARLRH KHEMEMADKDAELEKLKRRLKLTMTEEEETQTKLRRATTEVFELRQAQQALRIEHDAA LHDKDDELEELMEHLRAMEEASKAAANEARQTISSLEDKLALVAVDTAPSPLKTSVAQ SELRLLREQLAEKTIQATNAVEQLKAADATLKEANDIRDHRRRVADLEHAEAKHLDEL RQLRHQLKGHAALEEKVASLYRAQEASERQLQEAFSMRASYDDLVAEKKQWQATFEPL FADPNKGVSKELATERPAQAICELFSSQQHDLETLVDERHQLESQVKALHSTIDAMTK RNVTLESTVAQLEAADADRTEKADAASRTALRLHSTNADLIALLKSFESTGSSDEHVA LVQQLETALKNAEDTIQSLQSTQQALPSPALLAKIKRRVAEVEEALGQEKAASLHLRT QLEQAQATASLVERRLAKGPVNLDSTKVLQLNPALSATKQKLETAEMLELRRENDRLK EIVQNLNPQTPGGPKMPLPTPMKTSASSSHDSVEGLQKMNQRLKEVFREQIAKYRDAV YQCTGYKVDLKYPELVLRSIYAENEGDEVKFQFNNGELELLETPFVAGLDQRNMAYLT MCNSIPAFLSGVTLALFEKQTYQAN H257_01509 MEKTGGGYQSLMESTRELSPIPHADAPAFQLETSSITLGSASET GPDEGIPMTAEWSDESRELKRGRDASDDAVVGQHGEEQPRSAWYTRKLEEKVQSLTND LVTANGSEIGGNVMQSSSLSGFAAKRRRTEGENAALTRVEMLRRELEAERLLEARLRH KHEMEMADKDAELEKLKRRLKLTMTEEEETQTKLRRATTEVFELRQAQQALRIEHDAA LHDKDDELEELMEHLRAMEEASKAAANEARQTISSLEDKLALVAVDTAPSPLKTSVAQ SELRLLREQLAEKTIQATNAVEQLKAADATLKEANDIRDHRRRVADLEHAEAKHLDEL RQLRHQLKGHAALEEKVASLYRAQEASERQLQEAFSMRASYDDLVAEKKQWQATFEPL FADPNKGVSKELATERPAQAICELFSSQQHDLETLVDERHQLESQVKALHSTIDAMTK RNVTLESTVAQLEAADADRTEKADAASRTALRLHSTNADLIALLKSFESTGSSDEHVA LVQQLETALKNAEDTIQSLQSTQQALPSPALLAKIKRRVAEVEEALGQEKAASLHLRT QLEQAQATASLVERRLAKGPVNLDSTKVLQLVRHLHISLFVKQQRYYIVQNPALSATK QKLETAEMLELRRENDRLKEIVQNLNPQTPGGPKMPLPTPMKTSASSSHDSVEGLQKM NQRLKEVFREQIAKYRDAVYQCTGYKVDLKYPELVLRSIYAENEGDEVKFQFNNGELE LLETPFVAGLDQRNMAYLTMCNSIPAFLSGVTLALFEKQTYQAN H257_01510 MGSPPSPPAPTAPPTPALYLSPGATLVTSGVGENGWATLGCQDA QHEISRIIFASYGLPDGSGLGARYGWCYAGGSQGVVERACLGQQSCGVSASNGVFGDP CVGTGKRLTISAECTQKPKYQTWATAGDHGSASASCANGYVIDSDAGSTYGKGDCAFK GVPDAINALCQGVQTCVVPAEPTIFGADPCPGTARSLNVQVTCKLGQQKEDLTTDIIP AKCAI H257_01511 MLARRATQHVRLGGIRHQSALTFSKYPFLKELGLSETNDGVFDG SWFGNGPVFTSVNPATNEPIAKIRTGTKADYERVVKSMDAAKKDWAEVPAPIRGEVVR QIGDALRAKQKALGHLIALEMGKIAVEGVGEVQEAVDICDFAVGLSRCLNGLIIPSER PGHFMMERYNPLKGHVGIITAFNFPCAVVFWNGALSLVAGNTQLWKPSDSLCLTAIAC NKVVSDVLTANGYNPAIASVICGSGAEVGEPMIQDKRMELISFTGSTHVGRHVSQVVA ARFGKSILELGGNNAMIVDADADLDMALRATLFSAVGTAGQRCTTLRRLYLHEAIYES FVAKLVTAYDQITIGDPLDPNTLCGPLHNQAAVDNFVNGIKSILAQHGKVLRGGKVLP GPGNFVEPTLVAIEHDAPIVQTEIFAPITYVMKFADLDEAIEKNNDVPQGLSSSLFTN NQAAIFKWTGPAGSDCGIVNVNIGPSGAEIGGAFGGEKETGGGRESGSDSWKQYMRRS TCTINHSKDLPLAQGINFG H257_01512 MTQFRRFSSATNTLTRRLANEISDIKAAGTYKNERVITSPQGAV IKVAEKAVLNFCANNYLGLSNHPDVVAAAKKALDTHGFGLSSVRFICGTQDIHKKLER AIADFHGTDDAILYPSCFDANAGLFEAILNNEDAIITDELNHASIIDGIRLCKAERHR FKHMDVADLEAKLKATQHCRTRLIATDGAFSMDGDVAPLDQICDLADKYDAQVFIDEC HTTGFFGKTGRGTDEYHGVQGRIDVINSTLGKALGGGTGGYTTGRQQVVDMLRQKARP YLFSNSVSPVVVGASLKVFELLNSSSEYVDKILANTHRFRDRMADAGFTVSGARNHAI AAVMIGDARLASKLADDMLQRGIYVIGFSYPVVPQGKARIRVQLSAAHSLEDVDKCVD AFIACGKANHVI H257_01513 MGARVSASAKRIAVERLHVAGSDESEFDLDEEEKRIKDLQDKNK QVVTYVVENLFPYAPVSIKIQRLDTLAVDSTTEIASPAKEKQHRMHSYLWITLDDLIL KQQNVPLDATISYPLVVAIDNSGNAYVTDRNNGQRKLKSSDLLALATAKVPPLPPDPV SLVGATGCSLTVRWKESNGSIVDRFEVQYKPWNDLPTLHKPWQVLLTSLMPSHSTLSD LSCHSAFAFRAKCHNPAGWSEYSAVTGPFSTLAGVAAAPTPPFATVVSDTFISVGWSA VADNGSPVQAYHLEMKPLVDATSPYTAVYSGRDCGYLVPGLTPKSIYMFRLKAVNGVG ETSWVESKPVRTKEFARPEVQPLPTEGGSDRWIECWDCKQERVFYFNKFTCQRTDEVP PEVLAARQGGAADAEDSREMAFRKKRFHFHRELRLCVPPQTAPFEVTLARPTMFADTV ARFHRASKKELQHKPRVTFEGEGGIDSGGLTKDWYLQVSKQAAHRSHGLFRPLDNGLL EIHPESDSPEHLKLFRFLGKFLGKAIFDRQVVRLPLAPVLYKHLVGLAISWDDFVGLD PQFSKSLHWIQHNDVTNVLYETFSITRSNNIIVDLKENGRNVDVTEANKAEYVQLMVQ WRTEFAVRPQLDALLHGMHMLLPPASLHGFEWGELEMLLNGNPVIDVDLLRCNVHFQG GYDATAQVILWLWQALRAWDNSTRQMFLQFATGSPAMPLDGFDPPLTITKSDLEATAL PRSHTCFNQLVLPEYQSFSVLSAKLVFAMQNTEGFELS H257_01514 MSMISQSSGISAVGRVDFFTSINLPSWKWNALPHKAFRSSMASL VDSLSFVAIAGDAAIGDEPVAASSSTWGCWPMDRRYRIIGADLRRTSSFSTNCFSISR SNTSCRRCSDVRRGVDDAAGEVSVGGNMLRISCLVRRIDGW H257_01515 MNALNDGPDFEIVAFIHGHVGQSHLAKAMWEVICLPGDGVLYFP ILLPIGIAAWYTGYFPDLNREQEYLLAYLYVVLVVDLLLNFTLKGIFKRQRPSFHKDD MRFPGPDKYSFPSGHGTRAGALVALFSALLQFEPELLSLATAGLTSRTSTSTCLTAAW TWAAINSFGRLALGRHFVSDVVLGTAIGYALFFPVAQCVMYWTFPPSVLAN H257_01516 MGNTNSSPPPPTPAPTPAPTAQPTPALALTPGAILAAGVAVQDT TLDLQCPDPSFRITRVLFASYGQPSGEGLRVKYGVAHALTSQSKVEAACLTNNACSVT ASDATFGSPTSGAKKLAVTAECTQQVQYAAAIKVAENSKLTLACESGYEIYGITAATY GLPKGTCSAWAPTGTSWQCVGDIYTPIRLHPVTGDVECMSLNHHDCLWKSSTSTCEKV LGTDESTLSPLACGFQHNKEWGQPGYNATGNHWCETGRKMLTAPCLGSCNAASSYTVL NSVCLNQQTCTVPAHNAVFSDPCVGTVKSLAVSYRCRQTSKYVGPIYTAYQPAKCTTD VL H257_01517 MKHLHALSADHYAFDDTMNSVHVDKKWFFAIKISSKMYLAPGDE PPHRTCKSKRILTKVLFLRNCAAGTLVTKPVSVTRKMLIDNVIPAIKAKWPKIRQGAS QSSKTMHAHTSPHWTLVSSIG H257_01518 MGTSHSGRNLKHESVTERLKKIPKTQRTTFRSIAAAMNMSRSTL HDYYKCDIFEKNTSTVCPLLTDAIKAVRVQ H257_01519 MRDYLGPNPMYNDELFRRRFRVPKTLFNRICCEVATTDPWFLQQ RDAAGKRGATKEQKTTAALRMLAYGIAADATNEYCRLAESTAMACMKRFAMAIVTTYE SEYLRTPNDDDMSSILATNAARGLPGMLCSLDCMHWFWEKCPRAWAGQITGKDGKPSL VLEAVATQDLWIWHCFFGCVGSCNDINILARSPIFQDLYNGKAMCSAYEINGTSRTTP YYLVDGIYPDWTVFVKTLSSPDTAKKQYFTRVQEACRKDVERAFGVLQGRWHILAKPC KL H257_01520 MGKGIKWTTNEDNQLARSWVCTSEDPIKGADQTTDSFWANNRST QKFSGYYAQVKGRKESGKTDEDAMQDAHSLHLSLEHEVFQHEGVWRTLSSSPKWRVLP PSKKLAQLAESFDASDEVGDGGPTRPVGCKMAKRKALEETSVDLKLQDLVRVQEDKNR LFADYMLLQMLLNSTSPQDVATLSQLKADYVSNRSRTDQPSTE H257_01521 MYTGKRRWSNSNNCTMQAFVAVAQDDSTPQLRKGKIHQRQEKLS SNKAEVPGNGGSLRKGCSTLNPAHRPFITRVGDNVH H257_01522 MLPWFVSAHTDRIAILQVNLSRHQRLNVITANAPHMGAHHPKHT TSTTHSAPLPASSSNTTHSWRDPERTPNLIHGRELVKGKYSQSLD H257_01523 MGLGFFDPHLPALKFDFSRDEWAARKACWSTVQLNQVVQATSSL VAKVVEASCPSPLVLVHLQRINRRFLSCPCIEDSRALARRLHADHPTALDVMLRVVVE GDLLSLVAVQTMFHWSYGPDGCKTMVAIGVVETLMSMLPMVSPCVKHCALKLLNRLAG QTPSAVARLLRPRHLRSLLQVLQPNNTSANIAAELRLLELILRHPKANVLLNHRSGYV Y H257_01524 MQSRTSSKPETNDRRYMMTLQAVSAHNGAYRRTISLEAALDTSE RLMQWGHRARLKQQQVANEQDDNTLVHPRLAQRLSKCQIAAAAERLSFQWRDASASRS GPDVAEPKDDDMRECTFRPQLVAKMESWVTCRYQYPPTIPRPRVIPSVHRPFVPRIKP FPIQNEEKDVHVRLYQDHIRQQDKRSTFEMERHRKPRPTKVVDAEGIQVFLARQATYE ATRQRHIEQRSGDDPECKFTPQLDEHSRHLCASGRALDARMRKAHERRHSKLQHVLAC MPSKPRPKPRKTIDDLGPRLVELSRPKSVAAAVPDDFQPHVEAKRAPTIDLYDAQVFY AKQQTSLQLKKERLLRHTFESLLDDYRSMDPPRHATDKSSRRLPSLSTRGGSKAAPPA H257_01525 MTTGLTLQHLYTQQYAQQQPPPTSATPLTSMSSSHNPAGGSNLS IHHSAGQVHQRQTSPHNNNAAMHKPLQGQHIPSHHNSHHHHMPTNQASQHHGGHNSGD DFAADPLPFNYDVMEATFDDRYGGRNNNGGNVRTHAPPSSNDKPHHLPSQHPQQQQHP SRYGSLGMQHQQPQQQQQPYHDSYNESAPTSHHQYDVRRSEPHNVPMNDRPSNKTDSY HFMSQHASHPNDHDASARPPSSLHYSIPDLHSNMSSGHNGNNNLQALYHQSTPGYSTN ASLPPSSAQHSPYSTLMESHQSSINNSKKEMPPTYHGDYLDARPSHAPSSSHMSTSLA SAQSPQEAVIKVKTSLKNKYWRNGRRNLQCFPSCKVFGDYSQIKIEDLKQHDFMWGKC RGSLIAEVTLNSNATFDDILLLGRVHSLENIPVPFDEAVIRECMIGRVVEPDVMDEMK EQWIQGERLPDFINPSQSVTCYEFKPKVWKYTDDMVHGKCKRRNVRYYVQFEAFVPVL SGDRRCFVCIGSGMSSSFEVGSSRVLARQKRKSKTGGDEDEDEKDSNEEYDQNDAGNS RRPAKRATNPANGYPI H257_01526 MEQLDTEQVTKAVVALKQFLKKKQAQKSKQALVDENKTIQIIFT RITVPRNESLKAIPIKLPHNIRGDGEACLFVKDSDKDRIKAHLKDDPVAGLTKVMTIK KLKKNYSQFKDKRELKAAYGVFLVDDRVLPYVKSLVGKTFFDAKKQPTTVNVTGKKNI STHIRRVLGGTEMFLSPGPCFNVKIAHDGMDTADIVDNIIQGTKNILAHVPKGWKNTK SINIKTSDSVALPIYNALPNSSKLPVEDKKRKAEDSTHTPLPAKKAKTVEITAAVTSV DNTVPSVTKKEVAKATPAAVKKATEAKKEVAKQSTKPENKAEVTKASPAAKKATPVKA SPAKKAATPTKKETPVKAEAKKTVTPPAKKSPAKKGSATKK H257_01527 MEDDDCYSFGSYDEIFSHPATACSEHPHGTEDAGESTPGSADVS SQSAILVGTHLLDNGFFVPEDDMTSNESLFHGRHIGLKIKQNGKLLTFNFPPPSTTAE SSSIRVCMDSNSRTHALGDLYLNGNELVWRPMRHSDTMQTSSGQVYGSDRFSLRIGLE HIHDVLPTKVQNVMLALSISMAVLDITFEFSFMPGPYYKTSKRDDLWNLLKEALLALK AAPPLATVSTVAHAVNHPDVALLDAPLQHPQPISPTTEAGSRGFTTSPATTTGPNIPL PSPVRTKSVGVDTTQRNTLIRTYLSMDATSDQAIALAVHIHQVYKYPIGPTSKTSPSF LDDKVYRQAQVSTLTHIMTRMKRAWKLNDDRLDDLMRVRCSKNEDDDLVYHDTASNEP LPVKAYERRYRQHIMAPPSSTILLRRPTATTLRQSVILDASFRPARQFELPPVPAALT GEARLEAEREREARMVEMQQSQADLWNEFARLTQLHFAQVDLIRAAYGQSMDVLTSAA KAAKTKPSKQPASSRRRSMVPAPLDELKENHDANNKKKRKRVSTTTSIPADPSSPSAR RRTPTATTDDDDNMDLCNLCFGASCTVVLQPCLHSVCDKCWAKLAHRPSSTAIADSAA VQCPWDRQDVVPERIVVGGV H257_01528 MSDIRSFFSKDRPAKRRAASDIEAPTKKAKASSSPPVDPVSSPE SSSTTLSALVTEPTWNDLLAPEFKKAYFKQLEAFLDREQKKKAAIFPPRHEIFAALNL CPFDSINVVIIGQDPYHGPGQAHGLCFSVARGVPPPPSLKNIYKEANKDVGIPVPTHG SLLSWCRQGVLMLNAVLTVRATEANSHKKQGWETFTDAVIRLVNDQADHVVFLLWGKP AQEKGALISRTKHCVLTSSHPSPLGATKTAEPFIGSKCFSKANAYLASHNKPEIDWTL H257_01529 MQVDRNLVSAKLVGEMLASTTSTKLNSTPEVGLHNFVKIRLLGL GTHGRVYLVKAKGLALTGHFAMKVISKSDSVRHDRVHRTMTEREVLAIADHPFIVKLY YAFQTKRFLYMVLQHCEGGHLHQTIRAQTHGFLPEHVARFYAAEVLVVLEYLHMMGVL YDDLKPKNILLRSNGHIVLCDFDSSSMVNPMERGATASPPGRRRRRRPWDCLARCLNG CWNNHNHVSPLDTIMPISFHSFPSSAPQRKSLATVEYAAPEALASPSHVVVPASDWWA FGVLLYQMLFAKTPFQGDNDEDTRQNILHDDLVLPYTPTVSPACRDLLFLLLDKNVRT RLKSNGAIKAHPFFQSIDWNRIQDQTPPLIPKAQPLAPALKDQLEDPPCACDLVAPDD QRFDAFNFTWRRTTGDFIS H257_01530 MTPSTAILRGLQKGENPNANFFFYASILIMFVSCGLYNFFFKRR LEAQLLAQHQASQEQVYRQDVIASDQGMENLWACDVCDFKNYDGHKTCILCGTERDFT LYGKASSKRSSGNDDGAKTAMPSSLSPTNFVLMNDSTPRTIKKNRNFAKLRLNNLNIR QKGARRRHDWVRNVDEASGDIVWGRNRDVLDHKVLKRIVAKDTRGHPHLPNDDGDFDL PMLRDSVSSVSSAGSVASVGYVSKLVLTPKHPEGRMSFETAEGQTAFQTVNPTVFSSA DLKDVSQLTFQQKHAWFVQYTSTMEVPWEYGHMLLEIDRSNLLHNSCEQLLWASHDQL HQSLRIKFLNEPGVDAGGLEREWFTLMTEEIFDERTGLFHAGMDNAYMIRPTSSDASE DHLMYFQAIGRFVGRGLFEGMLLDAHLALPLYKHILGTPITFSDLEFVDVELYNNLRW LKQNKGVESLCLDFSVNVAQVDKEPLTIDLCPNGRHIAVTDDNKDEYVYLRFKWIMLT SISPQLASLIKGIYSVIPLEMLSVFDHQELELLMCGIPDVNVDDWKAHTTYIGNDCDP QVIEWFWDVVTDFTTEQRARLLQFTTGSARVPVQGFKTLTMNDGRICLFAIQGIRKEE CLYPRAHTCFNRLDLPMYSSKKDLETALTMVIKMEVTGFTIE H257_01531 MYIGPWQEYKLAKIQDAAVQKLRKEWEDHLKSTIIGQGDEECIR QMMEPILSKLPAMLLANRSKEATPPATHRKSRRSLLTGRSIPSNQDLPNHEPGDVVVA PTKKQPHKAPTKKKPKAPVITSIDEVARRKQRWTAPASVPVEPPPNATTSRREETRLP PVTLPVAASHAIPLGPPAASVRPPPVHLPTIETTLSTSAQPEVDEDSLDEDELNSFLH WTDQLSHPDAELDEFLSNG H257_01532 MSIPHTPLNSPMRKSKSMNDMTTSRMLWPSADESFFTRCLPSLP MIRTPATYTPTHNTDPPPQQVITSEPLHSLLRLFYRTADAKLKRDLTDQVPVDRPNKV PRTA H257_01533 MLYLSRITVKDQLKNNVPTTLHAAPVNTALPKHLDSPAQDINEV GYRTKKRQCAKTFERMIYSRLSPASSSEFGAPTPKDKGMKSLLDNGIVPALVSLAAVP DTQTQLHCAKAFYGLAQVPCTRRLLVLNNIVGTISLLVRHGDAIRSKFKQDLAAIVGH ITEESFLEDKLLHDGVDRVLVKLYHGHNAETKRLVALSFFNLSHNSSQLKHFIDVFTQ CITSVCKTMSPASHPSKATLLLLQALFNLTQTQSFHNALMAENVHRVLSLPLAHFCKC HQHKIRPTESVEDDSIEFGLKGLFMLAATKNGRQHIVQDGLIPNIVACLVLGGVVADT VCIILYQLSMDETCRDAVVHDLAAVVDNIVHCSSYGYFTVSWVLRHLCATKANFPALV DAGVVPVLMQMSRDSHDEVKLNGISCMCCLLQCDLRLNNALTCMERIILDLVQLLQSD VESIVVFAITALFNLACNDTLTPFLSAPSTGVVLSLKDLLHRHPSEKLTTVLLPLVYQ LAPTTRALMLQSGFFDFVAGAISTTTGPARHAALDTMVQFTLEAEAFPQGTEDVRSLV KALYTLKTNNDTIALRSCVSLLAHLTTSPKNRDLLLKTGCAVCLARICNTADDFIMAN CAYILFCFTETLDAVEVIVREEAIPVLIQLSRASNDTVKELCILSFCRISAHGNNMET KLVEQGAIAAAMIMALVATKSDQIKTLCVKIISNCLSLQAKHCTRTMIDHGVFWALSS LSTLPFADTKHACATCFCNLSAAYPLKMVEAGVPRALVQVVETGDGPTIVIALEAIAN LLHNDKACGILVNEGIVKILRRLVEDTNETVSHAAAMVLLKTSRADDRCRVESLRSGL VPWMRSVLHNDVLATQSLITLCDCTANAAAQGHVHPLHVIDILRAAVPSSHPHRMSLC LQALYNMTCQPSKLLELVHAGAHLFLQQGVHTDDTTLSVMSLYNLTCVLDEGALSALV ASGLTRLLHDTYLLDIEKPWCVLSICNLALGKVNSSRIVMENGGVVLVDFVQSTAFTN DSSGLLKPPMIAHTVSAAFRKLINPPGNQKAMVELGVVRSFVRLLNDPAAAYAVRINC LESLAILTRNKDHIWRSLADGLLLCILDIVDRNEAEPDAAVGAHCFTVLSNLCAVDFD AAASPAHHNVRTHANVIAYLTQLSEYSTAKQNSSVMDVVVPSRERPQVQTKFLAALPP CIAGHSAHVSPTYSVPWEKCFADNRPSLPPPIGSVWSPVNIAVFTKNLPDSFKLPRYE ILEKVPLIRDYGDTSEAAHKYVVGIKGLVRLQVHAHSQAMLGLRRKKFAATNHIEDLK DAMEVSVARPLSGNTRKEVVTSKQAVLMNPLNRLRDKRSSSLKYLVQN H257_01533 MLYLSRITVKDQLKNNVPTTLHAAPVNTALPKHLDSPAQDINEV GYRTKKRQCAKTFERMIYSRLSPASSSEFGAPTPKDKGMKSLLDNGIVPALVSLAAVP DTQTQLHCAKAFYGLAQVPCTRRLLVLNNIVGTISLLVRHGDAIRSKFKQDLAAIVGH ITEESFLEDKLLHDGVDRVLVKLYHGHNAETKRLVALSFFNLSHNSSQLKHFIDVFTQ CITSVCKTMSPASHPSKATLLLLQALFNLTQTQSFHNALMAENVHRVLSLPLAHFCKC HQHKIRPTESVEDDSIEFGLKGLFMLAATKNGRQHIVQDGLIPNIVACLVLGGVVADT VCIILYQLSMDETCRDAVVHDLAAVVDNIVHCSSYGYFTVSWVLRHLCATKANFPALV DAGVVPVLMQMSRDSHDEVKLNGISCMCCLLQCDLRLNNALTCMERIILDLVQLLQSD VESIVVFAITALFNLACNDTLTPFLSAPSTGVVLSLKDLLHRHPSEKLTTVLLPLVYQ LAPTTRALMLQSGFFDFVAGAISTTTGPARHAALDTMVQFTLEAEAFPQGTEDVRSLV KALYTLKTNNDTIALRSCVSLLAHLTTSPKNRDLLLKTGCAVCLARICNTADDFIMAN CAYILFCFTETLDAVEVIVREEAIPVLIQLSRASNDTVKELCILSFCRISAHGNNMET KLVEQGAIAAAMIMALVATKSDQIKTLCVKIISNCLSLQAKHCTRTMIDHGVFWALSS LSTLPFADTKHACATCFCNLSAAYPLKMVEAGVPRALVQVVETGDGPTIVIALEAIAN LLHNDKACGILVNEGIVKILRRLVEDTNETVSHAAAMVLLKTSRADDRCRVESLRSGL VPWMRSVLHNDVLATQSLITLCDCTANAAAQGHVHPLHVIDILRAAVPSSHPHRMSLC LQALYNMTCQPSKLLELVHAGAHLFLQQGVHTDDTTLSVMSLYNLTCVLDEGALSALV ASGLTRLLHDTYLLDIEKPWCVLSICNLALGKVNSSRIVMENGGVVLVDFVQSTAFTN DSSGLLKPPMIAHTVSAAFRKLINPPGNQKVGVSIST H257_01534 MSEEGSEGVEVHEKKWWTEEEDKILLTQINNTRPFLQRKGTRKA WDTMAATLKDVDGFTRPGIDGKKAQNRFLRLVRRHKSNNNEAARLSGATEDETPKSRL LDDLVPLYNDAATKKRAATPLSEADEKAASIKFIREQAMLRGKRKSLESSDGSDVGGL SKKKLILEAQDKEVEL H257_01535 MVRGNEGWTQSAFTPLLSSLSELQRVSLAPCSLQGTLKSGANGE RLADRSDYPNGCQITYNHIQLISTHCPIPLVSELGCSNIATTIKSKFTIVSWYHQCNR GYSTRIAPLHSIQLTGMQRSRTHSHAKDLISLQRTTTSAKSNCEFGAQSPTNQVVKSL TTGNFGRDLVMPSGCSVDPVHQARTIGVKPNEPRCCIKRGKPMPSELIRTRIKLAAGV TGQDALNPFAAKVAVRRLCFAAALPTWQFNCSVGGRQTPSNDTPEWMGKK H257_01536 MNARKPRTKSKNFSSEVTSRLLDLVEELKPFGGNMWERMAFEYN RTDPSAWPERDGVSLKRRFQGLNNKTKPTGTSYCPPDVERAKLLGNPDQAKLAAATDV AQAADLFLSIWIKMEGDAHARDSEWKKEQAELRRQEILEREESKKEQAEQRRRDDETR RQELKAREEREREARKREQQHELVMMSFMAKLLGNSN H257_01537 MRRATTKHDIPNDQRLSLYHELLQHKENGRLPYGKGKELMQQYG LSKQTLSKIWKAGQESKARTGLANVANKKKGRCGRPRRRSIKDLEVAIKAVPPHLRLT LRSLAVSSGIAPTTLWRLLQSKKLRPDRVVFARSFLRQCRDGMMWHDMMDRVHIDEKW FYVTMVKRRYYLWHDEDLPVRKCSSKRHIIKVMFLTAVARPRYDHGSKTMWDGKIGMW PFVSEVPAQRTSKNRPRGTMVTAPITVTKPVYRDFLVNKVVPRIKQVWPGRRDVPVYI QQDNAHVQVDDVAVATAGTTGGWRIQLVAQPAMSPDFNVLDLGFFNSIQALQHRQVVT CIDDLVAAVHAAFDELDLRTLDKAFVTLQKVMEESLKVGGDNTYKLPHLHKDKLARQG LLTSQLACDPDVAGAIEAMNSRMDFEPLPAHTYRHYMPPRSPRRTGDASVAVRDLADV RKHLSFAHRRTAYETLLSVAVDGVLPRGALTELAQMFRCHPQTISRLWTQARLSLRGG HCAADVASKTKGNSGRHALRTSDEIEAAIRNVPQMQRQTLRSLSAACGIPMTTIFSHM KKNPRSKARSNYVKPHLTPANIEERLKFAMSFVRPLPSGRHLFNDMHDYVHVDEKWFY LTKVKRRYYVYDDEEVAARAVKSKRFITKVMFLAAVARPRYDPHGKKEWDGKVGVWPF VQVTPAQRGSKNRSKGAMKSFVHMEFVALEWLTNPRTAPISTC H257_01538 MPIPRSGREFTNDQRTAIYHAMLEIKVNGVAPRGAMRSLCEQYG ITRQGMSRIWKLGQQTKASLGCANVSSRKRGNYGRRPKHTDSEFEAMIKAVPKFACSA YRSLSAATSIPLPTLWKLLQRKLLPRRTSRLKPMLTPSHKTQRIDFVRGFVRQQGQGC YKWHDMMDRVHIDEKWFYVTKVNRIYYMWHDEEVLQRKCKSKRHIKKAHHLGREAWHM GVRRNSFVEAIKQKSPAWYPGDDSGDSDEAPVPPLPLGARAAFDSCEIAWAEEPPNLR PARQREPHVNNDDPAIVSAGRADGWDIRLVSQPPMSPDFNILDLGFFNAIQALQHQKV SRCVDDLLTAVKDAFVDLDWKVLDKTFMTLQKVMDEVFKIVGDNVYRLPHLKKGQAFK EARQVLRPNCDEDVCSALDAMDRRFEYEERVEALVHSLSNTLSVENSNIDEICGLVDA VNI H257_01539 MASLRIRRLDADTNTTFFYVSITIMFVSCGLYNFCFKRRMQAQL LAQQQAGLEQVYRQDVIASDQGMENLWACDVCDFKNYDGHKTCILCGTERDFTLYGKA SSKRSSGNDDGAKTVMPPSLSPTNFVLMNDSTPRTIKKNRNFAKLRLNNLNIRQKGAR RRHDWVRNVDEASGDIVWGRNRDVLDHKVLKRIVAKDTRGHPHLPNDDGDFDLPMLRD SVSSVSSAGSVASVGYVSKLVLTPKHPEGRMSFETAEGQTAFQTVNPTVFSSADLKDV SQLTFQQKHAWFVQYTSTMEVPWEYGHMLLEIDRSNLLHNSCEQLLWASHDQLHQSLR IKFLNEPGVDAGGLEREWFTLMTEEIFDERTGLFHAGMDNAYMIRPTSSDASEDHLMY FQAIGRFVGRGLFEGMLLDAHLALPLYKHILGTPITFSDLEFVDVELYNNLRWLKQNK GVESLCLDFSVNVAQVDKEPLTIDLCPNGRHIAVTDDNKDEYVYLRFKWIMLTSISPQ LASLIKGIYSVIPLEMLSVFDHQELELLMCGIPDVNVDDWKAHTTYIGNDCDPQVIEW FWDVVTDFTTEQRARLLQFTTGSARVPVQGFKTLTMNDGRICLFAIQGIRKEECLYPR AHTCFNRLDLPMYSSKKDLETALTMVIKMEVTGFTIE H257_01540 MASAIASAASEMDGHDKETEYEAKMVKKTVLARERRKMRRKELL GSMTADERKAFVKNEARTEQERAQRLAVASETGQRVAIDCGYDGIMSDKEVSSLSKQI KFCYGTIRRMDDPFALTVTDCTDGSRIASALQRFSADKWSIQLQPASVSSLFPATDLV FLTPDSPNLLSTLDRSKVYVIGGIVDRSRVKGRSLQAATALGVETARLPIQEFVPDRH TDHILNVNTVVEILASIQAGNDWPTTLAECLPKRKQASVSRRVLKRQAKEIASTRVVF H H257_01541 MEKVQALDVTQYKTTSKSAVEAALDICQELAWDPTEVVQASLLD LLVMRLSNHPSTEIESLREDSKSLHVVETQHYVRGSSVQDIVKHTISSLGDINAVDLI STSKLKARLESLHAAFPGAHFNHAIAVKANPIRSVLRIVQEMGFGAECASFAEVHHAL SLNFAPRSVVYDSPCKTYDELKTALQLGCYINLDNEDEIDKVARVFIDLYGPSGVPAD RLLHDRQIGLRINPVVGGGSIASTSTATSSSKFGLPWTPDTQATLVALFHAHPWLQGV HVHVGSQGCALDLLVAGAKRAVEFAALVNTHVGRDQVRVVDIGGGLPTVYDGVSDLTY EAYAVQLRAHVPAVFSSALSVVTEFGRSVFVKAGITLTKVESVKRWDGQNIAVVHVGA NQFLRTAYLPHQWPHVFSVFDATGALKSGPLVRQDIAGPLCFSGDFLAKQVLLPQIHA GDYIVIHDTGGYTVSMYSKYNSRPSTAIYGYDDQLGLTPFKEQETVDQVLAFWGP H257_01542 MRRPSGAAAFFGTIVVGAAGVIYYVHDLQKREKKEMRAGVIRDI KRDRLRQQEHNKAA H257_01543 MLNLLRGKSSATVRPTSMSQSEKRTWTHVLSGPQSMISSRSFKE DPAFLLPMTIYFGSQTGRTEALANRLQHAAIRCGMRSKVKDLYHFDPDELNNESLAIF IVATYSGGGATDNAAHFYFWLQNQKDTRSFKKGRRLRSLRYAVFASGDTKYGINFNEF GTFVDSKLEEFGGTRLMPCCLGDCHAGLEQVFTSWEADIFQAIGLSATHSAASAKHTN SVILPPSVPHRFVIIDVVEPRAPDRRNRHLDTFVPMPDATKFFIQPNLVLNDVVYVVS EPKPAMLHVDLAMFVPPFIYTTGDRIRIYPLNPAVLVTAVATRLGFSLGQTEDRWIQP IPLTPPCEYFQDPFPSPTRLVTVLRQYYELISVPPAFVKTLACYATDANEAATLESLA ADPAAFHASLSGLTLAGVLRQFPSVRMSLDAFLHTAPRMQPREFTIASSNLVNPTNIH ICLDVPPATTSFQNPQRSDGMLGACFMELDVMRRKKPSSVLDPIHVPRVRSELVPPAD IPWRTLGPSPVTFIATGAGFALVRALMEDRCATPVDTKVRSKHVLLYGCLTKTCVPYQ NEVKFWQASFDLRVVLACSSDKVVPDADAPHRYIQDAVEAEASAILTDLDDHQGSVFV SGSVSMVADVKKILVHAKASQLKLSGADDADVSSRCQVWLLDLVMTGRYVERTTFV H257_01544 MGGVAGSLFMLWVRSGFSSATVASALTFFPPSPTYSLVKNADGT TSAVHIIDANIPFASAMTVAVVRTKLGQDIPCFVCRHPDAKFTIIYSHGNATDCGAMY LRYISLSRELKVNVVGYDYSGYGGATGTPSEANTYADIIAVYDHVLAHVCPSPQQVIL YGQSVGSGPSIYLAAERPVRGLIVHSGLLSGMRVLTPNRCLACLDVYPNVDRIQHVRC RTLIIHGVEDMEIPISHGHGLLQALPVECQAVPYWVPDRGHNDIGETAACRPAYVEHV AAYLETL H257_01545 MLVLIVGAFRVYIVRQLGRPRCWRCRRWRRHLFKRRTLGRETGM DQTLSTDALLAVSVGSQRAPGDVRFAQRKAAHAAHVAFAEHGERLLAHPAGVARGRVA IRCRRAVVVVVVTLLDNRRRVVFVGTAGDEGSVVAKGRLEARREEHAVAQTLAHDLGL PIQVAHRGFVRGVVPGIGVVFVPRIGVREPVLLLRFLKHADGIMVKSAHGRHRQRAQA VRHLDPNAVWAHGAQHAQHVRTGIRADSNVQRQELGIVARHATLGKRAKQGPDRVQRD VVFSARPVKWKHAIRVLLLCKGRRLGETLDEFDPLTFFRHADAFLR H257_01546 MMGREDASSCDRQHTIPVGHGAMRTPKAPFALQPKPFGYSIGQT LPPTYRNATHGFLRNSFFIKRLLYTTVVLGIIDGCGITYMMYMQFVVDKRSAMFRAMN VDEMEKHDPTCLKWTWFSRTMYFSFPMVIFLGLAASGCRVFDLFKRSTGPSNNNDDDD DDGMPPTRGTLAHQQQRITTKVQRTWYLQVCEIVCVCLILFYVGAFAFVLITFVQSNF TLQLCHERVPFINSFVSILSWVGIGFQFTYFARLRDHLKMQLGAFKEGELTGGGRKPP VVGTPSKVDIQKRIFTAAELSDVDGLRHALGLARTYLGKEFAKELYGSASLGWVLNFS KKNPVHAAAAHGNLTMLQLLLDAGFSMNSLDKINRVRVSTGDLFWYLSQMLVRRAVVS ADESAKHLFKTTLVSPLHCAVAASRVEAVRWLLDHGALVNQTARSSNREERLPPIFLC EHPSIMEMLLAANADHLCVPNAGGSHTLTVLELSYLRGNYAVGVVLEDWGGDVALTPL HLAAASNDVVKVHKMLSYCDPNCLGEYGYAGFNRRTPLHWAAIAGSVDVLAPLLNKGA DPHAQDAGGRTPLHWAARANRVECVTILLEHRANVHARDVDGMTPVLCAALAPDLRPE LLQALVLHGANINATVATGDTALHVAMKQGNRAAALALLGVGADINAVNGDGSRAIDC TTSTELQFAVKRAAGSRDVMISYTHSHSEFAKKLRASLEQQHITTWLDLMDPSGIGGG AVWREEIARGILNASCVVCVLTEDYPRSEWCLKELAYAKQVGTPVLAISTEHVTITEE LQVYLYTRQIVPFESAISAVDAANPRNITYEYNQDQYLTQFRSLLDGVRDEIEKKRCV ARPTLDMPTTAMATWGPTLLQHNYPPDHNDEYWKQPFVVLASGDCHQGFVRQVHQELS AASCRVYLDHPHGADTQSRISAAKEAIIHCAVFVVVLSDKNQNELLLDQIAFAEDKGK PILPLLLSNPREYLGLGLQYTLSRIDVFHFAQNVGFASSMMHLLQGLDKHMHVPTTRR GYLSSTMARMTVPEDGDRWSYASDEAGSSGRIHRL H257_01547 MDSERGLEASGSMCFHGVEYYVHVWVESDELHVQVEEQSVKGGA DSDRWGAHFPSLYIEELTKKTGNFKRFYTFVNMLMSALQHKSESVFIDLLTYSDLELY RKRKLGKSAPSTKLPDPVAALNNKRYLILTYAVEFDRVHYPLPLNFVETPSPAMLQRT IRRLKQTLLETRTSSIDDPANKTLASMQAENASLRLRIQQLQDTREDNQDDLSSSVVH LKRQLQAATTEHKELSTLYQQLRKESAKEIQALRDQLEDHTRRSQDAPDDSQYIQRIR QLERDLDRAADEQARLTSDLKQQVTDATRELNATSTTVQELQLKNRELLRQLAIQRTK SNPQPKSKVPIDRPKDVASPSSKYTKKPRRPGSAASSDSEGNFDKKPSSTLNFKRFDP TAYHHERQQKLRARSQSPKPPTPTRKPPPRSSGGYSSDSSAGYHSGASTSTTRRSRPP RTFSSQRETDARLSSPRQSTSVSKQRDRPPAVVAATRSPRRATTTKTTAADTRRTSSP RQGRPKMSSKVAVPNLDDSDDDSDRRRMMPSFVDIDNRLNALQQFLKDAKQSTPHKSI K H257_01547 MDSERGLEASGSMCFHGVEYYVHVWVESDELHVQVEEQSVKGGA DSDRWGAHFPSLCTRRFTADYMLTMDDIDIEELTKKTGNFKRFYTFVNMLMSALQHKS ESVFIDLLTYSDLELYRKRKLGKSAPSTKLPDPVAALNNKRYLILTYAVEFDRVHYPL PLNFVETPSPAMLQRTIRRLKQTLLETRTSSIDDPANKTLASMQAENASLRLRIQQLQ DTREDNQDDLSSSVVHLKRQLQAATTEHKELSTLYQQLRKESAKEIQALRDQLEDHTR RSQDAPDDSQYIQRIRQLERDLDRAADEQARLTSDLKQQVTDATRELNATSTTVQELQ LKNRELLRQLAIQRTKSNPQPKSKVPIDRPKDVASPSSKYTKKPRRPGSAASSDSEGN FDKKPSSTLNFKRFDPTAYHHERQQKLRARSQSPKPPTPTRKPPPRSSGGYSSDSSAG YHSGASTSTTRRSRPPRTFSSQRETDARLSSPRQSTSVSKQRDRPPAVVAATRSPRRA TTTKTTAADTRRTSSPRQGRPKMSSKVAVPNLDDSDDDSDRRRMMPSFVDIDNRLNAL QQFLKDAKQSTPHKSIK H257_01547 MDSERGLEASGSMCFHGVEYYVHVWVESDELHVQVEEQSVKGGA DSDRWGAHFPSLCTRRFTADYMLTMDDIDIEELTKKTGNFKRFYTFVNMLMSALQHKS ESVFIDLLTYSDLELYRKRKLGKSAPSTKLPDPVAALNNKRYLILTYAVEFDRVHYPL PLNFVETPSPAMLQRTIRRLKQTLLETRTSSIDDPANKTLASMQAENASLRLRIQQLQ DTREDNQDDLSSSVVHLKRQLQAATTEHKELSTLYQQLRKESAKEIQALRDQLEDHTR RSQDAPDDSQYIQRIRQLERDLDRAADEQARLTSDLKQQVTDATRELNATSTTVQELQ LKNRELLRQLAIQRTKSNPQPKSKVPIDRPKDVASPSSKYTKKPRRPGSAASSDSEGN FDKKPSSTLNFKRFDPTAYHVPAYNYHHIYTSFCPYTQHDYTARTAAETPSAVAVPQT THTDEETAAAIQRRVLVRLVRRVPLGGVDFNYPEVEAPPHIFKSTGNGCPVEFPSAVH LGVKTKGSPPRGSSSHPIPAPSHHHQDNCGGHKAHVVAPTRPTKDVVQGRGAESGRQR RRQRPSPDDAVVCRH H257_01547 MDSERGLEASGSMCFHGVEYYVHVWVESDELHVQVEEQSVKGGA DSDRWGAHFPSLYIEELTKKTGNFKRFYTFVNMLMSALQHKSESVFIDLLTYSDLELY RKRKLGKSAPSTKLPDPVAALNNKRYLILTYAVEFDRVHYPLPLNFVETPSPAMLQRT IRRLKQTLLETRTSSIDDPANKTLASMQAENASLRLRIQQLQDTREDNQDDLSSSVVH LKRQLQAATTEHKELSTLYQQLRKESAKEIQALRDQLEDHTRRSQDAPDDSQYIQRIR QLERDLDRAADEQARLTSDLKQQVTDATRELNATSTTVQELQLKNRELLRQLAIQRTK SNPQPKSKVPIDRPKDVASPSSKYTKKPRRPGSAASSDSEGNFDKKPSSTLNFKRFDP TAYHHERQQKLRARSQSPKPPTPTRKPPPRSSGGYSSDSSAGYHSGASTSTTRRSRPP RTFSSQRETDARLSSPRQSTSVSKQRDRPPAVVAATRSPRRATTTKTTAAVGIIITQT PAYCSSD H257_01547 MDSERGLEASGSMCFHGVEYYVHVWVESDELHVQVEEQSVKGGA DSDRWGAHFPSLYIEELTKKTGNFKRFYTFVNMLMSALQHKSESVFIDLLTYSDLELY RKRKLGKSAPSTKLPDPVAALNNKRYLILTYAVEFDRVHYPLPLNFVETPSPAMLQRT IRRLKQTLLETRTSSIDDPANKTLASMQAENASLRLRIQQLQDTREDNQDDLSSSVVH LKRQLQAATTEHKELSTLYQQLRKESAKEIQALRDQLEDHTRRSQDAPDDSQYIQRIR QLERDLDRAADEQARLTSDLKQQVTDATRELNATSTTVQELQLKNRELLRQLAIQRTK SNPQPKSKVPIDRPKDVASPSSKYTKKPRRPGSAASSDSEGNFDKKPSSTLNFKRFDP TAYHVPAYNYHHIYTSFCPYTQHDYTARTAAETPSAVAVPQTTHTDEETAAAIQRRVL VRLVRRVPLGGVDFNYPEVEAPPHIFKSTGNGCPVEFPSAVHLGVKTKGSPPRGSSSH PIPAPSHHHQDNCGGRYYYYPNPSIL H257_01547 MDSERGLEASGSMCFHGVEYYVHVWVESDELHVQVEEQSVKGGA DSDRWGAHFPSLYIEELTKKTGNFKRFYTFVNMLMSALQHKSESVFIDLLTYSDLELY RKRKLGKSAPSTKLPDPVAALNNKRYLILTYAVEFDRVHYPLPLNFVETPSPAMLQRT IRRLKQTLLETRTSSIDDPANKTLASMQAENASLRLRIQQLQDTREDNQDDLSSSVVH LKRQLQAATTEHKELSTLYQQLRKESAKEIQALRDQLEDHTRRSQDAPDDSQYIQRIR QLERDLDRAADEQARLTSDLKQQVTDATRELNATSTTVQELQLKNRELLRQLAIQRTK SNPQPKSKVPIDRPKDVASPSSKYTKKPRRPGSAASSDSEGNFDKKPSSTLNFKRFDP TAYHVPAYNYHHIYTSFCPYTQHDYTARTAAETPSAVAVPQTTHTDEETAAAIQRRVL VRLVRRVPLGGVDFNYPEVEAPPHIFKSTGNGPSPPCTIFMSSRLTCE H257_01547 MDSERGLEASGSMCFHGVEYYVHVWVESDELHVQVEEQSVKGGA DSDRWGAHFPSLCTRRFTADYMLTMDDIDIEELTKKTGNFKRFYTFVNMLMSALQHKS ESVFIDLLTYSDLELYRKRKLGKSAPSTKLPDPVAALNNKRYLILTYAVEFDRVHYPL PLNFVETPSPAMLQRTIRRLKQTLLETRTSSIDDPANKTLASMQAENASLRLRIQQLQ DTREDNQDDLSSSVVHLKRQLQAATTEHKELSTLYQQLRKESAKEIQALRDQLEDHTR RSQDAPDDSQYIQRIRQLERDLDRAADEQARLTSDLKQQVTDATRELNATSTTVQELQ LKNRELLRQLAIQRTKSNPQPKSKVPIDRPKDVASPSSKYTKKPRRPGSAASSDSEGN FDKKPSSTLNFKRFDPTAYHVPAYNYHHIYTSFCPYTQHDYTARTAAETPSAVAVPQT THTDEETAAAIQRRVLVRLVRRVPLGGVDFNYPEVEAPPHIFKSTGNGPSPPCTIFMS SRLTCE H257_01547 MDSERGLEASGSMCFHGVEYYVHVWVESDELHVQVEEQSVKGGA DSDRWGAHFPSLYIEELTKKTGNFKRFYTFVNMLMSALQHKSESVFIDLLTYSDLELY RKRKLGKSAPSTKLPDPVAALNNKRYLILTYAVEFDRVHYPLPLNFVETPSPAMLQRT IRRLKQTLLETRTSSIDDPANKTLASMQAENASLRLRIQQLQDTREDNQDDLSSSVVH LKRQLQAATTEHKELSTLYQQLRKESAKEIQALRDQLEDHTRRSQDAPDDSQYIQRIR QLERDLDRAADEQARLTSDLKQQVTDATRELNATSTTVQELQLKNRELLRQLAIQRTK SNPQPKSKVPIDRPKDVASPSSKYTKKPRRPGSAASSDSEGNFDKKPSSTLNFKRFDP TAYHHERQQKLRARSQSPKPPTPTRKPPPRSSGGYSSDSSAGYHSGASTSTTRRSRPP RTFSSQRETVLVHLVPYSCPHG H257_01547 MDSERGLEASGSMCFHGVEYYVHVWVESDELHVQVEEQSVKGGA DSDRWGAHFPSLCTRRFTADYMLTMDDIDIEELTKKTGNFKRFYTFVNMLMSALQHKS ESVFIDLLTYSDLELYRKRKLGKSAPSTKLPDPVAALNNKRYLILTYAVEFDRVHYPL PLNFVETPSPAMLQRTIRRLKQTLLETRTSSIDDPANKTLASMQAENASLRLRIQQLQ DTREDNQDDLSSSVVHLKRQLQAATTEHKELSTLYQQLRKESAKEIQALRDQLEDHTR RSQDAPDDSQYIQRIRQLERDLDRAADEQARLTSDLKQQVTDATRELNATSTTVQELQ LKNRELLRQLAIQRTKSNPQPKSKVPIDRPKDVASPSSKYTKKPRRPGSAASSDSEGN FDKKPSSTLNFKRFDPTAYHHERQQKLRARSQSPKPPTPTRKPPPRSSGGYSSDSSAG YHSGASTSTTRRSRPPRTFSSQRETVLVHLVPYSCPHG H257_01548 MASPRMQWIGQRILESFEPALAQSEVTDFLGSAPVKKLLDELLT GKDVTKVFIHFQADPTDKGAEATRMRLSASAGNTLPIRSKCCYFLRIVADGKAVDMLK GSDSTLLFGELAPNVLRNLESTLSQLFTPLFKARDDWGKADADLKVEFMNESEKFAND LKEALHSMDSGLELRRPDREFENAGTRGAALSESPNVIAHYEDVLKDWCDVISTYLET NTTGDGKSNDDEIDDDGPMGELEYWRRRMQRLTSITEQLKTNEYKDVFLVLSRTSKNV SDDTKQRIQTLLRRWKQTDISITEAANEAKDNVKYLFTLEKFIVPLYSGTPSTIIDTL PALMNSIKMIHSIARYYNTSERMASLLTKITNQMISNCKNCITGGETFEVMWTKEPEE LLRNLDSCLKLNEAYQQQYRATKDKLFSMPKGKQFEFNEMQIFGKFDLFCRRMIKLID MFTTIHQFSSLGQHKLEGMEELITKFNGVIREFRLRNHDLLDYRNNRFDRDYVEFNVR ISDLEGLLQKFINDSFENITSIEHSLNLLRKFQTILQRENLKSDLDSKFNVIFQNYGL ELEHVLQQYERHKHNPPYPRNLPPVAGNITWSRHLLKRIEEPMKKFESNQNVLASKDA KRIIRMYNKVARTLVAFEYIWYQAWVQYIDTAKAGLQATLIIRHPEDNVLYVNFDPEI LQLLRESKCLDRMGIEIPESAKIVLLQEEKFKNYYNELQFALSEYDRIVTKVIPVTAM LLRPHFNDMEFKLRPGMITLTWTSMNIEAYRNHIHTGLQRLEELVTNINDIIENRVEK NLRIVSKTMLVDLPIDQSFSLDEFVTMQSNNIRRAGALLQGKNIEIENAVEDLLKIIT QYPLDSHIESVSAEEAMKLKKHYNHFMYQALLHCTKNSLNSIKKRVASRAGQNALMLE RPFFEVDVQLSIPRVQLNPSLDEIQLAINRAAQTVLAAAKELYDWGQNDVVKEDKTTF FERITKDIEIVRVVLLLTGSVQGLRNTVTEYLESFKQYEWLWMENKDMSYDTFLKKNP ELQDFERKLKSFVIIDEDITAVASAHNIGALSLNTRNIKLQLKHENSQWKLKYSDNLH NQARKKMESLTEYFRSTMGKLNRKVVDLDSLRFVMNLLKEVRSRESGINMEINPVLDM YEMLEYYLPEGFMEKEEMDQKSVLRSNWRKLIHHAETRTDELSKTQAGFKRGLLRDIK EFIIDVKHFREDFLANGPMVMGISAAEAVERLNRYKEEYKIRERKQDLYTSGEELFAL PKTSYPELDMTKKELQLQDKLFGLYVDVLSTLEEWKSIPWVQVANNIQSMTEKVDGFS NRCKKMPAKLREWEAYTVLKKMIDDFTDILPLLQELSKASIKPRHWDAVMDRTSTTFD VNAADFKLQALMDANIVAFKVEIEEITDGADKQLKIEIALAEIEQHWSTEEFQFNDWK NRAVPVLKGVVPVVEELEETQMNLQTMLSMRHVLPFKEVAQQKLETLSDTSETLERWI KVQMLWCSLESVFTGGDIAKQMPVEAKKFQKIDKDWAKIMTKSVEIKNVVQCCASELL KSCLPTMYAELEKCQKSLEGYLEQKRNKFPRFYFVSNPGLLMILSQGSDPLSMNEHYE KVFDSIEKVLHDKKDKTLIHTMISAGDEVRFSSIVKAQGNIEDWLASLLLSMQLTMKD ICRHCASDVAAMSADIKRMREFVDRYVAQFALLGIQMMWTADVQAALEQCRTKKNSMK DMSQKQLQVLIELSSWCLQDLGSKQNRVKIETLVTIHVHQRDVMSDLALLHKQKKIAD ANDFEWLKQARMYWRPNNADEFTTDGACVVSITDVDFNYQFEYLGSKERLVVTPLTDR CYITLAQALGMYFGGAPAGPAGTGKTETVKDLGRTLGIYVVVTNCTDQQKYTDCAKIF KGLCQGGLWGCFDEFNRIQLPVLSVVAQQVLAIQNAKKTATKFFQFPGDPQNILLSPV CGFFITMNPGYAGRQELPENLKALFRGVAMMVPDFEIIMKVKLCSVGYLEYQELAKKF FILYSTCKEQLSAQKHYDWGLRNILAVLRSAGKIKRDNRTDLEAKLLFQTLRDMNLSK LVAQDVPLFLSLLQDLFPTIPPPPKGVYPELEATILTEIDKQGLVNHAGWLNKVIQLY ETQLVRHGIMLVGPTGGGKSRIFEVLQQALTITTTINHKQSRLNPKAIRAAEMYGEVD PMSGEWTTGVFAAMWSKYNQKSNKFNMWMICDGPVDAIWIEDLNTVLDDNKILTLANG DRMPMTDNVKLMFEVETLVNASPATVSRAGIVFVSDTDLDWAPVVEAWIRRRPTSHQE TLRQLFLKYMGENTPLLCGIAFDFLNRNTNGVMPVSRVGQASRLYSLMTGLLMGDHGT YLSEDPAILPKHLEKLFLYCITWSVGGLLEPEDRVKFDEWLRKMDDNGLMPICDPGYL CYEYYVDASTYEWKLWRPPKWEYPKGEKLDFSNLLVPTMDSVRTLYLIENLHKQKKPV LMVGGLGTAKSSCALMYFNGLNPDTMMVKRVNFSSATTAFMFQTAVESELDKRGGKSF GPPNGKKMTVFLDDLSMPLVNAWGDQPTLEIVRLIIECSGFCFLSKDRRGDFKVCEDL QYVGAMGHPGGGRNDIPNRLKRQFFLFNLVLPSLTSIDDIYGQMLAGRFTPDTYTKEA IAMSGKLTRATIDLWNFMKAKLLPTPAKFHYIFNMRELSRVFQGVLLTPAETFSTGGG FRVSQGKMDKVDQGQLVLMVWKHECERVFSDKLTNYKDKDVYQNYMKDLLKAHFGDEL EQKVRVPFYMVNFLRDPAENEEGVVDDVVPKVYEPGGTLEEVRDRVNEFLGKYNTDYP QRMMRLVLFDDALGHLLRLSRLLEMPRGSVLLVGVGGSGKQSLTRLAAYMAQSTAFQI TLTKTYNTNSFMDDLRLLYKNAGHLKKSTTFLFTDSEIKNEIFLELINSVLMTGEVAG LFAKDEMMAMTADLRNSFVKDRPGLPDTQANLKQYFIDGVRDNLHVVLCMSPLNAKFA DRARKFPGLISGPTIDWFLTWPEDALIAVSKGFVLDYPMECDSVTRLALMTHMGMVHR IVTDLCDEYFQKMRRRVYQTPKSYLSFIESYKKMYSIKIEEIKVKEQRVNLGLKKLIQ GAEDVRAMSIVLADEQVKLQKATEETNAMLQSLQVSSAEAYKEGEQVSQIKSKCEEDA VRIGAEKAACENDLAKAKPFVEEAETAIDSIKPAHIGEIKKLAKPADIIRLVFDGVLI LFQSQLTIVKQAKLNVAKQDIDFIETSFSPFAQQVMGDSNFLKNVQTFGSIGKDQINE ETIELLCPYMELEGFLPAVAKNASLAAEGLCTWVRAMKFYHEASKVVKPKLEALMIAE GQMEAANKALAQAELRLSKCKERLNELQQMFEAQMSEKKRIEDGANALARKMQQASDL INGLAGERVRWTDDSNNFADLKRRMVGDCAVACAFVSYCGPFNQDFRHYMVASKFIPD CELRSVPVTSDLDVITFLVDIGTIGDWNIQGLPTDSLSIQNGIMVTRSSRYPLLVDPQ GQALSWIKNRESARMPTYGSTTLNHPKLKDQLEYCMGEGRALVITGVEEDIDPMMDPV LERQIIVKGRSLSINVSDKNMEFNPAFSMYFITRLPNPHFGPELQAKTTVIDFTVTIK GLEEQLLGRVIGKEQKALEEQLAQVLEDVNMNTKSLLALDASLLERLTSNTGNLLEDE ELIGVLANTKEKAAEVKDKLIAAADTRKSINEKREQFRPVATRGSVLYFTVVEMSLVN CMYQTSLTQFLALFMKSMDVAEKAALASKRVANIIETMTYISYRYINRGLYERDKLTF ILLLTMKILVTDSLLTREEVTLLIRGGAALDINTVRRKPFSWISNEAWLNVIELSQSC KFFTSLPHDMSSNEAMWRRWYEDNEPENAMIPDYENRIAENEQIGPYLKLLLVRALRM DRTILCTKEFIRNTQQMGLKYVEPVTDTIDSIFNEMKADTPVIFLLSIGADPTESIEQ LARKKKNPSPAVVSMGEGQEPVALKAINAAVVNGTWVLLQNCELGLELMEQMEEVIFK LSETMDQNFRLFLTALPSEQFPLGLLQMSTKVTNEPPQGLHAGLLRSFTVMVDQEKLE RVETVQWRQLLFDLCFLHSVVIERKKFGPLGWCIPYEYNNGDLSACTIFLEKHLYNGP ISWPTLQYMVSEVQYGGKITDNLDRRMFNYYCEWCVQSEACNPSFSYNPGEPILRIPN DFNYRIPVAETIDDYRNFCHSLPDVDSPEIFGLHPNADLTYRVKEVNLLLGTLGETQP KGGGGSSGVSREDVVCDKAKELSDRLPEDYIEDDYKAKIQRLGGLTIPLNIFLYQEIQ RLQRVISKVRTMLSQLQMAIRGEVVMTEELSWTLNAIFDAKVPPSWLRTSVGDEFSWI LPTLGLWFSSLLSRDEQSRNWLNTRRPNCFWLTGFFNPQGMLTAMKQEVTRKHSKTDK WALDDVVYHTEVTTFERAEQVRTPPAEGILIYGLFLDGATWSKADGTLVESEPKKLFT SLPVLHVNSMSKDLELKSRKELYGSIGPFECPCYKYPMRTDRYIIFMVTMKCPQNRPP RHWGLRGVALLCNTE H257_01549 MGKVRKSKTYRQHQPAVPVIKKAKDVEEEEAPEDKEDEAINALS RGQRKRQKRRDAFNKKMGMVERTILQKKKDAKKESDGIFGDFEDLQKSLFSETTVPAT TADAAPKAPTKLTGKQKKRLAIHELGHLKAVHSHPSFQANPFAAIQMHLQNTVVAAQA PVAAPSKANKK H257_01550 MSSKAPVAAAAAPPAAPAATNAEAKQVIHIVEEDDEFEEFEEHS WGAAQEEKESQIKLQWQDDWDVDEADDDFCNQLRQELSKNA H257_01551 MLRRTLTSQLAIHRRSGAACISTSRLPIPRKFLSTDQLSSDQFQ HLLDTAIDFKKRNIENTVLQGETLLMIFQKRSTRTRLSSEIGMQRLGGRALFLSSDDI QLGVNESLKDSARVMSRFGSILLARVHGHGDVKKLADESSIPVINALSDKFHPLQALA DYMTIQEHFGSGKGLTVSWVGDGNNVLHDYMLAAPLVGANIQIATPRGYDPDADVVAK TLELAKAAGTTVTLTNDPLKAVKGAHVVATDTWVSMGQEDQAMKRVAAFAGYQVTKTM LKHAASNHIFLHCLPRHAEEVDDEVFYSDRSLVFDEAENRMWTVMAVLANIVK H257_01551 MLRRTLTSQLAIHRRSGAACISTSRLPIPRKFLSTDQLSSDQFQ HLLDTAIDFKKRNIENTVLQGETLLMIFQKRSTRTRLSSEIGMQRLGGRALFLSSDDI QLGVNESLKDSARVMSRFGSILLARVHGHGDVKKLADESSIPVINALSDKFHPLQALA DYMTIQEHFGSGKGLTVSWVGDGNNVLHDYMLAAPLVGANIQIATPRGYDPDADVVAK TLELAKAAGTTVTLTNDPLKAVKGAHVVATDTWVRYDLPTLT H257_01551 MLRRTLTSQLAIHRRSGAACISTSRLPIPRKFLSTDQLSSDQFQ HLLDTAIDFKKRNIENTVLQGETLLMIFQKRSTRTRLSSEIGMQRLGGRALFLSSDDI QLGVNESLKDSARVMSRFGSILLARVHGHGDVKKLADESSIPVINALSDKFHPLQALA DYMTIQEHFGSGKGLTVSWVGDGNNVLHDYMLAAPLVGANIQIATPRGYDPDADVVAK TLELAKVTSIRVLFDAQHGN H257_01552 MRLTRRRIHAASRQTIPWPRLQSFGAARHRLLAWLGNASKTGTT SRRLRCGPWVRCSADTALRPRTVSATAPSSLSPAAFAASGALVLAGPVAPFATTSFYC VRQGSLRSRGLAGQCAVARDACVCHVESMSSAAPPSLECGALRPPCLELDPFRLRFQG QLALEWTGGRHRHEPPTRPWCSTLPSTTHTLPRSRRRDPEWPRKTSTTAYP H257_01553 MLQTFLRRAAPVAAKQPAHTRSLWYQVGFNQDPEKIVKTINRTM QDDGVMTQLDQRFAHEKKWQRRIRKNAESEIRDLNKRMASIVNYCLKKQKRGGHL H257_01554 MGDAAGEASGNNKKEIQPAKFIELRVATAFGGGPKFLAKYETEP NEICVKEFIENEDLTCLMFSGDNVIASTKMPGSLQRGKTIAFHKMHKCVLTPKNILSD VLVSELGTSTLEHLEKLVSEIYLPIFSNPTNQDGWGEVASKEVVDKFHSYMATVSIIT GAIKGETCLPLPPVDGNSANLKNKISLLEGSIVTWTKQIKNVLKQDPEELLKQGLNPT PDAEIEFWKLKAANLNSIFGQLQSKRVRKILTALDRSKSTYCTTFARLCKEVFTARIE ANDNTKYLRTLEEWFHRLNSDEDFPNLTEVFKPMLHIILLIWKNSKHYNTPARLVVLI REICNSLINQARRYLSGEMIFGLIDQDEAGQAVEQLKTTLQVCSTFKSIYFDYKATAN AECPSNPWRIQNNALFVRLDSFLERCHDILDLTQTIVQFSKLSKMEVGGTKGKTLTMS VQQIHHDFTDAVNTFKKVSNDIMDVGATKFDDNFYEFRCKIKELERRLGSVLTQGFDD CSTVFGRFKLLDSFEGLLNRPIIQDELEKKHVALVQAYGQDLKHVQELFLHSRDSPPI SWNLPPISGALTWCRGLIERIEHPMKKLKELNHNVMEREEAKEVYKVYGTVLASLQEY ENQKVEEWSRDVEASSQAKLKLPLIVRNPHTRVLKVNFDPALEKLLREVRYLKCLGLD VPQSALQIFQKEETFRKYKGDLMYIVEPYNLMIEGLHPVEEPLVRKHINNIDASVGRG IEELNWKSNGVETFIEDCRKCVESANEVVTNLKENLTTIETTLTTWNQPLLERQAKPI AANEHERLHKSSIAQRTLKIREGAAEIHKRLKQSNAGIAGVNSAQWKAYVDYVNNIVV GGVARVICSSLEFLSKQLEPNQTPVVAPVPASKDDKESKKDTKEAGAAARLPMLEIKL DLISNRVQFNPCLTENNGKGLRDTIQMWINSFFNVATAVKRLDSDGGGTYLKEMHSDM NICMQLATISEYLQENEDQLNAFKKKYDNYAYLWEANMDDVFAAFLQGAQYISSFGAT MLNLTKFNEEITKYSRVSNEIANLTTPSDVGWLRVNSQPIKTAMVSWVSKWVYQYTTY LYQHVVTKLSSLHKFMEVVLKGLEEEVVEKNKEALMRVMTHIRDVRKQIDATNEMFDP LRQAVHLLKTHGVNVDEFKIADQTIQDYLEAAPLQWEGIVNKTFKKKEEIMPLQMAEV ESIKGDLEAFYLDMRKFRNEFRTNAPFTFSGTPDEAYKKVDDYAVRLAEKVKQAKQFN ELEELFELQVSKYQETTDTQYELQQLKKVWDLKDFALNTFNDWKKSLWVKIDTESMEA FTRNFMKQMKMESNENQIIKQWQTYKDTEQMAKNMSTILPLINDLHSEAMQDRHWKAL SALCKVKAIDPKDPKFSFADLLKLELHLHVDDVGEIVETANKEQKIEKKLTMIEELWR GLTLDYVPHKDSGTFVIKPSEVVVESLESNQLELQTMVGMGKFVEFFKERVLTSQKSL GHVEEVLKEWVSVSKQWASLESIFLSSPDIRNQLPDDTRTFESIDSNFKDLMKNAVCE PNVVTACTVENRLESLKEMTAMLEKCQKSLNEYLDKKKKIFPRFYFVSNVALLDILSN GNNPKKIMPFLGDCYDSLTNLIFEDGSPNTAHTMIAKDKEQVKLPKIFVMAGAVECWL NDLTEAMRYCIKKEMHDSIETAANWDVEKPRHLWLFDYCAQVVLNSTQIYWTEETEMA LEEFENGQEDSVKRYLATCNQRLEHLINLVLGELKKGDRCKIIALITLDVHSRDVVKK LVDEKVEGPLSFLWQQQLRFIWRQETMDVDIRITDFRSKYSYEWIGNTGRLVITPLTD RCYITLTMALRLFLGGAPAGPAGTGKTETTKDLARAMALCCYVFNCSDQMNYQTMADI FRGLCQTGTWGCFDEFNRINIEVLSVVATQVKCVQDAIVFNAVPANREEKYRHIAAGT PPVVVGEFEFMGASDRITLIPTCGFFITMNPGYAGRTELPENLKVLFRSCAMIRPDLR PICENMLMSEGFQQARTLAIKFVTLYQLSSELLSKQFHYDWGLRAVKSVLRVAGILKR AEPDVEEDKVLMRALRDFNTPKIPHVDTSIFLRLINDLFIGVEVAPKVNNELREKTVI VCKQNNLQSDESVILKVCQLQELIDVRHSVMLLGSAGCAKTTTWQTLAKCWNLNKEKK ICVYETLNPKAVTSDELYGYMTLSKDWKDGVISIIMRGMAKNYAEQGFYESQSYKWVV LDGDIDAVWIESMNTVMDDNKVLTLVSNERIPLSDAMRMIFEINSLKNATPATVSRAG ILFINEIDIGWRPFMESWVARREEEIERTYLPGLFDKYIEATSEMTRKGFKQVSPVRI INQVSTVCYLLEGLFTEIPPEKKTQEVIEYVFVFCATWAFGGPFIVDKSVDYRKNFSE LWMSTFTTVKYPKEGTCFDYFYNVDTNEFDHWSTKVPKYVASPIGNGAADTPFSNIVI STIDSIRLTRLVEVLVNRQRPVLLVGGAGTGKTTILKSFLRSLDEDMLHTCINMNYYT DSFKLQQQLEQVIDKRSGRMFGPPATKRLIYFIDDLNLPYIETYGTQNSLALMRQHMD YKTFFDRVDLGFRKEIVDVQYLSAMNPTAGSFIIDERLQRHYALFACMMPSKDDLKTI YNSILKGHFGFGFGPALINASDNIVAVSIAAHEDICNKFLPSATKFVYNWNMRELSNI FQGLVRAKGEFYPTVESFARLWIHECTRVFCDRMINNEDVDKFTERMRDISKKFLPDV DQDKLFPKATSGDEVPDGPQPVVNIFTSFATPMAGADTPYLPIVSMKHLNKVLSDQLD DYNQKYSMMNLELFDNAMEHVARICRIIGSPGGNAMLIGVGGSGKQSLSRLASHIAGF DVRQLSVTANFKIEDLKESLAEMFKASGVAGLPIVFLITDSQIVNERFLVYINDMLSS GWIPDLFAKDEVDGLLNGLRTEAKTQGIPDTPETLMDFLLLRIRLNFRIIMCFSPVGA VFRVRARRFPGLVNCTVIDWFHPWPREALVRVATSFLDKVEDLGDAALKKSIANHMAD VHISVTDMSKKYFETQRRYNYVTPKSFLELISFYEILLGQKKQEIQRQITRLDDGLST LRKTSADVAELQVDLKHTMLIVAEKQASTDLLLEQMGKEKAGAEIQQENANKEKVKAE AASASAQELSTQAEKELGAAKPAMDAAAAAVDCLSKAAIQELKSLPKPPAGVDLVTKA CLILVEKEYKNHKWDRAKKMMNNPGAFLDSLKVFRGEDIPEADIGRVEPMIADPEFTA EKMASKSAAAANLCSWVVNIFTFNRIYVRVKPLMDSLESSRKKKEEAEETLAKAMGQV AEVQRRLEVLEDTLRQATEEKLQVELMKQNCENRLVLAGKLVNGLASENERWGIEIGQ LRNNAVMVVGNSLLAAAFVSYIGAFDQQFRRDLWFTTWVPDLVAKAIPLTEGIDPLSM LTNEGKNAKMMSEGLPADRISIENGSIITNCKRWPLIIDPQLQGIKWLREKEKHRELV VIQLTQNQWLRKMETAIVNGHVVIVENIGEEIDATLDPVLARAVYRKGSSASLYLKFS GEEVQYEPSFFMYLQTKLSNPHYKPEIAAQCTLINFIATESGLEDQLLQKAVNKEQPE LEKQKQELVLAFQKFKIDLVELEDQLLERLANAPDDILSDVPLIESLEETKMKATDIA VSVKKNQETEIVINNTRELYRPVAAEGAMLYFLLTTLCAIDHMYRYSLDSFVTFFFAS IDRATPAEKQADRVLNLRESLRITVFTWVSRGLFESHKLIFLSQLTFNLMKRGILGEE VRIADTYMQFLLRGPKKTGSPDTDANPIEWLPNAQWYSIQALAVMEEFNKMPQDLKEA SSRFREWYNHVTPESEKLPLDWAGLDRTPFLKLLVVRCLRPDRMTSAVSEFIRHVLPN GSMYCDCDSSLNSTQVMDNSYLDSTPTTPLYFILSPGADVVAGLDKLAIKYGFERGVS YHNVSMGQGQDVFAMDRLEVAHRNGHWVILNNIHLMPRWLITLEKKLDEFALEGSHKN FRLFLTSDPSNAIPIGVLNRCIKLTNEPPSGLKANLKRAFVSFPKEYIEEAEGKVKSI LFGLCHFHAVMMERKMYGPLGFNMMYPFSLGDLRDSAICLTNYLENSAGGKIPWADLK YIFGEIMYGGHIVNDFDRLLANTYLDFYMRDELLDEMEMFPFVGDEKGPSFMSLAPSS YDKYLEHIETELKSDSPLAFGLHPNAEIDFRTTQSENLFRTLMELQPRDAAAGDAASS PMEIARTALEMIMGRIGEKKFEVDDITRSLEEIGPYQNVFLQECDAINVLLQEISRSL NELQLGFLGELTMSDAMESVQEALFLDRVPKTWEKLAFPSMRALGGWLLNLEQRLTQL DEWTQNPSDIPRVTWLSGMINPQSFLTAIMQVTAQKCQLELDKLVIQTDVIKRKSSEV DAPSRDGAYIHGLFLMGARWDSNNTTVDKSFPKEMFCAMPVVNCKAIQADKLDLKGSY LCPCYKTEFRGPTYVFSAQLKTKSPPARWVLAGVALIMDVPVV H257_01555 MPRHWNGLDQISHNTQMSVCRSASQCQIAAWCQRLLSQISNDQV VSVRSTAALVHPSDETLDDTQVAASGNQMQCTRRRGGFAATSPPSSTFASVLVEPLYN VQVSIGGYKDHRSISAISRRRQQCDKRRRLTSEPSHLLQNDNQ H257_01556 MEYADIDDAASHGDLEFIKGIRATDKFLYLTERAMDAAAENGHL HVVQWLHTNTPEGCTVAAMDGAATNGHSDVVRWLHENRQEGCTTQALDGAASRGNLDM VQWLHENRTEGATVAAMDSAASYGHLPLVQWLHQFRTEGCSPKAMDSAAAHGFLPIVE WLHANRPEKCTEEAIKGAIKYDFLDVVRFLIDHDYFVASNCRIYLHYAAKCGHIDIVQ HFVSLQPTPLTPEAQDSIVAALDVAARYGHLNVVGFLFQCVGRASPNALDSAAAKGHL DIVAFLHQHNQPCTTRALDKASEGGFANTVSFLLQHRNEGCTTHAMDYAASNGHLEVV QLLAASPATCSTHALRSAAAGGHQDIVSILLTKYPHANWPYHEAIKAATFHGHRSIAD TLEMELVSNGMAKRLNVHDNTVAAASANPTGLARTA H257_01557 MTTGHSWVNWTGVYTAAAAVLCVYSALQIRKASRKHTAHGSRPV PGLRVGVLYLRNPHDDFLHILRNDVLVEMAVAKVDSMLYVFVDDTKDDGSSSSDRLRY LGELYNLLWNAACVANKHDLDIRVVSSVDQSWKDIIARPDLTAAFAYEDMDVAVLNDC RGSSPIAFFPFNDIVDRSVDATTFIYLEDPTRRLGKESLVVIGGTFDHLHNGHKKLLS FGAALADNGMLIGVTAPHMLQKKSLGHLIESIQERKSRVEAFLRDVHPHVAPTIITID DPFGPAITSADISAIVVSTETQLGAVKINAIRADRGLHPLNIYVCRRTDASTLSSSYI REQLGKRPSPR H257_01558 MFPFRWDIIFAMRTLLRVLPGLLLLCEHASAVVPSGILRLSNDA KNATDMLVAPSSQSGWGPRLPTSSSTPKHPIQLASTDGCSAMSSAYKGAYVVVNRGNC SFLDKALHASNAGASALIIRNTRETVYFVDRNRTASGGSNLSASSSTPSMSFATDCLH GESFLAHLHPSQPWLVPDDSACAQSPECASHICLPTGLQSDRGFQVCCMWDTHLLMGV NTTTLKNTSLALPIVFVTVGQGENLTRIFTPSIHGTLFQRPVPLVEVASIVLWALGIA TAIGGAYYAASMDRQAMLASKPHAHADDDRHHEHDASDDVLDVSFRHAVAFILFAGVF LTFLYFVHVGPLLSILFGLSTLSTFTMLVTQPIFRTLLCCLRRRYVLRCPSIVGPLPI PDVVATLVTAALVVLWYVDRSTFWFLQDAFGIALCFVFLRTIRLPTLQVASVLLVLAF FYDIFFVFVTPLLFGRSVMVDVATGGQSASSKSGYPGVDFCERYPAFDACLDPEPLPM LLLFPRFHDWRGGQAMLGLGDIVLPGLLLSFALRFDYAREVHVKQTDVCFHRYYVVTC IGYCLGLLAANVAVVVMEMGQPALLYLVPCTLGGISIAAFISGDLHQMWHDGPYPVHP MAPTLHSPGDGDRGENAPFL H257_01559 MLFYSFFKTLLGKEVAVELKNDVALVGTLHSVDQYLNIKLTDVS VVEEFKYPQLMNMKNCFIRGSVVRYIQIAKADVDTELLQDAARREGSANRAATTRA H257_01560 MTITAANRSTIAAVSYPQEHKPVTAGKAVEEFRNYKNSDRQAMV QRHYRLMRENQTVEFHEKMQTFWGKFDRAQMTVWEAFESLKGYVDSSDPDSSLPNLEH MLQTAEAIRAAGHPDWFQLVGLLHDMGKIQYMWGQPADGQQGTADGDQWSLGGDTWVI GCAIPDSTVFPEFNSLNSDMQNPAYNTPLGIYEPNCGLADLKFAWGHDEYMYQMLVFN GASIPDEGLAMIRYHSCYPWHNKKEYTHLMAPGDDALLEWVLEFNKFDLYTKADKRPD VAALWPYYQALIDKYMPGKLWW H257_01561 MIVCLYTKHKTQKKKTYHDGHVIRSATKLTLLNDAGVSIDSMAQ SATEWTRDFPHFDFPKFLVDIDEDVYTGNNALPSTGSVPYPGPEGVAKTAPPSSFGRP GGGSVKPPPGKYVPPRNKFQPPKRKYDEDAGEAIENKNAADFTRTFTLQRPQHEQPLH HPPPSTSTRAPTARSHVSAPGMSAKKSRYDYNNEDMPCTEVIPVIRTSSNHPKSSMVD TTSDLSKLVSSEWPEMPKPLPRTYDEIRRLLGVLDDP H257_01562 MVAVEVIVVVVVVLIIYIAIRLRQNFIMYQQGYAPIPDDEKPVL SKHLITQSKDSVELIIAKDHPAKHK H257_01563 MLRRAIQSSRKAVQAACSKAAPIASTRAMSRFHGVAAARSAPCG FMQTRASAGLRFMSSNATRPVKFGDQVSILIEGRLSSGEIFDEKDTEPQKFIVGDADV IPGLEEGLLGMIKGQTKVIVIPPELAFGPAGDESDHVRISKADLNLLPSEEVDLEVGN YIGLDNEGDMAKIINIDEDSIVVDTSHDLAGETLHLSVELVGHVSLEDLDPSERLVVP HEISPGDEETYPEPGDTLAVHYEGWLTDGTLFDSSRKRGKPFEFIVGNGLVIKGWDEG MLNMSKGEKARLYIPAAKGYGAHGAPPTIPPNSDLIFEVELIQIKKNR H257_01564 MTHLAGVKSNRVRLDDVEAEASYHALEDAPQPSCTTSARPQCQR PVDDSLPPPTLPPHSIQEAVELSVQCFDILHNTSSKAVNPPKSHVKLPRSNAICTSHY TWWSFVPVFLYRTFRKPANFYFLTIGFLQMIPPISPTNGVPLQFLPLSIVVLIDGIFA AIEDYHRHRADNDMNDTRCLRYNVTSGAFETAAWKDIVVGDLLQLQDNDVVPADLVVV ATDGQVAAGCYIETKSLDGETNLKLREPLLQAQFDNVAEPDIAAILNGLEFAHDQPPT PNIHVHRGAVHCRMPNTLSVDAEDNMVTLPISVQHTAWRGCKIRNTQRIWGVVAYVGV DTKLMQGLKIQAMKQSTIDSVTDAQVILLVAMLVVLCVVGASLHTTWSTPALPSYLGR SAPDSPFIASFFYFLITMASILPITLNVSITAVKNLQGYFMTRDKDMFDSDRNMPMQA RNKALNEQLGHITHIFSDKTGTITCNKMDFRKCTIRGVSYGNGTTAIGRAAARAQCTP NNSTDATDEGSHDTPVIPNVRFQDQRLYADLDGASGPEQAKAIRWFFTHLALSHSVLL DARREFAASSPDELALVSAAKYFGFAFVERHPGSIIVQLAQDGSSETYYLLAVFEFTS ARKRMSVVVQSCNASQDVVVLSKGADSVLWPRLRSDAWVEATKSHLHGFATEGLRTLV IASKTIALDEWERFYAEYQLAQVHSAERVEQLQDDMEDNLTLLGVTGIEDRLQDRVPE TLELLSKAGIGLWVLTGDMQETAVNVGYACSLLTNDMDRYIVNAHTCPTRISLLHHLD ELYNRLVGSASSFTSHQAAIVIDGTSLSLLLHGKSTRTDPDYTSTSTDALHFLRVALL CRVVIACRCSPSQKARLVELVQVHCADARTLAIGDGANDVPMISTAHVGVGIAGEEGR QAVNSSDFAVGQFHFLARLVLVHGRWNYTRVAHLIGFTYYKNIVYCMSMFWFMLTYSA YSGALIYAVFIQQGYNLFFTALPIIAYAVLDQDVPANIAMALPQLYHVTGRRLFDRHQ FWTWICLGVVDSVLLLYFVTLSSVLVDPFSATTSLLTLGDLGWTALCLFMNIRIMLVV STWNSFLLASIGISLGFVYGLQIAIDVLWLQVPTWEAPYWWLLHYPMTWLVQLLVLVG IVIKDVWYAAYQRRFHPTVLDLVQSATPTQRATTGRPSLESLQSIEFPPVKWILPHLH SLHDNMEPDTSVPTERMRQEGSHHGFAFGQPANILRWLLRKSKPLYATALPQPRRWDT TFLQFVLASASDVFENERYQPFLGFGHTYPGHLLPSDRGHWSNATGSVSTNQDISTAL LTLDENPNGDDHGWEYAWDFSQFPQRTCHRKLGLVRRRRWVVRDVAAAPASTAV H257_01564 MTHLAGVKSNRVRLDDVEAEASYHALEDAPQPSCTTSARPQCQR PVDDSLPPPTLPPHSIQEAVELSVQCFDILHNTSSKAVNPPKSHVKLPRSNAICTSHY TWWSFVPVFLYRTFRKPANFYFLTIGFLQMIPPISPTNGVPLQFLPLSIVVLIDGIFA AIEDYHRHRADNDMNDTRCLRYNVTSGAFETAAWKDIVVGDLLQLQDNDVVPADLVVV ATDGQVAAGCYIETKSLDGETNLKLREPLLQAQFDNVAEPDIAAILNGLEFAHDQPPT PNIHVHRGAVHCRMPNTLSVDAEDNMVTLPISVQHTAWRGCKIRNTQRIWGVVAYVGV DTKLMQGLKIQAMKQSTIDSVTDAQVILLVAMLVVLCVVGASLHTTWSTPALPSYLGR SAPDSPFIASFFYFLITMASILPITLNVSITAVKNLQGYFMTRDKDMFDSDRNMPMQA RNKALNEQLGHITHIFSDKTGTITCNKMDFRKCTIRGVSYGNGTTAIGRAAARAQCTP NNSTDATDEGSHDTPVIPNVRFQDQRLYADLDGASGPEQAKAIRWFFTHLALSHSVLL DARREFAASSPDELALVSAAKYFGFAFVERHPGSIIVQLAQDGSSETYYLLAVFEFTS ARKRMSVVVQSCNASQDVVVLSKGADSVLWPRLRSDAWVEATKSHLHGFATEGLRTLV IASKTIALDEWERFYAEYQLAQVHSAERVEQLQDDMEDNLTLLGVTGIEDRLQDRVPE TLELLSKAGIGLWVLTGDMQETAVNVGYACSLLTNDMDRYIVNAHTCPTRISLLHHLD ELYNRLVGSASSFTSHQAAIVIDGTSLSLLLHGKSTRTDPDYTSTSTDALHFLRVALL CRVVIACRCSPSQKARLVELVQVHCADARTLAIGDGANDVPMISTAHVGVGIAGEEGR QAVNSSDFAVGQFHFLARLVLVHGRWNYTRVAHLIGFTYYKNIVYCMSMFWFMLTYSA YSGALIYAVFIQQGYNLFFTALPIIAYAVLDQDVPANIAMALPQLYHVTGRRLFDRHQ FWTWICLGVVDSVLLLYFVTLSSVLVDPFSATTSLLTLGDLGWTALCLFMNIRIMLVV STWNSFLLASIGISLGFVYGLQIAIDVLWLQVPTWEAPYWWLLHYPMTWLVQLLVLVG IVIKDVWYAAYQRRFHPTVLDLVQSATPTQRATTGRPSLESLQSIEFPPVKWILPHLH SLHDNMEPDTSVPTERMRQEGSHHGFAFGQPANILRWLLRKVPTYL H257_01564 MTHLAGVKSNRVRLDDVEAEASYHALEDAPQPSCTTSARPQCQR PVDDSLPPPTLPPHSIQEAVELSVQCFDILHNTSSKAVNPPKSHVKLPRSNAICTSHY TWWSFVPVFLYRTFRKPANFYFLTIGFLQMIPPISPTNGVPLQFLPLSIVVLIDGIFA AIEDYHRHRADNDMNDTRCLRYNVTSGAFETAAWKDIVVGDLLQLQDNDVVPADLVVV ATDGQVAAGCYIETKSLDGETNLKLREPLLQAQFDNVAEPDIAAILNGLEFAHDQPPT PNIHVHRGAVHCRMPNTLSVDAEDNMVTLPISVQHTAWRGCKIRNTQRIWGVVAYVGV DTKLMQGLKIQAMKQSTIDSVTDAQVILLVAMLVVLCVVGASLHTTWSTPALPSYLGR SAPDSPFIASFFYFLITMASILPITLNVSITAVKNLQGYFMTRDKDMFDSDRNMPMQA RNKALNEQLGHITHIFSDKTGTITCNKMDFRKCTIRGVSYGNGTTAIGRAAARAQCTP NNSTDATDEGSHDTPVIPNVRFQDQRLYADLDGASGPEQAKAIRWFFTHLALSHSVLL DARREFAASSPDELALVSAAKYFGFAFVERHPGSIIVQLAQDGSSETYYLLAVFEFTS ARKRMSVVVQSCNASQDVVVLSKGADSVLWPRLRSDAWVEATKSHLHGFATEGLRTLV IASKTIALDEWERFYAEYQLAQVHSAERVEQLQDDMEDNLTLLGVTGIEDRLQDRVPE TLELLSKAGIGLWVLTGDMQETAVNVGYACSLLTNDMDRYIVNAHTCPTRISLLHHLD ELYNRLVGSASSFTSHQAAIVIDGTSLSLLLHGKSTRTDPDYTSTSTDALHFLRVALL CRVVIACRCSPSQKARLVELVQVHCADARTLAIGDGANDVPMISTAHVGVGIAGEEGR QAVNSSDFAVGQFHFLARLVLVHGRWNYTRVAHLIGFTYYKNIVYCMSMFWFMLTYSA YSGALIYAVFIQQGYNLFFTALPIIAYAVLDQDVPANIAMALPQLYHVTGRRLFDRHQ Y H257_01565 MSDEGQRAAISRELPHVIRAMSKGLDPKEKIMMDGPAEKAVAQK HYDIGKTRALMGGAFGGVLGLGAWKSLRSSSKFAGAFLGGSGAFVGAVYGLLSIREEF FVDILSLPDDQSDFAKTARAIIEREMPQSIILKEAYRRMGNLSSNSDSLQTAWEESKR LEKASEQRQMSWESTSNPAIEPFPRQSSPPSIPSPSSAGQAQPKTPKNVFGFPATSKK PSPDSLASGPTTEAAVPPTTWEEIRKRSSGVR H257_01566 MEKDAGGEASVAELQERLVTFNGQLETIQLLLTTDPDNEELVGI AADLKEVIKLTQGMVDHHLAPSSDSGGHAADATAAFPVGTYVEVLREGRWLPGIVEVI TRVPGGGHTFNIHLLGLNVKQDVDLTSLRSIDTGSVPPLSEELIDVDGACLAKYYLDG KYYKAVIKSVTPYGVMVLFEGYGNTEEVPTAYLRPLETKAAAAVAAPKQDDTLIVIPA NLAILPTDSEAERDRKRKRIRAIKSLNRHKAIDIERNTKQNDWTKFKAKASKKRVVGV ISNPKKSSIFASPATVDGRVGVVGSDLKMTRFDDPRKKFKLVEHTEDDNPAQN H257_01566 MPPLLSPLEHMSRCYAKADGFQVRHRPSSSVIDVPRVGIVEVIT RVPGGGHTFNIHLLGLNVKQDVDLTSLRSIDTGSVPPLSEELIDVDGACLAKYYLDGK YYKAVIKSVTPYGVMVLFEGYGNTEEVPTAYLRPLETKAAAAVAAPKQDDTLIVIPAN LAILPTDSEAERDRKRKRIRAIKSLNRHKAIDIERNTKQNDWTKFKAKASKKRVVGVI SNPKKSSIFASPATVDGRVGVVGSDLKMTRFDDPRKKFKLVEHTEDDNPAQN H257_01567 MEPPSPLRLMEMSTEKMMQRRQQAPSTRSVQTLQAELDSCLTRD EKEYVDDVVRQKAAAFSRQVAAKRRLRVATKSPSSPSVVIPQASSASTLAPLLSPNTA PSTHHRRNSLASHPDTFVLPSYDLSSSPPPTLESAAAGDNKPPPLLPVEYPPHDASLQ LEHMLTREVYAQKHRQAMEHFAIKLEAEAAAWKQKYAESVVLSMRSSALEAQVTALKA ANTQLVREVQIAHGKVDDVTAQLHNVQVALDTTQRQTQAMTMDVLDQTRLTNNEFEER LIQEQLTSAELREVVQHCMRQMEELSLKELIPMANMPAPVDVEPAKGRRNGGRRLGIR KRDALVIPRVRGTDDYMQTCPWMFYRRLFSPLSNETWPVEFQDRVWQSRSDAAVHQRW MDAVVATCSLPDELLALWTATSVLETTNALWVDKQLDDSRHGAAKLPTQSLPMFMWSW FLQKFKSRTVAAAQLYLFTVGLIEHKGAHARVEVMATLIGLSGVNVYLPRLADCLLTV VGALVPLPTLAGVLATSLVKPAVFDMATVEEALAEAFVTVDRVSSGPLSEFLRNHPFD RIVVSAESADRIADILGAEATKASHLARTTYWADDDGVSTSRSALNTTRTTISTVRST TTYSTNLMSSRRHQSLRGKTVHLQLEVVLRLVVHVWLHQATQDLDALMATMQHEDSSE ALDFKEFKTACLTHFPHEFSDREVIDMYETVMTSAN H257_01568 MLHQRPQTPYGECCRALGHSFRPIPWSLRTSCQPSIRQASPSAL SRRRIWLMHVWDNRIRLCLCSRKSTRFDAAAYDHLAQLEHGDMTWIVPGKVLAFSGPL MERREFAPTKVTMLAQDYAKVFNTMGVSCVVRLNEQCYDRKKFVHAGLNHVELIFPDG STPADSILDSFLNLCERERGAVAVHCKAGLGRTGTVIAAYIIKHYRFTATEAIAWCRL CRPGCIVGPQQHFLAYKQASLWATPLPSNHQPPPKLKPQLQTRKSFT H257_01569 MLRPSFDEAVTTKAYDMIVQHIRDHWDDRHVIVEGLMAVQQCID RKGGESDAKCLGVSGACDVVLDVMAANMQSEEIQFYGTQAFRFLATSSRNVLRFEAKR GLNQLTQSLVYYVAVPRVVREVLWALATLLGASEPSGLAFLEAMDGTLLVLKTLHRHT DNLDIQLHGCQSLLSMATRFKSRFREDAIASTGALMKGLLVRQSLPRSLVVVCLKCVT AIADKDSATGLFADGSWFRFVFITYIDDEIVVLEVVHLYILLCHIAPTVALTLSSGGG LDCLHEALVTYHNHRHEATVYELLRLVRHVFALSATHQDVVRVALSCQTTYTTHARLQ IEVCCIVRLALPWTPGSLWTTQIEVLNQLMQLHQTDAILRREGQYTKTAVGLLG H257_01570 MPRLPSISSSFPSSTERVQLQGIAEQSRLFLKRMAYSLATAKPT WDVMEADNNMELFHIKDKNSGTDDNVISVCGVAGVYGTLSEVADALFIPQTLPDMTRR GPKTFVDDILGSQTLLRVDGRTSVKWMAVQCADGMTHRDFVVLHSCERFQDVETRGVV ISLHSLDFPGAPPSFETSIHSAYRFVRGGIYRSGFVLLENSSTPGIIDVMSVFKVDFK GTDVRSKLPRSATLAAWLHWMGELNKYLLCAKLRRVGAPRLRRQTAPVSTRARRQCES CDAPFKFHFRPRKHDRRQCMMCSAVLCNACTVDFSESAGTPTTVFCIPCLVKWNPDGP SIKFIQPPSTTIGSSPASSSSSSSSVQLRSQMHHRFPGPTTPASTTSHNHSSSNPWSS VDTNIPILGDEGDLNLYTLPPHPPAVSDTALHRLDHLVQLESPSQQPSATDDDSFWDD DTLPRHTAVFVSRPNVPNDRDASKGGDDRMEQLRRHLHVAVADVVRESSRGHTAAAKQ ALERRNQLKRQMKHLHDCSLGGARPRGSNERSTLREDLRASQVELAALRSKHPGDGVP AFSTSSIAKLNPHDIAALQAKLDGLLSTSTSTVSDESLDDNNKRGSYGQTSPAQDKWR RHGHSNHHRMEDPTLSKSKHSCMNDNDVADMPVDRLRLSDIQIFTASNKRVDMKDLNQ LDDIHSFSL H257_01571 MEYLEVRGSTGKSAAQNLRRLDATPSAQCWVDKAPRPSSRSSTS SLPS H257_01572 MVVSVANLKKDKANKYARLKFALGFVKHDVEIQELLNYVHIDEK WFYLTKTNRKYYLIPGETALDRKCKSKRFVTKVMFLAAVARPHFVGDTGTWWDGKIGT WPFVETVLAQRSSNNRSAGSPETKPITVTKDVYREFLQGLACHRREVADERPSRHDTA RQRPSLRHGVRRQVAYTLAELAKQDWSISLAPQPPNSRDTNILDLGFFAGIQSLQHQK SARSIDELVAHVADAFVEYPFEHLDRTFLTLQSCLIETMKVNGDNTYKIPHMAKEKKQ RLGILPRNVVCPVDTFDAARAALVGTRVCC H257_01573 MDVVPLVCSVVAIAATLTLLDGRIFPREPENDYVTDWIALPRCP VFGIGKTKAYDHVNEIIVLLQRVHLVSTFVLPSSMVAWRAVAAGLEAVAGFPIVYGAV DGCLVMVKRFRQHEGWYYRKGFPAFNLMTLADD H257_01574 MEELVIAVDSAPPPAAPPNPTKRRAKVKPVAGVVKAPKSVWTTE MVTTLLDKRCDDYAASFELHRSSAQLSILWGKIALAINLLHSTSVPAVAVKNKYTSLK REFSTIHLAEGATGNAVGIVYRQYWDELASALCSKDGLIDYAFGASGQGDEADGGGCE CSDDASTSTKEFKWQSVIDAELSRQGAKRPKRTDIGQSLVALGESLAQGIERYASAT H257_01575 MVLNVAAMITRFQGQTLSDEVFLGQCLDEFSDTMDRQDEVSDST CPVLARSWTTAVKKAFGRGRRHAMDFGYRPPTFQKLNLRVIESVGQVFFEAFMKMPSM FDLRAQDTVFENYPYALYATDVKFQSSERPAGRHGAAKPYFSVKHKLYGLKFEASVSP QGHLVDMSAVHLGAVANLTILRTRMNQHQGALKKTEQELNIIDHGEQVHTHRDKWAFL VDKGECHDRSPSDSSQEEPTSRSRC H257_01576 MTHKLHDDIPIETRIAILFDLKAASTEATQHHGTFTQLATMYRC HRTTDAVITMWKRHLEHSPSGDLLSIWLLSLTCTFQTTRLLEASHTPHGCSKDNPALS HQGRHHPSPATASQASLAPKHIKARIAFCLKNKWFRLEKDASRYYLASFEDYLERRIQ NKRFIGQVMFLAAVARPRKDVRRNQTFDGKFGIWLFVTQVPARRTSRKRAAGTLETKA VNVGRVAYEDILRNKLNPAIVSKWPRDTKNIRLQQDNALAHVASGKVNAARYLQLLQD EIPLNIMKLALGGDFTWQQDNAPIHKVRIINAFFDEYEVIRTATSSRKP H257_01577 MPLLILVKGTLGSEIDVDELPTYPPGPVYVVQKAANMDQRVWSL YLRGVLQPKLDCPSVVLADNFTCHVSKKSYKILEDELF H257_01578 MPLLILVKGTLGSEIDVDELPTYPPGPVYVVQKAANMDQRVWSL YLRGVLQPKLDCPSVVLADNFTCHVSKKSYKILEDELF H257_01579 MASSPTTAKMKCRRAAGTGTTLTKEAEEPLVEWVQGMRNDGVPL TTHMLRVMTLEAAIDLGFNDVEFRAGWHWMDGFKAAPWAVPSCTYPHWPADTEDGLAV SGKVSARIRKIVEEENIDVVYNVDQTAVNYEYLPTKRLNKSGEYTVLVRCGGKTKYRM TEMLLADTTGAKHPLFLRLRKDGQCRSVSLPTTPGFASLWTSPGTGH H257_01580 VMFLSAVARPRWDNEKSALVDGKIGTWHFTEQVPVIRASRNRPA GTLETKPVSVTRDMYRAMLIDHVIPAIKAKWPVGESRRIIIQQDNARPHVPPLDSRIV DACTSDGWMMELKYQPPNSPDLNVLDLGFFRAIQSLQEKNYSRNVDDIVAASDEAWKQ VEPMTLNANFLTLQCCMHEVIRVAGNNNYKIPHMKKASLALKGMLPEVVAADVDVLND GFALLRATDMAKKVDDLSAEVAEALDMCEFSSQMEKLAVDGELDEDIEGDLANLLGLL EH H257_01581 MKLFLTTALVAASIAAEGLKMTGVLYQKYENNLLPLVLASSSSN NLPLSYAPGVTSAPTPAPSKTTVAPVPPTSSESQATTVPSTTSTANAPTTATPSSTAT PSSNKVEGGVAPKKQPVDLFTILRQQAQAVQDNNAQTGPDNTLNNYAAADTINVIEDN ARPSMGGGRLLTQEDVTVGLLMCNAKANSIVSYKSETAADSASSTTESGSKVADVQGK CYDSTVTVATVSVTAGCNAQNAGPGGCDDVLLPGCGGLSVNPLTKQVYVARTGGRTIG QINYIGQDGGDCDGRVLDWLIRYRGRKFNGPTDITFTRNGNLFFTDSPFALASSVDEL FSTNLTVLDSKRDLPFNGVFLRTDTTTELLDAALTRPRGLAFSPDEDILYVTNADAAQ PYVKAYKLLPNGTRDCARRFFDFANNTYNVRGTSTLADVACRRPYPTSVKVDAEGFVY VAMCNNIFVFDSAGTLLGRLEATAEIHTIAFGNGFIYVSAQATVFALPVDAAFANSQP PVPGAQQSCAAATSSLSAPQSQTQGTSSSSVVGGAFAAAAVVAVALFASKKKTQPAPG NGFEAMALTPPTANIKHHPF H257_01582 MFETSSATKLATAMRRPPMSIPDVVATLVTAALVVLWYVDRSTF WFLQDAFGIALCFVFLRTIRLPTLQVASVLLVLAFFYDIFFVFVTPLLFGRSVMDVAT GGQSASSKSGYPGVDFCERYPAFDACLDPQPLPMLLLFPRFHDWRGGQAMLVLGDIVL PGLLLCFALRFDYAREVHVKQTDPALLYLVPCTLGGISIAAFVSGDLHQMWHDGPYPV HPMAPTLHSPFQRR H257_01583 MTTGHSWVNSTGVYTAAAAVLCVYSALQIRKASRKHTTHGSRPA PGLRVGVLYLRNPHDDFLHILRNDVLVETAVAKVDSMLYVFVDDTKDDGSSSSDRLRY LGELYNILWNAACVANKHDLDIRVVSSVDQSWKDIIARPDLTAAFAYEDMDVAVLNDG RGSSPIAFFPFNDIVDRSVDATTFIYLEDPTRRLGKESLVVIGGTFDHLHNGHKKLLS FGAALADNGMLIGVTAPHMLQKKSLGHLIESIQERKSRVEAFLRDVHPHVAPTIITID DPFGPAITSADISAIVVSTETQLGAVKINAIRADRGLHPLNIYVCRRTDASTLSSSYI REQLAKRPSPR H257_01584 MKSSASLPSLSIRPPPAGRRDADDDDDFTIAVTNQTRHDRSKWA QLYKHSINDAFVHENIGPLLQRFESIDAFRRSRKRFTIGENTLPKLQRETRLRRDAVL ALSRHRPLDLSFLKDDGVDASTLAIARTPFDADKWAHNARCEYCCKDGGDLACSLCNV IAHTACYLTAWKDVAQRYPTCFNHDNKWICIACEGDLQMEYDTTIATQRHNALKKKET SCALLICGYTRMVKEAKLFAKKRECAVRIQARIRGKLARNAFNEMQRLRIRPYTVELL RLRGLGVEGDSVPPCGEGEDGETRLPNGFLCNPYIVLNIVEDHDDDAQRFCFESEIQR GSDDVEWNEEIFVPGVNGNVLMCFTVLSKNGPNNFFLGQGAVRLNGTEIWRYGANLEL KLKAELEIIPKIGHHQLMRIQDIGSLDKELTLSIRIRHFSEIGSYCGYMQSINTIDSV KGNSRWCVLADGTFRIYRHYGLTLAFETLKMVHASEVQLLQGLNHHRKTQTKKPTVLF IQHEQRAYLLTAESNKTNAVWVKKLQTAMKHKY H257_01585 MAPMNFQMLAVVLAHDANPHRSLDALATQFNLGREVVSMALRDA ANTRALPPEALHLGYAAQENNANPRAAAAAMPVSHAQDRHRLECSASALIKFNL H257_01586 MSAVVVIAVVGAGRMGQIRLAGLQSSPKMRVAYVIDENLQQAQT LAAQFNATGVKTLAEALHDPQVTAVWISTPTFTHLELIREAAQAGKAIAVEKPVAGTL ADLDAAYQACQDASVPLFCSFQRRFDPHYAALRDAITSNSIGAIQSIQTVFRDHPCPP VEFLKAGGDPFHDLAVHDIDFVCDVLNEYPSQVLAFGTSLNAALRDANVMDKASVWLQ FPSGVVCTMDLARHASYGYDQRIEVFGELGMLDVQNLHKTALRQSSAAGVTEAPYLHS FPQRFRDAYEFEIDHFASIVLSNQVPSVTWRAARNATIVAEACRQSASLRQQVTVSYD H257_01587 MSAPPPRKPRGHRQKGAGTVATSVGEQIRQGVLCKEWQRTPMQL LQEYCRSVKRQPAHYHNAQSDSEHTFRVRCVLSDAKSKDKDLIFCPTQSVDTTLDDAK HCAALLALLHLEPSRPHERKLPDPYREMWLVLQEEQKQPAFKPFKKSAAAAPDASSSS DGDAAHGKVVVLTSDRAFASKAEYTQSKLSEREDRNRRQRSRENRDRANIPVQVFMSQ KARDLVESVLADVDNSLDRVGHDDPIRLAQVSATLTAMGFQPMHIQAVVDRCPDLTDD TSVLDWLCLNVPEDELPKGFNPNGTQLEVVGYGVVAKAAKALEASAAYDPALHDAVID QLTACGYSRGDCLRALELANAALPNAHILDVEHEIKLELSRQLRRALSVREPDSAGGR SVEELEEALDEELMVMESIYDDKCTKTVLSNLNVRQISMLLLDDTLELVFYLPPESQY PYEVPHLFLRAIAIDGVNLLAVTGQLLDLASKLYGEPMMYELSSAVQGLVHQPKLAKP VELFREDPVATSAQQKAPKNAPNAKKSKAKPRQFNRTPHQGDPRAMNKQLYDKFQQKL GNDKYIQMQQTRAKLPAYQERETIVSLLETNQVVLISGATGCGKTTQVPQFLLDHFIP KQQTCNIICTQPRRLAAIGVATRVAHERTESIGESVGYSIRMETRRSPETRLLFCTTG VLLRRLLQDPVLEGVSHIIVDEVHERNVDTDFLLSILRDVLRQRTDLRLLLMSATMNT SLFVDYFGTGTPVLSIPGFTYPVTCHYLKHVLDVTGTTDKVSDDAINYRLVVSLVEYL VDTGDNGGAGEGAMLIFMPGVQEIKSTIRELQQSKVASALVAYPLHGALPGHEQSRVF DGAPKGKTKVIVSTNVAETSITIDDIVVVIDAGKAKEMAYDAINRRSILAEQWVSQAA ADQRKGRAGRVRPGVCYRLFSTKQFHKMAPQPTPEIHRVSLEPLCLQIQALELGPIDT FLANAIEPPSPDAVQSAIDGLIEMGALVRDNSNVQVQLTPLGAHLARLPMDARMAKVV VFGCILRCVDPVVTIAAALSSKSPFVSAPDDRSKGDALKKQWSDQVPTSDHFLLWTVV KTFSALSKSLRRSYCKDNCLSYETLATIVDLRHQYLEHCQMLGFYDPSNAARFNQHSA NPKVIKAALTAGLYGNVLQVVYPEQKYYESANGVLAAAHDAKAIRFFIRKLDKTTERV FIHPSSVNFTRSQFESPWLVYNELVQTSKIFVRESTMVAPYALLLFGGELTVQHEKVL LFRWQPWTTCRYIQGLLHVDGWIKFHAVARIGVLVKALRHQLDHLLAVKVTDPSVDLS SSHLIDAICDLLITEGV H257_01587 MSAPPPRKPRGHRQKGAGTVATSVGEQIRQGVLCKEWQRTPMQL LQEYCRSVKRQPAHYHNAQSDSEHTFRVRCVLSDAKSKDKDLIFCPTQSVDTTLDDAK HCAALLALLHLEPSRPHERKLPDPYREMWLVLQEEQKQPAFKPFKKSAAAAPDASSSS DGDAAHGKVVVLTSDRAFASKAEYTQSKLSEREDRNRRQRSRENRDRANIPVQVFMSQ KARDLVESVLADVDNSLDRVGHDDPIRLAQVSATLTAMGFQPMHIQAVVDRCPDLTDD TSVLDWLCLNVPEDELPKGFNPNGTQLEVVGYGVVAKAAKALEASAAYDPALHDAVID QLTACGYSRGDCLRALELANAALPNAHILDVEHEIKLELSRQLRRALSVREPDSAGGR SVEELEEALDEELMVMESIYDDKCTKTVLSNLNVRQISMLLLDDTLELVFYLPPESQY PYEVPHLFLRAIAIDGVNLLAVTGQLLDLASKLYGEPMMYELSSAVQGLVHQPKLAKP VELFREDPVATSAQQKAPKNAPNAKKSKAKPRQFNRTPHQGDPRAMNKQLYDKFQQKL GNDKYIQMQQTRAKLPAYQERETIVSLLETNQVVLISGATGCGKTTQVPQFLLDHFIP KQQTCNIICTQPRRLAAIGVATRVAHERTESIGESVGYSIRMETRRSPETRLLFCTTG VLLRRLLQDPVLEGVSHIIVDEVHERNVDTDFLLSILRDVLRQRTDLRLLLMSATMNT SLFVDYFGTGTPVLSIPGFTYPVTCHYLKHVLDVTGTTDKVSDDAINYRLVVSLVEYL VDTGDNGGAGEGAMLIFMPGVQEIKSTIRELQQSKVASALVAYPLHGALPGHEQSRVF DGAPKGKTKVIVSTNVAETSITIDDIVVVIDAGKAKEMAYDAINRRSILAEQWVSQAA ADQRKGRAGRVRPGVCYRLFSTKQFHKMAPQPTPEIHRVSLEPLCLQIQALELGPIDT FLANAIEPPSPDAVQSAIDGLIEMGALVRDNSNVQVQLTPLGAHLARLPMDARMAKVV VFGCILRCVDPVVTIAAALSSKSPFVSAPDDRSKGDALKKQWSDQVPTSDHFLLWTVV KTFSALSKSLRRSYCKDNCLSYETLATIVDLRHQYLEHCQMLGFYDPSNAARFNQHSA NPKVIKAALTAGLYGNVLQVVYPEQKYYESANGVLAAAHDAKAIRFFIRKLDKTTERV FIHPSSVNFTRSQFESPWLVYNELVQTSKIFVRESTMVAPYALLLFGGELTVQHEKGL LHVDGWIKFHAVARIGVLVKALRHQLDHLLAVKVTDPSVDLSSSHLIDAICDLLITEG V H257_01587 MSAPPPRKPRGHRQKGAGTVATSVGEQIRQGVLCKEWQRTPMQL LQEYCRSVKRQPAHYHNAQSDSEHTFRVRCVLSDAKSKDKDLIFCPTQSVDTTLDDAK HCAALLALLHLEPSRPHERKLPDPYREMWLVLQEEQKQPAFKPFKKSAAAAPDASSSS DGDAAHGKVVVLTSDRAFASKAEYTQSKLSEREDRNRRQRSRENRDRANIPVQVFMSQ KARDLVESVLADVDNSLDRVGHDDPIRLAQVSATLTAMGFQPMHIQAVVDRCPDLTDD TSVLDWLCLNVPEDELPKGFNPNGTQLEVVGYGVVAKAAKALEASAAYDPALHDAVID QLTACGYSRGDCLRALELANAALPNAHILDVEHEIKLELSRQLRRALSVREPDSAGGR SVEELEEALDEELMVMESIYDDKCTKTVLSNLNVRQISMLLLDDTLELVFYLPPESQY PYEVPHLFLRAIAIDGVNLLAVTGQLLDLASKLYGEPMMYELSSAVQGLVHQPKLAKP VELFREDPVATSAQQKAPKNAPNAKKSKAKPRQFNRTPHQGDPRAMNKQLYDKFQQKL GNDKYIQMQQTRAKLPAYQERETIVSLLETNQVVLISGATGCGKTTQVPQFLLDHFIP KQQTCNIICTQPRRLAAIGVATRVAHERTESIGESVGYSIRMETRRSPETRLLFCTTG VLLRRLLQDPVLEGVSHIIVDEVHERNVDTDFLLSILRDVLRQRTDLRLLLMSATMNT SLFVDYFGTGTPVLSIPGFTYPVTCHYLKHVLDVTGTTDKVSDDAINYRLVVSLVEYL VDTGDNGGAGEGAMLIFMPGVQEIKSTIRELQQSKVASALVAYPLHGALPGHEQSRVF DGAPKGKTKVIVSTNVAETSITIDDIVVVIDAGKAKEMAYDAINRRSILAEQWVSQAA ADQRKGRAGRVRPGVCYRLFSTKQFHKMAPQPTPEIHRVSLEPLCLQIQALELGPIDT FLANAIEPPSPDAVQSAIDGLIEMGALVRDNSNVQVQLTPLGAHLARLPMDARMAKVV VFGCILRCVDPVVTIAAALSSKSPFVSAPDDRSKGDALKKQWSDQVPTSDHFLLWTVV KTFSALSKSLRRSYCKDNCLSYETLATIVDLRHQYLEHCQMLGFYDPSNAARFNQHSA NPKVIKAALTAGLYGNVLQVVYPEQKYYESANGVLAAAHDAKAIRFFIRKLDKTTERV FIHPSSVNFTRSQFESPWLVYNELVQTSKIFVRESTMVAPYALLLFGGELTVQHEKVL LFRWQPWTTCRYIQGLLHVDGWIKFHAVARIGVLVKALRHQLDHLLAVKVTDPSVDLS SRYYIIPCVFN H257_01587 MSAPPPRKPRGHRQKGAGTVATSVGEQIRQGVLCKEWQRTPMQL LQEYCRSVKRQPAHYHNAQSDSEHTFRVRCVLSDAKSKDKDLIFCPTQSVDTTLDDAK HCAALLALLHLEPSRPHERKLPDPYREMWLVLQEEQKQPAFKPFKKSAAAAPDASSSS DGDAAHGKVVVLTSDRAFASKAEYTQSKLSEREDRNRRQRSRENRDRANIPVQVFMSQ KARDLVESVLADVDNSLDRVGHDDPIRLAQVSATLTAMGFQPMHIQAVVDRCPDLTDD TSVLDWLCLNVPEDELPKGFNPNGTQLEVVGYGVVAKAAKALEASAAYDPALHDAVID QLTACGYSRGDCLRALELANAALPNAHILDVEHEIKLELSRQLRRALSVREPDSAGGR SVEELEEALDEELMVMESIYDDKCTKTVLSNLNVRQISMLLLDDTLELVFYLPPESQY PYEVPHLFLRAIAIDGVNLLAVTGQLLDLASKLYGEPMMYELSSAVQGLVHQPKLAKP VELFREDPVATSAQQKAPKNAPNAKKSKAKPRQFNRTPHQGDPRAMNKQLYDKFQQKL GNDKYIQMQQTRAKLPAYQERETIVSLLETNQVVLISGATGCGKTTQVPQFLLDHFIP KQQTCNIICTQPRRLAAIGVATRVAHERTESIGESVGYSIRMETRRSPETRLLFCTTG VLLRRLLQDPVLEGVSHIIVDEVHERNVDTDFLLSILRDVLRQRTDLRLLLMSATMNT SLFVDYFGTGTPVLSIPGFTYPVTCHYLKHVLDVTGTTDKVSDDAINYRLVVSLVEYL VDTGDNGGAGEGAMLIFMPGVQEIKSTIRELQQSKVASALVAYPLHGALPGHEQSRVF DGAPKGKTKVIVSTNVAETSITIDDIVVVIDAGKAKEMAYDAINRRSILAEQWVSQAA ADQRKGRAGRVRPGVCYRLFSTKQFHKMAPQPTPEIHRVSLEPLCLQIQALELGPIDT FLANAIEPPSPDAVQSAIDGLIEMGALVRDNSNVQVQLTPLGAHLARLPMDARMAKVV VFGCILRCVDPVVTIAAALSSKSPFVSAPDDRSKGDALKKQWSDQVPTSDHFLLWTVV KTFSALSKSLRRSYCKDNCLSYETLATIVDLRHQYLEHCQMLGFYDPSNAARFNQHSA NPKVIKAALTAGLYGNVLQVVYPEQKYYESANGVLAAAHDAKAIRFFIRKLDKTTERV FIHPSSVNFTRSQFESPWLVYNELVQTSKIFVRESTMVAPYALLLFGGELTVQHEKGL LHVDGWIKFHAVARIGVLVKALRHQLDHLLAVKVTDPSVDLSSRYYIIPCVFN H257_01587 MSAPPPRKPRGHRQKGAGTVATSVGEQIRQGVLCKEWQRTPMQL LQEYCRSVKRQPAHYHNAQSDSEHTFRVRCVLSDAKSKDKDLIFCPTQSVDTTLDDAK HCAALLALLHLEPSRPHERKLPDPYREMWLVLQEEQKQPAFKPFKKSAAAAPDASSSS DGDAAHGKVVVLTSDRAFASKAEYTQSKLSEREDRNRRQRSRENRDRANIPVQVFMSQ KARDLVESVLADVDNSLDRVGHDDPIRLAQVSATLTAMGFQPMHIQAVVDRCPDLTDD TSVLDWLCLNVPEDELPKGFNPNGTQLEVVGYGVVAKAAKALEASAAYDPALHDAVID QLTACGYSRGDCLRALELANAALPNAHILDVEHEIKLELSRQLRRALSVREPDSAGGR SVEELEEALDEELMVMESIYDDKCTKTVLSNLNVRQISMLLLDDTLELVFYLPPESQY PYEVPHLFLRAIAIDGVNLLAVTGQLLDLASKLYGEPMMYELSSAVQGLVHQPKLAKP VELFREDPVATSAQQKAPKNAPNAKKSKAKPRQFNRTPHQGDPRAMNKQLYDKFQQKL GNDKYIQMQQTRAKLPAYQERETIVSLLETNQVVLISGATGCGKTTQVPQFLLDHFIP KQQTCNIICTQPRRLAAIGVATRVAHERTESIGESVGYSIRMETRRSPETRLLFCTTG VLLRRLLQDPVLEGVSHIIVDEVHERNVDTDFLLSILRDVLRQRTDLRLLLMSATMNT SLFVDYFGTGTPVLSIPGFTYPVTCHYLKHVLDVTGTTDKVSDDAINYRLVVSLVEYL VDTGDNGGAGEGAMLIFMPGVQEIKSTIRELQQSKVASALVAYPLHGALPGHEQSRVF DGAPKGKTKVIVSTNVAETSITIDDIVVVIDAGKAKEMAYDAINRRSILAEQWVSQAA ADQRKGRAGRVRPGVCYRLFSTKQFHKMAPQPTPEIHRVSLEPLCLQIQALELGPIDT FLANAIEPPSPDAVQSAIDGLIEMGALVRDNSNVQVQLTPLGAHLARLPMDARMAKVV VFGCILRCVDPVVTIAAALSSKSPFVSAPDDRSKGDALKKQWSDQVPTSDHFLLWTVV KTFSALSKSLRRSYCKDNCLSYETLATIVDLRHQYLEHCQMLGFYDPSNAARFNQHSA NPKVIKAALTAGLYGNVLQVVYPEQKYYESANGVLAAAHDAKAIRFFIRKLDKTTERV FIHPSSVNFTRVG H257_01587 MSAPPPRKPRGHRQKGAGTVATSVGEQIRQGVLCKEWQRTPMQL LQEYCRSVKRQPAHYHNAQSDSEHTFRVRCVLSDAKSKDKDLIFCPTQSVDTTLDDAK HCAALLALLHLEPSRPHERKLPDPYREMWLVLQEEQKQPAFKPFKKSAAAAPDASSSS DGDAAHGKVVVLTSDRAFASKAEYTQSKLSEREDRNRRQRSRENRDRANIPVQVFMSQ KARDLVESVLADVDNSLDRVGHDDPIRLAQVSATLTAMGFQPMHIQAVVDRCPDLTDD TSVLDWLCLNVPEDELPKGFNPNGTQLEVVGYGVVAKAAKALEASAAYDPALHDAVID QLTACGYSRGDCLRALELANAALPNAHILDVEHEIKLELSRQLRRALSVREPDSAGGR SVEELEEALDEELMVMESIYDDKCTKTVLSNLNVRQISMLLLDDTLELVFYLPPESQY PYEVPHLFLRAIAIDGVNLLAVTGQLLDLASKLYGEPMMYELSSAVQGLVHQPKLAKP VELFREDPVATSAQQKAPKNAPNAKKSKAKPRQFNRTPHQGDPRAMNKQLYDKFQQKL GNDKYIQMQQTRAKLPAYQERETIVSLLETNQVVLISGATGCGKTTQVPQFLLDHFIP KQQTCNIICTQPRRLAAIGVATRVAHERTESIGESVGYSIRMETRRSPETRLLFCTTG VLLRRLLQDPVLEGVSHIIVDEVHERNVDTDFLLSILRDVLRQRTDLRLLLMSATMNT SLFVDYFGTGTPVLSIPGFTYPVTCHYLKHVLDVTGTTDKVSDDAINYRLVVSLVEYL VDTGDNGGAGEGAMLIFMPGVQEIKSTIRELQQSKVASALVAYPLHGALPGHEQSRVF DGAPKGKTKVIVSTNVAETSITIDDIVVVIDAGKAKEMAYDAINRRSILAEQWVSQAA ADQRKGRAGRVRPGVCYRLFSTKQFHKMAPQPTPEIHRVSLEPLCLQIQALELGPIDT FLANAIEPPSPDAVQSAIDGLIEMGALVRDNSNVQVQLTPLGAHLARLPMDARMAKVV VFGCILRCVDPVVTIAAALSSKSPFVSAPDDRSKGDALKKQWSDQVPTSDHFLLWTVV KTFSALSKSLRRSYNLSFLLHIFSQIYIFSILDTAIYHSCYISFLESYIYIFSILDTA RTTVCRTRHWRRSWTCATSTWSTARC H257_01587 MSAPPPRKPRGHRQKGAGTVATSVGEQIRQGVLCKEWQRTPMQL LQEYCRSVKRQPAHYHNAQSDSEHTFRVRCVLSDAKSKDKDLIFCPTQSVDTTLDDAK HCAALLALLHLEPSRPHERKLPDPYREMWLVLQEEQKQPAFKPFKKSAAAAPDASSSS DGDAAHGKVVVLTSDRAFASKAEYTQSKLSEREDRNRRQRSRENRDRANIPVQVFMSQ KARDLVESVLADVDNSLDRVGHDDPIRLAQVSATLTAMGFQPMHIQAVVDRCPDLTDD TSVLDWLCLNVPEDELPKGFNPNGTQLEVVGYGVVAKAAKALEASAAYDPALHDAVID QLTACGYSRGDCLRALELANAALPNAHILDVEHEIKLELSRQLRRALSVREPDSAGGR SVEELEEALDEELMVMESIYDDKCTKTVLSNLNVRQISMLLLDDTLELVFYLPPESQY PYEVPHLFLRAIAIDGVNLLAVTGQLLDLASKLYGEPMMYELSSAVQGLVHQPKLAKP VELFREDPVATSAQQKAPKNAPNAKKSKAKPRQFNRTPHQGDPRAMNKQLYDKFQQKL GNDKYIQMQQTRAKLPAYQERETIVSLLETNQVVLISGATGCGKTTQVPQFLLDHFIP KQQTCNIICTQPRRLAAIGVATRVAHERTESIGESVGYSIRMETRRSPETRLLFCTTG VLLRRLLQDPVLEGVSHIIVDEVHERNVDTDFLLSILRDVLRQRTDLRLLLMSATMNT SLFVDYFGTGTPVLSIPGFTYPVTCHYLKHVLDVTGTTDKVSDDAINYRLVVSLVEYL VDTGDNGGAGEGAMLIFMPGVQEIKSTIRELQQSKVASALVAYPLHGALPGHEQSRVF DGAPKGKTKVIVSTNVAETSITIDDIVVVIDAGKAKEMAYDAINRRSILAEQWVSQAA ADQRKGRAGRVRPGVCYRLFSTKQFHKMAPQPTPEIHRVSLEPLCLQIQALELGPIDT FLANAIEPPSPDAVQSAIDGLIEMGALVRDNSNVQVQLTPLGAHLARLPMDARMAKVV VFGCILRCVDPVVTIAAALSSKSPFVSAPDDRSKGDALKKQWSDQVPTSDHFLLWTVV KTFSALSKSLRRSYNLSFLLHIFSQIYIFSILDTAIYHSCYISFLESYIYIFSILDTA RTTVCRTRHWRRSWTCATSTWSTARC H257_01587 MSAPPPRKPRGHRQKGAGTVATSVGEQIRQGVLCKEWQRTPMQL LQEYCRSVKRQPAHYHNAQSDSEHTFRVRCVLSDAKSKDKDLIFCPTQSVDTTLDDAK HCAALLALLHLEPSRPHERKLPDPYREMWLVLQEEQKQPAFKPFKKSAAAAPDASSSS DGDAAHGKVVVLTSDRAFASKAEYTQSKLSEREDRNRRQRSRENRDRANIPVQVFMSQ KARDLVESVLADVDNSLDRVGHDDPIRLAQVSATLTAMGFQPMHIQAVVDRCPDLTDD TSVLDWLCLNVPEDELPKGFNPNGTQLEVVGYGVVAKAAKALEASAAYDPALHDAVID QLTACGYSRGDCLRALELANAALPNAHILDVEHEIKLELSRQLRRALSVREPDSAGGR SVEELEEALDEELMVMESIYDDKCTKTVLSNLNVRQISMLLLDDTLELVFYLPPESQY PYEVPHLFLRAIAIDGVNLLAVTGQLLDLASKLYGEPMMYELSSAVQGLVHQPKLAKP VELFREDPVATSAQQKAPKNAPNAKKSKAKPRQFNRTPHQGDPRAMNKQLYDKFQQKL GNDKYIQMQQTRAKLPAYQERETIVSLLETNQVVLISGATGCGKTTQVPQFLLDHFIP KQQTCNIICTQPRRLAAIGVATRVAHERTESIGESVGYSIRMETRRSPETRLLFCTTG VLLRRLLQDPVLEGVSHIIVDEVHERNVDTDFLLSILRDVLRQRTDLRLLLMSATMNT SLFVDYFGTGTPVLSIPGFTYPVTCHYLKHVLDVTGTTDKVSDDAINYRLVVSLVEYL VDTGDNGGAGEGAMLIFMPGVQEIKSTIRELQQSKVASALVAYPLHGALPGHEQSRVF DGAPKGKTKVIVSTNVAETSITIDDIVVVIDAGKAKEMAYDAINRRSILAEQWVSQAA ADQRKGRAGRVRPGVCYRLFSTKQFHKMAPQPTPEIHRVSLEPLCLQIQALELGPIDT FLANAIEPPSPDAVQSAIDGLIEMGALVRDNSNVQVQLTPLGAHLARLPMDARMAKVV VFGCILRCVDPVVTIAAALSSKSPFVSAPDDRSKGDALKKQWSDQVPTSDHFLLWTVV KTFSALSKSLRRSYNLSFLLHIFSQIYIFSILDTAIYHSCYISFLESYIYIFSILDTA RTTVCRTRHWRRSWTCATSTWSTARC H257_01588 MADDLQDGTGQSARQFAHAVSQMAVTNILAKGAGFEYVQPSATS ALVDVMAAYIQKIGRDAQELAEVAGRAKPRGTDVSLALKDMVPYPVGLDDMIKAFQES QHRYEGKLAFPREVPKFPAPSKKRKADDDPIEEIGQRDSDKPAYTPSFAPPLPFKHSY SQQSHVVVSKEVDPKRIRLDLLHQKSEVQASLHNLADHVVAAPTALVDPVWKPHVSRL DLANPYLAPPRVESAARQSNPLTIYAAPTPSYRPVVAKPTKRAIEIMSGSDSLTNDNS NANNQKVKEDKILAGTYHEGDSD H257_01589 MAASSLIVGVETSFCQDLASKLDKLYEERITLVAAPLFHPRFRR DANGVSDARNGPQTRSDLVLDSRGWTSSVIGNVSKWIDLDSSCDHVRWTAEAVFKQEL AWASHLSLTAVVTPSLHSARSNANYARIVNQAASQAQSSLQYWVPVPLYVPMQLTDQG NDSWKAWNALRTMSDFNPRVLVALEVTADLPDRSVLARWLGEPIAAVYIPTNIFLTNK SGYPTLSKQHQRFFLQLFQHARIRYFLKGRPYHHGRYLPYVQYLDHLFSTRSSCPDAK AAFEAPYLDYLQAPLQPLMDNLESQTYETFEQDPVKYERYEVAIAHALADTPASKESV VMVVGAGRGPLVRCALRAAKKADRTIRMFAVEKNPNAVITLRNMKVSEKWDNVTIVSS DMRVWKAPEPADIMVSELLGSFGDNELSPECLDGAQSFLREGGVSIPCKYTSFVTPIS SSKLWNEVKGVDANAVKGYETPYVVRLHQIFSFARPQPCFTFDHPNLDPVIDNKRYHQ MTFDAVEDGVVHGLAGYFDSVLYADTCISINPETLDLSPGMFSWFPIFFPLRTPLHVR QDDKILVCFWRQVGGGKVWYEWAVAINDTWGPIHNPNGRSYWIGL H257_01590 MQQPSISTLGNATLVAIPLWFPATPYRLVVPKPTDKSVHVASNR DDYAAAVRYMRRKRHRSIETVVDGAPSWRVSTNLPHHCSTTLRHFCICPLTRMTSATT VSHASYNQLGNSPSLFTDEDMWTIDTILGSDDLLTLDDDIQKDLDFLTSASTISAHPL TILTTPDALMNQAFASESEACFSPQPRPRPRHSLDMMTLETLPLDVLTFVDHPSKRKS FAGLTVVPMMKSMPSLPVQPQQMLNNQSPLSPIKSPKKCKQCMATGCTRRAQSNNRCK SHGGGARCTVAGCGKSSQGGGLCRAHGGGKKCKFPGCTKGTQRLGLCYLHGGIRRCTF NGCVKKDRGNGFCISHGGGKKCSAPKCTMPVTKGTTCMKHAPPPPPVVKMEPMPSFMG RAIAMMSPVLYCNRHNPLGNGSGSCMAMHLLESAYRIEELLSDDDSDSDAEDKRTAIT RARHMGSGISNAGNRHKQDKKASIVMAPPSSKAAVDKVFFADVKPFVLDGGDLEHLPQ TSDDRSKHVHAQIFGRNNNAKPSPPPPLPAVTLLLPPVSPVVLSARSSANATARRQHP QPSWEVPEPLTTTGTSHQVIEQAMVTCCPLLADEVTTIEAFN H257_01590 MQQPSISTLGNATLVAIPLWFPATPYRLVVPKPTDKSVHVASNR DDYAAAVRYMRRKRHRSIETVVDGAPSWRVSTNLPHHCSTTLRHFCICPLTRMTSATT VSHASYNQLGNSPSLFTDEDMWTIDTILGSDDLLTLDDDIQKDLDFLTSASTISAHPL TILTTPDALMNQAFASESEACFSPQPRPRPRHSLDMMTLETLPLDVLTFVDHPSKRKS FAGLTVVPMMKSMPSLPVQPQQMLNNQSPLSPIKSPKKCKQCMATGCTRRAQSNNRCK SHGGGARCTVAGCGKSSQGGGLCRAHGGGKKCKFPGCTKGTQRLGLCYLHGGIRRCTF NGCVKKDRGNGFCISHGGGKKCSAPKCTMPVTKGTTCMKHAPPPPPVVKMEPMPSFMG RAIAMMSPVLYCNRHNPLGNGSGSCMAMHLLESAYRIEELLSDDDSDSDAEDKRTAIT RARHMGSGISNAGNRHKQDKKASIVMAPPSSKAAVDKVFFADVKPFVLDGGDLEHLPQ TSDDRSKHVHAQIFGRNNNAKPSPPPPLPAVTLLLPPVSPVVLSARSSANATARRQHP QPSWEVPEPLTTTADEVTTIEAFN H257_01590 MQQPSISTLGNATLVAIPLWFPATPYRLVVPKPTDKSVHVASNR DDYAAAVRYMRRKRHRSIETVVDGAPSWRVSTNLPHHCSTTLRHFCICPLTRMTSATT VSHASYNQLGNSPSLFTDEDMWTIDTILGSDDLLTLDDDIQKDLDFLTSASTISAHPL TILTTPDALMNQAFASESEACFSPQPRPRPRHSLDMMTLETLPLDVLTFVDHPSKRKS FAGLTVVPMMKSMPSLPVQPQQMLNNQSPLSPIKSPKKCKQCMATGCTRRAQSNNRCK SHGGGARCTVAGCGKSSQGGGLCRAHGGGKKCKFPGCTKGTQRLGLCYLHGGIRRCTF NGCVKKDRGNGFCISHGGGKKCSAPKCTMPVTKGTTCMKHAPPPPPVVKMEPMPSFMG RAIAMMSPVLYCNRHNPLGNGSGSCMAMHLLESAYRIEELLSDDDSDSDAEDKRTAIT RARHMGSGISNAGNRHKQDKKASIVMAPPSSKAAVDKVFFADVKPFVLDGGDLEHLPQ TSDDRSKHVHAQIFGRNNNAKPSPPPPLPAVTLLLPPVSPVVLSARSSANATARRQHP QPSWEVPEPLTTTDEVTTIEAFN H257_01590 MQQPSISTLGNATLVAIPLWFPATPYRLVVPKPTDKSVHVASNR DDYAAAVRYMRRKRHRSIETVVDGAPSWRVSTNLPHHCSTTLRHFCICPLTRMTSATT VSHASYNQLGNSPSLFTDEDMWTIDTILGSDDLLTLDDDIQKDLDFLTSASTISAHPL TILTTPDALMNQAFASESEACFSPQPRPRPRHSLDMMTLETLPLDVLTFVDHPSKRKS FAGLTVVPMMKSMPSLPVQPQQMLNNQSPLSPIKSPKKCKQCMATGCTRRAQSNNRCK SHGGGARCTVAGCGKSSQGGGLCRAHGGGKKCKFPGCTKGTQRLGLCYLHGGIRRCTF NGCVKKDRGNGFCISHGGGKKCSAPKCTMPVTKGTTCMKHAPPPPPVVKMEPMPSFMG RAIAMMSPVLYCNRHNPLGNGSGSCMAMHLLESAYRIEELLSDDDSDSDAEDKRTAIT RARHMGSGISNAGNRHKQDKKASIVMAPPS H257_01591 MSGQVYFVDNVHVTAATEKLARFKVHQKLQIQIDSDVSASECST LMSRDDEPPTPTSSSLHDDDSLPLGDEEMSCEKKKRRRRTAAQIDRKFVCSYAGCKKA YGSEGSLTQHMRLKHRSLTLSHRDRVVSTYFNCNNIAIRPAISFVVDMAAAYNSAPAG FLHESQDMFHGMEKLRMRSNSMPTEGYTQQCDTPRWKKAAVTTTPQSARAAKSKTLKG KMKRSQSMSSPPETPERGVFTTPRGLVPAVEALHLSPHCTYLPQSTDQPYRGKDMSLL HTLDWVGHGGGAAANPQMKADVASDNNDDDAATDLSVLQSLVDDCPHKHPSDECDVIM GMSPEVPTSPPLYAEFPLDDDMMETSNQYDNDVYYKASPPSSSSSSTSSGWNNNDMDL VSSSFLPDSMTHGAPPTMDSFNLPRTAPLEATYVYCPPPALAAAPSPYFMSS H257_01591 MSGQVYFVDNVHVTAATEKLARFKVHQKLQIQIDSDVSASECST LMSRDDEPPTPTSSSLHDDDSLPLGDEEMSCEKKKRRRRTAAQIDRKFVCSYAGCKKA YGSEGSLTQHMRLKHRSLTLSHRDRVVSTYFNCNNIAIRPAISFVVDMAAAYNSAPAG FLHECMSLLVGMHVLQRSFAAQDMFHGMEKLRMRSNSMPTEGYTQQCDTPRWKKAAVT TTPQSARAAKSKTLKGKMKRSQSMSSPPETPERGVFTTPRGLVPAVEALHLSPHCTYL PQSTDQPYRGKDMSLLHTLDWVGHGGGAAANPQMKADVASDNNDDDAATDLSVLQSLV DDCPHKHPSDECDVIMGMSPEVPTSPPLYAEFPLDDDMMETSNQYDNDVYYKASPPSS SSSSTSSGWNNNDMDLVSSSFLPDSMTHGAPPTMDSFNLPRTAPLEATYVYCPPPALA AAPSPYFMSS H257_01591 MSGQVYFVDNVHVTAATEKLARFKVHQKLQIQIDSDVSASECST LMSRDDEPPTPTSSSLHDDDSLPLGDEEMSCEKKKRRRRTAAQIDRKFVCSYAGCKKA YGSEGSLTQHMRLKHRSLTLSHRDRGQLHRDDRSVSHMPMRHLPLVVSTYFNCNNIAI RPAISFVVDMAAAYNSAPAGFLHESQDMFHGMEKLRMRSNSMPTEGYTQQCDTPRWKK AAVTTTPQSARAAKSKTLKGKMKRSQSMSSPPETPERGVFTTPRGLVPAVEALHLSPH CTYLPQSTDQPYRGKDMSLLHTLDWVGHGGGAAANPQMKADVASDNNDDDAATDLSVL QSLVDDCPHKHPSDECDVIMGMSPEVPTSPPLYAEFPLDDDMMETSNQYDNDVYYKAS PPSSSSSSTSSGWNNNDMDLVSSSFLPDSMTHGAPPTMDSFNLPRTAPLEATYVYCPP PALAAAPSPYFMSS H257_01591 MSGQVYFVDNVHVTAATEKLARFKVHQKLQIQIDSDVSASECST LMSRDDEPPTPTSSSLHDDDSLPLGDEEMSCEKKKRRRRTAAQIDRKFVCSYAGCKKA YGSEGSLTQHMRLKHRSLTLSHRDRGQLHRDDRSVSHMPMRHLPLVVSTYFNCNNIAI RPAISFVVDMAAAYNSAPAGFLHECMSLLVGMHVLQRSFAAQDMFHGMEKLRMRSNSM PTEGYTQQCDTPRWKKAAVTTTPQSARAAKSKTLKGKMKRSQSMSSPPETPERGVFTT PRGLVPAVEALHLSPHCTYLPQSTDQPYRGKDMSLLHTLDWVGHGGGAAANPQMKADV ASDNNDDDAATDLSVLQSLVDDCPHKHPSDECDVIMGMSPEVPTSPPLYAEFPLDDDM METSNQYDNDVYYKASPPSSSSSSTSSGWNNNDMDLVSSSFLPDSMTHGAPPTMDSFN LPRTAPLEATYVYCPPPALAAAPSPYFMSS H257_01591 MSCEKKKRRRRTAAQIDRKFVCSYAGCKKAYGSEGSLTQHMRLK HRSLTLSHRDRVVSTYFNCNNIAIRPAISFVVDMAAAYNSAPAGFLHESQDMFHGMEK LRMRSNSMPTEGYTQQCDTPRWKKAAVTTTPQSARAAKSKTLKGKMKRSQSMSSPPET PERGVFTTPRGLVPAVEALHLSPHCTYLPQSTDQPYRGKDMSLLHTLDWVGHGGGAAA NPQMKADVASDNNDDDAATDLSVLQSLVDDCPHKHPSDECDVIMGMSPEVPTSPPLYA EFPLDDDMMETSNQYDNDVYYKASPPSSSSSSTSSGWNNNDMDLVSSSFLPDSMTHGA PPTMDSFNLPRTAPLEATYVYCPPPALAAAPSPYFMSS H257_01591 MSCEKKKRRRRTAAQIDRKFVCSYAGCKKAYGSEGSLTQHMRLK HRSLTLSHRDRVVSTYFNCNNIAIRPAISFVVDMAAAYNSAPAGFLHECMSLLVGMHV LQRSFAAQDMFHGMEKLRMRSNSMPTEGYTQQCDTPRWKKAAVTTTPQSARAAKSKTL KGKMKRSQSMSSPPETPERGVFTTPRGLVPAVEALHLSPHCTYLPQSTDQPYRGKDMS LLHTLDWVGHGGGAAANPQMKADVASDNNDDDAATDLSVLQSLVDDCPHKHPSDECDV IMGMSPEVPTSPPLYAEFPLDDDMMETSNQYDNDVYYKASPPSSSSSSTSSGWNNNDM DLVSSSFLPDSMTHGAPPTMDSFNLPRTAPLEATYVYCPPPALAAAPSPYFMSS H257_01591 MSCEKKKRRRRTAAQIDRKFVCSYAGCKKAYGSEGSLTQHMRLK HRSLTLSHRDRGQLHRDDRSVSHMPMRHLPLVVSTYFNCNNIAIRPAISFVVDMAAAY NSAPAGFLHESQDMFHGMEKLRMRSNSMPTEGYTQQCDTPRWKKAAVTTTPQSARAAK SKTLKGKMKRSQSMSSPPETPERGVFTTPRGLVPAVEALHLSPHCTYLPQSTDQPYRG KDMSLLHTLDWVGHGGGAAANPQMKADVASDNNDDDAATDLSVLQSLVDDCPHKHPSD ECDVIMGMSPEVPTSPPLYAEFPLDDDMMETSNQYDNDVYYKASPPSSSSSSTSSGWN NNDMDLVSSSFLPDSMTHGAPPTMDSFNLPRTAPLEATYVYCPPPALAAAPSPYFMSS H257_01591 MSCEKKKRRRRTAAQIDRKFVCSYAGCKKAYGSEGSLTQHMRLK HRSLTLSHRDRGQLHRDDRSVSHMPMRHLPLVVSTYFNCNNIAIRPAISFVVDMAAAY NSAPAGFLHECMSLLVGMHVLQRSFAAQDMFHGMEKLRMRSNSMPTEGYTQQCDTPRW KKAAVTTTPQSARAAKSKTLKGKMKRSQSMSSPPETPERGVFTTPRGLVPAVEALHLS PHCTYLPQSTDQPYRGKDMSLLHTLDWVGHGGGAAANPQMKADVASDNNDDDAATDLS VLQSLVDDCPHKHPSDECDVIMGMSPEVPTSPPLYAEFPLDDDMMETSNQYDNDVYYK ASPPSSSSSSTSSGWNNNDMDLVSSSFLPDSMTHGAPPTMDSFNLPRTAPLEATYVYC PPPALAAAPSPYFMSS H257_01591 MSGQVYFVDNVHVTAATEKLARFKVHQKLQIQIDSDVSASECST LMSRDDEPPTPTSSSLHDDDSLPLGDEEMSCEKKKRRRRTAAQIDRKFVCSYAGCKKA YGSEGSLTQHMRLKHRSLTLSHRDRGQLHRDDRSVSHMPMRHLPLVVSTYFNCNNIAI RPAISFVVDMAAAYNSAPAGFLHECMSLLVGMHVLQRSFAAQDMFHGMEKLRMRSNSM PTEGYTQQCDTPRWKKAAVTTTPQSARAAKSKTLKGKMKRSQSMSSPPETPERGVFTT PRGLVPAVEALHLSPHCTYLPQVLVISYTTLPSIPTISSPRTSRTAVKT H257_01592 MRVIWWRSSGTTRVTNPSRTSLGSRGSSMASGRFDLLLESVTSL LMCTSHVISDWSLVGFCVHCPTRYRTSFESMGNKGFGCRAVSSTHSCGDRSSSCGGGS GVWHCRSAKALRRVCSCPTNSWFSPSFSYTWRYSREISSFCVDVCIDNALT H257_01593 MEDVDSNASASIRSGRSGSLSRYLDKNGRNRRNSTTSSNSDREG EALSEYQSEVMTLIAMEDKRRAFQRLLNLEVEVIFDTLEETDSGLRTWLLEYDVVKEV LRVFSTPVLFHDISSTPDAVVPSIATDTAVLKEPLDEPAAAEEPYESNAHSTSPSDDA TSPDKDPLADDGDHEVSQSPAGEDSIPPPLTPKVHAAAVDSKSTPSFAKVDEEYGYYK HVFVCSEIIMRVYTGDEDLYESFRMSSSSAGSAAANDELTFGCQTQDELELWRLFFAY FSDNAAIDEVQAAFYCKAFIRLHDAYCLEDGYVHVVLEAYLPALLKHIYMPTVKHLLL KLLQSYESIHPLTGVHDAMETVAPLLVHAATAPIDLSSPSLANQVAARENACRLLVEM LQANQADRLGSFLRREDTQFLAKYFVRDQFGTIRGIEAVTEGYHNFLQFMLLEELGKE PRLLDQLFAGAIEQLAQVPAWPSTIVAPCMLTIHVVSELLRIYSRYKRASEQLTPKQV AADDADELDDDDDTRSPCTAPPSNANPAVMYELSGLKDADTNLWLNVKKLSRASSAAY VGHFFTQQHPTSIDIAIAKHLYRLVTLQDDDVDAHLVAAGLLPKYVQLLQAKPDADML LIHVVSALLFIITDKSETRGGSCVLVRGILGDSIDLLQTIVDVFETHHRSKAYFKVLN DSMLVMLASENPSPSQALVIAKASVHAPWQKLNCETKRTLGLVDGVSSAHDHSSTAAA TTATCTTADAIADKDEADLTNGADAAAGVANGDFLDVSEDEPHASTDSEPAKPARRLS LPKSAYLRSVLSSPAASVMRNVNTVKSTSRRSIINIMNLTTTAAPETTATPQPSTKPN INNGSSSTLFGFGNNMLSKLKQRMAPATSPNLHHHAPSSPNESTPAKRSSLLPTSRLK GSSDNPSSLLVLDTSLGDDVTKCAVHDGGVHVTTKDTVVTTSGYMFKSGMPDVGKRHV WERYYFVLERGPEGMSTLSYYISETQAKHRTLVKGVVVPTSVSEGIPIRVTGKHEAHA FQFNTQGHGMFWVLVDSKDTKVTWLHELLSAITGIPFSPKSAHEILFPSAGDVSSKQM KAIVSGLYATLFGHDLDFPDAAAAPPLVTMDALSPFVHPNVILSSNLPSTVPYWGEYH GLHGMVQFCKVREETVERLKGRVLRIVADEDESTVVVMTAVTLRIVHNSEVVVEESCD IVELTDGKVATIHLNFDSAQLSTSFEQLGLDGS H257_01594 MSVPRGVSKANEDLWSKFIRLDSKHGAYYQVQCKACAEYAHIHG ADAAVLQGRIERMKTHLTKCPHGDPLRAINGDDESRMKRAFRHTGNEGFDGRRDFDEK RFKLTSGDGEYRSMIGTKHVESERLRKLEENREQLEQQLLLEKIKREKINAMKEDQQC KVELLLSRQTLREKGVPQDEIDLLLPLTQPQATASSTFV H257_01595 MDAVSSANDEVFRRHKNYFAQYIDSDDSNLPDKIRALAETEGGS KRLMVDLNVLRVYDGYFTNGNDEANIVTRLLQQPSEYLPPLEDAVRDAIVNAQNSYNP KAALTVDASQVEVGLEGDFGAHFMTPRGLVASFLSQCVCVHGIVTKCSAVRPKVVKSV HYCKETNSMVSREYRDHTSLTGAPTTSVYPTKDENGNLLETEFGLCQYKDYQVLTIQE TPETAPLGQLPRSCDVTVEADLVDKCKPGDRIHVIGVFRAIASQTVGEDTWNKYDSYG SRQAASSSGVFKTALLANNVQVMGKEVHGIVMTTEDVMHVREFAKRGDAMDILSRSIA PSIFGHAAIKQALLLQLLGGVEKNLDNGTHLRGDINVLMVGDPSTAKSQLLRFVRNLA PLAVSTTGRGSSGVGLTAAVTLDPDTKEKRLEAGAMVLADRGIVCIDEFDKMGEADRV AIHEVMEQQTVTIAKAGIHATLNARCSVLAAANPVYGQYQKNKRPQENIGLPDSLLSR FDLLFVVLDSLDRHADRMISDHVLRNHRYCAPGDEGRPISFHTTEIWTDKDAADAGMS IFQPYDPLLHPNSNKEGVLTVPFLKKFIHYAKTRLPPVLTEPARDLIAEGYAALRSQQ TQRTLPVTARTLETLIRLASAHAKARLSKYIELPDATHALNLMKYALYHEVSTEATAD DRPGTTTRQEDEPVDEDEETQDVDMPELPPPAKRFKVDEEMPKQPTDDLRQRVTAYLS QVTSEENEESMGQQFYVKDLLTAVNKGQPKRATAKQLGVVLMALEEENKLMYLRDGDD PSIMLV H257_01595 MDAVSSANDEVFRRHKNYFAQYIDSDDSNLPDKIRALAETEGGS KRLMVDLNVLRVYDGYFTNGNDEANIVTRLLQQPSEYLPPLEDAVRDAIVNAQNSYNP KAALTVDASQVEVGLEGDFGAHFMTPRGLVASFLSQCVCVHGIVTKCSAVRPKVVKSV HYCKETNSMVSREYRDHTSLTGAPTTSVYPTKDENGNLLETEFGLCQYKDYQVLTIQE TPETAPLGQLPRSCDVTVEADLVDKCKPGDRIHVIGVFRAIASQTAASSSGVFKTALL ANNVQVMGKEVHGIVMTTEDVMHVREFAKRGDAMDILSRSIAPSIFGHAAIKQALLLQ LLGGVEKNLDNGTHLRGDINVLMVGDPSTAKSQLLRFVRNLAPLAVSTTGRGSSGVGL TAAVTLDPDTKEKRLEAGAMVLADRGIVCIDEFDKMGEADRVAIHEVMEQQTVTIAKA GIHATLNARCSVLAAANPVYGQYQKNKRPQENIGLPDSLLSRFDLLFVVLDSLDRHAD RMISDHVLRNHRYCAPGDEGRPISFHTTEIWTDKDAADAGMSIFQPYDPLLHPNSNKE GVLTVPFLKKFIHYAKTRLPPVLTEPARDLIAEGYAALRSQQTQRTLPVTARTLETLI RLASAHAKARLSKYIELPDATHALNLMKYALYHEVSTEATADDRPGTTTRQEDEPVDE DEETQDVDMPELPPPAKRFKVDEEMPKQPTDDLRQRVTAYLSQVTSEENEESMGQQFY VKDLLTAVNKGQPKRATAKQLGVVLMALEEENKLMYLRDGDDPSIMLV H257_01596 MQRGRLLVWRRRWFSDEASSVAKKSLPLDGRRGGGMAHFQPIPS MEAYMELATVALNDSVDIDSPVIPNNPAAAPPKSND H257_01597 MSFVRSIVRQFSGESSIETVQQKEDYERHQRLELLKAQKDLRQA NAMYRGGGSSLQDELVRRSATVQRGDRLHHDSFEWVSRSRESSFEVVSRNGSFDLCEE PDAEPYVVVVNSPSTPEQACKELEDQNVAASDATSLFVQRLVADMIEAAVAQCLVSRV VKAAAVDYSDRWIAAGTRSSARRSTLAIQLVRSSTKAALDSVARMQLEREAMVAEDCT VSLMQKRRYPSRVNTPVESADMEVKCRHAALATEALAAFYAARAQKKLVRSEANRGAE ALKLREMATPSSSWAKVLQLIDNPEDHASFASMQPPVARMFSVLRTSAA H257_01598 MSRWTSEDDLALLIQANNERPFLQDRECEVDGKKTSHRFHLLLD NHEKFQKESVYLSGVDQEHNEMHILLDELVALRKDNMAKKKGSNKQTRLISKKRRGPN IRDEAMRTYPKKRAKVQNDERDEASTTPSKKKMLVDFHQAEIQLEWERLAFKKAKMRK RLKKSASIARKGARHGRTTATSAKRRATKCPRF H257_01599 MLSREELVMVVKRYRFANPACRRRLLLVLVASIERPLVPDVRFN LDSYNDADLQPKFRFGSDEIRLLVNLLGIPSVTEKRDRVHAVEAICILLHLLCYPKRY CDMMVLFSRSRESICRIFNSIVNLLYDKWKCTIYFAIDTVETRLQLYANAIANKGSPV ACLFGFIDGSKFETCHITQASASAFPDMQRYVYSGHKRRHCLNFQAITAPDGLCVHFW GPLEGARHDTTLLRESKLLDYMTERSYIFNNYFIYGDPAYGVLGWIFSGYKGNTITQQ EKRVQQHHENSQLALA H257_01600 MTAAPPQPASIATIAPCLPGATRARENLTSQQRRAIYETLLEQS TDGGLPYGALHDLAVKFRCHWRTISRVWTRGRASLRNGNDVADVASRLQGNVGRKKTR TSEEIEQAIKTVPHFARQTLRSVAHQSNIPKSTIIRHIRETKRLKARSSYVKPLLTED NLKTRLKFAMNFVRPSASGTHIFASMHQYVHVDEKWFYLTKVKRNFYVYDDEDIALRS VKSKQFITKVMLLAAVARPRYDSSKKKFFDGKVGVWPFVEVAPAKRTSKNRPKGLP H257_01601 MVAIQNQSGADFSAGQVAARLLGSTASGVLELAIFHPVDTVAKR LMTNKSSDLSISEVIFRDAAAKPLGQRYASLFPGVGFAAGYKISQRIYKFGGQPVVKD YITKHHKQTFVDVFGDAHAKTFMHATAGSLIGVGEIALLPLDVLKIRAQTNPAAIAGR GVLDIFKTEGFALYRGAGWTAARNAPGSFALFGGSAAAKEYLFGLENYNDATFFQNFV ASIAGASSSIIVAQPLDVIKTRIQSRAFDSPESGAQVISNLLKNEGPGALFKGLLPKL GVVGPKLVFSFWVAQQLIAHFEKSFA H257_01602 MQDSTLHHRRSKAAEDTAYGHSSSLHLHDDGTSQRKRAKGHFVR LWVVLAWVAGLVAFTFWRHLWLPKPKSSDIPLHEFSEGRARVFLEELQSIEGFRTVGS KSNEELTPAWLLAHLHTFQQRCVAPCQLDIEVQRPTGAFGLDFLSKFQNVYANVTNIV VRVQRTTLDESSTPAILLSSHYDAAVGAGAASDDGVNIAIMLELLQNVVASETMLPSH NALMFNFNGAEETMLQAAHGFITQHPWKDQVAAFINLEASGAGGRELLFQTGSDILAM AYARGAPYPHASTIAQEVFQSGVIPGVTDYTVYSEHGEVAGMDFAFIANGYVYHTPLD DISRIQQGSIQRFGENIQGTLAELFKDPAALHTINSSVRAHRHVFFDLFGVVTVTYSE AVGEWVNYLVVVLALVYCAIWSRLPWASKWQGVLQLIKMNALAFTSSMSMAGLLLVLA PMSWYASPVTHLWVFIFPTVVGYLTVFPCTETSAVQWTEMFVEGLALLWMALSVLLMA AGVQSAYLSISWVLFPLFSYALFHDIATTRASSIHVPFNILGTTLALVVGPAIPLVYF LQVDFMVLQVFIPIMGRVGNILPVDCIMAVLLSILTTITLSAITPLLCLVRPSSLHVL HKYTVLGSVASVVVAILSNSYSADCPKRLGLNHIYRNFSQLNLPDDWGMWINACDYLG LEPLRPAFASNSKWSHRLHAPAGPEAPITIFDNFPWFYPISHIIPVKHTWYLPANPPD VQTIPTYVDVVSSSYDDSTDRRQIHFRFNGPSHANLYIDATNTTLTAWSLGQGRDGVP PAVDECYILQLASGSATSSFHFWIEVESNATLNVAYCGFYLDLMTADLEKTIDTLPPW ATQSHAVASWGILQV H257_01602 MQDSTLHHRRSKAAEDTAYGHSSSLHLHDDGTSQRKRAKGHFVR LWVVLAWVAGLVAFTFWRHLWLPKPKSSDIPLHEFSEGRARVFLEELQSIEGFRTVGS KSNEELTPAWLLAHLHTFQQRCVAPCQLDIEVQRPTGAFGLDFLSKFQNVYANVTNIV VRVQRTTLDESSTPAILLSSHYDAAVGAGAASDDGVNIAIMLELLQNVVASETMLPSH NALMFNFNGAEETMLQAAHGFITQHPWKDQVAAFINLEASGAGGRELLFQTGSDILAM AYARGAPYPHASTIAQEVFQSGVIPGVTDYTVYSEHGEVAGMDFAFIANGYVYHTPLD DISRIQQGSIQRFGENIQGTLAELFKDPAALHTINSSVRAHRHVFFDLFGVVTVTYSE AVGEWVNYLVVVLALVYCAIWSRLPWASKWQGVLQLIKMNALAFTSSMSMAGLLLVLA PMSWYASPVTHLWVFIFPTVVGYLTVFPCTETSAVQWTEMFVEGLALLWMALSVLLMA AGVQSAYLSISWVLFPLFSYALFHDIATTRASSIRTTLALVVGPAIPLVYFLQVDFMV LQVFIPIMGRVGNILPVDCIMAVLLSILTTITLSAITPLLCLVRPSSLHVLHKYTVLG SVASVVVAILSNSYSADCPKRLGLNHIYRNFSQLNLPDDWGMWINACDYLGLEPLRPA FASNSKWSHRLHAPAGPEAPITIFDNFPWFYPISHIIPVKHTWYLPANPPDVQTIPTY VDVVSSSYDDSTDRRQIHFRFNGPSHANLYIDATNTTLTAWSLGQGRDGVPPAVDECY ILQLASGSATSSFHFWIEVESNATLNVAYCGFYLDLMTADLEKTIDTLPPWATQSHAV ASWGILQV H257_01603 MTTEARNLERLHVSTKTLECARNKCVPCGYKIVVHARDADAMLN QRPELCPRFKAAIDTSPVFPLLEPQMKVLVVHDGGFALTSLLKGYLNETHQLVVATAE TKAQVVKKSPDMAKRIKKLENQGVRVHFEADTGALGALGQFDRVIWNFVESGTSLDSF FASVSAALSSDGQVHMTTKTPAVVAAATAHGLAHVRSLVFDRSFCPSYKVPYSDCDTV VFSWCETSPAAASLPMEPVTDAILSEIQSTYLAKSSHDAPKPTKAAMKKAKLAAIKKK EDKAKVDVPYEKEYFDLMNLKPKGKKHVIKRKKEYEANQEGKERPSKRVLPHRMENGK RKMGW H257_01604 MNGSTSLPTIQWSPSKISQVKCRPITTANAAPEMDEMMLLMTSI QDIDRQRSLLLNKVQQRCASSSLSPKKRQPRSLSTVDCRLEHHRLSTPHWDKVFPEDV VLCTQCGLDILVRALKLHHDNECTHRLVRCSQAGCTAIFKAISRQAHEASECVATRRN SKWLQLQAHDLQLVCCLDCESEITVRAISAHLNAECVKRRVACPRASLGCKRTDIPFD QLEVHDTDECIVGVRRRALLASAVATNQLVPCDWCNQPVVKRHMLDHKEDECMMRERQ CPNAHLGCREWVPVGQFDQHLKSECVVTMERHAMADQAKLKDVLTPCRDCGALVKLRH MTLHRSATCPARLVPCVNVIHGCQAQLRFRDRHIHEHVDVSPEARAALRFASRSGHIR IGGGDDIKPPWCAEFWVWLHSKEDDVLYFMEQAIRWQECVRTTTSRLKEWQDKHKQLQ ETLKQGTVSHMSKPLETDALEIGEGIAGCKSILAEARARVKSLVADSLVVANTITDPS DRDELNAAVRAQTERLQPGWTADDWELYGNVGKWADLVNQSAKPEEDQQHAKWLAKRQ QLLQAMESRQPADPRELARFLKQAKKELSRLDDKLAKCVDVPLALVQSATHGFHTLAS SATAGLHVMMASTGMPGVHTFERRAKFNVDLPRCQWVHIAYNAAVDHISFFVNGVKAT SAKGSFHLPVALIGAEEKAFRGHLQEVRFWSQDRPDVATSMHDVLGLVPELRGYWTFE EGAGEYVDDMAGQFPRSVAVNVDWVRYSTATVQVLGDPPTASYRQRNMCKVVTKRAFL ALKHHQRNGKVKCSLGCGLEVDTKLLERHHKLDCPHRTMICREPYCGHVIKACDQPAH DATCDLRRLRDTLAAEYYRKHELVQCPFGCGLEIARKALPKHRKSECSNRLVLCDKCG RSYVQRNTRRHDLRECDAPQVLATKLMVVRARTRQESREHPGPRHRTKSAGDVL H257_01604 MNGSTSLPTIQWSPSKISQVKCRPITTANAAPEMDEMMLLMTSI QDIDRQRSLLLNKVQQRCASSSLSPKKRQPRSLSTVDCRLEHHRLSTPHWDKVFPEDV VLCTQCGLDILVRALKLHHDNECTHRLVRCSQAGCTAIFKAISRQAHEASECVATRRN SKWLQLQAHDLQLVCCLDCESEITVRAISAHLNAECVKRRVACPRASLGCKRTDIPFD QLEVHDTDECIVGVRRRALLASAVATNQLVPCDWCNQPVVKRHMLDHKEDECMMRERQ CPNAHLGCREWVPVGQFDQHLKSECVVTMERHAMADQAKLKDVLTPCRDCGALVKLRH MTLHRSATCPARLVPCVNVIHGCQAQLRFRDRHIHEHVDVSPEARAALRFASRSGHIR IGGGDDIKPPWCAEFWVWLHSKEDDVLYFMEQAIRWQECVRTTTSRLKEWQDKHKQLQ ETLKQGTVSHMSKPLETDALEIGEGIAGCKSILAEARARVKSLVADSLVVANTITDPS DRDELNAAVRAQTERLQPGWTADDWELYGNVGKWADLVNQSAKPEEDQQHAKWLAKRQ QLLQAMESRQPADPRELARFLKQAKKELSRLDDKLAKCVDVPLALVQSATHGFHTLAS SATAGLHVMMASTGMPGVHTFERRAKFNVDLPRCQWVHIAYNAAVDHISFFVNGVKAT SAKGSFHLPVALIGAEEKAFRGHLQEVRFWSQDRPDVATSMHDVLGLVPELRGYWTFE EGAGEYVDDMAGQFPRSVAVNVDWVRYSTATVQVLGDPPTASYRQRNMCKVVTKRAFL ALKHHQRNGKVKCSLGCGLEGIYLRYT H257_01605 MAERSDEPKALPPGLENRIAWDDLDKTKYYIVGPSVMVFVRAAV YPSNLVKTRLQIQSRRHPLYTGTFDAFRKIVRQEGFFGLYKGFGASLLNIVIGNLYIT VYEIVNQFAMESVTTNPSTANFISGATASVINQTVIVPLDIVSQRMMIDGQGVQATSK VKSHGLMDISRGIFRQQGVLGFYKGYVPSVLTYAPSSGIWWGFYGAVWPLYYASIPFD MEPMSKQILAQAIGGGTAGVFTAIVTNPMDVIRTRTQVYTQYGAIDTFRHLIRKEGAA GLMSGAFARVLSMGPSGVLIISAYELVKRLSRKSQPDC H257_01606 MDPSAAELDTDDRLDVENHEGVDEEHDAEDKASQPTVSREDLPP APTRPPVPLHDMLQRAVQLQLIHANTLTDFIARSSAAGIPMPMIPPVQDTPSTVNDTE PFTPLIRLNVNHNRATFGDFKLLAFALKRLAMLSGFEIRVKYSSKRNKRWECRVRECP FLLSGYRNKDNKVHVTDIELMHNHALSHPLYPSAPADGATDDDGVPKSSEKVARNTTL SIELVLASMFDSETGQDVMTKDPEEMRLKYIQSMLLQQYGATISTSMASRAKKKFVDM FYEPSSASYQLLRPYFEKCVAANPGSFYLIDHIPTVTPTGAFEYGRCLLGVGPAFRML PDAAPVIVLEAAPMNSDMNKIGYLLVASTRDYNNEPIYLALAHVPATDDVNWAWFLQH LKDNNIPISKHTTFLTDGSMAVTQALLQVFPYQPHRYAILQLLQMVCQMSGQARLTPE EEHLVLQMASSLNMDRYMESFSLLWSLNKDLCRCLEGIPKEHWVVAAMIERGWPTLRD ISLRHCVGDFAKSTLGSLMCSCNLVPCFYLLLLSLHRASVARFNAIALHSDLVLLPYQ QSVLNQRLSESARFEIVECRPQEVYFAHVLSNVHHKAYKIVNVRDNSCTCGDWQQFHL PCTHGLAVFAALGRNVWECIHDQYFVRKHKLLYSLSRNTPVVSPSPVDLTPDHSMDAP AAMLEIIRNRQEQGATPDGTNKSKPGPRPKKRKEISNNPPPEGVIV H257_01607 MATDKGASGLSYWTQGVRSLGSLVKDKTTATVRKVSGTTIPVEA EHRSGESFLRTASDIRETVNRGDILTLPNGGTFVVSRNPHDMFTATVVSLKTPRGVNP GVNDNETCTMTSIKRNTPLNMPKVSVPTSMDDVYSAAGSVGKGIVGMVGLGVQAASDL AATAKSYEERVRVYEAPVGTLLQGANKGSRELRLAEVAVHGVLEEVLFGLEQIPDCVV TLAHDSSYAETTSSLVNVLHRGDVITIDGEAFKVSHNPTKRFHATQLPLNHPRTKPSS AEGKPVYRATSTDVCIRVPNCLVSVATGSSHVTFHSKIPKLDPNTAVIIGGERYRLTQ SAISPCRRCDAVENGAMYLSQTPVAVDECVVSLKQGEAVLETSKSLLALVSRGDFVKV DSTVYQISANPLKRLTATSLPLDKANTGPDVVHGRLFLYQNGVRVLKAGDTIKLGSQT YAIADDHDAENNNVLALATKLDEAYPAGTAVFYVGNRYVKATEKAAAAAHRAGAYKAP VGRFVCLVQGSNVLFTDGDLRSQLKKGDEIFIANQSFIVSPNPNDLYARDCIALDRRY EGPSLRMADTELGHVVTFERGSTECRVDCDTTEKLRQGDHVTICGEEYTLAGVTSSCL TTTSGRTKESVYATPTIVPDCLVSLKQGSKYAETSSNVTARIKRGDRVVIGGEVYRVS TNHFYRFTADQVPLDSERVGDSVDMDDLQVAAYDGDRTIRTATLDFSIYKVGDRVADL KDDLQKRDLLTKTQKTTDAIGEKMRPGAEKVAASAGKLKDAAGVAYAKAFGATVLK H257_01607 MATDKGASGLSYWTQGVRSLGSLVKDKTTATVRKVSGTTIPVEA EHRSGESFLRTASDIRETVNRGDILTLPNGGTFVVSRNPHDMFTATVVSLKTPRGVNP GVNDNETCTMTSIKRNTPLNMPKVSVPTSMDDVYSAAGSVGKGIVGMVGLGVQAASDL AATAKSYEERVRVYEAPVGTLLQGANKGSRELRLAEVAVHGVLEEVLFGLEQIPDCVV TLAHDSSYAETTSSLVNVLHRGDVITIDGEAFKVSHNPTKRFHATQLPLNHPRTKPSS AEGKPVYRATSTDVCIRVPNCLVSVATGSSHVTFHSKIPKLDPNTAVIIGGERYRLTQ SAISPCRRCDAVENGAMYLSQTPVAVDECVVSLKQGEAVLETSKSLLALVSRGDFVKV DSTVYQISANPLKRLTATSLPLDKANTGPDVVHGRLFLYQNGVRVLKAGDTIKLGSQT YAIADDHDAENNNVLALATKLDEAYPAGTAVFYVGNRYVKATEKAAAAAHRAGAYKAP VGRFVCLVQGSNVLFTDGDLRSQLKKGDEIFIANQSFIVSPNPNDLYARDCIALDRRY EGPSLRMADTELGHVVTFERGSTECRVDCDTTEKLRQGDHVTICGEEYTLAGVTSSCL TTTSGRTKESVYATPTIVPDCLVSLKQGSKYAETSSNVTARIKRGDRVVIGGEVYRVS TNHFYRFTADQVPLDSERVGDSVDMDDLQVAAYDGDRTIRTATCTYSGIRPTCKTYVC SGLFHLQGGRPRGRLERRPSETRFIDQNPKNH H257_01608 MAASNDAAKWIEAGRQWVAWDVNDGTKTAIQSLVDAGDADALRQ KLEKRLDFGTAGLRAAMGAGTVCMNDLVVIQTMQGLVAYLEESYGVEAVQRRGIVLGY DHRQFGTLNSKQFAAYSAAVAVSRGIKVYLYEGVVATPLVPFAVDRLGCVAGIMVTAS HNPKADNGYKVYGSNGCQIIPPHDERIAASILNHLAPWQLYDITNIRSQTADPTAELV AAYFDAMTPLVRYPQDNANPLYHVKVAYSAMHGVGHEFTRQSFSKFNLPPYYPVEAQM HPDPTFPTVAFPNPEEGQGALHLSFETAAKHGCSLILANDPDADRLAVAELLPTGDWH LFTGNEIGTLLGFWEYTQYMKQHPTADKSKLYVVTSTVSSKMLRAIAHREGFNFVETL TGFKWMGNETQKLREAGNTVLFAFEEAIGFCVGNVVKDKDGVCAAAVFAEMATQLKKD GGGTVYMHLQQLYATYGHFVTQNHYVKCYSPSTVQLIFDRLRNQGHYWHVVANKYAIK SIRDLSTGFDSAQPDCRAVLPQSSEMITYSFANGVVATLRTSGTEPKLKYYVESPGGQ GLTRQQVADALQLQVAAIIHEMLQPELHHLERP H257_01608 MAASNDAKWIEAGRQWVAWDVNDGTKTAIQSLVDAGDADALRQK LEKRLDFGTAGLRAAMGAGTVCMNDLVVIQTMQGLVAYLEESYGVEAVQRRGIVLGYD HRQFGTLNSKQFAAYSAAVAVSRGIKVYLYEGVVATPLVPFAVDRLGCVAGIMVTASH NPKADNGYKVYGSNGCQIIPPHDERIAASILNHLAPWQLYDITNIRSQTADPTAELVA AYFDAMTPLVRYPQDNANPLYHVKVAYSAMHGVGHEFTRQSFSKFNLPPYYPVEAQMH PDPTFPTVAFPNPEEGQGALHLSFETAAKHGCSLILANDPDADRLAVAELLPTGDWHL FTGNEIGTLLGFWEYTQYMKQHPTADKSKLYVVTSTVSSKMLRAIAHREGFNFVETLT GFKWMGNETQKLREAGNTVLFAFEEAIGFCVGNVVKDKDGVCAAAVFAEMATQLKKDG GGTVYMHLQQLYATYGHFVTQNHYVKCYSPSTVQLIFDRLRNQGHYWHVVANKYAIKS IRDLSTGFDSAQPDCRAVLPQSSEMITYSFANGVVATLRTSGTEPKLKYYVESPGGQG LTRQQVADALQLQVAAIIHEMLQPELHHLERP H257_01609 MTSLPPALGAAHAQDIITTMEQAMFSQSDTRAAGEATLQLCMKI PGFALLCLQLLNEAQYQLPAPIRLMVALSLKNAVSTSWVGRGTRQYVISAEEKDNVRR GLLRHMDESSSAVATQLAVTIARIARSDFPKDWPDLFSVLRDNIQQGTLLQQTRALRV LKSVVKELASRRLMSHRAIFNDMSVAVCPFLASVWKSQVAQLSAGNQDVLGNVLSTTK VLHHLVLHGFKVLVPLDVIPFVFSAYFDTFRALTTYISTLPPDTPGVDVLNKIRVSIA GLVVAVQKAHPIEFRAYLGPFLTQFYTTLTDPAPSPDRLVVHLLSYLTNVVGCLLYQQ SPSTHATSRTVITAAGDVQLTDHMVDECKAQIGAFGSDMTLLSALLELVVVRYMRLTP DDIAQWTDDPEGYSTLQESLTADGSVRACAEMLYLSLLQTHRDALTPSVLGMMHSTSK WMASPTSAPDDILRADAVLLAAGLSSYDLHESFDFEPWFLRTLVPYLQSPMTVSGVPV LPRRIVWLIGCWLAQLSTQVRIPLYEALLQLLSAAHSDTCVKLAAVQTLESLVNDWGF DHGTFVPFLPSAIGCLYAFFSHPDVVTTDTRLKILGCIEAIVHVCGSAMGPCIVQVVT PLPAIWEAAGSVDANLLRGKILSLLTRIMEIDWHDVSDGELRSMVLSVVSFATNPNQP DSVYLMDQGLALWIRLTEVADVYTQPLHDVFSNVVLALSRDTEHVQSGLTLLENYAII GAAAFWSAYAMDVSRLLHSIVGQVKPEISHMLCRVVDRLLQCLPSDSLQPLQGVLSAL WSVAQEQPRQEKELVVVSFVSTLAAACLRAPSVFLAAVPSAHTPSFLDTMLHLFFSIS FSAVGPARRRIWAASLCSFLTVSPAVLDRMGLLLEAIVQVLGDDTSPLDVHDADDADE VSIRRSLLLVRKTKLVPPTLSYIKQYLCAQLNKCSQMYGPEAFNQSMALVEASIVDTI QAL H257_01609 MSHRAIFNDMSVAVCPFLASVWKSQVAQLSAGNQDVLGNVLSTT KVLHHLVLHGFKVLVPLDVIPFVFSAYFDTFRALTTYISTLPPDTPGVDVLNKIRVSI AGLVVAVQKAHPIEFRAYLGPFLTQFYTTLTDPAPSPDRLVVHLLSYLTNVVGCLLYQ QSPSTHATSRTVITAAGDVQLTDHMVDECKAQIGAFGSDMTLLSALLELVVVRYMRLT PDDIAQWTDDPEGYSTLQESLTADGSVRACAEMLYLSLLQTHRDALTPSVLGMMHSTS KWMASPTSAPDDILRADAVLLAAGLSSYDLHESFDFEPWFLRTLVPYLQSPMTVSGVP VLPRRIVWLIGCWLAQLSTQVRIPLYEALLQLLSAAHSDTCVKLAAVQTLESLVNDWG FDHGTFVPFLPSAIGCLYAFFSHPDVVTTDTRLKILGCIEAIVHVCGSAMGPCIVQVV TPLPAIWEAAGSVDANLLRGKILSLLTRIMEIDWHDVSDGELRSMVLSVVSFATNPNQ PDSVYLMDQGLALWIRLTEVADVYTQPLHDVFSNVVLALSRDTEHVQSGLTLLENYAI IGAAAFWSAYAMDVSRLLHSIVGQVKPEISHMLCRVVDRLLQCLPSDSLQPLQGVLSA LWSVAQEQPRQEKELVVVSFVSTLAAACLRAPSVFLAAVPSAHTPSFLDTMLHLFFSI SFSAVGPARRRIWAASLCSFLTVSPAVLDRMGLLLEAIVQVLGDDTSPLDVHDADDAD EVSIRRSLLLVRKTKLVPPTLSYIKQYLCAQLNKCSQMYGPEAFNQSMALVEASIVDT IQAL H257_01611 MSNFLVSTLQASDLQRLQSQRAGETRLGQALRFIHPDLPLPQGL HEAKAQGVKYVIVGVPEDVGPRANFGNGGAALGFQAFLGRFLNVQANAFVKGSEILLL GEVNLADIQAKSASLSASDEAQLAELRAHVQTIDERVSSVLKHVFDADLVPIVIGGGH NNAYPILQALSQSRSSGADCINLDPHCDFRLLEGRHSGNGFSYAHAAKYLSSYYVVGL HEVKNAQTALDQMTQAGAGFTSYQEIFIRRQTTFSQAVDKAIAYVGGGGSSKPVGLEV DTDSISGMPVSALTNCGLSVADAEHYVYKVATQLKQRVAYLHLAEAAPGQHPAGVAAG KSEAGQILTALVLAFVQTN H257_01610 MEDVINTNPGALDNWTSYIFPSPSKSPSSPVHVTCLELHQVPHT STTLLLQATPGAFSIYNANTMEAIGRKHSTEGDTVLLARFINTGSGSLPNVLLVAATL SVYSLEEECSLHEIPFPSPIADILDIQVNASVVAVLSHHTIRLLDRRLNYTVSHTLPT SSNAMALGSRWLAYPIHDPESLSTASSVATKDEYSLTDIAQGVASGLYYLSKMARRAS ADSEGGHLAVRDCISHTCIASFEAHSSAITSIAFDPSGMLVVSSSDKGQTLHVHRVQD GALLYRLHRGITHARIRHVATSLDSKWIAVTTSRGTTHIYAIRPDGGAIGGHTHAAVD LNDPTHLAVAKASIADEVQAKRFLLGGTETLHPLARLRHSSVDTVGSGLVCCHWHASH LLVASGGFLKALAVKPRSNVVDAPKPWLVLSLHLDVAKQRELDPRSSTTLPRFTDPIK PNGPAVETVTHVHHEMPIWHHPKVTFRALSWGKFRVLNVKRLGPVPLSTDDVVLEQLT QGESPVFDGTPSRDEPFVPPLDLSASISHAVTSSLTIRPHPHKNVPTTSTTRDAPPST IQDAYF H257_01612 MGTGTSALPSRGKLRDIILLRLHHMLADRQECLESWLLHAFQKL DSSNMGYVPLDAVYFIAESVGGKKSATPSREDCRRLAHAFDFDADGRFRYMRFIWFVL PPGKMFIREDKTIGLHGIFQVSKGSWDVGHVPITIKRLEMNQQTLELVDDTRVHISLL ATLSHPNLLRYMGSTLSDLTLWVCQEWSEASSIRTILAAFGRMSEPTIRRYVIQVIEG LLFLHANNILHKEIHGESVYIDTSGLVKMGEFSVGPALHALNARSRNSNDSLYAKFPP PEVNQRPNAWGTKADVWSVGLLALEMLFGTAFVNPPPAAAPAPPPIPDSVSPAFRAFC VHCFEMNPRDRATAEELTLHPFFQLEQDTKGT H257_01613 MPPLTTAAVVLFLVMDTIRTTKATSMKAPVVGKVNGTRVPRLVT VPTLTTDCEDVLFPPRINKNKQSTQFALMCVWMPDGSCIAAMDQYYQPLGTRLPTNGT FPSCTFDGSLVNLTSVYVLESLPTGTSVISMTNLGIQRLGNELDIDLFDEDKMQLPVL ELVLSSNNISSIDNVTFPNQLVNLSLSSNFIGNSAVHLNESESLKTLNLSYNRISRLA NMTLPSTLEILNMSGNALKDDLVFPPTPSLEVLDISSNSINTIADVKWPSTMTTLYLD GNNISHLYLRFNSTLRYLCVGRNPLAKITATQKMLDRFQHHAHLNDAAMCPYANTTYP ALSCTSRLPCNYSLGGLPVSIVRDSAADEALFLATPEDDRLPTLYISLVVFGAAVAIV LASVWMELKRRERRRRDDLDWQSNGSPDNRPTLADSAELLNDIRFDPTYKAYCIPSAA VTRDRLIARGGYGVVYLGSWRAGKGSAAVPVAMKRLLPDRLAHIHNIEDFMDEIRLNS RLSHPNIVTFYGYTWTSLHNLSMVSEFMGRGDLWTLLEQGKQQQADLPWNISPAFRLN WSVESTPKPPPVLPTETKSEGLVDSTCEVSKERLLRDVVQALVYLHSQDIIHRDLKAK NVMLGVSNEAKLTDFGTSRECLTSVTMTAEIGTVAWIAPEILKGVRYSAKADMYSLGV VLSEMDTLQVPYSNSNQPVYGSPKALDVAKTRIALLVVSGDLKPEFTPTCPPCIFVIA QRCLAYNPDDRPTAAEVLSWLNQLRLPVMS H257_01614 MGRRLVRWWLLPLLAVVHAACPGFNYTNCNGHGVCSSLNQCTCA SGWTGVDCGWRTCPSAFPWVEVPSAADVTRVTRRECSNMGKCDRVSGQCQCRPGFGGA ACDRQLCANDCSGHGVCQSLQAAAVANGVTYSLWDAARIVGCSCDAGYTGYDCSQRTC PVGGDPVTTRLEVQAISCLCTTCSDVFTVSFQGQTTAPIAASANAVAVQAALNSVTTG VTVIMDGGSSVCGTTGVSTRISFVHYDGAMPAISTATSSSDLILTVQHGGALATYGSA FATVAAYRQSLECSGRGKCNPDTGACQCELTYTSSDGMGNEGPNSDCGYRTATSAAPS CPAGIYTTDFLLGQSSVKCSGHGACSASFQCSCDSGWTGFDCSSRQCPKGRAWFNPPT AVDTAHDTLVECSNVGLCDRAIGMCRCDPLFEGPACNRLTCPNQCSHRGKCASLRQLA ARQEANGVILPPSVYGSNPNAISTWDADTIFGCQCGKALTLFGDAPRFTAYDCSELPC PKGDDPWTLNQANEVQAISCTADGGLVSISFRDFSTNMLPFNAAASRVQQALDIGRVS VTMTAGVLCSLASPTTTVSFVTNSGALPYLVVDQSKLTSSGTSVAVSVTRTTTGTTEN VECNGRGSCDGSTGQCRCALGYATSDGQGGIGIVADCGYTDPFMTQGEF H257_01614 MGRRLVRWWLLPLLAVVHAACPGFNYTNCNGHGVCSSLNQCTCA SGWTGVDCGWRTCPSAFPWVEVPSAADVTRVTRRECSNMGKCDRVSGQCQCRPGFGGA ACDRQLCANDCSGHGVCQSLQAAAVANGVTYSLWDAARIVGCSCDAGYTGYDCSQRTC PVGGDPVTTRLEVQAISCLCTTCSDVFTVSFQGQTTAPIAASANAVAVQAALNSVTTG VTVIMDGGSSVCGTTGVSTRISFVHYDGAMPAISTATSSSDLILTVQHGGALATYGSA FATVAAYRQSLECSGRGKCNPDTGACQCELTYTSSDGMGNEGPNSDCGYRTATSAAPS CPAGIYTTDFLLGQSSVKCSGHGACSASFQCSCDSGWTGFDCSSRQCPKGRAWFNPPT AVDTAHDTLVECSNVGLCDRAIGMCRCDPLFEGPACNRLTCPNQCSHRGKCASLRQLA ARQEANGVILPPSVYGSNPNAISTWDADTIFGCQCGKALTLFGDAPRFTAYDCSELPC PKGDDPWTLNQANEVQAISCTADGGLVSISFRDFSTNMLPFNAAASRVQQALESLPSI GRVSVTMTAGVLCSLASPTTTVSFVTNSGALPYLVVDQSKLTSSGTSVAVSVTRTTTG TTENVECNGRGSCDGSTGQCRCALGYATSDGQGGIGIVADCGYTDPFMTQGEF H257_01614 MGRRLVRWWLLPLLAVVHAACPGFNYTNCNGHGVCSSLNQCTCA SGWTGVDCGWRTCPSAFPWVEVPSAADVTRVTRRECSNMGKCDRVSGQCQCRPGFGGA ACDRQLCANDCSGHGVCQSLQAAAVANGVTYSLWDAARIVGCSCDAGYTGYDCSQRTC PVGGDPVTTRLEVQAISCLCTTCSDVFTVSFQGQTTAPIAASANAVAVQAALNSVTTG VTVIMDGGSSVCGTTGVSTRISFVHYDGAMPAISTATSSSDLILTVQHGGALATYGSA FATVAAYRQSLECSGRGKCNPDTGACQCELTYTSSDGMGNEGPNSDCGYRTATSAAPS CPAGIYTTDFLLGQSSVKCSGHGACSASFQCSCDSGWTGFDCSSRQCPKGRAWFNPPT AVDTAHDTLVECSNVGLCDRAIGMCRCDPLFEGPACNRLTCPNQCSHRGKCASLRQLA ARQEANGVILPPSVYGSNPNAISTWDADTIFGCQCGKALTLFGDAPRFTAYDCSELPC PKGDDPWTLNQANEVQAISCTADGGLVSISFRDFSTNMLPFNAAASRVQQALESLPSI GRVSVTMTAGVLCSLASPSTMYLLPRTSILF H257_01614 MGRRLVRWWLLPLLAVVHAACPGFNYTNCNGHGVCSSLNQCTCA SGWTGVDCGWRTCPSAFPWVEVPSAADVTRVTRRECSNMGKCDRVSGQCQCRPGFGGA ACDRQLCANDCSGHGVCQSLQAAAVANGVTYSLWDAARIVGCSCDAGYTGYDCSQRTC PVGGDPVTTRLEVQAISCLCTTCSDVFTVSFQGQTTAPIAASANAVAVQAALNSVTTG VTVIMDGGSSVCGTTGVSTRISFVHYDGAMPAISTATSSSDLILTVQHGGALATYGSA FATVAAYRQSLECSGRGKCNPDTGACQCELTYTSSDGMGNEGPNSDCGYRTATSAAPS CPAGIYTTDFLLGQSSVKCSGHGACSASFQCSCDSGWTGFDCSSRQCPKGRAWFNPPT AVDTAHDTLVECSNVGLCDRAIGMCRCDPLFEGPACNRLTCPNQCSHRGKCASLRQLA ARQEANGVILPPSVYGSNPNAISTWDADTIFGCQCGKALTLFGDAPRFTAYDCSECKD NLKVSATRTNGWIA H257_01614 MGRRLVRWWLLPLLAVVHAACPGFNYTNCNGHGVCSSLNQCTCA SGWTGVDCGWRTCPSAFPWVEVPSAADVTRVTRRECSNMGKCDRVSGQCQCRPGFGGA ACDRQLCANDCSGHGVCQSLQAAAVANGVTYSLWDAARIVGCSCDAGYTGYDCSQRTC PVGGDPVTTRLEVQAISCLCTTCSDVFTVSFQGQTTAPIAASANAVAVQAALNSVTTG VTVIMDGGSSVCGTTGVSTRISFVHYDGAMPAISTATSSSDLILTVQHGGALATYGSA FATVAAYRQSLECSGRGKCNPDTGACQCELTYTSSDGMGNEGPNSDCGYRTATSAAPS CPAGIYTTDFLLGQSSVKCSGHGACSASFQCSCDSGWTGFDCSSRQCPKGRAWFNPPT AVDTAHDTLVECSNVGLCDRAIGMCRCDPLFEGPACNRLTCPNQCSHRGKCASLRQLA ARQEANGVILPPSVYGSNPNAISTWDADTIFGCQCGKVPDFDMTPPSLVTGADAVWRR PTVYCV H257_01615 MSDFSEDDFDLESDDDDFEPTSPVPPPVRNSTRTPTTKKRVKKD ESDEYDSNDSELEPVKKTPKATPKATPKAKAQVEVSKPAEAKVKETKGKEVKPKDTAT AKDEKPKETLNQAEASEEVAKYLRQTNRPYSVLNVFENLHRRIGKTILQKILDALAER GEINVKTYGKSQIYYYNQSKLPRPSADSLAQTEDHIRTVLDEVAALEKAAKDQEAILQ GLTSQMSDADLDAALQTLETQNQLLQVKLQQVDKQPVVAVDPGAKEALTKSFTKYRTE WVKRKRIVMDAIDQIADGMEKKRKDVTFAVSNPTRALESRTFPCCLNIMISGFRGFSK NGEAQHTI H257_01615 MSDFSEDDFDLESDDDDFEPTSPVPPPVRNSTRTPTTKKRVKKD ESDEYDSNDSELEPVKKTPKATPKATPKAKAQVEVSKPAEAKVKETKGKEVKPKDTAT AKDEKPKETLNQAEASEEVAKYLRQTNRPYSVLNVFENLHRRIGKTILQKILDALAER GEINVKTYGKSQIYYYNQSKLPRPSADSLAQTEDHIRTVLDEVAALEKAAKDQEAILQ GLTSQMSDADLDAALQTLETQNQLLQVKLQQVDKQPVVAVDPGAKEALTKSFTKYRTE WVKRKRIVMDAIDQIADGMEKKRKDVLDLCGVESDESAGIKDLPVLP H257_01616 MKLTVLAVLAAVFTLECHASSFREPRHLMAAECDKDCSPEQGLS WTLCKLGQAGSCTWQGVSSAGAYVYHGAASLVGAHSDEEPTKVDKPVNGTNTTAVAET IVKDDKKPKDDDKKPKDDDKKPKDDDKKPKDDDKKPKDDDKKPKDDDRHPKDDDKEDK KPKDDEDKKPKYDEDKKPAHEDKDDKRAHDDKEPSH H257_01617 MNFDHELFGRLHSFAKTAPNDDPLAATAMDTMYSINSRECFLVA VLGPSGAGKSAVVNFITNSSRTDPAYSPLNCRHRDYTPRVHAWHALRLIDIPEIPPST SPRCHYQSYLLQHMNLLIFVGQDGRISPVDMELIQLTQQQDRPAMYINTRMDLSCANY RHDHDLNSSESDVFDQLKRAFLSSSPFNSLNLPVSFVDVSTKKPLPFLYDNHRCLQQF LDFVDQPPTTPMNIDDLPSSAISLPIEHPQLTSPTSLPSLKEILLPSLRPFLGVHDHI VPLPPQGKVIQPVNPAGKWTQDEDDRLRAGIEIHGPRNWKAIASMVRNRNHAQCLQRW RKVLLPGLRRGNWTCDEDVLLQAQIRLHGGHDKLNWSAVAGGVPGRTAKHCQERWRNY LNPAIKRGPFDGEELDQLLGLYELWGNQWTRISDAIPGRCPVDCKTTWQSMHPQHKLN PRPGPGRPKRFG H257_01618 MPGIKPDGAKALVRSSYNFNDKEIKFNISTFWGQMCDVLCSALP ATGIKNKKRAETALAPKTVLAADVKQLQDSAIPPAAGAKCLTLEARTRNAGCCYGAVV AVADYLPHPSVVLCWSGKGPHYLSDYPSDYPNASEATKLANAKTERARSQLWRSSLKT H257_01619 MASPRPGLVRSWQPSTFSCRPSTMSRSRSSCSKVLCPSYARRVA TPPHSVAVWLDHELAVANRDSHCASAPRVLSKNNGELRLTVDIPSVNAFTLSLVWPIP ILDVVMSRLSGKTMPFFGHGGEGWVNDVLGSATDELRLIDLFKFLLAQCGQYGLELHP GKRTFYATEVVWCGRRVSAAGISRDCERIAGLSTPKAANQLQQFICALNWRAHGRGHV QEDASRFGGARG H257_01620 MSATMHRQLWFRKWWRSTKRQQSKPNTTSYYYATPEYLRAVVIE EEAYPIARACEKVNCLLMRPTGFRMYCDNMIHAIFPPREKWKAHTHGLLMRWTSIIGG HHYDFIHIDGVHDLWAYMMSHWGKPIPSLVAKRVNVPQLDEEAGQSLRKRTTVQTTND GELLNVEAECGCDGSRHAALAASIRRLFYLGRLADRAAEFLRGCLSYRHAKGGHRPWL AKGRNEGIHFDHLYKVEVYKGVKYVLVLKDDITHYCEQMAYDVPTSQVCVHALMDWTK RLNMRVWASGQELFHVRLLAPSRTPVVPEYGDVLGGLTVELLPGSGELGKGVLSQADQ GSYRNFLHLVRDTVGRKRMPWLENGQLSASTCLHGLEDGSHQHHDSALVVVILVRHRR STPGTRRGP H257_01621 MPSTTAISDDDYDKIASYIRQERPRSLTKAERLDILRLHADIRR DGQMQVSSTIGRLLGRSQKDVMTLLLEAKIIHCDVNCKPEAVNCFNLSNNSLCWFKRG RRRGHAVYAVSSAHAADRDVYVQHLMQVVPATPVVYLDESYIHHHYARHHDSLYDPTD DGPTKEMHNGRRFCFIAGIMAATPTDSFVVGVDVFQGCAKAKNDPKDCHAMFNHTYFI KWFEKVVSEVEALGKQGVTFVMDNAKYHKGLPADTPRGIWRKADLLIVCQRYAVDVDG HDLKKTIWARLKPVFSTRIDPVVVSMARARGHDVVFTPPHHSDLQTFEMVWAKVQGGV GVQYTVDTTFGDVRSRLDAAFVSLPSDTVAVNQEGEETKEEVPTSELAMDPVSGTSAM LTVVDETKEEHPSPNKANPERRQNREAKPENKKADYVAQAKAEHAHSRTSAFDSHERQ PVSPSLVLKDIEYPPITAIDRNSLVAWMHKRDRAFLKRICKILGDPANKWAFNNAEME EQCKKLLEDPLGAWQPYLKPPDMLKTFIKVVASCITSFNIRDRVGEQMKTVQARTLVE FSKILAEQFERTYQAELVMKSRGGDRKRGRNWDENGRRTGKPIVQRKNEQHQREAYYQ NGNAPRLKDGYTKLAPVDRGCDGLPRAHGAIGVPSTNKYGTPTAVRPPLEDRDQSAPA RMTAERYDLYSNNQDPVCVNQRKAELKAKRVVKAKAAEEDGEQQWIRLNGVFEVPYCP DTDRMAVALKEPRIGMACNNLPFEAHAYVDLALQLQTAMIFASDNTLKVIGIDIYWLL EQVAALQLDDDGDDVEEGDDALTEQPTCGESKDAVEAAFQDLINEVIDNQFQIESVQG LWRVLTKHDIWRLEFNGKHHLLEESCVYPDRVMQGHLDSALYVQSLNEDCYQTLSGAL WSQAGPAKTKLYTHEVKWCGRIISGEAVKQEPEGIQH H257_01622 MTMTGTQVTMTTATAPARDQDRDARKWMNAVVESCVERGRPSRH VVDLRHIVTGDHVRDHAITSTHSPIKVWLQWHRLDRVRYICYCAFVLQDVQKTKINVE NFDCGSVLSARFDCTPSPNLYVIRSANTTLDLLVVADELTKNGIPTFVAINASDDEND ATKNALLGWATIQADYKVYNATVLQLAGYPAEQAAAAVPVIIRFGQSLAGVALNELEE VEATVPPCKLFYLSFAQASCSKNTDARLTKHMSDPHPPGRFRVIGVLQNNAEFAREFQ CPTDSYLNPSKKCLLWE H257_01622 MTMTGTQVTMTTATAPARDQDRDARKWMNAVVESCVERGRPSRH VVDLRHIVTGDHVRDHAITSTHSPIKVWLQWHRLDRVRYICYCAFVLQDVQKTKINVE NFDCGSVLSARFDCTPSPNLYVIRSANTTLDLLVVADELTKNGIPTFVAINASDDEND ATKNALLGWATIQADYKVYNATVLQLAGYPAEQAAAAVPVIIRFGQSLAGVALNELEE VEATVPPCKLFYLSFAQASCSKNTDARLTKHMSDPHPPGRFRVIGVLQNNAEFAREFQ CPTDSYLNPSKKCLLWE H257_01622 MTMTGTQVTMTTATAPARDQDRDARKWMNAVVESCVERGRPSRH VVDLRHIVTGDHVRDHAITSTHSPIKVWLQWHRLDRVRYICYCAFVLQDVQKTKINVE NFDCGSVLSARFDCTPSPNLYVIRSANTTLDLLVVADELTKNGIPTFVAINASDDEND ATKNALLGWATIQADYKVYNATVLQLAGYPAEQAAAAVPVIIRFGQSLAGVALNELEE VEATVPPCKLFYLSFAQASCSKNTDARLTKHMSDPHPPGRFRVIGVLQNNAEFAREFQ CPTDSYLNPSKKCLLWE H257_01623 MFLSGTFTGAAGGWAIVEKEAYAIVETLVRADYLLHPAVGFNLY TDHRNLKYIFSPTSVVASVPKYTAQKLERWALLLMGYSNVIHDIPSIGAISQQPLLIS PLRDEKFVWPTFVSIAEAQVVAPDDVLSRMTKSLDAVHLVVLASGQAWPLRLVDDVIW VPVTAAELQLRLCVCAHASMESFCQWTGMKGDVEFFVRRCLHCTSASGGSPHPLGEAL HSTTPNGLIHWDFVFMGVSKTGDKYLLVVKCDASKMVCLFPAPEATVRSLRTAYCSGS PCSAWATSGVSDQGTHFKNQVVAELQHVLGAHHHFTTASCPWANGTVEAVMCQCLCLV RACLSEWSMAPDQWPEAPHHHARAQPAAVPISRRYAPRGAMSGRLAMSPADTMALPGQ IMSATLAEVEEGQRENIAAAQLELEEMHKLMSVENAWKGDRWRQYHEKKKGMQMTQFI VGDYVLYQDKLRTKWCGPAVVTEVTSNWVYAVENLLTHEVTYEVRPVHGSRLKFYADG DLDVTSELLAHVAQNSEWGPLTTTDAKQRRMGAQLQLQWTFISVSWVKKRETYVDKLR ANAQRMGVVEWRRSAVGWIPSTDCSLLKATCTYVWRVPVEQLSEDDYHDRIMEIVGQP ETKWTPTKSDMQTYCRALSVDPHGDVTSRLVSFMERVDDVIDENGLRQQLKDSTMLRT FVKVVAARVTPSYLRDRVEEQMKTVPANDLVAFADILREQLDRTHDADMVNQQRNSYG SKRDLEEDDQGRRITKHAKKANQAVRDQRELRENYPCPPGGYIKPERSAAVWSPSTQK RTGDPPATKYGPQANSRPRHDDRHVQAVRDEARPRFAPGWDDRGMLCFVCQQPGHMAR ECPNKKDGDSGYTSWEKGKNAVKRFKARERKANMQAKRMKKPPPPSKEDDGRWVRLNS VLEVPYCPDTGADQNIVPQAMVDELQALQPQLQVVKLAAPFVGTAYNQMPLEASSYVD LTLTMKTAAGPVKVPGKRRCYVVNDGDEFLVSDDTLKTIGIDIDRLLEQVARLQVDAD AKEGSKPPGGESNQQSAWCSPVNPFLKPDGRKSLKSTDKWSDYDVLKNYRLTNDYGVV NSLTEPKAGTMPFQATILQNLRGKKAMGVFDLPKCFWQFPLHPDSWDMLSFMLNGCVY TPDRVMQGHVDSALYVQSTNEECYKDLLYKNMLIWIDDIFVYADTVEEYVDALGSFFD RVAQELQQFVCAVNWLRDSMTEYAQTVDPLQQCLTKALEGKGKKKRIASSVQLEPTDG EKLAFDAVKSKLRSSVELSHPRDDAMMCLFTDVSDHGWSIVSGIFAGAQKAWSVIEKE AYPIARACEKLNYMLMRPTGFKMYCDHKNLIHVFAPGEEWKAHTRGKLMR H257_01624 MVTIRSIISTAALNSLLLFTSPAESASAPSTYTTKAPVITTKAP VITTKAPSTTTTTAAPVTTTVAPIITTSAPSTTTSTPTSLTAEADSPPSRCCFNDGDL ISLRADTNLFMGRCYGCVPGGAYQDSAFVHVPDPKDAPWAQWKVFNTLDGKLVLQADT GKFLSRCNNCAPGAAYPDEAFVHVQDWHTSPWAQWVCVDAGNGKIALQADSGRYLARC EGCIPRAYPNTAFVHATSVSEPYAQWAVVSKNPSAGLCAPNGPAVPSTY H257_01625 MSLNLRRIVVTVVDGEKAMPAVTASAVVEIPSWDVEGELFARYM GRCSLYALERRRPSSTSQVQKWQPPSSTFVTTLSRQRAKFGCNVVILSVAGTAGVIAD AQVCCSTSAAAATAMDAPSTTTKSPSTSPILAQDYYSIAKSPPR H257_01626 MSTTAFSTECPSSQSPFFSQQSTSSIQHDGDGSPYRAAFTRSLA LQPRDTLHFSTYGMGLAPDVSFESVQSTHAFLTANMNEIWPLFFEHDLPAAERNVLAS LGLTGDDYSQDQPTFHVNFGANVHEFLVRLFSCHRQNDTPLTVVTSDAEFVSLTRQLA SWSHVVDVTTVPLQPHASFGSRLRQRVAVLSPTLVHVSAVYSNSQYRFPDSELTALVD ELPPGSMCIVDVAQAFENVVLQLPRSKSLVVVGSGVKHATAGPGMGFVAFPVNVWTPA NTGWIAHLGSMTRAPSSTVEYTPELAFAGGTPGYHYAVRQFNDVQAFYSAKQWTLATR HAYVLTLQAQFIQWLTHAKVLEPQNEHEGVHESDVYNRSKAIVLTHSQADKVHHHLVT PTSSRPTVFHCDVRLGDRLRLGFGLHHVPSEVRALADAVIDGWHELHTTERIRLYL H257_01627 MPKVESSDGVFQGLDGLDDPHDRARGQVMVGIHLLQVVHQLVVG FRRVGATHVGQQVEQIRPLGRVKRGRRVHGGDVRLKRSWNERKQMEDPAPQLAHANTL APTGPMQLQAEAMVVGHVHLREHCHMVDHVLPHVATEPIASGDPSENPRGVPGMKRRR ERVNVVLRGI H257_01628 MLLSTHLTDHLKAYLTLLLDAEDLLSLSLVSPTWYIFCNEEPLW MIQVLRKHHGNFTYHLPSWKHLYFCPRPSAAMSRPPARPSIALPTNAFTSDFLYRRYC RCHMDISSFTPPSVDTRIPRVSMTTLTPTLFFGQYARRPVILTDAISSWPSFTPGSPQ QWTIESLVARFGDVVCRVTHNLDVQPPIRMPLADFAAYAAAQHDETPLYVFDQHFGTT MPPLLDDYAIPSVFNEDLLAVLPPEVRPDFRWLVVGPARSGASWHVDPAKTSAWNALL VGRKRWAMYPPGRCPPGVTLLDEDTASPGTSSLDWFLHVYPTLSDDDRPLEIVQEAGD VISVPSGWWHVVLNLEFSIAVTQNVVDSHNLTDFVQDLIVDGSVDRVHQLHALVAPKH PLVGHLLALHCMPLDEGYLHESAMVEHAFSDVMTWQPRIRTIFHKHHNLTTAIQSHLT HHPWTTPIHPLTSRVNPTFSIHDHLVIKWFSPLNRLWGECHEAHVLTVDFPKSGREEV PTTTITTQTTTATTSSTLLGRLLEAAFDMEQLVYSLLSAAKTKPLTPKMVASGYLHPR PTDDKWTWPYVVTTFDPELASLGQAVKTHGGLTRASWSALVQWMGCDWFPMFHGLQVP SRPGVLGTDISSLQWYIEYLQRLRDGCFTVHSQQNVMPARLVRQLDSYLPLHASSLVT SDTPVVLLHQDLTDENILGYMTASSPSTTSPLMTALACLPPLDRAALEGYCQAHGITT VAELVAVEPWADCTGASDASRWVVFRQAQVANALATSFVLQPDVSKEDDNGDDDNGDN GDDDNDRIEYDGGVTWTPRMVIDFADTKTGDPLWDVIPVLFSMLHGDVALCRELLHTP YWAAYVAASQATTTRLCRRLMQLTLLHPSQSVAALFHHFPHAKTLATWDDIAQFVFGP MVADLEEAQRARKCTRGDALSTGMAALSVVTVP H257_01628 MLLSTHLTDHLKAYLTLLLDAEDLLSLSLVSPTWYIFCNEEPLW MIQVLRKHHGNFTYHLPSWKHLYFCPRPSAAMSRPPARPSIALPTNAFTSDFLYRRYC RCHMDISSFTPPSVDTRIPRVSMTTLTPTLFFGQYARRPVILTDAISSWPSFTPGSPQ QWTIESLVARFGDVVCRVTHNLDVQPPIRMPLADFAAYAAAQHDETPLYVFDQHFGTT MPPLLDDYAIPSVFNEDLLAVLPPEVRPDFRWLVVGPARSGASWHVDPAKTSAWNALL VGRKRWAMYPPGRCPPGVTLLDEDTASPGTSSLDWFLHVYPTLSDDDRPLEIVQEAGD VISVPSGWWHVVLNLEFSIAVTQNVVDSHNLTDFVQDLIVDGSVDRVHQLHALVAPKH PLVGHLLALHCMPLDEGYLHESAMVEHAFSDVMTWQPRIRTIFHKHHNLTTAIQSHLT HHPWTTPIHPLTSRVNPTFSIHDHLVIKWFSPLNRLWGECHEAHVLTVDFPKSGREEV PTTTITTQTTTATTSSTLLGRLLEAAFDMEQLVYSLLSAAKTKPLTPKMVASGYLHPR PTDDKWTWPYVVTTFDPELASLGQAVKTHGGLTRASWSALVQWMGCDWFPMFHGLQVP SRPGVLGTDISSLQWYIEYLQRLRDGCFTVHSQQNVMPARLVRQLDSYLPLHASSLVT SDTPVVLLHQDLTDENILGYMTASSPSTTSPLMTALACLPPLDRAALEGYCQAHGITT VAELVAVEPWADCTGASDASRWVVFRQAQVKRSKTLLYIYICLSSAYMVFCHYYIYTR LSRSRVVCDIFVLIWV H257_01629 MTKCILAESLPQYRVIKELYPHFYHKRGHANEPVSYEKPGQVKL SALKAQGVTIDHLAKSYAFMTEFLWQVVEASTDCRSISVIDVAGIGLFSFDSEALEYM RKVAAYSKERYPNRCGYIFIVNAPSWFDLIWRVVHTLVDPAVRKKITIVKESGDILTA LSSRIPVENIPAEYGGLSVGHSDEEVALRALADFNNNVEGAIHPFASGKFTAHCGCGC HKNEQTTAQGTNDDGFTLSLNGQDGPDLADTTPHPASEKT H257_01630 MTVSATTGATAAGPASRGSGPGTAADGNPSANGASTTASLSLLS ASNGPVQTTPAGVLKYGQTLRLLANSHYHPTSSEVEIGIGVYKKKGKHGILNAVPPLG DQQEHLFQEDEYRVLDPSGQHTEGSAVQFGHTLVLVNQDNLVWNNKTGGITGYVGPRA RQTNGEMYVSFHPNPKLPQPKSLFIRYGDEIVIDVEDANRHVRTYNKRLTNFKKPTSS ILGGYICCDGKGHDLLCAIMPPRLQIHKVFVRGKTLTSYQFGQPIDITSESATVEVQF THDKKVLLSVDKLNDMAASGRTKHFVPLADGGPGGVHLTLHVTTASSTRSPDATSAPA STSMIQQLTAKLRGAPVHQVSILVVVSSVILALLKRSGLVHQWIAVGLAACIWVPAML LLLAGDPPSATTQLQPKQQPFVQNGGRTLTLLQYVYHADAPFPDGHDHHEPEVPPVPD RYLRATKGDAAAALVRWKETLLWRKEEHVDSILTEPMPHFRTIKANYPHYYHKRGLKN EPVYYEKPGKINLKKMRTEGITLDHLLRNSKMVTEFLWSVLEKDDNQKCISVIDVDGI GFSDFGGEVVDYVRRCSGYTSSHYPERCAYIIIINVPGWFNMIWKVIYGMIDETTREK ISIVRGKDKIYEALARRIDHDNIPAEFGGGSKGSTVEEDILFQLQDFNNQVEGVANPL VGDLTPRPYVLPRDGGAGKEVV H257_01631 MAAAAPTTTDDYAAFLALHEAQLQAIAVPVHLWPSLHAKLSREV FDAGSYFQLAKDEDGDLHAVVLQDIDPSDDPNAIFLIDHAWTFTTDNNKPRDMLTNVP SLLGRMENLMHIAVADAADIDARIHVVLQTMWKFVNSYRLGHLKPEEAATIWYVMDEF GSAIEHSDDPTFRMAPFYYANAQCAFSLLWPTDRVEAHDFATLNYVAARDDDTLTALC SALFYPDGQAYSSELAEIVARRRLHHSDSHLHNETQFNRDNESVPTETASNTNELPTP IKIWTDLKLMFEHLTDPRFEFTDNEAEAHVVWPTRHIKDYVALYNNPNVHVFNQFPNE KILTCKDLLYETCRRANNNQQPPYMALTFNMETEFPELMQEYIRRDQAGLDNVWICKP WNLARSLDTEIATTSAQLAKLAQTGPKVACKYITKPFLIKQRKFDFRFLVMLVDTEPL TLYVSGVYWLRIANNPFTMDRFDDFQTHFTVMNYTDFGVEIISVAEFEAQFKLEYPLE DWDAVKADIFKSIRSLFEAATASPPPLGLGKSKKSRALYGVDVMLEWTDDGKIHPVIL ETNFHPDCTRACKYFKDFYNDLLNVLVLNNPDAAVHGITKL H257_01631 MAAAAPTTTDDYAAFLALHEAQLQAIAVPVHLWPSLHAKLSREV FDAGSYFQLAKDEDGDLHAVVLQDIDPSDDPNAIFLIDHAWTFTTDNNKPRDMLTNVP SLLGRMENLMHIAVADAADIDARIHVVLQTMWKFVNSYRLGHLKPEEAATIWYVMDEF GSAIEHSDDPTFRMAPFYYANAQCAFSLLWPTDRVEAHDFATLNYVAARDDDTLTALC SALFYPDGQAYSSELAEIVARRRLHHSDSHLHNETQFNRDNESVPTETASNTNELPTP IKIWTDLKLMFEHLTDPRFEFTDNEAEAHVVWPTRHIKDYVALYNNPNVHVFNQFPNE KILTCKDLLYETCRRANNNQQPPYMALTFNMETEFPELMQEYIRRDQAGLDNVWICKP WNLARSLDTEIATTSAQLAKLAQTGPKVACKYITKPFLIKQRKFDFRFLVMLVDTEPL TLYVSGVYWLRIANNPFTMDRFDDFQTHFTVMNYTDFGVEIISVAEFEAQFKLEYPLE DWDAVKADIFKSIRSLFEAATASPPPLGIHSKPSLAWVAFVCVFILPLWLCRLG H257_01632 MASDRHHHDPPPPLRCGMLYKKGQKTALLGRANWKRRYIELTPD AILYYTDKGGKLKGTIDLTQCTTKDIQAMPRDCVKTRRSPSSVWRIAIRTPARRFVMA ANTPSEMNQWFHDLIRIVQYRTTALPSSTTNSDVFEL H257_01633 MTPHSSPLSATIAPVATNDDKIVWRSAMLFRKGEPTVFGRGKWK PRYAVLTSTSITYYTNPSQSVLEHTVDLAHCSPTDIEQMPHDCPKTGHSASSIWRIAI QTPTRRHFIAMTSPDEMNRWFQDLQDIANNRALNDHHQTMDVPLEQRNMLHVYRDLIV RKVLPALKSSWRWPSGVETATVFLQQDNARPHIAPEDPAFVSAASDGGWDIQMRNQPP QSPDLNVLDLGFFNSIQALQQSLECQTMGELIVAVKDSFELFSPMTLDKTF H257_01634 MTIAADTVTPSPPPTHYTPRWFFILETIENSYRAKTCEPVPKVG TLFTSPNYPYWDIGNCLYIDLEHPEATDVSLAEMEAAATHAQAKLNALFSNNPRTPFY MTIDPNPTSPSHRLFRHWEVVLGTLGYEATSGHNDDDASHCMTFHRSQLPLLQSLAAT CKQHVVTFASLQDLQATIDNEPGSQESLGWRTEKCRELLGRPASVSRTYLCWEDAEVA VSRFMLVRDEKDPCIAFIPRVNTRVEYRRNRYAKSVLVHGLLDAFNKWPDLEEVALFQ GELGPERLYESIGMVKRATRMDVEFQRQKRARK H257_01635 MYATIDADELKVRTGMLYKKGKRGLVFGRANWKPRFFVLTSKAL RYYASATGSLKGVVDLTACGPHDIQAMPQDCPKTGTSSASIWRIAIQTPTRRFFLAAQ TSHDMDAWVHDLVEVTTHGLGCRVEEVEHDITVRRRHAMR H257_01636 MDENNNTLDGAVMMGSTNRGYAMDFGDVFQKKPVSSRSALSSSS HPSNNENVDNNANAVLASSFQPTAHRTVGGRGTKEWQLPHYNTIEENAAYLNRQLELQ QYGVHDAFTSLVFRASADDDVEVRRVMDCVHWLLHSRREEMHKSDEMAEQFIRYDKDI QRKNNQIQALTAQVEAERKTCTDLENQMNAKDAAYAKERQLFKEDKRSLERKCIQLTH VDTNYKAQLRKSEVNYERLQKQYSAYLAKSSSDKRGMALGKELNGKPTCIQIRNASTN QATIPGEHNILNTMIRSYEVQHTALLQENEALRASVAQFYAELKQATTEYRAAAKWFL HRHGNAVSQWEEQLQLPVVDESKLANAFTMPLPVDGRENLLVVLQHHLTLLRTKIARL MDATQDRDRAAMEARLQEAYGVMQEQDAMLQVVLAQDKHVTRRSDRLELSALEDMLEE VALERQELAIKAEHMASEREQFSVQAERLDKDRLEFEFERHDVLITRGTPSEFVDGGK KRHRMDSSHRPSQGGGSSVESPFAKPRDDGNNMSASSGLHLPPTPATARLLQRIGIVN EDQIMSPIASTGRAQGLFTGSEPEYFY H257_01637 MRSTTPLLPPPPPTYQRACSVVTVAMSESRTSSVFCFDPSFVVT VTNVVSQRSFDVVKSSKDFDLLLRSVLDVVDTNDHHTCSHPSCAAFASTVRALALRRH WSLIQRPRRDSKAVLDTLFTFTQAPSDCPVTDQAIPRKLIVFLFDEAKFDLQHFWKEA AVPANVDDVADRTEGK H257_01638 MSTAQQQQQPIPPPERQSRPKKDPNVFVKITASIVKSKVPSLFA PSGSTVHVIEVTNNVTNQSFKIGKTFTDFDLHVKHLQLLLVTQHSECDNAACPTLLPR TKDAMPPRTLMSRTPEVTRRREESFQNLLDLWTSFINSSRQSTCRTALADIPNALIVF LFDGAKMHKWRFWVPPSRSTRGKRVPSAVLDDVMRLAEEERQKAAAAIADIEDDAASK EGVADNDTILSVLRKCGSNVSADDITPDEDEQLANHLQTLRIALHQVDTLDQLAQVET EILEMIRQQRTRLVASAAEALQNYEGSGVKLSL H257_01639 MASFSTQHPRQTVVVQATIRKPLHAESHFNKKTKDGSIPLVLVP TYPHFCDTFVRIPSGVWVLYQRWNAHAGMLEPGLIPVWAAYNRVSHIVTKHAVAYSAP VERCPTSDNVMVDIDISINFQIGPSADDAVKFVYMLGAQRFNELISMLTAEGIRGLVH SVRHDQVHDLREEFASGMKRDLNHKLVAYGVVIHNVKVTNVALPTRLSATLEKTTAFK TKMEEQEKKHENDMRILLNDETKLLTAIQKANDRNVKDLVAQRNANSSLDTTKYNALV LQTAGKRDIAFATATAAATMKRNDAMMLLVAAQREYEQRVNAEQIKQQAMFDVASKTA AGLLAAADAEAKSADALTVKRQFEYAFRKNQVDQQLVGRAKMVISGDNGEALIRRLVD V H257_01640 MATSTTTAASWSSKNQTHLLFDHIWRVDSANKKFGECKDGSTVV ILQPGRSPVMPYIRIPDGMYAIVQHHGKDVDYVDPVNGSTSPIWPAGFHRASVFTKVA YLVTKQYVVFDTPVKGCKTADDVTVQIDMCLVFRITGDTSKGEDPNLVKRLVYELGPQ GLETQLRDAQEEAVRALARSVQHTEVYSLRDGTVKERFKNELQLRPPAPVPPVNHDDD EEKDDAPMLDPRFVLKSAEMTGDDGVNNMWQVPPAAKVIYCVTEDMKNNLNKQFNPYG VEITSVAITNVKLPANFESQMEEKTTYTSAIKEQNMKQQSDMQLLQYREEIDTTKLSK AMIRIEETETGKQHCAEIQKQIDMICADTKQIQTKINQDRQVRCGKIVTDAQLEMAKL QAETEMIQAEIYASCEATITKINAELDALRLKMDADVAQIQAVGEARAKEIVSEAQGI ASLKLEKQCTFVLQMQRLDVMASLADNDQVVIAGNGSNNLMADIFVAQQKSNLLLNIN GLQPSVA H257_01641 MSEPSLKKVKPEPTAVLHPGLLNQSTETRRSIRDQCLSNAPFPH YQIPVLCTPEHMRKVHVECVEELQSTFKETDLFKLYQTIDLGNLQLSNPLAKKLPALL KLRNALYTTEFRQYMSDITGCGPLNDKVDCAANVYMAGCHLLPHDDVIGTRCISYVIY LSDPDDEWTAADGGALELYPSESSGVPALVPTAFALPTYNSLALFPVAPGISFHSVQE VYADKPRLSIQGWFHQDQAPVGVDEATATQLTAIEASQRPFEPVEVESDDQLTEAELA TLTPFINAIYLTKDTLEQIQDAFQGTGSIQLQSFLTAQWASAIDTATRAADSADQLGH GKVPAYTAGYSTDDSNHWTPQGPLFLQRYLRYTGAALAASEVLKLQSSLTDAKDVNTT KAGATPSSPSSPGRLLAHVQTALVQSPAFVKWLSLATGVSPTGSRSEVRRLRPGLDYT LAHQAATSSPPIILDAVLCFCDDVSQEGDAEAPWSASGYECYMRAVADGVGDVAAAAF NGGDSTDEDDDAAPVQIGAQNNTLSLVVRDTSTMKFVKFVSCRERGSRWDVNVEYTLP NP H257_01643 MTDRATLLKHTSMHKGLTNYVGQNNCFLNVIIQSLWHLDSFRVL ITSSDHTALHSVHDTCLLCELKEIFTYYEYGEEKTLAPDNVRVALNVLSSQTDRFRLG AMADATETLDMILASMHADQVRHHALPHPTPTTPLPPQQSLSSSIEINDTDCDPKCIG HALFESNMFDMYRCQSCQATSEPEMWKDTLYRVYFAELYQCVANTTTSAKSFFFRSSS PTANHPSNKENSFEAVLRTLLNEGPRRSCPENELTKCRGSCLVDRWLMKFPIVFAVSI VWPATTVGGVELKAFANIIPHQLDLGLIFRLGGDAADLPKPESIYVFRGMVCYYGQHY VSFFKSQSKAHEWYLFDDVQVHKIGSWDEVRRRIERGCYQPTILFWEKNQLKFDQLET LAQHVHLPPPTSPRSPAKRPQEQPSPSSLVPVLPVVVHPPAKPSPPKPSRPTLACHVF TTNVAPRSPTLLPDQAASLDALDTLDEIDIGLQSSSKSGTRLSFTAPLPSLHRTPTSP LASHPSWEVLRAPVASSPDGKCRYIVRLTAQDGGLGLVVGDDISSLEPPSESSSDPHP PRRLMITALETSNSKRPLLPAVACGVICVGDQLLQMDGEVVEDESWTAWTAMERLVTA SGPVTLTFARAVPWSCSHCTLINDVGATTCAACDRPHLQLPSSGAASSVAPNEASQKQ QHTPAAAATADVFV H257_01644 MAVLFGVQLSSTTAGIVYALLGLALVLLIGAIIYYWNYARRIER FLENDNRHNNMMRQDLVDTFVARGEKEWTCSICFHENHPTKKECVMCGTPQIVVDTIN SHYDASVPRPSGLRKSDFVNPTLHKSRSVVLDQQSRIRSFHVRRLKQMDLTTRQKAAM RRHLWRRKKGPDGNMHWIRIDADVNDPTRESTVDMEMHGEGHDFVDMLSPISNTGSSL AEEDESSTNSTRRHENHVALAMRDLGYMEEEEAPSSSALQHPLRSTALQQLENAEERQ SMFTGNSTSTTTSSSSHHRKAPAVASSAAMPSSSSGTAVITEGTGFGWGQGQTSFPLA AISEDERRENFTTLSTGYIRHTTADGAMEFAPALGVVVDGGSSRQPDCDDDLEEIAAL TFQEKNNWFLHQVQKRWRRYEDGHIQFVVRRDHIVADSMEQTLKCPASRFWERLRIFF ENEPGLDAGGLIREWYELLCDRVFGEEMGLFVATKGSNLAYWINPKAVLRPNYQQEFE FVGRLIGKALIEGFNMKMSLALPLIKHMLEVPISFSDLEFLDDELYRNCTWLKRNNQA DLLSLDFTVTGLTNLEVIELVPGGAAIAVTDDNKAEYLDLLLKFHMFGSIASPLNAFL KGFYDIVPLFLISVFDYQEFDLLLSGMPDIDTNDWRVYSEIRWIKLETPSVAETAVVD WFWAVVANFSPEERARLLQFATGTSRVPVQGFKALTSTDGRVRRFTIQVVNRGPPPTG LMPKGHTCFNRIDLPLYANKAELAKYLTLVINMEITGFWLE H257_01645 MPLEPKALLPASPLINPPLPTVLVRCGVTTCARFAKRDGLCLGH HYDCSMLPSRRPLQPQPVAPVAVATASTLHAQRSSIVRAAAQRQYAPSLRPSAVENAQ IERMISKPHCRTLKDKCCAIADCHKLARIDGFCTKHNMQFASQKRKCVVDACTAYART RGLCTRHGGGKTCAVHGCKIVLQTGGVCRSHGEGPRCKYVTCVHFTRARGLCSLHRPE TEETVEA H257_01646 MQHHPNVTTCSMFPDQHQHHQYHIACSVHACYRYAKVRGMCLVH ASSFHRVTATTQADTSPLPSVGSLSPSTSTSSTLSAQDEAASATMFNLAMLRPRSSSL RPRDVADDDSTDGHHHHNLTKSSRRCFIANCDKYARIAGLCTQHNMKLSNQRRKCNVD GCPSYARTAGLCIRHGGGKTCSVDGCVTVQQSGGLCRAHGGGSKCKPCKYTGCTHFAR VGGLCGQHKNQPIDPDGRLPPSPNAMLGVFY H257_01647 MAAPGSSTTRARLNLDDSLRLVHDILDEDQSFLEYLHDENMSKR DRLHHQLQTPKAPPPPSIRPEHYINDTCHELQHDLQQIESELYFYDSMKQKPSSANAT GRTRRGSAALLTTGTARPTQSTRQPGPAPKARAANPSNPTIATSFDSLLAKLQRDYEH DQTAHVAAQSRIQARVVAAHKGAMVFGDFQTQPNGSLSQPTVEDPNCSSRQDNDRPNK VPTDVCDLDQVEFDRGDPNWSKLPPPNQPPNPPQEPSRLRSTSMQALRDAYSTAIDQK AEAAVLDGRHKQAQAVESVLERSQIRKRLAVAKLQEVCDRDTHDVVEATKAELGQEHH AELAAVQDEWMAKRRHELNEVLVDSERRLDREKKNVAEKFQLETDVKRAALQRELELD RASALREIEQTFQGELEALEDRLRHVVAEELQAKRQQVATALLVREEELLQQGRTQAL AMHQANEHADVAKLQDALQMGSKLRLQQLRDRLDGQRAAKLAEIERVANDTLERSLEQ LRNEHDGETNTYVHTTQDRLRQVHDREVESLRQALAIDETRSLNDVTAQLRTNHLQRV QRIRDDHERQRSERLVQLQQTYEAEYLLRMEVLEDELNAKLTADMNDRAADHAHALQK QMATCKQHFADLTTRLSSEMHFLFQVSNNPTISSRSNERNRQPTESKEADVVDKLHTL GVTSRDVHKWVHALSSEYYDLCDQHAVLVESLRQASAQTSTWKQKAATNEVALTRLQA KLDKAIADVHDKTRLCQRLYKANEQLLKQLPTSPP H257_01648 MMMTAPAPLLSSCNQAGTPASSPCIPNHGGDTDSDDDLTTRSSA CPPRQRKGSLKRPLASMYDSVQPCDLEMLKVIGRGTFAQQVALAKDRLTSHVYAVKSC DKQNLVNRKQVVHTWTEKHILEKLRGHPFIVTLFSTFSTPDEVHFVLEYCPGGELFYH LHQQDRFDDASVQFYAAEVLTALQSLHVANIVYRDLKPENVLLDAGGHIRLADFGFCK DIGESDRTYSFCGSPEYLSPEMIRRRGHTVATDMWSFGCFCFELAVGHPPFQLHDDAA LPALFESITAGRMYYPPQLSPTFVSFLKRCLDVNPVTRFTATEAMDHPFFKDIVWAQV LARQLTPPFVPPSNGVECTQNFDDDFTSESPRSPTLLEMKQQHKRQQQLHHPPQHDGD DPVDVFCNF H257_01648 MMMTAPAPLLSSCNQAGTPASSPCIPNHGGDTDSDDDLTTRSSA CPPRQRKGSLKRPLASMYDSVQPCDLEMLKVIGRGTFAQQVALAKDRLTSHVYAVKSC DKQNLVNRKQVVHTWTEKHILEKLRGHPFIVTLFSTFSTPDEVHFVLEYCPGGELFYH LHQQDRFDDASVQFYAAEVLTALQSLHVANIVYRDLKPENVLLDAGGHIRLADFGFCK DIGESDRTYSFCGSPEYLSPEMIRRRGHTVATDMWSFGCFCFELAVGHPPFQLHDDAA LPALFESITAGRMYYPPQLSPTFVSFLKRCLDVNVQSLTYI H257_01649 MAGRVGPGQLAALQKDTAHIRNICIIAHVDHGKTTLSDSLVSSN GIISDKLAGKVRFLDNTEEEQTRGITMKSSAISLMYEGPLTPSERKDRGLDSTNDDVT VPYLINLVDSPGHVDFSFDVSTAVRLCDGALVLIDVIEGVCAQTHAVLRQAWQEGIRP CLVLNKIDRLIHELQFTPVEAYQHICRIVEQANVIISSMIRSDKLDEEQEIDVSLLDD DDDQTIETLERQWMFSPAYGNVLFGSAYDGWAFSIGYFAAYYSKKFDTPQAQWRQGLW GDHYFHAKTKVIRSTPWTSSSVPMFISFILEPLWSVYKTMMEPLPPPTQLATDGTYLE KLRQLTKSLRVAKLVNDRELLQRDRKLALQAVMRKWLPLAPSVLKMVSRVLPSPIAAQ KTRADRLCVPDAADADQVATFHSIQACNANGPVVVYICKMVSTEASNLSDYATNASLR AMYPLKNDTNRGAEVYVAVGRVFSGTLKAHDLLYLLGPKYNGSEGVSSSHVTEIPPTS LQLYMVMGADFVLVNEVPPGNIVGIVGLHEHVLKTATLASTVACPSLAKMPYQAKPIV RVAVEPEDPRHFAELEAGLQRLYRSDPTVEVHVQETGEHVIVALGELHLERCVKDLTE RFAKVPLRVSEPLVGFRETIANGDANYDKLAIFNFKHLHMSDMTTGRTTDGKFKTVVC PTPDCQVTLHLRAMPLPPSVVAFLENHADTWRALQDDSDDSVDVTSVKDELTAVLQGC SDEYWRSLPLDALWSCGPRRVGPNVLVNRVPDYASASTIFQANTGNPDSDPRAKFESS LITGFQLATTSGPLCDEPVWGVAFVIEDMVLTPTEDAAAIYGPLSGQVISTMKSGCRN AFIQQPVRLVEAMYLCTVQCHAEHLGKLYSVFAKRRAKVLSEELTDGSALFRIEAHLP VVESFGFATELLKNTSGNASNPQLIFDHWTTMDEDPFFQPHTDEEREDFGERIDEHNA VRRLIEMVRKRKGLAREEKVVVHAEKQRTLGRNK H257_01650 MQRQWWLQWKNRVLYAANKFQYDAQKKAELLWYGSNNVGSAHAN VSVPFMVTRQMKVQLADKGFPSHIVAALTPANAHAILQSNTSFDAYLKDATTSSTPNA ADNAADTTTSSSLAATGSSESPSTESLQPKSPALACVSTTEDSVGKTRSTASTAPVAA LAIVLDKPAA H257_01651 MMQSIQLDKSGVSTSGSGGGAYSSDRRSSSSQDHFSSHGPPSSA GGSNTMMSTGKKKTDQVVLEFLYKTVEVVLLSRAYFQPSTPRRARFNLDIQELPHVRD LMRPCRDNVNEPVVLDIAMGSMLLERWQISYTNGMQSHSPLDVINQLREVCRRIGILL RSVYCLARILPAFPVSDRLKAQQEDLGHPVAGLEFEVASSDHAMQRQFESDQPKQRYS FIPIDTPFGTLQLSVLYRKRNDDLLSTIQRMPRLEPSSTPSSLQQHGAHHHDSGDAND DDEDDHPRTSLQMSSTLTHAIIQDYVPTSAHTTPTSSPHLQPSAPPVSHMSPIPAVSQ TPLGRSSPMQIVHDVPNTLQDGPASSRIDHHETSRHSVESEGALTSGYHHPRHSPALS RRAMSLDLTLPPPPQYRITSQPMRIPHSANAAAIASSSSPLLHHGGIARAHSNHHPSI YTPPSSSSHAAAPHHLRSPVVYAHAHSLDAVASDFPQRHHHHHPHAAAATTSPHHHRP APFPLAAAPYGCAVAAHHPRPADTSPRHFHSPLEQPSPAYFSPLLRHPNSAPLPRPSP RPQMPKAMPSPCLMPSSSVSNDVRFSVGSNNSQGSVDSGGRRVHPSSPPFSVPGVSST HGSSHQVKSPNLFGVSQSPPFRGYSGELTSTSPPLTSATPPPLITWKDTGDGLHQRRR RLSLDGGLLAWGLVGGGSSGETVFGLSSQGRASGGTDMDSSSGLVDDQLCLPFATSSS SLSSTTGSGHDVMTVSAFLHELKQAPPLHPSHGRAAGRSSLAEVEGDLSFFKQLRDQL EG H257_01651 MMQSIQLDKSGVSTSGSGGGAYSSDRRSSSSQDHFSSHGPPSSA GGSNTMMSTGKKKTDQVVLEFLYKTVEVVLLSRAYFQPSTPRRARFNLDIQELPHVRD LMRPCRDNVNEPVVLDIAMGSMLLERWQISYTNGMQSHSPLDVINQLREVCRRIGILL RSVYCLARILPAFPVSDRLKAQQEDLGHPVAGLEFEVASSDHAMQRQFESDQPKQRYS FIPIDTPFGTLQLSVLYRKRNDDLLSTIQRMPRLEPSSTPSSLQQHGAHHHDSGDAND DDEDDHPRTSLQMSSTLTHAIIQDYVPTSAHTTPTSSPHLQPSAPPVSHMSPIPAVSQ TPLGRSSPMQIVHDVPNTLQDGPASSRIDHHETSRHSVESEGALTSGYHHPRHSPALS RRAMSLDLTLPPPPQYRITSQPMRIPHSANAAAIASSSSPLLHHGGIARAHSNHHPSI YTPPSSSSHAAAPHHLRSPVVYAHAHSLDAVASDFPQRHHHHHPHAAAATTSPHHHRP APFPLAAAPYGCAVAAHHPRPADTSPRHFHSPLEQPSPAYFSPLLRHPNSAPLPRPSP RPQMPKAMPSPCLMPSSSVSNDVRFSVGSNNSQGSVDSGGRRVHPSSPPFSVPGVSST HGSSHQVKSPNLFGVSQSPPFRGYSGELTSTSPPLTSATPPPLITWKDTGDGLHQRRR RLSLDGGLLAWGLVGGGSSGETVFGLSSQGRASGGTDMDSSSGLVDDQLCLPFATSSS SLSSTTGSGHDVMTVSAFLHELKQAPPLHPSHGRAAGRSSLVR H257_01651 MMQSIQLDKSGVSTSGSGGGAYSSDRRSSSSQDHFSSHGPPSSA GGSNTMMSTGKKKTDQVVLEFLYKTVEVVLLSRAYFQPSTPRRARFNLDIQELPHVRD LMRPCRDNVNEPVVLDIAMGSMLLERWQISYTNGMQSHSPLDVINQLREVCRRIGILL RSVYCLARILPAFPVSDRLKAQQEDLGHPVAGLEFEVASSDHAMQRQFESDQPKQRYS FIPIDTPFGTLQLSVLYRKRNDDLLSTIQRMPRLEPSSTPSSLQQHGAHHHDSGDAND DDEDDHPRTSLQMSSTLTHAIIQDYVPTSAHTTPTSSPHLQPSAPPVSHMSPIPAVSQ TPLGRSSPMQIVHDVPNTLQDGPASSRIDHHETSRHSVESEGALTSGYHHPRHSPALS RRAMSLDLTLPPPPQYRITSQPMRIPHSANAAAIASSSSPLLHHGGIARAHSNHHPSI YTPPSSSSHAAAPHHLRSPVVYAHAHSLDAVASDFPQRHHHHHPHAAAATTSPHHHRP APFPLAAAPYGCAVAAHHPRPADTSPRHFHSPLEQPSPAYFSPLLRHPNSAPLPRPSP RPQMPKAMPSPCLMPSSSVSNDVRFSVGSNNSQGSVDSGGRRVHPSSPPFSVPGVSST HGSSHQVKSPNLFGVSQSPPFRGYSGELTSTSPPLTSATPPPLITWKGWTCGLGWG H257_01652 MASRRAYCEFHFLRFAKQSPYVPEAFKALTADDQRFAFYQVVVL AAIGVWSTTVFVVHVGDLPSRSVLGIVYSCFTCGLFGLAHYFKQNGLVEGSTAASSRS T H257_01653 MKFPLPIDYFQCPTLSVGETNKFKAQAKDNVTSLVSTAVLRGGS VAWVLLSDETELKIYKATDRLRGLSMYISRSTVVGTLDEVVDVFQTHTTELIKDCKRR VGKDLLDVATLYDFNPPHETSTINNVGIIYAAMKSPPFVADRDSVMLECRSPFQDKSR RGWCRSLISVEVEGCPPFPGMVRAHQYGSGHLFLESTRRGYVELSYISHVDYGAVVPI WIEDMANKKRCRNLITIDRCLRENRLRQGQFLTKVPMAPGASLDSFRYCFLCTKPFRL FRKKSFCCKCGQVLCTGCNLWWQLNINGMMVAIQACLKCAQRSTTTHTMLPANHHQSN P H257_01653 MKFPLPIDYFQCPTLSVGETNKFKAQAKDNVTSLVSTAVLRGGS VAWVLLSDETELKIYKATDRLRGLSMYISRSTVVGTLDEVVDVFQTHTTELIKDCKRR VGKDLLDVATLYDFNPPHETSTINNVGIIYAAMKSPPFVADRDSVMLECRSPFQDKSR RGWCRSLISVEVEGCPPFPGMVRAHQYGSGHLFLESTRRGYVELSYISHVDYGAVVPI WIEDMANKKRCRNLITIDRCLRENRLRQGQFLTKVPMAPGASLDSFRYCFLCTKPFRL FRKKSFCCKCGQVS H257_01654 MTHESLPLVTKHAEDGSVNVASASDVLPPQRHRLLGLGLVAMSA FTFSLMSAAIKYESSYMSSMETLFWRAIVAWIFNLILVLATRTNLHVPSEFMPPLAFR CVVGFCSMSLTFWTMSQMVLADASCIVFTSPVMAFLLGSIVLGEHIKPVDFALAIFCF SGVVFVARPVFLFGDEAVDYMANKKVVQGSKFAVLGGLASAACQASAYVAIRHIKSLN FIVVIHYFMLACSVLSVAWIFAFEGGLTLELPLNVWWLCVSTGVFGFLGQLCMTKGFQ LENVGIASVMRYLDIVFVFVWDVTLLHEQISVWSFVGAAIILSCAIAIAIRKAHG H257_01654 MPPLAFRCVVGFCSMSLTFWTMSQMVLADASCIVFTSPVMAFLL GSIVLGEHIKPVDFALAIFCFSGVVFVARPVFLFGDEAVDYMANKKVVQGSKFAVLGG LASAACQASAYVAIRHIKSLNFIVVIHYFMLACSVLSVAWIFAFEGGLTLELPLNVWW LCVSTGVFGFLGQLCMTKGFQLENVGIASVMRYLDIVFVFVWDVTLLHEQISVWSFVG AAIILSCAIAIAIRKAHG H257_01655 MLFSQSNNSIVNTKTLPVSHLQQRHYFCRNSMNFGPTPPPDGGG RRVQPFSNLNPTKMKAATIVVASLAAAAHALDVQLSGINYNPRKGADWKPFEERCKSD DEVSADLKTLSAITSNIRLYSMTDCNQMEIVIPAAKKAGLTIWAGMWIGKDGANFENE KAKLASLIDKKLIDNSVVGLHVGSEAVYRKDLTTKQAIAYLEEVKALVVKAGLTFPVT IADIGDTYIWNPDLAAAVDIIAINQFPFWEGRAVDGAIEFMAERLAPLVKLAKENNKE IVIGETGWATAGKAKAAGEASPENAAAWLNDFHIYATEQKWPYYYFTSFDTPWKHNAD DPESEAEVENHFGLFDAVTRQLKPAYANLKVQKRSVITNDADTSKPTTTPLNATPEPV VDGTTDATPAPAATDKAGTPVTTSAPSSGSDGSTSTTAAPDATTSTSEPKTADDNSTT SVPAAPAGNSTTSVPTTNAAGTMSMSTVVGLTIMATFVATTFGM H257_01656 MAQVITITTVVVVAAMATLTSSLNVKLSGINYNPRKGADWEPLK LKCKSASEVATDMKILATVTSNIRLYSMVDCNQMELVVPAAKAAGLTVWAGIWVDKNA ATYAAERDAFAALIKKGVIDATVIGLHVGSEAIYRKEVTADEAIKNFKEVKALVTAAG LKFPVTIADIGDVYVANPQLFAAVDIVSANAFPFWEAKPIEGCIEYFYSRMEPLIKLA TDNGKKIMIGETGWATQGKADGASVASPENAVTWFNDFHVLATDLKWSYYYYTSFDTT WRHNPAVNTSDPEVENYFGIFDVKGVLKPAFAALKVAKRVNIDVSPTTTTPAASEKNG KPVATTASPSAIISTGGATSKPNTNTATATSAAPLVTTAIMSAVVMVLTIAASM H257_01656 MAQVITITTVVVVAAMATLTSSLNVKLSGINYNPRKGADWEPLK LKCKSASEVATDMKILATVTSNIRLYSMVDCNQMELVVPAAKAAGLTVWAGIWVDKNA ATYAAERDAFAALIKKGVIDATVIGLHVGSEAIYRKEVTADEAIKNFKEVKALVTAAG LKFPVTIADIGDVYVANPQLFAAVDIVSANAFPFWEAKPIEGCIEYFYSRMEPLIKLA TDNGKKIMIGETGWATQGKADGASVASPENAVVRNPSILELVVPYSWWYLRDDFSLVP IDCWAMTVHSHGCLYCVYVY H257_01657 MKRSFTAVVTLALLASFCAWSTLALNVKLSGVNYNPRKGADWEP WELKCKSAEEVELDMRAISLITDNIRLYSMNDCNQVELVMPLAKAAGLKVWLGMWVDQ HNTTFFAERDTLKALIKKGVIDSSVTGLHVGSEAIYRNDVTVWQAIDYFWEIKNIIVN ANLLIPVTIADVGDVYAGHPELFHVVDVVSANSFPFWENRSIDECITYFYRRMGNVIG MARVFNKQIIIGETGWATAGWAYRAGVASPENAALWLNDFHVFAQEFGWPYYYYVAFD TTWRHNANTNITEPEVESYFGLFDDNRNLKPAYANLNIKKRKQYGYPPIPTRPDVPTP TESTWDVVQNVFAAVFTAVEGLW H257_01657 MKRSFTAVVTLALLASFCAWSTLALNVKLSGVNYNPRKGADWEP WELKCKSAEEVELDMRAISLITDNIRLYSMNDCNQVELVMPLAKAAGLKVWLGMWVDQ HNTTFFAERDTLKALIKKGVIDSSVTGLHVGSEAIYRNDVTVWQAIDYFWEIKNIIVN ANLLIPVTIADVGDVYAGHPELFHVVDVVSANSFPFWENRSIDECITYFYRRMGNVIG MARVFNKQIIIGETGWATAGWAYRAGVASPENAAVRFRIRIPYYICCLL H257_01658 MQTRPRAMARSLVAQFALNRQSLRASSTKPWYRDEISSILSPAD GESVASVEELRQLMAVPRGRGVVASEIQAQGRSPSVGGYETLSQKKKQDRIWTQLQRV LTNVEQDALPTAVADAYTVAFQALSRANMPYESMEALLRHMQSQQIPVSIQIHISMLA QAKGSALVQVLRKVKASRAAASLLGDACRQPPLLPTDIQSLHYHAYDAIIRTIHSRYM ESTTSVFALANYQDLYAAMVEALPPLDIKLLERTISAERMDKLAVASVRAPAMCGLGD VVLDRLDTLQEEYAGRSADVPLQVFEAAIEAFSALVHGLIHVPESTLAVVRETSSVLS SPRLKALRRLERSVGVPLNNLMQKIEKDADKNESDVAKDAATFDMYKASVFLRFISKR MAGARTTQSHAVVAHEAMVAADGLMQDIEDAYVASRRRGSTSTTTSSSTSSLLVPLHV AKLKQYFVAASRLDRRVPVSGPFQDELVYRVFQLVDVLATCSSADDHVLEGLHYAFRT LVVLFRVSEATMVLDLKETLFPNEPRRVDEYDDLIMAVVSNDRTRFDQPLQLLQRMHN QGVTPTPLTMHRLVLFQLNLLNNATPSTRKQLMADVKYNHMRRRLPEWNVDVPTPEFH PLQFYKAKAPDSASVGDIVSFVVDWHNMTGVVPFGKTLKLLVEHCRRHQQDKTDVHEL HRLLQWAHSLPLDPATQVYLEHVTASVVPAVADDATKEL H257_01659 MQLAIPPAITLPALRIQSFYPATSSKSAPPVVAMVASPCSILQC NHFAKIQGHCLAHYRSILVQHPNSPTFDTTRPNISSSYDDAITSPSRTSNDAKKLKLK NRNRKCRTDSCVSYARSGGYCTRHGGGRKCKVDGCGTASQTGGFCRLHGGGSRCRIAH CDQFARIRGLCLHHNRVTPDDNKSTTSSHDDQNHV H257_01660 MRRRINEGDVQVELIEAKSTHRRFLPRVAAYELIQLDDGQFRVL ERPRSASAMNSKKCPRPPIPSRAVRAVHKQRATSDKQPYLESLATTRSSKSSNSPGVW RGGDDNSGDSKHDDKLSVLEAALVDKVKWREAAVQEMMAASKTSSRQSTTRVLKLMQC AAVVRQLSVDVVNALKAWRHHSMDTTKEFRWLGRNYLVQMANDLDWLGDEGMLAHALG IPTCTNNPLLCAITLTDPHWQRYCAAPTPARLAQLQATLHMSNEVDGDMLLTILAVIA NELDRHPHPLVVVLPVEGEIDVPLNDVSNASLALPHNEDIDDCATAARRPLIVSCFYA WLQRHDLFQRYQRARQKRDTARIQRLFLAWHRHGLECALARRVICRRAFATWQYQMQR WRTAKLLRRQARRRLARAAIHMWRSQTAKYRAARHIAVAWLRHYRNSRQKRTRMQMTS TAAGNGHELQLMRVKLQTVQARSIQRRWRDMVAQQKWELKLQTVQAESARMNQQLQAR IIQSKWRVLRVQLQQQRNVHAVAVQQWWRLAKSSQVRRGQTLASARIQMWWRQRTKAQ RRRRCVTAARTVQSWWRARRVRRMQLRVASTGIQMQWRNCMRRWHFRCVFRPLTTAWL VQGTMLAIQQRHRWRSQASRRLQRCWAAYRLRVRTHAQTVLAMVWRLVTWRRQRRRAV LSIQKAYRTYVEYRWWLWHYRMATRIQRLVRAFLRRRRAAKTLIAAARNFLSKKVWST AVALGTTAADEPYYALWISQGAAYVSCIQNRTLASARIQMWWRKRAKAQRRRRCVAAA RTVQSWWRARRVRRMQLRIVAYETVLHVVFACDARRVASTGIQMQWRNCMRRWHFRCV FRPLTTAWLVQGTMLAIQQRHRWRSQASRRLQRCWAAYRLRVRTHAQTVLAMVWRLVT WRRQRRRAVLSIQKAYRTYVEYRWWLWHYRMATRIQRLVRAFLRRRRAAKTLIAAARN FLSKKVWSTAVALGTTAADEPYYALWLSQGVAYVSCIQRRKRGSLQLDKATWRVLNAL HMFRSSKVRTKDWEFAALEVLGQVEVVETDTTIQLTMPPEYALGKVLHRSESLAQVNK TKTTKRTLTVLDAAAGLSIPDLLDSLNDNRDVNERDAAGQTPLHVVVAMPHDDKQHDV MDILLEHGADVHAKDYDGVTPLMILAGHGHPMLLDKLMHVARLDAVDNKGYTALHHAC AKNNRRACELLIETARDRCGSLNCASYDGTFPLHVLAILGHVECACVLQQENTWNVNV RDAEGRSPLHLAIAYNHSTFVTFLLEMGADPDVRDVLSRTPLHYAMECKCGLEMVSSL RKFKVDLNAADERGDTALHWAAHSGHQKLVNHLVNLGADTLLQNSDWETPAQLAAANG YEGCVAIFSRASKKKPQFVASPTAAPVTSYVSMESHSEPADATKTCGNDLSSEFGAAA MPDVEASQWVYDEFGGCYDTATGTYWSPDGLGGYYDASQQVAYADNNSIEFSYDNGES YGDTNNYDYGAAENDSARAAENAKPWSDY H257_01660 MRRRINEGDVQVELIEAKSTHRRFLPRVAAYELIQLDDGQFRVL ERPRSASAMNSKKCPRPPIPSRAVRAVHKQRATSDKQPYLESLATTRSSKSSNSPGVW RGGDDNSGDSKHDDKLSVLEAALVDKVKWREAAVQEMMAASKTSSRQSTTRVLKLMQC AAVVRQLSVDVVNALKAWRHHSMDTTKEFRWLGRNYLVQMANDLDWLGDEGMLAHALG IPTCTNNPLLCAITLTDPHWQRYCAAPTPARLAQLQATLHMSNEVDGDMLLTILAVIA NELDRHPHPLVVVLPVEGEIDVPLNDVSNASLALPHNEDIDDCATAARRPLIVSCFYA WLQRHDLFQRYQRARQKRDTARIQRLFLAWHRHGLECALARRVICRRAFATWQYQMQR WRTAKLLRRQARRRLARAAIHMWRSQTAKYRAARHIAVAWLRHYRNSRQKRTRMQMTS TAAGNGHELQLMRVKLQTVQARSIQRRWRDMVAQQKWELKLQTVQAESARMNQQLQAR IIQSKWRVLRVQLQQQRNVHAVAVQQWWRLAKSSQVRRGQTLASARIQMWWRQRTKAQ RRRRCVTAARTVQSWWRARRVRRMQLRVASTGIQMQWRNCMRRWHFRCVFRPLTTAWL VQGTMLAIQQRHRWRSQASRRLQRCWAAYRLRVRTHAQTVLAMVWRLVTWRRQRRRAV LSIQKAYRTYVEYRWWLWHYRMATRIQRLVRAFLRRRRAAKTLIAAARNFLSKKVWST AVALGTTAADEPYYALWISQGAAYVSCIQNRTLASARIQMWWRKRAKAQRRRRCVAAA RTVQSWWRARRVRRMQLRIVAYETVLHVVFACDARRVASTGIQMQWRNCMRRWHFRCV FRPLTTAWLVQGTMLAIQQRHRWRSQASRRLQRCWAAYRLRVRTHAQTVLAMVWRLVT WRRQRRRAVLSIQKAYRTYVEYRWWLWHYRMATRIQRLVRAFLRRRRAAKTLIAAARN FLSKKVWSTAVALGTTAADEPYYALWLSQGVAYVSCIQHRKRGSLQLDKATWRVLNAL HMFRSSKVRTKDWEFAALEVLGQVEVVETDTTIQLTMPPEYALGKVLHRSESLAQVNK TKTTKRTLTVLDAAAGLSIPDLLDSLNDNRDVNERDAAGQTPLHVVVAMPHDDKQHDV MDILLEHGADVHAKDYDGVTPLMILAGHGHPMLLDKLMHVARLDAVDNKGYTALHHAC AKNNRRACELLIETARDRCGSLNCASYDGTFPLHVLAILGHVECACVLQQENTWNVNV RDAEGRSPLHLAIAYNHSTFVTFLLEMGADPDVRDVLSRTPLHYAMECKCGLEMVSSL RKFKVDLNAADERGDTALHWAAHSGHQKLVNHLVNLGADTLLQNSDWETPAQLAAANG YEGCVAIFSRASKKKPQFVASPTAAPVTSYVSMESHSEPADATKTCGNDLSSEFGAAA MPDVEASQWVYDEFGGCYDTATGTYWSPDGLGGYYDASQQVAYADNNSIEFSYDNGES YGDTNNYDYGAAENDSARAAENAKPWSDY H257_01660 MRRRINEGDVQVELIEAKSTHRRFLPRVAAYELIQLDDGQFRVL ERPRSASAMNSKKCPRPPIPSRAVRAVHKQRATSDKQPYLESLATTRSSKSSNSPGVW RGGDDNSGDSKHDDKLSVLEAALVDKVKWREAAVQEMMAASKTSSRQSTTRVLKLMQC AAVVRQLSVDVVNALKAWRHHSMDTTKEFRWLGRNYLVQMANDLDWLGDEGMLAHALG IPTCTNNPLLCAITLTDPHWQRYCAAPTPARLAQLQATLHMSNEVDGDMLLTILAVIA NELDRHPHPLVVVLPVEGEIDVPLNDVSNASLALPHNEDIDDCATAARRPLIVSCFYA WLQRHDLFQRYQRARQKRDTARIQRLFLAWHRHGLECALARRVICRRAFATWQYQMQR WRTAKLLRRQARRRLARAAIHMWRSQTAKYRAARHIAVAWLRHYRNSRQKRTRMQMTS TAAGNGHELQLMRVKLQTVQARSIQRRWRDMVAQQKWELKLQTVQAESARMNQQLQAR IIQSKWRVLRVQLQQQRNVHAVAVQQWWRLAKSSQVRRGQTLASARIQMWWRQRTKAQ RRRRCVTAARTVQSWWRARRVRRMQLRVASTGIQMQWRNCMRRWHFRCVFRPLTTAWL VQGTMLAIQQRHRWRSQASRRLQRCWAAYRLRVRTHAQTVLAMVWRLVTWRRQRRRAV LSIQKAYRTYVEYRWWLWHYRMATRIQRLVRAFLRRRRAAKTLIAAARNFLSKKVWST AVALGTTAADEPYYALWISQGAAYVSCIQNRTLASARIQMWWRKRAKAQRRRRCVTAA RTVQSWWRARRVRRMQLRVASTGIQMQWRNCMRRWHFRCVFRPLTTAWLVQGTMLAIQ QRHRWRSQASRRLQRCWAAYRLRVRTHAQTVLAMVWRLVTWRRQRRRAVLSIQKAYRT YVEYRWWLWHYRMATRIQRLVRAFLRRRRAAKTLIAAARNFLSKKVWSTAVALGTTAA DEPYYALWLSQGVAYVSCIQHRKRGSLQLDKATWRVLNALHMFRSSKVRTKDWEFAAL EVLGQVEVVETDTTIQLTMPPEYALGKVLHRSESLAQVNKTKTTKRTLTVLDAAAGLS IPDLLDSLNDNRDVNERDAAGQTPLHVVVAMPHDDKQHDVMDILLEHGADVHAKDYDG VTPLMILAGHGHPMLLDKLMHVARLDAVDNKGYTALHHACAKNNRRACELLIETARDR CGSLNCASYDGTFPLHVLAILGHVECACVLQQENTWNVNVRDAEGRSPLHLAIAYNHS TFVTFLLEMGADPDVRDVLSRTPLHYAMECKCGLEMVSSLRKFKVDLNAADERGDTAL HWAAHSGHQKLVNHLVNLGADTLLQNSDWETPAQLAAANGYEGCVAIFSRASKKKPQF VASPTAAPVTSYVSMESHSEPADATKTCGNDLSSEFGAAAMPDVEASQWVYDEFGGCY DTATGTYWSPDGLGGYYDASQQVAYADNNSIEFSYDNGESYGDTNNYDYGAAENDSAR AAENAKPWSDY H257_01661 MVKDGTTAGRITTTNYTEPVQTNCYNVDRLGHNLDTEGGSSGSP LMSATTNLVIGLHNCGGCKASTTAYGSNYAIKMTYIVNLLRVKNVLPKDSVVATLRGT QTQALTVAPTFAPSPSKCTVVEENVAYFGYHLASTQRSEVSLCCGDCDITPGCKLFVW TTDNGGTCWLKSDVGTKVASVGVKSAVLANPMATASPPTPPRCSPIEVNKDFYGEDIA AIPGPLESCCVACESMDKCNAFSWFNDICYLKGKRTSPSLTSGVYSSRVYKCSAVESG VDYFGADIGSAFADAVEDCCAVCRSTADCKAFSFAFGVCYLKSSKGIAKPNSVVASAV L H257_01662 MTPTTTTTPNIIKHTARRFARTSSSKTVDYSVPANRYVTVDSEL PVRRTQALIIGCGAAGSAAALRLAREGVHVIMLGAAINPADCNSYWAQGGIIYKSKDD SPELLSSDIHRAGAGVCHDPAVRKVATEGPACVEDLLLDVSKVPFERHADTGELKLTL EASHNRARILFKADHTGQAITTAMQAAVMNHPNIELCTGRVVYDLALNDENECVGALL VDRSTSAVELIHADMTLLATGGLGDLYANTSNPEGARGEGVAVAARAGAKLKNMQYVQ FHPTTLCLPGERRFLLTEALRGEGAKLRNGSGHLFARDYHVDGELAPRDVVARMILSE MDKEGESCMYLDISHMPSEWLQNRFPTIYKHCLARGIDMTKEAMPVVPAAHYHCGGVQ VDLSGKTSVPRLYAAGEVSCTGMHGANRLASTSLLEALVWGCAVATDFMATTLVGSAV ETNAVVRVPADSAGLGRRPWQPADEAAVATILTELQQAMWTFVGAKRTADGMLRGIKI VGALETKMDLLSESVTVTARSMGVRNAVKTAKYIAEAALASPISVGTHYIVSDDPVSD CASESDNEVEWKNLCA H257_01663 MSARGFGGFDLSPTKDQALLSAVSSHIMDLRFTVVCGKGLLAAG PDGLTSNCICDISLVSTAQKTLVNTRSRLVKSTRNPLWNLAVDFGRVDLKDIDGIRVV VKHSGGFTSSKPLGEAFVPVEFVAEGSAVDQDSWFPLTPTPDMPRASTYGDIRIVFPA ATTAKASPAAAAIQHNPVAELSAIKACHQAVPRPGQFWFAVAAPWVEAWLVWQILTSA DPAQLWPRMYVQVFVSKLQHTTADAPGPIPNHFLLDNSSTSTPRIRANLRLKQDYRLI DAASWQLYYSWYGGGPSIQVQVPTDCSSVSQWMVGLRLADPGVASIVAASQ H257_01663 MSARGFGGFDLSPTKDQALLSAVSSHIMDLRFTVVCGKGLLAAG PDGLTSNCICDISLVSTAQKTLVNTRSRLVKSTRNPLWNLAVDFGRVDLKDIDGIRVV VKHSGGFTSSKPLGEAFVPVEFVAEGSAVDQDSWFPLTPTPDMPRASTYGDIRIVFPA ATTAKASPAAAAIQHNPVAELSAIKACHQAVPRPGQFWFAVAAPWVEAWLVFVSKLQH TTADAPGPIPNHFLLDNSSTSTPRIRANLRLKQDYRLIDAASWQLYYSWYGGGPSIQV QVPTDCSSVSQWMVGLRLADPGVASIVAASQ H257_01663 MSARGFGGFDLSPTKDQALLSAVSSHIMDLRFTVVCGKGLLAAG PDGLTSNCICDISLVSTAQKTLVNTRSRLVKSTRNPLWNLAVDFGRVDLKDIDGIRVV VKHSGGFTSSKPLGEAFVPVEFVAEGSAVDQDSWFPLTPTPDMPRASTYGDIRIVFPA ATTAKASPAAAAIQHNPVAELSAIKACHQAVPRPGQFWFAVAAPWVEAWLVFVSKLQH TTADAPGPIPNHFLLDNSSTSTPRIRANLRLKQDYRYSITHSVVV H257_01664 MVQSTHGLPLSTRPSLTSTMSSSSLGSLPSMLSSFSLPSIHNIR TPPPLVAPSVAAAATVYQSPTALSLGSLKARKKMIQNTVQKPPRRHNRLSSSVSGNPL DGADEERQVDGIVYQSRVKVPQAWNFTNAKERWQAAVARTTDDQIPRHHRQSLAGEFL HMTTNPRNSDVTPQNAEARSRCAESLYKLSKQIGSEAMIIDSGAIQNIADFSDTDDSR LQGYCAATLTNLTATSSLPVLMSFAAHDGIPVVLEAAWSPSFHVKVLCTTALCRLSCY PEFTKTLFASKAVIELSNMLSLPHPPLQKLCIQTLVNMICHGCEFHEKLFCGGGNVGH NKLGLVLAISQLAEEPANGRFAAQVIFNLSLSPTSAAGAIRGGIGEVLFHLIKAPHTT LTLAKLLLAPNSSSRDAEEDDSNPQLVIARLIAIASGHFSKYLDLQLLMGSWSLKIVQ HFLYLYESSPRLGFVLGHCARVLANLSANDEYMSSVFNGNENLVEKIVRLHAWASAAA TTTTLNADECQQNVLRTIANVTRCPLCCPLLVQSGVFYVLNEVLLMEANTSHVATLKD DALVALVNLVCHDAIAPASGLDAHLADCLSTSFRHDSSDDQNNNPQLGHVKYMLSLTI CYLALNPHLRRVVTSRPALLIDALLFGFHYNHSPGADNADKAPCLSGGMLKLQQQLRE QPLDAFDDVRGDPTDTRFRFLAAMCHTAGEFQCVDHIQSLVDVVVTCMNHPKHSSKIP VDHGFVTGAVEYYCAGILFTLSRTIWTLTQQTHESANDWGPILFAPRVQDVVFRLSRF AAHPCPPTSPTTGDNNSRALNLRPATQAYCTGTLYHMCATSHTTATALVALVEACNGS EDPPTLLACASTFAIVSFTPEGRQLLLTTQGLAHALNKLGRSSMCQQYAAVAACNVAI TGCMWNEEELKDFVVVALLRSNSFDAIQVHAKTLYNLLSHPASRAQVINDGVLYAFLK LAQLQTNGLRGGPEETLSLCLHALFNLSDTVVYHDTILKLGVTAFLLGGVSGRRKRVL HFLTPESRRHAVGLLCNLSAREANHKELIHSAQVTDILRCLCDGDTETRASAAMTLRN LTLRVANAEVVCTRNALNLLLVFLTSSNDSVRRLAAQAIGNCSLVTELLHLFVELQVG DALLQVLETHDPTTNLVANVATNTNPNVDTARAILKTLHNLALDDGCATDLLHVEMVR RWQEALPPLLYDPDVCNLAATTIHILSRKAVAAPLLARQNIVALCQLLSTAPHTSATV LGECVSCLVHLSTHIATHTVLFETGVVDTLGHLLHHVGEIDPPLSPAATAILFTHAAM AVRNLSLSAADRQTSSQQDQRSIDRSVEQATAMETSAACDQLMRGVPWLVKMVRTVAT SQPSYPKLCVEVCAAMANLCKIKRLRAACVSTGIVHMLLDIHRTFKSNDAFAFVEQSS TVTLHQLAAEDTATLEPGLIEALLSVLDHTDVVVHQVQQEEGKVSRLETVDTSELAHD TLDQPLPTSESLCRQTYRDARWFHYIVEAPSQLDPSFDGAPTPLNKYRLRGPLPAVQD AIAPAPLCSIPLSTSSSNHSALPHGRILVIDTHKAHLEDDFALDELAAKTSAESSSTG NHATHQLRFTPVKKFDHHMKMTRKQSRNGYVGTSSSALPHIKSELQVEK H257_01665 MHKWLGITHSDSEDDSSSSDDDLIDATFDESKRVKAQAYADNIA ARSWGLSHRDKAGLSGGQLALTADVTVHFQEELGQQRRVWDCALVVSKFLANPLYFPP GSFNGKQIIELGCGIGVPGLSAALLGAKQVVLTDMAQAIPWIDINIAKNTFDANMTTV SSMPLMWGPDATTSIAGPFDVILCSDLIYGDTELADLLMATIRTLSHVNTLIVFAHEA RYAGNQGRYFLDSMAKSHVVTNIPFDQLDPVYRSTNIHVHLIRSR H257_01666 MEIGSRIVTKIKHRTQTSPTRQRRWPGSSKQESTASLRPQSAKL HAKFLDLTLRPEKKKRGRPTTAKHREVKFSPEYPKRGQLYNDAILQREECLATIVQGC QEGGWRPGNDGISRILPLLAPLRLLSLAVVESILRWKVAADNRAFLWDGASYLHKMTS DTNVLDPFLSLELGFPMRRNPFMSSMALDTPQLTLLVCCPHKQQRTDDVVQCIARRLH FGTLEPGDMALNTRVISAMVAIVKEDMETQLDQEVMLGQTQHKASLDLDMSTISFEPD PEATVATIPTLKQQQQQQQRQPKLSAVYSPRDPRNDSATNTSKNSSSFRKLLHPVPTT NETLGRGTSDDDDDVHNDLQRIFSLCNDVSTAASQLKRQLHVLDTATKMDAPSATIFC ALEPIPSYATSPLATPLRRRDLASPSSPIQSTPSPDIISANDDKLTSYIESLSTRIDR LRAFDVEAATDDDYKSLAIPSPVRSPHDDGTVQSPLSSTSLASPIFPKAASLARHQPY RFASLDKVESTPKPTKTLLQRTLAKHSNTICAEVPSSRSPQPIPLTPTNQRTTLVRNT SNSKRAPLTTTMTPASSSSRKLGKAIYDADSDPIKQAKSTEKATGDTYSPTSQRQPRT KQKNSAGNTRPCQHVLPDDASPRDSASSSLPTASTPREADWQQHRRKSSDHRMHCVAQ HRSAVRLQAWWRHVRWRRSRYQHRRRRQFAVQTIENTYQRHRRRRQTRQREAAASVLQ QWAIRRTALRRDWRALCHTKRRAVAGRSIQRSWRSYRTKCPCLDDEAHTTSGAGLDIL TLVQSVLLESILDRVWICIGVRSTAAATIQSQYWTFQFNQQQASVLQRHHTPVIGVAA IPTTGHERCSSTIPGSNRGFIPPLQTKSQRDLQDQYADEGFESEGSARCDTSSTTPHD TRHDAATNAVHTDVKLMVMPYFILWMTNRLRCVQYRDLERRAKRERVRLAYTTWHDQS RRIVRVRTAFCGWRDQAQFEKDKRVQTRAEFAQSAKAWKQLQRGKHPSS H257_01667 MSFDGKKICTFYLQNQCTKGASCRFEHTITSSKPRRPAACKFFR ENKCTLGTNCRFDHSLHSRGNSYSDEAASFPHSNPSLLSSSLQATAVAPVVPVAKLTS TDQRTATHSEASHPSQPKSDPGRIVKGEINGLTFQVEIVDPASIFGLTSRRRDIDEET DFSYVEPEPMVAAMAGSNSPHSSDDGTGCAAVLGYQSQLDELEAHVKALQSTATTLST SQPPPPHYEQFGNSSEYSEYSDVQPAHPPKACRFYQQGLCRYGSACIYSHSQPLDAVE ARLMQAELLESQAIECNLCMDLVLRQGERFGILSGCVHAFCLKCVRNKDNKTPIRACP VCQAEIAFVVPCNRHVQDESRRARLFVDYKANVAQIPCRLFAEGRGTCPFGVTCFYEH RLADGTLAPPTAPLLRQTSRPHLK H257_01667 MSFDGKKICTFYLQNQCTKGASCRFEHTITSSKPRRPAACKFFR ENKCTLGTNCRFDHSLHSRGNSYSDEAASFPHSNPSLLSSSLQATAVAPVVPVAKLTS TDQRTATHSEASHPSQPKSDPGRIVKGEINGLTFQVEIVDPASIFGLTSRRRDIDEET DFSYVEPEPMVAAMAGSNSPHSSDDGTGCAAVLGYQSQLDELEAHVKALQSTATTLST SQPPPPHYEQFGNSSEYSEYSDVQPAHPPKACRFYQQGLCRYGSACIYSHSQPLDAVE ARLMQAELLESQAIECNLCMDLVLRQGERFGILSGCVHAFCLKCVRNKDNKTPIRACP VCQAEIAFVVPCNRHVQDESRRARLFVDYKVYSIYTKNTLTFFGIGKRRSNSVSLVCR RPVRSSPTHPLEFPRDTNLGLWRMDCRGTCPFGVTCFYEHRLADGTLAPPTAPLLRQT SRPHLK H257_01667 MSFDGKKICTFYLQNQCTKGASCRFEHTITSSKPRRPAACKFFR ENKCTLGTNCRFDHSLHSRGNSYSDEAASFPHSNPSLLSSSLQATAVAPVVPVAKLTS TDQRTATHSEASHPSQPKSDPGRIVKGEINGLTFQVEIVDPASIFGLTSRRRDIDEET DFSYVEPEPMVAAMAGSNSPHSSDDGTGCAAVLGYQSQLDELEAHVKALQSTATTLST SQPPPPHYEQFGNSSEYSEYSDVQPAHPPKACRFYQQGLCRYGSACIYSHSQPLDAVE ARLMQAELLESQAIECNLCMDLVLRQGERFGILSGCVHAFCLKCVRNKDNKTPVRLVL SS H257_01667 MSFDGKKICTFYLQNQCTKGASCRFEHTITSSKPRRPAACKFFR ENKCTLGTNCRFDHSLHSRGNSYSDEAASFPHSNPSLLSSSLQATAVAPVVPVAKLTS TDQRTATHSEASHPSQPKSDPGRIVKGEINGLTFQVEIVDPASIFGLTSRRRDIDEET DFSYVEPEPMVAAMAGSNSPHSSDDGTGCAAVLGYQSQLDELEAHVKALQSTATTLST SQPPPPHYEQFGNSSEYSEYSDVQPAHPPKACRFYQQGLCRYGSACIYSHSQPLDAVE ARLMQAELLESQAIECNLCMDLVLRQGERFGILSGCVHAFCLKCVRNKDNKTPVRLVL SS H257_01668 MDAMKDKVSDFFNHRNNLELVLRVVQWFVAVVSFLCSTAVTGVG AGDFAFLMTYTLWVYTLLYIVLVLRQEKLSLLPLHKIFVDCGFTLSLFAAGIAVACSP MIRYCGGGGCGAAYACVVFLFVGSLTQGASVYITYTQEYRHRSSDEFASPPAAILQA H257_01669 MSLPPPSSSREALAITHRSLAPKTMTNGSLNSRRSSSQGEALDS MATQYTEVKARQQTASALLALPTCIMQNYYMWRGPKPSIPYQNMRRLLEQHWQDI H257_01670 MGCHSTLVNYPQVVAVDTLKAGPAATAVENATTKAADVVEEPLR IQRPTRLRSQLPSRLRQFQLQYGDYRDDQCGHSPFRQSDSATADTQATVTATTIAKTA GLTAPTKTTGATAAAAIPCTTTGAIVAAATVRLQPTKATPNRHPPPCAAKAATRRAAL RLTATNSTSSAVAQYDSRSLAPTITH H257_01671 MVADVIAHCHLRHQSPQDMRRALVPDSVDHDNHECFVEADALRR VLRQFGHRITLHDMNVLIRAMDVRTRGTCVSLVDILAIFDTQRHPPSEDETTPNNHPT SSRLFKADSSIY H257_01672 MVRDNMDLQERVSYIQSFTKPAGDKDDDFAAVKTPGELEDGALV AGGALDLFSRQAFGIFSNYAAIGVILGMIPSLNYPIFNIYLNLEGYQTSAYSSLVTLG WSFKVFMGMLSDCVPIFGFRRKSWMLIGWGATMVCLSIMTFSSLGDPYCSRLKAKAMN NSLACTRVYSRASPAEKELFNLGAPDQGSLFIILSMFVSLGYVTAACASDAMVTEYSQ REPDAIRGRVLTGIYTVRTIASILSQVVIGFGLNGADYGGSFSFSMAPNVPYGICLAP CVLVVLSVIFILEETKVPGTPFREWAAGFWGLLQKRVMWQICAFRFISQVLTNISSTA DSPMSSTWAKVEPINSALAGIAGNAIFAGIMVVVGKWGLHWNWRWVIATCTVCVLSLD GITTYLTIWNVVRNQWFYTGFALTENIPGGIRFVVSTYCAVEIADVGNEGATFGLVTT VNNLASPFGSMLTRYINSFFKVLQNDIREDSSQVRWDVTYTFLISYACRLSALAFLFM LPPQRGPMQELKKKGGKSKLAGIILILIFAGTLAVSVTSSIMAIYPQTRCLRIAGGNG VTDAKTGKCPVVASRKG H257_01673 MVRDNMDLQERVSYIQSFTKPAGDKDDDFAAVKTPGELEDGALV AGGALDLFSRQAFGIFSNYAAIGVILGMIPRRRTARS H257_01674 MLEDSECGPICPQGLCSSLVSFAVGPRVCGWLHLPDKSGGRSKR PLATTNDAASSSALAEGHGGEPCLAM H257_01675 MSNNTSPVTGVPVAPLTSVGGGVASANASNKLNRELLRWLQSLD LAYSVKNIKRDFSNGFLIAEILSRYYDKDISMHSFDNGIGLKVKKDNWDQLLKFMQKI PDFDPVGGKSSADGVMHCENGAAVTYLGKLYQCLTKRELQTVQPRPVEEDIPPYAKPT GSTLIREKMRGPDFAETSDELQIGQKARTVNARHEETLQLERLTDGGGPTSSSEPAKM VRPRKQKLVGEESPVCTHAVVKEVQIKTIDDKNFNLAQLRAMREANASMLPSSGQAEF GYGVDQLDLDGIPDKAGSVKRRVSDLLNEYISRKLTGTAVLSQMDGRKDRFEGFLDAL WTGGIINDHDAAGVLEQVVDPGGVLTGALMESPKDFAKFAGLLHPCLNDQADDNPIFL ATVKLYTTLGSQAVKRDANAAALLLSDYALPRLAAFLTAWPSKRHALLRIVYSFSAPK VVAHIQVIKRLREALPVMEVFIDCISLLLGLETELDDTLADLYYYYCCMGLDLPNEKL RAACLSMLPRFLAWQPALTVDLVLRVSAFSTCHAWWEVKAQLIIVASAVLTHLATQPP CDQLDYALTILQREVTPHVPVSLRRLGIAHMGKLLWHFQELVPTYVDVLRSLPLHVLQ EAVSIPNEEFVGTLPLQGGSGALYHLPVVRTVWDSSAIAKQVYLDCNDASTDEDAHHR HHPQSMTILQVCFDQIAREQAPEHVNELFAWTQSMIVAGLDHDHSCELGVAILSTVAF YSSPSINVLDNPAMDHALARLCANDREASHRVDIVAQFLHQLHVSNHASAVKATLAKL KGSVRSFKDSGFAIAVQDLLEG H257_01675 MSNNTSPVTGVPVAPLTSVGGGVASANASNKLNRELLRWLQSLD LAYSVKNIKRDFSNGFLIAEILSRYYDKDISMHSFDNGIGLKVKKDNWDQLLKFMQKI PDFDPVGGKSSADGVMHCENGAAVTYLGKLYQCLTKRELQTVQPRPVEEDIPPYAKPT GSTLIREKMRGPDFAETSDELQIGQKARTVNARHEETLQLERLTDGGGPTSSSEPAKM VRPRKQKLVGEESPVCTHAVVKEVQIKTIDDKNFNLAQLRAMREANASMLPSSGQAEF GYGVDQLDLDGIPDKAGSVKRRVSDLLNEYISRKLTGTAVLSQMDGRKDRFEGFLDAL WTGGIINDHDAAGVLEQVVDPGGVLTGALMESPKDFAKFAGLLHPCLNDQADDNPIFL ATVKLYTTLGSQAVKRDANAAALLLSDYALPRLAAFLTAWPSKRHALLRIVYSFSAPK VVAHIQVIKRLREALPVMEVFIDCISLLLGLETELDDTLADLYYYYCCMGLDLPNEKL RAACLSMLPRFLAWQPALTVDLVLRVSAFSTCHAWWEVKAQLIIVASAVLTHLATQPP CDQLDYALTILQREVTPHVPVSLRRLGIAHMGKLLWHFQELVPTYVDVLRSLPLHVLQ EAVSIPNEEFVGTLPLQGGSGALYHLPVVRTVWDSSAIAKQVYLDCNDASTDEDAHHR HHPQSMTILQVCFDQIAREQAPEHVNELFAWTQSMIVAGLDHDHSCELGVAILSTVAF YSSPSINVLDNPAMDHALARLCANDREASHRVDIVAQVRRQEYGPGLALTTL H257_01675 MSNNTSPVTGVPVAPLTSVGGGVASANASNKLNRELLRWLQSLD LAYSVKNIKRDFSNGFLIAEILSRYYDKDISMHSFDNGIGLKVKKDNWDQLLKFMQKI PDFDPVGGKSSADGVMHCENGAAVTYLGKLYQCLTKRELQTVQPRPVEEDIPPYAKPT GSTLIREKMRGPDFAETSDELQIGQKARTVNARHEETLQLERLTDGGGPTSSSEPAKM VRPRKQKLVGEESPVCTHAVVKEVQIKTIDDKNFNLAQLRAMREANASMLPSSGQAEF GYGVDQLDLDGIPDKAGSVKRRVSDLLNEYISRKLTGTAVLSQMDGRKDRFEGFLDAL WTGGIINDHDAAGVLEQVVDPGGVLTGALMESPKDFAKFAGLLHPCLNDQADDNPIFL ATVKLYTTLGSQAVKRDANAAALLLSDYALPRLAAFLTAWPSKRHALLRIVYSFSAPK VVAHIQVIKRLREALPVMEVFIDCISLLLGLETELDDTLADLYYYYCCMGLDLPNEKL RAACLSMLPRFLAWQPALTVDLVLRVSAFSTCHAWWEVKAQLIIVASAVLTHLATQPP CDQLDYALTILQREVTPHVPVSLRRLGIAHMGKLLWHFQELVPTYVDVLRSLPLHVLQ EAVSIPNEEFVGTLPLQGGSGALYHLPVVRTVWDSSAIAKQVYLDCNDASTDEDAHHR HHPQSMTILQVCFDQIAREQAPEHVNELFAWTQSMIVAGLDHDHSCELGVRTLCYTEL KRDTLDVFYIVIGGYSVHRRLLLVAIDQRPGQPCHGPRVGSTLCKRSRGIP H257_01676 MTTTARTAGGGDGKSKVCAVCAYDATTVNCHECSLVYCTSCFDR LHLHIPAVQHHQKAMVEIATDETTMSLQTPTTVQPHASASTPLANEPNHSLRAPFFKP HPLHIPPPAATTPDRGWNGDVADEDHPRKKLRGLHDSTAPSFLPLQNSGGSARTRNLP PPTASSSSTSSSPSSSTYAFKKSPTSQGIQPPPSVTPSIPPSLSTFRPIQPSPTSTMA PPPPVAHLHQSPSQQSPTTFLTPSNVPSSSAPATYSPVDDDGSNALEDLFFDRFNGVN EQVDTLETQLADFVRMLSMSSAAGAAASRIQVTRQNLQVMYDEREQALAKVVVHSPLL LQRTSALLAANLQNMPELWARAYQKLKLMATHLKSAQDNLVTLQFHVTELESLDKSPS DKLCTLQQTIQNTHQYVANLRRNRQDECVALVSFSAPLRVRVADELNEQRRKGLLS H257_01677 MPSFWTKWSTHEMAWILWISLSSPLPSVVTTALQKLPQTHWTSS LSVFLPNSITMAVTNSRSVRVPWQASLARPLIPVRSDGDLTTSKSLNLSRPASINAPD LRRNQLDRRGLDGGDIEDSSCEMVR H257_01678 MSSSSMLASPCWRRRRRCTNTNATMPHTTTAARTEPSVVNKDEF DDGDALKVTMDSGAVDGDAGESCTLVGGAVSNLPFSRGPNGVKVSTGVAGTVLIPIEP PVERPSLGVDPDVGEARTELGVTMGMLFDDEAGGGDVGGSSDGTSVG H257_01679 MDMSVENCFFFPQAPARVVFSIGSHDTAVESLSLALHPGAMPSA HANVASISVISHLGTELELQGHVDGSLHLLHYQDRRVLLRYDLSKNTDPITQVSLHVA ESRLEIVVLLRSGKLLSVEGLCVDDVLLQSPPVPMSTLFSKVRLRVGAVGPCRNDQPS LMQIRRTATSTDIIVGNHGQSCISRWISQTSTNPDEKALHTFTEQAIVSHSAFPLLQQ LHVGNSGDVVVAVSRHSIAWWDASSSMEFLYEHSVSPQVIQSSACFAHDPWKVAISTT PGPQSSCTFVDVSIVSVTFKGPRELVATVSIKTCGSFPYMSAFLFSDGVDGLVVGAFT RRNAAIFRINVGPDHDLPCPSTAAAADGFHATTLSTTAALDDLFQRAQQDHVHLSSIS RDEFQTTLWAALDANPDLVTPCLAQTFLQTKYLDWLMQDLSEWTPDDAAVSAQHARWI SFQLMSNSTEFSAPLWHEFRTANLVTILHLLLSQGAMGRLQILWRRHVCLQLVDAFSV QLLPLDVPAATVTHWIRHEVLPVHQYFNVPVDKLALGIVERAKVMATEGDIEGALLWT TVVCPQLLPPERLWKQSKAHEHDPARQVHIQLQQLVYLGKQFDFYVSFQALQSASVPG LAMAMLDRVQVADMLPTELANHVEPFLAITSKSALDDILVDYVMEKAAEIPFDEARCC ILLDKIVSMEKRAKSALAVLQSIPLPYQRSMLDLAVHAASWPSAYQMELQEQLRLMQL EELFGKYGLKYDIHDVWLPARFCRYLCTQVDNPDAFPDALNLAQASHQLRRERVVVQY MENFLLAPPTHDGTRHPSQLLESIEVLAAPLKWTVAVEVVQFGLLYLDTIPHMGQALL SFVSSLLAQSPSHRGFERVVNTTLQLALRQVHQLRTLYDVPLSMEAFQARSQHPQILL PLLQPWLDALRHQPAPSLKRKRHIPTVAVVVSRHMTIEGTNALTRTQQCSLLLGMPTG EFRAFLGVQAASIGDVDQALRFARNTNATAMKQVAVALLKYMVVSSQISHRRLRLARD LLVNCVTHESCTATMDQDVTLLKQVALLCSIHDHTTDQSDARDELFQTYLPWRIYEHW YREAAVTLKSSVLPLAMTYAMSQQHPAATTESIALSAKQLISYLVDVQAPQLALSVLL SAPVIPDDAVEVLAQQQDQMLSHILYAHHIDRDLALGYMLSMDQQTAFSALNKRLVRE NVYNDFARLQQLAQLGSQVARTWQQIGFLHICTELEINSKWWHHLNLLGITCDHKAFR SDRRDHKAIQDVVPLLLDATSLDLYCALEFTRQYNIPDSVPCLLYVKALLLTSSDYKS QIVGVLEDVHEHELIPLLLSVLPKLSGTDYDRLLFVFNLLQKSAYTEQDEVQNRVQVL HFLQHYTPQLCFHAIMSDPWSVLASQLTATTVGQLVSLCTPLDIDADEMYMRLIKNMI QGDPSSLSFDSFRGILSKFSQVDHKITTAEWLSKKIPRSSFAISAVQFALDMSQQPNH PKTQRLQQTLVQLQTTECWNAMSQEFDGAALPEPQDSPKKLIELVYFKYGQQAWTTQT SVVHDTALKIATLNDADLATIQHEIRWKWLSARHTKPPTQQTVWNYLTDDDEDARLGR LLYISWTGDPNVLHELVKYACDPVPRAGLTYRVKYRALQIVQQIADTFQLETETLIPD SMAVPLSELKQSCRLLVMFEELQHPHSLASFVKSDKESLVRGLWREHYHDPAVVILIS ELMVSYAIANDSLWHRVLQQMVSLSMFPTLFHLLRPLIRQFPSVDLTPFFEQTIRWPL QHMDKDIVPANVECILEEIVFLIQQCPFIQSLNVVDIVQLLHTMSTQFEMFSQYAVQS AFGIPQVAARTALLCHLCDTNHAYVVPIFEYLANLSPLDVVDADFFASYIVSHPQAQQ TLVQSVYGQRYCEWIARRPDTSAMDHALAFLLEHRRFDDANDLIARHFRQFPHKQQHG DAPTIVQCYLGQTTSPVLARFQNGASLDDQGGL H257_01680 MDDTYESWGQRADEFENGTVCIGIDLGTTNSCVGYWKEGANHAK IINHTATDGKHKTVPSVVSFPSQGNPFVGFDAVEHEQKYEPDTVTIRSAKRLMGKTLA EMADEQKYLSYTLCDADGHVAIDLPSKESIATPSEVSAILLKYIKDTAEDKLGHQVFN CVLTVPAYFNESQRQSTLKAATMAGFQGIRLLNEPTAAAMAYGLFIAGKKRVAIFDFG GGTLDVSILSIDSGTFAVDGVGGDTHLGGEDINHLLVDFMLDSISQEQNGGKPLPLPL TRTAIIQLQQAAEHAKVTLSTQKDVSIYLKDVGGIKAHKMKLSRAKLESLCAPLLTRC VDITRDVLASIGLTPQDIDEVVLVGGSTRIPALRTKLSDLFDGKVLCTSVNADEVVAS GAAIQAAILSGVDKRVFQDVLMMDVIPLSIGIEMADGRMEVLIPKNSRIPISVTKSFD TYEDHQKGITVEVFEGDHPVARDNVYLTYFNFTLPRHKLGKAGEFQHAVTLSMNENGV LQVKAGAQHEIDENEAAVSKKWIVVLGVYMACMAAMYVFVRVHFSTDRLAYTDQYSAV NNDDL H257_01681 MQSPIASPVTGHQPPAAFEKGYSDASDVALGQTRRVLTTAQVVA LCFFSVCGGAMGSEGVFGAGGPALGLIALAIFPFAWCIPISLILAELCTMYPENGGYT LWVFHAFGPFWGFQEGFWAWLSGAIDNALYPSLAVTCLSKYIPELADNTVGTWFLKGA FALVFALPNMFGVQLVGRGMVVLTAVVTLPFLIFSIWGFATADDWGALGQFRYADTEL SNSTADYISTGGLAVQWDVLMGTIFWSFNGFASVSTFAGEVANPSKTYPTALAITIVF VELTYLIPLVAGTVFNEPLWHTWTEISFSDLGFAVGGNALLALITVATMASTWGMYSS EMFWVSFQLTGMAEAGLAPTVFSRRSKKSDVPYVSVALSGAIIVVLMGLDFSEVLLMA NVLSSMSQILLIASAIKLRVTQPDVLRPYRVPGGMTLLVAISILPVAVCGYLVYSTFA LESTITPVVLVPTVVVVGFVYAWLMKLTPKQFIAPKPAGPLFELE H257_01682 MYRENSTPTQSCHAALISSDPKSTLPTNGGSHSIAVHRRVMTVY AVAALCFFNVSGGPIGSEPVFAAGGPAVGLVALCLFPFAWCIPMALVTAELSTAFPEN GGFTVWVHHAFGPFWAFQEGLWFWLSGVIDAALYPSLAVTCVSKFIPELDALSATETW MAKAAMASLFALPNLLGIQLVGRGMMLLSMVVTLPFVVFSMAGFSNAASDWTVLTQVR HADTPWNATAFVESSGQEIAIQWKLLLTTVFWNCNGFANVSTFAGEVADPGKAYPRAL LLTLLALELSYLVPLSAGAVFNRPPWWSWTEISFSDLAHSLGGNGLLSLMTIATLASN WGQFTSEMFCVSFQLTGMAESGLAPSIFAARAAASDVPYVSVAVSHSLVLLLIQFDLD DVMTTANVISAMYQVLLLAAAVKLRVSMPHVHRPYRVPGSIHVLIALTVLPLCVASYL IYSPLEDILNSPGTSASNFVVPVVLVAGGACAYALNVPSKEFGNSKDATAEESQRLA H257_01682 MTVYAVAALCFFNVSGGPIGSEPVFAAGGPAVGLVALCLFPFAW CIPMALVTAELSTAFPENGGFTVWVHHAFGPFWAFQEGLWFWLSGVIDAALYPSLAVT CVSKFIPELDALSATETWMAKAAMASLFALPNLLGIQLVGRGMMLLSMVVTLPFVVFS MAGFSNAASDWTVLTQVRHADTPWNATAFVESSGQEIAIQWKLLLTTVFWNCNGFANV STFAGEVADPGKAYPRALLLTLLALELSYLVPLSAGAVFNRPPWWSWTEISFSDLAHS LGGNGLLSLMTIATLASNWGQFTSEMFCVSFQLTGMAESGLAPSIFAARAAASDVPYV SVAVSHSLVLLLIQFDLDDVMTTANVISAMYQVLLLAAAVKLRVSMPHVHRPYRVPGS IHVLIALTVLPLCVASYLIYSPLEDILNSPGTSASNFVVPVVLVAGGACAYALNVPSK EFGNSKDATAEESQRLA H257_01683 MSSMRSVLSTIGSLSDYEEVRQLGSGTYGDLFVCNRKRDNEIVC VKHIELGHLTTEQKAACWNEVHVCERLVHPNIIAYHSAFLDRKGTLLAIEMEYCDGSD LAAWLYNHQHDIDQSVALPLFVQIALALHHMHGCHVLHRDLKPKNVFVFENGRVVVGD FGISKCLDLSNGFAQTLVGSPAYMCPEIFEGQPYHFKADVWALGCILYELLTGRCPFK ASSYPALVTRITSGVFDPLPLSSRPSLARLVSSMLSLRPDDRPSIREILQSPGLQRYV QQYVERAHEYFNVDAQGEIARGALRSQQHELLAKGSVASQHAVHPTTGPEIDVKTLVK SKNIATADSTLDAKAIVQLRAVQTAQRYKEKPGGKIAMDVPRCDIHLHQFDANLPSNL HFQPPPAPPLQNPTWKSRQSLKVTPRGSPPKSPHHRTKTMRGVSPPPPPVVGIIRPPQ LTFHGLVAQRKGAAMEVVGTQLKSATKSQALK H257_01683 MSSMRSVLSTIGSLSDYEEVRQLGSGTYGDLFVCNRKRDNEIVC VKHIELGHLTTEQKAACWNEVHVCERLVHPNIIAYHSAFLDRKGTLLAIEMEYCDGSD LAAWLYNHQHDIDQSVALPLFVQIALALHHMHGCHVLHRDLKPKNVFVFENGRVVVGD FGISKCLDLSNGFAQTLVGSPAYMCPEIFEGQPYHFKADVWALGCILYELLTGRCPFK ASSYPALVTRITSGVFDPLPLSSRPSLARLVSSMLSLRPDDRPSIREILQSPGLQRYV QQYVERAHEYFNVDAQGEIARGALRSQQHELLAKGSVASQHAVHPTTGPEIDVKTLVK SKNIATADSTLDAKAIVQLRAVQTAQRYKEKPGGKIAMDVPRCDIHVRSIQIVFGAFT WCIDSCTNSTPTCLQTCIFSPHPHHLFKTQLGNLDSR H257_01684 MECIARWGAWSRTGTILVHKYTRRGAGHPTREATFGTSAATPST SWRRCATATCRGSEQRDQRLVESIRHTLVNRTRRLRPPRLRGCLAGCKHLRMSYGGMW RTSRRNEVRR H257_01685 MSCLHRTVRRHPSLLSKTHVAFFSSYLDRPTSFPLKKVKVVLLE NIHPRAIEIFENEGYTIETYKGALSGQELIDVAGDANILGIRSKTQLDRSFFESIGWH NHRLWAVGCFCIGTNQVDLAGAAKYGVPVFNAPFSNTRSVAEKTLCEIIALQRKLFER STDLHTGLWKKSATGAHEVRGTTLGIIGYGRIGSQVSVLAELLGMKVVFYDPLKCLPL GNATQLDSLQELLQVADGVTLHVPATSDTKNMINADTIQLMKPGSFVINNARGTVVDL HALADALKSGHLSGAAVDVFPVEPAKNGESFSTPLQGLPNVILTPHIGGSTEEAQGNI AVEVASKLVRFLNEGTSTTATNIPEVDLGVPVSGNIRILHMHHNVPGVLSKIHAVLSD YGINVSAQFLQSNSQHSYIILEVEPFHAKLITRELKKIKETIFLRALL H257_01685 MSCLHRTVRRHPSLLSKTHVAFFSSYLDRPTSFPLKKVKVVLLE NIHPRAIEIFENEGYTIETYKGALSGQELIDVAGDANILGIRSKTQLDRSFFESIGWH NHRLWAVGCFCIGTNQVDLAGAAKYGVPVFNAPFSNTRSVAEKTLCEIIALQRKLFER STDLHTGLWKKSATGAHEVRGTTLGIIGYGRIGSQVSVLAELLGMKVVFYDPLKCLPL GNATQLDSLQELLQVADGVTLHVPATSDTKNMINADTIQLMKPGSFVINNARGTVVDL HALADALKSGHLSGAAVDVFPVEPAKNGESFSTPLQGLPNVILTPHIGGSTEEAQGNI AVEVASKLVPICIYYP H257_01686 MLAVGRQHEQIITKRESSNSGDGGDEVISAHSDHRLRDDDHSDV ECKGCRSLLTENQLLRELLLRTSPDAQQIIHAFDKRLDNQRQRLEVVGGLKIKSPVNS SHTIKVKPTGALSQPITPDVFRKLSHSAALELAKVHPSYKELYDLAANPNGSLFERVL SSDAFTEEQKRKQVQLALLRQKELNDLDTQPRIAVKSPKAREGSHISTSPRHGASSPQ HATSPRNSLDSPGDNYFKLHNNVALHKN H257_01687 MLQDDRIVVALMVVSAFFGCLSFLVVCGLRVHMVCASKQVLATN KTALLHLPPAALSPWELRPPTSANSHAIV H257_01688 MAKQSPPPTVLRGWVWTQHALWREDVKCNVRMVDTVFFDPQTNR PSRWLFASKHGVISKKKDDHLALESIRDRFLRLSVHPRNPQKFVAHCLYRNGNRKLVN AAQFDDIISALGSPRGGAKPPCMLGLQSFVVPQGDPPLTLVATFKDGQCVVSAILQDS SDSIDNTVVSPRLRKEVEHATLEIVQFLQATAHIVVAKLTAEFVVDDEHALWLVHIPN TAIHSEVGSDDSMSQQMLQQTQSLPTLKLTTDGGPKCRGDFCAVPVNALPGLFPLSPP SPALLDDTRERFKLGNHHILLGRMGMKYMQVRPSDDLATEWAAVDSSQRSELGRTNPG NFYKLVHVCPNCNRTYTHIQALRETQFQHLNLDTLDDDRHHDVVGGEQQRPSSTKKNS KAIAKKTKFKGGPPLLGPNNNFKPSDELMRFMGVPPQDTSPAAPSLDLVQDQQHEAEF LAELAKHSTPQTQLRHSLPPEAEDDSSMPLPAIIAKPSQRRSTKKAPSKRDKSEASNN NNQPVLAQFEHEWNQMDHANRSLMQENQALRDKLQQLDSQMATDSRRWQQELSTALES NSVLEKQSKQVGKRMASMQKEFADAVAEKDELLRRQLVDAEHKFNQQLLERLATSGNG VAPEKASNKNGGGGDQLSLIETIEQLSAQLDNEQREHEQDAYKVQAQHQQDLARVYDR HKMETEALRISMRQGVDAMEELKTQLFACQNQLQVAQSQTKQVKATLHDVQHQKIELE EQMANLEKKLQTVTSSEAKAATPSDSSVEKLQHKIDYLKAQLASEIRCKEELGSNVAT LTVNIDALKKDRKKLLADADESHRKMLERVEERHRQELEMVNSQNASLQGKLVQLQAN VTDLVGDLSTARNKEDNAKLTTEKLALEGVRLHTRIAELELQNEELQESVNGTKSSMD DAARANLEATLRRLTHERQYLKNQMDGECRSKEEAEAKCKDLQAQLLTVQTEWKQEVA ALKLATKEREAALQGQLAKTEESALVVHGELTSTKHQLNETKLALFKTREQGHSDQTA LESCRADLTHMKAMLITAKEELVKERERGRVASDRQTKSVASIKSSLIQVEADKAQAI AALQTEIQAALAQLATSHATVGRLEQASIVQARHHARALALLRVASSTSTRESLRLQR QWWQWVVHCQTLRTLHQQGVKMADTLKTREAVWYQRLEATCSDLNTKSQADKTAAMAE LNELHTVALANIRTHLEQQRVDAVSMMESQLRTEHDDAVRVMTAQHGDEIDRLEAQHA HTIQLLQEAAHANDLRSEAALADAQRASEQRERQLRTDSTNELTQTMRDMEVANLSEL QRMRNEAQANMRQVQDRHADELADVAAKAGAELRDRLCQATERQHMIANERDSVWVAQ CRQHVQTQSNELAASHREAMYVLTSQHAQEVADVAQHWTTRLGDERTAHAAALQELRQ VLEGKVAAEVESCRRDMLEQKGTAVMTTTAKWQRALADTNARLEVEKKVAYDKGVADR EAEWQRAALLIKAAQKEEMALLECDSKEALKVCEEKFQLALATKTAQLQQACDNAIAA HKKTAQEALDEAVASTRDAVERTTAKAVEDEWREKLLAQKVALEEALQQACNEVEARV LQTSVEQHHVALKQWEEAKAAELAKVQSTLRGQFAQQTHDSEMALRREKEIAVQAVND QWAMKLDAELEVQRNEAQVQLQAEIHACAESAAKQHEEQMALVQEESEKLIEKVESAM LQLKKQKESTEQELTSVQQALEEAEDASFDLQEELATVKKQHVFRHVMLVHSGMRKLQ HLEDEVDSKDLEKRTQVAEWQQKLEATTKAMNERLDNAQAASGKLEQVYGNVYDTLVN YKRDQLVAHRSASNVVTSELSVLQAQIAEVVKTKSEGEDEVQKALAELGSLEEEIGAI QLMKDGHVNQAQVARKRRMHQEMEAMLEGIETKRTRVRTIETKQQELQSLHKQKEDEM KGLERQLVQILVEQQKQLLTLVTSVKTTSSSDRSSSVPA H257_01689 MESTPTSARDRASSTFGMILSSGVAVTYHPPRGEPLRGILSLNN EILRWRSKELFPFFQDKEAIVFVSSVTSVRPGRQTTTFLRTSKYIKPLELMASDDKCI SLMYTVSTTTTTDDDAPSTTTTTATHSFDIEFKSPLLCEEALLYLTHAKHIPSETVDA AAVPLPVGRSMLCQRLYSHPTFGHVILACIVINIVTMSMESPVEPDKSFLTTMYALDT VFAIIFTAEQVIKVIAVEGIRPLFHDNWDIADFLIISTTWLACIPTFSAWNMSAFRSF RALRSLRFFKGLSEFFDTFLKTLPMVVNALSCFGYFLFLFTVLGMYLFSEALTYRCAV EGVDGSVMEVIPTTYCRPGDDSICLVGHQCHPMLSPNGGYTGFHSFEASFLTVFMISS RAGFGSSFDATVQTKSSFSVLYFLALIIFVSFMIPSLFIAIVRNCFTSVAVKHPSAYK SELQLKMNIYKLKRRPQLPPATTHVPNLVQYATKRVVHAVKGFLDATSHQTHSLSTQD GNNMSSTTTSTADDRSTGSFGTSSSALGDDSPPTPRSVRVVNENAVMVFFIFPSDGRV VRWLEFMCRSATFELIVNFVVLLNALLLTMEQTSTHDPPDWYEDRVVLVDHSFTLIFS AEVFGRLLADHGLWPFLQDPWNRFDFVIVCGALLNFVAKSRSVYAPAQERVAFIFRMF RFLRPFRMLHTQNPLLKIVEAILSSLASLFDLVLFMLLGNVVFAILGMNLYGGKFPPG RTHFDTFGDSMLTLFKISSGHGTWGIFYDALHTTSGAIATAYFLSYTVFSVYITLNFM IVILLKKFSVTEDEKRKQLCEQFKQSLDQAMAAYPATDEGAFVHEFVKVFPAEIMHVT LPQLSSSPIGKPKVVQAHTVTSPSTSSSPRQKQSSNWTSILPRPTGKPKTGSSVLPFT SSMLRKATAILNPYEPVGLDSPSSATTPSDPPPSPPSTSHVSQGSWLYNDVSLFVFGA DSDIRKTCTELESKTETFIWVCIVIRSALITLQSPLYSNTIQQFTNLIEWLFMLVLLF EFTIKIVGKGFAFTPHAYLNDPWNQMNVVVLLACMLLLLIPHSDLTEYFHLGRAFGPV RVIRGMKSFSVIMNALMSSLHQVLWCVVITCFGFVVFALLGQQLFAGKFESCNDVSSS AGIVTYADCVGVFVHPVTNTLMPRVWGHVKGMHFDSFGGALGTLFSVVSKKAWIGVMY TAMDIVGEGIQPRQDASKYFAFFFVAFVFLSRFYMLRVFAGVIVNNFRAHNGTILLSN RQLVWLRNKRRIAALHPQYPAPSTTSMKVLYAVVKHPTFKALSSIAVLTHVTILALSD ANSPKIWLAHHFFTVVYGSEAALTVMSMGIREFLTRGWSSEGLNACLVICMLMGPHMT SSSSVLVLGVLRAFDFNHLTLVLEPFPNFRGLSSLFQTILESTRAMVKLTLLLGYVMF IYANLGMQLFSLTKWGVGLDGNLNFSTFPRAFAAFIKFAAGEDWSDAYRACSVAPPNC VYRIGTEKSDCGSKGLSTIFYYSYFVLVFLILQNFFVAVVLDTYVSTSAMLSESDSLN KVGFNMNHLQAFRATWSHYDVQALGYMSRRKLGRFLKELSPPLGLNSGRDATDPPDKR FMDVDGMAMYVEVMARLDELTHRRHLLRGDRYEDKMIRFKDLLLILTQRTVPCEGLTI SEKVIELSTRHYIDRYRAALKIQKTFRGSLVATRKRRGRSAAASSGCSESNDRSFYYY KHVAQLLVE H257_01690 MGRFWLVVGPLLTVALAVHAEEAKLDTADTSNAIGSLRDDAQND VEQWVGVDDDDDVAPFVDADATTIDLVVNPINDEDPVSSINDPADPAPAEATAASPSG GVAVIAHLDIDDTTVSAGIEHSCAIHSVSTVDFGGKVVCWGDNTFGQSSPPDVEFIQV SSGRFHTCGVTLDETVECWGDANHAQSPAGLFVQVSCGDFHSCGVLKDGTLSCWGADY DGQLSVPQGRFVQVSCGKGHSCALATDGTVSCWGANRLGQSAAPRDVKFLQVSAAPGD FSCGVTVANAVRCWGDNHRKQGSPPDVSFALVSTSRLSACGIQAGDKTVVCWGMTEGV TNVPKGVAFDELTLGWDHGCGILSRTGRVQCWGHNSNGRLDVPAKLYG H257_01690 MGRFWLVVGPLLTVALAVHAEEAKLDTADTSNAIGSLRDDAQND VEQWVGVDDDDDVAPFVDADATTIDLVVNPINDEDPVSSINDPADPAPAEATAASPSG GVAVIAHLDIDDTTVSAGIEHSCAIHSVSTVDFGGKVVCWGDNTFGQSSPPDVEFIQV SSGRFHTCGVTLDETVECWGDANHAQSPAGLFVQVSCGDFHSCGVLKDGTLSCWGADY DGQLSVPQGRFVQVSCGKGHSCALATDGTVSCWGANRLGQSAAPRDVKFLQVSAAPGD FSCGVTVANAVRCWGDNHRKQGSPPDVSFALVSTSRLSACGIQVSSSLTVAMVDGTLC LDCTGGFLEQVVDVVLPTPIF H257_01691 MPKHQSLTTGGGTTTAHQKLHALYQGVLQTSPILTILAAGITWP KVMELMTVKHFTMGHTVWRAGQVPTDMGFVLEGQFELQTVDDNNASGSAITKVCPRGS CIAGHATVTHTPLNASVVATKYKSTLMTLSRSKFATVLHQLEASRAEQLQHALLTAYQ EEMRALYPPTAVTPLTNPRLPPAPVVAVSPQPPPTTVPVEYESSSGTLVLHEPIRSRR GSLKPRLHPICVQARANMVRRLEQLHIPEQYQPGDSTTLVRKPFGHHNAGTVTKEKPM GRLLRPLDKQRDPHIIHAEREFACGSSSPSNLYDSSLTLMQSTPNIPQQTGHHRPLPP VNKPHIRIDQLSVQQHTTALGPVIPPTSMPPLMNPLQRQCTVPSPQSPA H257_01692 MGDHFATNGFHGGHRRQFHSQHGSGLGDMHLGINPKVLSFANEL VRVKGQQVLHWLLLRRRERLEVLHVLRAIARDGVDFGRGSLKFHHEVDVILRRKGLLQ LGGNLGAHGRRSGAVVRGGGANDEVHERDDG H257_01693 MHVTTALVLALATFSHTVTCTERGNPKLSPELQLAFETISYVDI VVDMEAPTEQVEAIPGEATQSFVHKLQAFTEAQQKPVKDLLALHRTLFRGTPTFFWIT NSIAIPQASPDLVSELAVVDGVKTIRVPDTAHIEGGGID H257_01694 MLAIRRLAIHASKQGPPLRHMSALSYSQLSEEHQMLRESVRSFA DKELAPNAGKWDRDHEYPAAAVKAMADMGLMGVFVSDTHGGTGLDYLAYAIAMEEISR GCASTGVIMSVNNSLYCAPVDKYATEEQKATHLAPFASGEKLGCFGLSEPGNGSDAGA AATTARKSDSSYILNGTKMWITNAHEADQAIIFATTDKSKGHKGISAFLVDMKLIALG KKEDKLGIRASSTAQLILENVEIPHANLLGKEGEGFKIAMTTLDGGRIGIASQALGIA QASLDCAIAYAHDRKTFGQPLAKNPIIQTKLARMAMELDAARLLTWRACELKDAGVEF SKEAAMAKLKASEVATYNSHQAIQILGGMGYVSDMPAERHYRDARITEIYEGTSEIQH LVIAGALNKEYAKSH H257_01695 MPDKDKSQRIRQDWNKSVPWAYLDPVHQNIPLATRDAHLRPPPP RISKGHDIFIGISNYRDIRRCGFTLFTAFSRAKHPERVTIGVVDQTQDDDTTCLEEYC KLAEASVWKECKFKSQVRIDARDSRTSKGPTLARWQQQQLIENEEFCLNIDAHNQFLP NWDAEIVDEWLRTENEMAVLTTYPMGYDFMGPDLTHQSHYSSHLCRYLDRDSAFNVPI IRGMILIDNSEAPQMSTLWGGGLSFSKCHAERRAPYDKHMNWVFWGEEYLRSMQLWTR GYDFYSPSRHGHVVFHNWSNDKGRMKRFWDNVTQVMTKEQHDNEEQLAYNRLRMVLTL PFDGPVDAHEIDKYHGGKVRSIEQFLQFSGISNVDSKLDEARCEQLHWVPYAVPEIIE EFLSGWVMRDGRSSESNARVNDRAMVNETWSRMEKELLLRVQQKLDTMTKPRAVVNET WRRMEKELLLRVQQKLDTMTKPRAVMNETWRRMEKELLLRVQQKLDTMTKPIAETSQL VRAMQHQLSEWNRPHEVLWPLALVWLGLISVWLVYKGRRASSYATAPTTKAYQ H257_01696 MTSIIRHKKKTPRFKAKSNYVKPFLTPANIEARLRYAMSFVRPL SNRRHSFSNMLDFVHVDEKWFNLTKVKRRYYAYDDEEVAARSVKSKHYITKLMFPLRP SLQDVFGRQGWCVTLRSSVGGVAWKQEPPQGHPCHCSSSRGHFLVVCGAVTCSSNKTT PALTVALRQSCFKPKVCVGLL H257_01697 MDELQDAIQDAQYIGAMADPRPRPTVPFHQPAPQELTDFTDNFA SHHWPTMESYLSQPLGLYLFRRYCEAEAHGIEKLFFLQDVYAYRRIPQHNRRVLKAKA IYATYINPQQSATISSVTQPPPHHTTPQAAGASSAAATATTPARTHPLLASTKNPGET NYAWKRESSISMTTAKELYCTFKCEAALLGVRGDLTEEIGKQLEDVNLQSGTSMLPLT LFDELEACVVSALEHHQMEGFKASLFFKRFMHFLYIQQREIGEDDFSLLRVLGRGGFG MVNGAIKRSTGKLYAMKAMNKRIIKKKHAEKLCLAERAILTMLSSPFVVCLKYAFQTK EDLFLVLDLRTGGDLSFHLNRGRFTEEQVMYWSAQILLGLQHLHEKNIVYRDLKPENI LLDDKGNCSISDLGLAVEVTPSLCGRCGTRGYWAPEMLLRDEAGNRLCYNQAVDWWSY GCVVYEMLYGKCPFRTSKAKALHADKQKAYDMATLELTPSYDAKYFSPEATDLLQKLL VRDPTKRLGARGADEVKKMKFFENVDWVEMENMAVPPPFVPERDINAASQADIGFFDP SVIQGVKLSDTDQDMYKDWLFCSATAFQHEIVEFMEWEVKQGPITLVTHTNTCCNVS H257_01697 MDELQDAIQDAQYIGAMADPRPRPTVPFHQPAPQELTDFTDNFA SHHWPTMESYLSQPLGLYLFRRYCEAEAHGIEKLFFLQDVYAYRRIPQHNRRVLKAKA IYATYINPQQSATISSVTQPPPHHTTPQAAGASSAAATATTPARTHPLLASTKNPGET NYAWKRESSISMTTAKELYCTFKCEAALLGVRGDLTEEIGKQLEDVNLQSGTSMLPLT LFDELEACVVSALEHHQMEGFKASLFFKRFMHFLYIQQREIGEDDFSLLRVLGRGGFG MVNGAIKRSTGKLYAMKAMNKRIIKKKHAEKLCLAERAILTMLSSPFVVCLKYAFQTK EDLFLVLDLRTGGDLSFHLNRGRFTEEQVMYWSAQILLGLQHLHEKNIVYRDLKPENI LLDDKGNCSISDLGLAVEVTPSLCGRCGTRGYWAPEMLLRDEAGNRLCYNQAVDWWSY GCVVYEMLYGKCPFRTSKAKALHADKQKAYDMATLELTPSYDAKYFSPEATDLLQKLL VRDPTKRLGARGADEVKKMKFFENVDWVEMENMAVPPPFVPERDINAASQADIGFFDP SVIQGVKLSDTDQDMYKDWLFCSATAFQHEIVEFMEWEVKQGPITLVTHTNTCCNVS H257_01697 MDELQDAIQDAQYIGAMADPRPRPTVPFHQPAPQELTDFTDNFA SHHWPTMESYLSQPLGLYLFRRYCEAEAHGIEKLFFLQDVYAYRRIPQHNRRVLKAKA IYATYINPQQSATISSVTQPPPHHTTPQAAGASSAAATATTPARTHPLLASTKNPGET NYAWKRESSISMTTAKELYCTFKCEAALLGVRGDLTEEIGKQLEDVNLQSGTSMLPLT LFDELEACVVSALEHHQMEGFKASLFFKRFMHFLYIQQREIGEDDFSLLRVLGRGGFG MVNGAIKRSTGKLYAMKAMNKRIIKKKHAEKLCLAERAILTMLSSPFVVCLKYAFQTK EDLFLVLDLRTGGDLSFHLNRGRFTEEQVMYWSAQILLGLQHLHEKNIVYRDLKPENI LLDDKGNCSISDLGLAVEVTPSLCGRCGTRGYWAPEMLLRDEAGNRLCYNQAVDWWSY GCVVYEMLYGKCPFRTSKAKALHADKQKAYDMATLELTPSYDAKYFSPEATDLLQSTL LTRSELLVRDPTKRLGARGADEVKKMKFFENVDWVEMENMAVPPPFVPERDINAASQA DIGFFDPSVIQGVKLSDTDQDMYKDWLFCSATAFQHEIVEFMEWEVKQGPITLVTHTN TCCNVS H257_01699 MASVPPLSTMQADLARQTSTPEVVLMRKQLEKVQRDMEVLVYTL AATRECYEPERLCHDCLGGGGSAPSTADGFDDEGTDDGESKERREFDELMDQIQSLQQ QVALQTEASQLLRSENEKLQAECALLQEQQHTDTDDPEEDASSSHTKLGDAEVARLVQ LAKDNAIDLDTLHIRLEEVTLERDHLRQERDSALLSAQKAWKENATLAGHTNPGQKIK YVQQLKDENNKLHQQLRDAEARLALQSKRKPSGNYTTTTSDWSDVCSNASDMGNSSAT LTTLKPSKMLRKVSSKGGGGRGSKRETPTSGGGATASASP H257_01699 MASVPPLSTMQADLARQTSTPEVVLMRKQLEKVQRDMEVLVYTL AATRECYEPERLCHDCLGGGGSAPSTADGFDDEGTDDGESKERREFDELMDQIQSLQQ QAECALLQEQQHTDTDDPEEDASSSHTKLGDAEVARLVQLAKDNAIDLDTLHIRLEEV TLERDHLRQERDSALLSAQKAWKENATLAGHTNPGQKIKYVQQLKDENNKLHQQLRDA EARLALQSKRKPSGNYTTTTSDWSDVCSNASDMGNSSATLTTLKPSKMLRKVSSKGGG GRGSKRETPTSGGGATASASP H257_01698 MGVPSRATAAKGATLDHEELEALSQSHKVLEQAYSVDSKSPDLV DQIADHSEPTSHNYFFEPYTNTAAGWQAQLVHKQKLLPYPAAITSAYDNMRSGSFSGL LQEIHHAWVSVDNVLFLWDYTRAERFVVFDGIDQTISAVAIAKPIPGVFKAFVKHVLV VATASDMRLVPILYEHSDPVNGAIRLQATKLCISTDDVTVKKIVSTAGGRIFFGGSDG HLHEFVYDATEGMLHQLGWKRKCRKETHTQSLSTYIPSIFRSLTGALASSHGKIVDLT IDPERHILYVTQEPATIHVYDLLPPGELKLVASKDIHAEAARFCQRNHRTWTSCPEPR LFTTNMTTISSVSVVGRDESASIHAVAVSSTGIRFYLSTFTPGYFSSSSKRPTHLDMS HIRLPPPMLALDDAPEYHVTEGLAPAILTGKSPAYVHKALYRKGVFIAIDGGPDAFDS VVGLCQDLTARHTQDASNKRLMRESISSESCQGKVSDVQELARQASAVHTAPASASSG TKRTFSDMQASSSASSSTEEPPPAMLSELVTQFFEPSRHFLVLTNAGLHIFEKIRPID HLVRILQGSPIPGKDLSAKLTQFVKCYGRAETCAMLFVVATGEPSPYTMAALQSVFDF GGQPGVAPSGGTPPSGGGSNTTAGATNRFVLTDDMCMSYHHDGLVKFLARILRPFWTT TDHVVADALDHVRVVLFRLQSILATQYASALDHPLTLAEPSRFNAITRTLNAMLTEAN PSSLREENAVRAEQFSIRCLYRFTVRALEATSLLVAQPHTTTLSLALPELVTTTAGAA ACKVLIQKLMADAGHSEDLVHQLRDECPLLFTDTDAAECHGFQALDAAATCVTAHDQQ VVLAKALEHFRLASQSWTTEAQLSVLESICQAFFGLGYLDGIVELSLACARQLTKPDV APLRRMAYSGVVQAIQYLTQNDTELPICGATSTTLWTDQEEREAAIGRILGRVLASSD TQLHLIVLTWLYDHDQKKRLVTLKGPRVEAFLQAKDPELLVSQYLVQERYVDAAHVLW TRAQDDHRAVDERVALMSRAASVLAAAQHPAALNALAEVRESLDVLQLQHTIWKTLQT RQTVPASELQALQFRVVDVSTLYNQYASKYKLWGDCLRIIRCCHTEDASTVQHLWEQL LFSVVPKSANNVSFNQWIATKHPEQDAPTTTANSPSSSPQVFESAVWISHVQSQLTPL GKELASSPALPVEFLVHELEHLWMWFIQLTHYSTPQSWISSFLLDCGVSYAHLFGVYH KLYDANEAPRWRFHLLRGILDLVTAWQRHVQAARPTSEAALEFATATPLMLSACEAYV VDLHALVGDGHAEKHQTIARLRQLKADVATTRSKYT H257_01698 MGVPSRATAAKGATLDHEELEALSQSHKVLEQAYSVDSKSPDLV DQIADHSEPTSHNYFFEPYTNTAAGWQAQLVHKQKLLPYPAAITSAYDNMRSGSFSGL LQEIHHAWVSVDNVLFLWDYTRAERFVVFDGIDQTISAVAIAKPIPGVFKAFVKHVLV VATASDMRLVPILYEHSDPVNGAIRLQATKLCISTDDVTVKKIVSTAGGRIFFGGSDG HLHEFVYDATEGMLHQLGWKRKCRKETHTQSLSTYIPSIFRSLTGALASSHGKIVDLT IDPERHILYVTQEPATIHVYDLLPPGELKLVASKDIHAEAARFCQRNHRTWTSCPEPR LFTTNMTTISSVSVVGRDESASIHAVAVSSTGIRFYLSTFTPGYFSSSSKRPTHLDMS HIRLPPPMLALDDAPEYHVTEGLAPAILTGKSPAYVHKALYRKGVFIAIDGGPDAFDS VVGLCQDLTARHTQDASNKRLMRESISSESCQGKVSDVQELARQASAVHTAPASASSG TKRTFSDMQASSSASSSTEEPPPAMLSELVTQFFEPSRHFLVLTNAGLHIFEKIRPID HLVRILQGSPIPGKDLSAKLTQFVKCYGRAETCAMLFVVATGEPSPYTMAALQSVFDF GGQPGVAPSGGTPPSGGGSNTTAGATNRFVLTDDMCMSYHHDGLVKFLARILRPFWTT TDHVVADALDHVRVVLFRLQSILATQYASALDHPLTLAEPSRFNAITRTLNAMLTEAN PSSLREENAVRAEQFSIRCLYRFTVRALEATSLLVAQPHTTTLSLALPELVTTTAGAA ACKVLIQKLMADAGHSEDLVHQLRDECPLLFTDTDAAECHGFQALDAAATCVTAHDQQ VVLAKALEHFRLASQSWTTEAQLSVLESICQAFFGLGYLDGIVELSLACARQLTKPDV APLRRMAYSGVVQAIQYLTQNDTELPICGATSTTLWTDQEEREAAIGRILGRVLASSD TQLHLIVLTWLYDHDQKKRLVTLKGPRVEAFLQAKDPELLVSQYLVQERYVDAAHVLW TRAQDDHRAVDERVALMSRAASVLAAAQHPAALNALAEVRESLDVLQLQHTIWKTLQT RQTVPASELQVRWMLHSLVA H257_01700 MVTFRSTVFLQALGLIYAIAFCSLYSQVQSLFGPDGLEPVAPFM AKLLQDLGDHPLNRFAHVPSLVWFHDSVGLTPDLAMELLCLGGMALGTLAAANILIAP ETFGAMWLSYLSVILMGGSFTRFQWDSLLLEVGFLAIWLAPPFGNSSLFEPPQIVLWA LRFVFVKFSFMTSVSKILSGCPTWLGLTALDYHFATQELPNPLSWYAHQLSPGVHSVF AAASLYIQGPLVLLSLSPSAWHRYPVFYLNLALHISSLFTGNYGFSNALAIALAYTVL PAATDPQTDRFKSVQYTAIAVGFLGLTITTYSMFEVVYENNQPTGLRYAFRVGQTQQA LKYIVPGVVWGALGVAAVAAVWQNLRLLYAGCVDLMHFRIGGAFASGHCALFSVIGLL VFGGLVMPVAQLHPGCSDTLPDVIKTASVVASRLRVSSAYGSMKKATGIQKVTIPGTF VNEFAIVARPEVILEGSADDGKTWHALDFRHKPGNIHVAPTFVAPHQSRIDWLMAAAA KGNYSEHPWLVHFVTKLLHGSPAAIQSLDLAKTPFSTATPPNAVRAQLYHYDFTRWNT SWARDLPQLKVNHATTTNSSANGSLSSPWWTRTLVKEYLPAVDLKNPSLSKFIEDFGW PTVTVPSDVGATYCATTSSHPRLCHVLVTLHLLDHIRIYLIASFAVFMLAKVRLDRWL TPLEGGGDVAGLVNKQKVD H257_01700 MVTFRSTVFLQALGLIYAIAFCSLYSQVQSLFGPDGLEPVAPFM AKLLQDLGDHPLNRFAHVPSLVWFHDSVGLTPDLAMELLCLGGMALGTLAAANILIAP ETFGAMWLSYLSVILMGGSFTRFQWDSLLLEVGFLAIWLAPPFGNSSLFEPPQIVLWA LRFVFVKFSFMTSVSKILSGCPTWLGLTALDYHFATQELPNPLSWYAHQLSPGVHSVF AAASLYIQGPLVLLSLSPSAWHRYPVFYLNLALHISSLFTGNYGFSNALAIALAYTVL PAATDPQTDRFKSVQYTAIAVGFLGLTITTYSMFEVVYENNQPTGLRYAFRVGQTQQA LKYIVPGVVWGALGVAAVAAVWQNLRLLYAGCVDLMHFRIGGAFASGHCALFSVIGLL VFGGLVMPVAQLHPGCSDTLPDVIKTASVVASRLRVSSAYGSMKKATGIQKVTIPGTF VNEFAIVARPEVILEGSADDGKTWHALDFRHKPGNIHVAPTFVAPHQSRIDWLMAAAA KGNYSEHPWLVHFVTKLLHGSPAAIQSLDLAKTPFSTATPPNAVRAQLYHYDFTRWNT SWARDLPQLKVNHATTTNSSANGSLSSPWWTRVIPSDIIREFGCLDYSSSSVQCRRRS H257_01700 MVTFRSTVFLQALGLIYAIAFCSLYSQVQSLFGPDGLEPVAPFM AKLLQDLGDHPLNRFAHVPSLVWFHDSVGLTPDLAMELLCLGGMALGTLAAANILIAP ETFGAMWLSYLSVILMGGSFTRFQWDSLLLEVGFLAIWLAPPFGNSSLFEPPQIVLWA LRFVFVKFSFMTSVSKILSGCPTWLGLTALDYHFATQELPNPLSWYAHQLSPGVHSVF AAASLYIQGPLVLLSLSPSAWHRYPVFYLNLALHISSLFTGNYGFSNALAIALAYTVL PAATDPQTDRFKSVQYTAIAVGFLGLTITTYSMFEVVYENNQPTGLRYAFRVGQTQQA LKYIVPGVVWGALGVAAVAAVWQNLRLLYAGCVDLMHFRIGGAFASGHCALFSVIGLL VFGGLVMPVAQLHPGCSDTLPDVIKTASVVASRLRVSSAYGSMKKATGIQKVTIPGTF VNEFAIVARPEVILEGSADDGKTWHALDFRHKPGNIHVAPTFVAPHQSRIDWLMAAAA KGNYSEHPWLVHFVTKLLHGSPAAIQSLDLAKTPFSTATPPNVLYVLHSAL H257_01701 MSRSSSQVNIRQAYPQKAIIAQAQVASPTASPTLRSTYDPTRPA TARVEVPPSRGSGSVGVVDSRPNRPSSAHTRLLTTYGAPQSTNASPDQAAVITKQDLR RKRAEEYLSDRVRQIYNDVGRDTRPVKKHLFHDGSFGSNSSGGRVSSNTYKGDTESLQ DTIHALKFQLHQEADKRSKMAARCRRLEQVVAMKDKKLEEALALHAQHGSYNSYQREL ANRERAYNHMLGKLREKIDQQATTLAAYEDTVNDLKASTKHTRVMEMEETLAQLSMEL KLTEAKVEDRDRELAQCYKQLEDRSESTAQKAVKRLRTVVLALNDDKKRLDKETKVLK AYILAKERELAAITVAAKSQPKPKQPSAVVSPNKPTARPVVVADPTTPKPPPSKSAGR PLSASRSRPISTPGRSLSTPQRPLKSEHTAVVVSPKPTSAKRPTVTKATAPPPVSVTP VVPPLPLTVCMMAELVEPEIPSPPPLEKPLGLEMLQTKVAPTVPVVAILTVASTTEPP KSTMSAPATIDAVKSSKLETSTTSLSEAPISNNPYSNSLPQVVAPATADDDSLVDDSK DTTDIERILNGGGRAAVADISSPPDDDDMDDADFEALMAFETKATPRQDERRDETVKL NDKDDDDVDEEGDVLTEIAALKIQKLYKVYSRRRDSMELHRETKKKQQHRQSSRNKLQ DTLVGGQDAVAQSLLSSSSLGSSTSREAPTRIPVEAEHKAASVIQKAYRRSTTTTTTA VSGPTTEQRPAGERASLEITEVQATHVESGGKAVIVDAMPAESNMQNSSSQSVVKFLG ETTATAEPCIDNTNDESYFEPAESDGEVTPSASESLLVPSSSNNEAMWSNNSDGYSAP DESEGQVTPSASNDAFNVIPNSTLVDHNDMKIDSPRQEDNDDENSQPGSPHGKRTPSP KVAEGYSVQHTVAATAIQNAFRHSSSAASSRGNAPSAPTSAVDVNDDDMYSEVAESEG KISPSISDSELNGASPHPIKAEATQQTAAASAIQKAYRRSSMAGSKPIAQHDDEGTYD DNYDDDDVADDTPYSNVASSDGSAVSPNGSTIEIGAATSEMPTEKRHAAAAAIQKAFR DAIVRGNGHPSNDTSALISVHEDLGGADAPSDVVQSGHERNAPTPVPPLDYEADKHAA ATTIQSAFRRSIPSTPRAIDECEVTQPSTDATAEAWSTFPMNLSTQQNQAASAIQNPL VRPSLASDATGEAEAAGDDDVYSEPGDGDDKLIPSTSSSHPTRAYDEHDSMNQDDHVP QAEGARRPVQDAKKPSTSYDTPHEGGDDGSSSLTTHYNMAEATTSSSANGKVHTGGEA INAASAYVAAQEVNLNDAASTIQHAFRHSTPRRTTTPTSTDRPVETDPTRRHTGDVID DDTSLYNVIPTPRDNEYPNDEDVTPSQAPNTSSQDDVPSTSDRHLIESVMDHLDDEDD TDRRLAADVPLEYEDEFLDDDNADNEGISEDAQQLVAD H257_01702 MSVGLAVTGHLEADGKSVRFYVEMQSDAFRFSLNGRYSELRQLH TTLLHTLRALDKSLVLPSFPPKHVLEDMRRRTKIAQREAELFEYYTLVATNSIAVDWL ASQYAARSNLAPEDRVKDGVEFTPPQALKQRSSRRSRRSTNQPSLM H257_01704 MGYNGIVAALTPFIPPFLRVNEQTWVEIKALCPSLAQLRSVLTW QTLKYTVYFAIWTAGLVWSGGHDLVTLYIIASLFTLIVANLGDKEKDSVIVDEHGRAV SIPSAYSVFNTKAKRLAGQLTTEHFEQQIRNRGPLPEDDSDDDDDDDDPDLQEALRQS RSFANAGAGQRLGGGAMNRRR H257_01703 MSWARTVLFAVAAVGVATGTVLVYRYATRGLGGDRPQDEEEIPH IGDTTSRHESQATSPPPTTPLPSDEVHTSAISATESDESLLPTTDERLEMDRLVVQES NMLLSLFGVVFLAIVVVVASVVVLTSHDIPSIMHVEMFPPLFFVGVGIVAASLIHAVN QRSLVSTLPPPLLTDKATPCYDASTPTTPGSGDHPSTSSGSNGSPSWAAKAPSPRRNV TFETDAAAASQPSSSTPLPPAAVAPLPDHAALVAKADELYTQGKFQPVKAFLDENLKY YPQSIDLLWRSARACQDMTTETTDVAATKALVFEGMTFAERAYELRPNDAMTNKWMGI MLSSVGNYRDTSEKIKGAFKIKNFIERSIELNPNDATAHNILGQWCLAFANLGWLEKQ AATMLFGRPPTATYEDAVRHFHDAEHVSPGFWKKNVYLLADTYAKMGNVVEAKLWLAK ADAVPVKTTEDKEVQAQIETLRNALA H257_01705 MRVNVVAMFAAVAVALAANDTTIITVEPSNTTTTLAPPSSTSTT SDPTTTTTLSPPSPTTTTADTSDPTTTTTLSPPSSTTITADTSDLPAPPNPTSVVPTT TTSPTTSPTTTNTTPPLLSIPTEASKIVTDEPTSSMPTTSTTTRKPSSLALGTATQLI YEAQVTPRPSASTTASKATLVTTVAPQPAVTPAESKTPSMLKSNTTLGLLGGGLLLFV AVVIFIFVSVRRKLRVLSVQADAEYANVPSPLPPPRHSSVTVSSAYGIARAASHDHIG SWRGSSFSQVYENPSSIDTRSPSMRSVHAYLGSGAVRYSGEYGGLATPHAVRQGGSRS FQFFDPHTLAIHGRATSFSDHAPMSSGRRLSGDLGMPSRRSNDWRDFVDATYTSSIPR TTSAPMALSSMPGAATPHTPIGTWSRTDSGGADDDDGSFYDF H257_01706 MDGALKFTDLPLTASVQASLQACGFYVPSPIQAKALPVALFGND LIAQAKSGMGKTLVFACVTLELVLANPTSWALILAPTREIALQIQHVLHTLIAAIPSL SSSAVVACIGGLDIQDDERRLQQRTTRVVVGTPGRVKALVQRKAIPMTYMHLFVLDEV DKLMESDFQSDLDAIVTKLPATKQIVACSATFTPDQLARLGTIMLTPQFVRVQGPQSV TTEYIQDTNVAAWKARDESQRPELWLRGVRQYYLVVDTPTNPDDLLRTKVQRLAHVLT EVPFHQCIVFCNDKYRAEALADALTSLAYPAVCITGAQAQSQRTESMDSFRQFQARIL VSTDLTARGIDVDRVNFVVNLDLPRDPATYLHRVGRSGRFGGQGVAITLLGIPEVKAI KAMANVFKMTVAEWTGQAPPRDEQSREDDVTAYARSDLPTRIFQRRQQNDKDGEQLDA TAAATLTPDTTTLPKFSSLQVDTPANLQHESPRLVVAPSPQATTDATPLNSSPTFPPE INVGSPCAAAATSVARKRPSKSKRPPTSPPPTIVTNVDLRNQPTSSHIQRDVSSPQPK PPTKQNHFNNATYLKEEAMYDQWLALVHKSSQC H257_01707 MAGSSQPLLGMSRGPRARPYSASAIVIAIGVGIVIVVAGQFLLS EALGPPTAATYTPNFMDPRPNTGNCSLHWFDQRLDHFTTLNATYKQRYFVYDKFWKRQ ADGHKEDGPIFFYCGNEADVTLYVNSTGLMWENAQALGALLVFAEHRYFGHSIPFGDQ YKDHLQYLTHDQALADYALVLRSLQQQHRVDVPVIAFGGSYGGMLAAWFRIKYPATIR GAIAASAPIFANSIGYAEQFQGADYWQVVTHDASPEAGAAPNCIPNVRATWPLMFAKA NSSRGLADLSALFQTCTPLHTRDDVEALALTLMMAWDTMAMGNFPFPSSYLTEGKATL PSFPVRAACEHLAPPFSLPNESTALLVAMKDATDIFTNATRDVACTVVHQEYDGIWDY MWCSQLLAQESYFDSNGVTDMFWPRNITFDTIAADCRAKWGVTPDPDWIRTAYGPADV LLASTSNIVFSNGGLDPWRAGGVLATSNPKITLVDIPEGAHHLDLMFSDPRDPASVTQ ARRTEIQQIRMWLHRDA H257_01708 MVRKPSKEPVSSSSMPPGDLQPINTILFDPQKGEVDVASGHRRW VHDAIEKRLKKAMVTGCIDLSGPARSSLQDGNRTFQLHLLPSELYASVSRLTWAKQHL KKLFLTNNCLALLSPEIMHFRHLTVLGVGGNALASLPTDLGSLVNLEQLHAEKNQLST LPDSLHQCTKLTHLSLSANAFSTFPVAVTKCPSLMHLSLSHNRITSVPVQIRHLTKLV ELDLDHNRIGPSLPTEVGYLCRLERLGLAGNCLDSMPACLSHLKLSCFRFSGNRAPGY IVHDPLTGDVVEGQSTPVRFDGYMQLRHAFTVLCDATQAVSRQVEDLEGLTPTVLLNL ENASHDRDKDNIGRQILRGRQQQQYYVT H257_01709 MPPKSTKPAVATTNKRLHAIVTKPAAAPPALLKKRRTSLVRPDI VEVITPPKGFMDVAPTPHGPPSTMPPTPSFGATRFNQLPTPPEDSTMAPPAAGAKSAA SYSSSGETSVSNRSTPSSPVKGEKQAGPPAAPSASSSSSSSNIKNSFRSWNVGTRYRL VRVLGKGSYGQVAEAYDTIGNKRVAIKKINNVFDQEVDCKRLYREIYILRHLRHPEVI TLLDVLQPPSYATFNDLYLVFEFVDTDLHKLIMSPQYLTTRHIQVFLYQLLCALKYIH SANVIHRDMKPANILVNEDCTLKICDFGLARVVDEAILKENAHVEPVSPAFRNKKGGD NPHMPKFQRQLTKHVVTRWYRAPELILLQDYGYAVDLWSLGCIFAELLSMQVESCSQY QSRTPIFPGRSCFPLSADRPTTYSDKLDQLNVIFNVIGTPSEEDIGNLGEVKQYLRKL QRKDPMNLAQVYPGAPPEALDLLQQFLTFNPDRRITLEGALNHPFLADIRLPAKEVVD MQPLDMEFENVPLNREKLKSRIFSEIQHFQARNAEIQAARCHQTMSSNRTARDLPPTM QH H257_01709 MPPKSTKPAVATTNKRLHAIVTKPAAAPPALLKKRRTSLVRPDI VEVITPPKGFMDVAPTPHGPPSTMPPTPSFGATRFNQLPTPPEDSTMAPPAAGAKSAA SYSSSGETSVSNRSTPSSPVKGEKQAGPPAAPSASSSSSSSNIKNSFRSWNVGTRYRL VRVLGKGSYGQVAEAYDTIGNKRVAIKKINNVFDQEVDCKRLYREIYILRHLRHPEVI TLLDVLQPPSYATFNDLYLVFEFVDTDLHKLIMSPQYLTTRHIQVFLYQLLCALKYIH SANVIHRDMKPANILVNEDCTLKICDFGLARVVDEAILKENAHVEPVSPAFRNKKGGD NPHMPKFQRQLTKHVVTRWYRAPELILLQDYGYAVDLWSLGCIFAELLSMQVESCSQY QSRTPIFPGRSCFPLSADRPTTYSDKLDQLNVIFNVIGTPSEEDIGNLGEVKQYLRKL QRKDPMNLAQVYPGAPPEALDLLQQFLTFNPDRRITLEGALNHPFLADIRLPAKEVVD MQPLDMEFENVPLNREKLKSMCCLVVYCLITGDIYIYIGRIFSEIQHFQARNAEIQAA RCHQTMSSNRTARDLPPTMQH H257_01709 MPPKSTKPAVATTNKRLHAIVTKPAAAPPALLKKRRTSLVRPDI VEVITPPKGFMDVAPTPHGPPSTMPPTPSFGATRFNQLPTPPEDSTMAPPAAGAKSAA SYSSSGETSVSNRSTPSSPVKGEKQAGPPAAPSASSSSSSSNIKNSFRSWNVGTRYRL VRVLGKGSYGQVAEAYDTIGNKRVAIKKINNVFDQEVDCKRLYREIYILRHLRHPEVI TLLDVLQPPSYATFNDLYLVFEFVDTDLHKLIMSPQYLTTRHIQVFLYQLLCALKYIH SANVIHRDMKPANILVNEDCTLKICDFGLARVVDEAILKENAHVEPVSPAFRNKKGGD NPHMPKFQRQLTKHVVTRWYRAPELILLQDYGYAVDLWSLGCIFAELLSMQVESCSQY QSRTPIFPGRSCFPLSADRPTTYSDKLDQLNVIFNVIGTPSEEDIGNLGEVKQYLRKL QRKDPMNLAQVYPGAPPEALDLLQQFLTFNPDRRITVRVLVKQLEGALNHPFLADIRL PAKEVVDMQPLDMEFENVPLNREKLKSRIFSEIQHFQARNAEIQAARCHQTMSSNRTA RDLPPTMQH H257_01709 MPPKSTKPAVATTNKRLHAIVTKPAAAPPALLKKRRTSLVRPDI VEVITPPKGFMDVAPTPHGPPSTMPPTPSFGATRFNQLPTPPEDSTMAPPAAGAKSAA SYSSSGETSVSNRSTPSSPVKGEKQAGPPAAPSASSSSSSSNIKNSFRSWNVGTRYRL VRVLGKGSYGQVAEAYDTIGNKRVAIKKINNVFDQEVDCKRLYREIYILRHLRHPEVI TLLDVLQPPSYATFNDLYLVFEFVDTDLHKLIMSPQYLTTRHIQVFLYQLLCALKYIH SANVIHRDMKPANILVNEDCTLKICDFGLARVVDEAILKENAHVEPVSPAFRNKKGGD NPHMPKFQRQLTKHVVTRWYRAPELILLQDYGYAVDLWSLGCIFAELLSMQVESCSQY QSRTPIFPGRSCFPLSADRPTTYSDKLDQLNVIFNVIGTPSEEDIGNLGEVKQYLRKL QRKDPMNLAQVYPGAPPEALDLLQQFLTFNPDRRITVRVLVKQLEGALNHPFLADIRL PAKEVVDMQPLDMEFENVPLNREKLKSMCCLVVYCLITGDIYIYIGRIFSEIQHFQAR NAEIQAARCHQTMSSNRTARDLPPTMQH H257_01709 MPPKSTKPAVATTNKRLHAIVTKPAAAPPALLKKRRTSLVRPDI VEVITPPKGFMDVAPTPHGPPSTMPPTPSFGATRFNQLPTPPEDSTMAPPAAGAKSAA SYSSSGETSVSNRSTPSSPVKGEKQAGPPAAPSASSSSSSSNIKNSFRSWNVGTRYRL VRVLGKGSYGQVAEAYDTIGNKRVAIKKINNVFDQEVDCKRLYREIYILRHLRHPEVI TLLDVLQPPSYATFNDLYLVFEFVDTDLHKLIMSPQYLTTRHIQVFLYQLLCALKYIH SANVIHRDMKPANILVNEDCTLKICDFGLARVVDEAILKENAHVEPVSPAFRNKKGGD NPHMPKFQRQLTKHVVTRWYRAPELILLQDYGYAVDLWSLGCIFAELLSMQVESCSQY QSRTPIFPGRSCFPLSADRPTTYSDKLDQLNVIFNVIGTPSEEDIGNLGEVKQYLRKL QRKDPMNLAQVYPGAPPEALDLLQQFLTFNPDRRITVRVLVKQV H257_01709 MPPKSTKPAVATTNKRLHAIVTKPAAAPPALLKKRRTSLVRPDI VEVITPPKGFMDVAPTPHGPPSTMPPTPSFGATRFNQLPTPPEDSTMAPPAAGAKSAA SYSSSGETSVSNRSTPSSPVKGEKQAGPPAAPSASSSSSSSNIKNSFRSWNVGTRYRL VRVLGKGSYGQVAEAYDTIGNKRVAIKKINNVFDQEVDCKRLYREIYILRHLRHPEVI TLLDVLQPPSYATFNDLYLVFEFVDTDLHKLIMSPQYLTTRHIQVFLYQLLCALKYIH SANVIHRDMKPANILVNEDCTLKICDFGLARVVDEAILKENAHVEPVSPAFRNKKGGD NPHMPKFQRQLTKHVVTRWYRAPELILLQDYGYAVDLWSLGCIFAELLSMQVESCSQY QSRTPIFPGRSCFPLSADRPTTYSDKLDQLNVIFNVIGTPSEEDIGNLGEVKQYLRKL QRKDPMNLAQVYPGAPPEALDLLQQFLTFNPDRRITVRVLVKQV H257_01709 MPPKSTKPAVATTNKRLHAIVTKPAAAPPALLKKRRTSLVRPDI VEVITPPKGFMDVAPTPHGPPSTMPPTPSFGATRFNQLPTPPEDSTMAPPAAGAKSAA SYSSSGETSVSNRSTPSSPVKGEKQAGPPAAPSASSSSSSSNIKNSFRSWNVGTRYRL VRVLGKGSYGQVAEAYDTIGNKRVAIKKINNVFDQEVDCKRLYREIYILRHLRHPEVI TLLDVLQPPSYATFNDLYLVFEFVDTDLHKLIMSPQYLTTRHIQVFLYQLLCALKYIH SANVIHRDMKPANILVNEDCTLKICDFGLARVVDEAILKENAHVEPVSPAFRNKKGGD NPHMPKFQRQLTKHVVTRWYRAPELILLQDYGYAVDLWSLGCIFAELLSMQVESCSQY QSRTPIFPGRSCFPLSADRPTTYSDKLDQLNVIFNVIGTPSEEDIGNLGEVKQYLRKL QRKDPMNLAQVYPGAPPEALDLLQQFLTFNPDRRITVRVLVKQV H257_01710 MALMMRKLRVGGGVARWTTAVRSLHHFNAPRLADLVLVRHGESE GNVAREMSIKGDHSLYSGEFKNRHSCNWRLTDRGREQAEAAGEWLRKEDLAYYDRYLV SEYLRAMETAARFNLPDAQWYAEMLLRERDWGQMDLMSEAERGVKMQDELKRRDLDRF YYAPPGGESLATVAQRVDRLMCVLHRELPGKKVLLVCHGDVMWALRTRLERMSQDTFR ELQMSGRMVDQLHNGHILHYTRTDPTTGKVAPFFTHMRSVCPWNEKLSPKGWIKINRP VYDNEMMLAIAERVPRMIVSEEYIQQTYRQESSSSLHPNIESPFDPSVPSPKIALNKV VVVNKMTRYQHEESLYGNTGEALKKQMSMRGFVYDRLKASHDHHMDAVDDVTTCLKEH EIAVSVVNAHELSHEAYDGADMVFSAGGDGTFLKAASFVNKPIPLTGLNTDSARSEGN LCCYSIDATCNRFVVGLERLLKGDFEWRNRQRIRVGMVNQEGFKYELPRYALNEVFIA ESDASRPSFYNIGIDQHQRESHRSSGIIVCTGTGSSAWYYSASQIHREQISSILHAMD FHSYTNETVTEITERLNKDNVFAEDSLDMGYVVREPIINATFGDIRFRKGKARRVSMR SLGWDMKVNLDGLYSVPLNYGVQAVMKICTEPQYALRTVDFSKGDNPRLDNKFKPRS H257_01710 MALMMRKLRVGGGVARWTTAVRSLHHFNAPRLADLVLVRHGESE GNVAREMSIKGDHSLYSGEFKNRHSCNWRLTDRGREQAEAAGEWLRKEDLAYYDRYLV SEYLRAMETAARFNLPDAQWYAEMLLRERDWGQMDLMSEAERGVKMQDELKRRDLDRF YYAPPGGESLATVAQRVDRLMCVLHRELPGKKVLLVCHGDVMWALRTRLERMSQDTFR ELQMSGRMVDQLHNGHILHYTRTDPTTGKVAPFFTHMRSVCPWNEKLSPKGWIKINRP VYDNEMMLAIAERVPRMIVSEEYIQQTYRQESSSSLHPNIESPFDPSVPSPKIALNKV VVVNKMTRYQHEESLYGNTGEALKKQMSMRGFVYDRLKASHDHHMDAVDDVTTCLKEH EIAVSVVNAHELSHEAYDGADMVFSAGGDGTFLKAASFVNKPIPLTGLNTDSARSEGN LCCYSIDATCNRFVVGLERLLKGDFEWRNRQRIRVGMVNQEGFKYELPRYALNEVFIA ESDASRPSFYNIGIDQHQRESHRSSGIIVCTGTGSSAWYYSASQIHREQISSILHAMD FHSYTNETVTEITERLNKDNVFAEDSLDMGYVVREPIINATFGDIRFRKYEPTTLYYQ RPIKNALWWWWLVGQRDV H257_01710 MALMMRKLRVGGGVARWTTAVRSLHHFNAPRLADLVLVRHGESE GNVAREMSIKGDHSLYSGEFKNRHSCNWRLTDRGREQAEAAGEWLRKEDLAYYDRYLV SEYLRAMETAARFNLPDAQWYAEMLLRERDWGQMDLMSEAERGVKMQDELKRRDLDRF YYAPPGGESLATVAQRVDRLMCVLHRELPGKKVLLVCHGDVMWALRTRLERMSQDTFR ELQMSGRMVDQLHNGHILHYTRTDPTTGKVAPFFTHMRSVCPWNEKLSPKGWIKINRP VYDNEMMLAIAERVPRMIVSEEYIQQTYRQESSSSLHPNIESPFDPSVPSPKIALNKV VVVNKMTRYQHEESLYGNTGEALKKQMSMRGFVYDRLKASHDHHMDAVDDVTTCLKEH EIAVSVVNAHELSHEAYDGADMVFSAGGDGTFLKAASFVNKPIPLTGLNTDSARSEGN LCCYSIDATCNRFVVGLERLLKGDFEWRNRQRIRVGMVNQEGFKYELPRYALNEVFIA ESDASRPSFYNIGIDQHQRESHRSSGIIVCTGTGSSAWYYSASQIHREQISSILHAMD FHSYTNETVTEITER H257_01710 MALMMRKLRVGGGVARWTTAVRSLHHFNAPRLADLVLVRHGESE GNVAREMSIKGDHSLYSGEFKNRHSCNWRLTDRGREQAEAAGEWLRKEDLAYYDRYLV SEYLRAMETAARFNLPDAQWYAEMLLRERDWGQMDLMSEAERGVKMQDELKRRDLDRF YYAPPGGESLATVAQRVDRLMCVLHRELPGKKVLLVCHGDVMWALRTRLERMSQDTFR ELQMSGRMVDQLHNGHILHYTRTDPTTGKVAPFFTHMRSVCPWNEKLSPKGWIKINRP VYDNEMMLAIAERVPRMIVSEEYIQQTYRQESSSSLHPNIESPFDPSVPSPKIALNKV VVVNKMTRYQHEESLYGNTGEALKKQMSMRGFVYDRLKASHDHHMDAVDDVTTCLKEH EIAVSVVNAHELSHEAYDGADMVFSAGGDGTFLKAASFVNKPIPLTGLNTDSARSEGN LCCYSIDATCNRFVVGLERLLKGDFEWRNRQRIRVGMVNQEGFKYELPRYALNEVFIA ESDASRPSFYNIGIDQHQRESHRSSGIIVCTGTGSSAWYYSAVRRPS H257_01711 MRELVHIQGGQCGNQIGAKFWEVISDEHGVDPTGSYHGDSDLQL ERINVYYNEATGGRYVPRAILMDLEPGTMDSVRAGPYGQLFRPDNFVFGQTGAGNNWA KGHYTEGAELIDSVLDVVRKEAESCDCLQGFQITHSLGGGTGSGMGTLLISKIREEYP DRIMCTYSVCPSPKVSDTVVEPYNATLSVHQLVENADEVMCLDNEALYDICFRTLKLT TPTYGDLNHLVCAAMSGITTCLRFPGQLNSDLRKLAVNLIPFPRLHFFMIGFAPLTSR GSQQYRALTVPELTQQQFDAKNMMCAADPRHGRYLTAACMFRGRMSTKEVDEQMLNVQ NKNSSYFVEWIPNNIKASVCDIPPKGLKMSTTFIGNSTAIQEMFKRVSEQFTAMFRRK AFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEEEGEFDEDEDMEEMM H257_01712 MGNFCAPTLFSEPSSALPKWEHATATISPSSEHTATIIWLHGLG DSGHSWHKRVVEATPLPHVKYIFPSAPFRPVTLNGGMMMPAWFDLNGLRPEDAEDEAG INRCSHLLAQLIDKEVKSGISPQNIAVVGFSQGGALAAHTCFRMVPYQLGALVLMSSY VPLASSFIPKPDCCNTPTLVCHGDRDVLIPAAYATKSNELFKVHGIPTQLILYRGLAH TSNAGEMDDIGQFLTHHLRLSIPKHA H257_01713 MPRRSRTWGRLAVLVLVFLLFAEGIDAAPKKSTDYYKILGVPKN FNDRQLKKAYRTLALKYHPDKVEESEREKAQEKFLEISQAYEVLSDPKKKEEYDLYGA DGQGGGGNGGQPGGHRHHHHGGGPGFDPFEMFNQFFGQAGRGGNGQRRGGHQEFQFSG MDGFGQQQQHHRHPPVEPLYPKGASTVVNLSPKKFPATANAKNEWLVEFYSPNDKKSV KFKDKLLNIAKDLRGRVKVGAVDCDKHRSLCDQYGVETLPSFVHVWQTNVSPLYDGPL DEYSVYNFAFEKYAARYRARRDAGDVDELHGGNQAKLCNLGKDADVTTSSPLCAVFVL PKKKDQWMQHVRDVAKAFRTDHRVNVVWVDEASQAKALKPLQPYLTKGQPSLVLLRRK AGGKLRAAGAHPSLPSFDANDLTATIERALGGDLTLANVENANAVDFK H257_01714 MAEDFIDLCMDIEGDGDAEPSIRQLDEDVEGIDDEEEGKPELLL DDTDETCCCICLDNVESVDTQGFLLKCVHTFHFDCIAQWAKVTNLCPMCKTRFCSIVC RDASGAIQSTTEVKDAKQVHTNQSSHGIRANANLFNEYACMLCGNGDNEDVLLLCDVD GCDYAAHTYCLPLPSVPAGFWHCADHSHVAPPPTRRLTGPATTLATLRSSSRRRSVRL PTRRISQLEARVGVRRGQRVSLPFENEVSRYTTAEAPPSRRRRARTGASPAATDLRRM QAEAARIIHMANGHRPATNNHLSEDDDSLGHDDVRGPYLTSRKRHRIEDIQAILQSTT TPLTSRQRSIDTPTTFQGEYHALRDRMTHAQIVDTSTPVPTAAKLRLVPTVKRFFDAL PRSKQTQVLEWGVLGVLKRWLEPYGPHKLQHPQVIDAVLHVLGTLPITSVRLHESDGL EQCMAALVASKDLDIRHQATATSLLAQWRREVASAQGSSIQVEESKPTSSSAAHLKSP LITPPMRRTTTTAVGSAVTFATPTYSRPSPAALYSGVDGTARPAPIDPLPTKPRVVEH IKSQLYPAYRRGLMTKDQFKVVAKQVCQTFMYETLHMSSAVLARDGSLSALAKKRLNA LIDIATT H257_01714 MAEDFIDLCMDIEGDGDAEPSIRQLDEDVEGIDDEEEGKPELLL DDTDETCCCICLDNVESVDTQGFLLKCVHTFHFDCIAQWAKVTNLCPMCKTRFCSIVC RDASGAIQSTTEVKDAKQVHTNQSSHGIRANANLFNEYACMLCGNGDNEDVLLLCDVD GCDYAAHTYCLPLPSVPAGFWHCADHSHVAPPPTRRLTGPATTLATLRSSSRRRSVRL PTRRISQLEARVGVRRGQRVSLPFENEVSRYTTAEAPPSRRRRARTGASPAATDLRRM QAEAARIIHMANGHRPATNNHLSEDDDSLGHDDVRGPYLTSRKRHRIEDIQAILQSTT TPLTSRQRSIDTPTTFQGEYHALRDRMTHAQIVDTSTPVPTAAKLRLVPTVKRFFDAL PRSKQTQVLEWGVLGVLKRWLEPYGPHKLQHPQVIDAVLHVLGTLPITSVRLHESDGL EQCMAALVASKDLDIRHQATATSLLAQWRREVASAQGSSIQVEESKPTSSSAAHLKSP LITPPMRRTTTTAVGSAVTFATPTYSRPSPAALYSGVDGTARPAPIDPLPTKPRVVEH IKSQLYPAYRRGLMTKDQFKVVAKQVCQTFMYETLHMSSAVLARDGSLSALAKKRLNA LIDIATT H257_01715 MPPQLAPNLYRVLLRAAKQFHQYESEHRSLYAAVRSGSLLPYHG IREDWKREQSLRSLVDGMSPHETLAWRDVVTAVRDKFTAADSKLPVSERLDRAFTTLR LLGQHNDMVHAHIANGMFAPKRRDGLPMDVLFKVGDVVRVEGIGRGVVCSWNVPRLKY RKCTPKYTILAHIRPRPKDDESDEDTAADHDFDDRWRMYHVDETRIKLSRKASPVKNP SLLCYFDGFEHGRHVPCRSLVARFPDDVAPPPHARPVIPSILDLQNADEDALVLYVQS PDATVAHIARTVLDAKWMDEAGPGAKRDLERAMEMYAGGNKPAGRKQMKAIVKAHPTY VSALEILAITTLDDGNAEDALDLFQRVVDLKPLHLRGLSGLATSAAKLRQWDVAHASA AKLIRLDPTSSIAKRVLAKVDDALYYLF H257_01715 MPPQLAPNLYRVLLRAAKQFHQYESEHRSLYAAVRSGSLLPYHG IREDWKREQSLRSLVDGMSPHETLAWRDVVTAVRDKFTAADSKLPVSERLDRAFTTLR LLGQHNDMVHAHIANGMFAPKRRDGLPMDVLFKVGDVVRVEGIGRGVVCSWNVPRLKY RKCTPKYTILAHIRPRPKDDESDEDTAADHDFDDRWRMYHVDETRIKLSRKASPVKNP SLLCYFDGFEHGRHVPCRSLVARFPDDVAPPPHARPVIPSILDLQNADEDALVLYVQS PDATVAHIARTVLDAKWMDEAGPGAKRDLERAMEMYAGGNKPAGRKQMKAIVKAHPTY VSALEILAITTLDDGTHISYLPSYSSCYYMYLFNLRIPMYMTVA H257_01716 MADDDAPLGQRERSLGLSLAYFHHFIDVHGGRDAFEGLTTCHVC TRFVMPATRPSNLSLVAHVQSQHSEYVKPAQWLISHSWSYKFLDVVDAIDTFCQEHDL GPDTSFWFCMFANNQHVISSADPTAMFGHWLQAFREALTDTGKLVMVLSPWHDPETLK RTWCVYEVYLSVVLKARFEVAMGKAQHAVFLADMQNFESVLGMLAKVNAKNSTTTVAT DRTCLFELIEKDHVGFAGVDRVVFGAIQKWIVQALDNQLAMATLPEDRFRWLNSKGNM LVCVGALSQAAVLYVRAVDVFRHELSPTVWQGWDAVVRLGLVKAYLREPREAWDPLLH EGLVNLEQILGRTHNTTLEAMKGIGRVYCRETDFDQGMSLLRECLALELQVESNDNVY GTMQYLGEALMHQHNIVEARSLLQRAYDWMCRTHGPNFARTLSIQCVLAVGYHLQGRY RDAELLLQSYYDNAVRVFGSAHVVPTRCLMYIAVIQRLSGEYDKAEGNIRECEVNSRR DDEFEEGYLFCNKELGLVAYSRGNLDQATSILEATHESFGQYFGVDHDQTQEVLLVSY LAHLERGFESEETVDRWLAKFQAAKATAISWGDEHKCLACVRPIQDDMLMCPECPRLS RRLCRPCAVANPPPLEGCDHDITSWTEFKPPIRHLLEQKQQLGMHAATTSDDDALECN PQPIDTTLNNAP H257_01717 MASLSPPDRYHAAYGILFLQGVGALLPWNVFITAASYFGERLKE TSVHASFLNWFSLAFNLSTLFMVALNAAWLRPYLPRPRTKIMVSLTGIAIVLLVTAIL VKCSTVVGTRFFAITISSIVVASICSAYLQEGLFEIAALFPGIYTQAVMTGQSMAGFL VSLSSFVLTWLHPVQQIPSTTTPYTPWTTEGDAETSAFIYFLVALATVGLAWGSILCF FRLPFTKHYLLSLQKRPRRRSRQLATFVADDDIPTASSDSSLDSSPFLDRTDDDGDET DDDTPHPRPNPWKILWQVRHFALTVFLTFFVTLALFPVVTSSIESTSMNQPMFVALTF VLFNLGDVVGRACTGLYVLGHRRLLVAAAVARLSFFGLFFVCNVRDSPFVMFKQDGVA VLVLVACAWSNGYFCSVAMMQSPHAVHRAHRELCSSIMFLCLCLGLTGGSLASFALRA LLCRCNPF H257_01717 MASLSPPDRYHAAYGILFLQGVGALLPWNVFITAASYFGERLKE TSVHASFLNWFSLAFNLSTLFMVALNAAWLRPYLPRPRTKIMVSLTGIAIVLLVTAIL VKCSTVVGTRFFAITISSIVVASICSAYLQEGLFEIAALFPGIYTQAVMTGQSMAGFL VSLSSFVLTWLHPVQQIPSTTTPYTPWTTEGDAETSAFIYFLVALATVGLAWGSILCF FRLPFTKHYLLSLQKRPRRRSRQLATFVADDDIPTASSDSSLDSSPFLDRTDDDGDET DDDTPHPRPNPWKILWQVRHFALTVFLTFFVTLALFPVVTSSIESTSMNQPMFVALTF VLFNLGDVVGRACTGLYVLGHRRLLVAAAVARLSFFGLFFVCNVRDSPFVMFKQDGVA VLVLVACAWSNGYFCSVAMMQSPHAVHRAHRELCSSIMFLCLCLGLTGGSLASFALRA LLCRCNPF H257_01718 MSLPAAACPTPPEIEGYLYKMKRKSGINLTGSWNKRWFYVDSKR KEFGYAASNTQPIMKNSIFLDDITAIVVFDDYCFQVESKHRKFFLKGESKAGAAVWVK TLEAYRSQFVAYEKYVASTPIHTTPPPKAAAAESKSNNGSFSKSTPSSLPSQTPAEAK KDKAAARKTNQRADEKQSQRRAKEAKTSDSCDHSTPVQAWSLDDDDDDQDVMDIN H257_01719 MPTSTVAEKDATLFAEEARLQSTHAQDIEDTRILDGLLFVPPSL RHDFKRDASNTSDAVTAARYARSARLFFHTNQHLRENLYPADVEAMIGARVYYERALV KLAEVEAKAGSMTLLQLQRQVVSLVQQCLKNGQCDIYIALVQPKRTHLLYSACSTHSR MMGKQLLASEGVSFQSVDNQVVVVCEDIAHDPVVKCYSDHRCGPFACFPLVGRGNRHR RDQESNPLQHATPSRDNNHVTPATTSNTSKITTSAAKESPPKLNVGSSDVIGVLAVDA SRMAWKYSAAAMTVAQVAAFLVAYGLADCVATFQKHRIDGGKLLALSDVDVEFTLGIH RVASRSKVLELVGALRDGVPLHLPDPPTWFVHEPPSMAFLEEVATRAGKVFARARVRD WHRKLGAVTKDGLSTQYDVYNVAIQAILHCILTVSAVSIWRVEGHRKLPPSTQVHVLG ACDIPLDRIAPFVNAYDKGIKRMQLYKEHGGGKPSMLRGNITRIGSMTDRSPSSSDAV APFIVTWSDQSTEELSWPQLQQLLPVRPLNTQHFHLQKLLTRLESQSDFVTTVDGDVP SQWLHTFFDNDADKFTYVLDLTFQPATPSPREHALYFVSQLILPTMEEALVCVRGRQR RTAARAHSMKVMRKAIAQLSMSPSSDALRSVMDLVTAIAAEVVSCLPGVEVGVAELQP GGTSVQFTFGFNGCTLAESHTTIGRGVAVSCLQPPRPVIVRSLEGESDAAGLRCFNHD QTSCLDDELLPLVFLPMVHEDSLVGLVSIRRFVDVEKGRSDELHPEWGVVPFLECAAK CLAAVLRVKRRAYALYQLEAMAHNAFVSPLHLYVATMHTMTACFVGLLKPKLVAFDKP LGHVSTVLDCPFDLDPLNVQYVDALRDVRYPETLLHTFQRYFNLDDGTMQDVMDRLQT PASSPVLTGHTATLCDRSLAVPIDQKLHKTIDAAFKIVFGHQVYVQSWVPALCTSTTL VALTYTTTPEWLCHSDGRFLDTLAHTLNGLLTIVQGRYDRVRERVAALAAFQVQCRLV GAVNVPLASVLAELEAEIRPDVQTWAVESIATTLTGANVYIGMVEPPAKRITYTCASS RSHMVGKHLKMGKGVSFHCIESRAPVVMINQHDITTIGKHKLRHFGDPKAFQWPFIAV PIGTLGVLAVDNLTEYGESPAPEMGIVDFLVRMAAEIDTIVRSSRAQTLGFRAQLRDR AIHDMMIVCDNGRHSEWHVLQRLLDVVAATFSGVSAYVGLVAPWCSSMDFSLATTSSS MQGKTINMTTSVSAACFRSQHPVVVPDVAKNPNGLHCFDPTQTGVYVAVPIPYVGVLA VDTFPGPAGGKYMTNVPEPGVVACLSSLSNSVGAHIQAKRLWGLTNAIKGLFHGNSST FAVLFNAVATLVAFALPATIRIDVWYTYANHAPEVKFSKASDVHSLALPMSASWAATY PSCTHTKATPFELNESTLVCPFEMVDPSHPYLQTHAWLVVTRLPTVQWAYDLHVLETV QPLLSECFHLSSQRAAAAVARAECLARMHMGLQDLVQTRSDVAESQLRLTQDAWLNWM ADALGRGTDVYLGQLQANYHPHRGDFNDLLVFTAASSDSLMHGVRLSDESLFSFQCIR TQEPVVINHLTNTSRAVRFCTARKAIRAYCALPLSTCGMIGVDSFGPTAFNVKNELEP ATLHFLKQCALCHVELLETTRVAVSVAHIQAAATAVSTNMPSWHAMQCLYAQVLLAVQ TNVGYVHCQQIVRLAADFTGDCSILCWHKAPTRRPMQHLPVHFCYRHHCMPALVGDHV HLDDLMLPMTNVPRTLDDARSAGAPTTGLEPRGAFPCFAGMLDSLFVAPRVAFCLYRK PGRPFAPRDVRFLNVVMHIAQVAYVNMYKALVVRTLAAEALQWANDFVHAKEGMVVVS SSTSPLNLASPPQKPRELFTVMFATNPDKFPIGVALKKTLKRTHRLAALSATPDVQSA MVCVSTTAGPAAGVNTLPVSTIPPTTPLSVTTATISTPVSGPVPPKPTSSKFTSLFSK KQAAKDHLAQITSPSLAASSAAPPRPVNNMPPVPSQHWEWTCRLPATQYLLLDITTTN AVHVEQFMMEGETLADTCRLIYDAFWANPRGDEPFVGYFLTSWWHQIHVALGSARLAI EQQVRLYLDAEVVNKSSCSANAIAILSGALLCCGYKNDEFQRSKRATLQLFLAKQVAS ELHSLDPFNQATKSTVWTAAFRSRAFFLGQSLPPLELALDVSPAFRSLLNATLLLQVI SKWLKADADKEKAVWTPLILAATRIQCRARCRMAVAEVRRRRRTYHATLVLQCFVRQT LARWHVQARREGRAASRIQRWYRRRHEKKPAFATKALLVHMRAVQARFGLNEVGTDGA EFGEAATFEQFLHHGGGKGMVHAEVKRLLQKLRDMAKERASLPWEARVDEEVRDLFEY FDYAGVGSISRDDAKTMMQKLRIPLQVDELNDVVDMMDSDKSGDVDVGEFCSWYKYEY ARLRARSKDCGTLSNTDKEWFAQGMAMRFVRQRSVPASSGPSNDPTAG H257_01720 MNSGYTEPSKARSSIASPSSSASSSSASNEPIKAGYLSKYNRGK WNAKRKWRQRWFVLENGVLMFFKSAPSAKSKKRAVPRDVFALGPSSKLMFPKDELPSI TPSPFCFAVTASGSAKHILLCASSQQEFREWTALISATINPEAPPVVPPTPVYAMEQL QVYDNVMPPTSPMSPARSTLDSPTVAIGACLVLNPFMVLHFDLLVTAIVLLAALWCLS FLLTHRSVTSSQISSSVRYRMPPTLPIQTPSSLPEPVVEPTPDVCHVKTPTTVHTSAS PVVGYVNGKKAFAGCSLTAVSPDEAHHATDSWTPLDATLFNVRRGPNYKKNKIKAPSG PAFLELVATDVFRSDLKVDNIGSKIQLPSDVDGRTDLMILNTQVPCYAPSNPLWGDHK TDGVGFNFVMYFAIPEAIRAQLDAADDPTNPQLRLLKWFFEEDSAVRDRLKAIVRVAN PADQKLGRMERTLLDTYNGQPLMTRPEHRFYRGDGYFEVDIDAHLFNFLARKGLTGIT QHFGNMVVDFGFVMEGQDDDELPENVFGSGRMCRVDVNAAHHLSFR H257_01720 MNSGYTEPSKARSSIASPSSSASSSSASNEPIKAGYLSKYNRGK WNAKRKWRQRWFVLENGVLMFFKSAPSAKSKKRAVPRDVFALGPSSKLMFPKDELPSI TPSPFCFAVTASGSAKHILLCASSQQEFREWTALISATINPEAPPVVPPTPVYAMEQL QVYDNVMPPTSPMSPARSTLDSPTVAIGACLVLNPFMVLHFDLLVTAIVLLAALWCLS FLLTHRSVTSSQISSSVRYRMPPTLPIQTPSSLPEPVVEPTPDVCHVKTPTTVHTSAS PVVGYVNGKKAFAGCSLTAVSPDEAHHATDSWTPLDATLFNVRRGPNYKKNKIKAPSG PAFLELVATDVFRSDLKVDNIGSKIQLPSDVDGRTDLMILNTQVPCYAPSNPLVPTTL FVSIVGCNVIIHCSGEITKRMAWGLTLSCTLPFPRPFALNWTQRTTRPTLNYGC H257_01720 MNSGYTEPSKARSSIASPSSSASSSSASNEPIKAGYLSKYNRGK WNAKRKWRQRWFVLENGVLMFFKSAPSAKSKKRAVPRDVFALGPSSKLMFPKDELPSI TPSPFCFAVTASGSAKHILLCASSQQEFREWTALISATINPEAPPVVPPTPVYAMEQL QVYDNVMPPTSPMSPARSTLDSPTVAIGACLVLNPFMVLHFDLLVTAIVLLAALWCLS FLLTHRSVTSSQISSSVRYRMPPTLPIQTPSSLPEPVVEPTPDVCHVKTPTTVHTSAS PVVGYVNGKKAFAGCSLTAVSPDEAHHATDSWTPLDATLFNVRRGPNYKKNKIKAPSG PAFLELVATDVFRSDLKVDNIGSKIQLPSDVDGRTDLMILNTQVPCYAPSNPLWGDHK TDGVGFNFVMYFAIPEAIRAQLDAADDPTNPQLRVRTFNRKVQCDNTPASSNPNNTDI LNTSRSTYSC H257_01720 MNSGYTEPSKARSSIASPSSSASSSSASNEPIKAGYLSKYNRGK WNAKRKWRQRWFVLENGVLMFFKSAPSAKSKKRAVPRDVFALGPSSKLMFPKDELPSI TPSPFCFAVTASGSAKHILLCASSQQEFREWTALISATINPEAPPVVPPTPVYAMEQL QVYDNVMPPTSPMSPARSTLDSPTVAIGACLVLNPFMVLHFDLLVTAIVLLAALWCLS FLLTHRSVTSSQISSSVRYRMPPTLPIQTPSSLPEPVVEPTPDVCHVKTPTTVHTSAS PVVGYVNGKKAFAGCSLTAVSPDEAHHATDSWTPLDATLFNVRRGPNYKKNKIKAPSG PAFLELVATDVFRSDLKVDNIGSKIQLPSDVDGRTDLMILNTQVPCYAPSNPLVPTTL FVSIVGCNVIIHCSGEITKRMAWGLTLSCTLPFPRPFALNWTQRTTRPTLNYGFVHST EKCNVTTRRRHQIPTTRIY H257_01721 MRRSLSRSPRKVVANGDDLQNTDANESFAAAAAVRDPSSSPTSR ASSRRHHRETLCPTFSPPHDDRVGNKNQTTRAAETTAITHATSLDADVDPQCHGSFAI GGPVKSGYLSKLSTGKWKRRRWHQRWFELHSNGELLYYKYAKTSRRQSSSPPHGTYSL QQSGALLTIPMDLPRGTPTPFCFGVTVNGSSLLVCADSELELREWTNVLSSMIHPDDV TDDAMAVAVASATYDELMETSNSDDSPTGATTVSSLSKNAKVKPSTSPCTSLGGFWSS LQAGDVGTALGSLAAVNSVIDVRPSLQNHTMVIPPTAAVASPVKPVAGTVNGSKAVAG CSMKECPTAPAPHVSGCWTQLDATRFNVRKGPSYRRTKAKAPSAPALLTLVATDAYRS DGKIDNIGSVVHLPTPLDGYRRDIVIINCQVPCYTPSNPLWGEQKTDGDGFNFVTYYA IPPALRAKLDDPTQLEPSIKLLRGFLQDGNPITDRLKAIGIVVNPEEQNLGRMEKHLL ETYNGQPILTRPQHRFYRGDGYFEVDIDAHTFNFVARKGLSGVADHFGNMVVDFGFVL EGQDDDEVPEQILGCVRLCKVDLKNAPRLA H257_01721 MRRSLSRSPRKVVANGDDLQNTDANESFAAAAAVRDPSSSPTSR ASSRRHHRETLCPTFSPPHDDRVGNKNQTTRAAETTAITHATSLDADVDPQCHGSFAI GGPVKSGYLSKLSTGKWKRRRWHQRWFELHSNGELLYYKYAKTSRRQSSSPPHGTYSL QQSGALLTIPMDLPRGTPTPFCFGVTVNGSSLLVCADSELELREWTNVLSSMIHPDDV TDDAMAVAVASATYDELMETSNSDDSPTGATTVSSLSKNAKVKPSTSPCTSLGGFWSS LQAGDVGTALGSLAAVNSVIAVLRFGSWHVVLATVIVLNVALLWRHPKDVRPSLQNHT MVIPPTAAVASPVKPVAGTVNGSKAVAGCSMKECPTAPAPHVSGCWTQLDATRFNVRK GPSYRRTKAKAPSAPALLTLVATDAYRSDGKIDNIGSVVHLPTPLDGYRRDIVIINCQ VPCYTPSNPLWGEQKTDGDGFNFVTYYAIPPALRAKLDDPTQLEPSIKLLRGFLQDGN PITDRLKAIGIVVNPEEQNLGRMEKHLLETYNGQPILTRPQHRFYRGDGYFEVDIDAH TFNFVARKGLSGVADHFGNMVVDFGFVLEGQDDDEVPEQILGCVRLCKVDLKNAPRLA H257_01722 MTPPLEVPPLPMSPMQYMPSHRDVVGGVLAVAASVVSNFGVNVQ KYSHTQEQKRPVADQRPYILRPVWWVGLIMVIVGSIGDFAAFGFATQALVAALGGGST LIANVIIANQMNQETLYRSDLLGVLLVIAGVVVISAISEPDVTYPLPILEQFFARPEF IVYISCVVICVITILAKIKGSLAHTLKSQIRWSHQRQKDILKKNELRFQDLERRMEAL EEKLLVGGLDHDGGSHPHVAVPPFRLNHADGASSSPRKLDAPAADANPSAANVPFYYA TCSGIVGAISVLLAKCSVMMIALTIEGHNQFKNPVTYLFVGGMVACILVQTHLLNMAT SLGDTMTVFPVFQAFWISFSVVGGIVFYDSERAFTWDKWVLYPLALALISLGVYCLMQ HPSKGHAAPDKPTKRTNGDDDDDNGDNRASLLVQFELEAVPLTSADDVDACLTTSPLL SSSSSPVSSSSKSKKKTNGTDGYVRLDI H257_01723 MIHHHHDMDHVKKRWPQFDFRQLPPHITHTAMRPSPANSTTAPT TSNQDVLVVDQLVHADDWVCDYERSLCHVCTRNFSTFRRKHHCRMCGEVVCRNCTLYK NVNILSIGTTKVRVCLSCIVMVSGAQKLGSPLASATNVTMVSDEASRNWLSNHLTSPA ARSETEYYDYSATNQLDYELDYNWDNPWPRAPIAANEPDRLRALASLRILDTPPSSVF DNICDLVSKRLNCPMAAVSFIDADRQWFKASVGLAQTSIPRNVAFCAHAIVSKEPLVV MDTAADVRFCHNPLVTGAACVRFYASAPICDARTGLVVGTVLVLDTAPRDACDASVLE KLANVAMEHLVLKEDRVEKYAARRSSSDATRSTRGSSISTDSLRTAVTSHSSQKHPTS QNHHHQLVVADNQDATDMVSSSNNLENLLMNLLSQTTLTQQQLATQQISMSQTLGVHA EQIEKLTCDFARMEAKINAQLLRQ H257_01724 MDYYEILGIVRGATDQDIKKAYRKLAMKWHPDKNKSNRDEAQAK FHEISEAYDVLSDPAKRATFDQYGYEGLHNGVPNADGDVREGYQFQDRQGEEIFNKFF GTNNPFSDFGFGDTLPFAGALKKKGLEKNPPIEKTVECTLEELFVGGVTKKITIVRTR FMGNNDLVDDTKVFAVKVQRGWSAGTKVTFENEGAETKELRAGDVVFTIVEAKHATYT RVKNDLVYLAKVKLADALADCTVDVPTLDGRKLAISCNEVLSPTSEKVIQGEGMPVVA EGPQDSGEGVRGNLILKFHIVFPKYLTSLQKTALVKILGQ H257_01725 MIVPPPRRRLALNLLQEHFGDVVEHVASVLLRADDGGYTLRELV QHALVSPPAHLQPRPTTQHIKTALLKLLQHNLLDIKATWLPADSAKKQKLPYIVRYEL NHDEALLRLRFARYIELARELFGDEGEVIMEELLVNGRIRLDQSLDAMARNLADQRRA ATGDPDAPAADDDELEDLKESLKTTFLNMAKSRYILRVHPLDFNKKKAHDFDDGEAQY EIPSSSSVTSTGGDSTSTTTIKHKKRKGPANAAADSAVPIEVQLMMQAENGGLSSSLA DEVSEAVTSESGTKRRRLKRAKLPAVGAASSSSSSPLSNDSMPFDSVGEDVSLKEENT IWRYGGVQLTRELRHRTCIQFAHDSVNVVAEAIVKAMLAHSSPHERDANEATSVPMTA RDLLAIPAVYDAVPSKHDRWKMLLNFLTAMCQHPSGMVTKVAAEVFDPTHAVRAGDGG TYSVHMQNIVKTLQRKSIQAFVHEKYGAASARLVRVITEQRQLEQKALGEMALLPAAE TRSRLFDMYRDKLLNLQEIPKRTDYNPQFTLYCWSVDDIRLTRRLVERAQESIVKLRT RRKDEAESHKDLIARSDQLVEQNDLDKFDRVSRSLDRLDRATLHLDRMLMLFDQF H257_01726 MKKCIVLVSRQSFSAEHKSNSASLEAIFNAKKIKREEVDGSAEE NTQLRNDLFSISGIRGTYPQVFFQVEGSNTPQFVGLFDAIQEMNEMNDLPADFIRQNN VATFDSVFADVERV H257_01727 MSAYTKAVGGKLQLKGGLNLSLKKKKTKKRKAESDGRPATGDDD DDFQLVKLQGTGRILTSGTTVMGKMGTKFYHELSIGDALIIMHPTSMKEETRIVKMVL SDVSISISSPFSSDLVSTTTFSYIKAPPEEIDQDAIETKKRSKKNEEETFAFGTYAGG TSAGSEFTYRVKKAGAYGGYAVLKETADANRSREDLLDIRSKRKGDRHCN H257_01728 MLLDLARSSFQRLRHPAAAFMSAADNTAMQLLSASDLPATIQSW ALSAHVQTPSSSNNDTILPLTKLRTQHLGRHILYGETLPSTQTLLQKVSLELRLTDVQ RDFRLVCWTPSQQGGKGRGSNTWVSPQGCLTFSFQSSLTNGASLPFAQYLVSLALVRT IKSFAAASTPTNVYIKWPNDLYANNLKLGGILCQSEYFQERFHVTTGVGINVSNPEPT VCLNQLLPSPVTKETFLVEFCNVYEPMEEQFKSHGFAPFVDEYTANWLHTNQVVQVQG DTPGEPPMKATIQGLTASGCLLATSESGRSYELYPDGNSFDFFAGLLKRKL H257_01729 MGGTTFEMRQCRFVFCGAEDDEPFSVLLPVEPAPTLQVVRSHFP FEGRFHFRLQEVTSGGNYVWRDLVDESTVIPIDALLKVLQVSSTPEEYPVYSSQVDNT NELESFQRSFRESYDDSACSGDHERSSKGSNKQPQQQSSSAAPQLNALWKNTKHHLNK TTAKVWETVEFTAGRYFGSQSGAEKPTAAALQNLAAASALSRTPFSDSNRDHMDQIRR LWGAVVGDSGRPFARTSPVWVDEGGFPSDDPGATFKAHGVLALHALAFFTDVHRSASD EMRRGGYAYATEGLQVVSILVEVLDLDSGRFLERDEVYWKVFEDPIGWYELFSVAFHA HHTFWRQQGKGASSTSTSSTSTRDRSFQPSPLDLTKQFVCRLLMQAPKTVQDVVTLAD TIY H257_01730 MSAAVRSVCLVPSGLLNFEGKIMPNAHTFADDVDTVGGDRELIV GSMSGKLAIFKGLSSCDSACTYTVDGCITSIVTQPIAASMAHPSPDDEPPTTTSPATV SSVMSLLILVMTAEGTCFVLRRAVESSLGTLTLHMHTQFAIPLNVSAAAMLGPSLLVL GTRDSTVHFVDISNVEAAKEVHTHHLPGEVESFLPSQHNSVDTVQDNNRSSSPDMSSP DDPDIEHSLLVCLHTGDVLHVTPSSSMVLEHGDSLQCGRAYVLSGVKAKDVASSCDAF GYFDGHVKLKDAGTGQLRWSIQLPDALLTMATINLFQDGDEEVMLCCWNGDVFIVNTA GSQLKFTIPFSISAFFSGSFVSATDRGGGDVLFCATTSGGILYYVGMGQSIRHIRHDS VMDEIKHSSLYALIDTPSKRRAVLATLQRRWQQPHAAAFDSTDAPTLQQCIRACVYAS NSIFSAPPPLPTTPPLPADPPVDGGADIITTHNEHHNVDESKADHYHVTEQGNESDYL QEPSMDVQTVPITHTIPSSTEVVDGEDQPKQEVVAMIDVVVTPPSNAPNDNITPTLSD ADYSEENAPPSVVASTIGDVVVAPAAPPSSPLHSTNVPHLRTFDGGADEAAVTDTPAA SSSPGPTSRRRRKNSDA H257_01731 MAALLERIRVQKAEKVMALLQDAIRSQIRMDGEHKQRKSPHSSP KRSHEQAVDGVDDWIRRAPPTYNGQAISSYPKTREFWSSADFTKMSTRQLQAVADLLG VDLDGKKVALMARLQDWVNEPAILAHRRRIEKENRTREKVEASGGVFGFGNNFSGQLG LGHRDACAVPTEIMGLKGRKVTRVFTGFDADYAFALASTGEVYSWGGNGVGPTAFTPK QAKPDVNVIPLQHPPPPPLPSHRDTTFLYPSVVRHLRVEGVEMFACARVQGHVAAITT TGRCYTWGKNDYGELGAGHATNTNENPQPRPVEALAQAMVVAVGVGNSHTVAVTNAGK VYSWGAAWGGQLGLGVTKREGVFAERKLQMCFPSPTLLEIPVRVAHVSCGAAHSGLIA ATGQLFMFGCGDGGRLGMGSNADMLSPTLVRALDNERVLQVCCSNWHTLCIAAPRQVA SHGAGNATSASGWAYAFGSGLNGQLGLGKQKQALLPTKIPELIKRKMKCIDVKASSYH SCALAEDGSVFTWGRNSSGCLGRFTSETDSYEPDVVAKVKAWGYGPVTSVACGCRFTL LVAAPWKGISKPAFTHMTDLNSRQKLAEGAPTEYVIDA H257_01731 MAALLERIRVQKAEKVMALLQDAIRSQIRMDGEHKQRKSPHSSP KRSHEQAVDGVDDWIRRAPPTYNGQAISSYPKTREFWSSADFTKMSTRQLQAVADLLG VDLDGKKVALMARLQDWVNEPAILAHRRRIEKENRTREKVEASGGVFGFGNNFSGQLG LGHRDACAVPTEIMGLKGRKVTRVFTGFDADYAFALASTGEVYSWGGNGVGPTAFTPK QAKPDVNVIPLQHPPPPPLPSHRDTTFLYPSVVRHLRVEGVEMFACARVQGHVAAITT TGRCYTWGKNDYGELGAGHATNTNENPQPRPVEALAQAMVVAVGVGNSHTVAVTNAGK VYSWGAAWGGQLGLGVTKREGVFAERKLQMCFPSPTLLEIPVRVAHVSCGAAHSGLIA ATGQLFMFGCGDGGRLGMGSNADMLSPTLVRALDNERVLQVCCSNWHTLCIAAPRQVA SHGAGNATSASGWAYAFGSGLNGQLGLGKQKQALLPTKIPELIKRKMKCIDVKASSYH SCALAEDGSVFTWGRNSSGCLGRFTSETDSYEPDVVAKVKAWGYGPVTSGTHIDVVHD EIDRGVVACGCRFTLLVAAPWKGISKPAFTHMTDLNSRQKLAEGAPTEYVIDA H257_01732 MARQPTGRELSHAKKMEVIRCLHTLSTKGKLARGAILTTASEHK IHRTTVSRIWKAFQRNELLPSLKAGRVGRSPVYTPHLVASTVRELPQSLRSTMRHISE ATGIPLGSLHRALKAGKLHRRTTRLKPLLTDENKAKRLEFCLSHIRPSGPPKTPTFDG MWDVVHLDEKWFNADKNVRKVYLTEGEEPEQQAWSSKRFIPKVTFLAAVARPRHDLER GINFDGKIGIWPFVQYQPAQRSSRNRPAGTLVATLVNVDAPMYRDYVLT H257_01733 MGGRLSFAASYQTAQISRCWFWASSRQSNLCSTNWLVALWKMLF VLRSLRLTRVAERLWTKCFLPCKR H257_01734 MNPSIASCFAFVKEARHRTLTREERLDILRLHAYFRSQGTKAAA AKVAELLGRGLGVVKEVWREYLQSRSVTVAVPPSNTTTHSTTVPRTKQVVSMVQAFVR GRRATRTRTTAVDIVIFLREICVLDFDLEDKKVYSLHLRSVQRFLKYQGYERGNKKGL SSYHLSKKNTVTRDLYVQRMHPHVGSASRPAIVYTAESFVHHHYKCHNQSLYDPSDVL DVAPKEKHKGRRYCFIAAILDSPTMDSRVMALDIFTGGTTLAKEPKDYHGMFDHAYYV CWFQRLLDELEEIRVSNALIVMDNAKDHKGRPSNTPQSRHRKEVLIAACTMYGIPVTG TEFKSLLWEKLAAYIETNVLPVVMTMASERGHTVVYTPPHHSDLQPIEMIWALVKGDV GRQYTDMTKFPEVKTRLVAAFAKLTPHAIQGCVKVAEGSLHMLHEHLQQIDRLESDEE SSAGSESDDGGSDSD H257_01735 MASITRSSRAIATRLVTSSPRHGRPTHFHHHAPAPIQVGQEQQH HSPSSPRPLPLSPFGAVVVAGTTALSMGVMMSPDAAHSRAACILPPPPIISPKFQTTT AADWDLADEIGSGAFGVVRLGIRRTTGEVGAIKMQKDAREPSMQRELDALQRIKAKGG HKNIVDLKDVFEHNGHTCIVTEFVSGGELFEHIVRYGAFEEPRARKMMQDIVEGVAFL HDHGLVHKDLKPENVLLRFSDKRDDNPATLVDFGSAGPASRVLDTDIGTTVYLPPEVL RRGGVCTPAADVWAMGCILYIVLTGRHPFDIDGTAPEKTIESRIRKGHVSFKHAACQH LSSDAKDLIRHMLQKDPEMRPSAKQVLAHPWMTQQHQLVLDIVMMGAATVATDNSQTR SKKPLML H257_01736 MGRVIRGQRKGAGGIFKSHVKTRKGKAAFRTNDYVEREGYIKGV IKEIIHDPGRGAPLARVSFRDPYKYRINHELLIAAEGMYTGMFIYAGKKATMAVGNIL PLSQLPEGTIVCNVEARIGDKGKFARCSGDYAVIVTHDEDKGKTKIRLPSGSKKTIPS ACRAMVGVVAGGGRTDKPILKAGRAYHKYRVKRNEWPHVRGVAMNPVEHPHGGGNHQH IGHPSTVKRDAPAGKKVGLIAARRTGRLRGIKKTDKDN H257_01737 MLTNSLKSREGACAGLARSLVEDQDALMSDGDTKTVCSSWSLVL KGVPVVEHRPDGTSQLVVLWLHPSNLHLCFGKKKLFGSAVHGMHLAHLLAVRADDCPP FAATSSSRTRTNSSSLQYYLSFESFGGDVVVIAMPTQRARDALLHTFQDILKVIDVMA TVQDHVELYLRTKQPTSQPLESTTTTSTPSGHNQLDDHMNDDDDSNNKNQSPPSPPKE QQLRHAGLPDDPVTDAATTKSAPPGRNIAVAAGRRGDWTPADLDAWLHARQLSPLFVP LNTYLSDAYARGDAKATFFRLTPDIVDHVVVNQNQASASSSVVQLRQSLLQHVAKGRG NSRPSISAFLPRRRSTFRLY H257_01738 MHRLAVNVLRRHPSWMTIPHRAFSVANSPSTLERICIVGSGPAG FYTAKYLLHDHPTVHIDMLEALPTPYGLVRSGVAPDHPEVKNVMHDFEKVAADPRFSF LGNVDVGNDITVPELQRYYNAIVVAAGASDDRKLNIPGEDELTGVLAARSFVNWYNGH PSFRNLHVPLDCDTAVVVGQGNVAVDCARILTKTRDELAATDISQHALDALAASGIKT VYLVGRRGSAQAAFTMKELREITKLPHTDCIVDPNELAQSMNDASAEEIQSSRPQRRI HELLTTVASRPSGGHPSAIRIRFLLSPVELLPSASDPSRVGAIKVERNVLQGAPHHQR AVRAGAFETIPCGLVLRSIGYKSIPFAGVPFDVKRHVIPNVAGRVTASASPDAPVVPG LYCAGWIKRGPSGIIGTNINCARDTVASVLSDEGSLPPLTLQPVAELHAKLRESGAPI VDWDMYRRIEAAEDAAGAAKGKPREKLTSIEDMLAVATQGH H257_01739 MTFTLPELKYEYNSLEPFVDTQTMNIHHTKHHQAYINNINNYIN TAQGEELKTKSILEVVKTATAPAVRNNGGGHYNHSLFWTWMAAPGSTNTAPHGNLKAR IEQDFGSVDQLKEKFNAAASTRFGSGWAWLGVRADGTLGITSTPNQDNPLMPNTEDPM IPILGLDVWEHAYYLKYQNRRPEYISAFWNVANWDKVVEYYDQFASKGEAVPV H257_01740 MAGLNMARKLQGVKPVVVAAVASGLQVLGGAYLVPTLRLNSPTR VNGEYDMKAIVAAAKSNWTLRGPVVIDLANVSSNGSPHTSPIKPTEFKALFRSLKDAN LVPIAITNASEDVKAMAWRTLQVPSIMGTRLSDDDNIDGERWIAVSTVSQGDETAEYA AVTEEAYSKVIPSADQDLPHNATTTPTHALSGMMVVEGSVRTGQQIYAKGQGLVVMGS VNSGAEVLADGDIHIYGMLKGRALAGIGGNSHAKVYAQRFDAELISIADTFTTCDALE SRESGEVVDDLPTIVWLENGMLRFKSVVPGRV H257_01740 MAGLNMARKLQGVKPVVVAAVASGLQVLGGAYLVPTLRLNSPTR VNGEYDMKAIVAAAKSNWTLRGPVVIDLANVSSNGSPHTSPIKPTEFKALFRSLKDAN LVPIAITNASEDVKAMAWRTLQVPSIMGTRLSDDDNIDGERWIAVSTVSQGDETAEYA AVTEEAYSKVIPSADQDLPHNATTTPTHALSGMMVVEGSVRTGQQIYAKGQGLVVMGS VNSGAEVLADGDIHIYGMLKGRALAGIGGNSHAKVYAQRFDAELISIADTFTTCDALE SRESGEVVRKHSSHIYIYIYINIYVLY H257_01740 MAGLNMARKLQGVKPVVVAAVASGLQVLGGAYLVPTLRLNSPTR VNGEYDMKAIVAAAKSNWTLRGPVVIDLANVSSNGSPHTSPIKPTEFKALFRSLKDAN LVPIAITNASEDVKAMAWRTLQVPSIMGTRLSDDDNIDGERWIAVSTVSQGDETAEYA AVTEEAYSKVIPSADQDLPHNATTTPTHALSGMMVVEGSVRTGQQIYAKGQGLVVMGS VNSGAEVLADGDIHIYGMLKGRALAGIGGNSHAKVPSHCFYHPFNLVHSH H257_01741 MNSTGDIWHVDYTQKRLEQAAQGWSSIALVFSVNCCMFAVACVL FRLSRSSRTSLFFMGATNSNFAAYPFNQPHMTEWQHFVAFLSTPNDVSSLQQAVGDEG AFYLTFQVYALKMVLAMTAFAFLVLIPTYVLCAPTSLAAFSTMTIRAVPDKSPLLWVS VASCYVFSAMYAIFLTQLGYLCNNKDPTNTNLLCMIPSELSAKTVLVDAGAPKCMSPE RTFYLLDQIFPGLISHVAVVYDLTEYKRSHTIRIANENTVDRLTLLLTRKHRGTLPWY VHLFHEPRSYLSTTSLASQIQSLQRDIDKRHSHEIRSIQSILTTHRGTGRVFIIFNDP KAKARFVRKIQRRSTTHLVARTPKQHHATLRQKIHELSLLSWHLELAPEPDDLDWHFI SYHRAKRTALTALIYTFLTVFIVLFTSPLAVTSAIASGTYAGTAKSLNDLVMQTKEWA ANLSPAMANVTASYVPTMILVMINAVLLNVILHAGRMQPKCTDSAKEKSILHYSAVYL IFNTLVVPSFTFVSINALLQHFMGQGQQVLEMFEMLFLNNSGVFFVNYVIQRAFVGTA VAALRLSEAGQMWWKVMRAMTTAEYDDAVRPWNFYTGTQSALQISVLVVIVAFSTVVP VILPFGAFYLSVQHVVDKYALLYVRPKIKGKGAIAATSTHASMFALVIYQCAMAGFFL VRGTWHQIAAVVALLVVSSVFMLWQYIRDKGQLYRAVGQPYKPEQTMLLSPNSKCVDM YRDPVLRLLDATTRTQSERYGSIVLP H257_01741 MNSTGDIWHVDYTQKRLEQAAQGWSSIALVFSVNCCMFAVACVL FRLSRSSRTSLFFMGATNSNFAAYPFNQPHMTEWQHFVAFLSTPNDVSSLQQAVGDEG AFYLTFQVYALKMVLAMTAFAFLVLIPTYVLCAPTSLAAFSTMTIRAVPDKSPLLWVS VASCYVFSAMYAIFLTQLGYLCNNKDPTNTNLLCMIPSELSAKTVLVDAGAPKCMSPE RTFYLLDQIFPGLISHVAVVYDLTEYKRSHTIRIANENTVDRLTLLLTRKHRGTLPWY VHLFHEPRSYLSTTSLASQIQSLQRDIDKRHSHEIRSIQSILTTHRGTGRVFIIFNDP KAKARFVRKIQRRSTTHLVARTPKQHHATLRQKIHELSLLSWHLELAPEPDDLDWHFI SYHRAKRTALTALIYTFLTVFIVLFTSPLAVTSAIASGTYAGTAKSLNDLVMQTKEWA ANLSPAMANVTASYVPTMILVMINAVLLNVILHAGRMQPKCTDSAKEKSILHYSAVYL IFNTLVVPSFTFVSINALLQHFMGQGQQVLEMFEMLFLNNSGVFFVNYVIQRAFVGTA VAALRLSEAGQMWWKVMRAMTTAEYDDAVRPWNFYTGTQSALQISVLVVIVAFSTVVP VILPFGAFYLSVQHVVDKLVVHVYSRISTN H257_01741 MNSTGDIWHVDYTQKRLEQAAQGWSSIALVFSVNCCMFAVACVL FRLSRSSRTSLFFMGATNSNFAAYPFNQPHMTEWQHFVAFLSTPNDVSSLQQAVGDEG AFYLTFQVYALKMVLAMTAFAFLVLIPTYVLCAPTSLAAFSTMTIRAVPDKSPLLWVS VASCYVFSAMYAIFLTQLGYLCNNKDPTNTNLLCMIPSELSAKTVLVDAGAPKCMSPE RTFYLLDQIFPGLISHVAVVYDLTEYKRSHTIRIANENTVDRLTLLLTRKHRGTLPWY VHLFHEPRSYLSTTSLASQIQSLQRDIDKRHSHEIRSIQSILTTHRGTGRVFIIFNDP KAKARFVRKIQRRSTTHLVARTPKQHHATLRQKIHELSLLSWHLELAPEPDDLDWHFI SYHRAKRTALTALIYTFLTVFIVLFTSPLAVTSAIASGTYAGTAKSLNDLVMQTKEWA ANLSPAMANVTASYVPTMILVMINAVLLNVILHAGRMQPKCTDSAKEKSILHYSAVYL IFNTLVVPSFTFVSINALLQHFMGQGQQVLEMFEMLFLNNSGVFFVNYVIQRAFVGTA VAALRLSEVPNQLVF H257_01742 MESDAANVVVPRRFSGRKPDANSSSCAQEGCEGGACATETKLVK RKPSAAAQRRKMANQIPDEIQNDPQLTKAIEQLPWNYNFEIRKTIWKIKQAGAKRVAL QFPEGLLLYACVISDILERFAGATSIIMGDVTYGACCVDDLTAKALGADFMVHYGHSC LVPIDVTTIKMLYVFVDITIDVSHLVECMKLTFPQHTKLALMGTIQFATAMHVAVTEL KHHFHDIVVPQAKPLSPGEVLGCTSPQFPDRDALVFVADGRFHLESAMIANPSVKAFR YDPYPKVLSCESYDLPQMVSIRKEAIDRAKSAQTFGIIMGTLGRQGSPVILNHLTSML DSCGKTYFTLLLSEIFPDKLALFHEVDAWIQVACPRLSVDWGYAFTKPLLTAYEAEVC LAGMTWQDDAYPMDFYAKGSGPWTNYFNTK H257_01743 MSSSTTSIEEATGVAAAVAVGNDDARLGTHSFVVSGTTFQVDSK YKFIKPIGHGAYGVVISAQNTETGDKVAIKKVSKAFEDLIDAKRILREIKLLQHFDHE NIITIVDLLPPPSLALFEDVYIISDLMETDLHRIIYSRQPLSDDHIQYFLYQILRALK YIHSSNVLHRDLKPSNLLLNSNCDLKVCDFGLARGVEPDEDNMELTEYVVTRWYRAPE IMLSTKEYTKAIDIWSTGCIFAELLGRKPMFPGDDYIHQLQIICDKLGTPTEDELHFV TSEKARRFMKSQPNKPKIPLDRLFPNVKPNALDLLDKMLVFDPSKRISVEEALQHPYL ESLHNSDDEPKSTKPFSFEFEKENLTKRRLQELIAEEVCHFHPHWRDQRQATSSPDLK LATSSGSPDALDGVNALDDC H257_01743 MSSSTTSIEEATGVAAAVAVGNDDARLGTHSFVVSGTTFQVDSK YKFIKPIGHGAYGVVISAQNTETGDKVAIKKVSKAFEDLIDAKRILREIKLLQHFDHE NIITIVDLLPPPSLALFEDVYIISDLMETDLHRIIYSRQPLSDDHIQYFLYQILRALK YIHSSNVLHRDLKPSNLLLNSNCDLKVCDFGLARGVEPDEDNMELTEYVVTRWYRAPE IMLSTKEYTKAIDIWSTGCIFAELLGRKPMFPGDDYIHQLQIICDKLGTPTEDELHFV TSEKARRFMKSQPNKPKIPLDRLFPNVKPNALDLLDKMLVFDPSKRISVEEALQHPYL ESLHNSDDEPKSTKPFSFEFEKENLTKRRLQELIAE H257_01743 MSSSTTSIEEATGVAAAVAVGNDDARLGTHSFVVSGTTFQVDSK YKFIKPIGHGAYGVVISAQNTETGDKVAIKKVSKAFEDLIDAKRILREIKLLQHFDHE NIITIVDLLPPPSLALFEDVYIISDLMETDLHRIIYSRQPLSDDHIQYFLYQILRALK YIHSSNVLHRDLKPSNLLLNSNCDLKVCDFGLARGVEPDEDNMELTEYVVTRWYRAPE IMLSTKEYTKAIDIWSTGCIFAELLGRKPMFPGDDYIHQLQIICDKLGTPTEDELHFV TSEKARRFMKSQPNKPKIPLDRLFPNVKPNVRTNHGIRVIGSCRRSTCWTRCSCLIRP SASPWKRRCSTRTWNRCTTATTSPSRPSRLALSLKRKT H257_01743 MSSSTTSIEEATGVAAAVAVGNDDARLGTHSFVVSGTTFQVDSK YKFIKPIGHGAYGVVISAQNTETGDKVAIKKVSKAFEDLIDAKRILREIKLLQHFDHE NIITIVDLLPPPSLALFEDVYIISDLMETDLHRIIYSRQPLSDDHIQYFLYQILRALK YIHSSNVLHRDLKPSNLLLNSNCDLKVCDFGLARGVEPDEDNMELTEYVVTRWYRAPE IMLSTKEYTKAIDIWSTGCIFAELLGRKPMFPGDDYIHQLQIICDKLGTPTEDELHFV TSEKARRFMKSQPNKPKIPLDRLFPNVKPNVRTNHGIRVIGSCRRSTCWTRCSCLIRP SASPWKRRCSTRTWNRCTTATTSPSRPSRLALSLKRKT H257_01744 MSEYNMSWWGEWWTANAQPIVDAYASKDVAEMWRVVERIPWTYH NYIKTIYDRSPEHVIIETFLIVFILYISFVKKSSSRPKGETGEKLTEKEIQALCDEWA PEPLVPATFAPTADRPPFIGIVETTPSSHIKLQGIQDPLLNMATVDFLGLAARPEIKS VARAALTKYGCGSCGPRGFYGTIDTHEILEKDLATFIGTTDSITFSDLEATCSSVLPA YAKRGDLIVVDEGVTDAILIGVNLARCTTLFYKHNDMADLERVLRSVRAADKNANRAS DAQRRYIVTEGLFRNSGALLPLPKVVELCRTHYFRLFLDETYSFGVLGATGKGLTEHF NMSVDDVDILCGALSTTLAGVGGFSTGSQHVVDYQRINSAGYVFSASAPPYTSAVASE SIRILKREPGLLKLLRERAVQAHGLLSAVPGLHVLSDPISPVVHVRVAGDESKERTAQ VCRRVVQEAKARGLAVVSPYLKPNTLTEVPLATVRFTLNVNHTANHVETACKLLVDAF QAAVDNVSTTHRDLREANVKSS H257_01745 MRLDVAWCGGSAAVFNSRSVAHVMSKARWPSSALALSTATAALM WLYITTTKGTTNLSPSSPDQSRRLNHTQECPAVSARVTSDWHAASRISLTLSHPVEHA GIELHTTNWGKVSSGYKLHVESMSLDSTSFFAKTLAPATTYDLVVDKRQWHVPVTVSS IRVHLRSQSCDYNLMNPPSSSSVRVLADVVADNSTANNSLTLLPCSWTQARPMSIGFY HMCAINAQNAMTCWGLNNFGQSTVPSAMSFVQVSAYDYHTCALNSTGFPQCWGANYTA RANSPLSVVSTPAEPMASISAGALHACGVTLTGALRCWGANDFNQSSPPAGQYLSVST GFRHACAVSFPDQRLACWGNCDNGECDPPNTTIKYVQVSAGDRYTCAVSVANNAMCWG RDLPTMNFTAVPPGQYSFVGTSRAGAFSCGLLLNGSAICWGDRIAYMGLPVNVNFTRL ALGTRQVCGVTAINPATNTGGTLSCYGALSSMVPTPGFRPKSVGYCIRNTSSVSSDEV MESTDLAPFNTTYSNSSSVRDDIITFPPPQDNDGSASLNLTSYQFRKVASAGNQYTCG VTASGQAVCWGATNLGGPASAITNAVDITASLVYDLMCALRVTGSVTCSSLAIDTTGN FISGAFAAPRDAFVMVSSKGMHACSLKTTGRIKCWGFNDDRQLNVPDLTYLLVAAGGF HTCAITSKYETLCWGRNTQGQVSGVPVATKHRFVSCGYQFCCAIRVNDVVDCWGSDEF GEATPPPNMPVLQLAAGWGHTCAVTKSWALRCWGDNSLGQASPPAGLFYQVTTGTTHS CATAINGTVVCWGDVVRNQLTPNTAMRQAYSTLSTDQMVEAVQRNVSRTRMKCSNLSW GIAYSSNLCANSNTFSGCVPPSTYAAATARCTGLGGRLPTVSESQAGWLKAALCDFSD GWTWTATSCLLVSGQSGFIIVSDSTQQRSCVPDSTSTAFPICISEKEFTPCSLGSRCS HVCVAQTDSQYACTCDAGFTLGSDGMSCFPTILLRSASTCKQLNRQMLPATQMCVLNT GCTKKMTYADARTYCRSRGARLPLRQEVLGRTDLTLACSHEVAFWTNTACANGLSPGF IATTSALESCQASADLATVVCVADPDIDECELGINTCSDKCWNTEGSYTCTCDDAKAL VATTTGGITCQLPSSGGDSLESAHGDTVSVPLVPSSSQTTSAKSCRQLQWTDLLTDRA ICSSSRATPNNPTCPGAVNYTIAIQYCARRGARLPLLNELRSGLDVLVRSNECGYGQK LVWTSTACSRDQQPMIGVAAAGGGPQLLDKVVCLTATTQTAFPICVADKLVAGSCAAV GNNLCSDDCIDLADGFRCECGPSQRLEADGVTCTNLPPVPSPVACDGLPQPWTPPDLN NNLTDPCARSFASTTNACSGRVNFSQALAWCASLGGRLPTAAEVANDIVLGSGCKYDY SEVWTSSPCFNSTGQSQGVVTSGGSNVGMSYIPPTCSNTSIPTAFARCVRDRGATTSQ RCSQDACSHLCFNQGYGYACACNQGFRLQPDGKSCLPILPDVPTTLSTATCAKLNWNT IAGNSTCARVEGSCTSKARVTATAAIMTCVQLGARLPTLFEVMQGATLASTCGDTRVW TSTRCAYVADGLPTSSLSMTDGWLTITSSRKQPVCVSPNATFTPSCIADTVPVPICSG KCSRDQQCLVVTGTNITSCVCSPGFNVDSSGVCQTSTPTLSTSTCSDLSWNVDSKSGL CATQRLGVVAPAWIASYLQLMAKSVQNGLRLCLPPTTWPVSLAQCKAQGSRLPTLFEI RAGLWAAYSCPSFVGQRVWTSTSCRNSTTGSVGYIATGLSSWSGANKELCLVPSSSSP FVQCAADSTLDPCTAGTHTCAHICVSQGGGSFACACNDGYRLGETGSCSPVVTARTPA TCAGLQWTRRAGSAICTSGLVTRVLYDGNGSVVSNASMPYVRDSWTCSGPATYDAAVK LCDNLRARLPTMAELVNNYLANAGCADNVDVWTQTSCRPAAGPDDAPNGPVFRIKAAG APSLLNSFPRSCVATTGTDSSSNVPAVAHVRCVANV H257_01746 MQMILALLQTLLLCSLVHGTASASTCISALDGQVLDLRNSAHLV NDDYCDCVDGVDEPSTSACSHLPASMFHCRNEGILSLSVHTSRVHDGVCDCCDGTDER LGRCANTCHVEIGKRRERAMKDLVVLETGMMERKRRVRVLEAEDEKKAADLITHAAVQ RELRQLKQKVQVFLDREARREFELQVASAKLKETVQNGKCLPSDRHLHVTDTPPNEDN VVPQASTTSTTTQASSSTEHQVASEFKQAQVKRILGHAVVYRQHGNWMSLSAYMHDVV ETTKRMPVRTVSERRKQDFLGPFFHGGREGQVVVLTALLRGLGLVLSPVRLLVEGAWW LQYYWSHLLHCVLPSSVLSLWQTIVDAVELDWRYQKSLTLRRMSQGRVFWWYWYISSG AEVVWDAPVVTYKYLFPTLDMTVVLPEAKSLRKIIADIDHDLARTQLDMDALNQVDAV DYGDCCRILKGTCVMAQIEKYVYKVCPFEAVHQDKTLLGSWKRWQPQPNANEPLTMVF EDGERCWNGPSRSVLVVLECGAQDKILRVEELSTCTYTITMTSPAACSQAHLDATAAA ARVWPPHNEL H257_01746 MQMILALLQTLLLCSLVHGTASASTCISALDGQVLDLRNSAHLV NDDYCDCVDGVDEPSTSACSHLPASMFHCRNEGILSLSVHTSRVHDGVCDCCDGTDER LGRCANTCHVEIGKRRERAMKDLVVLETGMMERKRRVRVLEAEDEKKAADLITHAAVQ RELRQLKQKVQVFLDREARREFELQVASAKLKETVQNGKCLPSDRHLHVTDTPPNEDN VVPQASTTSTTTQASSSTEHQVASEFKQAQVKRILGHAVVYRQHGNWMSLSAYMHDVV ETTKRMPVRTVSERRKQDFLGPFFHGGREGQVVVLTALLRGLGLVLSPVRLLVEGAWW LQYYWSHLLHCVLPSSVLSLWQTIVDAVELDWRYQKSLTLRRMSQGRVFWWYWYISSG AEVVWDAPVVTYKYLFPTLDMTVVLPEAKSLRKIIADIDHDLARTQLDMDALNQVDAV DYGDCCRILKGTCVMAQIEKYVYKVCPFEAVHQDKTLLGSWKRWQPQPNANEPLTMVF EDGERCWNGPSR H257_01747 MNERGCIEQQNGNRIPMETSSAFLDTSDARSMLQSIPLDIVLPG CYKPSCNRVECDVATALTSVMDGVDVLLMQGIAKFNMCAVDGIRFCTDHLVVDKSPQS VASFLFEHNGKLDKAEIGAYLGRSPWFQHGFCVQVLAAFVELLDFTDLVVDEAIRKFL AYFRLPGEAQQIARVLDAFAFRYHATNPTVFSSRDVAFMAAYSIMMLQTDLHNPRIPV DKKMSKAMFVRCNQDVYGGGSDLAPDGFLGGIYDRIQERSLDRKDGSPGSAACAKCHS PLPAADDLAVFSTVVVDNGDFCEVLDSWSVVKHVTLCGSCWSHMYQCHDVAFGFCNIG PTSSECGGS H257_01748 MIFALCTNDTVLKVEQCDYDFLCANTTAFLNVDGTTAVFSYADS DGDLVTIKTQADVDEAIAYMKDTGLDTLRINVGLPATTTTPASVPATVEVPIPPVFIE VPVVTPSPPVTPPTIHSRRVCDGCNMYPIVGTRYRSTRNPGLDFCPSCVAHTKWQRHA PFEAIDKELVTHDSVTCDGCHMSPLEGVRYKSAVVDDFDLCAACEASGKWAVTHEPFL KITHPRKSIRPDNVHDGVVCDGCNVHPIVGARFKSAVVKNFDLCETCERSGKWNTSHG PLLKIYTRQQAPAALYVAMADDEHVLSQAEFTAQAQQQHGHHPHHHHPHHPHHHHPHH PHHHPHHHPHHHHGGHHHGKQGNMGDAWKLKREFWKQHCHEQKTQWKEQCKEQKAQWK QEKRQWKRQGRHAAEGNPAPAPPSLGEMMDHIKAQMKEHFTPAKVAEVQDTLKAHLPV EVFEAFKAGSDEWLKSVATDSPVYKAEFVADLTLPDGTVCYPGELLTKRWHLRNSGDV AWPEGCVVTFEGGTEMAVEGAGVTLPQVTPGLDCVVEMSLVAPRAGGRHASHFRLSTG NGARFGEKFWIDVVVDAKKAQVADDNMVVNAIPLATLVLRDEDDKARVEATGLVEVPD EEEEELTKDEDETASEASDDFVAVTSPADEDALEAEADKLMEEEQHEFATELGVLVAM GFEKDDETLRGLLTQFEGNLEKVVEQLLQ H257_01749 MEGSTDLVGRLTQQLADAQRQVEYEKKEKEYWMNKYLKLRQRHT VTIGRERRNSRDEDELTARRPMVSNTQPSPTMDTRNQRYPPIDLTTPTRQRQQPRPSS SEGHYYFEFPEATSNGAPARRARPFSAPSPSSNSPVDLAPSHGSNGVNIAGRSATTGS FSHNRNAMMEGIWPRSSSTLSTRTLARGRPTESRTEGGAALNSARSSNGPDAHEEASL ALAMALQAEEVANARQHHARIAEQVRDQQTAQILHQHNRPAHNSQASQHLHSLNLLRQ AMASSAADPNNGGGGGGLSVNIDTMSHDELVQLGQQMGDVKQDRWRQRAIHAISRLPN HRLKQVPTGDNSMCIVCQDEFATNDHVLTLPCVHTFHYDCVHGWIQHNNACPMCKLPI EDDDDA H257_01750 MGISNLLPQLKSITKSTTLHAYKGKTVAVDGYVWLHRGAYSCSQ ELCLGLDTDRYVGYFMERVGLLIKCGIIPYIVFDGGYLPMKKLKEDERRLSREKHREA GLAYLKANKLDLARQSFVKAVDVSPSMAHRVIQRLQETGVKYIVAPYEADAQMAYLVR TGAVDAVISEDSDCLPYGCHHVLFKMDTPGNVEVIQAAHLALNTTLSFVGFTDDMFLD MCILSGCDYVASIPGLGVKKSHGLMLRYGSYQKVVRALRLEGKLPITKTYEADVARAR LTFRHQRVYDPVSQTLTHVTPLPASLDGQDTDFLGPIISTVLARGIAEGHIDPITGKP FLLHPAVTLATPSKALEYPVDDADAAPLLHTPPTSHVQDRPDKENAFTRLLQAGSLMP RSTISKRKLPAAAASTKRRQALHPVNQPHANVTNTLFQPRSRYFFGTPPSPAPEPVAA PSIKPCMSSRPLKSAGLEEAHPPSLSTVPSQLDHGITSSQESRQDGMTAARSRASPPT TATPTTIAAFSRFRFHQQT H257_01751 MSSASDATIICATEGCGKPGKMICPTCKKIGVPPGISSFCTQDC FKQYWPHHKGLHKMFTVDAAAKADKFFGYKFSGALRPGTVSAMRTVPDHIARPDYWSS GQPVSEQQADRSAKIPVYTAKEIEGIRLACRLGREVLDIAGRAIRVGMTTDEIDVVVH EACIERGCYPSPLNYYNFPKSVCTSVNEVICHGIPDSRPLEDGDILNIDISVFKDGFH GDLNDTFLVGNVDADGVRLVKTAFECLATAMAMVKPGTMYRDLGREITAVAEEQGMSV VKTYCGHGIGRLFHTTPNVPHYAKNKAVGIMKPGHVFTIEPMINMGSWRDGRWPDEWT AVTVDGARSAQFEHTILVTETGYEILTARENEPVMTWDVEKVQRGL H257_01752 MTTYYEPYVDDAEFAEFMAELRLWRKEVRWCVQRLQDEGTKYTI ELTGPALGAAFVREANGLYVVADTNKSVVHGRGRFQMEKGDYLVSMNKTNLTMEDLMV SDVTTRIQKLPRPAQLQFVRLRKKPNSEAQQRLRRIQQLVNALDTRRHGLRNRASEYK LSVLEEDVIKTILERQKEILEFVTKRVAGLRKGVDLSREIRLMDKTKVWMALQLQKPL PIAHSSLVRPPTSATAPAVVPPSPRSSHNSSMSSALSRNLSWVLDFPTVDLKWLGQEK EKNLNAILNWYEAALQQDVTTPVAKVFAEQITKALVRHWVDLRFSNAGEAPQKFRRSF LDHARQLRSNLASNAELRADITTEVITPEALMTMSKDDLASPQLFQERQQQQQSAMRQ VILQPLEGSLLIKTRDGFREVVVPGAAVPSDAAMVAASSETNPDADLLSFKDPIPPPF DTRSTSIISAKKAPTLTATSTAATQTTNRPPPPPKPPAFSAVPGRPRPPPTAASTPST TPRPDMLSRKSQTTPPVNVASLKRQRSQDTHSFEHASSKKGTMKRARSTDDVGPHSTY PSLKPTTAAATSSSSSHPRLAVPTPWKVNAPVVPPAAALAMDMSTSPNLTQAMEIQQT REFIRRIFTHRKSLTENVAAFVRGGNILGQQSVAPDIIAKTEHISIPGMGGVVRIHIG VYTVQSEAEKGAPYGKVKENGVRAFEASVESLHRSFSRIVGRFASRRRDMGLSLTEAA VDLVLTFPDLVAHDTQPRPKEFICTWKVNGVLVARQAHADEHVAQTCGWHSFGTFLES MVTLVRTNDDSTTPPELPTIGPRVIKPAIRTGTHLSG H257_01752 MTTYYEPYVDDAEFAEFMAELRLWRKEVRWCVQRLQDEGTKYTI ELTGPALGAAFVREANGLYVVADTNKSVVHGRGRFQMEKGDYLVSMNKTNLTMEDLMV SDVTTRIQKLPRPAQLQFVRLRKKPNSEAQQRLRRIQQLVNALDTRRHGLRNRASEYK LSVLEEDVIKTILERQKEILEFVTKRVAGLRKGVDLSREIRLMDKTKVWMALQLQKPL PIAHSSLVRPPTSATAPAVVPPSPRSSHNSSMSSALSRNLSWVLDFPTVDLKWLGQEK EKNLNAILNWYEAALQQDVTTPVAKVFAEQITKALVRHWVDLRFSNAGEAPQKFRRSF LDHARQLRSNLASNAELRADITTEVITPEALMTMSKDDLASPQLFQERQQQQQSAMRQ VILQPLEGSLLIKTRDGFREVVVPGAAVPSDAAMVAASSETNPDADLLSFKDPIPPPF DTRSTSIISAKKAPTLTATSTAATQTTNRPPPPPKPPAFSAVPGRPRPPPTAASTPST TPRPDMLSRKSQTTPPVNVASLKRQRSQDTHSFEHASSKKGTMKRARSTDDVGPHSTY PSLKPTTAAATSSSSSHPRLAVPTPWKVNAPVVPPAAALAMDMSTSPNLTQAMEIQQT REFIRRIFTHRKSLTENVAAFVRGGNILGQQSVAPDIIAKTEHISIPGMGGVVRIHIG VYTVQSEAEKGAPYGKVKENGVRAFEASVESLHRSFSRIVGRFASRRRDMGLSLTEAA VDLVLTFPDLASV H257_01753 MMQHGLLKEGPHAKAEGRGDVSGGGNRSADTTPNHTTPMFRPRY YSSTTHVPKGSTANHAAHRPVYHGHQPPVDPTSPTSVYNSRRREGLLASHVPFHDTLP PSSTQPPPAFHSSEDFRQRVAADMRISNTTWRDECTDQERESNRCRIVTALRNHAPTY EELLVIVSAIDEELLHITSRAKLQYFDSAMDFHRTLASGCTASSTIPLHRGNGLR H257_01754 MLHHHEIRGMGDRLSAARDLCIGSSYDEGINMYRDVLTQLKPAI KRVSQGKERQDWLQVQSDLESELGAMMDYVDALHTLKQNAPVQKSQRPRSSTPADRPK SGNRWQGVDNKRGDDQGGAADPDVWAPPSPDAGRGRRGGPAAAAAPNWADKQKYNNNH RVKATPPARLANRAPPPEKANYLDHRKQSAPAAAANGRPGPTPRKSSAPTGGQQGTPS KDKLKKAADGEKPKYSEVAREQGWCDVELIEGIERDIVDTGPKITFDDIAGLEHTKEL LQEAVMLPQIAPHLFKDGRLKPCNGVLMFGPPGTGKTLLAKAVANVCNSTFFNVSAST LASKYRGESEKMVRILFEMARYYSPAIIFMDEIDAIAGARGGAEEHESSRRVKTELLV QINGVGSGEKSDVENNRVMVLAATNLPWQIDEAMRRRLTKRVYIPLPDVNGRRALFNL NLKRVDVAPDVDYDRLATQTEGYSGDDICGVCETAKMFPVKRLYTPEFMKELALKKTQ GFGDDEIRAMEKNGLVVTMNDIVMALENVSKSVGQDQLVRFQKWEEEFGSK H257_01754 MLHHHEIRGMGDRLSAARDLCIGSSYDEGINMYRDVLTQLKPAI KRVSQGKERQDWLQVQSDLESELGAMMDYVDALHTLKQNAPVQKSQRPRSSTPADRPK SGNRWQGVDNKRGDDQGGAADPDVWAPPSPDAGRGRRGGPAAAAAPNWADKQKYNNNH RVKATPPARLANRAPPPEKANYLDHRKQSAPAAAANGRPGPTPRKSSAPTGGQQGTPS KDKLKKAADGEKPKYSEVAREQGWCDVELIEGIERDIVDTGPKITFDDIAGLEHTKEL LQEAVMLPQIAPHLFKDGRLKPCNGVLMFGPPGTGKTLLAKAVANVCNSTFFNVSAST LASKYRGESEKMVRILFEMARYYSPAIIFMDEIDAIAGARGGAEEHESSRRVKTELLV QINGVGSGEKSDVENNRVMVLAATNLPWQIDEAMRRRLTKRVYIPLPDVNGRRALFNL NLKRVDVAPDVDYDRLATQTEGYSGDDICGVCETGTEGEGEREGVV H257_01754 MAGRGQQTGRCTYATHYDLAGLDFLTTIWQDQGGAADPDVWAPP SPDAGRGRRGGPAAAAAPNWADKQKYNNNHRVKATPPARLANRAPPPEKANYLDHRKQ SAPAAAANGRPGPTPRKSSAPTGGQQGTPSKDKLKKAADGEKPKYSEVAREQGWCDVE LIEGIERDIVDTGPKITFDDIAGLEHTKELLQEAVMLPQIAPHLFKDGRLKPCNGVLM FGPPGTGKTLLAKAVANVCNSTFFNVSASTLASKYRGESEKMVRILFEMARYYSPAII FMDEIDAIAGARGGAEEHESSRRVKTELLVQINGVGSGEKSDVENNRVMVLAATNLPW QIDEAMRRRLTKRVYIPLPDVNGRRALFNLNLKRVDVAPDVDYDRLATQTEGYSGDDI CGVCETAKMFPVKRLYTPEFMKELALKKTQGFGDDEIRAMEKNGLVVTMNDIVMALEN VSKSVGQDQLVRFQKWEEEFGSK H257_01754 MAGRGQQTGRCTYATHYDLAGLDFLTTIWQDQGGAADPDVWAPP SPDAGRGRRGGPAAAAAPNWADKQKYNNNHRVKATPPARLANRAPPPEKANYLDHRKQ SAPAAAANGRPGPTPRKSSAPTGGQQGTPSKDKLKKAADGEKPKYSEVAREQGWCDVE LIEGIERDIVDTGPKITFDDIAGLEHTKELLQEAVMLPQIAPHLFKDGRLKPCNGVLM FGPPGTGKTLLAKAVANVCNSTFFNVSASTLASKYRGESEKMVRILFEMARYYSPAII FMDEIDAIAGARGGAEEHESSRRVKTELLVQINGVGSGEKSDVENNRVMVLAATNLPW QIDEAMRRRLTKRVYIPLPDVNGRRALFNLNLKRVDVAPDVDYDRLATQTEGYSGDDI CGVCETGTEGEGEREGVV H257_01754 MVLTGISNNNHRVKATPPARLANRAPPPEKANYLDHRKQSAPAA AANGRPGPTPRKSSAPTGGQQGTPSKDKLKKAADGEKPKYSEVAREQGWCDVELIEGI ERDIVDTGPKITFDDIAGLEHTKELLQEAVMLPQIAPHLFKDGRLKPCNGVLMFGPPG TGKTLLAKAVANVCNSTFFNVSASTLASKYRGESEKMVRILFEMARYYSPAIIFMDEI DAIAGARGGAEEHESSRRVKTELLVQINGVGSGEKSDVENNRVMVLAATNLPWQIDEA MRRRLTKRVYIPLPDVNGRRALFNLNLKRVDVAPDVDYDRLATQTEGYSGDDICGVCE TAKMFPVKRLYTPEFMKELALKKTQGFGDDEIRAMEKNGLVVTMNDIVMALENVSKSV GQDQLVRFQKWEEEFGSK H257_01754 MVLTGISNNNHRVKATPPARLANRAPPPEKANYLDHRKQSAPAA AANGRPGPTPRKSSAPTGGQQGTPSKDKLKKAADGEKPKYSEVAREQGWCDVELIEGI ERDIVDTGPKITFDDIAGLEHTKELLQEAVMLPQIAPHLFKDGRLKPCNGVLMFGPPG TGKTLLAKAVANVCNSTFFNVSASTLASKYRGESEKMVRILFEMARYYSPAIIFMDEI DAIAGARGGAEEHESSRRVKTELLVQINGVGSGEKSDVENNRVMVLAATNLPWQIDEA MRRRLTKRVYIPLPDVNGRRALFNLNLKRVDVAPDVDYDRLATQTEGYSGDDICGVCE TAKMFPVKRLYTPEFMKELALKKTQGFGDDEIRAMEKNGLVVTMNDIVMALENVSKSV GQDQLVRFQKWEEEFGSK H257_01755 MTSDGSDAAAVLSLRSHLAAIDLLEKDGLEEEPSLTRAYASKPT DSGNDDSFHRDSGSDVSRVDTRESLRATEVLVFPPSNEATLFSSMMEQHHTAMVQTTL ALFDDLQTRLRNDHDRQINSQAAVAVEREAHAQNSLAALRTTLDDMTNRVKVQKLMLL RLATHHATKSHLHDRHFGSPQSLLRILHAWHLYSRQRMFKELRLRQAQTLHRQRMPRR PFEAWRRYTQSLQRARQVEHSRVHHEMAIDTLQDQHVADLQALRDELARAHTEIEVYQ LEQVRLEEDVRRVFLRGVSAMNLEALSLFRHNPVHQPTPRHETGDDEGTLYKSNP H257_01755 MTSDGSDAAAVLSLRSHLAAIDLLEKDGLEEEPSLTRAYASSKP TDSGNDDSFHRDSGSDVSRVDTRESLRATEVLVFPPSNEATLFSSMMEQHHTAMVQTT LALFDDLQTRLRNDHDRQINSQAAVAVEREAHAQNSLAALRTTLDDMTNRVKVQKLML LRLATHHATKSHLHDRHFGSPQSLLRILHAWHLYSRQRMFKELRLRQAQTLHRQRMPR RPFEAWRRYTQSLQRARQVEHSRVHHEMAIDTLQDQHVADLQALRDELARAHTEIEVY QLEQVRLEEDVRRVFLRGVSAMNLEALSLFRHNPVHQPTPRHETGDDEGTLYKSNP H257_01755 MTSDGSDAAAVLSLRSHLAAIDLLEKDGLEEEPSLTRAYASKPT DSGNDDSFHRDSGSDVSRVDTRESLRATEVLVFPPSNEATLFSSMMEQHHTAMVQTTL ALFDDLQTRLRNDHDRQINSQAAVAVEREAHAQNSLAALRTTLDDMTNRVKVQKLMLL RLATHHATKSHLHDRHFGSPQSLLRILHAWHLYSRQRMFKELRLRQAQTLHRQRMPRR PFEAWRRYTQSLQRARQTSMLQTCKRFGMSWREPTQRSKCISWSKCGWKKTCAECFCV GCRP H257_01755 MTSDGSDAAAVLSLRSHLAAIDLLEKDGLEEEPSLTRAYASKPT DSGNDDSFHRDSGSDVSRVDTRESLRATEVLVFPPSNEATLFSSMMEQHHTAMVQTTL ALFDDLQTRLRNDHDRQINSQAAVAVEREAHAQNSLAALRTTLDDMTNRVKVQKLMLL RLATHHATKSHLHDRHFGSPQSLLRILHAWHLYSRQRMFKELRLRQAQTLHRQRMPRR PFEAWRRYTQSLQRARQTSMLQTCKRFGMSWREPTQRSKCISWSKCGWYVGSLVALTS RVEQHGDEHGGWIYGWGS H257_01755 MTSDGSDAAAVLSLRSHLAAIDLLEKDGLEEEPSLTRAYASKPT DSGNDDSFHRDSGSDVSRVDTRESLRATEVLVFPPSNEATLFSSMMEQHHTAMVQTTL ALFDDLQTRLRNDHDRQINSQAAVAVEREAHAQNSLAALRTTLDDMTNRVKVQKLMLL RLATHHATKSHLHDRHFGSPQSLLRILHAWHLYSRQRMFKELRLRQAQTLHRQRMPRR PFEAWRRYTQSLQRARQVEHSRVHHEMAIDTLQDQHVADLQALRDELARAHTEIEVYQ LEQVRLVRRLASCFDKPSGATRRRTRRMDIWLG H257_01756 MASPAVGLIPPGGHQQTQSQPPSKSATKRKLNKVMPCGGDDVTT TSYYEPPLLVGASTATARINSGGRRRSSFVASREKPSDRKVTDLLSKTVPNYLGGTTM QTRAYDADMRPISCRFSDPHLEEVFRKHYRHYVLGKVRMGGWISIMLHVVMGVIEYMC GYSAPHEGCLVLARVSIVLVTLAFLRGTRRPSFQPRFEAWMMSYYALLGTLVVATSVF FESSLRWAGAGGSTATTEDDMYFFFAGRWSQAISLIYISILFNASGMTFIMSTACAWF HISLMIAVPLTLYRDHPQLQDPQLAYGPILTCFCMLSAYNSERHIRKEFVLRCNVTED RKRRDDLLETMLPAHIKESLKENRTDQLAEQFDEVSILFCYVSDFGRLSKTTSAIELV QLMNRIFFCFDKATDSRGVYKVEAIAETYMCAAGVPVKDPLHHEKIADMALTMMYIQE QEKWVCNGELIRLKIGIHSGPVVAGVIGSKAYSYHLFGDTVNTSSRVCSSSKPGKIQI SERTFALLSRSACYDIVPRGKIPLKGKGELMLYWLERKILRPVRRDPFGMTSRFELAE DKAVASAPRANKPGSPTTSSTEPAKSDVESLEMNKRTLAFQVKVPMSKRRRSALERRM EISFIVDYNMANLTQFRWALGAGIGVLTVAAILNGGVLGATAMTAEGASQSSGAMTLN LSGVVLLSGLFAYSYRRMFLSRMQTVSGVVVGLVFAALNFNLVLSPTSNFLNLNLIYI TIVSLLMRFRFVTSATINCTILVLYLILLVYCHHDIRNVIGFLVITIFCTTIGQYSCY RREVGLRTDFLLKHMLNVEKKKCEELLANMLPSPEYAEALLLNGTIVDELNDVTLLYS DMVGFTALSSTLKPVESCLFLNKVYSAFDRHLDAFGVYKMDTVGDAFIVIGGLPNYKS EKNHAVAITAFAVEMLREMDEFRRSENVHLQMRIGIHTGKVVGGVVGIKKPRYLIWGS QTVVANSMESKSIPGRIQISDATHRILQQASSQYQFEPRGEISIGDTEVISTYFVQTD KAPKKEAIVAKYFPTAVYKSTASVKSKQSKAAIELENLLLDARRRNPFFQNVELMGEG SRILHKLAASRGSVTRPTTPSRASLSKQPTAPSRVSLSQQPTTQ H257_01757 MKRSDKLRALDSTWTPDEDDILRHAVFEHGGKKWKVIAMEFRPP RTPSDCQHRWNYLQNHGTNDKQAWSAAEDDRMVKLIHKYGAGKWAVIASYLPGRNGKQ CRERWHNQLNPAINKTPWTDEENEIILHMQATYGNRWAKIAERLRGRTDNAIKNHWHS SMKPQLKKAHKGVVNPYQARKVQLPSPPKATMTTPAPPKATTIPPLAAPKAPLILTTS RSRATPNTRIKQEPKEESPPIPFKPPAPPCAEPSGSLSPIVVSQFDWSTTTHTSLDNW LEDLPDDNSLLALPLADAAFFAMPDYVFAHSFDVDAIDMTDFVELMLADEIM H257_01758 MAGTVETLRADATLHVELHPTGTSSDGHDDHNVVLHEPPLDLAH PAESHSVQPHHAGATTTKKKNRPPKEQSKQLRDSASLSTLAKELLPPRSRKKHPYPAF RSTCFTDKMLQPVHYDSKLRSSMGTEAESRRTWSTSGPRMGCEPRLDDLKHSVMYTPS LDRVYDTTVQTMSYRVHMSMWGSSMWDTSERFQEVPRTAPTVGPGSYNSAPSAWDQET KHPRPFPVFPYSPQRVVTPSSKLLSSPNQVLSTPMTSLEGWKLSSEKTVSARPAFDKA PRKTWVDWESTKADRMRKRTPSTPTLALPSFVSGMRTGACRSPPKHSVGT H257_01759 MHVHAAVVLVLLTALALPSTCQDSDSPTDTSSSTDASGFPDIVV FSTGRTSTSTTMRNETTTKPATAPAESTGYAAASVPNLAAEPKPSADSSTTTILLSIA VGLVASVGAAVVVVTRRRSAQLDNLDMLSSRKDLEVANIDQPDHYHNKDGCNDDLYSV HGMSTRGGSAYRAHGAFASKAMRSSNILGPFAHQPPSTHLPRTLDDGVSFANSSIINQ TTTHATSLSVVDDADPPEDEVMCTMISVDSMVYLDTAHLLQSITSNIPLPSTIGSDTY IVGDDDEDEDHNMSEYVM H257_01760 MAWLMRVESSTERAPPHSIGVRGTPHLYVYVSLLAHSYQLWGNS LRSVAKTASWRRSQRDPDFVRPSSRRHRTAVPSHEEAMIRDGIRLCLPPRAIALCMYK ISPDSTGRFVLDTHPSHAHVVVAARLFGHGFKFNLVILGKSSPT H257_01761 MAREDERARILDSLEHTAVLIKQSEGEADGYHAAYFLQEKDDED VLNSSHLFLPTSTAHCMRLSDLGIQKCAQIFHRFDDDRDGVWGFVEFKAYVTVLRGAL AGRDPLRVILQHEEVWNMYMSDLYETDSNGHLTLRGFCMYREATESINSLATDLICLG MHWLWDGLQRHIHLQRLFDSYDIHRAGKVPIHQLPYLLGESGVVTSQTQVDALVHHVH AHFACMDAILQRNRAIRLFGYKQLSRVNSCDTDHIYRDAFVAVRLSMWTPSPPPRWQQ VTQRIKLRTFGWIRRAKLATTKVATWIQRAALTGLLNLTYLTGNADDNHSDRGDYVLK VDVGKDFAAHAELQLSYTADCDSSATLYNLGYREDGAECFVYLDFLTKADITDVELND TIAAMSTLLDVGFHDHLQSLPWFHKALVVSPPKTHAHSGGGSGIVRVVILLTDALDPF NLLLFLGFPPSLQFDHLLSRLRWNAMLNVSLHDIFTNKRFNAAKHFALRSALNVCVGR QACGQTVQQACCQAKYESSARDTEDEIIRQELRQHSRHVTVPTPNKLDPRVALAWKDQ SQRNQREGAGRWHEWAANIASFLKHAKTMNVNVHVATIADLIHGDSVLHTLFHRDTIK DALRVCFTTGSLHALWTEWSTSLENELHEMFQRTCRDVPSTATTWFRQEHALHKSLLH VYDTITSNLIGLNVVKGEAGTIGMTLLLEGFNVLPLLPKLHTTRTQDNA H257_01761 MAREDERARILDSLEHTAVLIKQSEGEADGYHAAYFLQEKDDED VLNSSHLFLPTSTAHCMRLSDLGIQKCAQIFHRFDDDRDGVWGFVEFKAYVTVLRGAL AGRDPLRVILQHEEVWNMYMSDLYETDSNGHLTLRVRGLHEHSTVCPVTSDGGRDSAC IVKPPNRSIVWQPTSFVWACIGYGMVYNATFTSSDCSTRTTSTALERCPSINCPTFLA KAALSHLKPKFVCLFRTLRLSVSFLSKVDALVHHVHAHFACMDAILQRNRAIRLFGYK QLSRVNSCDTDHIYRDAFVAVRLSMWTPSPPPRWQQVTQRIKLRTFGWIRRAKLATTK VATWIQRAALTGLLNLTYLTGNADDNHSDRGDYVLKVDVGKDFAAHAELQLSYTADCD SSATLYNLGYREDGAECFVYLDFLTKADITDVELNDTIAAMSTLLDVGFHDHLQSLPW FHKALVVSPPKTHAHSGGGSGIVRVVILLTDALDPFNLLLFLGFPPSLQFDHLLSRLR WNAMLNVSLHDIFTNKRFNAAKHFALRSALNVCVGRQACGQTVQQACCQAKYESSARD TEDEIIRQELRQHSRHVTVPTPNKLDPRVALAWKDQSQRNQREGAGRWHEWAANIASF LKHAKTMNVNVHVATIADLIHGDSVLHTLFHRDTIKDVRPTKYGEVEIVHMIGYLCRP CASVSRQVPFMHCGPNGRHRWRTSSTRCFKGRVGMSRPLLQLGFGKSMPYTSPSCTFT TRSRPT H257_01761 MAREDERARILDSLEHTAVLIKQSEGEADGYHAAYFLQEKDDED VLNSSHLFLPTSTAHCMRLSDLGIQKCAQIFHRFDDDRDGVWGFVEFKAYVTVLRGAL AGRDPLRVILQHEEVWNMYMSDLYETDSNGHLTLRGFCMYREATESINSLATDLICLG MHWLWDGLQRHIHLQRLFDSYDIHRAGKVPIHQLPYLLGESGVVTSQTQVDALVHHVH AHFACMDAILQRNRAIRLFGYKQLSRVNSCDTDHIYRDAFVAVRLSMWTPSPPPRWQQ VTQRIKLRTFGWIRRAKLATTKVATWIQRAALTGLLNLTYLTGNADDNHSDRGDYVLK VDVGKDFAAHAELQLSYTADCDSSATLYNLGYREDGAECFVYLDFLTKADITDVELND TIAAMSTLLDVGFHDHLQSLPWFHKALVVSPPKTHAHSGGGSGIVRVVILLTDALDPF NLLLFLGFPPSLQFDHLLSRLRWNAMLNVSLHDIFTNKRFNAAKHFALRSALNVCVGR QACGQTVQQACCQAKYESSARDTEDEIIRQELRQHSRHVTVPTPNKLDPRVALAWKDQ SQRNQREGAGRWHEWAANIASFLKHAKTMNVNVHVATIADLIHGDSVLHTLFHRDTIK DVRPTKYGEVEIVHMIGYLCRPCASVSRQVPFMHCGPNGRHRWRTSSTRCFKGRVGMS RPLLQLGFGKSMPYTSPSCTFTTRSRPT H257_01761 MYREATESINSLATDLICLGMHWLWDGLQRHIHLQRLFDSYDIH RAGKVPIHQLPYLLGESGVVTSQTQVDALVHHVHAHFACMDAILQRNRAIRLFGYKQL SRVNSCDTDHIYRDAFVAVRLSMWTPSPPPRWQQVTQRIKLRTFGWIRRAKLATTKVA TWIQRAALTGLLNLTYLTGNADDNHSDRGDYVLKVDVGKDFAAHAELQLSYTADCDSS ATLYNLGYREDGAECFVYLDFLTKADITDVELNDTIAAMSTLLDVGFHDHLQSLPWFH KALVVSPPKTHAHSGGGSGIVRVVILLTDALDPFNLLLFLGFPPSLQFDHLLSRLRWN AMLNVSLHDIFTNKRFNAAKHFALRSALNVCVGRQACGQTVQQACCQAKYESSARDTE DEIIRQELRQHSRHVTVPTPNKLDPRVALAWKDQSQRNQREGAGRWHEWAANIASFLK HAKTMNVNVHVATIADLIHGDSVLHTLFHRDTIKDALRVCFTTGSLHALWTEWSTSLE NELHEMFQRTCRDVPSTATTWFRQEHALHKSLLHVYDTITSNLIGLNVVKGEAGTIGM TLLLEGFNVLPLLPKLHTTRTQDNA H257_01761 MYREATESINSLATDLICLGMHWLWDGLQRHIHLQRLFDSYDIH RAGKVPIHQLPYLLGESGVVTSQTQVDALVHHVHAHFACMDAILQRNRAIRLFGYKQL SRVNSCDTDHIYRDAFVAVRLSMWTPSPPPRWQQVTQRIKLRTFGWIRRAKLATTKVA TWIQRAALTGLLNLTYLTGNADDNHSDRGDYVLKVDVGKDFAAHAELQLSYTADCDSS ATLYNLGYREDGAECFVYLDFLTKADITDVELNDTIAAMSTLLDVGFHDHLQSLPWFH KALVVSPPKTHAHSGGGSGIVRVVILLTDALDPFNLLLFLGFPPSLQFDHLLSRLRWN AMLNVSLHDIFTNKRFNAAKHFALRSALNVCVGRQACGQTVQQACCQAKYESSARDTE DEIIRQELRQHSRHVTVPTPNKLDPRVALAWKDQSQRNQREGAGRWHEWAANIASFLK HAKTMNVNVHVATIADLIHGDSVLHTLFHRDTIKDVRPTKYGEVEIVHMIGYLCRPCA SVSRQVPFMHCGPNGRHRWRTSSTRCFKGRVGMSRPLLQLGFGKSMPYTSPSCTFTTR SRPT H257_01761 MHWLWDGLQRHIHLQRLFDSYDIHRAGKVPIHQLPYLLGESGVV TSQTQVDALVHHVHAHFACMDAILQRNRAIRLFGYKQLSRVNSCDTDHIYRDAFVAVR LSMWTPSPPPRWQQVTQRIKLRTFGWIRRAKLATTKVATWIQRAALTGLLNLTYLTGN ADDNHSDRGDYVLKVDVGKDFAAHAELQLSYTADCDSSATLYNLGYREDGAECFVYLD FLTKADITDVELNDTIAAMSTLLDVGFHDHLQSLPWFHKALVVSPPKTHAHSGGGSGI VRVVILLTDALDPFNLLLFLGFPPSLQFDHLLSRLRWNAMLNVSLHDIFTNKRFNAAK HFALRSALNVCVGRQACGQTVQQACCQAKYESSARDTEDEIIRQELRQHSRHVTVPTP NKLDPRVALAWKDQSQRNQREGAGRWHEWAANIASFLKHAKTMNVNVHVATIADLIHG DSVLHTLFHRDTIKDALRVCFTTGSLHALWTEWSTSLENELHEMFQRTCRDVPSTATT WFRQEHALHKSLLHVYDTITSNLIGLNVVKGEAGTIGMTLLLEGFNVLPLLPKLHTTR TQDNA H257_01761 MHWLWDGLQRHIHLQRLFDSYDIHRAGKVPIHQLPYLLGESGVV TSQTQVDALVHHVHAHFACMDAILQRNRAIRLFGYKQLSRVNSCDTDHIYRDAFVAVR LSMWTPSPPPRWQQVTQRIKLRTFGWIRRAKLATTKVATWIQRAALTGLLNLTYLTGN ADDNHSDRGDYVLKVDVGKDFAAHAELQLSYTADCDSSATLYNLGYREDGAECFVYLD FLTKADITDVELNDTIAAMSTLLDVGFHDHLQSLPWFHKALVVSPPKTHAHSGGGSGI VRVVILLTDALDPFNLLLFLGFPPSLQFDHLLSRLRWNAMLNVSLHDIFTNKRFNAAK HFALRSALNVCVGRQACGQTVQQACCQAKYESSARDTEDEIIRQELRQHSRHVTVPTP NKLDPRVALAWKDQSQRNQREGAGRWHEWAANIASFLKHAKTMNVNVHVATIADLIHG DSVLHTLFHRDTIKDVRPTKYGEVEIVHMIGYLCRPCASVSRQVPFMHCGPNGRHRWR TSSTRCFKGRVGMSRPLLQLGFGKSMPYTSPSCTFTTRSRPT H257_01761 MVYNATFTSSDCSTRTTSTALERCPSINCPTFLAKAALSHLKPK FVCLFRTLRLSVSFLSKVDALVHHVHAHFACMDAILQRNRAIRLFGYKQLSRVNSCDT DHIYRDAFVAVRLSMWTPSPPPRWQQVTQRIKLRTFGWIRRAKLATTKVATWIQRAAL TGLLNLTYLTGNADDNHSDRGDYVLKVDVGKDFAAHAELQLSYTADCDSSATLYNLGY REDGAECFVYLDFLTKADITDVELNDTIAAMSTLLDVGFHDHLQSLPWFHKALVVSPP KTHAHSGGGSGIVRVVILLTDALDPFNLLLFLGFPPSLQFDHLLSRLRWNAMLNVSLH DIFTNKRFNAAKHFALRSALNVCVGRQACGQTVQQACCQAKYESSARDTEDEIIRQEL RQHSRHVTVPTPNKLDPRVALAWKDQSQRNQREGAGRWHEWAANIASFLKHAKTMNVN VHVATIADLIHGDSVLHTLFHRDTIKDVRPTKYGEVEIVHMIGYLCRPCASVSRQVPF MHCGPNGRHRWRTSSTRCFKGRVGMSRPLLQLGFGKSMPYTSPSCTFTTRSRPT H257_01762 MVLSSPRRVLQVDDLTVDGISDEGDVVPAPTTAPERISPQRRTA RPRQSRTPTPPSTLPTSPSSSTETTSAGSTPRPTVTTPTPTTPRVTTLPPTTTLAVST AVVTTTTSPTPSPTPSPTSSPTSSSTQSTLLPTTSLATTSTPAPLLPTTPLPKTPTSS PIPPAPVLVPTTLPSVESADLPWGDIAPPSTTLFEAFDPTTGTPSTPQATSFPVSAIV AIVAGVLAVLVTAAVVAYYRRRYRQMSLQYLQNMQSTAWTFDDATVDNDSHAPSGPPR SPSMSIWTNPNRPASEFSSGFKAQWQRHAFPSPGSTADYQARRARLSSLQTEVNHEGM RHNGWYQASLADSSVAAHHPKMAMPLRHGMTGGSSVESLSRLDPAQRLHMNRQGHNLM YDTHQASYISTANDHECDSAISFKSSGGESTSPRPTTKRSTRGDNHLSMSSWDEP H257_01763 MRVGSIVLAAVMATTMAGSANLRALQDTSPIVTTTTSTPTTSAT TTTTRTPTATTATPTTSVPATTIPSTPKPPTTSSPSTTSSTSPSTTAPTTTPPAPTTS ALSQSTTIPSTPKPTTTSSPSTTLSKSQDPQKPPATTTTTTPSTDAPIVTNPVVDSPL IIVTDTVTTTSKPTLGLASTDKGTSTGTYLGIGAGVLAGLGLIGAIIYFLLKRQNDDN NDDDQVSPGFKDLGNDPTYGAPAPAAYKANPPPQKYEPTKLAPANYTVHEPQPQPSPS PVPILQAPTVEYHNIHTNNSTILNTFRSAQEDNDFEEAHPHGSNRHMNEGGAYGGGGK VWTSAKHEDSHGEVGFDPEFESSSYNSSANQSAFNDDYDLQSEGSRDSSFGTRNSFQS HMSSDLDHNDFPVHKEPSAAERGSYEL H257_01763 MRVGSIVLAAVMATTMAGSANLRALQDTSPIVTTTTSTPTTSAT TTTTRTPTATTATPTTSVPATTIPSTPKPPTTSSPSTTSSTSPSTTAPTTTPPAPTTS ALSQSTTIPSTPKPTTTSSPSTTLSKSQDPQKPPATTTTTTPSTDAPIVTNPVVDSPL IIVTDTVTTTSKPTLGLASTDKGTSTGTYLGIGAGVLAGLGLIGAIIYFLLKRQNDDN NDDDQVSPGFKDLGNDPTYGAPAPAAYKANPPPQKYEPTKLAPANYTVHEPQPQPSPS PVPILQAPTTTTSRKLTLMGRTDT H257_01764 MALGQNATNPPTPTITAPSTTVAQPVTLAPTTTPPATPAPTTTS PPVITSPPATTSPPATTSPPVTTSSPVTTSPPVTTSPPATTSPPITTSPPVTTTPPET TTPPVTTSPPVTTSPPVTTTPPATTTATTLAPPTTAPVTETSAPVTTAAPVTTAPATT IETITTTPALSTPSLRPVTTSMSTPTKTPDTTVTPTTTNATGNIAASPPAPTAMPVET PSTTVAQPVTLAPTTTPPATPAPTTTSPPVITSPPATTSPPATTSPPVTTSSPVTTSP PVTTSPPATTSPPITTSPPVTTTPPETTTPPVTTSPPVTTSPPVTTTPPATTTATTLA PPTTAPVTETSAPVTTAAPVTTAPATTIETITTTPALSTPSLRPVTTSMSTPTKTPDT TVTPTTTNATGNIAASPPAPTAMPVESTANSNVIWIVLGGVIGALAAGICIVFVLKRS RKDQGRDDDVISNYGGGNLSSPQIGDYKSNLSTSYLLRTVPAVVSPSAIADQSPPLVV GGQVNMPDEEEQYYSDLDISRPSTPAEDMVVRRPNGSPNLWQSAMTSSPRAFQSSVFP SNLSDSYSIRPSGSSDGTPSESFSIDRLTPSRDPHSL H257_01765 MFRSITHLRSRAAISKASHVSRVFSTVDAYRLTDQFARRHIGAG EEDTAVMLQKIGVNSIEELIGKTVPSEIRLTKPLDLPEALSESQAFAALKKIASQNKT YKSFIGAGFNDTLTPFVIKRNVLENPGWYTAYTPYQAEVSQGRLEMLLNFQTMVSDLT GFPVAGASLLDEATAAAEAMALCNGAFNGKRAKFFVSRDVHPQNLAVLETRAEGFGIE LVVGNPLTDLDFSTKEYSGALLQYPNTFGSVEDYTKLTDAAKANQSLVVVATDLLALT HLKNPAEFGADIAVGSAQRFGVPLMFGGPHAGFIATSEKYHRKLPGRIIGVSKDSRGE RALRMAMQTREQHIRRDKATSNICTAQALLANMAASYAIYHGPDGLRKIAARSNLFAA TLAAGVRSHTKATLVHDHFFDTVEIDLAGSGLSGTDVQRRANAHEINVRVISDSRVSV SFGESAELSDVGKLLYALGATEVVSAAALDALKPAAAKVQSSSIPASLQRTSPFLEHR VFNSKHSETELMRYLKSLEDKDLALNTSMISLGSCTMKLNAVAELEPVSWPEFTNVHP FAPEDQTLGYLEMIDTLHKALAEITGFAAVSSQPNSGAQGEYAGLLAIRAFHKANGDH HRNVCLIPVSAHGTNPASAVMAGMKVVVVKSDDSGNIDRVDLEEKANKHAHELGAFMI TYPSTFGVFEEGVKDMCDLIHKHGGQVYMDGANMNAQVGLCNPGGIGADVCHLNLHKT FCIPHGGGGPGVGSIGVAAHLAPFLPGNPVVLTGGEGNHVVKKANLAVSAGPFGSAGI LPISWMYIHMLGEQGLVKATSHAILHANYMAKTLEDHYDIVFRGKNGTCAHEFIIDIR PFKAHGIVEEDVAKRLQDFGFHSPTMSWPVVGTLMIEPTESENLAEMNRFCQSMILIR QEIEDVVQGKIAVEDSPLKHAPHTVDVVTANSWDRKYSRTQAAFPAPWHNEGKTKAFW PTVGRVDNVFGDRNLVCSCPPLDSYIEE H257_01766 MMAAADHKARGNAAFQLGHFAEAIDCYSLALDRDGDPAWNAAIL CNRAFARLQLDSPDEAALAEGDCSSVLLLDPVNIKALFRRAQARMVLGNLKDAKADLE SIVAMEPGNPQANASLDIVRVQLAAQTKNGVFNPEFQAQLLNNTAQVSVLPPAVPLRL KSPRQDKANATKSHRYNEDFAEALRQCSIVAHNEAQSHATRDQEVAKAAWDDLQALEL TVTKSARGLSKQVKKHAKRVPTQAKPLVTSTAQPAPIKAHTDALWAQLLEDERKTRSV YKSKVRSKKAYG H257_01766 MMAAADHKARGNAAFQLGHFAEAIDCYSLALDRDGDPAWNAAIL CNRAFARLQLDSPDEAALAEGDCSSVLLLDPVNIKALFRRAQARMVLGNLKDAKADLE SIVAMEPGNPQANASLDIVRVQLAAQTKNGVFNPEFQAQLLNNTAQVSVLPPAVPLRL KSPRQDKANATKSHRYNEDFAEALRQCSIVAHNEAQSHATRDQEVAKAAWDDLQALEL TVTKSARGLSKQVKKHAKRVPTQAKPLVTSTAQPAPIKAHTDALWAQLLEDERKTRSV YKSKVRSKKAYG H257_01767 MTNRIDATFARCKQEGKTAFITFIPCGYKEKADTIPILLACQAG GADIIEVGIPYSDPGADGPVIQRAHQNGVDQGITFADVLQTVSDARAQGLTVPVVLMG YFNNFLQYGLDTVCPHSKKAGVDGFIVVDLPPEEATEVSALTKQHGICFIPLVSPTTV DSRMRTIDRSMASPCILVFLTSNVWFCMSVALIAAVGSGFCYVVSLTGVTGSRNAMAV NLEEFVIRIRKHISLPLALGFGLSSKAHVQAAGKLVDGAVIGSKIVNVIDEAPRDQRA QKVKDFCLSITQ H257_01768 MGVEGEIVRISLPNDSKLGIAITPPKHGVTVRGLKIDKVQNELF VGRISHGDLLLEIGGVKLDGMKFADAVNLIKTMPRPLELTFEIIPPHLKKMATTREGG EEVIDKIPSYNVVLDGDKIGFQLDDGSRLGIDGTVVKAVRDQAEDAGIAVGDIVYKVN GTEVLFMSLKQVQKLIKATLPPRSLDFIPKANLEEVQRMNQELSAMEKQLRLPIPKHE VEEKSITEIIKEHRATKIKEGPMYKQGRVVKNWKLRHVVLRVTKLEYFKDARDKIPQG IVDFENCRCTVRSLPSAVKTPSTPGGHLLELAAGDRVLVMSCTTDNEKADWLEALRVA IDASKAVTRQESSLGYNQDPSLLRRDETILHKTFKSIESSGGNFVKRRESIALNQHPS HAQGVNPPLTTQLSIQAGDASHSAVKIEVCAVANLTKAFFHVLNPYCEVTLGAETFKT PVVRDTLNPVWNEDNKVVFGVLDDDAVVEIRVFDERSFRGSELLSTLTIPLSSLPPMQ KIEHTYPLILADRSAHASITMKLEFSYNNTSSSNRTSQGKTADTLPPLPPTTTPPVQG KNAFPDVNLAGLTQDEIDQLNEMSDVADAEADDASRIAKQAQELANSLIEDARRKAEM AIEAAQLEAMGQKAAAEEARAAAKLAREQADLQMAAARKAQEELHEAQKRRQLIRSGS TAVNLGGSSGGQSGGFGQFLAYRTMFVREKVSEEEVRAKMVSDGIDDEQITHFFEGIK DYRAKMAELEQQVETLKRERSIKKREEEDASSRPKEPSAADMLQSGTISSDQEKILRR LLKLEKQLQQAGIVVAEDIPYEEAKKKVEEISRRMGEIGSADVTHPDVTVQKALREEY FKLEQDMEKYNGALMLTDEYAAEQDRKEREWEEENREANEKAIRAIRRMMPVDVKSMS EAQLQAIETSTGLKLSREVALKFKRTNVLEILRSNPMDLQKNHPSLLDGLRVTGLTVT ERRALYVHLRDVAETWKAQQKEEMAGRKYAWFKSLKDTFKTAVGAYNRHVAQYGPPGN HPYATRDDPGVGCPMIGKQCPLKADAAPAYNLDLGFPEGPVYQQVNVTLSTPDDAGAA AKAELEKLKAAKNEAASIERENDLKEHYKHIKGNTLVMVKGANGACENLSELVDKVEL KQRDWLKKNLDSGEPSASGKKAEVAEFDELIQELRLKSNQFAERSGMYLQGRRNPDKD TADTRSFHELNHVLLMIEAIDDCFAGLDDRMKKVRFDDEKRLRGAMKTVTDVTNELKT RSQTTLKALGPTPALARKLKSRAELLKEVKAERVASGGGGGGAAAAAGDESAPARPPH PMMGGRGRGGNPLLAAINAKKKGDDDDDDTGRRPNPMGGRGRGNPLMAAIAARKKGGD DGDSDPPPARPANPLMAAIAARKKPDDSGDGAPPARPANPLMAAIAARKKPDEDEAPV RPVNPLMAAIAARKKPEADDAPPARPANPLMAAIAARKKVE H257_01769 MESFQTLVTPANVVTAINHRGGRVLAARRMKSLCMTVACRPLSY VDTYVMCDRCCRLAVDDFVRRSPKTFIRRAFSNFLPRWNLGRTLRIRVATLELTLFAL SYEQHVTIAHEGSGGGDGSSPG H257_01770 MVWTCDKCEYSKNDDGAPACVACTSLSSVRSTSTSVMSRVSSFV ATSSNNPEHDFAKVIVIELPAKQKLGVKLMPPKGGVIDKGLSIDNIDNPILDGKVAPG DLIVAIGGQSVDRLGFGDAIELIRKMPRPLAISFEIVQSRRERVIRKKQREELESQAM GGDGGAELPTYAVVFEEGPMGLNLEDATRFGIDGAVVKAVKGQAQDNGMISIGDIVCN VNETDVLCMSYNHVMQLIRDSKVPRTVQFIPKDRLADVQRLNSSRHSEPSIKGTSASS AFPLKAGAKPYSSKYEASSRIVKDVDDESKSIQDIIRDNRSATIKKGKMFKQSRIVKQ WKARYFVLSVSKLEYFKSPSSTSSRGELEFLHHRCTVRDMPSVSDMISKSPPVSGAYL LEVQADEKKLIMACTSETEKKAWMDALKLAIDASRTIFAPRSAFTSGDGSGRLSQFDY DSFPTPVLHVTVVSATNLAKAGSSVNAMCEVTLNAETFKTTVIKNQRSPEWKQDNTVT FEANKDDSVLEVRVYDEHGLFRSSELLSTLTVSLKSLPNMQKIQKEFPLVLGNRAAGA VLSLAFEYVNKAKEHRDRHRSSSRGQLMGGDNEIGKLKAESQQAADDAVQQAREADEE AKLLLAEANAKAEKAELAARAAREEGRAAVEAALQEADEAKKFAERQGEEAKRKLEEA KALIAANERIQEKTKSLPNVQYSEAFLQYRKMLLEGTYREDDVRKQMKGDGVEDASID AFFADLQASDLKIKELQAEVEKLKNGRKHTTNNNGGGGGGSRNHQFVEENLSSDQALL LRRLLILEKQLQKAGIAIAEDIPYEEAKAKVDTIAKRMGEIGSADVTHPDPAVQKQLR EEYFKLEQDMEKYNTALMLTDEFAAEEERKEREWEEENYEENLAALKAIRRMMPVDVA SRTEAILQSEPGPSGLKMPRENALKFKRTNVLQILRINPADLARSHPSVLENLRITGL SVTERRALHVHLKDIALQWESQKGEEMAARRLQFFKTLKDTFKTVVSSYNRHVAQYGP KGQHPYLTRGDESGVGCPLLGKQCPLKADASPAYDLDLGYPDGDVYVVSKVQKPQAED AGAMALAEAKRLVAEKTANARADTLKKHYKNIKLVAEANGVCERLDSEVDKLETEQAA WFKRRYTHDLQGTANATTVKVELADFLTFVNELRLATTMFCDRSGMNLTGKRDPANDK PDSRSVVECSLAISFCDAVVDCFGGIIYRLERLKIQEKRLQSTIPAIQALVTELRERS VATMATFPPHKIAMRKLKLRADVEKEVKEQINAETGGGGGAAATPATPADTPARPPNP MLAGIRGGRTGRGRGGRGAPSGNDDGPPPARPDFLSSIRGRGGRGGAPPPGDDGPPPA GGRGGDFLSAIRGRGRGGGDLLSAIRGRGGGGRGDLFAAIANRKQAE H257_01771 MEVVTDVQGGLLVVVLDTNPIYWMHEKDFGLKHAIESVLLYVNA YLLQHRNNRLVILAAHAGKSVFLFPDPDGVNRTGSAEQFSSVKEQVWRKLTELSNAPI DEANNKTSLSAGLSLALCFINRAMNESRELQPRILVVDGSPDFAEQYISIMNCIFSAQ KKHVPIDSCVLASEPSAFLQQASYLTGGIYFKPKEPQGLVQYFLSIWLADADTRQMLK LPTQASVDFRAMCFCHKQTISTAFVCPVCLSLFCEFSPVCSTCGIRSQIKPLKAKRPI HQIS H257_01772 MSSPNMSVEEGEPVRAIIIGAGQRGRTYAQYALEHPDLFKIVGV AEPREYWRNYVASTYSIDSQYVFDDWTAAAAVGKFADCVIIATQDALHADPAVVFADL GYHILLEKPMAVTKPDCLRIHAAVKRNNVMLSVCHVMRCSPYSLKLRELTRQLGTVVN IQHMEPVGFWHQVHSYVRGNWCREADATFMLMAKSCHDIDYLHFLMEKPPRAVSSFGS LVHFRPDQKPVGASTRCLGCPVEAECVYSAKKIYLGVPPIQVSQPVVKIEEESQHGQH DPVGRKSLVPWYNGDRSAAAGYQGWPLSVLDDEPTVESITEALRTGPYGRCVYACDND VVDNQVVNFQFVDGATASFTMVAFTEATCVRTTKVFGTKGELTADGYSIRHFDFLSRL VTEHIPSTLSSSKSKMTGHGGADYFLIENFVHGVRTNDPSVLLTGADESLQSHLMVFA AEEARVADAVVHF H257_01772 MSSPNMSVEEGEPVRAIIIGAGQRGRTYAQYALEHPDLFKIVGV AEPREYWRNYVASTYSIDSQYVFDDWTAAAAVGKFADCVIIATQDALHADPAVVFADL GYHILLEKPMAVTKPDCLRIHAAVKRNNVMLSVCHVMRCSPYSLKLRELTRQLGTVVN IQHMEPVGFWHQVHSYVRGNWCREADATFMLMAKSCHDIDYLHFLMEKPPRAVSSFGS LVHFRPDQKPVGASTRCLGCPVEAECVYSAKKIYLGVPPIQVSQPVVKIEEESQHGQH DPVGRKSLVPWYNGDRSAAAGYQGWPLSVLDGTQWSVVGTCMLVGWNDCS H257_01773 MSKPLVDVLLNPIELFRTTLPSALTLVAGVGIGYLCGRFRARPS LKYIVYALPVALMSIVNVVTDLGAINTALFSMLGHSLGDSVIAVGLTGGIATGKSTVS EVFKKFNGVIIDADAIARQVVEPGKPAHTKIVAMFGNDVLNADKTINRPMLGSIIFNN PSKRQALNSCTHYYIIKEMFYQLLYQRIVKQRKLVIFDAPLLFETKLLQYFCGPIIVV ACSEAAELQRLMARDSLPQDKALARIKSQMSIEEKVKLADVVIDNNGTKEELEVAAEA TLRSVAASIGAASEFKRVVFPKKD H257_01774 MPMAPPFSLIVGDTSWVVTIESTQSGYEVQATNGSDLQCSLHID ESSVKKLPKHGAINDHDDFNVIFNHLQLSPHPDGTTTLLLRPPTTELEPQTSSLAQLL SATQISDRRPSLDNLPVLSGDFKKRTMSVAIAPSSASPSTAFPPIIKSPSRSASMSMK GGASPSSSPSWTKLVKVVRRIPVFELEEAVLRNTHDVKLRVELGLRYSETFATEMPAM LLLEQASLFSDNAAVGWRFWNTLGDLHFSLLKRYPRYNYCFAFHLQKCTSALAKALSY IENVADTSLIVKYATGLFMKGERELPYELLKSLSLTYASNGAKLEPAAVVERHALLFR VTLANSIMHEAVHHITKLIELVTADTTLLPTGYTLNDFHLMLARCAQIEGDFLFATHT FSRILGETFGHSTVYSDDQYFSLWHHLGTSCYDQGHMWLCIEYYTLALTYAKHLPMRA TIYYRRGLAYYCIGEAIKAEDDYRRAKSACHDVKPDVTLHDLKKEYLVEFDRLLDTPV ATLISQVRKGMGKTTASIKVQRHFRHFMQRKRKKSDASRLLRRFSNSNRASSVVNLLA STEEGDEPIVAAPVPPASLPELSPADEFKCRREAALQSLQALHANDMHVTTPLKATRA KSPLKKPPPQSLQSISFLTPDYDRPEARRQRSITSYRRLGYSTGNVSCVQHWKAIIKC GQRLYPTLDAIHRAVAHVKFFHTNMPGDVAFCALADSDGQVDEACGKLSDANYRSELD CICRVLDVSAWMTMHDDGRPVELAGVPEIDPDTGRFFVHALDTSMFKQGKLPSSPEAA ITSVLSDTTHDHTPIAIPPSKLKLQQTSSVLFEKRIFKDKPFRVGHVIERHASSTSDL NAMPESIIVVDPRPPKLLQSIASTNKLKERTRQTPALE H257_01775 MARPSARQHALSSAGSALNEPPDHPSLVEPSPRHRRSVDRQQSN ADVSFDAVGLTESSLLRKSTSAPPGNKSTFSGPRKASFLRDAAPATDDGGMQIGALSK VEAEFDFAIILKPSRSDEQAVVGNTDRHRPSVAPPLTTNLKGFYKKNPLQGTTELLRR LHNAGLETKRIRSLDGKQLLVKVKAPQAVLEFGAEQMKLKKQRRGDLMWIEFSSSLRA TFVDFDTTDDSCGVMQFLDKDKQSIVYHLLTSDDGGAGLNDHNDLFVAMVEHMMPLHK ANLEHLRHSWVCYWRPPRGVASSACWVSRQVSCLGRLFGDCLTQPLDDVATYFGEKVA FYFAWVEMYTKWLVAPVVVGIFLFVQQVQSASLDQPLAPVYALFMAIWASLFLVAWRR RANSLAYRWGVLGYEEDDEVLRPAFRTSATSGLTWKRWLKYTVTWSIVVGCIALVLTI MFAAFTARDRLEDESVSIQNNVTATLQDHELTLSTLSSIHDVLTPRFWFYCLVMPMLY GLCIPVFDIAFTWVATTMTTWENHATESAHQSALILKVFPFRCIHVFATLYYYAFAAQ NNLLRVAIQLATFMLSGQMWNNVVKTGLPLLRRRYQLHRKRQATSKLLETDVRHLGGG VAAGGTKGLSSVTARANHQCLRLEHATSEVWDECNMYPYDTFEDYTEMLIQFGYVSFF SIAFPLAPLLAFVNNVVSLRVDAFKLCHTTQRPRADKASGIGIW H257_01776 MSTTASTCRHKGELKAPQSMHVLPKSMANRSSSNCAGVFSGTFR LRPPPRPGPVLGVFFRANSLGGHMRSRMYSFRMSSPSSLRASYTYKDELAWSFPSNRK HSRRWISMRASIRRRAGTS H257_01777 MDTSLENTPPPPRRFFDVGPLAFNTEDDEEARVQLIVWVISGTF VLTALVISVKLIRNHLVYFAKPLVQRKIIGILWMVPIYATTSWLSLRFKGWSLFLDML RDCYEAYVIYLFLALMVAYLGDGSDDRVVRILRTLPDLPHPFPFNYCNPPIKMGKRFL RDCKMAAMQFVVLKPLTGFAAILLERFDLYGQGHFVVNRGYLYLSLIINASVTYAFYY LVLFYLALGTHLKPFNPVPKFLCVKAVLFLSYWQSVVLAFLSRFAIIHELGTWTTDDV TNGIQNVLICFEMMVIAVVHTYAFPFEAFKDDDMMFANAMDGGTLRDSILSENFAFDD ALRDFNEVMPIVLPSGFKPSSNSRRTDKLLSPPRGGKVTKTKVPASIKHGHAAAVTPE DADRGWKL H257_01778 MGSDRKVNLVSSEGESFEVSVDVAKNSRLIHEQVEEDADEGQEI PLPNVKTNVLIKVIEFLNYHHTTPMKDIPKPITTKFEDVVEKWDLDFIDQPYEMIFEL ILAANYLDIKALLELACAKVSGIIFGKTPDQIRDIFGIEQPFTPEEEKFIRDENKWPE TG H257_01779 MEGGSDDRLKRRHDSISPQTPVEIRKKMRRHARTVHEEEGVDDV DDVMPARLASKRKVEKHPSKAAAVLAKVAKKGIDSDDDGEVPSIPGTANPRGDTLYQT PPKLKATRSVFEEATLDRHHASSGGDANLVLPPPARVLTEEEMERRRRRRNSKTFQSR RKSLTPNAKTKQYVSEMYSTIIKMSSENKINSKNSWSLHLIDHIDDILSGKRHDDEPA DSAAATDTYNFQKASCTLDASVKIYSYRVDDTWNSSFKVLENLTRGDEHDGGGGGDDD DDDDRAERRTTSKKLTVANTIETNLKHINMKSVDLEFQVDPLFQKMSQAFDEGGAKGM LLVNLSVHNGCKIVLDSSNVKAASNTPADGHAAAADDGADDKLPRKMINISGLTKRLR ASATVVESFDICPKLDHFYDQLKTMNNEYFDKKISVPRLDLAASSHNRRLTLLSQGLI TPRKTPLKPPANAEEDANPLENTSTLDIDQPDFGMGGNDGDDEMGQDDNDGAGFETYA DDATQQPSGRSQHDDNDKDAIEKTEPEEPVVVAKPLNFDDDNETSYLLESALMRSVNA EQMDEYSFFDAKTLKNWAGPQHWKVKLPGIRVKKSAVVGRAADKQGGDDDDGGGGPKK PKTSRTTTPGKLQWSLTPTQVTQALKKPRQAASLEISPSILKRNESKAAQLVHPVDMH MKVERFYQLFTRPRSNVMFASMMAAAPRKALHHSFSVNNQPNLHTNHDDDNGVADFGG ADYLHDDEDFQVSGLIQPDRIVDKVDIQYERFAKRVDVKKLKESIWGTLPFQDAAMDD LTRATTDLAIQSKVSFEELVQDVAPKVPPNVTVSFYFICMLHLANDKGLELVGQDDMR DFQILHDPSVPR H257_01780 MMVLVQQCRRASFSPLEIEHTSMTTSNRNLTLTSSEGDAFEVPV HVATMSEVVRQVVEDTADSDDVDIPLAAVKTHVLVKVVEFINYHHQHPMKDIPTPITT SFEDVVDDWDLDFINESYEMIFELILAANYLDISPLLNLACAKISGVIYGRSPDQIRE IFDIEAPFTAEEEAFIRQENQWPEMG H257_01781 MDPFKEDDGGDAPEQPAALYSWKRSDGSVRAQPNNDADEGDDIE DVASNADIPIVSMVDDLPLFADDANKKLHAQIRANEKRMQTVKHEISEAVGRHAIMDE HLKNVKQELINTQSLQNSKLKEISTEEHLRQVSDREAGRVKQELKRLETDYNDIENKL NAIQNFLFKGNEDMDNFKMQMNWNQDEMEQWAMAAKQKEDDNMALEKYTRADDSRIKE LTLEIEKLTKALQTQKQLVENEATETQAKQIELDKTADEFRSMHEERQKLVKQWQDTI DAMKRRDTEIAQAGDTFAAKKLALDEKKDDLAEHKHRLKLHEQDNNELQLKIAAKERL QSKIRADLMAATTRLQEFRDQVELLKNQLTSAVTQLNQQRSYNAHKASNLEAMKEDLD VNRNRYKTTKKILDACMNSTVDAETLAKNAETDLNAMETESKRFDKEIALLKDQMFRR SQALFTLRQSEAGLIAEISGAQAANRNLQAKIKLLDQSALRQQELVYNGEFQIQQMER KVSRASGERSADETKALKAEIDTLQAEFDEALAQYTMLQTQCKKLSDERAAQDRAKKA LLKTRDEITAKIAKHELENESASNSLRGILREKEELLVQADLQKLEVKRLKDLLNSRA DEVFSLENREFQLKKSMDERKREISVHREVQRAQVKAAEEERHKISVEYGDREMRVTK LQAKFETLCKTSPFGNADDQDGQERSQAYFVIKAAQRREELQREGDELDDKIRVSEKE VRALTKTLQHLEGRNTEYRKSFHRADMVGEDAAKMNQLEDQVKSAEDTLFKRKKEIQR LQMEIETEHNRIQELEIQHRHFKEHNENLTAAQAQVTKELEFEKDKVAKVTKRMDKLV KDLRNKRDAPAGTIEEVVLDVFAAKEINNGVLYTLGQLAHEFPELSDVLQTSVKALNL HIPALPPGSTSTRSTKGGGGATDSRPTSARSDRSDTSSVKSSGSNGRAAQGRRAAPMA KVQMTL H257_01782 MIKLPKWLSRKKSNASSIPPGIPPSAAMTAPLRSQSSLSVPTAS RLQGNGSTLQTTAPPSQPQPEPLPFQHPLYSMDVDGMIRFKATGKQLDENDIAIHQEE ILNAVVGYVQDTMKREMGFQEVYLPSNSPQHLKCNVFVSSNYLTAKKLVLFVAVSRGL SPGIWSRGLILNSGVRAGSMLAYFRKALDEGYGIIVPNPNKNAVMMRDSNKKVPIPGS ASPEEHMDSVWDAFVSPADAKRVFFIGYSYGGVLVKYLLHSRGEALLRRNGAVALIES SHRIEDGDSQTVKSLLAHRAMYWEVNHDVPLQAKMDGDAPYRTGCTCLSAGKPPRVLT NHYFVAAFCIKTVMDPLFRFLNVRDATTYVDTEKNRPADLPPPPPASIDDGGGIYTMD TSTNTFRPDMKRTQSGKFNNPGSEKHCNLCLFHFTFLDRRHHCRMCHRAVCNACSRDR LFMPGASTAQRACTDCASDGNKPFPPPESLLRQQSGGSNVGSNSTRANSSGSNADQLA TDPREDRNRSTSFGEKLAKSKLGVEDFDLLKVIGKGAFGKVMLVRRKDDGTEDSMTGA TYAMKVLKKANVFAKNQVEHTKSERRILRDIDHPFVVRLRYAFQTEDKLYLVMDYYTG GTLFFHLRKSRKFSEKRSRFYAAQLLVAMGHLHDVNIAYRDLKLENILMDDKGYVALT DFGLSKENVDVPDGAKTFCGTAEYIAPELLKGLSYGKPVDWWGFGTLLFEMMTGQTPF FDKNRKKMFHNILHRDVIFTPAFSEDAKDLLTKLLLKDPAKRLGSGPSGAQEIMDHPF FASIDWDKLLRKEVDAPFKPVVTNELDTGNVAPIWTRETPRDSPVTSQQLGATARAAN HFEDFTYMPSNEMTKNV H257_01783 MLLSIAPIIHEPDDDHCAKTHYTAIKSVLAMFKKAIKQCDFVVG DNCSVNKKLVKLMSVPLIGCASHRLNLAVKAYTQQHEDELVKIQQLMIKLRTLNQASK LLFRTELRPILRQDTRWSSTFAMLQRFFELREHLDHEDDTILEILPTLGETKKLKCLL EDLKKVESASKRVQSTDATMWEVRTLFDALVVDFPSFEHYIGGSANIVGNPNFEKTVT KLQRGRTLTRPEKLAVAALRSNYGADDASDEDAGFAERALKRARLDDENDTYVLLGAV TPTSNIAERLFSMARALIGLDRFSLHPIMIEATFFLKCNCSYWDVSTVHETLE H257_01784 MESSAEHAERLRKLKSKRDIEPSEEHTLRLQNIKEKRDMQPPVA YELRLQQMAARRDMKTSEERATSLLNLKARRIMQSPEAHASRLRKQKAYWDMETPEVH ATRLLNLKAKRYMESLEQHRARLDNQRAQRSLESREGRVMRLRGIQVKSLFDVQYLKH MPDKATMQQLAMNDNMASPTLFDRSIEAFTKVVVGFVKTIGRPRKTGGIFGYGKDLLH TPCKACEHVDTKYEALDPPLTAFEKPRRSEGVLPKEPIMAKCGHCNTMVSSQHLSNTA SNTYVAPDKDTFCLDHIYRELSSTPFGPNETRRDGRIALRASNKAATQELHKCADICS LGPELMLDALAPAEDGVALSDEVKCRRRLASHMFNMTRKQEVAGPLCALYLLRESCAY TSHFYKKMPIRHVLKFLHHHIDTPFEVTINIKDSTLTPLTLKVTRMKMLHHLLDQGAL GNQAYSYRLDISWFEFTSKFFRANSADGTSSDKLFVERHSLHKSKCIGVHRLARIPVL TGGVRVPFFGDCLKPVERDIHAQVALVMFKPFRVLSDLCPHGSTWHAAWEAFQPTMST ECCKDKNVQGGRTEHPNGKRLMGALSLGTSTTLTSKAHSWLPVDIQEPATWTVMMHSE LYQAVSSSEMSNKVKFPTMTPIEADIVALVGGKSLDEASTTTSSSYMSILGTWDQRHR CREQFASDVKGLKTWMSKKRVKRVVTSKTNSVLKKAAMHRWLGVQPSGEIPTLVQVNV PGALDPSTILWIGMHNLTPSTVHDAKEADEKGVPGYTEDLKLLLVDEMSMMSKQQLFD STRHFVHGRS H257_01785 MLVIPHHLIDPDDQPPTLSYRIGRRTISKPGKHRRALKSPSPSG TNSVGTPTNSTPQHRVKHEAATACRAQRLKFLPWTDTSWTNLRTCIIHRGDNNRTLIA STAAATTDPAQTPPGPSHSMLACTACQRLADTTIYLDCGQWHHMSCIPHCRVIPDHST PTYGLHTLHLRAARTHSIGDGIVTHQEMALPSLDPSKYMLPTSQPRRSTGTPDDSLYY HPPLILPLATPTSIPATATSSGPRDTKPPVA H257_01786 MDVPLRQQQSGIDPVRIVYSQHPQGAQTRTISSLFIHNALDIST SYAGIQDRAQISNYFTGQSASGGVFGEDKSFLFYLSQRAHPDIALNVGLGIPQPIRVL MEPLEACQLDNGLTDHPSPFFRIPTPLFLPALNITTQWHQPPANLPRRPNDRPIWDYL TPALGTTLISINRLHTIKVRWVGDITNDKGTMLQSLWLDHSNSLFPFGMPSP H257_01787 MVRVQFSINPSLQHPDNLLSIVNYRKEIETICEERFGFTFHSDL NEHGQQLLGTPIQRTAQAWAAPRRGYLFLRDIAVVKLYQYAGVLSNGLYYHQLEYYNR SKLAPADLLCDLHALGTTDAIVQRCLCPTARVTIGRPLAKPWQKLSMPTSAHMRLEKT DLTSAAALVDTKVRIGPLPTLTTPEDILAALHGSQLPTPNPSSYTYSWTAHTTNTKNV TASRIWTPATVHAANRVTNPPAVAPRAVRSHDHPARVVSHAPAARPLKTPPRGAIPPN LQYPLQRQPVPAPAPTTDFGPHRRRELFSYVDKRIVKATDPLQQEVDTLTALVSATSA AFTTLHARLLEERASVRPMNANKPRTSAP H257_01788 MTARGQNVPLCGPMNNSLARPCYLRQHPPYAADSSSLPLRERQR QPKPTILPLALSLQTTKGSTFSTARPTTPTSSKITRSSSTQSSPPATWSRTQTTDNTT NRNHRPGAPTHPPSTTEYTHYYHTPTQKGIHINSNLEHPLEHDLQVPSIIPLPHFGYM SSRGTHRHRCTTPIRPIIAPITGTTRYCSAGSSANPVHEPCPPPSAHSTPWYIYYAPN TLRPSPPGQPPSIGTQPLPYYQPAEQRAQMGHATDQQTAQTGQAPVQPMTPLGLDAVQ ATPPPALLPIHPLGTICQTPTLRSGTRPEPCPSLFPTTTGQTTPRYAIPANPFLPAGP IRPSIAHTGRC H257_01789 MIKLPKWLSRKKSNASSNPPAAPPFAPPTAQMTAPLRSQCSLSA PTASRLQGNGSTLQTTAPPSQPQPEPLPFQHPLYSMDVDGMIRFKATGKQLDENDIAI HQEEILNAVVGYVQDTMKREMGFQEVYLPSNSPQHLKCNVFVSSNYLTAKKLVLFVAV SRGLSPGIWSRGLILNSGVRAGSMLAYFRKALDEGYGIIVPNPNKNAVMMRDSNKKVP IPGSASPEEHMDSVWDAFVSPADAKRVFFIGYSYGGVLVKYLLHSRGEALLRRNGAVA LIESSHRIEDCDTQTVKSLLAHRAMYWEVNHDVPLQAKMDGDAPYRTGCTCLSAGKPP RVLTNHYFVAAFCIKTVMDPLFRFLNVRDATTYVDTEKNRPADLPPPPPASIDDGIYT MDTSTNTFRPDMKRTQSGKFNNPGSEKHCNLCLFHFTFLDRRHHCRMCHRAVCNACSR DRLFMPGASTAQRACTDCASDGNKPFPPPESLLRQQSSGSNADQLATDPREDRNRSTS FGENSAKSKLGVEDFDLLKVIGKGAFGKVMLVRRKDDGTEDSMTGATYAMKVLKKANV FAKNQVEHTKSERRILRDIDHPFVVRLRYAFQTEDKLYLVMDYYTGGTLFFHLRKSRK FSEKRSRFYAAQLLVAMGHLHDVNIAYRDLKLENILMDDKGYVALTDFGLSKENVDVP DGAKTFCGTAEYIAPELLKGLSYGKPVDWWGFGTLLFEMMTGQTPFFDKNRKKMFHNI LHRDVIFTPAFSEDAKDLLTKLLLKDPAKRLGSGPSGAQEIMDHPFFASIDWDKLLRK EVDAPFKPVVTNELDTGNVAPIWTRETPRDSPVTSQQLGATARAANHFEDFTYMPSNE MTKKV H257_01790 MYHEGGREVTEDFEAQIAFGDGGFHVELLNEARCMDGQHQVLVK WLGLDDEESSWEPAVNMLDDIPVVFRKWAAVNKEDPTVAALIKTLDFP H257_01791 MDNAKYHKGRPRGTPNSRQCKRTLQEACVAYGIPFEEKGIQERL VAKAVGGHRVVFTPPHHSDLQPIELVIVKGQVGRRYADGTGLSEVKARLEEAFDDLKP SSIQGCIKASEVKLQKLYDHLVEIDAFESDEDSSAQSSGATDDSDCEYSS H257_01792 MVKKPGALNTRFEYLLAKHETGESASLRKSGTTEEYSEHDQLLT DIKLRMDDFAKNEAARKDAAKRKLEGVENSGLVMRQLAMAELETSAKKIEDAEITPIK QSKKSKKPAPTLDIASLMGIIREGIENKERREAQRLQYDREQANRHDEQLAAQQRNSA GK H257_01793 MHNDTAVHKFRFTIDQLRVLAVALRLPAFISTPSSDQVVSLEAL AILCRRLTEPCRLFTIADEFGRSVEACSRIVRATATSLYKTWSDVILFHEALLIDRVE IYSGAIESKSGLRGLHTCVAFIDGAKQYISRPSARAEGQEQVNLQRSVYNGHPRRHCL NWQGITAPDGIIISMYGPVEGRRHDSTMLSVSRILDRMKEDGVLSRY H257_01794 MDPSEWHALGKAQYQKHRLFEMAPSWKEEVASLGDLKEFLVVPA QFGGPIAMIRDPSRLVKMDSKGSLSRTLYIFNACGAKLASISFSSYDTKKKTLFGMFW TDEMRLMCVFDDGDCVSYSIMGSVETSFALFPADSRFKVISFESWGGGLVALLDNFMI AQALDIDSVKPRVYCITDTGVSPTNPPTCMAVLDPRFVKSGMPEIFLGTSSNSLVIVS KGASSGEANKVMDMQLQSSIKAPISKIAIAPNGTFMAVFSQDGTLTVLNTTFDKKILA FDTQSKASPAAMLWCGEDSVLLYWQSVGVIMVGPLGSWLKFPCDDGPVVLSQEVDCCR IYSSNSHQVLMRVPRATEDIKRIGSTAPAAMLLDALDAFDSGDAKADENIRSIQTQHT LEQAITDCITAAGHEFDYTAQSSLLRAASYGKCFFDNSDAAASSNYDSEFYVDMCRKL RVLNALRKPAIGLPLTLAQFDRLSCEVVVSRLVAMRHHFLALKICDYMKITSDRVLVH WACEKVKSSFESATPGGGPPMTDEAIVSMVRKKLKDATLVSYSEIASCAERAGRHRLA TMLLDLEENASDQVPLLLSMGEFELALRKSLESSHTDLIYLTLFHMERTMPPDDVRRV LHSEPQYAEAIHLLAMFYIATHADSSKLDNIWHEVSSANHDVLTSFTERNTDGKLKKL KDAMAKYNSAKLPINAKLTEEHMELLMEQRKLDDKATGGPNVVYVGMSLSDTIRHLCM DAAREPKSLQVAAAIAKKFKVPEKRFYRVKIKALAETLQWDTLHKFSNEKKTPPCGFK AFAIACLHQGEKGQAESYASRITQPDEKFDTLVHLQMWTAALDMAVKLKDPDKLSSVR NNCPLPDIHAQIDHAAQQLGFI H257_01795 MSSLYTLPPLRMLSISSGAAPSTSMLPRNLPPPYPDRRLPPRHP SCEDRSMASNDDQNKVIVVGLCKSVDDAGLKDMFDRFGAVAEAKVMVDDKKHTSCGYG FVTYTNAASKHKAIKHMHQKCVDGVVLTVKNAGVNADRPSISGGLGNCRTKTPKEGHT IKVEHGLGRTHGENDDDTVSETGHVYSENTRPNVATSVRSEADDHHHRGHTQDGADEG TAPCCPEDGERATRLEKDRMRKTKYRKQKMAQVDALKYEAQILEQRLEKLKADHSNHH KKARHSQGRGAMWQQICVAEAANKRDSLTEQQNLYRSLEEHLHLAVSLKRKMTSANDV PLCFDPRGRPSEAYYAQHSLARHPVARVQSVHAMLDAQFHQLADTFVAKLPTADHDGT FGLVLHHDEISFLETIKFGVVYGRVVDVADLVWRHLLASRGSGTKVEMLDDDACFIQA LQHNDSVPTDTPHHYIAKRYVEPGRQVLQSRNVLHDALCPQQNPFKDHMVSWVVVEDM SHPQDKYPTTCIRGYSQTCLRSTRSASVQEYSVYMNDLTKRNDSLNSDLHRRFRCLVL N H257_01796 MGFALDLIEDAFGSIAPSTTPKKRPLNIRPSKNALFHFDMVRPF VYSQEEPPAASFLRYDLVASNGNEKIAAPVVKTVSPEWLVSVCEQHTLLHDSPIPGSE LASSIVESLKKDDAESMLFNLLGFDGIELIQEILSARASIQAFTTRQLTRAAAVASAT TPPRSPSNKAKKASSVSISSELDQYVSKHQRKEARRNQRRQGDVAADDEQNWLEAAGY DMDVIQALRESNIDRKANTSTSLLKEQFGNMEYDTSMIMSGLPAGAVKTVEKGYEKVF IPAKVQKALAQDELVPISRMDPFTYSVFEGITHFNRLQSKLFEAAYTSNQNLLVCAPT GAGKTNVAMMTILREVKAQRNPTSGRVDAGTMKIIYVAPMKALAQEVVTRFSKRLRSL HIKVAELTGDMQMTKAQIDETHVIVTTPEKWDVITRKTHEQALLTQVKLLIIDEVHLL ADERGPVIETIVARTLRRVESTQTMIRIVGLSATLPNYKDVAEFLRVSFPPHEPSGGG LFYFDASYRPVPLEQTFVGITDKGRTKQMAAMNLLAYEYAIDNITRGHQVMIFVHSRK ETALTIRAVLDLAAKHGTIDMFAPADAPINESTANTLDMHLTKSRNADVKEFAPSGCG IHHAGMLRSDRNLTEQLFENGQIKLLCCTATLAWGVNLPAHAVLIKGTQIYNAEKGCM VPLSMLDVMQIFGRAGRPQYDTSGEATIVTTHDQLDHYLRALANQAPIESALIKTLPD HLNAEIVSGTVANLPEALAWLSYTYLFVRMMKNPMAYGMSYDERANDPMLVNKRTELL KQAIQTLEDARMIKFDHRRGDFAVTNLGRVASHYYITHGTIETFNEMLNQHMEDEDVL HVICSSAEFEQVQVREDETVELERVKKSCKLPIKGENASSGKANILLQAYISNTSSRL TNFTLISDTNYVAQNGSRVTRALFDICLKKGWPVAAEKVLNVAKSIDHRMWWTQSPLR RFLHVLPFDAVARLEERYDIDTLFTLSVDEIGVAVHSPRVAEKIVQHMKYLPYLLVDV HVQPLTQGILKVSVDVRCDFEWNDLYHGSVEAWWMWVEDDHAMYHAEHVLIHKAQRLD VIQCTFHVPVFQRTTPDYTLRLLSDRWVGVDSCHPVDIPLVSEDTPPEPVYYTPLLRL HPLPVSALHQPAFEDLYSFQFFNPIQTQLFHTMYHTDGNVLVGAPTGSGKTIVAELAM LRLWNVQERHNIVVYVAPLKALAHERVKDWTRKFERTMGKRVVELTGDTTVEARVLKQ ASIIVTTPEKWDLVTRTIHATSFVHMVRLVLLDEVHLLGEDRGPVLEAIVSRMRMLRH AIRLVGLSTALANAVDVGKWMGIADPAHGIFNFRASVRPIAMDVHIQGFAGRHYVPRM AAMNKPTYHAIQSHSPTKPVLVFVSSRSQTRLSAMALISLAALAGSQKQFLWEDEDIM ATWVDQVRDPGLKDTLVFGIGLHHAGLGSRDREIVEELFLNNKIQVVICTSTLAWGVN LPAHLVVVKGTEYYDPKQGRYADFPMTDILQMIGRAGRPQFDTSGVACVLVQDVKQNF IKRFIYEPLPVESSLHLHLDNTLNAEIANGTVQSVGDAVKYLSWTFLFQRVQKNPAYY RIDTTVEDFFKKLVSAILTRLVQTRCCTLAKGVVQPTALGKIASAQYLECRSVQHLHE SLEALPGDADGDSTTISLVRIVCGCVEFAQLPIRPQEERVVGSLAGQCRYQERSWKWD THSSQLKCLLLLQLHLGQVPLPSSDFWNDLRLVLDHLPRVLGAMMDLAALLGRPSLVL VINQLGQGILYGYWPHAQSWWQLPHVTSDELALFPREFDGSVAQVKQALPRRLSDKQR QEILAIVEKMPQLSYTTTTTHDTSIHVHIQVHNAKLQSILSNRWTKPRPHMLYVLVVD DHDQLVTMVHLPYRKTISRTIPLPKAAMTAGTNNYTIRIVSNCSMVHIVKSPSTDESS IY H257_01796 MIRIVGLSATLPNYKDVAEFLRVSFPPHEPSGGGLFYFDASYRP VPLEQTFVGITDKGRTKQMAAMNLLAYEYAIDNITRGHQVMIFVHSRKETALTIRAVL DLAAKHGTIDMFAPADAPINESTANTLDMHLTKSRNADVKEFAPSGCGIHHAGMLRSD RNLTEQLFENGQIKLLCCTATLAWGVNLPAHAVLIKGTQIYNAEKGCMVPLSMLDVMQ IFGRAGRPQYDTSGEATIVTTHDQLDHYLRALANQAPIESALIKTLPDHLNAEIVSGT VANLPEALAWLSYTYLFVRMMKNPMAYGMSYDERANDPMLVNKRTELLKQAIQTLEDA RMIKFDHRRGDFAVTNLGRVASHYYITHGTIETFNEMLNQHMEDEDVLHVICSSAEFE QVQVREDETVELERVKKSCKLPIKGENASSGKANILLQAYISNTSSRLTNFTLISDTN YVAQNGSRVTRALFDICLKKGWPVAAEKVLNVAKSIDHRMWWTQSPLRRFLHVLPFDA VARLEERYDIDTLFTLSVDEIGVAVHSPRVAEKIVQHMKYLPYLLVDVHVQPLTQGIL KVSVDVRCDFEWNDLYHGSVEAWWMWVEDDHAMYHAEHVLIHKAQRLDVIQCTFHVPV FQRTTPDYTLRLLSDRWVGVDSCHPVDIPLVSEDTPPEPVYYTPLLRLHPLPVSALHQ PAFEDLYSFQFFNPIQTQLFHTMYHTDGNVLVGAPTGSGKTIVAELAMLRLWNVQERH NIVVYVAPLKALAHERVKDWTRKFERTMGKRVVELTGDTTVEARVLKQASIIVTTPEK WDLVTRTIHATSFVHMVRLVLLDEVHLLGEDRGPVLEAIVSRMRMLRHAIRLVGLSTA LANAVDVGKWMGIADPAHGIFNFRASVRPIAMDVHIQGFAGRHYVPRMAAMNKPTYHA IQSHSPTKPVLVFVSSRSQTRLSAMALISLAALAGSQKQFLWEDEDIMATWVDQVRDP GLKDTLVFGIGLHHAGLGSRDREIVEELFLNNKIQVVICTSTLAWGVNLPAHLVVVKG TEYYDPKQGRYADFPMTDILQMIGRAGRPQFDTSGVACVLVQDVKQNFIKRFIYEPLP VESSLHLHLDNTLNAEIANGTVQSVGDAVKYLSWTFLFQRVQKNPAYYRIDTTVEDFF KKLVSAILTRLVQTRCCTLAKGVVQPTALGKIASAQYLECRSVQHLHESLEALPGDAD GDSTTISLVRIVCGCVEFAQLPIRPQEERVVGSLAGQCRYQERSWKWDTHSSQLKCLL LLQLHLGQVPLPSSDFWNDLRLVLDHLPRVLGAMMDLAALLGRPSLVLVINQLGQGIL YGYWPHAQSWWQLPHVTSDELALFPREFDGSVAQVKQALPRRLSDKQRQEILAIVEKM PQLSYTTTTTHDTSIHVHIQVHNAKLQSILSNRWTKPRPHMLYVLVVDDHDQLVTMVH LPYRKTISRTIPLPKAAMTAGTNNYTIRIVSNCSMVHIVKSPSTDESSIY H257_01797 MTVVSLVAAVIFTIIMSAYGMGGRFNGRLSTPARLCCLLLKVPL LVLMALVVLARADVTFPTFYSSWLIWVVVGIMGLPLLMSVISWPAAGIGTFGPLMSLR A H257_01798 MEVALTKLNELYDMHDHYFSADKQEKKLKLQTLADEILELVDAI DIAGSNNSRQAKATASYVKGKALEVFPEYNPSSEALLSQAAKLDPCNLDIWVCLGNCL WKKGDLQAAKTCFENCLDYGPSKHALRSLSMLLRKMGTKPEEKSHNIKTSIVHAKQAL NMDIQDGESWYVMGNAYLALFFASSHSTVDLDRSLAAYARAEAGGAANNPDLHFNRAN VHRYKEDYALAVQSFCKAHALDPSLRAMAIVDGILRWTLRVSNLIHQQGRFKASRIAQ LASTLPHVGEVQGRTRVSISSLHPGHNEGKAVALKLLVDVVRKNEPPGCFVMMDETQT CCAVSIYHLDSVAYTKMTERDVFYVLDPFVKLVHLTYNCMSIKYLCLHVAEPHLFLIN GHVVAESYAHAEIHLNNFDL H257_01798 MEVALTKLNELYDMHDHYFSADKQEKKLKLQTLADEILELVDAI DIGSNNSRQAKATASYVKGKALEVFPEYNPSSEALLSQAAKLDPCNLDIWVCLGNCLW KKGDLQAAKTCFENCLDYGPSKHALRSLSMLLRKMGTKPEEKSHNIKTSIVHAKQALN MDIQDGESWYVMGNAYLALFFASSHSTVDLDRSLAAYARAEAGGAANNPDLHFNRANV HRYKEDYALAVQSFCKAHALDPSLRAMAIVDGILRWTLRVSNLIHQQGRFKASRIAQL ASTLPHVGEVQGRTRVSISSLHPGHNEGKAVALKLLVDVVRKNEPPGCFVMMDETQTC CAVSIYHLDSVAYTKMTERDVFYVLDPFVKLVHLTYNCMSIKYLCLHVAEPHLFLING HVVAESYAHAEIHLNNFDL H257_01798 MEVALTKLNELYDMHDHYFSADKQEKKLKLQTLADEILELVDAI DIGSNNSRQAKATASYVKGKALEVFPEYNPSSEALLSQAAKLDPCNLDIWVCLGNCLW KKGDLQAAKTCFENCLDYGPSKHALRSLSMLLRKMGTKPEEKSHNIKTSIVHAKQALN MDIQDGESWYVMGNAYLALFFASSHSTVDLDRSLAAYARAEAGGAANNPDLHFNRANV HRYKEDYALAVQSFCKAHALDPSLRAMAIVDGILRWTLRVSNLIHQQGRFKASRIAQL ASTLPHVGEVQGRTRVSISSLQ H257_01798 MTCMTITLVPTSRKRSSSCKHWPMKSWSSSMPSTLVSTWQRDTI ISTTYAAGSNNSRQAKATASYVKGKALEVFPEYNPSSEALLSQAAKLDPCNLDIWVCL GNCLWKKGDLQAAKTCFENCLDYGPSKHALRSLSMLLRKMGTKPEEKSHNIKTSIVHA KQALNMDIQDGESWYVMGNAYLALFFASSHSTVDLDRSLAAYARAEAGGAANNPDLHF NRANVHRYKEDYALAVQSFCKAHALDPSLRAMAIVDGILRWTLRVSNLIHQQGRFKAS RIAQLASTLPHVGEVQGRTRVSISSLHPGHNEGKAVALKLLVDVVRKNEPPGCFVMMD ETQTCCAVSIYHLDSVAYTKMTERDVFYVLDPFVKLVHLTYNCMSIKYLCLHVAEPHL FLINGHVVAESYAHAEIHLNNFDL H257_01799 MAEPSPPENLVKYDSPIFTGEHSEGGKKVKPAPGTKVDPVSRIE DVLNAMLPPQTWKEETGHWMRYTSAEPSTRFDVIKLQEQMDAKLIKRQARESGICHVR EDIYAQCFDELIREVTINSPERGLLLLRIRDEIRMTTDAYKTLYDSSITFGVRKQLQA EQGMGSIEDKIALLAEEKREYENKVLELTNKLEVIEKRGSERRALQEKRYKEEIEFLK YQGQHLDAFLKSAGGAGK H257_01800 MNGDEPTRPLPLKTKFQIGGTQAPVNYKFQESCFHIDDTPPHKI AAKREVQASLKSKILSADPPGWDQSTTESCVLRTNCYKRTFVHGEMNHGNMYQYNYRA EKLPVKYPTLLTKPTKFNQGILEVQQLAIEPGDTFGDERMQRGILKRTEELPNHPDLR DAVPWNASVVQSKQDLRKTFKATEAARIANSSKALNTLEGYKTPQELYAEQLQRLRDE KAKKRTAK H257_01801 MLRRSLCRAMSTAAKPTPVKPEYPKSFLSHVASQPLNAFNVGVT ILTLSLSIQLVNSNGLIKDEQKKNEKLSKYVARLEDRLKELDIVVLTEDELEKAAEAE ALRQVELALQEKAKAAPKTKSVMV H257_01802 MIKGVLIVNNHGKARIVKFYEHVPDAEQQAVIRDIYTQVSKRSD TLCNFLEGSVRYWGDGIKLIYRHYATLYFVFAVDKQESDLGILDLIQVFVETLDKCFE NVCELDLIFHSDKVHYVLDEIVMGGMVLETNINEILTAVNEMNRLDSNSTKRSLPGTG KK H257_01803 MGLLTILKKVKRKEKELRILMLGLDNAGKTTILKKFMGQDISSI SPTLGFDIQTLEYKQYKLNVWDVGGQQTIRSYWRNYFEQTDGLVWVVDSADRRRLEDC KRELVALLTQEKLAGATLLIFANKQDLPGALSPEDIVLALGLHESQFANRHWKIHSCS AFTGDGLADGIDWMVSDISNRIYMLE H257_01804 MQDAATASGREHTRLLEDTNALLKLTGYGDRAFQNIDELVSSIS SMCVALYERFFDLMLDNVIREPRSLDDYSHNAQLVVDGLSAALLTEELQHVTGVKVCG GDFICIRDLVRVLTQVYQMLHRPKSRLGSSCSSSNDLSLDETFYIKRSSKKKKPATKK GTTSTSSVSGRSIDPSLQTTKKYGRFVPLVGSSRGNSFDGRDSRRKTQSKGAAPRGGA NEASPSQPRSSTSSKSKTKPSLRSAQPSTSPQFEPPVPRTLDFGSVSSVSFAGSHDEE LSGLEFSDSNDRQELSGGRLSLELSNAACELAVTSPQHASMRHDDGGSLHVDDLVQAS MDLTPSAQSGPCSPPLVAAEAKPPPSKLPWDNYDEEEPRSPEATRAGNSTTTTMQPKA AAVKSVQEAAAKVRPFVGPRAVVSNDRLQQRRYKALLNEHVQDMRVKEMKLNQHIARS FQNKKHADHIDRIRTKKLHDELKLQRIALRVQQKRVEAQNLKDTMEHLLHLEKMRLKQ EHDETTATLHAIQRQHADRELALENYFANQIELVKEQREHEVRERTLVQQAHKLASEQ MIRELRSSREMEVAALMEQRRHLADVQQVRQERKTARYIEQQTMETMDLAKKKIDPFY AAAMKSRAKRQQKAAQVARIYGGGR H257_01804 MQDAATASGREHTRLLEDTNALLKLTGYGDRAFQNIDELVSSIS SMCVALYERFFDLMLDNVIREPRSLDDYSHNAQLVVDGLSAALLTEELQHVTGVKVCG GDFICIRDLVRVLTQVYQMLHRPKSRLGSSCSSSNDLSLDETFYIKRSSKKKKPATKK GTTSTSSVSGRSIDPSLQTTKKYGRFVPLVGSSRGNSFDGRDSRRKTQSKGAAPRGGA NEASPSQPRSSTSSKSKTKPSLRSAQPSTSPQFEPPVPRTLDFGSVSSVSFAGSHDEE LSGLEFSDSNDRQELSGGRLSLELSNAACELAVTSPQHASMRHDDGGSLHVDDLVQAS MDLTPSAQSGPCSPPLVAAEAKPPPSKLPWDNYDEEEPRSPEATRAGNSTTTTMQPKA AAVKSVQEAAAKVRPFVGPRAVVSNDRLQQRRYKALLNEHVQDMRVKEMKLNQHIARS FQNKKHADHIDRIRTKKLHDELKLQRIALRVQQKRVEAQNLKDTMEHLLHLEKMRLKQ EHDETTATLHAIQRQHADRELALEN H257_01805 MGGTPTSVSDVYAVRLDELSSIVGVIESDLVAALQQEEVAVDVA YTDRPSVSPLGGGAGSFKGFAVGVDQLVAVQPPLSIPTIEAPTTEASSWLLLQAPPRH NYGTAKSRLKTVVRTIGRFQFLGKQRLARQRNTCLDGVHRLEVTLHTPRVRDPSTTLP WPVTPRSPIDLCHLAYMIPMVPSSSSPRQQSFHQPKHPHQYILAVQASPDEPDCASHI QGGGTLLRQLSNLATLPPSHVTNVTLETIHDNNAATIGVHVTVTKRVPVAGYVLLIAA LVTISSLGAALDLQQQVDPFVKLFWRTTASIIGFLPFAAYGLYERGWPKWTLRLVGWF AACSVAYALFLMTFLWALNHTTIGHAYIFNNCHSLLIVMGKFVLGYPVVLFEIVGSGL GLVGGVVTTLDHASTSRPDNIASLVVQASWQGDVVALIGAVGGVFYLLTAKKLRQEMD VFVFMTLLFAATALLHIPVFYAMDIDVRWTTDHHVGWFGWVQPDMLGVELYLVFVCTI IGTVGYISVMKYFDPIVVSVVMLLEPVLATAMGVFVGVDAVPGFLTWIGGSLVILGTG LVVLASANKIESHDVSDAIHKTPSTATVYSCKLKA H257_01806 MRRSLRVKAAQVATKVTPPSPEKDGALSSILDSSPKKGVASFVS PVRRSFVKSTARDSTPQRTSTLTPQKRLSLGVQDDSDEEDVLKLLKDSPPKKRVAKKP RKTSVPFDSLDALFAENKKDQEKKKEQGERLARLRKEADIRAELQQTSSSLQQMSTEV ESNIKELQADEHLFTIQDNVEVEEFGYVFEPITEPLTYHAYIASAADLRSPFKLVYEC MASTDEDELGALLWSQAILVLAINLNHDVPDAICQWLFSVVSTHSNHHIVQGAFMNLF TLAVADHHPNFTQITYGLPKAMLCRSRLLPVKTKWQVTVSHFFGSFRMFGFQESKRAL NTKKKAAGHASSSTWSVPFPTVNMEHVTMLFVLALRTDKLRPSEHDLCACCVFFLRMQ FEDILRPQLCELSSYCMEVLLDAFSPREWRRQYARLLILRIAGTKEGFFQSSAGWLSI ARRLPRTERGTQLTTGLAIYILQYRSHDEQPTEDFVQTKVSDEPIQFPVQIDWVLDVV STCVDSLTEKYAATDAREVVPPYELLCTKIALMDLALQAFLNHLKPSDMSLILQKLDR LALANKATVVVQWHEMKTLVTLMHRKYSAENLRIGRDHSPKAKQVLFIDD H257_01806 MSLIRHQAHIRRKRVAKKPRKTSVPFDSLDALFAENKKDQEKKK EQGERLARLRKEADIRAELQQTSSSLQQMSTEVESNIKELQADEHLFTIQDNVEVEEF GYVFEPITEPLTYHAYIASAADLRSPFKLVYECMASTDEDELGALLWSQAILVLAINL NHDVPDAICQWLFSVVSTHSNHHIVQGAFMNLFTLAVADHHPNFTQITYGLPKAMLCR SRLLPVKTKWQVTVSHFFGSFRMFGFQESKRALNTKKKAAGHASSSTWSVPFPTVNME HVTMLFVLALRTDKLRPSEHDLCACCVFFLRMQFEDILRPQLCELSSYCMEVLLDAFS PREWRRQYARLLILRIAGTKEGFFQSSAGWLSIARRLPRTERGTQLTTGLAIYILQYR SHDEQPTEDFVQTKVSDEPIQFPVQIDWVLDVVSTCVDSLTEKYAATDAREVVPPYEL LCTKIALMDLALQAFLNHLKPSDMSLILQKLDRLALANKATVVVQWHEMKTLVTLMHR KYSAENLRIGRDHSPKAKQVLFIDD H257_01807 MMSVGQMCSQEAEDAPGSDVDVPRTKKSSSKRARSRKHHSPAPV VEDRESSVHEDEDGDDDDDESSKSENGGSGGGGGSDHNRWYCNICKDGGELLCCDRCP RAFHTACLTMDPDDIPRPDSSWYCKLCSDTLERRTAKRAMKDQKRHQREEEKRQRDLV REAKMREKDEALARRSAKALEDKTKRVLDMKERIVKKQKVTYKDREEEKLGKIAENSA ESIRQAKEKLEKLEKEDLLLKRKEQALAKSRRQADPDEVADLAGPLPEAKPCHFGGIP STMFRQVLSAWDFVVSFQNAVGISAMTIDQLCAALVSPKFCPLVNELHMCLLDLILES REVDTSVSEEDAEMDPLDRYRFEVAHAPLTVGVPTSNMLNVLSWPAVLANLITAVPRY FNNASPAIKAAVAALRETEYPQLLLHHKIALLDLLVALAYSTDKIGRIVNLHVQERTD ASKEHNRLLFQEKRDKAEENKRLVEQQKADKAKLASEQKVAMQNWLKGGKKGAAPVVD EAVSQSPLDDDATSATQSDDSDNSSDEDDEELKSLQAKGIISRQEYLARKKKRDMERD ARRKHKEERARRTKQKEQLLKKRALVIEELNLAMEMRDLDRLHMSLKAAKENGMHTLK KPKLSTTGVFVAATPQDVKLYEDAVEFADRLEADAAKEHEMDERKQAFDKAMREFFIR TQSLGKDSNRSKYWLFRGDAKRMYVEHVDGKWSYYDSPSSVQQLMDALPEGHPLKKEL VPHVDTLVKEMNKAVAPSSTDDWENKAKTWGSSALYDLTLDEVKKELLQVQAAVTTRL INARGTMWVHIDQNEWSENVAAATSISGLVNAVLALEREVSDDKGQYKVEEDEDDEEE DETFVQNSLWPSKKCRDRWIAVVTQCQTLSNVALSLASLVQRMDIWTLTGGGGAAKKD AKAKRELKRADEDGGDGGSEISPTKPKRQPPPSTHPPEREWEEYCCICKDGGDLLCCD GCPKVFHFTCVGLRRIPRGKTFCPSCDRSVKPVYPVVMPASDNEPKADQPSTSAAAPI MKSEEEWDAFCGICESGGELLLCDGCPKAFHVECLQLENFDEHDDWFCVDCENQSCGL CKKGRIRMDSHVICGNEAGTKGCERVFHLKCVKLDQVPVDDWFCKRCLKLAK H257_01808 MSITRATLVLVVIVFLTWTHVVGGYIYPSTEANQHLVIAALKEY TLGQRAADNGRVDDAITHYQHSIQAYELFGPAYNNLGILVHRRGHANDEAKRLHEHAA VVSLQQGDWETYASAHNNLGYLVRLGQEKSYEMTLRAIHHFDLALQVSPPNCSVGVYV SALYNKGSALYGLGNFDQAQLLLGHVLALEPSHGGAHLDMGNIYFHQGRLDKALHHQH LLVQLGSTIREVIGALNNQGQFLKEIGHVHDALASHTQALYLGPTDGNTLLNVITARR QLCLWEDADDWHDRLLELTSASLQGTGMERQIPALLPYDATLMNISDEMKKVIAMSNS GQWDQSVRHRHPPTIHTTKLNVGYVGYDFRNHPMGQLTIGALEQHNHSRIHLHAYAYG PNDNSTWRHRSEAACDVFRDVFEASDVDIAAQIHADGIHIAVDLMAHTRGARVGISGL KPAPILVNYLGYPGTMGSSFTDYAVVDRFVVPPTKAAATFTEKLVYLPHTYQVNSYEW GVDTVTWHDFNQSSFVFCNFNTINKMEPVAFGLWMAILKRVPRSVLWLLEPSRVDAGV VRTFRAEAAARGVDPSRLVFAPRLPRDQHLARLRHAHLFLDSVIYTAHTTASDMLWTH LPVLTLWGATFASRVAGSLMDTAVGSSLWTTHSIKEYEDLAVRLATTDTAALNALRLK LAHRAATSPLFDNRRTTFHLEHAYMCMASLGRRRMHIVVDPRDRNHLSRPTLQDMVQK TLALHEHGNVVAAKRGYARILAVESGHPDALHLYGLALYQEQQYGLAMQYMQASLEVA NVGFFHGNLGQVFRVLNDTINATHHFATALALDPHQPQVFLNYMTLLRDTKQTSNLVD VYHQYGEKLLGLLPSGSEWDIRFEVAYAIAGAGEPFKAIDCLARVLNMTSSPSTAVVV RARYNLAALFSRVGQHDQANALSFETVRLEHESLRKTTLNETSQDNHPPPIHRLDQQQ PRLVIYCHEYGQSWWGQWGPHSIDEGVGGSEEAVIYLSRELVALGYAVHVYGNPPHAT TDSYGVQWHPHSHFDPTLVSDVFIAWRYHISTALATHAKLVYVWLHDMIDTGAFTPAY VATIDGIFCLSHAHAAGFAPHAALKVIATGNGVVSSPAMADQGINTPTHFVYGSSPSR GLETVLDSWGDIRRRLPTATLHVYYGFTRAFVQFAQPSDLWRQRMELLLRQDGITYVG LVDHDTLAKGYAAAGFYLYPTTYPETSCVSIMKAMAHGAIPITSKRGALAEVVGPFDL GPVEGLREGPMTEAWRLAWVDAVVAAVDMNTTRFRSDMKAHARSAFSWAKVASQWHHV FTRVSSELPNTNIDGAS H257_01809 MSSNETSTPMSLITSTRDRITSTAAPRSNSVSTRTSSRISRSMA GNDISNNARFSSFQNKYSAATSYPMLDNSHSKAVPACNACTDNGLVFDIMFMHSLGIT MCGRVAKKVVVEVGVGVFFAANDASGCGDDSSVH H257_01810 MTDRLKTQSPADFWFGTTLGEGAYARVVHARLKATNEEFAVKIM EKRFISKEKKVKFVMMERKVFSKVSHDRIVKLSFSFQDRNYLYMVMELCRGGELLDVI VKAQKEQAARGVTNKACSFDVTQFFIAEVIEALEYLHEMGIIHRDIKPENILLNEAGH LKITDFGTAKDETEEGARQNTFCGTAEFVSPEVLRDHEASRGCDLWAVGCMIYQMLVG RPAFRAENEYLTFQQILNHPAEDFSYPEGFPEVAQDLCSRLLLQDPKARLGAGTNADG NGYDALKSHPFFTGINWATLGRSTSPYVPHISPLPPTDSDGATEDWLFAGVATELNIS SGLMAEPMIVHAAAETAATATHVVAPQPSHSNPVEVARAKASVPPQSSRMNSLWNRFL LDDEVIRMSGLVSKRKGLFSKTRQFILTSKPRLIYIDAIRMRQKGEIPWSDSLYITVK NSHQFDVVTPNRVYHLTDQVNGSKKWADAINAALVQRK H257_01810 MTDRLKTQSPADFWFGTTLGEGAYARVVHARLKATNEEFAVKIM EKRFISKEKKVKFVMMERKVFSKVSHDRIVKLSFSFQDRNYLYMVMELCRGGELLDVI VKAQKEQAARGVTNKACSFDVTQFFIAEVIEALEYLHEMGIIHRDIKPENILLNEAGH LKITDFGTAKDETEEGARQNTFCGTAEFVSPEVLRDHEASRGCDLWAVGCMIYQMLVG RPAFRAENEYLTFQQILNHPAEDFSYPEGFPEVAQDLCSRLLLQDPKARLGAGTNADG NGYDALKSHPFFTGINWATLGRSTSPYVPHISPLPPTDSDGATEDWLFAGVATELNIS SGLMAEPMIVHAAAYVCMRHGICTLMDVVVCACDRETAATATHVVAPQPSHSNPVEVA RAKASVPPQSSRMNSLWNRFLLDDEVIRMSGLVSKRKGLFSKTRQFILTSKPRLIYID AIRMRQKGEIPWSDSLYITVKNSHQFDVVTPNRVYHLTDQVNGSKKWADAINAALVQR K H257_01810 MTDRLKTQSPADFWFGTTLGEGAYARVVHARLKATNEEFAVKIM EKRFISKEKKVKFVMMERKVFSKVSHDRIVKLSFSFQDRNYLYMVMELCRGGELLDVI VKAQKEQAARGVTNKACSFDVTQFFIAEVIEALEYLHEMGIIHRDIKPENILLNEAGH LKITDFGTAKDETEEGARQNTFCGTAEFVSPEVLRDHEASRGCDLWAVGCMIYQMLVG RPAFRAENEYLTFQQILNHPAEDFSYPEGFPEVAQDLCSRLLLQDPKARLGAGTNADG NGYDALKSHPFFTGINWATLGRSTSPYVPHISPLPPTDSDGATEDWLFAGVATELNIS SGLMAEPMIVHAAAETAATATHVVAPQPSHSNPVEVARAKASVPPQSSRMNSLWNRFL LDDEVIRMSGLVSKRKGLFSKTRQFILTSKPRLIYIDAIRMRQKGEIPWSDSLYITVK NSHQFDVVTVRFVIRHMWGYSVYV H257_01810 MTDRLKTQSPADFWFGTTLGEGAYARVVHARLKATNEEFAVKIM EKRFISKEKKVKFVMMERKVFSKVSHDRIVKLSFSFQDRNYLYMVMELCRGGELLDVI VKAQKEQAARGVTNKACSFDVTQFFIAEVIEALEYLHEMGIIHRDIKPENILLNEAGH LKITDFGTAKDETEEGARQNTFCGTAEFVSPEVLRDHEASRGCDLWAVGCMIYQMLVG RPAFRAENEYLTFQQILNHPAEDFSYPEGFPEVAQDLCSRLLLQDPKARLGAGTNADG NGYDALKSHPFFTGINWATLGRSTSPYVPHISPLPPTDSDGATEDWLFAGVATELNIS SGLMAEPMIVHAAAYVCMRHGICTLMDVVVCACDRETAATATHVVAPQPSHSNPVEVA RAKASVPPQSSRMNSLWNRFLLDDEVIRMSGLVSKRKGLFSKTRQFILTSKPRLIYID AIRMRQKGEIPWSDSLYITVKNSHQFDVVTVRFVIRHMWGYSVYV H257_01810 MTDRLKTQSPADFWFGTTLGEGAYARVVHARLKATNEEFAVKIM EKRFISKEKKVKFVMMERKVFSKVSHDRIVKLSFSFQDRNYLYMVMELCRGGELLDVI VKAQKEQAARGVTNKACSFDVTQFFIAEVIEALEYLHEMGIIHRDIKPENILLNEAGH LKITDFGTAKDETEEGARQNTFCGTAEFVSPEVLRDHEASRGCDLWAVGCMIYQMLVG RPAFRAENEYLTFQQILNHPAEDFSYPEGFPEVAQDLCSRLLLQDPKARLGAGTNADG NGYDALKSHPFFTGINWATLGRSTSPYVPHISPLPPTDSDGATEDWLFAGVATELNIS SGLMAEPMIVHAAAETAATATHVVAPQPSHSNPVEVARAKASVPPQSSRMNSLWNRFL LDDEVIRMSGLVSKRKGLFSKTRQVTKLSYVPARLLALNMSIMYVVHLDVQAALDLHR RHPHAAKRRDPVVRLVVHHGQE H257_01810 MTDRLKTQSPADFWFGTTLGEGAYARVVHARLKATNEEFAVKIM EKRFISKEKKVKFVMMERKVFSKVSHDRIVKLSFSFQDRNYLYMVMELCRGGELLDVI VKAQKEQAARGVTNKACSFDVTQFFIAEVIEALEYLHEMGIIHRDIKPENILLNEAGH LKITDFGTAKDETEEGARQNTFCGTAEFVSPEVLRDHEASRGCDLWAVGCMIYQMLVG RPAFRAENEYLTFQQILNHPAEDFSYPEGFPEVAQDLCSRLLLQDPKARLGAGTNADG NGYDALKSHPFFTGINWATLGRSTSPYVPHISPLPPTDSDGATEDWLFAGVATELNIS SGLMAEPMIVHAAAETAATATHVVAPQPSHSNPVEVARAKASVPPQSSRMNSLWNRFL LDDEVIRMSGLVSKRKGLFSKTRQVTKLSYVPARLLALNMSIMYVVHLDVQAALDLHR RHPHAAKRRDPVVRLVVHHGQE H257_01810 MTDRLKTQSPADFWFGTTLGEGAYARVVHARLKATNEEFAVKIM EKRFISKEKKVKFVMMERKVFSKVSHDRIVKLSFSFQDRNYLYMVMELCRGGELLDVI VKAQKEQAARGVTNKACSFDVTQFFIAEVIEALEYLHEMGIIHRDIKPENILLNEAGH LKITDFGTAKDETEEGARQNTFCGTAEFVSPEVLRDHEASRGCDLWAVGCMIYQMLVG RPAFRAENEYLTFQQILNHPAEDFSYPEGFPEVAQDLCSRLLLQDPKARLGAGTNADG NGYDALKSHPFFTGINWATLGRSTSPYVPHISPLPPTDSDGATEDWLFAGVATELNIS SGLMAEPMIVHAAAYVCMRHGICTLMDVVVCACDRETAATATHVVAPQPSHSNPVEVA RAKASVPPQSSRMNSLWNRFLLDDEVIRMSGLVSKRKGLFSKTRQVTKLSYVPARLLA LNMSIMYVVHLDVQAALDLHRRHPHAAKRRDPVVRLVVHHGQE H257_01810 MTDRLKTQSPADFWFGTTLGEGAYARVVHARLKATNEEFAVKIM EKRFISKEKKVKFVMMERKVFSKVSHDRIVKLSFSFQDRNYLYMVMELCRGGELLDVI VKAQKEQAARGVTNKACSFDVTQFFIAEVIEALEYLHEMGIIHRDIKPENILLNEAGH LKITDFGTAKDETEEGARQNTFCGTAEFVSPEVLRDHEASRGCDLWAVGCMIYQMLVG RPAFRAENEYLTFQQILNHPAEDFSYPEGFPEVAQDLCSRLLLQDPKARLGAGTNADG NGYDALKSHPFFTGINWATLGRSTSPYVPHISPLPPTDSVRVSILNEPVCDTVRTYMV GRSDGRLAVRRGCYGTQHFVGAHGRAHDRPRGGGDGGDSNARRGTAAIAFESGRSSAG QGVRAAAIVPHELVVESVLTG H257_01810 MTDRLKTQSPADFWFGTTLGEGAYARVVHARLKATNEEFAVKIM EKRFISKEKKVKFVMMERKVFSKVSHDRIVKLSFSFQDRNYLYMVMELCRGGELLDVI VKAQKEQAARGVTNKACSFDVTQFFIAEVIEALEYLHEMGIIHRDIKPENILLNEAGH LKITDFGTAKDETEEGARQNTFCGTAEFVSPEVLRDHEASRGCDLWAVGCMIYQMLVG RPAFRAENEYLTFQQILNHPAEDFSYPEGFPEVAQDLCSRLLLQDPKARLGAGTNADG NGYDALKSHPFFTGINWATLGRSTSPYVPHISPLPPTDSVRVSILNEPVCDTVRTYMV GRSDGRLAVRRGCYGTQHFVGAHGRAHDRPRGGGDGGDSNARRGTAAIAFESGRSSAG QGVRAAAIVPHELVVESVLTG H257_01811 MAGSFREHNEPIRPLALALVAVVFLSDILYAGLIFGWAPLLLLL QEEDQYGELCNHISTNVTVSATRCSLQDSRLNMVYAIASVAANLSSLPVGCMLDYFGP KYSILVAAVFEVSGLFLLGAADSKSFDVFILAYTLCATGGCITMMASYPASFLILSHQ TVILAAISCLFDSSSVVLLGMYSLHSSFGFTRQQIFFVYGCMSIAMYGLLVVLWHANE QYLPDQSEDEAQNAPPPTKDITFTSPLLQPIARRHSMRDSIEKYGTLSEDELIEAKPL PDVIQLHKTGHVGQELVTDFPLTQQLRTFEFGFLLAYSSLHVLRANLYIGTNNKLLEE YGDAATGYFYTKIFSFILPLGFIFVPFIDYFVEKKGLAVSLHIATGLGVVYNSLAMVP LLPLQSVVFFLFTGFRAFLYAAISAFAAKIFGLANLGTVVGLTFTFGSIVSLLQIPAV WFANDVGNHMLVYGMSTALCFGLIPLTECYRHRAALRMKRQARVMEALGEDETTMLSP IKGLQYRRSPCAPSPVSRRRALSKHDSLP H257_01812 MGSTSATAATAALASLSCNQEHIRETLKLRYCSQVSMSALDDDD DVIHAHNSTKPAKSRIMRKFENVQATLGSELIVNRRNVMEHSATLPMLNTHNGALVII PPLTRSATDPTPHTGQAIFGRPSFDNHASSRHLDHPSRRTSDL H257_01813 MAIAILDTFFFRVGRMHLRSRNAVLRQTQHVAMPAVHRKRGASG TSKSIKMEVKTEAMESLNPKAEENSGFKEEQLGVVVVPAMKLEVVETGSFVKDEEPTV GIKTDDEANSRKMVKKSKHAHAPVNWKAMWDGIAGMRAEKSAAVDAQGCETFNDMTLD PPVRRFHVLVACMLSSQTKDPVTAAAMGRLRAHGLTVESMLAIKQADLAAMLRPVGFF NNKAKYIQQTCATLQSLYQSDIPSTYEGLVALPGVGPKMATLTMSCAWNNTVGICVDI HVHRIANRLGWAKTWAKGGKSQDPEKTRKELEDWLPREHWHEINVLLVGFGQQLCTPL RPKCDSCAINHLCPSAFKKASP H257_01813 MAIAILDTFFFRVGRMHLRSRNAVLRQTQHVAMPAVHRKRGASG TSKSIKMEVKTEAMESLNPKAEENSGFKEEQLGVVVVPAMKLEVVETGSFVKDEEPTV GIKTDDEANSRKMVKKSKHAHAPVNWKAMWDGIAGMRAEKSAAVDAQGCETFNDMTLD PPVRRFHVLVACMLSSQTKDPVTAAAMGRLRAHGLTVESMLAIKQADLAAMLRPVGFF NNKAKYIQQTCATLQSLYQSDIPSTYEGLVALPGVGPKMATLTMSCAWNNTVGICVDI HVHRIANRLGWAKTWAKGGKSQDPEKTRKELEDWLPREHWHEINVLLVGFGQQLCTPL RPKCDSCAINHLCPSAFKKASP H257_01813 MAIAILDTFFFRVGRMHLRSRNAVLRQTQHVAMPAVHRKRGASG TSKSIKMEVKTEAMESLNPKAEENSGFKEEQLGVVVVPAMKLEVVETGSFVKDEEPTV GIKTDDEANSRKMVKKSKHAHAPVNWKAMWDGIAGMRAEKSAAVDAQGCETFNDMTLD PPVRRFHVLVACMLSSQTKDPVTAAAMGRLRAHGLTVESMLAIKQADLAAMLRPVGFF NNKAKYIQQTCATLQSLYQSDIPSTYEGLVALPGVGPKMATLTMSCAWNKDLRRHPRA SDCQPPGVGKDMGQRRQEPGS H257_01813 MAIAILDTFFFRVGRMHLRSRNAVLRQTQHVAMPAVHRKRGASG TSKSIKMEVKTEAMESLNPKAEENSGFKEEQLGVVVVPAMKLEVVETGSFVKDEEPTV GIKTDDEANSRKMVKKSKHAHAPVNWKAMWDGIAGMRAEKSAAVDAQGCETFNDMTLD PPVRRFHVLVACMLSSQTKDPVTAAAMGRLRAHGLTVESMLAIKQADLAAMLRPVGFF NNKAKYIQQTCATLQSLYQSDIPSTYEGLVALPGVGPKMATLTMSCAWNKDLRRHPRA SDCQPPGVGKDMGQRRQEPGS H257_01813 MAIAILDTFFFRVGRMHLRSRNAVLRQTQHVAMPAVHRKRGASG TSKSIKMEVKTEAMESLNPKAEENSGFKEEQLGVVVVPAMKLEVVETGSFVKDEEPTV GIKTDDEANSRKMVKKSKHAHAPVNWKAMWDGIAGMRAEKSAAVDAQGCETFNDMTLD PPVRRFHVLVACMLSSQTKDPVTAAAMGRLRAHGTYCRAFLLHENATHTNLRADGRVD AGHQASRPRRDASTCGLLQQQSQVHPADMRDSPIVVSVGHSVDIRRPCSVAWRRPQDG DVDHVLCLEQVRFLSCACTQCPS H257_01813 MAIAILDTFFFRVGRMHLRSRNAVLRQTQHVAMPAVHRKRGASG TSKSIKMEVKTEAMESLNPKAEENSGFKEEQLGVVVVPAMKLEVVETGSFVKDEEPTV GIKTDDEANSRKMVKKSKHAHAPVNWKAMWDGIAGMRAEKSAAVDAQGCETFNDMTLD PPVRRFHVLVACMLSSQTKDPVTAAAMGRLRAHGTYCRAFLLHENATHTNLRADGRVD AGHQASRPRRDASTCGLLQQQSQVHPADMRDSPIVVSVGHSVDIRRPCSVAWRRPQDG DVDHVLCLEQVRFLSCACTQCPS H257_01813 MAIAILDTFFFRVGRMHLRSRNAVLRQTQHVAMPAVHRKRGASG TSKSIKMEVKTEAMESLNPKAEENSGFKEEQLGVVVVPAMKLEVVETGSFVKDEEPTV GIKTDDEANSRKMVKKSKHAHAPVNWKAMWDGIAGMRAEKSAAVDAQGCETFNDMTLD PPVRRFHVLVACMLSSQTKDPVTAAAMGRLRAHGLTVESMLAIKQADLAAMLRPVGFF NNKAKYIQQTCATLQSLYQSDIPSTYEGLVALPGVGPKMATLTMSCAWNKYDF H257_01813 MAIAILDTFFFRVGRMHLRSRNAVLRQTQHVAMPAVHRKRGASG TSKSIKMEVKTEAMESLNPKAEENSGFKEEQLGVVVVPAMKLEVVETGSFVKDEEPTV GIKTDDEANSRKMVKKSKHAHAPVNWKAMWDGIAGMRAEKSAAVDAQGCETFNDMTLD PPVRRFHVLVACMLSSQTKDPVTAAAMGRLRAHGLTVESMLAIKQADLAAMLRPVGFF NNKAKYIQQTCATLQSLYQSDIPSTYEGLVALPGVGPKMATLTMSCAWNKYDF H257_01813 MHLRSRNAVLRQTQHVAMPAVHRKRGASGTSKSIKMEVKTEAME SLNPKAEENSGFKEEQLGVVVVPAMKLEVVETGSFVKDEEPTVGIKTDDEANSRKMVK KSKHAHAPVNWKAMWDGIAGMRAEKSAAVDAQGCETFNDMTLDPPVRRFHVLVACMLS SQTKDPVTAAAMGRLRAHGLTVESMLAIKQADLAAMLRPVGFFNNKAKYIQQTCATLQ SLYQSDIPSTYEGLVALPGVGPKMATLTMSCAWNNTVGICVDIHVHRIANRLGWAKTW AKGGKSQDPEKTRKELEDWLPREHWHEINVLLVGFGQQLCTPLRPKCDSCAINHLCPS AFKKASP H257_01813 MHLRSRNAVLRQTQHVAMPAVHRKRGASGTSKSIKMEVKTEAME SLNPKAEENSGFKEEQLGVVVVPAMKLEVVETGSFVKDEEPTVGIKTDDEANSRKMVK KSKHAHAPVNWKAMWDGIAGMRAEKSAAVDAQGCETFNDMTLDPPVRRFHVLVACMLS SQTKDPVTAAAMGRLRAHGLTVESMLAIKQADLAAMLRPVGFFNNKAKYIQQTCATLQ SLYQSDIPSTYEGLVALPGVGPKMATLTMSCAWNNTVGICVDIHVHRIANRLGWAKTW AKGGKSQDPEKTRKELEDWLPREHWHEINVLLVGFGQQLCTPLRPKCDSCAINHLCPS AFKKASP H257_01813 MHLRSRNAVLRQTQHVAMPAVHRKRGASGTSKSIKMEVKTEAME SLNPKAEENSGFKEEQLGVVVVPAMKLEVVETGSFVKDEEPTVGIKTDDEANSRKMVK KSKHAHAPVNWKAMWDGIAGMRAEKSAAVDAQGCETFNDMTLDPPVRRFHVLVACMLS SQTKDPVTAAAMGRLRAHGTYCRAFLLHENATHTNLRADGRVDAGHQASRPRRDASTC GLLQQQSQVHPADMRDSPIVVSVGHSVDIRRPCSVAWRRPQDGDVDHVLCLEQVRFLS CACTQCPS H257_01813 MHLRSRNAVLRQTQHVAMPAVHRKRGASGTSKSIKMEVKTEAME SLNPKAEENSGFKEEQLGVVVVPAMKLEVVETGSFVKDEEPTVGIKTDDEANSRKMVK KSKHAHAPVNWKAMWDGIAGMRAEKSAAVDAQGCETFNDMTLDPPVRRFHVLVACMLS SQTKDPVTAAAMGRLRAHGLTVESMLAIKQADLAAMLRPVGFFNNKAKYIQQTCATLQ SLYQSDIPSTYEGLVALPGVGPKMATLTMSCAWNKYDF H257_01814 MEQAGLDGISKCDGDLVQRLVPRLQAVHGLEFVRVGDTGAAEHA AGVHGLDERRGGGRDELQQLTPSAVVADLEFLHRCVEVALHDRMAVGRVEDVARSDVV TRGTLHDDLPRDLLDAKRDTNTRHVVLPHELHSWPRGRLHALQLEPEGFAHPRDIDGG LILVAHEERRATSLPM H257_01816 MGCWSCEACGVVGLAAVVAPLLWLILGFVYGSIRSISPKMPVQG RKVKVTFLHPDLGIGGAENLIVNCAVALQNKGYEVHIFTSHHDPNHCFRETRGDGPLA KWVVVHGDFLPRTVFGRLYALCAFVRMVYITLYLFLTQWNTDVFFLDQVSLPIPLLRA YFNRPVYFYGHYPDKLLCIDRSSVLKQVYRWPLDTLEEATTRAADTMVVNSKYTASVF EAAFPSLHARHHLSILYPPVDISTFERPATLPLPPPSTTSNDNQALLLRALSFPALFV SLNRFERKKNIALAVHALAWLQTQVSDTVFATVHLVLAGGYDPLNAENVAHFDELAEL VAAKQLTNHVTFLRSIPDATKLALLWHARAILYTPSFEHFGIVPVEAMASGTPVVAVN SGGPLESIAHDVTGFLCDAEPPAFGHAMQVLATDAATATSMGQAGVRRATDRFSMTVF ADTLDQHMQRLIVVPPPIGPTKTE H257_01816 MGCWSCEACGVVGLAAVVAPLLWLILGFVYGSIRSISPKMPVQG RKVKVTFLHPDLGIGGAENLIVNCAVALQNKGYEVHIFTSHHDPNHCFRETRGDGPLA KWVVVHGDFLPRTVFGRLYALCAFVRMVYITLYLFLTQWNTDVFFLDQVSLPIPLLRA YFNRPVYFYGHYPDKLLCIDRSSVLKQVYRWPLDTLEEATTRAADTMVVNSKYTASVF EAAFPSLHARHHLSILYPPVDISTFERPATLPLPPPSTTSNDNQALLLRALSFPALFV SLNRFERKKNIALAVHALAWLQTQVSDTVFATVHLVLAGGYVVHPCQQLASISMRR H257_01815 MDRGNRRRSSSAHLHSISGQRTAESHKQPGKMNTVDATSSIVVA DKRTGNKDALLLQQAGDTEVAQPTLPLSVHVIPPGTLVESSKSMDDTMVWSTCTPTEV PPQNAIIVENTEQNILSRISMLSILREQGFIDEDEFLRRKKAIIDELHAPASSSRPYF HNATGMPLIIPHAPNFQGFDAEEAIRHTFNYDTRRWETSKVCVVLDETPFAKGSLRLV YHMHHDSADDLDKSSSYVAKLAIDPDEDPQTYFRDIELQAHCGHYADLYNAHAPPKRV HFIPAWVLELTQRHGALCAVERYIPGDYRKHNNNFGSVSDEDRNTPQAFSHFTYEASH HELLAVDIQGVGDMYTDPQIHTLNRGDFGKGNLGVLGFKKFLATHRCNPICAYLKLPP VNPKANANVGTVPVQRLMASDKIQDAPFESKHYYEQAPLLQKYVAQCRDDEVKLRRTS THGERGCLFCQCSIQ H257_01817 MPKEKKAGGKSKRACALEKQLAFDAKPKYKNKANKGGGDSDESD DEGDAYVPRKLTSKTLAMAREQQAEEAELLFRKQQSKAGSYAPQARVANLAIDSDDSD DDDDDGGFNDMVDDDDDELVRLQDGYVEDVEICEEDEQVLANFLMGAPERRNLADIIM SKIHEKEARDNGDEDESMSQVGATSGQTFDPKIIEVYTGVGKILQRYTSGKLPKAFKI IPSLSYWEDILWMTQPDKWSPHAMRAATRLFASNLNPKMAQRFFNIFLLEHCRQDIRD NKRLNFHLYMALKKALYKPQAFYKGIILPLCESQNCSIREAVIIGSTLAKVSVPVIHS AATLMKLAEMPYSGANSVFIKILLNKKYSLPTRVIGALATHFESFVDDSREMPVLWHQ ALLAFVERYKNDITKEHRELFKVLFKTHVHHQITPLARRELFNE H257_01818 MATEKQNANPTVYAVDSSGTERKKESDNAVDEDNDDVDPFDALE VFEMLRHLNDPEHPLTLEQLKVMTLDNIVVNDVDSHVKVQFTPTIPHCSMATLIGLCI RVKLLRSLPKRFKVDIRITPGAHITEDAINKQLNDKERVAAALENAHLLNVVDKCIAN TDG H257_01819 MHPHNRGKSHATIVRRPILSSREWVVLNPIAAARHTLANWHLLG SINDESVLRVLSFLDGRSLAAVGQVCKHLRGMASDDAMWLQACRAEWGVSPDHLSRNH APVEGKQLYFFAIQSMRTMTQQMLQEQCLRSMHTTIHHSVPPPTPSFTHSFPA H257_01820 MRDADEVSSQAMVVYRSPVSYDAPLRSYYYVNPAYLTPNLHLFG AINDQCILGILSFLDGTSLVTAGSSCRALHALSNNDNLWLSLCREEWCVSPEHLAFAG RVEGKALYRFAQQQLQRVAQDILQAQLHVPSLPSHTVQALTRSLFVGPP H257_01821 MADKNVLEERTREGPPRTFHTSTWIPYKDNVSHLGYVVHAVDQE IYTNPHLFNDPVPLLESGYRLFRSPVRQQYRTNRSKSLPAIKSSILHHTFGRVNIHLQ LEGNFHPQRGDGLQCDNAATNLDTSRQIRRSPGQSRGKFNVPPDGSRQLVQAVSYLTT DKLSCPETYRTQARLHHGYMSELFKSHELSYWMDMHLLQANSYSQAIQPQSPLNEFVP TTYFNQIVHSSNYNKAVNWQLSTTPSRGFHGGHSKSVKVLERTSSQPKTFAEAVSADR SPSKPEDISAHLMDGGGRPSKRRPRNYRKKQRKMRNKIDEAESNPASHDSTLSLDDSV LHQCDNSAASLDMTASPLMDQHSKAETIATVTELSSFKKYRRRGNPRPRQATHGREVH GAHFDNTTVLPNAA H257_01821 MADKNVLEERTREGPPRTFHTSTWIPYKDNVSHLGYVVHAVDQE IYTNPHLFNDPVPLLESGYRLFRSPVRQQYRTNRSKSLPAIKSSILHHTFGRVNIHLQ LEGNFHPQRGDGLQCDNAATNLDTSRQIRRSPGQSRGKFNVPPDGSRQLVQAVSYLTT DKLSCPETYRTQARLHHGYMSELFKSHELSYWMDMHLLQANSYSQAIQPQSPLNEFVP TTYFNQIVHSSNYNKAVNWQLSTTPSRGFHGGHSKSVKVLERTSSQPKTFAEAVSADR SPSKPEDISAHLMQYCFAHRAALIKCALGTEGVVHRKGAQETIARSNEKCETKSTRLN QIQRVMTVHCHSTILSCISATTRPRRWT H257_01822 MECRTIHMTKDCTTTGEVEPHTPNNMGYKAIASVGDLLEKDKHD SSLQRYKANLLGTAAQGDLGDIHECRRVVVKQFKVVFEDNRHDITYDLDSEEGIQHLR DTPFVMEEGAKYKFAVFFRVNREIVSGLRFRNKVRRHVFSVSEEVVLGSYAPQSTTHE FLFPRHEWAEAPSGLMYRGTYQAECKFIDSDNVEHLRFPYSFVIKKA H257_01822 MECRTIHMTKDCTTTGEVEPHTPNNMGYKMSDQAIASVGDLLEK DKHDSSLQRYKANLLGTAAQGDLGDIHECRRVVVKQFKVVFEDNRHDITYDLDSEEGI QHLRDTPFVMEEGAKYKFAVFFRVNREIVSGLRFRNKVRRHVFSVSEEVVLGSYAPQS TTHEFLFPRHEWAEAPSGLMYRGTYQAECKFIDSDNVEHLRFPYSFVIKKA H257_01823 MDTLLLVPKTYPLPHSKDISIFTGIDSSAEMADVELEQTLFHEK NVWIYKVPLLTGDPRADQWDVEKPLMTGSLRVAQINDNCFVNLYEANQTLFAQCPVEI DATRPLSAFVQDCVDSSRYFVLRVVDAKSGRQAFVGIGLPERSAAFNFKAALQDFAKY TQRTLSLEHSASKTSPPKGLSLPDGAKMRIQIGGKAQVVVAGSDSAAATPPPADLSAF KIAPPPASNLPSDPTLTSAADDEDWGDFK H257_01824 MDIPARILVGLRCRPPFDHEVHWDTTFAPALHFGDHAVHVAPGR HYSQRSFGFDYVWPPSASQEQLYHDAVFPIVQHVLQGHDGTVMAYGQTGTGKTYTMGF LDPTLPPSQAGIIPRVFEHLFNATQHDPSACRISVSFLQIYMENVYDLLVPPTNYGSL ELPVRPTSDGRAFYVDGLQSYDIGSLDEAHGLIGVAMLNRSLASTARNLTSSRSHTML KITLTRDNHMSTFSLVDLAGSERPTAAGSHSLDHVDVSFAARERVQKRLNEAKFINSS LCALGNVIAALSQPEKQLQSRCRESKLTKLLKGVLGGCHVTLVIATVDAAPQSLGETM STLKFAARCKKVPLRPETAADHPSQHKQPRKKSAVMTDAVTQTSLTMTRITEADEVMR RTYEAREMQLHMLYQEQLHKLRRVLEESERVRLGCTSHVDRASIMNLSLSDLDVGYVE VSSDDGSNDNDDFSQVPDL H257_01825 MDKLSDAQRKLFQSGGSLGDYDIVKPIGKGKFSVVYRAKRRRDD VVVALKKVNIFNLMDVKAREKTLKEVRLVQSVHHPNIIQYLDAFIGQDDELCIAFEWA EAGDLKRQIRKANDKHARFDERTIWTYFGQMCAAIEHMHAHRIMHRDIKPANIFLTLS GAVKVGDLGLGRYLSEDTMEAHSKVGTPLYMSPEVLRGDGYDWKCDVWSLGCILYELA MLRSPFKSEGLNLYGLFQKVNKGEYEPVSDVYSATLRTLVAQMLSLVPNDRPTLAHLC AVATASALSPDPPQTPPSHMPSNNEEDSDRRSIASRESTPSTVTSSGHNNASRIDATR AFVLSELAHDKLVLLDYPFQTILHLRLPRIYFATAAPRGHTQFAHFMAIMDWLFHRVP SVTTDVQLNDDLIPPLRRATAVLVAAGRAGVDTQSIAPSALTGGYGADVCALLDGACD SILQNQRPPKCVMGPAEPEQSSMDKLDGESACDWADDAPNNSQSNDIHDDDMNQDMYV VTTSRHEPEGQLSGLQHLPLSPVTPINTTMWAAEIHRNVPRIRAKVATMVSAGASLWR YRFEQLQRQGAVVVSGVPATHCQLQTLVATLRHHRESISIKERELNDTSECLAWREHF RRRKHRQTHLDGVLRSLESTRVALHASLSATAETLGSTTAALATQSHSLTDSGMLTRL KAALVTLQQQRPRH H257_01826 MQQNNFGSTNRYGSGAQQQQQGGGNFHSYRGMNQEAPSQGGQFN VGQQGPPQGIVWTPPSPQEKHYYDMLFQIADDERTGAIGGRSAVMFFTKSGLDKSILR EVWTIADSRQTSFLLLKDFYVAMRLIALAQQGHPVNLPHFYELASSPFALARLEGVPP PQQQQQQPPASTYAITSDEKTKYQGIFAQYDTDHDGFLLGQDAAALFQMSGMDRNDLR TVWTLADRSSDGRLDLTEFYIAMHLIVCVTKRGLPLPQTLPLELEQSLRSTGSFSQPA SGHLQPTQPPQPPAPVQGMSAFDDLDGGSPAAAESSSIGFGNSPDISGGNFPSGSRTS SAAGLGTNAAGFGNSPNAGFGQFGSPSAAPPDLGQQRQASFAAQPPLGASSGTTGPTS GFCNSQTSFGSPAQPPMDGFGNQAPNSFAGPPTSGFGSSQRQGSVGPQAPSSFGGFGA SSPAQDLNAPFATPAASDFGSRSRQASFGAQPSVSASSAVDGFGKHEATRTNSFGLPT TPQSAPSLLTSGFGSQSGLNAFGSPISAPPATVPSPATSGFGNTPSSPGNFGDFGLSA PVAPEASGLGSNPSQPSGGFGGFDSPVRAPLSTSDPVTSGFGSNKQVQSSGFGEFGLS PSTPAVKAPSPSALNTLPTSNADLASPAQFGSNKAASSTLPPSGFGSFPEPPSSVSSG FGDFPSPTNTSAFGDFCGATSSAPEGFGSPNPPATTDFGDFGASATGLSTSGFGSNKS PPSDFGVFSLPPPPSASTIPTSTTFGSSKSNPIVVEAPSGFGSNKSTGFGEFDVAPVV VASQPSSGFGNNSVSSGFADFPSPTNSSGFGDFSSSSAPPAPSLDVGSKQPSTFGSTK PAEFTDFTVASSATTPTTTTPVDSSQPPPQSSSFGTLGRRDSGAIPLTLGTSAYAPAS TSGFDGFPSPISTTTFEGFGLTPSTSVGDPPSALSAGFEAFSSSGPVSSLEGGASDNH GEATARDLDAANASLLRSLTELAVSQKHVVQVTHIQHLATNLLRLTAQRDQHRAAQST DPTVSLAIQRLIDDERAFISTTSAVLQELEQKKPPSSSLSRQPSASSATTSAFDAFEF H257_01827 MTMTYHSLASSLTRPPTTPITVQEIFSPERRILAFVLENVLSSG ECNALIRHSEASGYEPALLNVGYGRQVLRPDVRNNDRCIIDDVATASIVWDRVRPHLP STFQGKPVVGVNERLRFLRYYPGQQFKPHCGGSYRRPDGSEQSYITIQIYLNGGDDLE GGDTVIFDRDNTIKVHPVPGRVLIFQHYNVEHSGAPVINGVKYAIRSDIMCSLNK H257_01828 MLTISSVVHNAILLPAWREIQSTLMEHMHEFVVPYKDNPSALQI LLSICGDAFAKQFFSSERAHAYLLVEPYMSADTRNELECQLLSQLLAFLAPHMSNMLQ SKTMHQLKNSIEESYALHVAALPSTASTIEQAATNAIHHLHGHFFIPPVSAPPLRAAP TSSFLTIVSNQSVRYPLEADATLAAQALVDEANMFQSCHGRSLPTQLRQLLWFRRLYV PDTSVEIGWRLQANQSLLALPQPWTSPISSLLFRLVRDTLAEFPSTAVDDQPRLCDVL NMWYVLTKLQSSHFLYLALPLVRLFPSFDSKESQLVSCLHVFLNKYHRCGVSRSDLYA SAQRVWLDVGVQYASLFHHVDGIFAHTKLDLAEKPSQLFVPYAGRPWTHHERNVHVNS FDVYCPPCRWIQRAFVGYLPHRAVEFVWDQCMLSSQGWQTCLEHFCVDVCGLLLPQLE LATSVVQLEAAMDSGPRGLFTQDVRRAFQLRAPSGLNEQRRSSS H257_01828 MLTISSVVHNAILLPAWREIQSTLMEHMHEFVVPYKDNPSALQI LLSICGDAFAKQFFSSERAHAYLLVEPYMSADTRNELECQLLSQLLAFLAPHMSNMLQ SKTMHQLKNSIEESYALHVAALPSTASTIEQAATNAIHHLHGHFFIPPVSAPPLRAAP TSSFLTIVSNQSVRYPLEADATLAAQALVDEANMFQSCHGRSLPTQLRQLLWFRRLYV PDTSVEIGWRLQANQSLLALPQPWTSPISSLLFRLVRDTLAEFPSTAVDDQPRLCDVL NMWYVLTKLQSSHFLYLALPLVRLFPSFDSKESQLVSCLHVFLNKYHRCGVSRSDLYA SAQRVWLDVGVQYASLFHHVDGIFAHTKLDLAEKPSQLFVPFDVYCPPCRWIQRAFVG YLPHRAVEFVWDQCMLSSQGWQTCLEHFCVDVCGLLLPQLELATSVVQLEAAMDSGPR GLFTQDVRRAFQLRAPSGLNEQRRSSS H257_01828 MLTISSVVHNAILLPAWREIQSTLMEHMHEFVVPYKDNPSALQI LLSICGDAFAKQFFSSERAHAYLLVEPYMSADTRNELECQLLSQLLAFLAPHMSNMLQ SKTMHQLKNSIEESYALHVAALPSTASTIEQAATNAIHHLHGHFFIPPVSAPPLRAAP TSSFLTIVSNQSVRYPLEADATLAAQALVDEANMFQSCHGRSLPTQLRQLLWFRRLYV PDTSVEIGWRLQANQSLLALPQPWTSPISSLLFRLVRDTLAEFPSTAVDDQPRLCDVL NMWYVLTKLQSSHFLYLALPLVRLFPSFDSKESQLVSCLHVFLNKYHRCGVSRSDLYA SAQRVWLDVGVQYASLFHHVDGIFAHTKLDLAEKPSQLFVPWIQRAFVGYLPHRAVEF VWDQCMLSSQGWQTCLEHFCVDVCGLLLPQLELATSVVQLEAAMDSGPRGLFTQDVRR AFQLRAPSGLNEQRRSSS H257_01828 MSNMLQSKTMHQLKNSIEESYALHVAALPSTASTIEQAATNAIH HLHGHFFIPPVSAPPLRAAPTSSFLTIVSNQSVRYPLEADATLAAQALVDEANMFQSC HGRSLPTQLRQLLWFRRLYVPDTSVEIGWRLQANQSLLALPQPWTSPISSLLFRLVRD TLAEFPSTAVDDQPRLCDVLNMWYVLTKLQSSHFLYLALPLVRLFPSFDSKESQLVSC LHVFLNKYHRCGVSRSDLYASAQRVWLDVGVQYASLFHHVDGIFAHTKLDLAEKPSQL FVPYAGRPWTHHERNVHVNSFDVYCPPCRWIQRAFVGYLPHRAVEFVWDQCMLSSQGW QTCLEHFCVDVCGLLLPQLELATSVVQLEAAMDSGPRGLFTQDVRRAFQLRAPSGLNE QRRSSS H257_01829 MVLVMRMRHVVRRASLSKRVMARFSSTNVAAPSRVDDDLIANFQ LLLEKRQATEAMQIFQSLKKPPATALSQRLAIMLAKRATLKDTKDAIDVLKSVYMNPT LKPDDFTMLAFIFVSDACYRNKMLKEALEVTEEAHNLGVRLDLPAYNNLINALVDADQ TDEAILILQDIAGGDVISPDETTYAGLISAIISQREFSQAMETIDQARTSGVKFSGET YMSFMSSLADVEDDSDAMDRLLTYLETSMDEDGIEAFEEFENAIIGHDDDDDDDDDGD NDNDDDDHDDHDDDGNTLH H257_01829 MVLVMRMRHVVRRASLSKRVMARFSSTNVAAPSRVDDDLIANFQ LLLEKRQATEAMQIFQSLKKPPATALSQRLAIMLAKRATLKDTKDAIDVLKSVYMNPT LKPDDFTMLAFIFVSDACYRNKMLKEALEVTEEAHNLGVRLDLPAYNNLINALVDADQ TDEAILILQDIAGGDVISPDETTYAGLISAIISQREFSQAMETIDQARTSGVKFSGEV LGVSLR H257_01830 MVTTRDAAVVAATLAAVTAYSYLSDYILKWRGAAYRKNNQLEVE EVHTNDMDHCDDNVPRRIRKAETVLQRRTARIVLVLESSCDMFNQMAVLRTAECFGIQ HVWIVEPAFYKQHKADRIIAREATDWLSIRRFKTSAACIKALRESGYDIWTTELSQEA VSLEAPELKLPERVAIVMGREADGVSQDMIAAADKRVYLPIHGFADSLNLNVATGLII QRLFFICPEARGAMTKSERSELRNEWYRRMVKGDEKAETFLASPPPAYADLRRPDDHR GAWMGSKTKRKIQEREAQLNQASSLEF H257_01830 MFNQMAVLRTAECFGIQHVWIVEPAFYKQHKADRIIAREATDWL SIRRFKTSAACIKALRESGYDIWTTELSQEAVSLEAPELKLPERVAIVMGREADGVSQ DMIAAADKRVYLPIHGFADSLNLNVATGLIIQRLFFICPEARGAMTKSERSELRNEWY RRMVKGDEKAETFLASPPPAYADLRRPDDHRGAWMGSKTKRKIQEREAQLNQASSLEF H257_01831 MSPLNYAEKLCFVTDIVDTTTHLKEAASHGEKRIGRVDPADFLK KPSIVISDLDTDALGISSFDEWVRKWNRSLSSKVDIVGTLSALVWTLQIYQVFLRWNE TRTDIQGFALLHDPVLHQLTPVDYSLPLFFLVYGSVILALVVYGRNKPDFVMELLQAK TCVIYMRMMALYLVPLEAPMDTIPLIDPIAGSDGIVLMRDLFFSGHTATTFLVFLTCR RHDTAWKGLFFVMAATTAIMVTLQKTHYAIDVFAAPFFVYTCHGLVFEVRSACRALHI AGNLRRTAALQ H257_01832 MLKRFVKFMSLKAIDHTDATYAALMPTHLELLRIDSAVAELKLF MSMTKKLQTRNITMSNVRYLFDAAILRHPFLDNFVGPTCKNVSSPVFESAIVKIQGSC ENQLTPEERNQVLRLVKRADHAFAVDGHTR H257_01833 MQCGPNDSDVRAYVCDMPKRVKHLNPTTSFDEMRQKWIQYAKNT ATPSYAIMQHTRSLANQQFEVNELRRRINASDIEREPLIASKYGNTLYFTPPYHPELQ PIELVWGMVKNRVAICPSKNVAELEERLWSLFGEVKSHHWVSSYRKAEAYEDIYEALD EDVVLVSSGSSIGSD H257_01834 MAYWYIHLAQVQITNTSGRDVPSSKYLRMIEHQEAVIDQLIDHT KTMSERLRDVERQWKSMMRSCVAYMLLFSDNHDVDESAATYPRCVGIVNGSVERKLRA LYKKGELDVLIVEFNARV H257_01835 MGNFFQRNMKPEQWIMGSVFTAMGLATMLFPGLVYEYGFEKEFV SNASPSAALLLMTQCFGSQAALGGLTILSTRWNSTSYRNFGIFMIPYFVFDVYVRSIG AITTLGAVGDGIGNIVFSLCCYVGYTNCKKAESKPLLDNKD H257_01836 MTSEGAGLGGRAMAAAPLSFHEDVHREIVYAPRFTLPSPVVLNT WPFTAATNHAFDVLRTPGQSVLDAVEAGCNICEVQQCDFTVGYGGSPDTSGETTLDAM IMDGHDQSMGSVVYLRRVKDAIRVARKVMHHSSHSVLAGDGALAFAKMMGFHEESLTT PYSTQLYLQWKENQCQPNYFKNVRGQASSCPPYDPLPPHTVTTNMDPRQAINQHNHDT IGMIALDTHGRMAAGTSSNGASHKIMGRVGDAPLPGAGCYVDNDKGAAAATGDGDVMM RFLPSYQAVQDMGAGMHPKAACEKALRRIADKVPSFKGGMVCLNAQGVYGGAGHGWGF SYSVRTADMAEAAVVQVPPMIVHA H257_01836 MIMDGHDQSMGSVVYLRRVKDAIRVARKVMHHSSHSVLAGDGAL AFAKMMGFHEESLTTPYSTQLYLQWKENQCQPNYFKNVRGQASSCPPYDPLPPHTVTT NMDPRQAINQHNHDTIGMIALDTHGRMAAGTSSNGASHKIMGRVGDAPLPGAGCYVDN DKGAAAATGDGDVMMRFLPSYQAVQDMGAGMHPKAACEKALRRIADKVPSFKGGMVCL NAQGVYGGAGHGWGFSYSVRTADMAEAAVVQVPPMIVHA H257_01837 MDGPDQWIDCLRGGKILSELQLKAVCELVKEILVEESNVQLVSS PVTVCGDIHGQFYDLLELFRVGGELPTTNYVFMGDFVDRGHNSVETFEMLLCLKARYP DRITLLRGNHESRQVTQVYGFYEECVRKYGNANPWKYCTDVFDYLNLAAVIDGKVLCV HGGLSPEIRTLDQVRTIERQTEIPHEGAFSDLMWSDPEDIEAWAMSPRGAGFLFGWKV TQEFNHLNGLDLICRAHQLVQEGYSYMFPDKNLVTVWSAPNYCYRVGNVAAILAFDEH LNREFKLFREVPESSEHASHRSAVPYFL H257_01837 MDGPDQWIDCLRGGKILSELQLKAVCELVKEILVEESNVQLVSS PVTVCGDIHGQFYDLLELFRVGGELPTTNYVFMGDFVDRGHNSVETFEMLLCLKARYP DRITLLRGNHESRQVTQVYGFYEECVRKYGNANPWKYCTDVFDYLNLAAVIDGKVLCV HGGLSPEIRTLDQVRTIERQTEIPHEGAFSDLMWSDPEDIEAWAMSPRGAGFLFGWKV TQEVDTVTLTLDVLTSLDNVKCV H257_01838 MDRDLDAYMSLDHLRNPERALPALSPINSNRKKRQAFPRANLWS WKTSDTIVDPKSPDTAASVARKCDDHLHVIDRRASTRLFAAATLVASAPARRSPKSPG RARLNKITRRLSAFIQHPDFKTVGGGAIPIAPTRPAGDPTVSADTSILSATSETFESM TEDDGEVDALSAEGSTSPGNDDTISKGQVAGTSMRDLEGNIYTGASADDFLGDDVQSK FWDIYASGPANQVRRPDSSRGRYIRRCEDEALLPLPVFDLRLAKQPVPRYTETGELHY SNYFLGDKRAEAMGDALELLPVQVTSICMTDAGMTGQGSAAVVRGVVKDDLVELNLSH NKVGVKGYMKLNAVLDNAALHLKVLNLSNNNLGDQSVTALVRALLKRCTLTTLNLGHN KVFHSATIVGELLRVKSSLTMLDLSWNQIRGDPAIHLVQAMVENNTLLDLILSDNSLG NSGGADIHLATSLLENKTLKRLNVSNNHIKGRSIFVFVDLCTKNTTLEHLNVGSNPIG TAGVEAILYAMASSSMKCEWEVADCNIDIQEYLYSHTYCTGPYTLNLDDRSDTFVLKE LLVMYAQNRLVLSNVFHDDRPITLTKGQLPLPPTAKAEKGQVPNHGTLTLLATQDDES CPDLHLKDAPFQRLKQLIGSSFALDDYKKMTMIKILADGFCVTVKQANALLKLFESTT CQAEKASAAVALIPRISNSEHHTIDDENYMGCPGPIGGVFFEDKDNDGKIDVCGDITV LVGLTNLSQIEQGYVEQKLGKWIAFNPANPTGFYRLNMSNFVDRRIMFCLIEANAADR KFRVSNKLPDVSQFATNNGFRNARYNHKAIVFDSSWALPRFGVLEFDFVVTRRPPHGA IPITDAAFEQFFKEFKAIPDMKLVGLRAISNRYYFTARHAQRLMEYFSPYEKMNNVVV RLEVFVILLGRIVDEVNFNDALSVLDSTSRKKLIDRVGIVQVFNPISPCGKYELNLAE HDQRYVASILLQLAHAQEGSLMEIALDEKDVPDILAIWASDADIPVVGTFKCKFMTTN RCHSIVQLQDNSIRRRISAALLFKPNELGN H257_01839 MHLQLPVVVALLLAQAAHAVKTRYSHRFEYEEFMSARLNSDGMA ARWNSQCPVLEFGMEVGSELIFSVEHADAPVTFSLFNYDQWAAYRSLLFDVMSLEGHK ETLAITCMHAATTRVVFDPNVDAPNTTFKIQIATASQYTFQVASCTMQHNLVNASIAM VNLGSDYALSEHLGVEQLGLIPLYSVLVVLYIAGTATWVADSVRHRPYTPAINVVFAV ALVGETSKCIAKLVFYKAFSVDGFEHSAYATARNVCDSVTSTVFLTFLVLCSMGWSWS RRPLTRSERRFFIVLFLLYSTVSVIKATCNGQDVTCQSYMLTEYALKSLVLLGVIVTL NYSISRLQVQSGGMRWQQNDNVPQHYAHLERMWHFRLSLVAYLVLPSVVVLLNAGVVN PPGTWRNYWVSCLNEELFLVGVYCHMAYTLRPISPAMFQLLDKQAE H257_01840 MEWSPPSEDEATRHLHAAFPSLQFTTDEDDDADCDVDISVDDIR LPSVKDAIQQSIDGALAKWEELLPISTMEVLRVTQQRAATQKIARLVLKDEPTTDVTD QKIQGIFRDLVTEDECLAARRPCPLVQQFLDAHPHTRKGPLVEHPPIPGWMFAAKSAA GMQESPVDLLHDSLYLLEVMHPSLASKKSQFLLVLGSTPLTALADAIYCRDYEYLKEF GLHSKMMYMAGQFFVDKRQPHHVDYSNDVRQWLQKFPALQLDYPGYDTTSMSMERATL SALALQLDTPYLFLHLGQCEHIMFIRNIRLLHTMDDPRTDEYPMRLTRRQHHQKCLVC QVHMAKFVTFGDAMGVDDPMYYCDSCYFVAHYDAHGTLLPDVANYKVFPYYPDD H257_01840 MEWSPPSEDEATRHLHAAFPSLQFTTDEDDDADCDVDISVDDIR LPSVKDAIQQSIDGALAKWEELLPISTMEVLRVTQQRAATQKIARLVLKDEPTTDVTD QKIQGIFRDLVTEDECLAARRPCPLVQQFLDAHPHTRKGPLVEHPPIPGWMFAAKSAA GMQESPVDLLHDSLYLLEVMHPSLASKKSQFLLVLGSTPLTALADAIYCRDYEYLKEF GLHSKMMYMAGQFFVDKRQPHHVDYSNDVRQWLQKFPALQLDYPGHHPPYISILLFLA LMRLQGTTRRPCRWNARR H257_01841 MMPGLPDKIGAIRDAVKRKSVALAGMKSVTSVTSFFNRGPNSQL EHSNGSPPGTSGRSDSIDYDDDEMILSPQNRVSRGTSSDRHSGSMTRVEKPTTLVGSS SYTEPPEPYLPAGGLLESATKNVQAKMIEMLDIYRDTSPCEDPSIECSVFLSRNVAQA DRVVVFIGDSVGSPAGIWSSKLCVRSGSGGGGIHHGSLGSMLPYLLRARDDLFGIVVF DDIFQMPDDSDGASMLQVATTRLITGWRDHIDSSQASHAFIVCYGEGGRLLVETLRAH LPEMRRLLSGIAFIQSTHRVDSADTYLLRKTMAQWAVHYASSMEPQLSRLKHKEHEAG CVVLSAGYDGSDVEVLQSVLHSVFSAFRARYAGFRTSNVSTGMEKGCYVCKQAFNMRR WRRHCRTCQMPVCEKCSSMESTKLEGSVRLCLTCRVLPSLVSWSRPRAVRLGEKDSVF VDSSIPGKMSVNDFELVSVIGKGACGTVLLVQKKDSSKLYAMKVLKKDWVMNKDLVTQ TMAERRILQEANHPYIVQLRFAFQNNDKLYMVMDYYSGGSLRQVLRRRGRFSIKRARF YLAEILLAIAHLHASNILYRDLKLENIVLTADGNVACTDFGLSKEEVDKETGRASSFV GTCEYLAPEIIMKQPYGTAVDWWAFGILMYEMVQGDSPFRHQNPAVLFEKILSDEAVF SERFTPEAKDLVTRLLNKDPNARLGSGPTGAEEIKSHDFFNDVDWAGLLAQTVDVPRP PHRQEDVTPESCLSRAIAKTREAREELMPDSPVNMPNSPSASRAKHFDRFSYAGGELA AEAKEVHDSASAQYEDVIEEEEEGEDGDDDGPQTPQDVTVGGEDDPSTPLGNDPPPAH FGDFDDDDDDDDDDDDESFEEVDHHPVHKKPNSIEL H257_01841 MMPGLPDKIGAIRDAVKRKSVALAGMKSVTSVTSFFNRGPNSQL EHSNGSPPGTSGRSDSIDYDDDEMILSPQNRVSRGTSSDRHSGSMTRVEKPTTLVGSS SYTEPPEPYLPAGGLLESATKNVQAKMIEMLDIYRDTSPCEDPSIECSVFLSRNVAQA DRVVVFIGDSVGSPAGIWSSKLCVRSGSGGGGIHHGSLGSMLPYLLRARDDLFGIVVF DDIFQMPDDSDGASMLQVATTRLITGWRDHIDSSQASHAFIVCYGEGGRLLVETLRAH LPEMRRLLSGIAFIQSTHRVDSADTYLLRKTMAQWAVHYASSMEPQLSRLKHKEHEAG CVVLSAGYDGSDVEVLQSVLHSVFSAFRARYAGFRTSNVSTGMEKGCYVCKQAFNMRR WRRHCRTCQMPVCEKCSSMESTKLEGSVRLCLTCRVLPSLVSWSRPRAVRLGEKDSVF VDSSIPGKMSVNDFELVSVIGKGACGTVLLVQKKDSSKLYAMKVLKKDWVMNKDLVTQ TMAERRILQEANHPYIVQLRFAFQNNDKLYMVMDYYSGGSLRQVLRRRGRFSIKRARF YLAEILLAIAHLHASNILYRDLKLENIVLTADGNVACTDFGLSKEEVDKETGRASSFV GTCEYLAPEIIMKQPYGTAVDWWAFGILMYEMVQGDSPFRHQNPAVLFEKILSDEAVF SERFTPEAKDLVNKPDVTLAY H257_01841 MMPGLPDKIGAIRDAVKRKSVALAGMKSVTSVTSFFNRGPNSQL EHSNGSPPGTSGRSDSIDYDDDEMILSPQNRVSRGTSSDRHSGSMTRVEKPTTLVGSS SYTEPPEPYLPAGGLLESATKNVQAKMIEMLDIYRDTSPCEDPSIECSVFLSRNVAQA DRVVVFIGDSVGSPAGIWSSKLCVRSGSGGGGIHHGSLGSMLPYLLRARDDLFGIVVF DDIFQMPDDSDGASMLQVATTRLITGWRDHIDSSQASHAFIVCYGEGGRLLVETLRAH LPEMRRLLSGIAFIQSTHRVDSADTYLLRKTMAQWAVHYASSMEPQLSRLKHKEHEAG CVVLSAGYDGSDVEVLQSVLHSVFSAFRARYAGFRTSNVSTGMEKGCYVCKQAFNMRR WRRHCRTCQMPVCEKCSSMESTKLEGSVRLCLTCRVLPSLVSWSRPRAVRLGEKDSVF VDSSIPGKMSVNDFELVSVIGKGACGTVLLVQKKDSSKLYAMKVLKKDWVMNKDLVTQ TMAERRILQEANHPYIVQLRFAFQNNDKLYMVMDYYSGGSLRQVLRRRGRFSIKRARF YLAEILLAIAHLHASNILYRDLKLENIVLTADGNVACTDFGLSKEEVDKETGRASSFV VLCFFYPKFGSLLC H257_01843 MHTNENEAQSQYTILPSSCTSVQQVAAVTPASLVTMNTHQVPAD DAEWKVAHDPRSNRPYYYHPVTRETTWKKPAALIQKEKDAASQFFRMMEHNIKSKMMR SPTAVDESNHRSSSSSPCHDKVVPKQAVKTNRDSGRPPKTGGPPRLFRTLSAKEDMFD AMLNGIATPRTSSATASMRKDIPSPIAEEIVSPHTAYYASRQSDLGMLPTNLHQSSDE FTVPPQPQRMRSNSTNSIFVRMGTMNKPDQTTTFFCVATVLHGHILESTAAPLPMDPR FLGFQAPSSSMSFDVPSVDAIVAFMTQIYRTAQMESECIIMGLIYVERLLQAATGKGL QLTPTNWQSIVFCSMVMASKVWDDLSMCNADFSKIFHGNFPLRRINALELLYLDCVEY NVRVSAASYAKYYFHLRSIYKSLKLGHLSAFDSNTPLNLEGARKMQVISEDYEERIKL LPPPLRRRSRTISSQVPSERIVEEEYRYSDLTAPPASLEQLVHMEVKSAGGNSSPYKY TGWSKRHSE H257_01842 MDKYKKLERIGKGSFGSAYLAEKRGEPGKQYVIKEIQIDPRDQK AAVREARLLAALDHPNIISCKEHFMLAPGQKVLCIVTEYADGGDLRKLLKTYHETGRR LSEDLVLDLLVQTCLALKHIHDRKILHRDVKPENIFLMQSNVVKLGDFGVAKVLSNTL ACADTQTGTPYYTSPEICHGLRYNHKTDVWSLGCVLYEMITQTHAFDGRNQKQLFQNI AYGAFDTTRLDHCTMRLKQLVIAMLAKTPRDRPSINGILKTPLVRDRIQTFLSAQEMQ DELGHTVLHGQHLFRKPPSKAATSPRAMKHPPPPPIAIVRPIVVRERQPPVVRGYAAP TAAMKQRGDAMAAKKERVQALVAKQRELAEMRLVQEKKARLARKQAEVDKRKEGVIPV QGRQRSPPKSPPEAAAVLQAKLEAKRRQMLEQQAVRDLPVVPLKEGRERRVDDRDAGR LKMAEDIKEKKKFLKDIQPSDNDPIILVQALKRRNDDEDASATSQLPPAPELAHTMVP KVEAIFAPDTINFNSTVGSPTHAAGSFQELSFAQCLKEAAAPVQSPAVEPPPPAAVSP HDIVIDTDVITDAGRDVPLAKRSLEYERMLLQMKSVMEDNLAEEPNDDEEEGRDDNAA DLTAESGDDTRLSKLAVLQLPAFQAALKARWDSSHPLQPEETREAAGTSLLSAGHMED VTWLCAYMHAIVHGGAAADGV H257_01844 MIKARPDDDLTTHANVFRQESLQGDGLLYKLPGESSIKRPKPAP IVNIHKEYDANQSYLKTRQTIKEIITQHKSKALSNQERYVRDQQADKFKTTFLETRMA TTGAPTLNDVSVIMERRRTQGEARMKGLANDILTPAETIQRAIHHSYGSGKLDIKALG IDMLPESLSSTFMLQMARFITEVNISRNEFRALSRQFCDGFPGCHVLNASENSIMALA PEISRWSQLTSLVLDCNQLDALPDILPTTLTNLSVARNRLTVVSYMHRLTRLTSVDLS HNQLVILPNALYELKYLRTFTCARNSLISAALLPLPSFKSRHSHATANNLNDDDDDDL GKPGRRAQDWIQNLDPATNQPIYFNNVTQAITRVRPVVLGPIPSATPTIPKLRMPNAI TPAPPQSSVKDFPGGWEVKKGLPTQYLNHANGEIFDWIPKELDRYECFVHLERLNLSS NNIQELPSSMGQMFQLQVLEMEHNQLTTLPDTICTLKNLTVLRVGSNFLTSLPPHFCR IPKLKELDMKLNRLATLPPDIGQLTTLTHLDVSGNSLVSVPPSMLQLTHLTSLNLVGN VKLKVPSASSQKNGLPAILWDIKNQIHIDAKGLPPLPLQVTSGIAQECVSTDIHVHKE FLQLVHDATTTHVLDFHWRNLTELPFQLFDLINLTELRLTGHALGRVPPEIARLTSLR LLTLRKNLLIEFPADCIAPSCSWEELDCENNQLTTLPSTLVLCTKLRVLRMGCNSLDS LPRHMEALTHLEQCLVPHNHLTALPDSLALVTSLQVLDVSNNSIDSLTSFDFTTLTSL VDFRANLNLLSDLPASIGRSNLLDLALSGNRFTVYPVAATQFQSIQRIWMQANKLAEL PVEFGNLRTLQVAEFEGNPLRSPPPAILAQGVGAIHEYLQKRTARVVEFKRLLSAAQY GFHDDHFTPHARDLLVSGILFLLPSDLVEFDKTVDRYINGPFYEHPDVRGVDLVQALV DLQFKRAQAARHAVLDDVLKLCQLIQRKRWLDKVEFRYDLTRPWGYQAEEELVYMLDP NAVYLDWEDVPGILSVIKKRVERGFKEEAFPHSREAVEDALNNYKGMYGPVGLATDKV PFRCGCEQLLRENKRHEPCYRSGWVILQTMITPDEALRRQKELEHLAQALGQVRNEIE AFCLRSRDGKARLLKEAKAMKRQRRQRVKLIKKQVPQLKRKIALRKADLQVALQKHAL DKTVAGDGWVDQDEKQALFAQEDIQDDIRRMTSQVDDMLVLQIKLKHEIRQDYRHYAK DVVDKLLAEAGAQVRQKIIDNHRIKAIRKQTRRPWDGPNGLDFVKFKQQYLGLPLDEV HSPRDNSSVSDVSGDLDEFANELDDSDGPLPSIQSSSASEDDEPPLAEVDAGETKLPE ESKGVGDPDDSDDSDI H257_01845 MGQPPPSRAPSATKPKQAKAPCRFHAKGECRKGASCTFSHAGEG LAALPDLEALRISKPSRNANSSDTNLLAALDADKILTRDLVGPFFSVDVECVATGTGY GDRDVARIAVVDENEVTVFDSYVKPDKPIVSYLTQLTGITAEHLTDANSLADVIADLK KVLPSQCVLVGQSVDKDAHWLGLQPAVDFRVLFNVADLFRVPTTSKSYNYRYFSLRHV AKYLLEATIQESDHDPVVDAVYAMKIFKRFRYLHESSGHRQAVLQTLLKTPRTPSFAE RFPVLDGVLMARPKEERGALMD H257_01846 MQPSPWHEKENEVLTESDNEAINDYKQQWEATPQALLLELAPSL RCSCTRQTNARGHADADDDDRGHGLSTEFDAQVPEESMDMWGPNHLFTFPAHGRCRTG AAAKGDDCTSY H257_01847 MTTASPVAFDTMAWVLTDGHPWWPVYVINPAHIPPELKAFGQLE RESAETANADDTRVHLVFNFGRHTLSVHNMDAMKAWNCTQHPTLLQGYPMSAFRKRGN IAEFRDAMKEAMVFDAEDIATRRLPLSEPHNMDKENQDPSTPNRELVSAKADGDLPGP VCTVDITSPTGPSLTTPIHGDDNDECHISPPPPSQPSDGGIELPPQGVALGSKANASP PPFLAVPDEPCTTIGAPQVEPSPVDASDLPPREGPLECPNVVDEPVASSSATDGTAHA DVSDTVATPQKSDSAADEATITQNNEEEVDGMLLAGTSENDGTVITEIVSSLVDRVIT AVLPMSSKEDDEKDAIAGKQAEPSDDVALDTARISLPDQGYSSSRRVAPAPPKRDDAA EEESGEIAPTGHLVDAPISSPTTADQTKASMDSSSPVGKPAAPIDQFANALADPELMA MADILGISIEPHTPTQQPSVTASSSSVAIASTKAVSQAVSTGESTGSPVVDSMGVDTM AWVKRGAGPWWPVYVCDPTTVRQKLKLSGPNHNMMLYRATTHPDIRLVYFFGLNKLGS TKLSARRIKAWNCAEQNALMFPPISHLESKATLDAFRAAVTEAQAYVDSSDKVLPALS TAAHAAEATPTKRSLDVDGRTADYSSDDEDAAVGMSVEADHPPLPVGVVAWARANNGP YWPVYLCDPTTIQSKLRHLGNRHAALLTRARKHPHEYRLAYVFGKHEFALHKVSGRMK EWNCPDQLELMQGEHSGLRHEELFEDFSLAVIEAEQYLAADDTSRTLPQLTKADMGMS GDDDDTSAALSCQELDQGHADEDGGAVVDVPFDCLAWGRSRGYPWWPAYVCDPLHMRP DLVALGGLSSSNYSTAKRNLTGCRLVYYFGRNAFGLLKARVKPWMCGEHATYARGHPI EQLAAPSMDALFTKAKAEALAFLDNPASAFSIKPSPLHVAILPSITGDSPHVAHPYQS SSSSDHLVLTEPKKRGRPPKPRDGSADPPSKRSRCAVTTAPSASDGVDPPPTDSTSGG GGGESQHGNADDRAPNDGLEPTDSTEWFSNAGESSEHEDGDDDDDVADVANGSVGWTR PPGSPWWPVFVCDPSLVRDHLHFLGDAHQAVLDKAKHDPNVRLVFYFGMHKLGLVKGN SRIRNLKPWKCRDFHLFVQGYPAGTVQGSVVAASFFTAVQEAHTFVASDENTRVLPRM VPSDMDPLLEPPAPARTTPQDSTSAGGDVVPINPSKKRPQILANIPIGQVAWCKPSRS PWWPVYVCDPANLRQSLHHLGKQHRSLLVKAQQNPTDTRLVYYFGRYIFGLVKLNGKI KPWRCVEHGDFLHGFPEVAMDTQDVVDEFYNALKEAEDYLAADEDTRLLPFFVESDTN LDLDPPPPCADNPHTDARGHNADTTVANEQAGDDEEVPPGNHERSSNQATSIPHDAVS DVVPTTDDHGDVTSLDYFGLYTTPPMELPVTRNIAIQEGPTNQVETNHHDEPDSVPQS TKEVASAALPLESLVWARWADGSWWPAYVCDPHKLRDTLHNLGTRHHYDNKWTLAKKS ESGQRLVYYFGRYCFGLQKKTLQPWKCADHATFLRGLGRLAMQNRDTFIKALMEAQDF DACDATERLPPHMVPSDINPLVDPPSPETAYFVPDERAVRSEKAKVPSVVLQEAVPAA DVDIVAWAKSDGYPWWPVYICDPDKVKHTLYALGNGHDFIYNEAVRKPKILRIVYFFG SHRFGLSEVHPKAIQTWQADNHAVLLQGVPKKLMQRKGIVDVFATAMDETNKFIASNE PVLVFPDVVDEELQHDTLDQAQRTSSSVHESKPDKAEPVDTIAFDTVAWAYLSGFPWL PVYVFDPFRLKPELEHLGSRHAEVLKEAQQHADTYRIVYYFGSHNFGLHKTPNVTLRH WGCAEHDTMCLPTPSKAKRSSFRSQVKKALAEADTYLASSERIRLLPKFTASDMYSLA SADRLRVSVAKTQAQRAHREVPRGSRPPPSSKAARPKPPSPTKARAITTTKPSTATKS TASRGAPPIKHDVDSDDSETFEKLLIKHDKALKSKAAKPSVDLIPYNCLAWALLEGYP WLPVFVLDPFTLQADLHLLGSGHGASLRKAKKHPDRYRIVYYFCSHNFGLHTHPATTL RPWNCHEHASFLTGFPKRSCRGKKILEDLVDGVREADCFAAAAPDTRLLPYMVPSDTN PTLAPPSPQFVPYNSLAWAITEGFPWMPVYVFDPFQLRSKLTHLGSGHRDLLRRARAA PETTRLVFYFGSHSFGLHKFDGTLKPWNCSEHGQYLEAKADSLLYIKKSVIEQFDIAM DEVEVFSSMDEGHRLLPYMDPSDFDNPPTLSQPPSRHVRTAPRSREASPPRKRAAKRL RLSNEDVPSKSRAQVAAAHERAVEVKRAFEARMAWVQWDRVVWWPVYICESKPVHRYV WDSERQHMESTDYSSTLVHVYHFGTKAFVEHALSSIKPWRCPEHDAMSQGPLASTGGV KDLFKAAMAEAEEFADSMPTQPTEDDAPDESDEDPGVEELGEPEPLKSPFDDEDSGDD IMSDHDDHHHSSEEEESADSDDNDV H257_01847 MTTASPVAFDTMAWVLTDGHPWWPVYVINPAHIPPELKAFGQLE RESAETANADDTRVHLVFNFGRHTLSVHNMDAMKAWNCTQHPTLLQGYPMSAFRKRGN IAEFRDAMKEAMVFDAEDIATRRLPLSEPHNMDKENQDPSTPNRELVSAKADGDLPGP VCTVDITSPTGPSLTTPIHGDDNDECHISPPPPSQPSDGGIELPPQGVALGSKANASP PPFLAVPDEPCTTIGAPQVEPSPVDASDLPPREGPLECPNVVDEPVASSSATDGTAHA DVSDTVATPQKSDSAADEATITQNNEEEVDGMLLAGTSENDGTVITEIVSSLVDRVIT AVLPMSSKEDDEKDAIAGKQAEPSDDVALDTARISLPDQGYSSSRRVAPAPPKRDDAA EEESGEIAPTGHLVDAPISSPTTADQTKASMDSSSPVGKPAAPIDQFANALADPELMA MADILGISIEPHTPTQQPSVTASSSSVAIASTKAVSQAVSTGESTGSPVVDSMGVDTM AWVKRGAGPWWPVYVCDPTTVRQKLKLSGPNHNMMLYRATTHPDIRLVYFFGLNKLGS TKLSARRIKAWNCAEQNALMFPPISHLESKATLDAFRAAVTEAQAYVDSSDKVLPALS TAAHAAEATPTKRSLDVDGRTADYSSDDEDAAVGMSVEADHPPLPVGVVAWARANNGP YWPVYLCDPTTIQSKLRHLGNRHAALLTRARKHPHEYRLAYVFGKHEFALHKVSGRMK EWNCPDQLELMQGEHSGLRHEELFEDFSLAVIEAEQYLAADDTSRTLPQLTKADMGMS GDDDDTSAALSCQGLFNEPSNHRLELDQGHADEDGGAVVDVPFDCLAWGRSRGYPWWP AYVCDPLHMRPDLVALGGLSSSNYSTAKRNLTGCRLVYYFGRNAFGLLKARVKPWMCG EHATYARGHPIEQLAAPSMDALFTKAKAEALAFLDNPASAFSIKPSPLHVAILPSITG DSPHVAHPYQSSSSSDHLVLTEPKKRGRPPKPRDGSADPPSKRSRCAVTTAPSASDGV DPPPTDSTSGGGGGESQHGNADDRAPNDGLEPTDSTEWFSNAGESSEHEDGDDDDDVA DVANGSVGWTRPPGSPWWPVFVCDPSLVRDHLHFLGDAHQAVLDKAKHDPNVRLVFYF GMHKLGLVKGNSRIRNLKPWKCRDFHLFVQGYPAGTVQGSVVAASFFTAVQEAHTFVA SDENTRVLPRMVPSDMDPLLEPPAPARTTPQDSTSAGGDVVPINPSKKRPQILANIPI GQVAWCKPSRSPWWPVYVCDPANLRQSLHHLGKQHRSLLVKAQQNPTDTRLVYYFGRY IFGLVKLNGKIKPWRCVEHGDFLHGFPEVAMDTQDVVDEFYNALKEAEDYLAADEDTR LLPFFVESDTNLDLDPPPPCADNPHTDARGHNADTTVANEQAGDDEEVPPGNHERSSN QATSIPHDAVSDVVPTTDDHGDVTSLDYFGLYTTPPMELPVTRNIAIQEGPTNQVETN HHDEPDSVPQSTKEVASAALPLESLVWARWADGSWWPAYVCDPHKLRDTLHNLGTRHH YDNKWTLAKKSESGQRLVYYFGRYCFGLQKKTLQPWKCADHATFLRGLGRLAMQNRDT FIKALMEAQDFDACDATERLPPHMVPSDINPLVDPPSPETAYFVPDERAVRSEKAKVP SVVLQEAVPAADVDIVAWAKSDGYPWWPVYICDPDKVKHTLYALGNGHDFIYNEAVRK PKILRIVYFFGSHRFGLSEVHPKAIQTWQADNHAVLLQGVPKKLMQRKGIVDVFATAM DETNKFIASNEPVLVFPDVVDEELQHDTLDQAQRTSSSVHESKPDKAEPVDTIAFDTV AWAYLSGFPWLPVYVFDPFRLKPELEHLGSRHAEVLKEAQQHADTYRIVYYFGSHNFG LHKTPNVTLRHWGCAEHDTMCLPTPSKAKRSSFRSQVKKALAEADTYLASSERIRLLP KFTASDMYSLASADRLRVSVAKTQAQRAHREVPRGSRPPPSSKAARPKPPSPTKARAI TTTKPSTATKSTASRGAPPIKHDVDSDDSETFEKLLIKHDKALKSKAAKPSVDLIPYN CLAWALLEGYPWLPVFVLDPFTLQADLHLLGSGHGASLRKAKKHPDRYRIVYYFCSHN FGLHTHPATTLRPWNCHEHASFLTGFPKRSCRGKKILEDLVDGVREADCFAAAAPDTR LLPYMVPSDTNPTLAPPSPQFVPYNSLAWAITEGFPWMPVYVFDPFQLRSKLTHLGSG HRDLLRRARAAPETTRLVFYFGSHSFGLHKFDGTLKPWNCSEHGQYLEAKADSLLYIK KSVIEQFDIAMDEVEVFSSMDEGHRLLPYMDPSDFDNPPTLSQPPSRHVRTAPRSREA SPPRKRAAKRLRLSNEDVPSKSRAQVAAAHERAVEVKRAFEARMAWVQWDRVVWWPVY ICESKPVHRYVWDSERQHMESTDYSSTLVHVYHFGTKAFVEHALSSIKPWRCPEHDAM SQGPLASTGGVKDLFKAAMAEAEEFADSMPTQPTEDDAPDESDEDPGVEELGEPEPLK SPFDDEDSGDDIMSDHDDHHHSSEEEESADSDDNDV H257_01847 MTTASPVAFDTMAWVLTDGHPWWPVYVINPAHIPPELKAFGQLE RESAETANADDTRVHLVFNFGRHTLSVHNMDAMKAWNCTQHPTLLQGYPMSAFRKRGN IAEFRDAMKEAMVFDAEDIATRRLPLSEPHNMDKENQDPSTPNRELVSAKADGDLPGP VCTVDITSPTGPSLTTPIHGDDNDECHISPPPPSQPSDGGIELPPQGVALGSKANASP PPFLAVPDEPCTTIGAPQVEPSPVDASDLPPREGPLECPNVVDEPVASSSATDGTAHA DVSDTVATPQKSDSAADEATITQNNEEEVDGMLLAGTSENDGTVITEIVSSLVDRVIT AVLPMSSKEDDEKDAIAGKQAEPSDDVALDTARISLPDQGYSSSRRVAPAPPKRDDAA EEESGEIAPTGHLVDAPISSPTTADQTKASMDSSSPVGKPAAPIDQFANALADPELMA MADILGISIEPHTPTQQPSVTASSSSVAIASTKAVSQAVSTGESTGSPVVDSMGVDTM AWVKRGAGPWWPVYVCDPTTVRQKLKLSGPNHNMMLYRATTHPDIRLVYFFGLNKLGS TKLSARRIKAWNCAEQNALMFPPISHLESKATLDAFRAAVTEAQAYVDSSDKVLPALS TAAHAAEATPTKRSLDVDGRTADYSSDDEDAAVGMSVEADHPPLPVGVVAWARANNGP YWPVYLCDPTTIQSKLRHLGNRHAALLTRARKHPHEYRLAYVFGKHEFALHKVSGRMK EWNCPDQLELMQGEHSGLRHEELFEDFSLAVIEAEQYLAADDTSRTLPQLTKADMGMS GDDDDTSAALSCQGLFNEPSNHRLELDQGHADEDGGAVVDVPFDCLAWGRSRGYPWWP AYVCDPLHMRPDLVALGGLSSSNYSTAKRNLTGCRLVYYFGRNAFGLLKARVKPWMCG EHATYARGHPIEQLAAPSMDALFTKAKAEALAFLDNPASAFSIKPSPLHVAILPSITG DSPHVAHPYQSSSSSDHLVLTEPKKRGRPPKPRDGSADPPSKRSRCAVTTAPSASDGV DPPPTDSTSGGGGGESQHGNADDRAPNDGLEPTDSTEWFSNAGESSEHEDGDDDDDVA DVANGSVGWTRPPGSPWWPVFVCDPSLVRDHLHFLGDAHQAVLDKAKHDPNVRLVFYF GMHKLGLVKGNSRIRNLKPWKCRDFHLFVQGYPAGTVQGSVVAASFFTAVQEAHTFVA SDENTRVLPRMVPSDMDPLLEPPAPARTTPQDSTSAGGDVVPINPSKKRPQILANIPI GQVAWCKPSRSPWWPVYVCDPANLRQSLHHLGKQHRSLLVKAQQNPTDTRLVYYFGRY IFGLVKLNGKIKPWRCVEHGDFLHGFPEVAMDTQDVVDEFYNALKEAEDYLAADEDTR LLPFFVESDTNLDLDPPPPCADNPHTDARGHNADTTVANEQAGDDEEVPPGNHERSSN QATSIPHDAVSDVVPTTDDHGDVTSLDYFGLYTTPPMELPVTRNIAIQEGPTNQVETN HHDEPDSVPQSTKEVASAALPLESLVWARWADGSWWPAYVCDPHKLRDTLHNLGTRHH YDNKWTLAKKSESGQRLVYYFGRYCFGLQKKTLQPWKCADHATFLRGLGRLAMQNRDT FIKALMEAQDFDACDATERLPPHMVPSDINPLVDPPSPETAYFVPDERAVRSEKAKVP SVVLQEAVPAADVDIVAWAKSDGYPWWPVYICDPDKVKHTLYALGNGHDFIYNEAVRK PKILRIVYFFGSHRFGLSEVHPKAIQTWQADNHAVLLQGVPKKLMQRKGIVDVFATAM DETNKFIASNEPVLVFPDVVDEELQHDTLDQAQRTSSSVHESKPDKAEPVDTIAFDTV AWAYLSGFPWLPVYVFDPFRLKPELEHLGSRHAEVLKEAQQHADTYRIVYYFGSHNFG LHKTPNVTLRHWGCAEHDTMCLPTPSKAKRSSFRSQVKKALAEADTYLASSERIRLLP KFTASDMYSLASADRLRVSVAKTQAQRAHREVPRGSRPPPSSKAARPKPPSPTKARAI TTTKPSTATKSTASRGAPPIKHDVDSDDSETFEKLLIKHDKALKSKAAKPSVDLIPYN CLAWALLEGYPWLPVFVLDPFTLQADLHLLGSGHGASLRKAKKHPDRYRIVYYFCSHN FGLHTHPATTLRPWNCHEHASFLTGFPKRSCRGKKILEDLVDGVREADCFAAAAPDTR LLPYMVPSDTNPTLAPPSPQFVPYNSLAWAITEGFPWMPVYVFDPFQLRSKLTHLGSG HRDLLRRARAAPETTRLVFYFGSHSFGLHKFDGTLKPWNCSEHGQYLEAKADSLLYIK KSVIEQFDIAMDEVEVFSSMDEGHRLLPYMDPSDFDNPPTLSQPPSRHVRTAPRSREA SPPRKRAAKRLRLSNEDVPSKSRAQVAAAHERAVEVKRAFEARMAWVQWDRVVWWPVY ICESKPVHRYVWDSERQHMESTDYSSTLVHVYHFGTKAL H257_01847 MTTASPVAFDTMAWVLTDGHPWWPVYVINPAHIPPELKAFGQLE RESAETANADDTRVHLVFNFGRHTLSVHNMDAMKAWNCTQHPTLLQGYPMSAFRKRGN IAEFRDAMKEAMVFDAEDIATRRLPLSEPHNMDKENQDPSTPNRELVSAKADGDLPGP VCTVDITSPTGPSLTTPIHGDDNDECHISPPPPSQPSDGGIELPPQGVALGSKANASP PPFLAVPDEPCTTIGAPQVEPSPVDASDLPPREGPLECPNVVDEPVASSSATDGTAHA DVSDTVATPQKSDSAADEATITQNNEEEVDGMLLAGTSENDGTVITEIVSSLVDRVIT AVLPMSSKEDDEKDAIAGKQAEPSDDVALDTARISLPDQGYSSSRRVAPAPPKRDDAA EEESGEIAPTGHLVDAPISSPTTADQTKASMDSSSPVGKPAAPIDQFANALADPELMA MADILGISIEPHTPTQQPSVTASSSSVAIASTKAVSQAVSTGESTGSPVVDSMGVDTM AWVKRGAGPWWPVYVCDPTTVRQKLKLSGPNHNMMLYRATTHPDIRLVYFFGLNKLGS TKLSARRIKAWNCAEQNALMFPPISHLESKATLDAFRAAVTEAQAYVDSSDKVLPALS TAAHAAEATPTKRSLDVDGRTADYSSDDEDAAVGMSVEADHPPLPVGVVAWARANNGP YWPVYLCDPTTIQSKLRHLGNRHAALLTRARKHPHEYRLAYVFGKHEFALHKVSGRMK EWNCPDQLELMQGEHSGLRHEELFEDFSLAVIEAEQYLAADDTSRTLPQLTKADMGMS GDDDDTSAALSCQELDQGHADEDGGAVVDVPFDCLAWGRSRGYPWWPAYVCDPLHMRP DLVALGGLSSSNYSTAKRNLTGCRLVYYFGRNAFGLLKARVKPWMCGEHATYARGHPI EQLAAPSMDALFTKAKAEALAFLDNPASAFSIKPSPLHVAILPSITGDSPHVAHPYQS SSSSDHLVLTEPKKRGRPPKPRDGSADPPSKRSRCAVTTAPSASDGVDPPPTDSTSGG GGGESQHGNADDRAPNDGLEPTDSTEWFSNAGESSEHEDGDDDDDVADVANGSVGWTR PPGSPWWPVFVCDPSLVRDHLHFLGDAHQAVLDKAKHDPNVRLVFYFGMHKLGLVKGN SRIRNLKPWKCRDFHLFVQGYPAGTVQGSVVAASFFTAVQEAHTFVASDENTRVLPRM VPSDMDPLLEPPAPARTTPQDSTSAGGDVVPINPSKKRPQILANIPIGQVAWCKPSRS PWWPVYVCDPANLRQSLHHLGKQHRSLLVKAQQNPTDTRLVYYFGRYIFGLVKLNGKI KPWRCVEHGDFLHGFPEVAMDTQDVVDEFYNALKEAEDYLAADEDTRLLPFFVESDTN LDLDPPPPCADNPHTDARGHNADTTVANEQAGDDEEVPPGNHERSSNQATSIPHDAVS DVVPTTDDHGDVTSLDYFGLYTTPPMELPVTRNIAIQEGPTNQVETNHHDEPDSVPQS TKEVASAALPLESLVWARWADGSWWPAYVCDPHKLRDTLHNLGTRHHYDNKWTLAKKS ESGQRLVYYFGRYCFGLQKKTLQPWKCADHATFLRGLGRLAMQNRDTFIKALMEAQDF DACDATERLPPHMVPSDINPLVDPPSPETAYFVPDERAVRSEKAKVPSVVLQEAVPAA DVDIVAWAKSDGYPWWPVYICDPDKVKHTLYALGNGHDFIYNEAVRKPKILRIVYFFG SHRFGLSEVHPKAIQTWQADNHAVLLQGVPKKLMQRKGIVDVFATAMDETNKFIASNE PVLVFPDVVDEELQHDTLDQAQRTSSSVHESKPDKAEPVDTIAFDTVAWAYLSGFPWL PVYVFDPFRLKPELEHLGSRHAEVLKEAQQHADTYRIVYYFGSHNFGLHKTPNVTLRH WGCAEHDTMCLPTPSKAKRSSFRSQVKKALAEADTYLASSERIRLLPKFTASDMYSLA SADRLRVSVAKTQAQRAHREVPRGSRPPPSSKAARPKPPSPTKARAITTTKPSTATKS TASRGAPPIKHDVDSDDSETFEKLLIKHDKALKSKAAKPSVDLIPYNCLAWALLEGYP WLPVFVLDPFTLQADLHLLGSGHGASLRKAKKHPDRYRIVYYFCSHNFGLHTHPATTL RPWNCHEHASFLTGFPKRSCRGKKILEDLVDGVREADCFAAAAPDTRLLPYMVPSDTN PTLAPPSPQFVPYNSLAWAITEGFPWMPVYVFDPFQLRSKLTHLGSGHRDLLRRARAA PETTRLVFYFGSHSFGLHKFDGTLKPWNCSEHGQYLEAKADSLLYIKKSVIEQFDIAM DEVEVFSSMDEGHRLLPYMDPSDFDNPPTLSQPPSRHVRTAPRSREASPPRKRAAKRL RLSNEDVPSKSRAQVAAAHERAVEVKRAFEARMAWVQWDRVVWWPVYICESKPVHRYV WGT H257_01847 MTTASPVAFDTMAWVLTDGHPWWPVYVINPAHIPPELKAFGQLE RESAETANADDTRVHLVFNFGRHTLSVHNMDAMKAWNCTQHPTLLQGYPMSAFRKRGN IAEFRDAMKEAMVFDAEDIATRRLPLSEPHNMDKENQDPSTPNRELVSAKADGDLPGP VCTVDITSPTGPSLTTPIHGDDNDECHISPPPPSQPSDGGIELPPQGVALGSKANASP PPFLAVPDEPCTTIGAPQVEPSPVDASDLPPREGPLECPNVVDEPVASSSATDGTAHA DVSDTVATPQKSDSAADEATITQNNEEEVDGMLLAGTSENDGTVITEIVSSLVDRVIT AVLPMSSKEDDEKDAIAGKQAEPSDDVALDTARISLPDQGYSSSRRVAPAPPKRDDAA EEESGEIAPTGHLVDAPISSPTTADQTKASMDSSSPVGKPAAPIDQFANALADPELMA MADILGISIEPHTPTQQPSVTASSSSVAIASTKAVSQAVSTGESTGSPVVDSMGVDTM AWVKRGAGPWWPVYVCDPTTVRQKLKLSGPNHNMMLYRATTHPDIRLVYFFGLNKLGS TKLSARRIKAWNCAEQNALMFPPISHLESKATLDAFRAAVTEAQAYVDSSDKVLPALS TAAHAAEATPTKRSLDVDGRTADYSSDDEDAAVGMSVEADHPPLPVGVVAWARANNGP YWPVYLCDPTTIQSKLRHLGNRHAALLTRARKHPHEYRLAYVFGKHEFALHKVSGRMK EWNCPDQLELMQGEHSGLRHEELFEDFSLAVIEAEQYLAADDTSRTLPQLTKADMGMS GDDDDTSAALSCQGLFNEPSNHRLELDQGHADEDGGAVVDVPFDCLAWGRSRGYPWWP AYVCDPLHMRPDLVALGGLSSSNYSTAKRNLTGCRLVYYFGRNAFGLLKARVKPWMCG EHATYARGHPIEQLAAPSMDALFTKAKAEALAFLDNPASAFSIKPSPLHVAILPSITG DSPHVAHPYQSSSSSDHLVLTEPKKRGRPPKPRDGSADPPSKRSRCAVTTAPSASDGV DPPPTDSTSGGGGGESQHGNADDRAPNDGLEPTDSTEWFSNAGESSEHEDGDDDDDVA DVANGSVGWTRPPGSPWWPVFVCDPSLVRDHLHFLGDAHQAVLDKAKHDPNVRLVFYF GMHKLGLVKGNSRIRNLKPWKCRDFHLFVQGYPAGTVQGSVVAASFFTAVQEAHTFVA SDENTRVLPRMVPSDMDPLLEPPAPARTTPQDSTSAGGDVVPINPSKKRPQILANIPI GQVAWCKPSRSPWWPVYVCDPANLRQSLHHLGKQHRSLLVKAQQNPTDTRLVYYFGRY IFGLVKLNGKIKPWRCVEHGDFLHGFPEVAMDTQDVVDEFYNALKEAEDYLAADEDTR LLPFFVESDTNLDLDPPPPCADNPHTDARGHNADTTVANEQAGDDEEVPPGNHERSSN QATSIPHDAVSDVVPTTDDHGDVTSLDYFGLYTTPPMELPVTRNIAIQEGPTNQVETN HHDEPDSVPQSTKEVASAALPLESLVWARWADGSWWPAYVCDPHKLRDTLHNLGTRHH YDNKWTLAKKSESGQRLVYYFGRYCFGLQKKTLQPWKCADHATFLRGLGRLAMQNRDT FIKALMEAQDFDACDATERLPPHMVPSDINPLVDPPSPETAYFVPDERAVRSEKAKVP SVVLQEAVPAADVDIVAWAKSDGYPWWPVYICDPDKVKHTLYALGNGHDFIYNEAVRK PKILRIVYFFGSHRFGLSEVHPKAIQTWQADNHAVLLQGVPKKLMQRKGIVDVFATAM DETNKFIASNEPVLVFPDVVDEELQHDTLDQAQRTSSSVHESKPDKAEPVDTIAFDTV AWAYLSGFPWLPVYVFDPFRLKPELEHLGSRHAEVLKEAQQHADTYRIVYYFGSHNFG LHKTPNVTLRHWGCAEHDTMCLPTPSKAKRSSFRSQVKKALAEADTYLASSERIRLLP KFTASDMYSLASADRLRVSVAKTQAQRAHREVPRGSRPPPSSKAARPKPPSPTKARAI TTTKPSTATKSTASRGAPPIKHDVDSDDSETFEKLLIKHDKALKSKAAKPSVDLIPYN CLAWALLEGYPWLPVFVLDPFTLQADLHLLGSGHGASLRKAKKHPDRYRIVYYFCSHN FGLHTHPATTLRPWNCHEHASFLTGFPKRSCRGKKILEDLVDGVREADCFAAAAPDTR LLPYMVPSDTNPTLAPPSPQFVPYNSLAWAITEGFPWMPVYVFDPFQLRSKLTHLGSG HRDLLRRARAAPETTRLVFYFGSHSFGLHKFDGTLKPWNCSEHGQYLEAKADSLLYIK KSVIEQFDIAMDEVEVFSSMDEGHRLLPYMDPSDFDNPPTLSQPPSRHVRTAPRSREA SPPRKRAAKRLRLSNEDVPSKSRAQVAAAHERAVEVKRAFEARMAWVQWDRVVWWPVY ICESKPVHRYVWGT H257_01848 MQGHGNALTTVEVCRVVWARSDGNPWWPAYVCDPSKLRDELYAL GSSHRRMLRKAKAEPNEFFLVFYFGAHHFRLHAPNDGTLQPWMCPRYYEFLHGHSQFK RGPLKDAFREAIREAKDFVAANEETRLLPRMVPSDMDLSLEPPPSSSSQTKMQPCQDA IVVIDLDNDTTTLTSPGHVLTADDLPFHCLAWSYVVGKTWWPVYVCDPDVIGTHAKAA DKRSKLRKLEATYATEIRIAQANAGTQRLLYFFGRHIIRLHDTAASVSNTGIKPWECT EHAKYLAGDVGLARKDEEYARAMKEVKDYVMVAPETNPNPPYVPSKASTPSPADSPPQ EAPPSPPLPLPSQLTTESTPPTLQSSDSRCSHPPNTNTNPSANSSPSSSGVSSEPSKV VDHKAPVSLNGLGAAVAVASIPQGDNVSPTCGEDGGSSVKTEAQADAASPVREGVAWA FLNDQLWWPVLVCGFKLDDNTPGMLPSEPSRNQVVDVYYFGRKHFGNIDSTVLKPWNG PEHTSFIYDQSMLETKSKAFVSAFADAVAEAGDFASVLHTLSDQPANSPATAPPIPSA EPPRKKQALLTLPTRSNQLISEYVMLRNVVQAVVPAWKVACATMTLENLAEFTKSLQI VLNQLHAIMRKIIDNPRTIVTPLVFVSTYHSAVMCVWTMVLSVSLKNAAPASRSDTHF MHTCLHCFLESVDGVYKPLLHSSVVHRCLQFAHIATQYARAPLAPEVQRARAWMHDFF QLYLAKPPTYDKCRALVADTTSGLGRLPVPVTRELAKLTALRHGFEHPAVDVEPAADN QQEASMSFHDQSLGLHIGETQNGLRVLGMTPTAPEPTKRAVQSGTIQRGDVIVAVNSI PIAQFGGFRELCAVVASTARPIVLTFRRRPVRPVTVKPQDAARPRTAPPQDDPTLADT QTESAAHHPPPPPPSNSFLDTRRRMPPLPTGLQRQSSIIHTLDELNPGASTHEVANVA TNSARRYVDPPAIAAEYGGHATSQFGPQQDSRFVTMATQGCHDPRVRRSDTNHFPGPY NVASLEQGFQTTTPLPPSNVNTSTTWNIPPQPSLRPFNTMQFAPPPATMFDQAAPPLD MSYYNPPTNPPGGYFGAPPTYMNYHQPNVVYVAVPAGHPLYHSVLQQSMAASYPPPPS FPPQFQQHSHAWNPNEYAPP H257_01849 MKSNIFRNLLSNPKSQIIMSIESELSVQLVSTPSSSASYDARQR CSRFELNLANSISCERRRLGTGCSILGCTQLSSKAVLPFASYRQTAPQHCEKSRREAY HLSRQE H257_01850 MGIWTDELDKTWLEELVHQAVVLGNKSNSGFKKEAWTALKSRYD TIKGMYGVVVKLANSSGWDGVIKVPCQMLVNNVG H257_01851 MALPLANSPTPAFIENNLKFTPYFSKCRMAIDGTHIPVWVKSDQ GAPFQGRKGVTMNVLAACNFDLQFTYVLEGWEGSAGDGKVYADALEKGLTMDDDKYDI ADAGFGLTLRCLTPYGTRYHLKEYGLGRLKPQRNEEIFNLRHALVRNCIERIFGIVKK RFPVMSHGVRYDYGFQLKPQH H257_01852 MRHELWLLYLRRRAHRRKRLLMMAYISYHYAAFVNKTPKRTSIL TGAMWVQEMMIGNHDAFVDSFRISRETFLMLHDELVNKAGLQATGRISSVEQLAVFMY FAGQQVTSANLQ H257_01853 MEAAPMEPNERTKVSANGKAKKKSTTTASTKGKVKLKAPPRTTA TVQQLPNTAADGEQSPPDAGSEALRELLQRIKLAYPSDSDDETKDDLMLPTSFSKPTF LLWNCLHHFFTTA H257_01854 MRIAAVKLAASLNFSEGDFVDFKKPTALKGRGVLKLDRAALKIN HVGHASGTVPHDMNASPSANGPTLTDASGIYSIDETTGFNKVIYILSLVLQSPSFSKG ATKARKKSANQDTMTASK H257_01855 MGYCVVLPLQAQGHTFAASPGTTPAAKVRRARLAQLARAAKKAD TGATLVSFQAQDAASRAKISADTSSAAVVATNASAPATSVSSTPSDPRSQPTGYTIVS FIKRRRAHNDPEYTYIAKVRTEQGSMATHLFRESDLPNRAVSIFEAYDAYCNTSPPPL PTTYSAWPKS H257_01856 MASPYYASDRRINCVAWGSTNRKLWWPVYLCDPKTIAEDLHVLG SAHNQLLERRRHPNFPLVYYLGTYEFEGGFVNVKDWFCDEYKTFSQEPDIPDDKLREW CLAFQEAQKIRVNGIVPYLTPSDLNKNLPPMPPPPIVPEGSIMWHYSRGNPWMPCYVL EPSTVIVTDAPTSIRSAVRQAQKTPDKYYLVYFFGIHSLKLFVRSWARVKLWECPEHD GFLRGIPRLAHFQQTIEKAVKHAMVFVVSGYNVDHLTFPGLIFTSTGPPRRSLSTDPP PYKKPKLSWRHESTHHQPTQWISASAFSHPTKQHLEDPRRPPAKEPTYAHGIVWAQEQ SATSMWTPVLVTNRPLWEMINDKRQRDLRDCHVYSFQDCAFHTWTARVRPWKSSKAMK YSMLLREAGMQAVVDDAEDYYAMFERLEVHKYWHDSTDRWSCPWCSACSLSAKKFNEC PVCS H257_01856 MASPYYASDRRINCVAWGSTNRKLWWPVYLCDPKTIAEDLHVLG SAHNQLLERRRHPNFPLVYYLGTYEFEGGFVNVKDWFCDEYKTFSQEPDIPDDKLREW CLAFQEAQKIRVNGIVPYLTPSDLNKNLPPMPPPPIVPEGSIMWHYSRGNPWMPCYVL EPSTVIVTDAPTSIRSAVRQAQKTPDKYYLVYFFGIHSLKLFVRSWARVKLWECPEHD GFLRGIPRLAHFQQTIEKAVKHAMVFVVSGYNVDHLTFPGLIFTSTGPPRRSLSTDPP PYKKPKLSWRHESTHHQPTQWISASAFSHPTKQHLEDPRRPPAKEPTYAHGIVWAQEQ SATSMWTPVLVTNRPLWEMINDKRQRDLRDCHVYSFQDCAFHTWTARVRPWKSSKAMK YSMLLREAGMQAVVDDAEDYYAMFERLECVFSLSQEVQRVSSM H257_01856 MASPYYASDRRINCVAWGSTNRKLWWPVYLCDPKTIAEDLHVLG SAHNQLLERRRHPNFPLVYYLGTYEFEGGFVNVKDWFCDEYKTFSQEPDIPDDKLREW CLAFQEAQKIRVNGIVPYLTPSDLNKNLPPMPPPPIVPEGSIMWHYSRGNPWMPCYVL EPSTVIVTDAPTSIRSAVRQAQKTPDKYYLVYFFGIHSLKLFVRSWARVKLWECPEHD GFLRGIPRLAHFQQTIEKAVKHAMVFVVSGYNVDHLTFPGLIFTSTGPPRRSLSTDPP PYKKPKLSWRHESTHHQPTQWISASAFSHPTKQHLEDPRRPPAKEPTYAHGIVWAQEQ SATSMWTPVLVTNRPLWEMINDKRQRDLRDCHVYSFQDCAFHTWTARVRPWKSSKAMK YSMLLREAGMQAVVDDAEVTKRVRITC H257_01857 MATSGRAAYEDGGRFNCVAWASTSGALWWPVYLCNPMTMADDLH VLGHSHKDYRTERYPGIPVVYYLGTYEFEHGKAEVKEWFCPEFEHLAQEPSVPDDVLV DWCRALAEAQAIRSTGVVPYMLPSDLDNRLPPVPRLCNASEGSVVWLFTHGHPWRPCF ILNPFSLVAKQGNSSHLVRLVNNAQKDPSRYYLVYTFGVHAIQLFNRSKSKAGIKLWN CPEHQGFLRGIPRPSAQGQVILHRGVDHATAFLKSGSNLDGLVLPGFNVDKNPRTHRP LIIYQPPKPKHPEPTTHSYPLRSKQSQEPTVGVTVVAPPTPQPTPAKWATRERYPFGM AWARITNMMWSPIHLCQKTDWTTHKAGHQKLAEYKIRVHIYCFATHTFRTWSGQFHPW LGATDHIKQLRAVGMGQVFNEARDFIAKYDKLERVLILQNAIESLGPS H257_01857 MATSGRAAYEDGGRFNCVAWASTSGALWWPVYLCNPMTMADDLH VLGHSHKDYRTERYPGIPVVYYLGTYEFEHGKAEVKEWFCPEFEHLAQEPSVPDDVLV DWCRALAEAQAIRSTGVVPYMLPSDLDNRLPPVPRLCNASEGSVVWLFTHGHPWRPCF ILNPFSLVAKQGNSSHLVRLVNNAQKDPSRYYLVYTFGVHAIQLFNRSKSKAGIKLWN CPEHQGFLRGIPRPSAQGQVILHRGVDHATAFLKSGSNLDGLVLPGFNVDKNPRTHRP LIIYQPPKPKHPEPTTHSYPLRSKQSQEPTVGVTVVAPPTPQPTPAKWATRERRPIGR HTKQATKSWPSTRSASTFIALQHTHFARGRVNSIRGWARPTISSNCVRWGWDKYLTKL GTLLPSTTSWSEC H257_01858 MTSYDLGWARRTDELWWPVYVCNVQPLRKGAFHYLQIEHQPAVK LAHKFNGVLVYYLGIYTFSAQTKVDVKRWSCNERHLFSQQEAIADDADMLTQFTTAMA EAKVFEDQGTLPFLTPSDLRSSLTPPPWPKDIMPGTITWMSHASKSWAPAYVFDPGHL RANHNVATRHEKKQLLQASNPNTYYLVYNFGDCQISLWKRGNAKMLPWGCPEHDKFLL GVPRKHPNEYLSKAMKRLEDFLLAKQNQKKLTIKNAYVVQVSWANPSKNELDPNNDDI AISTVDDTMASSGKDMSTLCVRKRKAVEEAPPAMVMYSKKTHLATVMGTSKRPAIGPQ PTASLPPPVPPLFRNGVAWAHVRGQLWWPVYICNPHLWSPQPDSPTLTYDVYSFGYHT MRSRAFTLAQLRPWKCPEAPRLRETIFTALDQSKVSDQETLGNALVEADNYYVEYEQI QSSTDLESKLARLKCNTLAASAAWCCS H257_01858 MTSYDLGWARRTDELWWPVYVCNVQPLRKGAFHYLQIEHQPAVK LAHKFNGVLVYYLGIYTFSAQTKVDVKRWSCNERHLFSQQEAIADDADMLTQFTTAMA EAKVFEDQGTLPFLTPSDLRSSLTPPPWPKDIMPGTITWMSHASKSWAPAYVFDPGHL RANHNVATRHEKKQLLQASNPNTYYLVYNFGDCQISLWKRGNAKMLPWGCPEHDKFLL GVPRKHPNEYLSKAMKRLEDFLLAKQNQKKLTIKNAYVVQVSWANPSKNELDPNNDDI AISTVDDTMASSGKDMSTLCVRKRKAVEEAPPAMVMYSKKTHLATVMGTSKRPAIGPQ PTASLPPPVPPLFRNGVAWAHVRGQLWWPVYICNPHLWSPRNHLVLKTKSSNIYELSR ARLAYLDV H257_01859 MDLGWARRPNHLWWPVYICQTKMFRKEDLHYLQNEHKSAMEVAV KSKSVLVYYLGQYTFASRTKGDVKQWACPEHNTFSGHETITDAEMLLQFTTAMTEAKV YVDTGILPFLAPSDVNQALKPPAWPQDIAPGTITWLKHATKSWTPAYVLDPTHLSAKR GVASSHVKDQLRLAKKNPHKYYLVYKFGECNIMLWKRENAKMLLWGGPEHSKLLLGIP RRESNTFLATAMQRLESFLAAKQNQKKLAVNNAYTVKVSWLRLPSSQIDPNQIQDNDD DDVSTEDEAENDTMASSEAPPAMIIYSKKTHLATVMGTSKRPAISPQPKTSLPPPVPP LFRNGVAWAHVRGQLWWPVYICNPHLWSPQPDSPTLTYDVYSFGYHTMRSRAFTLAQL RPWKCPEAPRLRETIFTALDQSKVSDQETLGNALVEADNYYVEYEQIQSYSNLDINIK RINRNNKRGVF H257_01859 MDLGWARRPNHLWWPVYICQTKMFRKEDLHYLQNEHKSAMEVAV KSKSVLVYYLGQYTFASRTKGDVKQWACPEHNTFSGHETITDAEMLLQFTTAMTEAKV YVDTGILPFLAPSDVNQALKPPAWPQDIAPGTITWLKHATKSWTPAYVLDPTHLSAKR GVASSHVKDQLRLAKKNPHKYYLVYKFGECNIMLWKRENAKMLLWGGPEHSKLLLGIP RRESNTFLATAMQRLESFLAAKQNQKKLAVNNAYTVKVSWLRLPSSQIDPNQIQDNDD DDVSTEDEAENDTMASSGKDMSTLSVRKRKAVEEAPPAMIIYSKKTHLATVMGTSKRP AISPQPKTSLPPPVPPLFRNGVAWAHVRGQLWWPVYICNPHLWSPQPDSPTLTYDVYS FGYHTMRSRAFTLAQLRPWKCPEAPRLRETIFTALDQSKVSDQETLGNALVEADNYYV EYEQIQSYSNLDINIKRINRNNKRGVF H257_01859 MDLGWARRPNHLWWPVYICQTKMFRKEDLHYLQNEHKSAMEVAV KSKSVLVYYLGQYTFASRTKGDVKQWACPEHNTFSGHETITDAEMLLQFTTAMTEAKV YVDTGILPFLAPSDVNQALKPPAWPQDIAPGTITWLKHATKSWTPAYVLDPTHLSAKR GVASSHVKDQLRLAKKNPHKYYLVYKFGECNIMLWKRENAKMLLWGGPEHSKLLLGIP RRESNTFLATAMQRLESFLAAKQNQKKLAVNNAYTVKVSWLRLPSSQIDPNQIQDNDD DDVSTEDEAENDTMASSEAPPAMIIYSKKTHLATVMGTSKRPAISPQPKTSLPPPVPP LFRNGVAWAHVRGQLWWPVYICNPHLWSPRNHLVLKTKSSNIYELSRARLAYPDV H257_01859 MDLGWARRPNHLWWPVYICQTKMFRKEDLHYLQNEHKSAMEVAV KSKSVLVYYLGQYTFASRTKGDVKQWACPEHNTFSGHETITDAEMLLQFTTAMTEAKV YVDTGILPFLAPSDVNQALKPPAWPQDIAPGTITWLKHATKSWTPAYVLDPTHLSAKR GVASSHVKDQLRLAKKNPHKYYLVYKFGECNIMLWKRENAKMLLWGGPEHSKLLLGIP RRESNTFLATAMQRLESFLAAKQNQKKLAVNNAYTVKVSWLRLPSSQIDPNQIQDNDD DDVSTEDEAENDTMASSGKDMSTLSVRKRKAVEEAPPAMIIYSKKTHLATVMGTSKRP AISPQPKTSLPPPVPPLFRNGVAWAHVRGQLWWPVYICNPHLWSPRNHLVLKTKSSNI YELSRARLAYPDV H257_01860 MRVAVIGAGPAGLAALKVFQDVVGADDLVAFDSQEDIGGTWLYS ESSESWFASAVYANLRTNLPPEQMQYPSMDFPDGTDQFPHHSVVHKYLVAFADRFNLR RFIRLNTTVEGCSFNDGVWLLRLSDGTQHEADKVILANGHFRLPHFPLHLDIDPSIAS FHSRQYRRPDAFHGKVVVLVGAGTSAFDIAREIAPQAERVYISMRDDSSAGDLGETVD QLRPTRKDGSLAEIVAKGALRRTGPDGLVQFEDGSTVSHVHTIIWATGFDFTFPFMQL GESSESTQLVDTTGAAVYNLYREMMYIPNPTLAILGVNHRIWPFPVFEYQATLLSLYW TKALPLPTRSDMRAHEQGEAARWGYLPGSKESHRFGPDRQYAYLSTIYDDLVATQPVP SLPKPISDPDRRAQILRDRKLHLGY H257_01861 MSKKSESHGSWTPAAMAEDAQIPYNCVAWTLLEGYPWSPVYVFD PNQVRENLELLGNSHQHHLKKARDWPNVYRLVYNFGYHNISLLSLGQYIKPWQCPDHL RFLNGYPHECMNRRDVVEAFREALIEAQAFLATDNRSRMLPNMTVSDFYYDDQQPVVP APVPSILSTPPPLPIAYQVHSSSHSSGALTDDTGPEPSSLGQSGSSITGRPENLAPTT SATVPPQEQPTIPLRRTRARTQLDRDSSASQDKGVPGLPPAPDAAKQLRSPQDTMKPT RQSAKAKTPPTKPPKQVDGRSTRKPKLPLPPSQEVKRPAAAAAKKTAARPKGPKKGNN PAISPPSSRVPVESSMLGRVDVGPHKAISTSRSTTTPPRAYEKQTGMLTLRHDGLDNA PTVPQLYPNHLMTLAEVSSSPPVDIPNNSIVWAHVHGMSWWPAIVLDPFQVKPVLHFL GHAHDAPLKKARKQPKDVRLVFVFGRYVFCSLKKKMKRWQCPEHDTFLQGPTSLFTAH PFLADVFADGVHQAQNFLGAQTLNQYIPYVHASDLSSTTVLPPPQVQFNAVAWAKRDE VWWPVFLCDPRTLRSNLFHLGTGELPHCRVATGLPFHNRIAYYFGMHDFGLYADDSLL KRWFCSEHVSFIKGHVDASVTDRLKDALKQVQEFFVAEEGHRTMLCKLVGASDDVSSP SPPPQLHSFLDGATVVPASHQVVLALYRPSSNPTRKAMLDDGAADKAPYDVLTMHTTR FKPTDLDALNQIMNAPTPQRPADEKHGLTPMLPFLSDQVDATEVQVSNEHIDAVVVQV LHNLVDAIAQYHAATNDIREAIEEVDTPTPLVQTDETHEATLQEDSDANDMQEPDESH RSIQYAMDDIVASIEAIQCEDQEIEAMRSAAKTDDGAVLVEEGDWCVDMNSVAWGRKS EHHPWWPVYSCDPRRLRSHLHYMGVRHRGLLLQARRHAATLRLVYYFGRHTFGVTALP LKLWNSRDHSHCIARFPGKDHAVDIFADALHEAEACHTSNEAVPFVTPWDVNLSRIPP TAPPPAVVCSSVAWSFESGSTWWPVYLCDPDAIGPAFCLGTEQDDRLLRIATYLPEYY VLVYFFGTRRFGLRQRLALTNGWLGFNHQTCIDSISTTDDVMATKALAEAEDFARELR TDLHKLPFELVPTAVSSVEQALVPPHPAAPPIGGIHEAQPSHLESYWRAEQQEDTKDE RRAFELRTHRDNVSSFFKHLMRQDEDGSSSSSDSSRFEDDSDDEEPESCDTEWEHSDH DSPRRSSASVAYAKETKGFGRSKSPSWFAEKHPSYPRTQGITTTSSPDPPASRSRTRG PVTSVGIPRTAHVWKHFVWEEHMGKYYNYKLIECRYCRQAYADRGDRDVPVPERVVSQ IPKMKLHLLQCAHCQLTEDDLEPLQKQGKHRATVVKAAPSRPPEDPRPGRALGRTLAV DDDVDEDQSTISATREEGAAAATIEPVAPQGPLLVASTADGVDIPSVEEPGANDDDAA SNSFEHQVFAPESPRQPDPSPIAKVGLKKASPGRKKRKASHVIVVEDDSDTLSDESVA SYTARHPVKKRKGVPAKTLGPRTITLSDADKARMTKQRFILPAPPQLAFGPHLSQDDA LPEKEVPQPPIVTASPEHVKPPAPSVPVTRKAVAVPPAAPVAVTRKAVVSVPPTPAPP TNVPSDVPVTKVSALHDTIVWAYLKGYPWVPAYVLNPFKLRSELHLLGNGHERTLKKA KQKPGDYCIIYYFGTHNFGLITTPATALRPWHCDEHAKFLSGFPKAACKGDTAAELVD AIAEAVCFEAADKTTRLLPYMVASDTDPTLPPPEVLPVPVDSMAWAISQGFPWMPVYV CDTSKLRANLHFLGDDHCEYLDEAKASPNTRLVYYFGSHCFGTYKMDGSLKPWNCPDH DSYLTGQSSSVLYIKPDILAEFQVAMAEVEEYLSVDAASRVLPEMHPSDMNPLLDPPS DSESGGADSDATVSDVEDIVHVQPKRKESSIADTPKQQITPSKKESPAQPKPSEDLAD DIIVIDSSDSENDRDDNSASSEDEPDSSVHSTSSMSDVEDGDCSTVATAAADTKKNAH TRVDAIARSRSLENDVEDEEQSQVEDSEVSNDDGLAPVNSGEEFDKDDEAASVRSDDD EDGGGGSWEGSQGQAPDNQDELEALEDLEDAEYFTNDDDPGEDDPPDGDVEDQDDGQS GGDEDKQSSEDDDAQEETLNDVVELADDSDNGHNSEEDAAADADVDDDNQSQEDDLPV VSLSNQRRKRPNKIHGLDNTTLMKVKPNTLRNSASPPPSQREELEADDDSTQATANAL SARKTRKSSADDTFRSTRRRQLIVLDDSDDSDVEPAKPPRSHKKRVRDVRSSDEDKPS TSKSRSASKRDTEVRRQSGRTR H257_01862 MMQQGSPLAPTMHETQLQCTAWAQIALMDTKPWWPVYIADPNVL TTDELLSLGGAPLVDTAKSTTPSDVVVFYFGLRTWHLRERDDATLQRWQCRDHWQFLQ GAPSAAMDVSSVWENFVEAMDDVENYLSVDQSQRTLPRSAEAAAPPLPSPSTPTHDTH HGSPQQPAECPNTVPRPLATFGTVAWSKLAGRPWLPVFVVDPANIPLELRPLSEFQSQ VVDNATCNSLDHRLVYYFGYHNLGLIPVHALRAWKGPEHAEFLAGCRPTQVSTVQSAA TVVATAEAEEFLRSNPNTRQLPLPCSWSNQSTDGSATPILDATVKSDIPSEHGSAPPG DTATNDANAVEEQPSTSPTLTAPFAAGSVVWTFTTGEGWIPAIVCDPAQVQTALPNLT GWYKTLLRKAMDHPADYVVVYLFGPRTLGLRNTHDDVVKCWECPEHVTYVQGDASLDF SNQRQASFRQAIETAKTVAAGDASATLSFIQICDKDDETSEKAATTETSRPLVGNDTI PPPTGPSDPPTKATYPPDVTLKCHQPASPPDHLKKPRPNDAITAVDDVIDLSEEASSN DPSFLQKSKFVPSASLSCSAKARRVHAGDDGGIPFRSLAWVRSRTGYMWWPAYICDPD DTPHQLHSMGYCLLYSVAKAKQYRTALRLVLSFGRHTISLHEVATDLKRWECPEHDAL CRVHENPPPQQIIAAKLFAAALKEALDWAASTRAPTATPFMLLNAIKSAMSRPPTTVG YGAKAWAMTASSIWFPACVLHPLKLPPELKDWERTHGDLVVLVKTHPCENRLVYVFGL HELGVRNVKTELVSWQGDNPNLAKGRKDVAFSKTYVDVGHQLTRAQLEMNAYFGTDEA TRRLPMLGSVAVPAQPMQPVATSTDVVVVPWNSLGWVKIYLSWWPVYVCDPTCVRPTL HILGAVDMQMLRHATATPDKCRLVYYFGLYTLGLATTKSVKRWNCPDQDALANRMLEV QDGQTSTRALQEANEYAGADKATRLLPYMVASDLNPLEDPPPLSLVWHFRLDSVWAPG LVYDPKQPWPQAFGRPPDNMLAALKSVRNPYHVVFNFGYRDYSMVRAHAKCIVPYRCP MHAKFVAAISSLENEDVRSKLLAALQEVEAFTAMDSPVPGAMDSPPPSLHVESPSGVP NPATNNTLPDQVTAPSYPIRTSSAIEPAMTLLVEAPVPHAFAVNDTLSTVHAAQRVEE AEDQSTIRTSDDDGRPTKSDAIGQDDDGAAEPSHSQYDLVDCIGWARAPKLPWWPVYI CDPDHIPEDLKLLAPSQQLYQPQWATRKDPAKRRLVFYFGRNIFGSALRCQVMPWEYS ANDAVLGHMSFETEQNLHGAVVLAQNYAAKHHGRTREGAAQGPHDAATHNNQQPPAPQ KRIQATSPAAHASTTDAPPAIAAAAEAPPQHAHAVTLSTPIADPHGLLSYYDHVMWMN HQHVWRPVYVVNPDKPPLHFPSTDLVGPHRTVLPVHHQDGRPLLVVYCFGLHTVTTCE KGWLAPWLCAERREFVRIGGASVAIALQEANDFLARGKVFRLQRSTMSKRPSQHGLVH NIPPHAAGTHPSERRLPRQPPSPVLPTGVHPPAAPPTPPAPVLRSKVPAVDSGRSFTP PCHSVAWGILRGFPWLPVYILDALTLKPDLHHLGDGHVSILEQARQHPSWRIVYYFGT HDFGIHPDPSATLKPWNCPESDKFANGFPKEKCKKHRIRVELEVAVKEARTFLSSHLD DRLLPYMVRSDYLDLAATEDEDADPPSSERDVDTHLPSPSDEEASDDGDDSERDDEYA DTTQGTKNNNKRGFRTVGKSRIPAKRRPSQHTWHNLVRLKRHATNLWTIQGPPYRRPT SRQRACDTEAPLACDTVAPLATIPTSMNHDAVSEETIRLFQTTPPRSSIAHTLAPLDS TPEANPPDHVKPALLPSQRVAWVLLQDAIWWPVLVCGSFFQDENPDKGGHLVEAVHLY YFGTHTYAEHICANIQLAAWNGPDHGTLLENPAIGEHFVQRVMDDFKRSIAEAEDFYC THKTMLDRDALYASVKSLAPSSDAMSKAKSNAPNQVKRESPSKPTSRVVHRNELDDPE FDAFFR H257_01863 MSKEGTTDATAIAVGTIAAVLLVFFIIRSRSTGALNALKEPAAK PEYLTRELKAYTRAEVAKHNTEKDAWIIVKNKVYNITEYVEDHPGGMTILNDVGGDAT VGFYGPQHPPTVAEHIEEYRIGDLSD H257_01864 MSKGACIGKGVYTNVDALLDAFHQGAAEANLQQYFGCFHPDGRF LGTDATENWHVNAFFDWSLPHFKAGEGWIFRPIRGSRKVTYYPNESTPSFCAFDELVE SQQFVATSRGSGSLVFDSDKRTWLVAQYHLSFPIPNDLAVHITKKISLFESSTKQETA AAEAARQLMDEWDLEDKKAAPAPGRKKKGGGKSKK H257_01865 MPANEEPSSMSKSKSTVLLMGQIVQTNTTLHNAAGTSVTSGHGD DVELLVQTSTAILSTIQVLRRIIKDHTYSRVGRELRHLSLTVGCFERVLFSFIKDEII RDPQIDYRHKQALKDIIPLIPTVRRHTILAGGVASLLRQVIVSMTDTKQVLLSLSQNY DPFWENRWSFTPFTLLALAAFVTHKRFANHLSFRAIFSLFRPSVKQIQYSIFILVCYD YLWRFSYRFSKLRQIKVHHARVSLTLRLFLLCEHVLDRTRLARSASRRLLIDSPVEAD INDDLKSATSLLVERVPLPAEYYDASHEPVGMKMFKAGGHVMCASSATAQWLLGARWY PTVGPYLLAALLPYYAIRHRKAAALATRVCMDNPDIVVIRMAWNLFGESWLAVKVAEL RSPSLPVRTEIFVDEGGAAAGSYRRQPTDIGVRIFSCRPVASVKQGSWEHASVPCSAK MDAIGLPTSAPVLFYIHGGGFFGRFWAKDIVNLSAWAVHLGAVIVYVDYTRTPEAQYP VPLNQCFIVYKWVLQGGLGFHPSSVAMFGESAGGNLAAAVCLKSIQERIALPDGLVLV HPALTCNFSPSPSRFLHQSDPVLPRGILELALNSYYPFNGDQYKNNTYDPLVAVGLAD DALLRQFPPTALLVGDMDPLLDDSVDFYTRLNNLQVESSLKVLTGLTHGFLIYPDLVP AAQAAIDDIAEEVHAIFKRRLPA H257_01865 MPANEEPSSMSKSKSTVLLMGQIVQTNTTLHNAAGTSVTSGHGD DVELLVQTSTAILSTIQVLRRIIKDHTYSRVGRELRHLSLTVGCFERVLFSFIKDEII RDPQIDYRHKQALKDIIPLIPTVRRHTILAGGVASLLRQVIVSMTDTKQVLLSLSQNY DPFWENRWSFTPFTLLALAAFVTHKRFANHLSFRAIFSLFRPSVKQIQYSIFILVCYD YLWRFSYRFSKLRQIKVHHARVSLTLRLFLLCEHVLDRTRLARSASRRLLIDSPVEAD INDDLKSATSLLVERVPLPAEYYDASHEPVGMKMFKAGGHVMCASSATAQWLLGARWY PTVGPYLLAALLPYYAIRHRKAAALATRVCMDNPDIVVIRMAWNLFGESWLAVKVAEL RSPSLPVRTEIFVDEGGAAAGSYRRQPTDIGVRIFSCRPVASVKQGSWEHASVPCSAK MDAIGLPTSAPVLFYIHGGGFFGRFWAKDIVNLSAWAVHLGAVIVYVDYTRTPEAQYP VPLNQCFIVYKWVLQGGLGFHPSSVAMFGESAGT H257_01865 MICVSVSIVFTRPFRSFSKLRQIKVHHARVSLTLRLFLLCEHVL DRTRLARSASRRLLIDSPVEADINDDLKSATSLLVERVPLPAEYYDASHEPVGMKMFK AGGHVMCASSATAQWLLGARWYPTVGPYLLAALLPYYAIRHRKAAALATRVCMDNPDI VVIRMAWNLFGESWLAVKVAELRSPSLPVRTEIFVDEGGAAAGSYRRQPTDIGVRIFS CRPVASVKQGSWEHASVPCSAKMDAIGLPTSAPVLFYIHGGGFFGRFWAKDIVNLSAW AVHLGAVIVYVDYTRTPEAQYPVPLNQCFIVYKWVLQGGLGFHPSSVAMFGESAGGNL AAAVCLKSIQERIALPDGLVLVHPALTCNFSPSPSRFLHQSDPVLPRGILELALNSYY PFNGDQYKNNTYDPLVAVGLADDALLRQFPPTALLVGDMDPLLDDSVDFYTRLNNLQV ESSLKVLTGLTHGFLIYPDLVPAAQAAIDDIAEEVHAIFKRRLPA H257_01866 MLLSDMELGTVRQGVSILENIWNNLEKKIYHRKQQAKRLRQDDD FDSHSSAKHNATTATITTTILTTTLVDPVITTLATAAVGTRTASNMVMAAVGAPKARV AMLALGNRKATVDIQDSRKTASTTNPRAVPALVQRPALSVRRPTLPTMRQVQCMRVPA PLQRTLVRIHDADRSSTFPINPVPKLPPPGKSVEFVTDDTDTCAVVATAPSNTPQTSF LKPAPTPPPSKYFDDLAIRVNTAGVEFMSLTISVRP H257_01867 MTALCSATKSATWLHRAQSVVPVSMENRSTMRVYAQDESPIRHN LLDAANHKRTSKAMMLPLHIGTGGVELKVDEFVPKTYRKYASSLPSVPACPVVPTKDI PSPSVATEIPEEKFPGPLTENPDMESTRTSVNVVPIAPTATTSDLLGRSVRKFFDGFG WYDGKVTSRWESLDYGEQFHVVYTDGDSEDLTLDMLSPLLQPTSPIRLATEPSAVSRP CVADGVQGNGVDLPASCSLPTKAALPQEPSRRRRKSPLPAASASPNQRVTRGPSKVPS LPATSSVHELASSAPRATSFPRPAEVLDLTASDDELVSSYSSMPPRSVQPQFHHQPTH ATRRFTTPEYHQKPQASSRQLPPVMSLGFGAAAAPPQRQPHHPVSMSSRLPSPQQHAG SPADALRWDGGPTFHQPNRHRKLPSMRRVLRPASSDPPPPPRPQENPRQPTHHQQPYP PPPQQQHEQRPRHPSRPVDSDWRSDDYVRRKRSSDALSSSSSWQEPHQVQSRRPPIDN SLVPPPKLHFQHRRHDMTAALPNQRPQPLGRAPNLLARLPSMMPANGGPPPPIAAFND MLYDAIQRATTVESFGRKFVRVLLDDPQYDNFMDVTRLSVRCGQRRYRMLERFMEDVW LLSDTAKHMFPVDKALHDQAAAFVSALSNVLRELKVPLRALQGQLT H257_01868 MMLGMYAEDPLAKQQSQHAQAAHVQAKAMLGADSAIADILDGDM NWFTDGGLLRMEEFGHDIDWVHEELDQSLHLQCAHGDSEDLFAREFSPYAPPATVIAS EPTSHHHPTASDGISMAGGLRACDATEKLLMSFDTMTYTSPSPVSSPRHREHDDLLDD DTMDDDMDDDLEDDDDHDSSMDATSSSLLHAQPVVSDLESHIHGLMLMPPTKSLSTTS PSKPSDKVIANGAPDGKFKKLFEPIVHQRTIGAYTPTARKLRLEKFHEKRKKRIWKKS IKYDCRKKLADDRPRIKGRFVRVLENLGLKPDDGDAAKLLLLEDGEIDPASLGLPTAA TSTTAPMTPPALSNSLVPAAQTTIPGTSVVVPAAIVIGQSSSELTPPQGNSPLAAATL SPAVSHHSIHFGSFGTFPSPVVMVKLEPPPPPATAFPLVGRAIMG H257_01869 MSCAPQSAVVFSLGLLTGTGTTLMSKIMYDIDAVGLDGETRKFE KPIFQTLLMFVAMIFALPIQWAYHWHLDRKWHANGGKSSGFKRPSRIPIRTYFVLALP AAFDLLGTYLANLGLLYVTVSVFQLMKCTVIIFVALLKVVVLGEHLKRYMWIGIGMNT LAALMVGFSSFQDTEEQLNNNENPGLGIIMIVLSCFVQSAQYVFEEKLMGDGIDTAPP LIVVGMEGLWGLLMSLLVVYPIAYFVPGSDLGSYERIDDAWFMLAHNQTAQIAAAIFL VVILGYNVFAVFVTFLLNSIWHAILDNFRPITVWATDLSLFYIFTPKTFGEAWTPWSY LELAGMFTLLLGTAVYNGTIPLPGHTYDEVLFADPNTPIRTSLAMTSSSLSRSPLLTR NAIKAAEVASRTPNPADRERVRKEYMTEYQGRNDKDPNSVRRRLDPAGHNYGSMET H257_01869 MSCAPQSAVVFSLGLLTGTGTTLMSKIMYDIDAVGLDGETRKFE KPIFQTLLMFVAMIFALPIQWAYHWHLDRKWHANGGKSSGCTHNDQTSLTTTRSHSSL FCTVKRPSRIPIRTYFVLALPAAFDLLGTYLANLGLLYVTVSVFQLMKCTVIIFVALL KVVVLGEHLKRYMWIGIGMNTLAALMVGFSSFQDTEEQLNNNENPGLGIIMIVLSCFV QSAQYVFEEKLMGDGIDTAPPLIVVGMEGLWGLLMSLLVVYPIAYFVPGSDLGSYERI DDAWFMLAHNQTAQIAAAIFLVVILGYNVFAVFVTFLLNSIWHAILDNFRPITVWATD LSLFYIFTPKTFGEAWTPWSYLELAGMFTLLLGTAVYNGTIPLPGHTYDEVLFADPNT PIRTSLAMTSSSLSRSPLLTRNAIKAAEVASRTPNPADRERVRKEYMTEYQGRNDKDP NSVRRRLDPAGHNYGSMET H257_01870 MTTIFRRGSSYKAPPAEDDTSDASSQAAKAISKGKGSKQAKKGL SKADSPANAKPESPARHGVQSNPTTIEPSALPEAVGSRVDGKSLVLFKKCIVPAPRPS NPDTASTRASPDPQNATHSDGISTPVTRKPTTSKPSKASKSSTVPSKAARAGHKSKAS TKKATSTSKKLDAAGMKALVTLRMRATIAFVRFLRKAGVESGHVPSLVARFYDAHPGF KGSNLNGDRLQRYSKGLVTVDASNTNMLTVHPLDNLPHGTFRVDDVREVDFAFTFAFC VRFCDFFMSKYPTGCSFYTATKGFCAQYGVAWNGSPILKPMLEFYCHHVLNFDTANKV TVRIDAARHVTRLVSLLFLVWVQKHYAATKDAPWIEACNRFRRQFHLERQKMNHYDVL GCPWMHHVVMDKSKPVWRVHWATSAPAPPPSLLNLFVQHMHVTSEVQVPLFEDMSMWF SREVSDTDDRPHAATARVVGGSVAPREDTKDNNDEDTPQISPRTKRSSGAVRPDASKR RRGDPLGVIVDAKHDLFQQVAYSNVVGHSVEI H257_01871 MTGQSYVEEVTYLFDEDPSIDEIGVVHLDDEHEAFVLADHKLGI AMAKIPAIHRQAKEKFFRAKDLNDVPGILNATRCMLLVCADFYTAWNARKTLISNGVF SEEVEMKFTRLVLTQHAKSIDTWAHRRWVFHRLFPRLPSDELATLLAHELSLCAKLCE EHPRNYFAWSYRYCICSKLSLPSLLAELHDTTREWCDRHVSDHSAWNHRHLVLVLVLS QVPTSATTLVADELAFVTRLQRLYPDRDALWCHRRAILQTMAPYLSNRSISSWEDLDA EYDDGGTAAVLDDHSIDAFVRVEVHFGSEVGSVPALRYAVFALEWILKLSPALPRVAQ WHAQMCRQLTAKDHYEDTIRQLKMQLHDARNQLFRSQQQLNRLKQHPPRASTLQDSVF ANESQHCESLVGHDIRSQFQTCVDEVKAATGGSVGHALNKTICRLEFLQRTVLDSQRL MSDILYTCFCPHGECIPISSLTTGRTNHPYLARRVPRPSRRYQIHAESTLTKSNQHGQ RERPETPARGTTPAPGTVPATMRRDKLLRQLRCVHTPDEGAAPHIMLDVNGDMHELSN DLRQRAGLICMDMVADMCHRFDLSSLVDLPSHVKHVRRLSRSLPMLITFVERVEVLTA TGEKAATTSASQHRPSKSSLDSLARRLSFIVHDYKALQTHLARPGRAIHETLLKCMHV LNVQHIDEMAPSIVDLVAVTAAHRDFVSALRTVLGLSRHETRSDILELLQTYMHTIGL GAK H257_01872 MVKKAAAAAAPKKTAVTAAKKPAAAASAHKKDAPAAVTKKQATP KAAAAKKAATKESAPKKETAAVAAKKAVAAPKKEVAPKKETAPAAAKKAAPAKKDTVP AKKAAPAKKVAAAKAEPTKKVAASKKTETPSAVVKDIPKATGAAKEVAVESKAVEASV PVKKAAAAPVKKAAATKKGGKKSVEQQLAKLQGAEWDSDDEDAASTPASKKEQPELNF EANVDFVELTKKTKQNLAKSKGAAAAATEGAGATQSSTIFIGRIPHGFYEKQMRGFFS QFGDIKRLKVSRNKKSGKSKHYAFIEFEEADIARVVADTMDGYRLFDKSLSCNVLPVD KCHERMFIGANRTFRPIPYRKLAIREHNAPKTFEQQEANNARLLAKESIKRNKFKALG IEYDFEGYAGTAPAKSSHVKF H257_01873 MTKTSGHNGPKNLPMRRTMIKSTVGHVKRPAYDLPDARETEHVY GYPIIRDPEDAGQVMGKWVQATPSPAVESTRSFVETNRRALQIGCINAKDVRQYANEH PDIREKAAPTKKQIGYTPTNDVIYGIKTAASEDIQMLIQAKHTNFHTDGHDYPDLSGM KIKGKLPLPRETKASISQDKRLQLFSQPPEKELFKMSKFKKIGSMLATQPVYGSPSCD PSPQYMGNDDDSSPQQQATDN H257_01873 MTKTSGHNGPKNLPMRRTMIKSTVGHVKRPAYDLPDARETEHVY GYPIIRDPEDAGQVMGKWVQATPSPAVESTRSFVETNRRALQIGCINAKDVRQYANEH PDIREKAAPTKKQIGYTPTNDVIYGIKTAASEDIQMLIQAKHTNFHTDGHDYPDLSGM KIKGVRLPSFCTCSCV H257_01874 MLQRVLRRQVVRAAAGSVRWINYGHDMHKEMMTDTQKVAITEYD EGGFVVNEVNLRGSIAVFPEIAMMWKPQTMDEITKESLTIFAVANPPVEILVLGCGKR IPRLLEPELAEYLKFHGIVVEYVDSFNACATFNILNAEDRKVAAAILPVEPFSIKSV H257_01875 MDFVLRSPELLREVWVFQGGHYSDMLPFLHLESIHRPILHYAIR TGTADLDLDPLHHLLTAWYAAYGVDRLPRLIRSMPHMKSIVLCDAAAFGNVPVLDLLN RLDPLHTYVKDEHVGLAAANGHIDVLRYLNDCPFGWTTKIMDYAATYGELDTVRWLHE HRTEGCSLDAMNHASNNGHLPVVEFLHLHRPEGCNSHAMDLAASNGHLQVVQWLHAHR TEECTFKAMEWAASNGHLEVVQWLHAHQHGVHNTPKAMMLAAKYGHEHVVLYLYDHHD DEPMRRSAIAYSVMNEAAKAHHDEIVRAMWLHTNFQSSNLLRRAKAAGHVDFIKWLRQ DSVREQANATNMTIIDISNVHVDQATAAP H257_01876 MSTPPKRRNFTEEEDTMLLRQVSADMPFLARRGLIMDKWAAIAE TLAANEDFGRPDFDAKRRRGEGGPAR H257_01877 MSSSAGLVKPKPRAAATPRVVKDEEEHVSPTDETKKRERSDSED EGAAVKKSKADDEDEVGAGEKQSDGSIVFDLSAKKQVSVRAWKSAILVDIREYYDSNG ERKPGKKGISLTSDQWKAVTKLISDIDVAVKWVQDPDTPAGTYEADTLSDVEDNSVAF AISSKRRASVRKFKSMVLVDFREYYDASGTLKPGQKGISLTNEQWTKLKQRADAINAA MKSFK H257_01878 MGRKKIKIQRIDDDRNRQVTFAKRKTGIFKKAMELSKLCDCEIA LIVFDSNDKLYQYSSTSVDQILLKYTEYGEPYETKDNADYDTLFGENKKKSNEGSPYG GLSSHESSPAYSSMATPNDRMLGAGVSGVSLGLTNDPDQYMLPNNHRNMTKKRAPKGF QQLLQKKDMQYVPPQLPLYQHGLGMLSAVHGNHMLSALSSPSNLHGILPSPTTANMLL RHEFSPQNADGGSMHLGMTGEPGDADKSSSGLGLNLGPNDFGHAKNLGFNPHRQTHDG SGGGGSPYDSPSQHHHHHPLHHHNHQQQQPQHYPQQQYMPHPDNGFSSQHHQYAEEGD NHHGGGDGDGDGDGDDNGDDNGDDDGGKRGHGDAGRSGSSSNPKRMKITTI H257_01878 MGRKKIKIQRIDDDRNRQVTFAKRKTGIFKKAMELSKLCDCEIA LIVFDSNDKLYQYSSTSVDQILLKYTEYGEPYETKDNADYDTLFGENKKKSNEGSPYG GLSSHESSPAYSSMATPNDRMLGAGVSGVSLGLTNDPDQYMLPNNHRNMTKKRAPKGF QQLLQKKDMQYVPPQLPLYQHGLGMLSAVHGNHMLSALSSPSNLHGILPSPTTANMLL RHEFSPQNADGGSMHLGMTGEPGDADKSSSGLGLNLGPNDFGHAKNLGFNPHRQTHDG SGGGGSPYDSPSQHHHHHPLHHHNHQQQQPQHYPQQQYMPHPDNGFSSQHHQYAEEGD NHHGGGDGDGDGDGDDNGDDNGDDDGGKRGHGDAGRSGSSSNPKRMKITTI H257_01878 MGRKKIKIQRIDDDRNRQVTFAKRKTGIFKKAMELSKLCDCEIA LIVFDSNDKLYQYSSTSVDQILLKYTEYGEPYETKDNADYDTLFGENKKKSNEGSPYG GLSSHESSPAYSSMATPNDRMLGAGVSGVSLGLTNDPDQYMLPNNHRNMTKKRAPKGF QQLLQKKDMQYVPPQLPLYQHGLGMLSAVHGNHMLSALSSPSNLHGILPSPTSMPEIV YTTTSYTCGRVVAANMLLRHEFSPQNADGGSMHLGMTGEPGDADKSSSGLGLNLGPND FGHAKNLGFNPHRQTHDGSGGGGSPYDSPSQHHHHHPLHHHNHQQQQPQHYPQQQYMP HPDNGFSSQHHQYAEEGDNHHGGGDGDGDGDGDDNGDDNGDDDGGKRGHGDAGRSGSS SNPKRMKITTI H257_01879 MSAPIDDLLYDDDDDDDISMEDTGMAAGGLAQQPVAMGMLAPPS AVLAPQQMLVQPPVGVIAPPHSAMATPIAYTPSPTGPPPATTPEEKIKNLFQLIHKRF PDKYKPLHDEVRNRPMVVKNDLNVVLDLVKRALGDTIFGILCQEVGGFYTPATMSRTF PTQPTMKAEPVATIPFSQSTAVSYQAAPAPSRPMAPPQRAPTPVVAAPANTPEANGKI RFARQLLAHSATCTSNSGECKAFAKCEDIKRFFKHTVTCTAGRECNHCEQLRTLVKLH ATDCAVGPRDRCPIPFCDNMRLNATPQRQVPVKEQQPTSPPRALHDDHGESMKKQPAA AQPPVPSQQPVSVSHSTTTSQPQQQQQPHMATNYGHILQMILHCQQCTLSSGCGVPGC ADSKDVMRETQNPGTTMIKAKTFLQVMGHFKQCANKAICPVCSVGMQPVPFAAAPQPS TTTPASTPAASPSPSGLTKRPSTATSPRSPREGGPAKKAKASPATATPTSAAKKATAM SFQQQTHPGAIETYDLTEELAPTNANDLRLEADVLTHTSIDPQAEKRIMLAGVPPRAK QLAPKKETWSELFQHHGLQQTMAKALVAGGLQAQVGDDVIEVMGLALHEYLKQVLEEM VEVAKQRNDVYANSIPRKQPPPPSNQPTKTAVEILRLSSDEHFNRQMQTDQALRSDLL EEGRKDESADKDKNKRRKGKANPKAAPSSSSASKKDLIDKDEEDMDIDELARKDLKLK LLQEGSVMLEGRVNTSIAPNARRNKHEVQVTMEDAEYWLRSQKPYVDAKLFCRAAAAR IHAKNL H257_01880 MDFDDMNSLQIEDLGRFLSEHMATEPGAEDWKGFSLGYADEEGS SPQAVSSSRPSTTQTPFHSSLMSSSLPNSPFPSVLPLLTRQALDSAMSEFNDFSLEEK AVKPPAAMRPTIQVASEISPPPPVQSWTQPQKPVLQHPPVATIPPPSSTSTPSGMKRP FGVMQSCDSSSCGTIGGSIEGGGFDDEDDRGYRKKSREKMRRQEVNIKFDELTMLLGM NDKVRKSAVLQEAITSIKLLTRERDELRLDRDRMKQEVSKLASCLQFSHMGSMVAANA MAMTQMPNPGGVPPTGPTGGGHFPMPNGFGMNFNPYMQSAVPQHMMPSPPLPQSLCPP IAPKIETKPKSG H257_01880 MDFDDMNSLQIEDLGRFLSEHMATEPGAEDWKGFSLGYADEEGS SPQAVSSSRPSTTQTPFHSSLMSSSLPNSPFPSVLPLLTRQALDSAMSEFNDFSLEEK AVKPPAAMRPTIQVASEISPPPPVQSWTQPQKPVLQHPPVATIPPPSSTSTPSGMKRP FGVMQSCDSSSCGTIGGSIEGGGFDDEDDRGYRKKSREKMRRQEVNIKFDELTMLLGM NDKVRKSAVLQEAITSIKLLTRERDELRLDRDRMKQEVSKLASCLQFSHMGSMVAANA MAMTQMPNPGGVPPTGPTVLGLFSTS H257_01881 MIARLSAPLSKLLGSTKADQLPSRIHRHLSIKSADDFFLPNLHA TPTLTTGPPATSHVDDEGAAQDVAAYERDIQLLDHGAPLNQLNMTRSFVELESLLGPL QTKTETDDDTTPPNHLNTRHPTTAPPAAKNVIRNEVQLPHHMALPKRETGHPHVLVIN GPCTVVNGQTMGIPWASLQQELEHVATTAGLVLDVKASNHEGTVIDYLLSTSPSTAVV LNCGELLAAYPGIQQALALTRAHSVVLLNEHPFSFAMTAAVGSRRVRQLTGFGASGYK LALVATQDL H257_01881 MIARLSAPLSKLLGSTKADQLPSRIHRHLSIKSADDFFLPNLHA TPTLTTGPPATSHVDDEGAAQDVAAYERDIQLLDHELESLLGPLQTKTETDDDTTPPN HLNTRHPTTAPPAAKNVIRNEVQLPHHMALPKRETGHPHVLVINGPCTVVNGQTMGIP WASLQQELEHVATTAGLVLDVKASNHEGTVIDYLLSTSPSTAVVLNCGELLAAYPGIQ QALALTRAHSVVLLNEHPFSFAMTAAVGSRRVRQLTGFGASGYKLALVATQDL H257_01882 MMMDDFDAIDTVEEQFVLEGHEEGVAEGRRLGFLEGKDLGEVKG YEIGSEVGFYYGCYMLWSEMIRTRPNELPARAEKSIKSLGNLIELYKLENSLDEKILM ELQLIRAKFKVVTAILGQKHLVFNETTVLEHKNMSF H257_01882 MMMDDFDAIDTVEEQFVLEGHEEGVAEGRRLGFLEGKDLGEVKG YEIGSEVGFYYGCYMLWSEMIRTRPNELPARAEKSIKSLGNLIELYKLENSLDEKILM ELQLIRAKFKVVTAILGQKHLVFNETTVLEHKNMSF H257_01882 MMMDDFDAIDTVEEQFVLEGHEEGVAEGRRLGFLEGKDLGEVKG YEIGSEVGFYYGCYMLWSEMIRTRPNELPARAEKSIKSLGNLIELYKLENSLDEKILM ELQLIRAKFKVVTAILGQKHLVFNETTVLEHKNMSF H257_01882 MKKGCRHRRCSEVKGYEIGSEVGFYYGCYMLWSEMIRTRPNELP ARAEKSIKSLGNLIELYKLENSLDEKILMELQLIRAKFKVVTAILGQKHLVFNETTVL EHKNMSF H257_01882 MKKGCRHRRCSEVKGYEIGSEVGFYYGCYMLWSEMIRTRPNELP ARAEKSIKSLGNLIELYKLENSLDEKILMELQLIRAKFKVVTAILGQKHLVFNETTVL EHKNMSF H257_01883 MVQIKAHELRTKTKSELLGELDDLQQELAQLRVAKVTGGAASKL AKIKLVRRSIARVLTVYNQTQKARLREKLGQGKHVPVDLREKKTRAIRRALTPEEKSI KTLKQQKKDAYFPKRRFAVKA H257_01884 MEIVGNPDICFRVLEMCDVSSARELCFVLLASRQHRGYGAQQPQ MWEQLTALHFGCVRHFDPLSPFVELPQVVRNPQWHEPVLRQADELSLPCREFLETSME HALFASMSVFPGDIGHVTLINDQPIDCLVFPTNSSLVNFGIGAAGAIFNRAGPELMAL VRSNPYQDIRRRTTDAVVTPGFNAGVDYLIHCVGPSSHRPDCEENLYKTYLNAFASAR RVGATCVAVASISTGSLGFPPKRAANIALCAYRDFIKCHRWQATVGIVCYERTILDAM SNERTRILRQFNDGCLAIPFADEELAYTTLPPMPPPPTHALTT H257_01885 MSIIHRYRKECSFVRMVTSLRSHHSAFCAKRHPAVGPARRAPAQ RAGQSVKMGAYDGGNHARLGGSSMGVEAERPRPTLSLKNHGALVVGVPAEDTLLLYCN DLRLADDRSTKRLWEGGSTDGSSPTVSNLSWMVWADTFTGGSGYAFQLLFEGAREGQY L H257_01886 MQSSTASTATGGGSQTQTKVLKKSASERLGLSLISLNPGATGNI YVSSVAPHSTAHRKKVGVGWELLVVNGKNVENMTVDDIARLAGGTSCKQVEITFETTM AERFVKWKADSGVKATPAAKPPTKSKAKAPPATNSTHKATASSPPPPDKAKPAKAGKR GISIAAAQQQEPHNDTDGVDNDDDSLRAGGGTSKKKRGAPQNTIQRFLQSSRSTDPSN TVQHPLQELSRAEKSRQAMVVDKLTYMGFSRADALLSCEKCGSDHIDTNMIWLVSMVE ERRFQDELNKAQIESELQKRDEDTQHKRKELQVLETTSSLRALFPESVAFDPDTHAPL VIDLIDTFLLNMDDPATSPLRQAVADILTHETKARRWYPRPSKCYVADLVQRVNGTLA THPPTAACCGQRIPSKRECPLLTLVQAELATLKAHLYMSTSNVGGVPQAFLDADDANR FSLAADGFEVCNVQDLVSDDDD H257_01887 MRVSAAVSLFAVQCTLQVMSAGAEEPRCVKWRATSSCDPQGPRD SWYDASCSTTIGHGSSGFCECENRRRVREVGCDHHSFTCEDACKKDASSELHYPAGLE YVTCGSTIKLVHDESRFRLHSHEVNYGTGSGQQSVTAHGSRDDFNSYWLVKEGDGATP CALGAKIICGSTIRLEHVNSRRNLHSHDFASPLSSGRFAEVSGFGVAGDGDGGDSWTV ECDNAQQCQASDKDCHTSGIPSWGRDELVRLRHLVSGKYLRTDHGVRFDQSNCPRCPI IGQQEVNAGPSGDAKALWFAGEGIYMGGSD H257_01888 MNIAARKGVLDGPSRVLFDGMRVASPPQATHATAANNRKDSHSM HDATKEAHDLLKSQELFLSVVLDWQQHESTDTSVHGDSSAPSEQVYTSSFESSEGSDH EGGAATRLMNFTRNAKPAKPPMDHDPSPTNIPPSKHLPALNLNTLLPLPKKRIGIYSP RSRRELLEKYMEKRTKRLSRKKVRYRVRKTLANARPRVKGRFVKTDQPLTAAAVEEME KARTPSSKAKSATKPNTSDNDFDYVGAYYSGEDSVRDDVQDMLRLIVASKREQGEDIN WEEWASQLEHSILSLLPSQYVESPFPEVFSDVANTLGDAEEDCSMETFVRAVRAGTMY VAICLSQYISLRPPSMWEHDDDVSPVLQHYLPEIKQLWAQRRMKKNQTFEFMEGAIPG IAAVVCLGYADGKRHLKPIIL H257_01888 MNIAARKGVLDGPSRVLFDGMRVASPPQATHATAANNRKDSHSM HDATKEAHDLLKSQELFLSVVLDWQQHESTDTSVHGDSSAPSEQVYTSSFESSEGSDH EGGAATRLMNFTRNAKPAKPPMDHDPSPTNLPKIHSIPPSKHLPALNLNTLLPLPKKR IGIYSPRSRRELLEKYMEKRTKRLSRKKVRYRVRKTLANARPRVKGRFVKTDQPLTAA AVEEMEKARTPSSKAKSATKPNTSDNDFDYVGAYYSGEDSVRDDVQDMLRLIVASKRE QGEDINWEEWASQLEHSILSLLPSQYVESPFPEVFSDVANTLGDAEEDCSMETFVRAV RAGTMYVAICLSQYISLRPPSMWEHDDDVSPVLQHYLPEIKQLWAQRRMKKNQTFEFM EGAIPGIAAVVCLGYADGKRHLKPIIL H257_01889 MAETTPAKRKRDMEIAMNEPHDSSPTPSRSAKVHKRKDYLSWDD YFMSVAFLSSMRSKDPSTQVGACIVNAEKKIVGIGYNGFPNGCDDDVLPWARQAESPL DTKYPYVCHAEMNAILNKNATSVKGCSIYVALFPCNECAKLIIQSGITKVIYSSDKYK TEWTFVASRRLLDMANVTCIQHETKSNTLVIDFTSVQPPPTTRKHRIRQQLATGDDGG RSKLCASCQSPSDFFCEGCSTPDQVVAFCCAHLTDCYLDHLNALKHL H257_01889 MAETTPAKRKRDMEIAMNEPHDSSPTPSRSAKVHKRKDYLSWDD YFMSVAFLSSMRSKDPSTQVGAWYSSRTSLLVGCRLVCERPHMYEHNSIVNAEKKIVG IGYNGFPNGCDDDVLPWARQAESPLDTKYPYVCHAEMNAILNKNATSVKGCSIYVALF PCNECAKLIIQSGITKVIYSSDKYKTEWTFVASRRLLDMANVTCIQHETKSNTLVIDF TSVQPPPTTRKHRIRQQLATGDDGGRSKLCASCQSPSDFFCEGCSTPDQVVAFCCAHL TDCYLDHLNALKHL H257_01889 MAETTPAKRKRDMEIAMNEPHDSSPTPSRSAKVHKRKDYLSWDD YFMSVAFLSSMRSKDPSTQVGACIVNAEKKIVGIGYNGFPNGCDDDVLPWARQAESPL DTKYPYVCHAEMNAILNKNATSVKGCSIYVALFPCNECAKLIIQVQLTPCSNTLTSVA LEWYHQGYLLLRQVQDRVDICGVAETTRHGQRDLHPA H257_01889 MAETTPAKRKRDMEIAMNEPHDSSPTPSRSAKVHKRKDYLSWDD YFMSVAFLSSMRSKDPSTQVGAWYSSRTSLLVGCRLVCERPHMYEHNSIVNAEKKIVG IGYNGFPNGCDDDVLPWARQAESPLDTKYPYVCHAEMNAILNKNATSVKGCSIYVALF PCNECAKLIIQSGITKVIYSSDKYKTEWTFVASRRLLDMANVTN H257_01889 MAETTPAKRKRDMEIAMNEPHDSSPTPSRSAKVHKRKDYLSWDD YFMSVAFLSSMRSKDPSTQVGAWYSSRTSLLVGCRLVCERPHMYEHNSIVNAEKKIVG IGYNGFPNGCDDDVLPWARQAESPLDTKYPYVCHAEMNAILNKNATSVKGCSIYVALF PCNECAKLIIQSGITKVIYSSDKYKTE H257_01889 MAETTPAKRKRDMEIAMNEPHDSSPTPSRSAKVHKRKDYLSWDD YFMSVAFLSSMRSKDPSTQVGAWYSSRTSLLVGCRLVCERPHMYEHNSIVNAEKKIVG IGYNGFPNGCDDDVLPWARQAESPLDTKYPYVCHAEMNAILNKNATSVKGCSIYVALF PCNECAKLIIQSGITKVIYSSDKYKTE H257_01890 MPMSARSPTKPGYPIGKVAFIEGYRNVNGRIHGPRSPAADAAYS TSHRFPPGQHVQGGDDADTKHLSTVASASGIDPRLVRLYSEIETCVREIEAIRQHLAS VPVDDHASEVP H257_01891 MSGEGARRRSLGRPTATGRCDDINKPKSDGCRHGDRVTHHYSRG LRFSEFAGHVRNPAKDVWGWCCVADRSVACMRVLELYFLSMMTSEGLFEGGCVRVARC SMLKFLRRYICFLSQLARRAPTLRQHPPHQTMESNDDYFEKA H257_01891 MSGEGARRRSLGRPTATGRCDDINKPKSDGCRHGDRVTHHYSRG LRFSEFAGHVRNPAKDVWGWCCVADRSVACMRVLELYFLSMMTSEGLFEGGCVRVARC SMLKFLRRYICFLSQLARRAPTLRQHPPHQTMESNDDYFEKA H257_01891 MSGEGARRRSLGRPTATGRCDDINKPKSDGCRHGDRVTHHYSRG LRFSEFAGHVRNPAKDVWGWCCVADRSVACMRVLELYFLSMMTSEGLFEGGCVRVARC SMLKFLRRYICFLSQLARRAPTLRQHPPHQTMESNDDYFEKA H257_01891 MSGEGARRRSLGRPTATGRCDDINKPKSDGCRHGDRVTHHYSRG LRFSEFAGHVRNPAKDVWGWCCVADRSVACMRVLELYFLSMMTSEGLFEGGCVRVARC SMLKFLRRYICFLSQLARRAPTLRQHPPHQTMESNDDYFEKA H257_01892 MRRPPPRARMVNMSSVASNQSLKVDATTIAGPLERSSWLCIEQQ ECIEEPPRDERDDLANAVKKNKFLRVVLVAKLEHDDDLPVASWDVNKGYM H257_01893 MTVAGPGSSKSYQAVAAAATSATHNHPLHGVGFFSKLFFSWAGP LLTLGHERRLDPADMWPLQSDNKCVSVSAIFEPKFRASRSILWAIFSTHRLDLFLVAL LQAISLGGTLFAPVVLKEILQQLESSTGFDLQAVLWYVFALVAAKLVQALASTHSNLK NQLVMVRITSALQHLLFQKALRLASSCRRDKSTGEVANLFSSDIQWIIGFAISSNQVW LLPLQVAVTLVLLYNLLGWASLLGSATIFVVLVGNHFLAMAQKRILQALMELKDDRMK SVNEVFGAMQVIKFNAWEEKFEAKIHGQRALELDQVWNLFALQATQMAIMYIAPVAVT ITSFATYTLVMHETLSASKMFTALSLFALLRYPLSALPQVVASLLQALVAIQRFMDFL NMDEHDSTVVLTPHTVSSSQLGLYHANSVHVHIDNASFGWAPQCPIYFHWNLTVKRGE LAVVHGHVGQGKSSLCSALLGEMIKVDGAVYVGGSVGYFSQQPWIQNLTVRENILFGK PYDRVKYHNVIEACALTKDLAAFPAGDRTEIGQKGVNVSGGQKARISLARACYSDADI FILDSPLSAVDAIVQNEIFTKCFLGLLRHKTVLLVTHSPEIIASPHVDRLIEIVDGNL LVIEKHKTPRDSSSEDDRLPPPLVPPLRASRSHEWSDDDNGLDDGNDDDVAASTRIHN HDLFVTPTASSPFPLQYDGAVFTPVDLISSATFEDHHGKLIFDEERSEGRVSSAVFQG YLDAIGGWPVVATWVLLLSSWQLLIVSSDLWLSRWTSVAASSAAEDDDDAAVAGTSTY YLCVYAALSASGVVMTVLRTYTILMSCLRASRRLFDAMNKALLRAPMRFFDTNPLGRL LNRFSNDMNTVDTQLPLLLSGMLALVFMTLFQLGTTLVVIRYMGLVIVPLLYMYLRMA AFFVHPARAIERVNKTTKSPMLNLISEAIEGALVVRAFGSNQVRRFHRMHHRNVDTNN QAYVAAQVVNQWFSLRIQLLSTVLLLVISLSLVFLRDVLSPGLIGLVFSYLFTILPWF EIIVNLWSSFETFMVGPERVSEYAHLASEPPRVVSGAVPASWPSSGDIRFEHVSFRYK PHDPLVLQDVVAHIRSGEKIGIVGRTGAGKSSLTMALFRINDVASGVIRVDGVDIATV GVKTLRSAIAIIPQNPVLFKGSLRNYLDPFDEFSDADLWDALAHVRLGGRIGAVAGGL DSPVEENGENFSVGERQMLCMGRALLRQARIVVMDEATAAIDHNTDQALQHVIRTEFA SSTVLTIAHRLDTVLDSDRIFVFDYGRLVQCDAPAALIEAGTGIFYELCHEGGYLDKV VGSTPK H257_01894 MAPPPTTKYNSVQHVKPPPEIVSRHPAERAGFVSKAFLAWASPL LHAGSLRRLDIQDLWPLEASNQSVTVSSAFEICFVQSRSVLSAFLSSHGVAVAVVGAM QVVVISMTYVGPIAVKQILQAIEGSDFHMMVVVQALVMLVASKIVSAFVTAHADLITQ RMVLRTTSALQHLLFLKTLRLDATRRREKTAGDIANLFSSDMQWITAFATTVNQVWTA PVRVVVTLLMLYNVIGWAAFVGSGALALAFAINHGITIVQRRILATYMAKKDGRMKAV AEIFGNMKVVKLQAEEVRLEAKVTALRHAEMQTLGTYVLAEATQTALLFTTPVLVTLV SFYTYTIVMQETLSVSKIFTAMSLFSLLKYPMMGLPQAIVALMQALVAVDRMEEFLNY DDKPVSATAPITGSSQPAIQVTDATFGWDAKTSVFRRLSLRIGRGELVVLHGAVSQGK SSLCAALLGEMVTQSGAVALRGSVAYVPQQPWIQHMTIRDNILFGQPYDRVKYHRVVD ACALTSDFASFPAGDRMEIGQKGVNLSGGQQARISLARACYSDAEIFILDAPLAALDA SVQRQVFSKCILGLLAHKTVLLVSHNVDVITSPAVNQTMELVDNGTVVQTVVSPKHME PEFEPTLSSPPSALMHEAEDDYNARTDTAALEGLNLLRSPVPMPPNTPLFVGDIDDHQ ADVDEQRILSGKLIVEESRSEGRVSGAVFWTYAQACGGWPLLVVLGSLLLSYSGVQVA SDLWLSRWSNTASKVSPETFLAESSYYLTVYSLLVLTGCGVAVGRAALSWAAGLAASR VLFDRMTAALFRVPMAFFDTNPVGRVLNRYGNDMTAIDTRIPSLAGAFLTLTVSSAFA VGTMVWAMKSMALLIVPLLVYYYRMASFYLQSARAIERVNTVTKSPLLNLTAEIIDGA HVVRAFGPHHVERLVRLHHANVDRNNQSFYTAKVANQWFILRTQLFSACMMLFLGLAL VVMRGYLSPGVVGLILNYSFQIFPVLEMVVFIWSILETQMVAPERIVEYMALPSEPMR VVPGAVSQLWPSSGDIVFENVSFRYKATDPLVLKNVSVHIKGGEKVGLVGRTGAGKSS LTMALFHMHGVAGGCIRIDGVDITSVGVHTLRSRLAIIPQSPVLFQGTLRMYLDPNDE FTDDQLWASLHKVQLAHRFNGGKKLEWAVDECGANFSVGERQILCLARALLRQARVVV LDEATAATDAATDRHLQQLIRTEFEHSTVLIIAHRLASVRHCDRIMVFEKGHVVQCDA PDALLAKGHGAFHDLSNADSSVEL H257_01895 MDDTRASWNDEKGATWLTEMIHQAHVLGKSAHSGKKLNATHKLK YNVQQLKGRHAELKKQYSVASQMVKPSGIGFEAVTCRFVCTEGSWAHFLRDKPKKWAL WETKRFPQYPLCQALYDGTLATGEFESSSTQAANHRHHHEDDSSDEFGDDPPSFVMPS VEEKEEDDTGNASDDNNRGRRSVQTPNQKPAKRVRQSLASVMVAEMRAFRENGKEELD VMKKGLGSIDEENKATSPVEAAMDALHDEFEYLLGAADLSFAYEVLENPAKAAQFVRM RGEAREVWLRRHIQTKAREHERIFGGGQE H257_01896 MFLCFCGHHASSRALQCRFQRSGETITRHLRAVLEAVRRMSATY IKLPTVGLPVHRRILGNPKFFPFFEHCRMAIDGTHIPVSVPADVVARFQSRKGVTMNV LAACDFDLQFTFVLAGWEGTAGDGKLYEAALRSGLTVPANAYDILDAGFGLTKSCLTP YRGTHYHLKEYGKGSQRPRNKEELFNLRHAQLRNVVERIFGILKKRFPVMSYPVEYDY QFQVDLVMSLCTLHNFIRLQNVQDDFERQADADLQAQIEQPLLPEDQNVWSWKGKKRN VGATTLPGACGTSTWQH H257_01897 MRKDITIRFIKQWYQLRRRRRELFVKMLAYYFSAFVYKTPKHTS ILSGPMWVDEVLTGNPHNVVEMLRMPRVVFQRLAHAIVDIGKLRST H257_01898 MAIEAAVGNPLASRTTRLSTEERSVVLAKNLIFGDTLTKKDVRS PTTGPHRQRIRHANVCRGKVVLAASTGDTTVSSPPGSRVPSSRQHRLKPSSPRIEHVP ESPPPAASPLEAALSSQARQTHPIHVTIVDNIRPRKRTSGLRTAQPTSPQNGLLCGHE ETLTIDDMCSDPLEDTVSPHADLTPLVSPKNPTCYSQTQRASWSGKVPAARRRSQSNT ATSVDVSNTSLSSYSGDVSADNVVAEIDTIKTILIREQLMERLGRAAAVIDRSVLDLH ALTKPARRKSAGSATSRNGDNNEGDERPTAAQKKQMDATVASLERRIVASTHDLLDIG PELRQITVKVVDAIEGWRHTLDGTATRTNRPPTVRTFLFQGENYLEKMSQDLCPAYDG VALAAVFKGPSHPFLLPHDVADQLHAALGLPPVPALSTAPCTTFVTTSALVADTLSEI VSTLIRKRIPSSFGPQNNESTSLITAEVRRATAAIFRERHRVQADAPRSVEPAAYDPF DTIRQYPTVADAFDRICRSNRDAMDDTREVLRLRQQDTTRARNQTLRAVQKPLTINHA AVEALVAKKVAAESASIMPSKGAAAGSTCRLAGHVLIRKKPSRGDPVLRSVLKIQAQF RRHHSRLELLLSLKAMTHRLHMAATQIQRIYRGHRAKAMVGQVQRSLWVDERFFHLNA RRVQRWLRHRRLERVEAAKRQVAAAVVVPAELPVVPVGPSLTDVYREAGRKRRMERDR VTAEKRSQAALLIEKKHQGCHVIQGAFRHYNKRKTMRMLQLARRMSQESNAATHIQSF VRCTLAKKYARQLHARRELDTVHHSSTLIQATYRGHRVRQRMSLAATMAATKEVAGSP TKTRLPSVVLKPAVLKPHPTRPSRHRTAVTVPKPLQSPRDRLPSLVQQGRRKPGVSWE ELKLKEPRIGDQL H257_01899 MATSMKRLTTADITQATGEDDHHRVVEIEIIFGSFHEIDALESC PNLCNFTLMNTRLQRICRLEPVAHTLTRLCLADQELTKLEALCLPHLRQLLLQNNRIE IIENLDGVPKLQKLWLSGNRVQVIQNLHFCTDLRELWLQDNAIEAITGLDQLTNLHNL ALAKNRIAHFEELSKLANLPNLCSLSLADEHYGINPITAETGYKIFVVNQLKQVRILD GLEIQSTDQRFAEDEYMQRVLAFNDKIDNVQRSHDQEMLRIDSRRNRSNTHADLLQEE LLGSFRALEDVVTKGRKAMADEHARHQLMREKHTTALHHKLQSLQQDYLAQLDSLITQ SVLAMEHQDALFELLERRAVAEEEQALAVAQLQTSATTFHHVADSSPDFRYIASLFVG RDPSEARHRTDDDVDWKVLQLYKCHTSLRQQPFSPDGKPSPSVPLFLAGQAALVHSFF TDNVLPPSSWLASDPAIATIGHADHCHMLVCHVASTVQVHEVHVEGGAWDEQLLVHTE RHATLWTKVHFHMHSRVTSVVYLPPAAPSTSCPSTVDVIPVYYAVCAATPCTVDEAEL QRLLHDAGHPPSVGGAACGTDKDTADALLQQCQARMRKEVEAYQRHLWDDLQPDRIRV PEDVQSLQQALDHLRSHIQEEQDTQKSMLRQMNVQKQRKGPPQLP H257_01900 MLHHAGNFLPGATKVPLAPLTKEDVEFAEYKEDVAGPNNTGGFI MFDDIDKFQPFVESEKCPLHDAIKIEAMVKELPRVLAEKSYELGVCFMIDVVKEFNPR PFDVVKWRAIKGKRVNDKRPLEYIRVGLKHPSETFIEYVGVYCHMFFSNRQRMPRTYL DMRVALENFAEHRNTQPRMDGATVGQLAWAYRTKQAYPRQQATTPFITPLEVKVPPTQ NDEKPLFPSTSLDDKKPPPSRHRDHSHERPPSRHRDHSEDRPPSRHRDHSEDRPAKRR RSRDREPTRHAKSPRKARSIERESKSSPRRSRHRWPEIPSPPRPRRRRSRSRDHDESG GARSLARSNPRPAPGQGSPYRDGTDSSSDSLCTRQDTRSKSPERPVTRPQPPPAETDR TPHHTEPSAAVSSFVANRHRTPSNLAPQPSHPINLPRDRMAAGPDKVPPAPVVVIETP PPPVAATTAHDVEVESSPRQDSPTNPAPHNPRTPDSRQAEVPPLPASTTPPPSNPPPR KTPPSDSQTQPSPTMQVAIAQTVESLDALAEVKHEQRVPDAAAVVASLRPTPVRPSSM PMGWPQPSAASQRAHAPAAAPSPPSSVPMGPTVKLERAPPPNTPLPPTSPSPKVKLER MSSTTTPLPPARPSAFGNAKATRPAAVVPNVVVQPVPATTMMGTRVKQEPVRASNERA PPPPHIPQSAADLVRRTSSSNVPAPLAPTTSGTGHGTHSRTAAAVAKGTVDMRASTRF QGHTNSVVPATVVEVRVKQEMMDATNTTLQVQVVSNPPAAPPIADATWAAQFTGPPAN AHPSRRHCERHVIAGQTIGLTPEVTVKPEPEHDTDQLAGPSNGPLKGANSRRVSPSMG HQSHQTTSRVAGPHSVAATASAVVPTAEVFVKSEPGLATTASPSPAPRQSNRNERFLS DNLNAHGQSALQRTDPPVVTSVAEPPAVKNVAQHVDELREWLQHWQASQGGLFRQQQP SHETAQVAYRDLMPTLDAKVKALQQQRMELSQINQAAPAPAAEASTGAEDAKGIVAWG VGPGGTQWPVLWSGTELMYLFGTHEWRTVDVDALQEWNGPHHDALSTGPVKVALRQLF QDAMTEATDFASAIRPLAV H257_01901 MRKAIVIAFLRRWLIRRRLAKELFAKTDGVPLPHLRPQDTKVDV HPPWTAKTASMTLASGHLREENKGLTDFNNEAIDDYKQQWEATPQVLLLELAPSLRCL YPC H257_01902 MQRRGKVVCMTRLLRGESSTSRRKTSTMNCPWTPSRSCGMRWAR KRVGRVFPATATRTFAPCTTGPSMQFFSSCVEFHMLCPWCEIDDVATTPMIRPSSVEN CTSIR H257_01902 MQRRGKVVCMTRLLRGESSTSRRKTSTMNCPWTPSRSCGMRWAR KRVGRVFPATATRTFAPCTTGPSMQFFSSCVEFHMLCPWCEIDDVATTPMIRPSSVEN CTSIR H257_01902 MQRRGKVVCMTRLLRGESSTSRRKTSTMNCPWTPSRSCGMRWAR KRVGRVFPATATRTFAPCTTGPSMQFFSSCVEFHMLCPWCEIDDVATTPMIRPSSVEN CTSIR H257_01902 MQRRGKVVCMTRLLRGESSTSRRKTSTMNCPWTPSRSCGMRWAR KRVGRVFPATATRTFAPCTTGPSMQFFSSCVEFHMLCPWCEIDDVATTPMIRPSSVEN CTSIR H257_01902 MQRRGKVVCMTRLLRGESSTSRRKTSTMNCPWTPSRSCGMRWAR KRVGRVFPATATRTFAPCTTGPSMQFFSSCVEFHMLCPWCEIDDVATTPMIRPSSVEN CTSIR H257_01903 MRIALSTKPTHRPRTVGAFEVLTSLDLMLLILDFQGGVYNSHHP LRRLGYYTLATPPLSTDHMQAVDALLSPWLAIFTPSRMLTFLRSTDKYRHSILAAYAA YVGHLPAVRLLIENTTHKCSEASVIDWAAYGGHLHVIQFVHKVSSETARCTTWAMDIA ATHNHTHVLEWLHLHRAEGCTVEAVHGATRHGHLEALQWLAVGNRARTFWFENAIVIA ASMGHLDTLKWLHAQLVGQGRNYSRNNPLHEALATATSNGHADIVQWMRRRVLPTSEI DVNKDVAALEEVEYVASLGARLKALSLN H257_01904 MVLPPYNTVAWGLLKGYPWWPVYVFDPKKLRPDLYHLGSAHAQI LKRAQEEPQKWRIVYYFASYDFGLHSTTMLKSWNGKDHNTLKAGYPETSCIEGKVVEL FMNALREVEDYLSTAATQRTLPYIIPSDLDPKLSPPRPDIIDLVDSDDEGVQPAGHPS SLASPAPPRPMRDDTVSNVPYNSLAWVRPQGFPWWPVYVCDPTELRDDLHHLGRNHVT LLMRARNEPNDHRLVYYFGRYVFGLLKTKLKPWKCAEHDMYAIGSPSDMFDKRVELIE EFTTALAEANACFQPGRPMMPPTIPYLEPSDLHKSLPAPPPLVVPFLSLGWTSKDGYT WLPVYVLDPYTVNPVLHHLGNKHAKGLHEVLSNPDNLRLVYIFGCHEIESRPNDAVKP WQGPEHAAFVKGFPKAVKKPIALDMLTQALNEAKNFDSTNKASRRLPFMNKEDIQYRG NPRPPVATSSIKSKAATRRAGIQDSAEQRGKRAESLFWIKPANQAWWPVYVCDARTFP SNLHLLGKSHAHHLANTKAKMKQHRLVYYLGRHVFWMHKATELTAWDCPHQVDYLSAP PIPGNSDEECSEFTNAISEALRFLESGELPYLTRHDLDPSLPSPEKAVIPYNSLAWVL RDGYPWMPVYVCDPAILKPTLTNLGNAHAQYLETATQRATNFRLVYYFGKHEFGLHRA KGVFRTWLGPDHDYLVKGLPRSIFINKHGRQWRSRNDFMFNIFDAAMLEVKEFINQPE SHRMLPQLVASDFTTSRVPLTILPTRRSNNNDDDVDAPARQSHSDDDSYSNESASDQG VDVDSDDQQDVANHGSMYGVMAWSQRSPTLWMPAYVCDPFKLRSTLELLGTGHVETLR RVRESPHDSRIVYFFGDHCFGARRAWDMVKPWNCAEHDEFMRIVLNVKPVAWEEVETY LSEEASTRLPPGFTPSDMRLVARDPQASSDDEGATSAAAHTTAVAPKAARSLDVDTRS SRSPKRRKSTRNHLPASPPPVTTKPRTVPSQSRPPPPAKKRARSPSPTENNSNQPTRA SFDPKDSAVAIGPGFTQPMRHGGVMQTSNSYVRHTPPSKRPTEPYRPAPVAPAVKEVR ITETAIKDIPLDSMAWARRSDTLSASSPWWPVYVCDPERLQPTLEHLGNRHQKLLVTA KQYPNSLRLVYLFGGTPSFDVCAKNMARWRGPDHDLLLKGHPAHAMTGRVVREFARAV RDATKYAATDAYTRLLPDMAESDMVPRYIPPQVPPYSVAWAKSGGDDAPWLPVYICDH NALDPSKHDLGRVNDRLMSIVKANPEHYRIAYFFGTRHFGVLKPKCLVQWWNCPNHAQ YVQSHSNDVAGDDDDDDDLSLAVDDTYAFLASDHLARPFPYDLDSERDRLDQYLAPQS AQPTPNHPPPTPAVKTTHEATPASKAPSADKPSLPKPRRGRPPKDPSPPTDAAVIAAA SVPRVDPDVWTTNACVAWAKHKGCPWWPSYLCDPTFLRDDLVLLGSSHRPDLERAKRE PTKYKLVYYFGSNNFGLHTVDASKPNMKPWNHADHKLFCSGYPIKMNADAKKILDEFK DALNEAEAFLKRDPRQRLLPYMVPTDMNLALPAPEKYAIPLNQMVWALSDAYPWLPAF VCDPEHLPCDLRALGIDARKMLAKAQAKPDECWLVYYFGSRTFALHKTRGSIKLWECS EYEALIKGHAESMVLADDAWDEFAKAMSNAADFMANDPADRVLPGFEPTNTSRTDEPD AIDLTSSPEATPPSGRRREVASGKRHACRPRQDESAPSDRSGDDTATDQELEGELSVN SKVMRNKRSISQHAETKKAADDFKYNPTQRQVLQAIELKEEVAPDKIEGLLPLGRAKR HSGTSDATHENAADNMQHSSDTSEIVETDPTFMKYDLEDGMQDLLTPVDPEPPVTDGG TPQKSTANDNAASHSTKLAGIMPTMPEGAKLNEQDGAVIGDALPAQDQDRRVMWAFVD GLRWWPVHPLEEDPGPRPNIQVLHFGTYVRSVTSWDALRPWHCDDHGSWVATAATEEG SVAGVFTVAMEEAESFLESGELPAIDSKSVIEGVPTDDDSPML H257_01904 MVLPPYNTVAWGLLKGYPWWPVYVFDPKKLRPDLYHLGSAHAQI LKRAQEEPQKWRIVYYFASYDFGLHSTTMLKSWNGKDHNTLKAGYPETSCIEGKVVEL FMNALREVEDYLSTAATQRTLPYIIPSDLDPKLSPPRPDIIDLVDSDDEGVQPAGHPS SLASPAPPRPMRDDTVSNVPYNSLAWVRPQGFPWWPVYVCDPTELRDDLHHLGRNHVT LLMRARNEPNDHRLVYYFGRYVFGLLKTKLKPWKCAEHDMYAIGSPSDMFDKRVELIE EFTTALAEANACFQPGRPMMPPTIPYLEPSDLHKSLPAPPPLVVPFLSLGWTSKDGYT WLPVYVLDPYTVNPVLHHLGNKHAKGLHEVLSNPDNLRLVYIFGCHEIESRPNDAVKP WQGPEHAAFVKGFPKAVKKPIALDMLTQALNEAKNFDSTNKASRRLPFMNKEDIQYRG NPRPPVATSSIKSKAATRRAGIQDSAEQRGKRAESLFWIKPANQAWWPVYVCDARTFP SNLHLLGKSHAHHLANTKAKMKQHRLVYYLGRHVFWMHKATELTAWDCPHQVDYLSAP PIPGNSDEECSEFTNAISEALRFLESGELPYLTRHDLDPSLPSPEKAVIPYNSLAWVL RDGYPWMPVYVCDPAILKPTLTNLGNAHAQYLETATQRATNFRLVYYFGKHEFGLHRA KGVFRTWLGPDHDYLVKGLPRSIFINKHGRQWRSRNDFMFNIFDAAMLEVKEFINQPE SHRMLPQLVASDFTTSRVPLTILPTRRSNNNDDDVDAPARQSHSDDDSYSNESASDQG VDVDSDDQQDVANHGSMYGVMAWSQRSPTLWMPAYVCDPFKLRSTLELLGTGHVETLR RVRESPHDSRIVYFFGDHCFGARRAWDMVKPWNCAEHDEFMRIVLNVKPVAWEEVETY LSEEASTRLPPGFTPSDMRLVARDPQASSDDEGATSAAAHTTAVAPKAARSLDVDTRS SRSPKRRKSTRNHLPASPPPVTTKPRTVPSQSRPPPPAKKRARSPSPTENNSNQPTRA SFDPKDSAVAIGPGFTQPMRHGGVMQTSNSYVRHTPPSKRPTEPYRPAPVAPAVKEVR ITETAIKDIPLDSMAWARRSDTLSASSPWWPVYVCDPERLQPTLEHLGNRHQKLLVTA KQYPNSLRLVYLFGGTPSFDVCAKNMARWRGPDHDLLLKGHPAHAMTGRVVREFARAV RDATKYAATDAYTRLLPDMAESDMVPRYIPPQVPPYSVAWAKSGGDDAPWLPVYICDH NALDPSKHDLGRVNDRLMSIVKANPEHYRIAYFFGTRHFGVLKPKCLVQWWNCPNHAQ YVQSHSNDVAGDDDDDDDLSLAVDDTYAFLASDHLARPFPYDLDSERDRLDQYLAPQS AQPTPNHPPPTPAVKTTHEATPASKAPSADKPSLPKPRRGRPPKDPSPPTDAAVIAAA SVPRVDPDVWTTNACVAWAKHKGCPWWPSYLCDPTFLRDDLVLLGSSHRPDLERAKRE PTKYKLVYYFGSNNFGLHTVDASKPNMKPWNHADHKLFCSGYPIKMNADAKKILDEFK DALNEAEAFLKRDPRQRLLPYMVPTDMNLALPAPEKYAIPLNQMVWALSDAYPWLPAF VCDPEHLPCDLRALGIDARKMLAKAQAKPDECWLVYYFGSRTFALHKTRGSIKLWECS EYEALIKGHAESMVLADDAWDEFAKAMSNAADFMANDPADRVLPGFEPTNTSRTDEPD AIDLTSSPEATPPSGRRREVASGKRHACRPRQDESAPSDRDDTATDQELEGELSVNSK VMRNKRSISQHAETKKAADDFKYNPTQRQVLQAIELKEEVAPDKIEGLLPLGRAKRHS GTSDATHENAADNMQHSSDTSEIVETDPTFMKYDLEDGMQDLLTPVDPEPPVTDGGTP QKSTANDNAASHSTKLAGIMPTMPEGAKLNEQDGAVIGDALPAQDQDRRVMWAFVDGL RWWPVHPLEEDPGPRPNIQVLHFGTYVRSVTSWDALRPWHCDDHGSWVATAATEEGSV AGVFTVAMEEAESFLESGELPAIDSKSVIEGVPTDDDSPML H257_01904 MVLPPYNTVAWGLLKGYPWWPVYVFDPKKLRPDLYHLGSAHAQI LKRAQEEPQKWRIVYYFASYDFGLHSTTMLKSWNGKDHNTLKAGYPETSCIEGKVVEL FMNALREVEDYLSTAATQRTLPYIIPSDLDPKLSPPRPDIIDLVDSDDEGVQPAGHPS SLASPAPPRPMRDDTVSNVPYNSLAWVRPQGFPWWPVYVCDPTELRDDLHHLGRNHVT LLMRARNEPNDHRLVYYFGRYVFGLLKTKLKPWKCAEHDMYAIGSPSDMFDKRVELIE EFTTALAEANACFQPGRPMMPPTIPYLEPSDLHKSLPAPPPLVVPFLSLGWTSKDGYT WLPVYVLDPYTVNPVLHHLGNKHAKGLHEVLSNPDNLRLVYIFGCHEIESRPNDAVKP WQGPEHAAFVKGFPKAVKKPIALDMLTQALNEAKNFDSTNKASRRLPFMNKEDIQYRG NPRPPVATSSIKSKAATRRAGIQDSAEQRGKRAESLFWIKPANQAWWPVYVCDARTFP SNLHLLGKSHAHHLANTKAKMKQHRLVYYLGRHVFWMHKATELTAWDCPHQVDYLSAP PIPGNSDEECSEFTNAISEALRFLESGELPYLTRHDLDPSLPSPEKAVIPYNSLAWVL RDGYPWMPVYVCDPAILKPTLTNLGNAHAQYLETATQRATNFRLVYYFGKHEFGLHRA KGVFRTWLGPDHDYLVKGLPRSIFINKHGRQWRSRNDFMFNIFDAAMLEVKEFINQPE SHRMLPQLVASDFTTSRVPLTILPTRRSNNNDDDVDAPARQSHSDDDSYSNESASDQG VDVDSDDQQDVANHGSMYGVMAWSQRSPTLWMPAYVCDPFKLRSTLELLGTGHVETLR RVRESPHDSRIVYFFGDHCFGARRAWDMVKPWNCAEHDEFMRIVLNVKPVAWEEVETY LSEEASTRLPPGFTPSDMRLVARDPQASSDDEGATSAAAHTTAVAPKAARSLDVDTRS SRSPKRRKSTRNHLPASPPPVTTKPRTVPSQSRPPPPAKKRARSPSPTENNSNQPTRA SFDPKDSAVAIGPGFTQPMRHGGVMQTSNSYVRHTPPSKRPTEPYRPAPVAPAVKEVR ITETAIKDIPLDSMAWARRSDTLSASSPWWPVYVCDPERLQPTLEHLGNRHQKLLVTA KQYPNSLRLVYLFGGTPSFDVCAKNMARWRGPDHDLLLKGHPAHAMTGRVVREFARAV RDATYAATDAYTRLLPDMAESDMVPRYIPPQVPPYSVAWAKSGGDDAPWLPVYICDHN ALDPSKHDLGRVNDRLMSIVKANPEHYRIAYFFGTRHFGVLKPKCLVQWWNCPNHAQY VQSHSNDVAGDDDDDDDLSLAVDDTYAFLASDHLARPFPYDLDSERDRLDQYLAPQSA QPTPNHPPPTPAVKTTHEATPASKAPSADKPSLPKPRRGRPPKDPSPPTDAAVIAAAS VPRVDPDVWTTNACVAWAKHKGCPWWPSYLCDPTFLRDDLVLLGSSHRPDLERAKREP TKYKLVYYFGSNNFGLHTVDASKPNMKPWNHADHKLFCSGYPIKMNADAKKILDEFKD ALNEAEAFLKRDPRQRLLPYMVPTDMNLALPAPEKYAIPLNQMVWALSDAYPWLPAFV CDPEHLPCDLRALGIDARKMLAKAQAKPDECWLVYYFGSRTFALHKTRGSIKLWECSE YEALIKGHAESMVLADDAWDEFAKAMSNAADFMANDPADRVLPGFEPTNTSRTDEPDA IDLTSSPEATPPSGRRREVASGKRHACRPRQDESAPSDRSGDDTATDQELEGELSVNS KVMRNKRSISQHAETKKAADDFKYNPTQRQVLQAIELKEEVAPDKIEGLLPLGRAKRH SGTSDATHENAADNMQHSSDTSEIVETDPTFMKYDLEDGMQDLLTPVDPEPPVTDGGT PQKSTANDNAASHSTKLAGIMPTMPEGAKLNEQDGAVIGDALPAQDQDRRVMWAFVDG LRWWPVHPLEEDPGPRPNIQVLHFGTYVRSVTSWDALRPWHCDDHGSWVATAATEEGS VAGVFTVAMEEAESFLESGELPAIDSKSVIEGVPTDDDSPML H257_01905 MTVTLKGNQKYSRENARMTLPWSPWIRAPLVNGTVAWCPVESIE RGPEICTQSPMSHFSMSHCESDGQNTPFTQLRLTNTPHLYLLTVSPKYLVMFTKFRYD QGRVDGRWLSSNRLATIGSSRPTKRSESVEREDDSDARKKAKAAATVARKWQERYHSI CDTCFDQVQECVHCPDTPLRLLIVGHNPSDHAWQSGYSYSNPTNRMWKLLAGEYFKTT WTGVLPPGWTMADQNKMPHVLGIGFSDLGIEPGNDASTYGRKTMTEWKHAFYIRLRRH LHRVAATLHRVDDASSCSNEYQHGPLLVAFSGKRQYSFLFDPPSKRAIPNGKQDPSTL PRDWPLPPSCEVWVLPSSSGRAAMSEAQRCQPYMDLAARFHQLESSDRAPNFVCDAIP TPDVPCSDEAII H257_01906 MAIRKLKARGIGRFELLSWLNEFLETDYTKARHIEHLADGIAYC QVFDILYPGKVPLQQVNFHAQVQPEYERNLKLLQRALDACGVQKEMAIKKLVKGVFQE HFEFLHWVHDYVHRTYPDAMRLGNAYARREQILQKSGDAAVNLNLIPKFSMRNGLFRQ SMEDALPQPDHVEESNNDIPLSDDSAASTTGDVVTTCLHEVEHSWVHTSAALQHATCI VRSLQPPPAKGAPPVAKSSGTTKTKARVETKQTTAASKNKLQLTQALLQLLNASLEAE LHGRIKDLVMAESSVEAMS H257_01907 MALPLFVAAAAAVLVPAAGLLHLFGPGHEDAYERTLVAPLADEV ALQAKFKHETGRLQSQPVRVYTQYYFPKQATPKGVVICLHGIYAHSGGLTLLYENLLN RGYVVGALDFRGFGRSAGRFGYIDVFSNYVDDVLAFLEATRVQFPGQKVFVIGISMGG LVLLHTLLRARHGLIDGAVLHAPPVLLADGVRPPAIVETVCRVLVKVLPKLPAIPTHG ATRANSNEVASAVEASKQLDDLFYKGRLRLGTALNMLQATLDIQTQYHKIDTPFVLIH GDNDRVCAYAGSKRLFAAAASTDKQLITIPQGEHNLLKEPERFRRQYLHHIGTWLDGR CQVD H257_01908 MSTFKVDEDWEDWTPEKGSFIHHMLAGSAAGVVEHCSIFPIDTI KTHMQCQRCALNPGKPQLTAFQTASMLIAKDGPRRLFRGVSTMLGASLPAHALYFSVF ESCKEKFGADSPEHTPIQSGAAGVIGTIMHDLVMTPMDVIKQRLQLGYYSGVVNCVQT MVRTEGLRALYISFPTTLFMNLPYSMIMVSTNESMKKLLNPSGEINVGAYVFSGAIAG AVAGAITNPLDVTKTRLQTQMMSLDDTLTCGGKVGGAKNCDKMVQSAAPKVQYRGFLD AFGQIYKHEGVAGFFRGVGPRVCVHAPSVAVSWTTFEVLKKLLGD H257_01908 MSTFKVDEDWEDWTPEKGSFIHHMLAGSAAGVVEHCSIFPIDTI KTHMQCQRCALNPGKPQLTAFQTASMLIAKDGPRRLFRGVSTMLGASLPAHALYFSVF ESCKEKFGADSPEHTPIQSGAAGVIGTIMHDLVMTPMDVIKQRLQLGYYSGVVNCVQT MVRTEGLRALYISFPTTLFMNLPYSMIMVSTNESMKKLLNPSGEINVGAYVFSGAIAG AVAGAITNPLDVTKTRLQTQMMSLDDTLTCGGKMVQSAAPKVQYRGFLDAFGQIYKHE GVAGFFRGVGPRVCVHAPSVAVSWTTFEVLKKLLGD H257_01908 MSTFKVDEDWEDWTPEKGSFIHHMLAGSAAGVVEHCSIFPIDTI KTHMQCQRCALNPGKPQLTAFQTASMLIAKDGPRRLFRGVSTMLGASLPAHALYFSVF ESCKEKFGADSPEHTPIQSGAAGVIGTIMHDLVMTPMDVIKQRLQLGYYSGVVNCVQT MVRTEGLRALYISFPTTLFMNLPYSMIMVSTNESMKKLLNPSGEINVGAYVFSGAIAG AVAGAITNPLDVTKTRLQTQMMSLDDTLTCGGKVGGAKNCDKVC H257_01909 MAISLPSIGQLDLAFDMYRKWRLPIMGARRRHLSSSPSAQHMEP MRDYLDHISVASQHPSSHFLAQPRSALSIHPTAVVHPDARLGDGVQIGPYCVVGPDVS LHANVVLKSHVVIEGVTTIGENTTLFPFGCIGGPPQDKKHVVGEHSALVIGKNCLIRE HVTINCGTSLDAGTTRVGDACWILAGVHIGHDSQVGTRVVLSNNVCLAGHVTIHDLAI VGGQVGIKQFVHIGRLAMIGGKSAVDGDVLPFGLACGNRAKLVGLNLIGLRRLQASRT HVKEMLQAYRYIYNVQGNHFAPPLPLARHDTRLARAEEAKAAAGDNVMLHDMIDFVRL ASTRHRSALCPAAS H257_01909 MAISLPSIGQLDLAFDMYRKWRLPIMGARRRHLSSSPSAQHMEP MRDYLDHISVASQHPSSHFLAQPRSALSIHPTAVVHPDARLGDGVQIGPYCVVGPDVS LHANVVLKSHVVIEGVTTIGENTTLFPFGCIGGPPQDKKHVVGEHSALVIGKNCLIRE HVTINCGTSLDAGTTRVGDACWILAGVHIGHDSQVGTRVVLSNNVCLAGHVTIHDLAI VGGQVGIKQFVHIGRLAMIGGKSAVDGDVLPFGLACGNRAKLVGLNLIGLRRLQASRT HVKEMLQAYRYIYNVQGNHFAPPLPSVDYTYEIALYSISRLGWHGMTLDWLEPRRPRR RRATMLCCTI H257_01909 MAISLPSIGQLDLAFDMYRKWRLPIMGARRRHLSSSPSAQHMEP MRDYLDHISVASQHPSSHFLAQPRSALSIHPTAVVHPDARLGDGVQIGPYCVVGPDVS LHANVVLKSHVVIEGVTTIGENTTLFPFGCIGGPPQDKKHVVGEHSALVIGKNCLIRE HVTINCGTSLDAGTTRVGDACWILAGVHIGHDSQVGTRVVLSNNVCLAGHVTIHDLAI VGGQVGIKQFVHIGRLAMIGGKSAVDGDVLPFG H257_01910 MATDAASRELDVQKLTSRVSESVCEYIGVELEGAMERTKLVDKV MHAIHDKFDEMAAESATVAEFSVRMKESEVLFHDRMAAIDEVDEELTELEDMIHQLEL YAERIYEKFTVVA H257_01910 MATDAASRELDVQKLTSRVSESVCEYIGVELEGAMERTKLVDKV MHAIHDKFDEMAAESATVAEFSVRMKESEVLFHDRMAAIDEVDEELTELEDMIHQLEL YAERICTSRTPSHM H257_01911 MTITNQTSGWWLQEEQSKQELLHPSDWTFVGKCPRGDYILLLVV RDWLAGPHLGHSCYRQQREVPSIHLAYTTHSHHDGIFDSTLQSLSSDPVQVTNRFTRW PTSGGDNCSASSTFRSCRIRAAAYSMAGERGADEEDAGGHVGPPNPPTCRFSRLSTQR PVRYDLMELSSIGTAVLIDPEHKWTFSGGIVMETRLDSRRVQFWSKRAAPCPPDPPRA ATRRPTEVASCISGFRCSTGPTLTSSCRSAAQFDSTKAGRLGTVLLDKRLHQDKSLTW RNMTRVVATAPSSWVQVERYGCRQ H257_01912 MLGRSATLHCLTILALVSTLWQHRVEGKGVCYDSFDYANMDAHF TTIKSRFDAVRTYQTQNTLLGLLWPNGTNTTVHVPDGPNSIDVAARHNLLIYAGVWIR SPFFEADLQAAIDGASRHPASVKAILIGNEDLSNGLSLQTMVDTINEAKARIRATPGL EHIPVGTVQTDGDWIKYPSLAAVCDVVGVNMYAFFDPYDFSTINNVIPHMNHRWQAMV SRFGKKALLTETGWPTDGGGPFRNHQASIESAIKHFWDVQDWMDTNGGEHPMYFMFHD NPAKSTDIATLFENYFGLAYPSGAWKFDVYNRPVPDPRWSLPFKIATSRDHVLRCDGG GLFKGPSDNANDRWSYDVNTHQVKCWQQGTCLDGFWDSANRFTVHMYACNAANNNQKW IFSDLRLKHEIYTNRCLDADRSDIHVQVWPCQANNNHQLFTIAGDMGHVSLVSIDRKR TLVAAAGTDRDGGERIAFAHGWFPSQGWVPADGQWTFLHDKNMVMSESRRKCLDAPHN ANGSPIHLWPCNDSNGNQKWAYDATTKQLRHTTHKGFCLDMGSNNGYRPHLWECHAPN DAYVKFQQFNYAV H257_01913 MCRRSRGTGDLYYIICGTSSWSNRWRRFGERRGRPALDGFQRRL SWYCSNSAVTPRMRVQSILSTDRSCGCYGEVRLIASINVIPAMHRRLRLPMHSARTCV KRRLRYCFQEADARTPQE H257_01914 MDPTPLSDIMAWEAMQMSVYLPLTCISMIAACITIFTAFDTKDI RRSAVQYSTYSVFCCDFVWAFLRCVLYILGMIEGVLPTANKGDRNVTNLDEPIIAYQA ILTAAGDLFIVAGAIWIVLTAYEMQRVVTHTITRLEDRERHTVLFYNIVVYAPLSVLL LLFALGPVSKKDEDLTSSCLRASLYLVVWALFLAVLYLPFAMYKIYCVRQNRSGFFDH TLEHIYRRVRVLLIVYSVTTIPTSIVSLGSEVAQLTRNNSNFLGPHTSTFFFATNCLF YLTGLFNAVSIGGSVLCCIRCLRPVMPKELHEGLMHGGMLRPSDAMLSSENLMSPPLH MPVFVCTDIESSTLLWSRIPNAMTKAQSLHDDCMRQHLASFNGYEITTAGDAFQLVFH TVTDAISYCVTVQLKLLDMPWPKELDDFRAAKTMTDMFGRPFFRGLRVRMAIHLGGGG LIHQVHPTTGKLTYAGLDELVAREVGDSGLGGQVLISAVTKHRYLEEIQGWTKPKKGG RPVVVQFKDFFFREAPSCHIVDLDIVVPMAEIVPYLLRPRFANGRRADQMHVLA H257_01915 MEREMPGINLHLPMRRSSASHATTASERHMTAGDKAHNPDDAFR QFDLHHAAFLTKRGKTIHHVVLRVFIIADGFVFYFKNASATQPSGLLPIDGSEFKLHK YQVSPHLFNICFEVQTPLRSWGSVVLHYHGCSDAQAQDIVHQLETAGSKRIFGVFSEL SVCPAAEVVHKTEGHGDNKEPCPSIDSTASHGTSLTVASSTECSIADAATACIYHVDK RGHKVPLLDLTWSELHESYADMCKALDIPLDEVDSKRVDMSNELCHDLCSNGTLTRPW GVLCLEPAMSPSHQQHHHNRRLSSSSASSSYMSECDTVGASTIDMSGAAAPVAPLDAA AIAAGSEPHATSPAVSSPTSAEQPADPPHPRRRRISRRSSLHKKRASSRDPSMVISSG IVVPAKAIPDVKLIQAISMAESYSGGFSLRQYTPLKIVGKGGFGQVMVARHNSTHHIV AIKTMSKHALTTQHQVAHTKAERNVLIKCYNHPFIVKLHAAFQTIDHLHMVLEFCPGG ELFFHLSKAGRFSEAHTAFYCAEIYLALDHLHTHAIIYRDLKPENVLLDREGHVRLAD FGLSKENVTERKLAATFCGSAEYISPEVLMLADAAFDEVLPLAGGYDKGVDLWALGCL VFELLTGLPPFYSGKCRSELYAKIKDGYVAFPSYLSEEAKDLVSKLLQPTPHDRLGYK DANELKRHPFFTAHIADWDHLKDVAPPMQPSPGEYMNFDDAFTSMPLDKEALEVMAEF SKRNTMEHQLFDNYNWAPPDQDGGGGGAAMVPKAS H257_01916 MTGITDGSEGLTSYYRQKIEHLELTVRDKTMNLRRLQAQRNELN SKVRLLREELQLLQEPGSYVGEVVKQMGKSKVLVKVNPEGKYVVDVDKTIDITKCTPN TRVALRNDSYVLHKILPTKVDPLVSLMKVEKVPDSTYDMIGGLDKQIREIKEVIELPI KHPELFDALGVAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGAELVQKYIGEG SRMVRELFVMAREASPSIIFMDEIDSIGSSRMEGGGDSEVQRTMLELLNQLDGFEPAQ NIKVVMATNRIDILDAALLRPGRIDRKIEFPHPTEASRMDIMRIHSRRMNLLRGINLK VIAEKMPTASGAECKAVCTEAGMFALRERRVHVTQEDFEMAVSKVMKKDSEQNMSINK LWK H257_01917 MIEVILNDRLGKKIRVKCNEDDTVGDLKKLVAAQVGTRPEKIRI QKWYTIYKDHITLEDYEIHDGMGLELYYT H257_01918 MVSHVLVVLLCVVAWGHVEGATKVFRSGVPQSTAKEFVPMSGNV PVDSHTSYNYERGSQDTTSGQRSTGTASSPYENVLGGNNVGMLGGIGIGGEQAVLPSD NNFALQSSSPLGNVGGLGGGVLGHELGYGLMNTPPGGFHGI H257_01919 MSLGVVFGISAFCLFGIVPVYYKSLDNVPAVQIALHRFTWTFPE TMLLVLVCGQTKSFYKQAFTKSNFLLYAGSGMSMGASTVLLIWAVNSGYLLEVSLGAF LNPVTMVVVGVGLLKEKLRRWQVVSVALATIGVGIFAVAYGKFPWVAILLTIGDGIYS LFKKKAPLTPLHGLALESGIMFPFCLAGTIILESQGLGVFSHGLLQTDLLLVGTGIMT IIPVLLLVAAMQITPLYVMGLMSNLTPTIQFILGVAVYHEPFSMTRLTGFLFLWVSMA VFGLDSYWAYKESLADKHKGIATPHSDEYTVDVENGGFDNMDGKVRTFSLVESPAITK H257_01920 MANILRPVDPTPNGVVSYGLIRHRIYVKPPSMDRFLRRELVALP FGGLRSDMAVKAEARTQDKAATVDKAAAGTSAERRADRGDIFYEKLIVFKMSHGRAQE KAALDQQTLSSDNLLRKREFDAAKKENVDAAP H257_01921 MKRGIETHPTSKKSRNDHVAANAADDSEGEDLVFEDPYGDDLED EEMVSESDEEIVDDGDDENDMDVDSGNRPPLQNDDDEVEAPTKVWLPNVEKLGEDEVL DYDASAYDLYYAMTAEWPSLSLDIIKDNLGACRTKFPMTIYVVAGSQAPKAADNKITV MKMSELHKTKHSNDADDNSDDDSDDDDEEGDPVLESRSIDHRGGVNRIRAMPQSSNIV ATWSDTKRVHIYDIAKQLQSLDGKNPAGLNAQAPPVFTFTGHADEGFAMDWSPVTAGS LLTGDCSKYIYHWVNNGASWVVDKVPFTGHTASVEDLQWSPTEATVFASCSADKTVRI WDVRTKARSMLSVEAHEQDVNVITWNRNVAHLMASGSDDGSFKIWDFRKFQADSPVAH FRYHTAPVTSIEWHPTDESMLAVSGADNQISIWDMSVEEDAEAAANVTTAKLDVPPQL LFIHQGQTDIKELHFHPQCPGLLVSTAADGFNVFKPANIS H257_01922 MVAPPLDYVGWGLLRGYPWWPLYLLDPAKISPLFKATDGKFQDI LAQAKASPKPQRLAYYFGSHDFGLHKATQVKPWLHSQHLAYTKGFPSRACSDDQTALL LSHAVREATDFVVTDPAERVLPELTSADYNFAGNHVAVVPFKKAPRVVTIVVDSDDDV PTTSAPSSPSPPALTNNGNIDRRRRKTIVPRVSTPEPRKRWLGESVALKQVPVNSVCW AKTEVFVDPWLPVFVCNPSELDPGVQNLGRVNARILEIAATHPRTISVVYYFGARTFG VIKSKGQIEPWNGANHDAYLYQTWLDDEDLDGVALGVEDAEAFVASNSARPYESESEN ARISADKGDVWDDMGDDETYTNESGSDVDIAEPPLFPHNAVKVVEVATSSTPPTDELR SPIEPKRVMSPSPPRNTTSRRDDVIVVSRAIKKPCVDRSSPPRQLYEYLVIPMVTGHS RPRTSNAYLVWAKRKNCPWWPGYVCDPLRLRPHLFLLGRAHKVDLDIAKTNQDVFKLV YFFGRHDLGRQHATRHGSLKPWNGPDHETLACGPPPVECNVTERTRAQFQNAMAEVKE FLATSAVTRVLPYMVPSDLDPDVTEPEVPLLPKECLVWALTKDHPWMPGYLCDPWGSP KKLAGRVPQQLVITARQQGPNCWLVYYFGLHSFVIHSIRGTLKLWKCDDHESLLQGYA ESPVADDSIWNSFMDAVDEVKYPNNSIAGSRGRGGMQGQGDEEASAD H257_01923 MLLPSSLSVLAVPAACFLAGYVVHYLQSRKQSSPGFRLDSTLAC LTQHKSPITKSSTWINLGLWTSHDISYPAACEQLALRLGHAVNLSPHDVVLDVGCGRG DQCVLWHTAFNVSEVVGIDITPEHVAGATQLVTSFGLTSHIRIALGSASSLAEHVTSS AVTKIVSCDAAYHFVTRESFMAEAFALLASGGVLGLVDVVVADEVLTWTGLQHVQLRG LLSMTGIPFENLKTLEQYRANWADTGFVNVHIEPLDQVLVGFAQFVQRQRHVLEQWGV EAGFEKFEVVGEFLAVCAHNKYIQFVVATGEKQ H257_01924 MRTGCVSWVSPDRFPWWPAYVCDPSKVDPAMHNLKSTRARKNIV TQALDNHSLSIVYYFGTHDFGLLKSANLREWGGPDHAKMLGMHPSSAKTELWVVTKFS LAKHEAEMYLAQCALGKDVLPYLNVEHEEDEPELTVQGNEVIPSNPPDPSTAYGSVGW AITDGFPFLPVRVLDPTLVQTDPSSQDEIESVQRATEAPHIFHLVFVFGRCKLYCVET IHPWGGPRHADFARGFPTNLRNPQHLLMLPTALKDAQRYLENTTPSSPSPQRPAPTAQ ARLTSPSPRPSPAQDPGQKESVTGAMGWAEQPRGYWVPVFLCDPMQLDHQTIAFSGAG DHLTFEDLEGAQTFPNVFRIAYRFDQEQFWKLPQGGKVTPWTGKLHKAHVQACKRTNP SAFKKAQKFVLDARGHPNETCVPYKTNTKRTSASRTKRQPPSSSGRARREKLSRSSSA IDDNALLGRIDISIDNMEGLDDE H257_01925 MALPLYVAAAAAVLVPAAGLLHLFGPGHEDASERTLVAPLADEV ALQAKFKHETGRLQSQPVRVYTQYYFPKQATPKGVVICLHGIYAHSGGLTLLYENLLN RGYVVGALDFRGFGRSAGRFGYIDVFSNYVDDVLAFLEATRVQFPGQKVFVIGISMGG LVLLHTLLRARHGLIDGAVLHAPPVLLADGVRPPAIVETVCRVLVKVLPKLPAIPTHG AARANSNEVASAVEASKQLDDLFYKGRLRLGTALNMLQATLDIQTQYHKIDTPFVLIH GDNDRVCAYAGSKRLFAAAASTDKQLITIPQGEHNLLKEPERFRRQYLHHIGTWLDGR CQVD H257_01926 MAIRKLKARGIGRFELLSWLNEFLETDYTKIEHLADGIAYCQVF DILYPGKVPLQQVNFHAQVQPEYERNLKLLQRALDACGVQKEMAIKKLVKGVFQEHFE FLHWVHDYVHRTYPDAMRLGNAYARREQILQKSGDAAVNLNLIPKFSMRNGLFRQSME DALPQPDHVEESNNDIPLSDDSAASTTGDVVTTCLHEVEHSWVHTSAALQHATCIVRS LQPPPAKGAPPVAKSSGTTKTKARVETKQTTAASKNKLHRTQALLQLLNASLEAELHG RIKDLDTLQADVSVILSERKHYFDLLREVETLCTAQTPQNESTDDFAAILAILAAPFQ ELSGHMDAGVPSTIP H257_01926 MAIRKLKARGIGRFELLSWLNEFLETDYTKIEHLADGIAYCQVF DILYPGKVPLQQVNFHAQVQPEYERNLKLLQRALDACGVQKEMAIKKLVKGVFQEHFE FLHWVHDYVHRTYPDAMRLGNAYARREQILQKSGDAAVNLNLIPKFSMRNGLFRQSME DALPQPDHVEESNNDIPLSDDSAASTTGDVVTTCLHEVEHSWVHTSAALQHATCIVRS LQPPPAKGAPPVAKSSGTTKTKARVETKQTTAASKNKLHRTQALLQLLVEFLQSLCAC LMGTCLSAECVVGS H257_01926 MAIRKLKARGIGRFELLSWLNEFLETDYTKIEHLADGIAYCQVF DILYPGKVPLQQVNFHAQVQPEYERNLKLLQRALDACGVQKEMAIKKLVKGVFQEHFE FLHWVHDYVHRTYPDAMRLGNAYARREQILQKSGDAAVNLNLIPKFSMRNGLFRQSME DALPQPDHVEESNNDIPLSDDSAASTTGDVVTTCLHEVEHSWVHTSAALQHATCIVRS LQPPPAKGAPPVAKSSGTTKTKARVETKQTTAASKNKLHRTQALLQLLVEFLQSLCAC LMGTCLSAECVVGS H257_01926 MWRAKGTKYLLVHLSHPLSKEMAIKKLVKGVFQEHFEFLHWVHD YVHRTYPDAMRLGNAYARREQILQKSGDAAVNLNLIPKFSMRNGLFRQSMEDALPQPD HVEESNNDIPLSDDSAASTTGDVVTTCLHEVEHSWVHTSAALQHATCIVRSLQPPPAK GAPPVAKSSGTTKTKARVETKQTTAASKNKLHRTQALLQLLNASLEAELHGRIKDLDT LQADVSVILSERKHYFDLLREVETLCTAQTPQNESTDDFAAILAILAAPFQELSGHMD AGVPSTIP H257_01926 MWRAKGVFQEHFEFLHWVHDYVHRTYPDAMRLGNAYARREQILQ KSGDAAVNLNLIPKFSMRNGLFRQSMEDALPQPDHVEESNNDIPLSDDSAASTTGDVV TTCLHEVEHSWVHTSAALQHATCIVRSLQPPPAKGAPPVAKSSGTTKTKARVETKQTT AASKNKLHRTQALLQLLNASLEAELHGRIKDLDTLQADVSVILSERKHYFDLLREVET LCTAQTPQNESTDDFAAILAILAAPFQELSGHMDAGVPSTIP H257_01926 MAIKKLVKGVFQEHFEFLHWVHDYVHRTYPDAMRLGNAYARREQ ILQKSGDAAVNLNLIPKFSMRNGLFRQSMEDALPQPDHVEESNNDIPLSDDSAASTTG DVVTTCLHEVEHSWVHTSAALQHATCIVRSLQPPPAKGAPPVAKSSGTTKTKARVETK QTTAASKNKLHRTQALLQLLNASLEAELHGRIKDLDTLQADVSVILSERKHYFDLLRE VETLCTAQTPQNESTDDFAAILAILAAPFQELSGHMDAGVPSTIP H257_01927 MRFSTSTNIYAQALRGIVMASFTLWTVVAAACVAVVQSQNPDVV AAAACQQEAAPYLTSQFVPGQFTSSSFFACFRPQDQIFEFLDTMVARTPNNTLTKFTI SKTFVGRPIPAYKLSSAPSSNHSTIVVLSMMHAREWITAPATVYAIASLVDDFVTKNT PLPYDWVFVPIVNLDGYVATWTTVERLRRKNVNPLGANIPYDAVGDSSQSGVDLNRNY GPLSVFNLVPTLKSSLTYPGSAPYSEPETVGIHTWLQSHPEVVGAIDVHSYAGLLLTP FGSTTNTPDAPFNDKFTALGATMQDSILKATGVLYNAQPASKLYLAYGTFGEYFFRVY GKPSVTFELKGKSFIVPSTTIPGSGDHVVAVCRSFAEGIPPFMANLPAPTTLPPNAPR NSGLHHVASIGASMVLAAIVIYS H257_01928 MPRSTSRLVALLSTAVAIANAQDNPPSYLWTPVLNTTCVNCPVQ ITSSHNWADTLKNIGIGAANLSTPGCTIGATYVNVQFPAVRSYSWISTGSSMQCRVNS AIVPCVPTKEKVSTSLTLTKAHLMTLVKSPSNTFTLYNLTSPMAFDVSYYTPGAISVN MTNISAECQLPTGAGDEASMIPALSVLQAVALKSGPIKLVAGAMNVTVSSSSTALGTV TNITLDVISTAAIPPLATMTLLSQNEQTNFNLAFNTSTDRIFVVGAKGVVAMQADFNV SRDVDRMYGLSFEYRGTAAIPQRSRFTIVLTNVSNPRSLANALEAISLFVKDNQNNVF ASATNVSFAGPVPQRYATSFNPYDMTSAVLFGVSLLVALVVVKQHGLGFTTTTCWTDL VSISVVLSLACGLGAYVMWMVHPSSAFVFMTALQYFFNTNMVVSLCFHWASVLRLQLF RKLTIKSPALYWYLFILAVLMGCLLTCLVIFSSTLGCVYTDVRTGSLRQKSLDAAAPA ANGNDVLDSLAMCSMNGYYMLLALGLIVFTVFLIALGGAVMIKGRKLMLDDALPIEAQ AAMRKALTIFYGIIASTVVIYIISEVIYIASYIISKQIERTFKDTQRVVASGVNDDLR VSTAIWYLFTVWLPQCGPPVLLLFLHYAPNNQDDDRRTSLGDVRGAKPSQDMISTPMS DRMGDLTLFNEHNVTQSHRRFLNRTATFLEDSANKLHVIVKLKVPEGSLTRRCYITLD FCTLKMTTTATTTTATTMTSSSHASLSSMASCASTGQEWKFVEGTERVQAQEAEDPTF RSSTLGMVNVPFVAVLSVPVAGLAAHTLLRFIVHAEAEESESVFGPILEFVTTPQAVM DATSSSQALVVRAADESEVTLPELLAPRHPPSNPPPQSAFAAKMLLTSSELHVTTVLM DSIGPEAPLNHHNMGNIIRFFQYEPATGDVGLVVEDLKESRFTNLIPRQFLECLAVER ADDVDQAKLDLQAFLSVKKDRVDGGFYNQILQTIQEEGEYNLTKLWLEDRLVRRKEYL SKLRKNVQFLVQRDKQKLYFKASVDKKTDDLKFVPVNLHVEDMLVGPQEAFVNEAKLR SSQEVRTYDFTTVGAMAAHCYKFKQGGLMTLQAKLAKLQAKQNATDLPWDEQSRHIDD LSWDISMRRDVCISQALTAVVASFVRKVEMAVQHPDPHMGEAMLLQWSEIGFLFQVES LLSTHGNEIGMIEDMSVALDALSRVSFRLVDTKDKPNSRFSFRKKAVTSTSDDGVVQK VQVTELPQPSPYKYTVTLQVQCGDFDLPKRLTRGGQVAVCPVLFTQGINEMQTIANNT ERAKTELQDVINMKYLRQLESYAKAYGQWVAQQGVRGAVVPASRDDVDVHKLLGSLRV SIEEAANSLVKTKRTEILTKSSDVSRQMGGGRVTICKSAKDRTAMSVTLEQGRLLMKY HGMGLDKFAPTVSAMRSKGVRIENALKNTGKKQFAFNKLQRYAMPEDYRCPENVGGAG NIS H257_01928 MPRSTSRLVALLSTAVAIANAQDNPPSYLWTPVLNTTCVNCPVQ ITSSHNWADTLKNIGIGAANLSTPGCTIGATYVNVQFPAVRSYSWISTGSSMQCRVNS AIVPCVPTKEKVSTSLTLTKAHLMTLVKSPSNTFTLYNLTSPMAFDVSYYTPGAISVN MTNISAECQLPTGAGDEASMIPALSVLQAVALKSGPIKLVAGAMNVTVSSSSTALGTV TNITLDVISTAAIPPLATMTLLSQNEQTNFNLAFNTSTDRIFVVGAKGVVAMQADFNV SRDVDRMYGLSFEYRGTAAIPQRSRFTIVLTNVSNPRSLANALEAISLFVKDNQNNVF ASATNVSFAGPVPQRYATSFNPYDMTSAVLFGVSLLVALVVVKQHGLGFTTTTCWTDL VSISVVLSLACGLGAYVMWMVHPSSAFVFMTALQYFFNTNMVVSLCFHWASVLRLQLF RKLTIKSPALYWYLFILAVLMGCLLTCLVIFSSTLGCVYTDVRTGSLRQKSLDAAAPA ANGNDVLDSLAMCSMNGYYMLLALGLIVFTVFLIALGGAVMIKGRKLMLDDALPIEAQ AAMRKALTIFYGIIASTVVIYIISEVIYIASYIISKQIERTFKDTQRVVASGVNDDLR VSTAIWYLFTVWLPQCGPPVLLLFLHYAPNNQDDDRRTSLGDVRGAKPSQDMISTPMS DRMGDLTLFNEHNVTQSHRRFLNRTATFLEDSANKLHVIVKLKVPEGSLTRRCYITLD FCTLKMTTTATTTTATTMTSSSHASLSSMASCASTGQEWKFVEGTERVQAQEAEDPTF RSSTLGMVNVPFVAVLSVPVAGLAAHTLLRFIVHAEAEESESVFGPILEFVTTPQAVM DATSSSQALVVRAADESEVTLPELLAPRHPPSNPPPQSAFAAKMLLTSSELHVTTVLM DSIGPEAPLNHHNMGNIIRFFQYEPATGDVGLVVEDLKESRFTNLIPRQFLECLAVER ADDVDQAKLDLQAFLSVKKDRVDGGFYNQILQTIQEEGEYNLTKLWLEDRLVRRKEYL SKLRKNVQFLVQRDKQKLYFKASVDKKTDDLKFVPVNLVWIIYIYINLMWCVVLYIFS MWKTCSWGRKKHLSTKPSSDRRKKCAHMTLRLWGQWRRTATSSNKADS H257_01929 MTTTMNMMMPTMLSPEFPHVTTLSPHVEVDGFTFQDPNQPAMDF DFDNDDDAAKDIDDFTSLLASIDDEETARDIDDFATLMNVLSKSQESSDADMSDVSID DLLSEPAFAEKVNVTAILGTQNNVTMDDESEYDSDDDDDDDLVAQSPVHSAAQKFLAP IDPRCCIQSPIFKTIDSRIPMINLPKMDVSFGRVLLPVASSVNPSTTPLSRSSSIVTS PSSPAVSASSADSSDVGYVHDTDKCWVCKCKKSEERKRVLHRYVEKRYRRNWKRGARY TARSRVASSRVREGGRFVTKCEWVAAADYN H257_01930 MNIGETRPAPPSVTTSPVVPAIEGDGETVQVHMLNRRGSSLSDG RDSLSGLPPSTGSQSRLQRNASSHLYDFDSAFDAYRPSISSMHPPDLRNVVIPQETKW QWNDVVGQQVPTTNRRHGYDLITYTLFRSSWVFLVVLGVMSSVLAFLVDAAVGGIATL HILVTNLGGHWIAQVLLYVGYRILILLLGVTLTFFICPNAAGSGIPEMRSILGGFVLP HYLSGLALVAKCLGLTCALGSGLSIGKEGPFVHLSCIIANQLLRLNLFKDIRRSPDLT HHALSAACAVGVTAAFGTPIGGVLFSIEVTTTYYMTSNYWRAFFCSVVGVIMFRWLNM LSGNDRNVSLFTTDAEFHFHSLESGLFLLLAVGCGGLAGVFVRTYSWINHFRRRHLHH WGSKPFAYAAAVGLVLAVADYSLGTCMLVSNRALIDDMFLATDLTTSNATNLFERYHG SWGSPHLAVNLSVLFVVRYVASAMSATVAIPNGIFTPAFALGAVLGRLFGEALASAAP DSWVIVPAGYAIVGAASFTAGVTGTFSIAVIVFELTQQFTYMIPVLLSVLVGRAIAGF ISLDMYETIARDKNLPQWPDLTRQASYALVASDLMHPVPVHVITRHQSLSSLSSVLDA AAADVDVFPVVDDATSMIFLGVVDRDEVEALLQVWTHCVAGVTAPTPHSFAARDSNGG SDTIVDTPTRQLGLTGQLAVAGLTDRQAKELRLAGDRVDLVSLELLSLDAENVHVHRD SFASKVILLISVHKTPQLFVTGKGKLIGVIYAQDLVSRSRYLAL H257_01930 MNIGETRPAPPSVTTSPVVPAIEGDGETVQVHMLNRRGSSLSDG RDSLSGLPPSTGSQSRLQRNASSHLYDFDSAFDAYRPSISSMHPPDLRNVVIPQETKW QWNDVVGQQVPTTNRRHGYDLITYTLFRSSWVFLVVLGVMSSVLAFLVDAAVGGIATL HILVTNLGGHWIAQVLLYVGYRILILLLGVTLTFFICPNAAGSGIPEMRSILGGFVLP HYLSGLALVAKCLGLTCALGSGLSIGKEGPFVHLSCIIANQLLRLNLFKDIRRSPDLT HHALSAACAVGVTAAFGTPIGGVLFSIEVTTTYYMTSNYWRAFFCSVVGVIMFRWLNM LSGNDRNVSLFTTDAEFHFHSLESGLFLLLAVGCGGLAGVFVRTYSWINHFRRRHLHH WGSKPFAYAAAVGLVLAVADYSLGTCMLVSNRALIDDMFLATDLTTSNATNLFERYHG SWGSPHLAVNLSVLFVVRYVASAMSATVAIPNGIFTPAFALGAVLGRLFGEALASAAP DSWVIVPAGYAIVGAASFTAGVTGTFSIAVIVFELTQQFTYMIPVLLSVLVGRAIAGF ISLDMYETIARDKNLPQWPDLTRQASYALVASDLMHPVPVHVITRHQSLSSLSSVLDA AAADVDVFPVVDDATSMIFLGVVDRDEVEALLQVWTHCVAGVTAPTPHSFAARDSNGG SDTIVDTPTRQLGLTGQLAVAGLTDRQAKELRLAGDRVDLVSLELLSLDAENVHVHRD SFASKVILLISVHKTPQLFVTGKGKLIGVIYAQDLVSRSRYLAL H257_01930 MRSILGGFVLPHYLSGLALVAKCLGLTCALGSGLSIGKEGPFVH LSCIIANQLLRLNLFKDIRRSPDLTHHALSAACAVGVTAAFGTPIGGVLFSIEVTTTY YMTSNYWRAFFCSVVGVIMFRWLNMLSGNDRNVSLFTTDAEFHFHSLESGLFLLLAVG CGGLAGVFVRTYSWINHFRRRHLHHWGSKPFAYAAAVGLVLAVADYSLGTCMLVSNRA LIDDMFLATDLTTSNATNLFERYHGSWGSPHLAVNLSVLFVVRYVASAMSATVAIPNG IFTPAFALGAVLGRLFGEALASAAPDSWVIVPAGYAIVGAASFTAGVTGTFSIAVIVF ELTQQFTYMIPVLLSVLVGRAIAGFISLDMYETIARDKNLPQWPDLTRQASYALVASD LMHPVPVHVITRHQSLSSLSSVLDAAAADVDVFPVVDDATSMIFLGVVDRDEVEALLQ VWTHCVAGVTAPTPHSFAARDSNGGSDTIVDTPTRQLGLTGQLAVAGLTDRQAKELRL AGDRVDLVSLELLSLDAENVHVHRDSFASKVILLISVHKTPQLFVTGKGKLIGVIYAQ DLVSRSRYLAL H257_01930 MNIGETRPAPPSVTTSPVVPAIEGDGETVQVHMLNRRGSSLSDG RDSLSGLPPSTGSQSRLQRNASSHLYDFDSAFDAYRPSISSMHPPDLRNVVIPQETKW QWNDVVGQQVPTTNRRHGYDLITYTLFRSSWVFLVVLGVMSSVLAFLVDAAVGGIATL HILVTNLGGHWIAQVLLYVGYRILILLLGVTLTFFICPNAAGSGIPEMRSILGGFVLP HYLSGLALVAKCLGLTCALGSGLSIGKEGPFVHLSCIIANQLLRLNLFKDIRRSPDLT HHALSAACAVGVTAAFGTPIGGVLFSIEVTTTYYMTSNYWRAFFCSVVGVIMFRWLNM LSGNDRNVSLFTTDAEFHFHSLESGLFLLLAVGCGGLAGVFVRTYSWINHFRRRHLHH WGSKPFAYAAAVGLVLAVADYSLGTCMLVSNRALIDDMFLATDLTTSNATNLFERYHG SWGSPHLAVNLSVLFVVRYVASAMSATVAIPNGIFTPAFALGAVLGRLFGEALASAAP DSWVIVPAGYAIVGAASFTAGVTGTFSIAVIVFELTQQFTYMIPVLLSVLVGRAIAGF ISLDMYETIARDKNLPQWPDLTRQASYALVASDLMHPVPVHVITRHQSLSSLSSVLDA AAADVDVFPVVDDATSMIFLVRDDAKLWLTRM H257_01930 MNIGETRPAPPSVTTSPVVPAIEGDGETVQVHMLNRRGSSLSDG RDSLSGLPPSTGSQSRLQRNASSHLYDFDSAFDAYRPSISSMHPPDLRNVVIPQETKW QWNDVVGQQVPTTNRRHGYDLITYTLFRSSWVFLVVLGVMSSVLAFLVDAAVGGIATL HILVTNLGGHWIAQVLLYVGYRILILLLGVTLTFFICPNAAGSGIPEMRSILGGFVLP HYLSGLALVAKCLGLTCALGSGLSIGKEGPFVHLSCIIANQLLRLNLFKDIRRSPDLT HHALSAACAVGVTAAFGTPIGGVLFSIEVTTTYYMTSNYWRAFFCSVVGVIMFRWLNM LSGNDRNVSLFTTDAEFHFHSLESGLFLLLAVGCGGLAGVFVRTYSWINHFRRRHLHH WGSKPFAYAAAVGLVLAVADYSLGTCMLVSNRALIDDMFLATDLTTSNATNLFERYHG SWGSPHLAVNLSVLFVVRYVASAMSATVAIPNGIFTPAFALGAVLGRLFGEALASAAP DSWVIVPAGYAIVGAASFTAGVTGTFSIAVIVFELTQQFTYMIPVLLSVLVGRAIAGF ISLDMYETIARDKNLPQWPDLTRQASYALVASDLMHPVPVHVITRHQSLSSLSSVLDA AAADVDVFPVVDDATSMIFLVRDDAKLWLTRM H257_01931 MQSAIKRSPHPVVEDDEKPPFDPWSARHRSNHLQLHSYKTPPKT YVEVKIGGHPLDHRHADFSHPPTVHAPMKVAPKEPSGSNQASIYQVQHKERMQYVEVK IGGHRYDHKRCVAADEVLVGFNDQLASIESSGTGCCLCLRELQPLVQLYCRHAVCVSC FDSSTHNSTRVLCQVCFQKVPFLRPQMMLPPPTAAPGTTAFSAYSLDLLHGVDIDKAT LAMSCVGIGYMGPKVVERVGHALRGMSIGSSAAVVVSPPCPPSVSTLIKDKAADTDGA QRWRSRVQMVLEPSKLLRYEYVHSLGKGNFSEVMLMRKLSSSRVPDGDNAAASMALCV LKESDKLQEAMNEVSLLSKFQNPHVVRLHRYFIEQVGHLHYAYLELEYCDAGNLDEYV ALHGKVPHDMFTRIMLQLCSGLAEVHRHHVVHRDLKPANLLLTSDGLVKISDFGVSTC LDSALVTRHAAGTMSFMAPEVRQYFLGDSVAYDWAADIWSLGAVAVALLTGQPEPKVA TRPVDDVVDALRRQQVPDHFLRAVHGALAPDPKARATLAQFQSWIATSYSKL H257_01931 MQSAIKRSPHPVVEDDEKPPFDPWSARHRSNHLQLHSYKTPPKT YVEVKIGGHPLDHRHADFSHPPTVHAPMKVAPKEPSGSNQASIYQVQHKERMQYVEVK IGGHRYDHKRCVAADEVLVGFNDQLASIESSGTGCCLCLRELQPLVQLYCRHAVCVSC FDSSTHNSTRVLCQVCFQKVPFLRPQMMLPPPTAAPGTTAFSAYSLDLLHGVDIDKAT LAMSCVGIGYMGPKVVERVGHALRGMSIGSSAAVVVSPPCPPSVSTLIKDKAADTDGA QRWRSRVQMVLEPSKLLRYEYVHSLGKGNFSEVMLMRKLSSSRVPDGDNAAASMALCV LKESDKLQEAMNEVSLLSKFQNPHVVRLHRYFIEQVGHLHYAYLELEYCDAGNLDEYV ALHGKVPHDMFTRIMLQLCSGLAEVHRHHVVHRDLKPANLLLTVRL H257_01932 MGREVLLVKKLTAHAIVPSRGSHFAAGFDLSSAYECIIPAHGKA LVKTDIAIAIPSGTYARVAPRSGLSWKNHLDVGAGVIDEDYRGNVGVVLFNHANEDFH VKAGDRIAQLILERIVAHAAVEEVEELSESERGEGGFGSTGVAKKFKYDDESDKSGFK VDDDSTTPSSTTPQDSASIVAALAAVEELLDEAQRKKVKAIIVQADARKFDILHKASA QYLTSGNKASFLEWLHALL H257_01933 MFRMMGHVSVVAVVVLVMVRDSMEEDMGPPTLPTRSGVKTWVDV DTPEHARRKQSSRGDDWQLVMSDEFNRDNRSFVAGEDHLWTALDIPDGVNAALGWYNS SNVYTKDGRLVVRVDEGPRNATYFNQWLEIPAWETRTMHYTGGMLQSWNKFCIQGGLI DVAVKLPGAVDAKSWNPHVRKKVKPTDPITDVRFYPTWPGVWLMGNLGRALFSGSTTR MWPWSFNECDHALAPHQAINACNGSVGFGLNPYQGRGAPELDVLEGGGVGISSSLQIA PGMPDAYRTLPPITTAPYYDVWYCTYTKTCRTPGANLADAPTSMYVNRTHKSWYQGLR YAANLRCPSNRQQKQSFDQVWAARGNITANTYDKTQMSAGQDVHADLGWMDNEKRRWG INANGSMCFAIGNGYTGTFLCDPDSYNPKCAAPRRQGVEPTKQMDSFEYQMDAISANW NINFEAYTTLYRYSLEWVMGPQGYARWMLDDNPIFEVPASSVEKVPQGGATPNPSKLM IEEPLYLIMNIAVAKSWGATPPNVNIGPCRGDPTNPPKYSAAWNLSNNICNSFPMFME IDYIRIYQDPTRMSVGCDPASHPTKEWIAGHLDKYTNANNPHVVVTGRATCRTDDDCT VDAVAMTGHCRRGRCECLKSYGGPRCTKFIPGPLDGPTVFHSMVLVGVCATLLLVTCV LRAGRMRSACAEAHHRRELRLNADDDDECDDDGSDDHVQGEGSSDDSEGRHHNHSHCF H257_01934 MSPACDRCGKVTVVFLELRSVWLCGSCEHMFTETSNRSSNNPSS MSIAGYDRPKLSIFVSYGHDPYQLVAMTLKEQLQARGHYVWVDTEQLAAGCDWESDIS EGLQRAKEAKEHGRVLLLMTPHAVRRNGGYCLNEVARAVQLGLSIFPVLVMDCEQPQS IANLPFFDLRDCIPRHHLDGGGAAVSSSGLKGYGVVGVSSTTDVWELDLESALASPRF LDKMNRIVAMLELCDSMLSYHAPLVGGVANWGKCHDPAAATTRGGTHAPATFADKASL NVEQSRYFLSYGDMCATLGRALYRDLVARGFHVHPQSQYPRKSVMAEHEEDDRLEALH WTHQLNSGKLVLFITPGSVGRPHGVCLNEISLAMTIGLNFVPLMIRQCEIPLSICRIQ WLDMTDVSSFHTTPWTLHDARYSTRREQLIAALNGRVGLDQEGQQARLFSLLSPFSFQ SQISTATQGYCGRAWVLHEFDEWVTSPPSNARRVFLLTGVIGSGKTALAAHIIQNRPE VVAFHLASHEGEQTQNGRRCVLNLVYQLTTQLPAYANVLKSQKEPLEETVFVGNLADL VQELLIAPLERIATPPSTMVILIDGIECFPCADDNLVAILTASLNRWPAWMRFVFTSR EDPRVLSLLQAYMLPPIALDLACAQSKRDVRQYLDTALGSHFDISPPMPPHVIDLLVD RTQGLFLYARHLVDSISSGQWNLDNLDNVPTTMGGFLHQNFATQFPVVDKYKVDVRPV LEVVCAAHEPLTLDALSAIMHFDVYQAQKLQSDFGSLFHAGEGGVILPFHLSLLDWLQ DPRSAGDYFVDVCTGHERIGMWCYEEYTRSVIDFSKLEFELESSQLKSSTQRMQMYIV RHATDHLEKSNSIKVDTFTASTFFFQDETFVLATRLLQVRQIGLQSFYHGEIARNVAE DKLRARQVVGAFLIRYSGAQRSYCVSFVADASVMEPVFQHNLIYHLPSGSYSIVPPHE VREGTAIFSDLVSFVESFLRQGILKEPIRHTGRLNRGISQHLM H257_01934 MSPACDRCGKVTVVFLELRSVWLCGSCEHMFTETSNRSSNNPSS MSIAGYDRPKLSIFVSYGHDPYQLVAMTLKEQLQARGHYVWVDTEQLAAGCDWESDIS EGLQRAKEAKEHGRVLLLMTPHAVRRNGGYCLNEVARAVQLGLSIFPVLVMDCEQPQS IANLPFFDLRDCIPRHHLDGGGAAVSSSGLKGYGVVGVSSTTDVWELDLESALASPRF LDKMNRIVAMLELCDSMLSYHAPLVGGVANWGKCHDPAAATTRGGTHAPATFADKASL NVEQSRYFLSYGDMCATLGRALYRDLVARGFHVHPQSQYPRKSVMAEHEEDDRLEALH WTHQLNSGKLVLFITPGSVGRPHGVCLNEISLAMTIGLNFVPLMIRQCEIPLSICRIQ WLDMTDVSSFHTTPWTLHDARYSTRREQLIAALNGRVGLDQEGQQARLFSLLSPFSFQ SQISTATQGYCGRAWVLHEFDEWVTSPPSNARRVFLLTGVIGSGKTALAAHIIQNRPE VVAFHLASHEGEQTQNGRRCVLNLVYQLTTQLPAYANVLKSQKEPLEETVFVGNLADL VQELLIAPLERIATPPSTMVILIDGIECFPCADDNLVAILTASLNRWPAWMRFVFTSR EDPRVLSLLQAYMLPPIALDLACAQSKRDVRQYLDTALGSHFDISPPMPPHVIDLLVD RTQGLFLYARHLVDSISSGQWNLDNLDNVPTTMGGFLHQNFATQFPVVDKYKVDVRPV LEVVCAAHEPLTLDALSAIMHFDVYQAQKLQSDFGSLFHAGEGGVILPFHLSLLDWLQ DPRSAGDYFVDVCTGHERIGMWCYEEYTRSVIDFSKLEFELESSQLKSSTQRMQMYIV RHATDHLEKSNSIKVDTFTASTFFFQDETFVLATRLLQVRQIGLQRYNMLFYRFYHGE IARNVAEDKLRARQVVGAFLIRYSGAQRSYCVSFVADASVMEPVFQHNLIYHLPSGSY SIVPPHEVREGTAIFSDLVSFVESFLRQGILKEPIRHTGRLNRGISQHLM H257_01935 MESKPAADATDFVLNFVGGPIKFRADEGALFAKLFHIVDIDGDG FVGGVEGAAFIRRATLPNDANREVWRLASGGKSQDRLSKDSWFVAMKLVALVQSTGKC KMQYLYEANPTSTLPLPDFHLEGPPDNVPSDIDAAVLSLQEKQFKVAVSSPIVVGSSY TRFTQYVVSTTAFCPSFPVKTCQVRRRFSDFEWLHQRLTERFRGTIIPPIPEKRWTGN MDATFVEERRQGLEHFINEVCNHDKLSKAFEVQIILTANNEGLVAGRELLGIAPAVAA YVPKASTMSGLWTSMKDSLFVSSMQQTVEIKTDEDYTKIGEHIDEYRNRMEDLVRCSD VVYAAQRSQGYEMSRCGTFLSALAVHEREDQPMSHLVGNAGEVFEAVSNLYQDELDKL LALYVSNIRYLAGKVGAVKTVLTNREQAILEVHQASATMHRNKERFAAARASSGAAAS AMIAEQKMVSAEDRMNLAKEQVDFIATSLKVEAKRLYLGKTEELKQSLMALATTNSEY HTTVRG H257_01936 MDGGGLDDLFPPQEIMAQLFDPTDEGTMETDLDDERFLPDAHAH VDVDLTLPDDTANVPPLKRIHDGTERDAKRVKQGEPGAIGATISPPPLHDTSEHDDNK LHNDDISMGDELSCVAKPSDPSTASARCSTTKVLMQQSTPQRSSKQCLLCKSNKGVVV LCVGCWVPVHTACIQGNKYCGSCTIALTSRRIDLNIMTDNDPRTHAVVRAVGYLTSIL SDPPKFKLVGHVASLYLHQLAANASPPVKLLVQQFLPQYSHQWLTATRAQFQHSKQLS PKQIIATLAGLAGLHHAHMPNGLVASLQCHAQKYTAVDFLGWNPTTESIDKVKFPALC GGCGLRPARLHLPQCCNRPVTVPSTFERFRAAIVVAAHAEQVGVSIGCTFLDVFAQWG RLRPAYIKHPTTAYDQAVLTDQWKMICTVLDVLSVHGSRLLPPQLLELELAVLSNIDQ LQRWLTLGNVDLFGMALYSLTLFPHTGSVVDVCQDVLVETQHPTLGYWGPHDDVIPFL DLLRFKTTVSCLKALIPRRPMGFGPSHVDCWAYLQEWARQKAVPCGVATSSRRFGNLR DLYRGQVGTSDESNNPLLAAVQQYLSTRAVGKQSEHPPVPVGQGGSSGWKRYTRECVR HVAPVVSHAALTMNDLSIFEGLKFDNGEVFDLSQATTGTTLDDAIDVEEVDDDDDDED QVEQDAIGQEDLEEDWQVTS H257_01937 MTRHDDISPSGSDSSDSEDDFLRLAPESARRKAGLIKATSPRGR GQASSITERNTTLLEKAARKTTFIVAGQTATQRRFEATKAALSAKSKSLALDADHHTR SIFDPPVHHDNDVDVNLPELAAFQIAPTDVQEMYEEGYAHALKRQWKPATEAWEKIVV LDAAHPGKAGAAILDWLLPLHAHMAIGYKKLGLLRRALTSYGRIRQAIEVVVPAPDVT SPPPPNRHDFIADALHQMSKIYHELGDMESALHCTQEANALLLEFMGSSVDSPEESAR LETLHRDRKLAKMLQSVASGDCGLVDDLLSTLENYEASSVTLEHLGDFIDPATGATFV MAAAGCGHMPLLTKLCTEALRAKAHLEVQDKCGNTALAWACKFGQVLAIQFLLDQGAS FQTLHKDELKTWPKSSLQALHEHLQTRKKLKKQDEAEPGGDGAFAPHALMQSLLPKQL ASPPLPKNAAQKKPLYQPLVPVVVDQPSKKRPEVQNVVTSTSKGKPSHCTGQPKATNV RTTAAKTGGGFHAAPPSAAAASGSLVGRALQAWTPDINPPGKEEGGEEADGGGEALES QGGAKKWDQFEANRRLFGVQAADFDENLYTTTRPTGSTDQEAAAVALAAEIEGSKRSV FKHVNEERGLDEEEGEGGGYDPEARYGAVLGSGAYGHGTTDKGDGFTDQGVLSRRHT H257_01938 MVAIHTTHIVAAAAGFAAVYVTLGQFTHVERVISKVTPVAILAF LIYRGYELQESSSGASLLEEVVSWGSKHAPVSTYLVGDALVLWGGIYLVSIIVPELRQ ARYGFSKKRAINVFGQMAITILQRIPFLASKYRKEIRKMEVQIESSLQEHAKSAEEFP KQFTLPEEGKANAAVLATMQAMAKGEDKRWKDGLVSGAVYHGDDDHVALLNQAYGLFN VTNPLHADLWPSVVRMEAEVISMTASFLNGGNTDVCGALTSGGTESIVLATKTHRDWF RHDHGITRPEIIAAVTAHAAIDKACDMFGIRLIKVPVDPVTFQIDVAAVKWNISANTI LIYASAPSFPQGTIDDVEALSKLAVTYGIGLHVDCCLGGFVLPFAKELGYPDIPSFDF SLPGVTSMSCDTHKYGYASKGTSIVLYKSKAIRRFQYFAYSDWTGGLYATPTIAGSRP GALSAACWAAMMRMGRRGYLEKTRGIMETVKVIKAGIASIDELHLLGAGAAMVVCFGS REFNILKVSDHLTTLGWSLNALQHPTCVHLCVTCRQIGKGDQFVKALKEAVAVVKKDP TGKLDGGSAMYGMASSMPAGPVEDILRIYQDCTLSL H257_01939 MAATTFEEAVAAIKDWNPATQPTNDEKLAIYALYKQATVGDATG DRPGIFNLTARYKFDAWAAKKGLSQDDAKAAYVVEVNRQLAAYN H257_01940 MQLRHLSTVLPPTEGMCKVTAIAWSPNNRRLAVVTVERVVHMFD AATGERKDKFSTKPAEKGDKNYLVKALAFSPDSSKLAVAQSDNIVFIYKLGLDWGDKK SICNKFLQTASVTSMVWPTSHPNEIVFGLSDGKVKLGQLRSNKPATLYATGSYVSTLC TNVEGTAILSSHYDHSIYRFIFDDVNGGSSQTKIAIHSCVPYALSWGEAIVAAGNDRK VTFYDKDGGTVRSFDYSSDDNCCGEFTTSVFNPTGDSCVVGNFNSFYVFNYLVKTDSW EAVGVKTVENLYSVTSLGWKFDGSRLAVGSVCGALDLYDACVRRYRYKGKFEFTYVSL SQVIVKRIATGARVIVRSSFGCEITKINVFQDRFLVANTSSTLLVGDLDTAKLSEVQW QSTGSEKYMFDNPAVCIVYQAGELSLIEYGQSEVLGSVRTEHLNLHLLSVRINERPVQ IVPTDGKPPPTPLDDNKKMAFLLDLQTISVKDMHNHTSTTINHDSRVDWLELNAHANL LLFRDKRRQLHLFDMDTQTRSTLLNYCNYVQWVPDSDVVVAQNRTSLYVWYNIRSPDK ATIYQIKGDVEQIERGNNRTEVIVDEGMNTASYQLDESLINFGTAIDDMQLLRAMSIL EPLELTPETEAMWTQLSAEALKCNDHRIAERCVAALGDVCRCRYLRKVNKVDWMEKGR MGEGMVHWKVRSKLAQLKNDYRSAEHILLSQGQVDEAIEMYQILHKWEDAIRVAEAKN HSAVDTMKRQYAEYLLSSRQEEKAAALKVKEGDVAAAVHLYLKGGLPAKAAQLLTERN LGRDHKAMLESVADALYAAGMFEKAGDQFEKMDEEDRALAAYIKANAYRKAVELSRRV FPDRVMKLEESWGDYLVSQKQMDMAINHYIEGNVPTKAVEAALNSRQWAKAGQMVETL DDDIALPYYRRLARHYQDAQQFEQAERCFIKADAAKDAVEMYTRANKWDAAYQVALNH MDKYETERLYVEQAHRMERQGKLKEAEKLFLTVNEPDLAINMYKNHKNYEQMIRLVTK YRKDLLKDTHLYLAQQLEHEGNFKEAEHHFAEAGEWQAAVNMYRTNDMWDEAIRVAKY HGGINASKRVAYAWAMSLGGEAGSKLLTRLGLIEPAIDYAIESGAFEHAFELARNCAP KKVPEVHLKHALFLEDEERFKEAEEEFIKAVKPREAVDMYIHQQDWQNAMRVAETNDP ASVSDVFIAQARLWVERKEFTRAEGFFINAGKPELALAAYTEALMWTDAMRLAKRHLP HKLSEVNMAHQRAIFSGGAKTKEELLEACEIWVSCQQYVQAIDAYLSITIEQLDNPTG LQEIWGLAVELASKHDRGRYKSVVEEVASRLLSMSMFDAAADYFKSIDKMSEALDCYL RTNNWVAAQKMCEQHAPELLPRLERAQQASAFGSPSDSKKEDKAGSSASGNSNSHRED DSKDAKGSSSIITDAKESGALSEAKESGNALEVWMQRGEWDKVLTSAAKHGPKSLAKY LVVRCSRLVEHDDADAAVNTINQYGIPLEADALEMVQVVVKKSLGCAVAVESTPEHAA AVATIVKCLRKLIKELKAAKDMGTVAKMEQFLLIAHYCSFKYAAQAAGLPDIACKISM SLLRFIGTLPADKMFYLAGAACREKKWLSPAFVFFNRYLDLTEAIDDGTTNNLDNSDF LGTDIPSPRDFNVPEDQYLPDDSAREEIRDWVLTISMDQQVQEKLPEKPCPNCQASIY EGNLQCAECKTKFESCIITGFPVGAKTTVHCTNCKVIADRESWNKWIKQFGSCGWCSA PQKMSY H257_01941 MAILNPKKMKVNEIKDELAKRGLSQAGLKPELIQRLELALDEEE FGGMDGPDSTPVSAAVEVEKVAAPAPVAKKADSIRNLLNDDSSSVQSPVVAAVSAPVN VAVVEPSTTTTATSASNVAPTEVPAPVIASVVDNTPKAISEEEKKRLRAEKFGIPLSE EQKILERAKRFQLPNKHADDSKKQDRAKRFGLPVAVDAGQLEEKKAARANRFGLNAED DKKLKRAMRFNMETSETIDAKKKQRSERFGLST H257_01941 MAILNPKKMKVNEIKDELAKRGLSQAGLKPELIQRLELALDEEE FGGMDGPDSTPVSAAVEVEKVAAPAPVAKKADSIRNLLNDDSSSVQSPVVAAVSAPVN VAVVEPSTTTTATSASNVAPTEVPAPVIASVVDNTPKAISEEEKKRLRAEKFGIPLSE EQKILERAKRFQLPSMRTDDDDAAAPLLTDKSVVHVDKHADDSKKQDRAKRFGLPVAV DAGQLEEKKAARANRFGLNAEDDKKLKRAMRFNMETSETIDAKKKQRSERFGLST H257_01942 MKSAFGMKNVKKENLEKGLADMKEMGIGGLSKGLNFLRTASQTA MAPGDEDKATSAASASARASSFNGSSGVEKPKMSYDELLSLSMKLTKQNKAYKAALTQ ASDKLFQAGEIEANYSSLVQFVSEDVGVDLVFVELKVPPMTSDDNGTGGDSTSYPVEK LLDASAMRQLYHARDSARELQVRDMEDKYVNEINQLRAKVETSRDLINLDSPAKQTLP DPAIASLQQELESLRTTLEVQGQAHAASVAESDLVRQQLESQLLDLQGQLSAAQSSYA TDMAAHVAALESKEEVVVGLKHDLQQQQQLILKLQSKAKDLGAMGQRVQVLEQENAGH VKDIHRLQTIVATHASDVAAREATAEAARSSEFEASAAELSELRGKNDAQALQLTQLH AAVAELQTELATARESHLTQADHDQAVLRDRQSALDTVQSQVRALEQAAVSLRSERDA DSAQLTELRDQVKDLTTSIEAKARHVQELEATIQLQAAELLDKTAQKEQVAEQWQHEA TTLRQQLHDANVSLCQRTDDYDSVKSQLVAAQQAVAADASDKVAMLQQVVTHEQLKVQ ELTASLHAKASQVNALESTVADLDRAAREALRQAEADKAAHAAGVEYATRLQTSLEQL QVDVASTNAAKIAVETELERLKAALQESVAAHERNESCLNQAATEALAAKVAMEAEVA RLENAVEAATTRAAQAESNKSLEVDRLQQTLEDARTAAVALADKDRAEADKLRQDVAT HRDQVLALQAQLDTETSQRVAQVHESQTQLAQLQAQLEVATSTVQSYTTELSSHVDMI HEKDARLAALQVALDDQEARLAESRQNGNEVATLQAKLVAIEQEAAAHLASIDALHQQ VAAHQTELASVQATAAATEASLAVELQNVAALRVEVEAKTADLQLAHAQLQRLDEDRL NERERLHETTAACQAELEAVQARLAAVQKTADAYAQDIQSQMAAAHDREENMAALKQE MQLAQANLGQRLHTAETDASALANDVARLRTELAAVQTELASRTAELRAAQDAADAAN AAKANVDAELRETRVVAAKTLEGEVARLTLKWKEMEGAWAAQKAENGRMAKEMHTLHK TWSEKNALAARLHAENVQLGEASAGMTAEVAQLRLALTDQSQALRQVEDAVKEQSDVA AARALDVIRVEAALAEAAAAHARRQDEWQGTLHAMEVAAREREADLQQKFAAEVEKVE ADGRAKSKLARQMVLEKEELIASLTTKLAKLEEDVRSGDADHRRIFELASMQANRDAT SRSREQEFAELTAAFEQVRLQNAQLIQDKEALEDDIAHLVRTERREGVNMEYLKNVVV QFMSFRPGSSQQLKLIPVLSMLLQFTPEDMDEVQASTKQASSWTSSWSEKKPVRNINA PSPIVIPPRKKVGSPRSLNSPSKSSSPRSSPRGSSPRSKARRSMSPPKQRAMTAQEPL VHHDSIDL H257_01943 MHERTPTEQQEHDARRVVATAARWINWMLHVPAVRKHSGTLAAT LHPIGWSQAPSNAKTQRSGGGPMRPDTGRVDHTMPWCTSTNVNLHKVIDPSLVARRTD DPCSLGNST H257_01944 MANLSKLPSFEFTCKMDDLDHGEKKPYSLLADKETVGEGREPHP YTTAGWLSLVSYGWITSLLVLGAKQPLMAHDVADLSHEETCAGIHSRFLEHWMSAQPT TRVPRFNLALVRCFRRDLLVGLGSLMFLTCTQVLQPLVIQSILQYLQDQPISLGVTNG YALMALLAMATFLQGIALNFGFFVQSKMGVNMRSITMDMVYQKALKLSSSARQLTTSG EIVTLMSSDSERLSEAANDGLWILMSPFTFVCSMVLVGNYFGAAPALAGSGTTVLVLL VSSQFASSIGRTRLQVTSVTEERVKVTSEVLQGIRVMKFYAWEPAITLRLETLRQQET TLLRRLNLFRVLNVEFLFLSPIFVGAAILSTYIALGNDINSTRVFTLIAFVNMSRQAL YNFPRAIAGISEGLGAGRRLDEYLNLEEQHTTRPVLSAASEGAVCIQNATLSWKADSP PCLASINITIAPESLVMVVGSVGAGKSSLLSALLGEMHLVCGSVSVDGTLALVSQEPW IRNATVQDNILFEGAYDGDWYKAVLDAVQLHVDMKLLPAGDRTEIGEQGINLSGGQKA RVNLARAMYKTAQSNVLLLDDPLSAVDVHVAKAIFDQAICGLARHTTRIMVMNSHYQF LRQADRILVMEGGQIVGDGTFAELLPVFPQFLNRHDEEDDVEEEAQVPWGTAPPTLPA AVATASPAVEVDGVSRRKSDASIADDDAVLIMDEDRTMGTVARKTYLDYFSHVGINGW FVAFSLVFMFGFGQAVRIGVDWWQGHWAASFATSPTPLYHGIFYILVIAATAFFVARC LVLVLYTSRCSENMHNQLLQCVLRAPVNLYFDVTPVGRILNRFARDVDILDSLLPNLF LDVLETSCVLVGALVVCATSSVFVALSYVPVIVVFYVAGQFFTKSSRELKRLEGVSRS PIFSSFAETLDGIQTIRAFRMETRFTLQNREAVDSNAKYLFALVGAGRWLSLRMDTLS MGLIAVILCVLVAVKGTISPTVAGLTIIYSLALLSTVQWVIRLVDMIESAMTAVERLL HFKTIPSEAIDVTHHDPSRTSWPLLGAVEFRNLHLRYRPDLPLVLRGISLNIHGGEKV GIVGRTGAGKSSLMIALFRISEFDTGSIYIDGVDIASIGLATLRRALSIIPQDPVLFS GTLRDNLDPFGEMSDADLMAVAAQAHLDLSLSTIVAESGSNLSVGQRQLICIGRALLR RSRIIVMDEATANVDLATDTLIQSTIQRAFTTETVLTIAHRLDTILHCDRVVVLDKGQ VVECDAPSALMNSRGSVFYGLAKEGGVLSRTQPRW H257_01945 MPSEKTRLLKPSSTSPPLVVRSTCTSTLFFTWLTPLLTLGSQKT LGFDDLHPLSVVHQATHVSEQYHATWHAFKTTSVAPAKPSLTLALFQTFGWRFAVAGL LRGIRATLLFTAPLVLKAMIGFLNDSSAPISDGYVLVAIIFVSGIVQSLCIRQYTYLA SEVGMCFRTAIQSALYHKLLVIAPSSTRSSGQVTNLMSVDATRVQKLTIDLHSIWVVP YLILLSCVLLWREIGVSFIAGLVMIVLYIPLTLYMAKHMKAIQKQVMQFKDSRTKLCN EVWGGIKVVKCQAWEDNFVDIIQAKRVVDNSRRSFERGRSPPRSPTASPPSWPLHRLP PTSSSATTSTSALH H257_01946 MPDMVNAIVEAQLSLDRLRDFLLDDELSVVGSGPLTSAGIRLTN VTLQYPHTSATVLKDVTLAASDGALVALVGATGCGKSSLLRGVLGEAHAVDGSIYKYG TIAYVAQQPFILNATVRDNILFGLPFDHARYTEAIDVCCLESDLATLGAGDATEIGEK GITLSGGQRTRVALARAVYQNADVYLLDDVLAAVDNDVGRRLFTQCIQGVLKRKVVVL ATNSPAVLHGCDQVVVLGDNRVVASGSLLGVQDVPYMAAMLQHHGASVAEPSSVEATT STVSDLAAGDTEIQLNVVPKAIIPAKSSGGKLVEKEERGRGNVGAVVYNVWLQACGGW PMVSLVVLVYVLCEGMSVAAKVWLSYWSEHVDPAAMRVDLLIFVVLQLVSVVFIYLRA YVLYMGTVQGSTRLFRQLLLRVLHAPMAFFESTPLGRLANRFTSDVYVADETLPTTWG ALLVTAITVLYTVGTIVGVTPLFAVILVPIAMGYIQSQRYYIQTSRELQRLESISKSP VLSLFGETVEGISRIRAGDGAAGAFKERMHMLVDRNVQALFLNFSVNCWLSVRLEFAG TAVASFAGLCAVWQHGSATSSLFAGLAGVSLSYAFTMTKYLSQSVTNYSSLQTQMISI ERIDEYIQLPLEQSQLLAVSAPPSSWPQTGTIEFMDVNLRYQPHMPRVLDRLSFTIPS QAKIGVVGRTGAGKSSVMAALLRLVELESGRILLDKVDIASLPLHTLRRAVSIIPQEP VLFSGSIRSNLDPFALYDDAALWAAVKQVNVASVTSLDDFVNERGGNFSVGERQLLCI ARALVKQSKVVLMDEATASVDVNTDRLVQARFREAFSTCTTITIAHRLDTIMDADRVL VMDQGNVAEFDAPAILLQNPQGIFYQLSRQGTTSHMIA H257_01947 MKRTVAAWVAVAAAAVRDTDVYSVLYQTEAGNVTLEVHPEWAPR GAARFSQLVDDGFFTNAAFFRYVPNFVVQWGLASDPARNTYAPIPDDTAVPTISNTVG TVSFATSGQDTRTTQLFVNFRNNSRLDSLGFTPFAVVTSGLDILVDHVYAAYRERPQQ SKIKAYGDTYLRREFPLLTHIKTVSVVSPPTGVANE H257_01948 MTSMDEGQPGESSPPLPIQFPDGKGTSTGRSMSGNNAISSVTAT LPNAILRGTSRLLGSLPTTLSGLDSSAKLKPNMLTTAAGVIRFKKKLQERAEEAERKR LEIMSYATRHQHVLDTYQIRTTHTVLPRNRLAADKKKAWMMLYPTSNVFKLWQLLLLV LVYYQMLAVPYSLAFESTDTNPTNDFVNIATSLVFCLDVVLQFNTALSHPRIPNTFIT DRQAIAKQYVTGWFLMDLLSSIPVDFVTYLIEQQSSERHLHVLAVLKVARLPRLIKIS RLSRILEFLRLPLEWKRWFLYSRYAHLIRLMTLVLAFGVTVHLFACVWFGMVADDDWA MVIYNANFEDVDPYLLSFYMSLQTILGQNQLFQSDHEYSFSSIVILVGAVVMAVVFGN VAILISNFYNDQNRYKGKMETLFSGMQLLRLPRELQMRIHEYYQAMYERYGTLDGNPE NFKDELSKNLRVEVELFLRMAMIVRTPLFRACSNEVVRELVMKLRFQVYLPDDFVIVR GEVGYEMYFIQDGACQVTKAVIKRNSMRLCPKDGDTAPKRMGQGDYFGEIALLLNCKR TANVQAVEFSELCVLSREMFEDVTSKYTEDRAVIEKFITEKYDPDVLKQAVAQHDQNH GSDASSHDDAVVECIRKLTERVIQVETLVMDLESRFRMQQHFQSMAAKHSPGMSASPS QSHMSRQTSIQRGMVARQPSVSALLAAPPSLSIMRASISKPSLHNGSGTALPGQTSGD AGMATGAASSLVTQLTLDRSSSRRHISPVL H257_01948 MLAVPYSLAFESTDTNPTNDFVNIATSLVFCLDVVLQFNTALSH PRIPNTFITDRQAIAKQYVTGWFLMDLLSSIPVDFVTYLIEQQSSERHLHVLAVLKVA RLPRLIKISRLSRILEFLRLPLEWKRWFLYSRYAHLIRLMTLVLAFGVTVHLFACVWF GMVADDDWAMVIYNANFEDVDPYLLSFYMSLQTILGQNQLFQSDHEYSFSSIVILVGA VVMAVVFGNVAILISNFYNDQNRYKGKMETLFSGMQLLRLPRELQMRIHEYYQAMYER YGTLDGNPENFKDELSKNLRVEVELFLRMAMIVRTPLFRACSNEVVRELVMKLRFQVY LPDDFVIVRGEVGYEMYFIQDGACQVTKAVIKRNSMRLCPKDGDTAPKRMGQGDYFGE IALLLNCKRTANVQAVEFSELCVLSREMFEDVTSKYTEDRAVIEKFITEKYDPDVLKQ AVAQHDQNHGSDASSHDDAVVECIRKLTERVIQVETLVMDLESRFRMQQHFQSMAAKH SPGMSASPSQSHMSRQTSIQRGMVARQPSVSALLAAPPSLSIMRASISKPSLHNGSGT ALPGQTSGDAGMATGAASSLVTQLTLDRSSSRRHISPVL H257_01949 MQTQQQQHHDTIELVGSWLDTAADKAPSTIAMEISSPIKSLVVA PCHNLTKQPLPLEPPPTTPASIQHNSTVADLQRPSMVATILSPAVGSAMTNDGHVSGP EHSMLSRASASITSASNILQGQPPLGRSNNSSGSSRHTLRNQSSHHSSAALQWTEGFM RQSTRNVRERKNQVVEPVVDGGDSPSGTPSVDGLGGLTLPQQSSKVPEGSTRRTLSHV WPTPDEHTPPDDHGASMVHRASMSLKNIAGGLAPRQPSGASGTSEVSSNFGMSSRRLT HVTSDGTEMQPDDALSSRNAPQTRGSVIVRARSSIMTQINTIAATPRVVPFAPEVMNA PGPAKPSSRRKSSGTNKRPRKHSRFQAIGTKLLMHMTTAHVIDHRRISASSSIERHRQ VLEQYHIRSRNEVTTEAQVSIPKYLVSPNSKLYKVWQLWLVAIIYYQVVAVPYSLVFG PADNNPTHDEFGLVTSAMFALDIVLNFMTALTDDDNGGIITNHKVIALNYLQGWFLID ALSAIPIDAIVYYLSTDHSGTFKFIGVLKTSRLPRLARVLSLARILQFLRLPHEWKHW LLYSRYAHLIRLCTTITAFAYIIHVMACVWYGAVASPQWLAWIETNYKDKAATNPYVL SYFSMLTTTMGQSNNLYTNTEYAFSCCCMIQGCLLMAVVFGDVGDLISNYYEDQNNYH HKMESLLASMALMHIPSDLQTRISDYYETMYDRYGTLNGDTVLFTKELSKNLSNEVEL YLRMGMITRCPMFRLCSPEFVQELVMQLQFNVFLADDFVVGRGEIGYEMYFIQSGSCD VMQSSVKYDDHARKKNKPIHEATGTLVRTLLEGDFFGEIALLMNCKQSVTLKATTFTE VCVLTRDVFHAITAKYVDDHAVIESFIREKYDPQVLNAAMEMQIDPIQAKRKAIVGCL NDLTERLEYLEARLIRLETPDHNAFFGHDSHHDGRGDGSEKSASHDLR H257_01950 MPPPAVHLSFGGCGFLLAFHIGVAKYMRRRGLLTASSSFVGASG GSLVAASLAYDVPMSRVLAETKTTAAALHDDIRASKRGSMLATRSLSGYVHRHIDALF PDPLPCMSPKLSGSPPRLVVATTEVFPRLRTVHWSGFVTKGELANVLLTSCHVPWYFD GTPARRLAGTWHTDGGLLRFVPDVPDHIPVNVFPVPWVDKATTISPRWIRGFPISMAQ LTRWVLLPPPDDMLDQFVVWGEQAAHAYVTAIPPTSR H257_01950 MPPPAVHLSFGGCGFLLAFHIGVAKYMRRRGLLTASSSFVGASG GSLVAASLAYDVPMSRVLAETKTTAAALHDDIRASKRGSMLATRSLSGYVHRHIDALF PDPLPCMSPKLSGSPPRLVVATTEVFPRLRTVHWSGFVTKGELANVLLTSCHVPWYFD GTPARRLAGTWHTDGGLLRFVPDVPDHIPVNVFPVPWVDKATTISPRWIRGFPISMAQ LTRWVLLPPPDDMLDQFVVWGEQAAHAYVTAIPPTSR H257_01951 MASPILKNKRGITLGDKIQDFSFESTHGPLTLSEHFNGGWGILF SHPDDFTPICTTELGELARLDNLGEFSRRNVKVIGLSCNDVESHKRWIEDIKAYSGED VHYPIIADKSREIAVELGMLSSDDLDKAGLPLTVRSVFVIGPDLAIKLTLTYPASTGR NFVEILRVVDSLQLTSYQSLATPVNWVKGEKACIVPSVSNEAATEKFPKGFKIVDLPS GKGYLRFTPDPSA H257_01952 MATIAHAPPASTAMTDQPHHPAKINPDTRELARSLTKNGLADAS LDTSEAHQTSHSILQPVATPPLEVQPSGSNQSPQSPRSLSHRGSSLLKSIMVKGFGHG GSSGHARGAFTPVREPVGRRLELSGQQPVEAPASVKPVTLTRPPSSSGKHGEGSTRRH LVKMATMRNAVTHAVLGGESINNVNEPTVSARRLRRPSHAFVRIDSYNFNHKSAVVVP DAPDRVDIPSTRKTMTNRASVLPQIGSNPHSIDVAAKSFMISTKLRKKAQHIRTTRRE TWQKKQVEAQGILLRHKKVLEQYNIRTVRREELHEAKPTLTGSNRFLILPTYGWYKGW QLMTLVIVLHQSVYIPYSLSFESSNDTPVTGTPLTNFVNIVFGLDMLFNFNTAISDPD APDILITNRWIITRRYLTGWFILDLLACLPIDMIVDFLLHNNQDISALSTSASSSFSS NLNVFALLKVLRLPRLFRLARFVRILRLLRIPPELKRWLLYSRYAHLIRVVQLIASFV YCTHILNCVWNALNTDWVHDVFPSNTVSNIYVLGFYYTLTTLMGQSIPLRTETQYTFS CLVIVVGALLMAMVFGNVSDLISNFNESPNNYRKKMEQLLSSMNLMRLPLDLQNRINE YYQVMWERHGTLDGQPLVFTNELSKNLAVEVELFVRMDMINRVPVFQQCSKKVVQDLV MNLELQVYLPGDYIVVKGEVGMDMYFVQNGECEVTKPPATRLGNDEVLKKLFQGDYFG EIALLMNCKRTANVRAITFAELCVLSRSVFEQITDKYAEDREKIETFITEMYDPKVLE AIMKQQSLDNPEKTFHARLTKQMAEIFDFMEDSAIRMERLEWMIESMLGRGASSTSNL HANASQASMRKESYSGRHRGTIESNDEMRKAIQDVSSVLEHQERIYNKDTSS H257_01953 MATIAHAPPASTAMTDQPHHPAKINPDTRELARSLTKNGLADAS LDTSEAHQTSHSILQPVATPPLEAVHCLIGFQLMMKPLQSFQRVPK H257_01954 MTRPTKTLKAKTPKKKDLQEQVRLLQEAMHGTVGVEAAACADQV VQVTLPPTFYSDDMVVTLLELRQRCHNNVVENKEYDIRAKYKAIRIKEAATGNDSDIP IDYPVYWVDMVAAFGDM H257_01955 MDSNAAICAAVVAAVQAVVSHDGRNNPGAKVHKIVVPNSTWETV KTKSGYDQWFRRYLQCRRSVFTTIARKVDAKWTQVHGRLYHNTAILVDDRVACAMHYL THADGYDATALVFGISKTMARRERTRCKLARFYASAT H257_01956 MQINSAWAPLGAAQFKQLIEDKFYDNAGFFRYVPKFVVQWGIAA DPKNNKYTDIKDVKWGVVSNTVGTLVYASAGNNTRTTQLFVNFANNSFLDKFGFTPFG KVTAGLDILQNKTYAAYGEAPDQDKIYAEGDAYLKREFPLITHIKKASLVGRPGC H257_01957 MSQDIGAEPTAITVMSRRLSRAFSGSGLHQTSTGNSKQFASVTP YTPNNRLSFGIVSNRNAIGYEPQRRASNTPSTRNSNVMALRAVAKLNRNLKSAQSTKK PVQKLDVERHSKVLEQYTIRQYKTTTTSVVTQDFPLFLVSPAATWYKIWQLFTLMLIV YQSFQIPFVLGFGSSNNAGANNNPFHDYESIVVNSIFGVDFLLNCNTAIPDPTGRSNY YITHRLTIIWNYLRGWLFLDLAACFPIDIVMYVSVNGGSGVGGSLTFLSLLKTVRLPR LLRLARLVRILKILQIPPEYKRWLLYSRYAHLIRLISTIGVFFFTIHLCACVWNGSIA SDTWKDMFPSIQPGGVDDYVLSFFFCLSTFLGQSMNLHSQDEFVFSITLIFSGALLMA AVFGDVAVLLANFHEKQNEYKKKMESLFACMGTMNLPLDLQNRINEFYQTMWDVHATL DGQPATLTTELSRNLALEVELFLRMDMINRVPIFRTCSKRVVQEIVMKLSMEVFLPGD YVVVRGDVAFEMYFVQTGICEVTNGGRDSSSMACLTMAVTDVDNESVLRLLRQGDFFG EIALLMQCKRTANVRAKTFAELCVLTRDVFESITARYVEDRARMETHITEKYDPAVLQ QIAEQKRRGHPAAQSDASPERNRAGPTMSQPVLDAVVSLGDRLTQMEAKFALMEARQE AFLAEMRLAMGLAAPSSLSSPRYSAIARQLSSRDALDNCSSDEDDEGAAMVLPPK H257_01957 MVRPSHPSCSFPPLFGVFIYPVGSLLHASLRLFLDLAACFPIDI VMYVSVNGGSGVGGSLTFLSLLKTVRLPRLLRLARLVRILKILQIPPEYKRWLLYSRY AHLIRLISTIGVFFFTIHLCACVWNGSIASDTWKDMFPSIQPGGVDDYVLSFFFCLST FLGQSMNLHSQDEFVFSITLIFSGALLMAAVFGDVAVLLANFHEKQNEYKKKMESLFA CMGTMNLPLDLQNRINEFYQTMWDVHATLDGQPATLTTELSRNLALEVELFLRMDMIN RVPIFRTCSKRVVQEIVMKLSMEVFLPGDYVVVRGDVAFEMYFVQTGICEVTNGGRDS SSMACLTMAVTDVDNESVLRLLRQGDFFGEIALLMQCKRTANVRAKTFAELCVLTRDV FESITARYVEDRARMETHITEKYDPAVLQQIAEQKRRGHPAAQSDASPERNRAGPTMS QPVLDAVVSLGDRLTQMEAKFALMEARQEAFLAEMRLAMGLAAPSSLSSPRYSAIARQ LSSRDALDNCSSDEDDEGAAMVLPPK H257_01958 MNAPPKPPPTPVPPVDPVAVQTALDKKMKQRLYIRKMMRIYRDE HRQSREHLIKRIHELSAHMAELVRRASAREESSTLLSWREVSHAMREGVHVSSGETKR LQNQVDVYRGLVDHMYRWIASCEHVTVSLSPTAPSWRMATLLAEPQSRRLGKEWITRQ LYHNTGRMFQNFPLSPSEDLSAIDVTFHEGLINISVHRQVTLAASPHQVLDLYRHHLV DVLMADQFGNAPIESLAESTDTTVLHQAVVPQSGEFMSLLGGEFHEGPDKTVFVVQQI VDDETQPDHHHTRRQRNRMFWCVPSCLSWHIYRLNRAELRPQSVGGGTHVKYAGVSTQ SFNQGRYFTLDEEASSVGLDLTACPEVDKEEQLKLHLTRLVLQKRPRCRHRASEILKG G H257_01958 MNAPPKPPPTPVPPVDPVAVQTALDKKMKQRLYIRKMMRIYRDE HRQSREHLIKRIHELSAHMAELVRRASAREESSTLLSWREVSHAMREGVHVSSGETKR LQNQVDVYRGLVDHMYRWIASCEHVTVSLSPTAPSWRMATLLAEPQSRRLGKEWITRQ LYHNTGRMFQNFPLSPSEDLSAIDVTFHEGLINISVHRQVTLAASPHQVLDLYRHHLV DVLMADQFGNAPIESLAESTDTTVLHQAVVPQSGEFMSLLGGEFHEGPDKTVFVVQQI VDDETQPDHHHTRRQRNRMFWAELRPQSVGGGTHVKYAGVSTQSFNQGRYFTLDEEAS SVGLDLTACPEVDKEEQLKLHLTRLVLQKRPRCRHRASEILKGG H257_01959 MVMTPNDFDEDAAIRRFQELLRIPTVSGHGPEGAYQDCAAWLIA YMQELGFLEDIHEFSPVEGKPIVVATWKGKDASLPSILLNSHYDVVPVMEQFWNYEPF GGELLENGMIVGRGTQDMKCVCVQYLEALRLLHSTGFVPARNIHLSYVPDEEIGGSDG MGKFLKSNQYQAMLPIALALDEGLANPTNKFTVFYGERTPWWLYVKAEGPTGHGSRFI KDTATIKLIDICNKALKFRDDQENLLGASCGCKHGEMKKNKLGDVTTVNLTVLRSGVT TDGGRTFALNVIPTDAMAGFDIRISPSQDLDAFKAMLDEWCCAEGVSWEFVSKNPLHQ HHVTSVEDETNPLCAKFLDVAAKLGMDVELDVFPAATDSRFLRQLGVPALGFSPMNNT EVLLHEHNEMLHKDTFVRGIHLFKGIFEQLFDN H257_01959 MVMTPNDFDEDAAIRRFQELLRIPTVSGHGPEGAYQDCAAWLIA YMQELGFLEDIHEFSPVEGKPIVVATWKGKDASLPSILLNSHYDVVPVMEQFWNYEPF GGELLENGMIVGRGTQDMKCVCVQYLEALRLLHSTGFVPARNIHLSYVPDEEIGGSDG MGKFLKSNQYQAMLPIALALDEGLANPTNKFTVFYGERTPWWLYVKAEGPTGHGSRFI KDTATIKLIDICNKALKFRDDQENLLGASCGCKHGEMKKNKLGDVTTVNLTVLRSGVT TDGGRTFALNVIPTDAMAGFDIRISPSQDLDAFKALQYTPPPNSRSNSQ H257_01960 MSDDDGSSGGSDIDSVGLIPSDKEGVSDAESVVRTPNVDDDDSS DDEPLSVLKQDVKKRLRSQNRDEDEELALAESNSEDESRRDEFVRDYSREGDLEMLHM PRATFPFPSYIRQAANQLDPHRHPLAATPLNQYYRNAIRSHAQAHGFCRRCPNEGKRR LVQATKAPSISHYQQPQQQ H257_01961 MLLRRLALLPRSGAAVRPQRNHPIVRFTATTTTITTPIFYVNAS PHLGHLHSSLMADALSRWFQFRNEPTLFTTGTDEHGLKVQQAADKAGKPTGQFCDDVA ATFQAMCASGDIRYDRFVRTTEPSHAVAVASMWRTLVENDYIYLGEHEAYYCISDETF LTEMQVDTRDDGQIVSKESGHPVELVKEQNYKFRLSKLQDKLLAWLDDHPDVVQPPSR YNEVRATVERGLRDVSVSRLREKIAWAIPVPDDDNHSVYVWLDALTNYLTCCKYPTDM AHFQSCWPAAYHIVGKDILKFHAIYWPAFLLAAKLPLPRKIVAHAHWTVNGVKMSKSL GNVVTPDAIIEKFGLDAVRYYLLREGVLTDDGDFNEGMLKEHVNNELADTLGNLVIRC TTLAFLPQGNVPSGGDFSPDDLELIHRINDTVQQVQCHYERPDFALVTKTIMGLLYEV NRNFSKHEPWVVAKQLKTLAIDSEEAKVKRQLVDTTLLLSIEAVRVSALLLLPVVPSM STGILNYLNVPTAERSFEFCRFGQSTFGPVANQKSNVKFVPFRKLE H257_01961 MLLRRLALLPRSGAAVRPQRNHPIVRFTATTTTITTPIFYVNAS PHLGHLHSSLMADALSRWFQFRNEPTLFTTGTDEHGLKVQQAADKAGKPTGQFCDDVA ATFQAMCASGDIRYDRFVRTTEPSHAVAVASMWRTLVENDYIYLGEHEAYYCISDETF LTEMQVDTRDDGQIVSKESGHPVELVKEQNYKFRLSKLQDKLLAWLDDHPDVVQPPSR YNEVRATVERGLRDVSVSRLREKIAWAIPVPDDDNHSVYVWLDALTNYLTCCKYPTDM AHFQSCWPAAYHIVGKDILKFHAIYWPAFLLAAKLPLPRKIVAHAHWTVNGVKMSKSL GNVVTPDAIIEKFGLDAVRYYLLREGVLTDDGDFNEGMLKEHVNNELADTLGNLVIRC TTLAFLPQGNVPSGGDFSPDDLELIHRINDTVQQVQCHYERPDFALVTKTIMGLLYEV NRNFSKHEPWVVAKQLKTLAIDSEEAKVKRQLVDTTLLLSIEAVRVSALLLLPVVPSM STGILNYLNVPTAERSFEFCRFGQSTFGPVANQKSNVKFVPFRKLE H257_01961 MLLRRLALLPRSGAAVRPQRNHPIVRFTATTTTITTPIFYVNAS PHLGHLHSSLMADALSRWFQFRNEPTLFTTGTDEHGLKVQQAADKAGKPTGQFCDDVA ATFQAMCASGDIRYDRFVRTTEPSHAVAVASMWRTLVENDYIYLGEHEAYYCISDETF LTEMQVDTRDDGQIVSKESGHPVELVKEQNYKFRLSKLQDKLLAWLDDHPDVVQPPSR YNEVRATVERGLRDVSVSRLREKIAWAIPVPDDDNHSVYVWLDALTNYLTCCKYPTDM AHFQSCWPAAYHIVGKDILKFHAIYWPAFLLAAKLPLPRKIVAHAHWTVNGVKMSKSL GNVVTPDAIIEKFGLDAVRYYLLREGVLTDDGDFNEGMLKEHVNNELADTLGNLVIRC TTLAFLPQGNVPSGGDFSPDDLELIHRINDTVQQVQCHYERPDFALVTKTIMGLLYEV NRNFSKHEPWVVAKQLKTLAIDSEEAKVKRQLVDTTLLLSIEAVRVSGTWGDFFS H257_01962 MTARLLREGEGHTDAQSFDFSRGFIRELRIHIPWTQILSQPIEI KLYTVELILRLKHIALSVGLTFTDT H257_01963 MRLTTALFALAALVGTASSRILLVGSDTSISTTNDPVGISIYRT DAEGKLTKIGQETNATTGNQPRYFALSNNHKFLYVTNEVELGTLTAFRVSRNNHNNCK DDDNEDADNEVTSNEDKLSLEFLGTTATNTKGPVHVMLTNDNKYALVPSYTDGAVVVF KLNQDGTVGEIVDKVQFTGGAGHVSWTQDGPRAHCVAMSKDNSYVYVTDLGNDKIMQF KLNDEGKLIENGFVDTGRSTLPRHLAVHPNGLDIFLTTEFTNELVRYKVQSDGQLVKK AAVKTSKKTGKQFASAVHVTKDGKFVLVSNRGLEGNENSIVVFDAATLDLVSTGPLRA GAYPRDFTISDDNIVYVGNQYTSDLVSFHLLKDGTLVHTGTNVTVPNPVVLLTL H257_01964 MKQRMTLAEKNGLYAEHKRNPQATYNELAKWAAKKFKLETIPTK SAIGKALQRGASQSQRPSISVRMQPMAKHIKQLHSLATTSPEARLLALEITVSKTMYD GVVCSNHGQKGYLHADQELDQSTVGDPRGKWTVHALCRWKELSTSLISEQFQHINSVL LPTGQQMQFQR H257_01965 MTACTSRTISSITHQKPKHKGQRLCIIAGVLDEGADGSKLLTTR VFRGGRRQPKDYHSMFDHDYFVDWIKQLMDELDLLGKTGAVIVMDNASYHNGLPLATP KGTCKKLDLLEACQRVGVDATPDEYRTVIWAKLQAYIKHNVIPEVVTLARSRGYEVVY TPPYHSDLQPIEYIWAYVKGIVDRQYTTEITMEHVRWLLDIAHTKKKVSELSAYLVEL DAADEAANEPALPGVDESSVDEDSDDDQSILTDIVV H257_01966 MQQVQQPHARKVPSVIYDRSTTSSERREITHATLGRLVAKLTDA QHHDTDFRNCFLLTYRSHSSVNDFIMKLTKRYTAASSLANPRYDDCDPSNADDNRFSV SSDSTVQAAANVSMMRAMSVLKFWIRESGFIEADLDNDRRSQKKLMIFLAKVRDESPH VSISKHAETMMHVVGKILVRNTPSRLATKATTAAPSSTMPSPLDLGSESAEESSPKAA ARQPHPIDDNDAALAANTAAKLKINRSVSTDSVPMKPQVTIRAYPTHYDPDLHPIDID TTRPAFSAPPLSISRGKTMPPVSTTAQLSAAPVDGLMQAPLTRSVSDNTRDRGVFCMP RSEPFGGMTAQDAADQLTLLEQHNFHRIDHRELTNKNWTTEFKHDVAPNVMALIELFD ARAEWISSEILHPKLQAVQRANMVAFFIDVAEACYRLNNFNTHAEIVVALTAPCIKQL ETTWNLVAPGHLETFEHLKHVCSSDDNFRLYRQAFAAAEGLPRLPSIFIVVKDIFGFE ESMRTTENGLIHFQKFRKIYHAITDALSCQHTTYTTPSPRRRVLQADKNQQMMLKFRL ETIRKTGTELFWLAKKANKQESVLFVNSLADAGFM H257_01966 MQQVQQPHARKVPSVIYDRSTTSSERREITHATLGRLVAKLTDA QHHDTDFRNCFLLTYRSHSSVNDFIMKLTKRYTAASSLANPRYDDCDPSNADDNRFSV SSDSTVQAAANVSMMRAMSVLKFWIRESGFIEADLDNDRRSQKKLMIFLAKVRDESPH VSISKHAETMMHVVGKILVRNTPSRLATKATTAAPSSTMPSPLDLGSESAEESSPKAA ARQPHPIDDNDAALAANTAAKLKINRSVSTDSVPMKPQVTIRAYPTHYDPDLHPIDID TTRPAFSAPPLSISRGKTMPPVSTTAQLSAAPVDGLMQAPLTRSVSDNTRDRGVFCMP RSEPFGGMTAQDAADQLTLLEQHNFHRIDHRELTNKNWTTEFKHDVAPNVMALIELFD ARAEWISSEILHPKLQAVQRANMVAFFIDVAEACYRLNNFNTHAEIVVALTAPCIKQL ETTWNLVAPGHLETFEHLKHVCSSDDNFRLYRQV H257_01967 MDAKYVPAYLRDYMYHAQDDFWISSCFDPIFIAHIMHNGFLPIA TESQGVVYLLPKLHEQRCVIDPQDLHVPKQIRKKANAYRLTVNQAFDQVVQGCHDQHG VAWLYPPVVSSFRQLVDGVSVNGTDVKLYSIELWKGTTLVAGELGYCNGAMFTSLTGF YATGSKGAGTMQLYALGALLHASGFQLWDLGMSIDYKLKLGAKDIPRDEFVKHVHALR SARVSLIVDEQNARAILDRHAALS H257_01968 MAATGADVAADDDDDTLPLPHIPSVISLGSLPPQIDGPVSGYVR LTVHLHVENDDVEGHREWLVRVRWWGSMTTVGKGDLLRENQQLCFPVHVARDVFRRYL DDMTQLRFDVVDKVTHRVYGQSAIPIRLDDLEAVGGCQRDIEIVKRGGRNNIGGVLSL HATLLWGGDASSELPPHDGMTTAPQFQLQQPHASPRRVEGAVRVWKNKDFPQSSFLAQ VPLLELNESTPSTTWNTSLGPHASDVTSLLHKGEALRRAMQRAMDEPHDRAIDIPTIL ASAKSFGPVDLDRTVTSWPVQTMNMSPVYTRELPTAGHRRLPNPPLVAPHANDTATPL EMLLRVDWLHDIRVQASSSDAQQQDPMRVYVTHKALVPPCTPFTSESKRIPHASLPTT IALGYKTVLPCGVLNQALIHLFDAHQLVVEVWTTTSARDAQLLGLGKLSLKAFAHAVK NKAAGVDRRTLQRSPCIGMTHDCIKIKNPFTGAAVGSITCTRALGTRDQIHGFQTELA AVHSIQAWWRGRHVFRRHRSQLTPSPQATLPAPDSVTVATHDPSPSSFPDDDSNHKFE LARPASTIDNSNVMQPSDEHEDGKTVQQHVSAVIKIQRAYRRWRDMATMAHAFLDWTT DEENHMSTEDTPSFDIDLDTIQLLNDPAPPRLEQASPSKGRDVLPSPLADPHHQNTPT GDKLSWRLVLELAEPCPVLRGIEVTYLFQDTTSSLWWDSHSTALSSLNEHEYIDEGLD LLIEFALWGSGQSTNPIGHASLNVSTLTKSKQEVVQLPITWTEPPLHPLLPHFSDKIA SLPLRVQCAIHQKSSLAVYNPKTSNSVATSVVSSTTLLPATATICVQITSIDMLGAVS VVGWHVFFRCVVPVGVRVHPKATGSTEYDTAQGQFLSIQTSPTILLTCGKVHVEFEHH VVIHPELLEAMQEQAKDGGAVVHLYRHVMDMEILMGTVSIPLAALLYRRQGIRGAFAF DQRDEVHCGRLGVAVHFLHRRVESDPPGAMSILRQPTIDPPLKCFSDQDPSRSIHDID FLLDENVTHDDDVVAPMDTEDTNHARGHEQPLMIVVIEEARNLHHEVSARPHTYTSFQ WGTTHYETPAVEASCNPTWMHEIRLEAADRPSSKVAMHVWDQRKPLLPPLWIGQATID LTLLQWTHEICGWYHITDATDRSKGQLKVRFNMVRGPRPEAGIAFDRPRVGAPIDHEG GDEALTNALQLMVNSVTAMDMSRTHHDRLNQAAASEAAVREISANGRSCTMSSCSTAE FDQTVSRDHQCSTSTSDDVCLVPSLILEGNDGHDDTSMVSHHVDLDDPTRHFGQLSAW NDDELLDMNALEIHGSDQSDSGEAGQTPQRLTTQYSDDDISCGEGHDEPWTAIIERAA ATTTTAVDSHPNPSEPMQQQHDAAAPSSPNASEPKIESFPTAASPPPKGVVGTLESHH SSRGSFDAASVDDTDDDLDLNELNIHSPPPIGRDELALADVENTQRSQGSSKMDIHEL EDSNGVLLPTLPAPIEPTSPIDHPSVLHQVLDAMEGLQAQISMLVRKNEELALKVAEI PQLVADSCSDRLSASHMSTTSLMGEGFGTTHDKNSSDATNQPHDTAFRESSTGSHGTN VDPPDAPLPSPPTVVEMPSRPVDQMSTSSQTIDPEPPCPMVVSPTLIPRRTATSFEET PPNAYSTPTDGVATTVEHVPRRPAPQYPDGASPVTHDWSTVSSLSHAAITASISHESP SDDKKSTSHGHWTAAQATIHRLPPAFRLSNRVDGGGDDPHIMPPARTLFDSETERIAK IMCGNLQHWIEHESSSSDEEE H257_01969 MPGKGGEGVRGVKWSKARQEFTPWTKDEPPSDAETHESAALPVI HPMLMKNYIGTVNVTTLTTRQVEQYFSRDVFLEDCIAIKSNESTDFYPQLCAGITWNT TDIAALAANRPAVELFLDCYHVFLGLLAHTHSALAAQEYIRAGDMFLFLGKFSTARAC GQAHLKLGSPASDESAKRIVLQARQCETVLQASMKRMQAVLHAPPSVVSSLMADLDQV RRLAPLSREILHVQTGVLVASCSYESLIDMLTLLPPPLLLDVSVCLALARAFDYTGHT IQAMDALRKMCKQSPVVAKELQRLQHMLDKRNVATKLADGGHFAQAVDAYNVCLTLDA NHHRYNANVLYDRAGAFLASGKEKEAIRDLEQCLGLLPTHTLAPARLRAARIQADTSR VRNQIYREQRAHDKHIKKSSQSSVFFKVGSCPNLAARDQVKVMQQDRVIQNGVQSNQQ FHRLPQFNLPHVAPLVDLYTILGVHATATIDQIRKAYHRLALQMHPDKSQHVDAADQF KGIAIAYSILSDETSRAQYDAVFNSSIYACQ H257_01970 MAAPKSSVSAVGGLNGGLFAPETSANAFHEYKYFLSNAVDCEVM FFLSSIDLPPAKLVDVSSTTSSSPLAPQQNLHQMLGTMSELRNSPMSEVFVTAQIFAD GIPMHPMTINTKYPSQCKESSIIWSEWLTLPMKFKDLPRNAVIALTLWGVNQVPIGGT TIAIFAPNGCLKDGTQCLRVWPGVAADPQLDTTTPSDYNDPTNDVLTRQMECFRLDKA RERYERRDMQRSDWMDRVTNRRISRIRRSSDFPGPKDDLPSFCQLRDEPCLWIELPYF GQTVVYEEEPYALRNAAAFSSFAYGDAKAPSTANNNTNVLDLPTPSAAKMPTMRSMVD MDATLIPVWDPDLNEENPAERKYRKLARDILRGSIDPNLKPSREEQFAIEALLSSSSD QLKNDEKDLLWKFRYTLIENRRAIVKFLISVDWLDETEVTLTTELLHAWCDIDIADAL KLLGPRKEFKSDVVRKFAVAALAKARTDDLLDFLLQLVQAMRYEKFYKHENQQHLGPL ARFLVSRACTNFKMANYFYWYLQVELSDRRDGEMFQHVLQVMLEEMKLTEDGLAIYNM LATQNEYMTRIMASHLRAREERGRRDQKEEKLRTYFKQIPWPKGVHIRLPSDPSVHLS GLVAPSAKMFKSAMYPCVVDFTTVLPEPHVDEETEASSLRESLAAHSLMHQGGGGHAS SGTSRKVQHRDKEGPVCKVMIKNGDDLRQDQLIMQLIILMDRLLKKVNLDMKLTPYRV LATSTTAGLMEFVVDSMAFKDVISKYKTVQDFLRTHNPDPTNGGWEGISVDAVNTYVK SLAGYCVITYILGIGDRHLDNLMMKTQGHLFHIDFGFVFGSDPKPFPPPFKLTKEMVE GMGGRKSDNYHRFKTYCCQTYNWLRKSASMIMSLLHLMIDAGIPELANDPLTTLAKVQ ERFRLDMTDEQAEAYFLGLISDSVTALFPIVVDLVHNIAGALK H257_01971 MNSACCAGCSKRFNAFRWSHSCKLCFERVCSKCSTKTSPPVVHD MKRTKAKRICLACITDATATHLQGRVPASTLNPTAALLLTFPRACPPPSHVDLTALAP RFQHDATFFLLLEQAILAIDGAARGLIQLIGVRDMAVVASYNLDDPPMLSRIDAGLVT LQSSPSIVREAYHDHISFVRVPLMLAAACIGTLEVECPNGYLLSMETLEELDEIASTA AMVMQNTAIESSAHPTPPGPSSGVNHRHKPRGNSTSTASTSSTSIYSYHTMANNDDSH SSASDSAEERMMEALLTMSKHTAHLIRGTNEECRYLLK H257_01972 MKATGMLLLPWVVVGLLAVGVQYANSVWRSQLPPALSLAQASLH GDFAGADAYATLETLANATHPDVSAANQRVYNFIHDKLQDLQTLNGSHKLVLQTARHD LHNISVGRQDTVVVSPPPRKERCDAYAAFFNASQIVVKVPGMFASSVLLSAHFDSVAG SFGASDDGAGVAIALDVLRSVVTSKARPRNSLIVFFNNGEEDYLCGSKWFLDQNLTSR LHVQAFVNLEGGGTGGRAILFRATDEALAATYSQVTPMPHMNSIGGSILAALGSFTDY ESYQSAGIPGVDIAFYEHRENYHTMQDNLAHISPRDVQHGGSNVLAFTTALLDVPYLG TFQSDDSAVYFDFVGLWGVTLTANTRFLILLATWLAAGGVVLVYAACFEPTKSIGDVT WRLTTSWSTVVNSLSLSWLGGFMAVLPVAMFVAITRSPKMAWVVLPVAVLGHLVGVTF AAEQWRFRRHLRDDQVPSTRDLAHLAAAAATFADVMSSVVVIKLPGLYLLAIASVTFS VLAIVGLPLVSASIASRELSLADESEPLLRSLDAAPLYYATVPLTQNEAHKSTSTRSW LFHVGVGVALILYMAVPFHFAIDLAVSMNSVGSTNAGLVVALPTLLTPPLYLLVVWFA QFSIRPVVFVTAFALYGGLLVLAVVRSAFY H257_01973 MFPSQNASTTPRFGRHHGQHHEENSSPNDDEEGSDNTAVQLHDI LAPTHWVDKNERVACGDCGHKFNNLFRRRHHCRLCGDLFCKQCVVQKLLRIPRQDKIF VKVCRPCATEETCTTSSSSSAKSPRHHAKDSRVTLRSTSQSVISRSSPSLGQQQPHPL RERRPTDGQSPARSLHSPLGNDVDRVAVLRGYSIDYDATPHVHLTQLCDEAADRIRCP IAAIGFMEADTQHLHASVGLYLSMMPRSMAWMCDAVVATNRPLIVLDAMQDSRYRHSP LVRNHHVRFYAAVPVATSNGWVLGTLAVMDTTPREYVDIEPLVALAGSIMTFLRKAKA KARKRRTLSFDSAVNAAPGGLRSREANSESMIMTLVSNTAQTQVTLAEQQGALASALG DHTDKISRLVQAIARMESKLGRNDVQVDDDGTKLNHV H257_01974 MDEPDFLHAAPECLLPPEAWVNKKERFRCHVCAQKFSNIYRRRH HCRMCGELFCRQCIVNKFLHIAGRDKVTVKVCTPCAYEDANQSKRRRPHSPMTFRSSR RLGLEHMPCRSDDLHSDDDFDDNDAAATKDLLDSPVLSSTQCDVVSDSHNLATSSSLT TRRAPTSAPVRDLDFEEAAYAMTCPAAPCLFNEVERLGALKSLQILYSPPEETYNVVC ELAATTLGCPMAVVSFMDLERQWFKAKVGLSKNYFSRRVAFCAHALSTPEPTVVLDTR VDPRFAQNPLVTDYGVRFYAAAPLVTADGWPVGTLAVFDYHVHLTCNVTTTLIPLARG IMKQLDGRKANIQGMRRRRFAASFDDRSHATSLVPKKSQLTPTLGPKTASLNMAPLSL SVPLLDHDASPTTSVRSSSSSSVMKPQPKMEAILFDLLNKTSETQQQLASQQGSMFAT LGHHSEQIGKLAEALARMESKLGSPVASA H257_01975 MSNTPINLGAKAMSQPNLVRGQSVEINMRAIGDATKNGVIMIEN FKHQDDWVSNSERSRCHICTKPFGAFRRKHHCRVCGEVICSSCTLKKQVMLPMVGQTE ARVCVTCILVYCKPMQSQSSNHFEGSMMSPAAYSLPSNGSSSRDGRATTRSDFSRHNN TQLHSPDVMGSDVDPSTYFTGQLDASSFEYSLDYDWEHPWPKPPVPADESHRLDVLRS FDILGTPQEDVFDIICDLVSKSLNCPIAGVSFIDHDRQWYKASVGLIQDEIPRNVSFC AHILYTKQSIVVPDTTLDKRFERNPLVTGRAGIRFYAAAPIISPATGFVLGTVFVFDN HPRPRDQVDMATLEKLAGVAMKNLEDRRASVASSSAAFVHRASSATDRLSAVTTMSHN PDNVHSPYTSATPTSQIATPQAATSPSYTEAPPPPTPTAAVSPSAVATTPVSATPMAR PNPVEPEVQPPQDLPPTPTASASETTAASSSVVPSEPPKMEAMLMNLLSQTTMTQQQL AKQQGTMYATISGHSSQIDKLAQAVARMEAKLVGGAPVGANTATSSSTSEPKQQQPGE KE H257_01976 MASQRKASSVSTDADDLINHDHNAVNITLMGQKYPPRLDSQRSK CLLVCAQNFSLFRAKHHCRMTVVLPNAGHCDVTCILQKAPSTVH H257_01977 MFKPTSPHRSMLTRTSITKDESVALSASNAMLQEDLAPPARWVD KQSRSKCFCCSRHFQTLLRPKYNCRKCGEVVCSKCRVSKLLRPSVTAVIVSMKQLTTV QICLVCSHSLVTTEPRQTQVQPPSSTPAITHFATTPQPKPNATPPKSPPSSAMIPSPY DLDWDWAHPWPKPPALPSFVESQHKDVLNSLRILDTPKDESFDRIAIAARKFTSPPCK VACVGFMDLQNDRQWFKATCGLAQSEMPRTVSFCTHTLYLNQPVVVLDARVDERFCFN PLVTGAGQFRFYASVPIVVESVAVGTIFVLDAEPRTEFDVDMSKLVRLGHMVSKMLVE RRLRSVRKASLDESFRVTTTMSVPCYFDEDQTIAGRGSLVSTKMEKPVRSYSMDILDM ATTSCASQRRSSVDDFTTSMPGNFMPTTEHPRQSSEEEATDGSSMETMLMTLLSQTTL TQQQIATQQGDLYVRLGSNSTKLNMLTEAVARMEAKLQERQTEGEETKG H257_01978 MATLRHRALQEKDRWKESSDASRRLRPLPFSLVENEDKQIVLDR ILHELTPLLPAVAPLKVVAIGVNAVTRLLERHQASVVVLAMNPSVQPAAWHIMSMASM FAVPICVLATTSQELGDAMGLKAASAVALASIPDNTGGSISSSSSETHVDPNVSRQFA SIAAYLESKQSIVSQPHVVQVLKYK H257_01978 MATLRHRALQEKDRWKESSDASRRLRPLPFSLVENEDKQIVLDR ILHELTPLLPAVAPLKVVAIGVNAVTRLLERHQASVVVLAMNPSVQPAAWHIMSMASM FAVPICVLATTSQELGDAMGLKAASAVALASIPDNTVCFHCSVPRVEAINR H257_01979 MPSTPRFTVTQDDKMVYVEIHVPYVRVTEMEYHIDGTSFTFWCK PYLLNLTFPGGVVDDDRAKAVYDINKEHGTIMVHLPKETPNVHFPDLDLLTTLLQQKK WRPQDEGLPDSLPAPLIEVVGGSSSVEASASPPPPPAPSSLSSTTDSSLLSFETPKEH VSLHVGPPTYGFNNRFSDFFKCWHGELHEVLSLPTPETSTPAERTKMRLELEDHDFDV ERYLLDFANQGEDDHFKAAMSYTPFWAALPVWTPPPPKVLIAHVTDSLANVTLHASSI ELTDKEKEALLRLPRREYLAFTAAEAAVVWHGLLDILAAYSYDLRTSEGDPTVESAWT ISILSSTLSWLDPATTTVADVVRNTMRRTLVYPFLRQWDLGVVVVNDVVAMLRRGKRV VLRALLAIHSIFETSETYYLLNTLFINDYATWIQSTADAHLNEYANQLDAALAAFHKQ QSGWGLVEIEAALLEEDEPSSDEELSGEDSSGEEDSDDNETMAQ H257_01980 MSRNQDGSGGPTTRLPDIYASPGNVGVQIDSYRTKDLFNRPRSN KFTTAFQGHMYEDHTLKNAKRMLARELQRKREDDQRVKKLAEYQMQRLEAKVQLDERR KHREYLRRQRAWERQQAMKAASQLALEHSSAVQIQARHRGWRVRRALARQTEAATAIQ SNLRRYRAQHQYTLQKLAHRDLQRQSAVKIQSHVRRYVSAKQVRQMKASLQLLVDTPR SLSTGAFISTSPEPASCIPLSPVRSDLRTTAPIKEDGMRPPAGVVHTPTPPPPRPTPP STTANVILKRVGGGFRRIVQPPQTQLSAMLLSSSRSPMPSLALTPTKKRLAKPQPPQR SFLQRWNEVPSPVAKSLESFIQDPNLVEKLKIVSSPSMEPPVVWRSRDAAELCAAMDA SFPVAEPLDFEEMQPPLTPHDVAELTIAMEDMANLHDTVPECFDTDTPFVPLEKPPSR PEVADSLQPLGIAVDPTIFQWLPNALVTQEGEWTVLLDEDFDAKAAHAPISLSPPHNP DDDDDDQRIERSETTSSASSSDIEENECEQATVTTVAAHKHRHRPKSILKKSVVTHPM APVMAVGSSQFSLRKDPKRTHWKVDHDTCDDILLGGALLPFY H257_01981 MLRAAHKTLHWPVAVRVVAARVHQARLASSNIKSRIQGCINDLA KVKVALNCDHVTNNSFHSLGTAAYSCVRCGVRIDSSSKAYFFVQALDVAMFEYPLLTL RPKLLQLLSRLETGLEDLQSFCRQHRVEVETTAALLIQRMALLHIRRKRDRNAASQVL YSMKAFERRQRRRQCARELLQLQCRIRRKPIKLQHIVETAVSLAQLPIDDTRDDKFQL TEMCGSTALGAFEQAAMTPASEVMMQTSSQLTPPLLASGTTSSCRLVVESLPAVLPRI SPDSPEIHRCFAPQCGGQKFHNKKWFAQHMDKHATAKKRRQDESSFLARMRSQALPAL RQHVIVPPPVPLPTPNCSLPQLYNPKSASMLMQLVRLDASCASDAQVIRIHNDDVTTN GMVLGRSAKHCDVIVDCPKYPGLVSKQHLRVIVVDNTAVMVEDLGSKNGTYVNGNKQH QRTELHVGDTIELGRVKHRTESGVVLVCHHPNRTTSML H257_01982 MNQVSATRKLFVRQLLSARSLPESRSKYMYRGVELIRAWIQGVV VEVQIPRFAVDDGTGVVWIDIQSLIKSNPSLNVRVGEYVMIIGPVLGSLGVPEPSPER IQAHQVIPLAAKDVHRECLWFLEVIEYWSHAVRTRPIEIDG H257_01983 MSSNGDATTSDSRRSSVISRITKSTTSSSDAHLTADQLVNHDQW VPDSERHRCLVCTRSFSNFRRKHHCRRCGEVVCKGCLLKRNARLPVKGSVEVKVCMNC VLVDAEVPDNALTVGMRENSATASSLSSRSLDWQSHVHNPPSSPSGASSHAALDIDDD AINTSTCDDPLDYSWRYPWPKAPVLADEDVRLDVLRSYNILDSAPEEKFDIACTLAAN SMKCPLAVVSFMDSERQWFKANVGLAQAEIPRNVSFCAHAAKSSDPMVVWNTMLDVRF VKNPLVTGSAAIRFYAGVPLVAPTGHVVGTVAVFDNQPRQSVDVAMLEKLATVVMKYL EERRGALAAPSPQTPRQLRQPSDAATAPCPGTASQAALFSAPVSTVAVPDAPTAPGAN MEMMLMTLLSKTTETQQQLASQQGAMFNTLGQHTEQIDKLADAVARMEAKLLTPSVNE TMTT H257_01984 MSAKAPAALGGTTTNKGDRVHLDIEEGDKAATTQDDDTTQSEMF SDINDDTPNITELQSLCINCHEDGMTKLLLTRIPYFREVILMSFACEHCGFKNSEVQF GGTIQEKGVRMELAVQTREDLNRQLIKSDTAVIVFPEIDLEIPAKTQQGTINTIEGFI AKAIEGLRENQEERRAIDPATTQKIDEFLANLALMAAGITLPFTVIITDPAGNSHIEN LHAPNVDPQLKIDTYFRSEAEDLICGLQPDASHAHDTPSNAPRVLPPRNAGLDTFLAQ NNNIAKREAIRFPTQCHSCFKDGESMMCITDIPHFKEVIIMAFNCEHCGFKTNEVKAG GAIPPFGERMVLHVQDASYLDRDVLKSDSACVHIPEIELEMMSGSLGGLYTTIEGLLD KIAENITKGNPFAVGDSDGGRSGLRIWLDKLEALKHGTPFTLIIEDPLANSFIYSPFG SAADDPDMTCDTYVRTEEEDDELGLLDMKVENYSSEVIDNLSGQVVSQVALSDKQTME RGGQALATSGYHPNPNAVMDLDAPRTEL H257_01984 MSAKAPAALGGTTTNKGDRVHLDIEEGDKAATTQDDDTTQSEMF SDINDDTPNITELQSLCINCHEDGMTKLLLTRIPYFREVILMSFACEHCGFKNSEVQF GGTIQEKGVRMELAVQTREDLNRQLIKSDTAVIVFPEIDLEIPAKTQQGTINTIEGFI AKAIEGLRENQEERRAIDPATTQKIDEFLANLALMAAGITLPFTVIITDPAGNSHIEN LHAPNVDPQLKIDTYFRSEAEDLICGLQPDASHAHDTPSNAPRVLPPRNAGLDTFLAQ NNNIAKREAIRFPTQCHSCFKDGESMMCITDIPHFKEVIIMAFNCEHCGFKTNEVKAG GAIPPFGERMVLHVQDASYLDRDVLKSDSACVHIPEIELEMMSGSLGGLYTTIEGLLD KVLL H257_01984 MSAKAPAALGGTTTNKGDRVHLDIEEGDKAATTQDDDTTQSEMF SDINDDTPNITELQSLCINCHEDGMTKLLLTRIPYFREVILMSFACEHCGFKNSEVQF GGTIQEKGVRMELAVQTREDLNRQLIKSDTAVIVFPEIDLEIPAKTQQGTINTIEGFI AKAIEGLRENQEERRAIDPATTQKIDEFLANLALMAAGITLPFTVIITDPAGNSHIEN LHAPNVDPQLKIDTYFRSEAEDLICGLQPDASHAHDTPSNAPRVLPPRNAGLDTFLAQ NNNIAKREAIRFPTQCHSCFKDGESMMCITDIPHFKEVIIMAFNCEHCGFKTNEVKAG GAIPPFGERMVLHVQVRQPAHVAYDSDGNVELGRVVLGSRCAEI H257_01984 MSAKAPAALGGTTTNKGDRVHLDIEEGDKAATTQDDDTTQSEMF SDINDDTPNITELQSLCINCHEDGMTKLLLTRIPYFREVILMSFACEHCGFKNSEVQF GGTIQEKGVRMELAVQTREDLNRQLIKSDTAVIVFPEIDLEIPAKTQQGTINTIEGFI AKAIEGLRENQEERRAIDPATTQKIDEFLANLALMAAGITLPFTVIITDPAGNSHIEN LHAPNVDPQLKIDTYFRSEAEDLICGLQPDASHAHDTPSNAPRVLPPRNAGLDTFLAQ NNNIAKREAIRFPTQCHSCFKDGESMMCITDIPHFKEVIIMAFNCEHCGFKTNEVKAG GAIPPFGERMVLHVQVRQPAHVAYDSDGNVELGRVVLGSRCAEI H257_01985 MAATERRRDHKAILECSKQDVWTIWYAAEHGKVDRVRSLLDQKN SILNVQEPRMQWTPLHFAARYAQEAVIRVLLEYRANPDVVDKDGNTALHLCAGWGSLR CCVLLLEGGADSQCLNARSLSALEMACTMDHADIASILRSWVPVELSLAERREKKQRI DAHVCADDLVHRHEPELVYLELRALKSKESTLGPNHPGIVATLIKLAKQFTTLHRATD AIQSLRRAVSINALHFGDNHVDTAILRNNLAAALFFFRDGNSAYVEESVGLLQTALQV LSDYYSSSATKNNSMDRSTCLENLCICLQSSSQHALAHGYMHELLAAFGATYGTDHEK VLGLQLALATKYIAQKRFDDGEAVFHRCITIAMTKHGRNHRTVSHAVDCLGRAYFVRG RFDLAQEAFRQSLEILLVLYDASHVDIIRGHNNVAMVAIGMQNADVVRDRLQRINF H257_01985 MACTMDHADIASILRSWVPVELSLAERREKKQRIDAHVCADDLV HRHEPELVYLELRALKSKESTLGPNHPGIVATLIKLAKQFTTLHRATDAIQSLRRAVS INALHFGDNHVDTAILRNNLAAALFFFRDGNSAYVEESVGLLQTALQVLSDYYSSSAT KNNSMDRSTCLENLCICLQSSSQHALAHGYMHELLAAFGATYGTDHEKVLGLQLALAT KYIAQKRFDDGEAVFHRCITIAMTKHGRNHRTVSHAVDCLGRAYFVRGRFDLAQEAFR QSLEILLVLYDASHVDIIRGHNNVAMVAIGMQNADVVRDRLQRINF H257_01986 MTSIFLLPPPHMKVVVRVRPMIPSEAKKGSTCCVKVVRASSCKP HQVQVDDHLFDVDLVYDERLNQRDLFHKEFANLIPTVFDGTNVTVFASGASGSGKTHT MEGTAKNPGVVPRTMQKVFQFGQQQVATYRVEMSFVEIHNDKVMDFLAATTTKLHQDE LSLSTSASGRVVVDGLITRHIASFADFEALYERACKFRRKGAATYHAKSSRSHSLLHV RVESRGFDGLVRVGHLHLIDLAGHDDICPTVQESASMMLDMQPLDESPVARLLADSVA HSSIAVMVCTISPASVVVKDTLEALQCAAKQRHVVVASPTAAPVLSPSGSSSSSISSP RLSCSSLELTPKTRPAATPSMDTAAPCAKRRRDDAISTRSRASMKRFRLHEESSSSSS SSCVVMDATPSSRDRTAATRRLQPLAGSRVVPGRAFLRQPPPVEKEGIKHLLTMAVDF EKRGKARPALALYRQATLLLDTPNEKLQARMQALAAAMSTPESEVDGSKRPLHATVQR ILEEDILHTFNHGSMAALMALQSIGEKKAAKIIKGRENRVHFTSIRDLMNVGMGEKQV VRFQQLNVAARLSSL H257_01987 MMRVNNGSTDVLRMDQLMHQDDWVRDSERHRCHVCTRNFTKLRR RHHCRVCGEVVCYNCLLKKYAELPVKGRTDVKVCMSCILIHATKQNGASAALPPQIVS SSTSVIRPPSEQAMRYSSRDTVDSRKHSEHTNPYSPHESFVERSSGRHLSRGDASDTI DYPLDFSWGYAWPKPPVLPDELDRLAMLHSFDILDSPTEDVFDIICELASNALKCPIA VVSLIDEDRQWFKASVGLAQTEIPRNVSFCAHTIISKEPMVVLDALQDKRFMKNPLVT GAASIRFYAGSPICTPSGHVIGTVFVFDNQPRTSCDLATLEKLSNVAMKNLEDRNRAR NSTMSIKDGAAETTAVVVAPPPPPNAQLDSQDLVVQAANNTFDGQVVAGPKMETMLMD LLCRTTETQQQLATQQGAMFQTLGQHTVQIDKLADAVKRMEAKLDAREEQEDSMVFIN AHRD H257_01988 MSAMLKGRQNNDILTVDQIVNEDDWVPNSERFRCHVCTRNFNTI RRRHHCRMCGEVVCGNCTLKKLAQIPQRPTLQEVRVCMSCILNHANKQANPMHHQGAA PAQIQRGGQRGSIQSTRTDTHSHTGETIRYSNRESNMDRLDSPPENSVGERAHFTRSD QGDNVVDYPLDYSWGYAWPKPPVLPDEVDRLAVLRSFDVLDTPTEDVFDIICDLASNA LKCPISAVSLIDEDRQWFKASVGLAQTEIPRNVSFCAHTIISKEPMVVLDTLQDKRFM KNPLVTGGAGIRFYAGSPICTPSGHVIGTVFVFDNQPRTSCDLATLEKLSNVAMKNLE DRKNGTAPLPPLNKQQQPPSTSTPAPPPPPPGAIVSVAPSDLNTQDLVVQGPAGNGPG DGQVVGGPKMETMLMDLLCRTTETQQQLAAQQGVMFQQLGQHTQKIDELADAVKRMEA KLDGI H257_01989 MPPRKQLRQALIVQANESTVFSSTDTRSCFFKPDRASDKDSSLK RCMYAVAVKVKEAISHELPPRFGLMFDG H257_01990 MEYLDVILGLYNRTTAVVSFLVAGNCSFNRKMSRDMMVPLVGCA SHRLNLAVRKMVSTHFDDVIQKVQTLMVALTNLNNSVAFRRLTAPRVLLPKLQNVTRW SSTYSMLELYFLLLPYLAKMPLLSSDWRQLRLTSFDSKKLLKQ H257_01991 MKYMHALCYHVERKIASQLPDKFALVHDGWSHGSTHYLAIFATF PSSDPIGYTRTAAIVKNPEFEDGVVNALLGSEASLTSVEADAIKALRDSQASQGSEEG FAVLVLSLAERSVS H257_01992 MPRNSTITDDEYDEITSLYYPDDKLSQARKPKHKGKRLCFIAGI LDDGPDGSKLLATRVFRGGSRQTKDYHGMFNHAYFVNWMKELMDELDVLGKSGAVIVM DNASYHKGVPHDTPKGT H257_01993 MPATNAISDDAYDEIASYNHQQRPRSLTKEERLDILRLHAEFRR TGATKVSATIAQLLGRSEKVVKNVWSDYLRTKAVHVVPPPTNNHQRGARIPQTNAVMS LVRQFIRTRSMTRARTVAKDVMALLLEHSVLYCDVTNKTDVSNCLRRVQKYLQKLGFL RGKRRGHTTYAVPKAHATARDLYIEHMTKLAPGTSTRATYIIITHITTTGRRLCFIAG IMAGAQPEDSSLVGLDVFQGGAKPRSGPKDYHGMFVYAYFVKWFGKYKDEVEKLGKKG VTFVMDNAKYHKGQPADTLRGTWRKADLLTACQRFNVDVESVDLNKTIWARLKPVVAA KVRPVVVTMARSRGQDVVSTPPHNSDLQPIEMVWSKVIGDVGVQYTVDTTFDDVQSRL AVAFDALPPAVIWNCVLHCDKLLQDMHQLLLRREENEDEGSSSDESSDGSSSSDSE H257_01994 MGKPMHKQIAWSSEMDLALLREVLRVEPYDGEYGTLTVRWKTIA SKLSSCFECTIPYRSARDHFEVMLEGFKVTDKAQRMFGTGSEEEVTEQVQILQDIVDR RAVKDEVKKTKKDKEQKRRDSLESTGSQLCVEAEQRVAKRQRSVGPTPKKEDQDIQDL LEVEKQKHTDDHTYRMERLEYENEEQKLRLAQMAEGAKRNEQLERLLLEMGKLIQVVA EKSN H257_01995 MHPQQHGFLQRSHMSLRLKLALLRRSPPTSHNQLEALSQAVANK GGEVQNVWAFIDGTVRECCRPDGDERQRTVFNGHKRRHAVKYQTLVTPDGIISHAFGP IEGRRHDLTILRQSKLESVIAADTRFRGFVIYGDPAYGYSDQLASPFGGARLTAAQRE VNKSISRVRISVEWSFGQVLQYWPIVDFKKKSRIGNSPIAKMYKVAVLLTNCITCDRG RNTNSAYFGLPPPTLEEYLHVNM H257_01996 MNLCLENFAVSDQVFVDICTICITQYGETIEVYDSTSRLIDKVI QVAGDNGFLIMTNFTSPEFEVLWNVVQNYQSWGKHAVDFGFRAPTFQKLVLRVVVVVE LVTRRFVKTPPISELRSRSTVIQH H257_01997 MGDADKAQHLGMTTAVDQQLSEIEYLMCFYRVIKKCYEKDADCE LTNEEWRDVSFYIYLLHMSASHVDMGDLMEALKYRTDLKRLQATITYAGLVINFFHAE YESVMDFLIFLEPTALTCWKLLHTPEIQQAIVAERQARLGFEEESQTASH H257_01998 MAQGLDAGFIFASRFVSIHDSSVDKWVTSRGLRKFRHYLFSQWL PYNRVYGGPDDVRFWKWQVYHSLPGSSYTNNPTEYFNC H257_01999 MQDIVKVVKFESELEKPRAKKPTVSIRMKSHFNRLLSRVALSPF VPCHVKSLSVGMSHSQVMHKGGLPPGQAPLARNLALIELHEQPVSGWIIVRTQNPTAL RCNCLLWNRGAICSHVLAVSNEVGKLERLVMLEILRVPSNFALMTGQAAGQKFAEFVN RAAGISGHTRRQIETFHSIASRVSSRCLTCHTPLLHNTVFGIVDRVAVTMHYLTHNDG YASSGQVFGISSTRSYCYVGQVMKVIETYLMETVALPTSIAAWMDVACGFERLGGNPN VVGVIDGSLISIKRFEDYESWYCRKGFPAFNIQEMARVIKTTTVLHNWIID H257_02000 MAASTKSKILTKKELIERLARLEAAGQVSNQESSSSPNVPLPDK ETLWTVDMVQVLLELRLRTYGPPFKSSKSNQQLSILWQKIAMRLSFVTGVVVSQTSAK AKYHSLKQEYSRSRVAEQATGNNADVGVEYPAYWEHMIGYLGNKNGLGHNEFESSGDG MENAMVANDGDSWAEPVIVAADDRPSKRQKPVGEMLRRSRN H257_02001 MRSRRRTERFCRERVQDIAKLERDRFKPVVNMLSQLPQVGLDNS QLLVQRDVWWDASRRHVGDGIVQGTTVKASCKGCQRPGQPHRGQKQGVAVVESTLQPC VVVDQRRQQIWDGRSKRSRIKR H257_02001 MVGRVQDIAKLERDRFKPVVNMLSQLPQVGLDNSQLLVQRDVWW DASRRHVGDGIVQGTTVKASCKGCQRPGQPHRGQKQGVAVVESTLQPCVVVDQRRQQI WDGRSKRSRIKR H257_02002 MKNDPEGRMSYPRHVYANPSHPAICPILSLGVLLFTRGAQVPES PTLLFGYNAKDRFSAWLAKTCAANADDIAGLGLSISDIVLVAHKQLWSGCELVGASVG SKDDTYLKDLEAINLLAKLQLFGALPPHFGPSISLSPAQWESNLPGYSSLYPATFRSA VPYLLASLVHHHAWLKSTLHHSHPLFLSPVWLSGSLTALAAGLHGGTLYNPITNMTAT GIPPHVPLYQQLRVVQTNLGKLAQHVDDGFKSIPLQLRNVLDSTNHNTASVKMEQLNA AAANHQ H257_02003 MMFRSIRAAASMTTRRASYMSVRSFSLPSHEVVGLPALSPTMEQ GNLSKWLLKEGDAISAGDIICQIETDKAVVDYEAQDDMFLARILVAEGAEGIVVGQPI MVTVDSEADIAAFKDFQPEVAAAAPVAPKSPEEIPPQKHEVKLDPATHAPVVPSDFLV TPQVPHKIVPGPAIPAPVVAKAAPVVAKAASVAPSPPAATAPLASFNKWGLGVNKSAI SHSLAQRQKAYLALYGPTGTFPISKA H257_02004 MGNKQGKLKRRSGDDRPSTTGSAAASERKRSLWSNKAMSSSSLP APPPHPSVSSNAPPAAVGGPKSLQHEPHPEYQPQKPSSSPASETRDLVNHTHFSAKEI ESIRENVFSLLGYTLSQTSYAEISISKDDFMKFLGVPPQSLFPNRLFAIFATDSKNDT LTFPGLVRGLSILSQKATHDEKLRMAFQLLDPTDSGYITHDATVRVLKSCLDESKEIG LTDDQIDKLVASTFLDADLNRDGVIDFAEYQALDARHPGLLEFLTVDSSGILSSLDKQ KFMSQ H257_02005 MEQSVEAKKIHEEFDMLPLLIDILKDILTAGTNEESTRVGDVSA TATSLKKKYEDAARLLASLPGIDVSLDGQQDDIRRAKDLLRQKSDLMELYSNTLFRGT DEPRAPLNDVFSRKNL H257_02006 MAKSPNAALFFPMSSSPRQQLTPSGSSLSPLRHLDAEHVRRFLD TWLWHYTILGTLVVDLVVQSVVLLGLAPQVSPWAMSPCVFLAAIDMVLRCGVWRRTMR RFHSASADALLGVCMLVVWFCRIVWPVSNTGTSTGRQLVPLLSVALASMRLVLKPRAR QLTKACSRQRQCTNTAIRLRPTFSAPTGDTGTSSEQKPLVYSTWNVIRSTLSHWATQR TDLAWCIVVMFINASINPLQAYCLQHLLDQAFLAATSSANPQVALHHGMTGLIVLCVP FGLSTVGIGVFQSRMLARATARLHSQLLHVVLAQPATYFVHDAKEGDVTNVFASDVAR VNALWQGVFWNLLHPAIVAAGGFGYLLVCDFNTGWLAFWVAIVLVSSGPQGRAAAHST TFGAANAALTASFQDTLSSHATVVVYDMQPSIQAKFDVNVQALSSIQFAKDLWATLVQ IYIEGAMYTFVAVVTAGLATRVVVGHTLTAGEFVSYVALLGRISSPITVLGGFMRVAI GNSSSLQRVDALLALSSDGHVITTDDMSFKSMTTATSTDSISAAHPLQTALTASHLIV QVNRTVVVADVSFACPRGTLTCIVGPSGSGKTSVLRCLMQTGPSHASGHIWWDESPLT LAHQAHVGVVFQHPRFLHGTIRENLRYGHPRASDVDCHDAAAMAHCLPFVNELPKGLD TDMESVQWSGGQLQRLSLARALVRRPSVLLLDEATSALDQESELAVVATLTWLAKTQG TVVVAVTHRLATTKVADQIIVLQAGRMVEVGRHADLMERRGIFYDLAHENDASSRSKN GHEKGDVALLDEEGDAADVELGDEAYMVL H257_02007 MAAHHRNSAILTLDQLMHHDLWVPDQERQRCHACSRNFATFTRR KHHCRTCGEVVCSSCLVKRSAEFPVKGLTDVKICLSCVMLQSTEQGLPIRTPPVGFTR PSNLRYTYTGSEDERLSSRSAFSDQMMTRQPTSDYDDQRRRGMSADRGRARSDHNVAA WDYPWPPPPMPLLEHERLEALRSLDILDTRPEEAFDTLCAAAATAFECPIAGIGFMDA DRHWFKASVGLRQREFPRRVTFCAHALTAPRRYDDALVVLDTATDRRFAYNPLVTGPA AIRFYAGAPIWSSDGHVLGTVFVMDAAPRAYCDPTTLQGIAASVAQVLVAHSPPPTTT TTSSMFPPSRSHPPLKQPTQPQRPEVVTRPRDAAPGVPRAVASPKANVEPAYNHHEPP GTWQHPWPPPPRPSDEPARLRALRECHALHTHDPQTDAVLDGVCIAAAETYHCPMAAI GFLGMHEQWLKATVGLLQDEIPRSVAFCAHLVAGARSPVSMVVLDTVNDPRFALNPLV TGRAGIRFYASAPVWSDDGHVLGSVFVMDTISRQYCDDRALRSMASKVTAHLARRHHR QDRLSPRPQAPTTKSISVPNEYLVRGDTKDKDEATVVLDDVDGDVVRESEMESMLVNL LRRTMQTQHQVSSHVGTTSA H257_02008 MQVALRLLSTDATIELNDEQSRVGRRRSCSNSAGDGGTDAELAD VAECFRAWRVRCGHHKHVLTWVMVATGDSVKLLTELQLPHTISPHTRQWCLRRRTWNV FRHT H257_02009 MLASRLTARWRARLSLAVSSCLMLCVGSSYAISAWNSQLKELLS LDQSQITTVNSSLSFGLYLALLPGIFYDLYGARATTAVAGVLMPLLFLSAYAQSSTTA SSSNGPPSVALLSITFGLIGLLSQFAGIACITANEGNFGAAHRGKVLGFLFSCFSAGG AVFAYIYRTYFDQRVADYFMFMAGLTVVVCALGAVFIHANPTKTTSHPPSSESESLLP TTPPSSSSSASITGLTLLRDSRFWYLFVPTMIGVGSGLLVNANLAFIVQARLGSPALV PTLVSVFSICNVGGRVAVGWVSDASVGILSRGHFLSGGLALMAAAHLSFLWGSLDSLY VSVAAAGIAEGCLFPTYSVLTRELFGAAHFGKNFGYMTFANAIGFPLILGPLASALYH VTATTSPSGVEICEGPTCFNPTFLICAALNAVSLCGSVQLHA H257_02010 MERAVPVERYFMEKSRRKKPGNVKVMENYLRTDVHCALEDCRLC RSNSPPIVCLNPRSTVFIPDTAFLVAYIDLFSDESFQADQTLLLQSVLDLAGDEVGTR EASQIEAWLAREDDHALLKSFGYFPNKHMADTYVPTQVFEPSDDASSNEHRGLDVESM EQRARCLVANAVAWYSTHSPTTKFVVLSDDPCLASMIPPSSRHVDVLSCADFIYAYCP STAHIDLHAVRADCAAAFSSRRASPQGFARHESDPSSCAFQGLLDVSSHHPLEAFVKV TTHGESQSIYIYGRDAMNRAIHGDIVAVQLLPEADWLVPESATSLVHHVAPLDDDQLK KKPAPSSTSSSVAAVPTGRVVGIVSRPDHVFVATILSTSVSPGDDYALAVPMDIRIPK IRLRSQNAIALVDQRLTVVVDTWAIDSTYPSGHYVQILGPVGDLETEIQALLVQHAVH AHPFSEPALACLPDVRDVSVDVLAACDTTKRPHIPLTNDWHVPPDEIDGRLDLRSTHR VFSVDPPGCQDIDDAMSISRLPNGHLQLGVHIADVGYFVSPDSALDLEAQNRATTVYL VDRRYDMLPVLLSGDLCSIHCGRDRLAFSVFWELDPATLEIVPAATTFAKSILHSAAA MTYYQADRLMDGLAADDPAAVNSVGTGTAGQPIAAALQPALREDLLMLREIGRALYRK RAASGAVDLSSGGELKFSKVPDDVLEADGDDGGRGRGGGFTVTTKAALEIHSTIAELM ILANSTVAERLVAAFPQSAMLRRHVPPSGKRFDQLVALASSQGLVLDTSTNMTLQRSL DAVQVDDDTMALLKSMATRAMSEAEYICASEATGHRLRFGHYGLGLEFYTHFTSPIRR YADIVVHRQLQATLLETYPHPPRISTFLKRGPQAALPASRVPSVLSMHADTLALVVNN GDDANSTDWSTSTTSTTSSSTRTSAPGLTLHDKNTVAMLATDRLVPLSQHMNRQNRHA KRVSRACNDLFLALYFKSHVSSVHAAVVTSVKQNGLLVYLPEFDVRAPVYLKDRDGDV HLHTAMVPRAWRPALRPAKYAFAALSDMAMLPSATLALAPDSSRLSIIAPDTHTTIST FVPLQEIQVHVSCDFSSASVRVPQLRLLLVAPHKGRKDSGSSAKTAVHLEHDKGDVAE LTTAVNSMTLESRRQPVTPPPSSLYQRILNSRTLLIAPTIDPPGGGGRGGTTTKPKQV QKPTAAVQRDKKAMGRIVFGGFEPVVDKKFNRLMTAHYQGRSAELEAAMTIERSAISG VIGDTKHFEADARRRSDKLMSERRHDRINKRNKAAAT H257_02011 MGNKASTGEGKQSRRNRQVGVPQPGTPPRQQPSPPGTPPRGSGA GSARGAGSSLAVAPSATSKPLPPPVPLPVVNKTPAAQAPLSKDNITGNIGDVFAVYNV EKKELGHGHYGTVRIGTHKVSGAKVAIKTIPKVKVSRPETLRREIEILRTVDHPNIIK LFEVFEDTRHLHLVTELCTGGELFDRIIARGHYTEADAAKLVRKILDAVKHCHDREIC HRDLKPENFLFATKDETAELKVIDFGLSRTDVGGTGDSFMTTRVGTPYYIAPEVLGRH YDKSCDLWSIGVITYILLCGYPPFYGDSDPEIFSSVRTGKYSYDTPEWVGVSAEAKDL INHLLLLNPSKRLTAAQALQHPWLSGSAPSTERSLNGTILGSLKRFQGHNKLKKVALA VIADQLTGHEINELKKQFQKIDVDGNGVITMQELALAVRDMGQDVLEGEVLTLLQGID IDGDGSLDYKEFLAATVKRNTFNKQEYLAQAFNYFDTKKQGVITKDDLVRFMGSEDHA QEVMDEIDTNGDGAISFDEFCAMMQHKNLGDEGTPRGDKVGVGFGGDAGAAGVAGQTT EL H257_02012 MHLPRRRFNFDGLVRRCNTHDPSLYLPLTVEANAHTPPSVVGLV RRDRVAKLQPFQHVFDWTRIHEAVHLRSAFTSEPQRTAAMQEVAMALKAESWRNEAYG AARPDDNEPWFRIDRSASTFFGISQFGCHLNGYVRHSPQPNEHGHSLSVWLGVRSSGK AICPGKLDTLVGGGLPWDMSPLDNMFKEAEEEAGLSRIEIHRSIRSTGALTYRNDEVH GYKHNTMFTFDVELPSTWQPENVDGEVDSFQLWPVDDVLCHMQSNPEAFKPDVCLVLL DFCVRHGVLSAADFERPGDYSALCSNLHAFHGSSAIVL H257_02012 MQEVAMALKAESWRNEAYGAARPDDNEPWFRIDRSASTFFGISQ FGCHLNGYVRHSPQPNEHGHSLSVWLGVRSSGKAICPGKLDTLVGGGLPWDMSPLDNM FKEAEEEAGLSRIEIHRSIRSTGALTYRNDEVHGYKHNTMFTFDVELPSTWQPENVDG EVDSFQLWPVDDVLCHMQSNPEAFKPDVCLVLLDFCVRHGVLSAADFERPGDYSALCS NLHAFHGSSAIVL H257_02013 MLGSRRPRGGVGRGGNNGNININNGVKKTQQHNALHAYTTMEHE DSPVAFFHANQALTRHLLAVPASLRPETVHKRLVARDFIGEESFLSNETNRRVLATYS LTTDSECELIFLRKADFFADTSYYTRQRVRANIQHMSTTTASDLSQPCPLPTQQMRHG VGTSQQRDREGAKWIAFKKKLVHDVLHKQG H257_02013 MLGSRRPRGGVGRGGNNGNININNGVKKTQQHNALHAYTTMEHE DSPVAFFHANQALTRHLLAVPASLRPETVHKRLVARDFIGEESFLSNETNRRVLATYS LTTDSECELIFLRKADFFADTSYYTRQRVRANIQHMSTTTASDLSQPCPLPTQQMRHG VGTSQQRDREGAKWIAFKKKLVHDVLHKQG H257_02014 MVVAVVDVARGLLLLKEGTCCWFHSSVVVAVAWVEYSPHAPCAA AVKGGLGSSMDHCQESSCHLVAGTRGGVAEVAAGHDDTWAGHSLGVSWCADLRCPPSV VRSGGTRTAGEGTRCCTGLVGGGALDTARRSPHLTGMDGLVGTA H257_02015 MAIPTTLSKIILVGLNILFIAAGALLIYVGASFKGNHWSDVFSA ATATSVNSLGLYTLIFGIVVVAIACLGLFGALCRNKILLTVYAVFVFLALAVFTAFAV LAFISASTASKWNAAEFPAATEESQVASSLNEVYCYAQGARLCTLASPREAFKTFVPA LADTIVGVSTLLKINVDETTGINGFCLQVDKQVAALGVVGATAAKALPSQYKQVCETC ADVDKKYSNYKSIFVFAEEKCPLNPTTATFCGNFLLSKTQTNVYKSAPYEVCRPAILD LWHSMSMKLAIGSTVAAAISLILLFFACAAGKRSDYDRYSY H257_02016 MQFTRPQLLLAVVSLLFLGATAFLMYVAVAIGGTLSRTKWTDLF YVVAASSSGYFLVYALVCTSVAVLASCFRRFLPPTNNWLMTFACMFVVFASVLAAMGY RSAAASDKWSASSFPFNAEETIVADTFHDAYCPTRYRCVASVESAVNVTANATMSMCA TKQPAPSIHIAVFQWVDTYCNMAADTDAYCKLLGRRNASNEYSAPYQRCRLTLFVEWS RYATNVAYGSTALALSALVYILVVSRSQRPAVTRPPRSRKDNTAYVATVV H257_02017 MQTLAKAFLILLNLGFIVGGSLLIYLGVVLRGGHWSDVFSANNI TSDGNSASMILIILGGVIVLIAFFGFAGAICANRCLLTLYSVFVVIAMLIFIGVAILG FVAGGGAKNWASKAYPAVAQESEIATGFNGVYCQAQGARFCTSATAKEAFAALVPTAS ATITAVASEVGIGVTEPTGVVGFCKNVDAKAASLGPLEAAFTAKLPKEYKSACGVCDD VNIKFGDYKSIFEWTNDQCPMTPPVAMWCGAYLLTNASDQGVYEGTPYKQCRPVVLEL WRSYATKVGIGGVILAVIALVLVVLACRIGRQNNDDYYVA H257_02018 MQTFAKVVLILVNIGFIVAGSLLIWLGSKSRDGHWSDIFSTGTA TDVSTVSAVVMALGASVILIALFGLTGAACRNRCLLTLYSIFVVVGLIIFVAIAVLGF MSTSQANTWTAKAFPADPAETDVAKGFNQVYCYAEGGRFCVTSSVKDAVNTFFPSVGQ SGIDAFVLAGVDVTANTGLIGLCDQVNAKLTSSPALSSALPKEYKDACATCQDVKTKY GEYSSLFDWVESQCPLTQETAQWCGKFLLTKNQTSLYDGAPYKQCRAPVLGLWKDYGT KVGIGGVVLAVVSMVVLLLVNLGFIVAGLLLIGLGAKTTNGHWNDIFSTGTVTDVSTV SAVLMAFGACVILIALLGFAGAAFRNKCLLTLYSIFVVVGLVVFVVVAILGFMSTSQA NSWASKSFPADPVESEVAKGFNQVYYYAQGGHFCLTSPAKDAISTFFPSVAGVAAFAA AGVDVNAKSGLLGVCDSVNAKLSSLPPAASNALPKEFKDACATCQSVKAKFGEYSSVF DWVGVQCPLTKEIAQWCGNFLVTKNPPSVYDNAPYKQCRTPVLDLWNEYGTKVGIGAV IMTIISIVLIAFSCKAARTKGHRGYF H257_02019 MIQIERAALKLTCCADTAAWTALLNDREDFSHAMGKALLKFIPF VPVLDNLTDAACAFTARYPVVAIDLWASLLVFEHAHTNTSELRNWVLHVLNLTGVAFM PLEPSQVQTLLRACATATTTTRRRHIANRIHAFQATLTEAFQCEPAVADSKSSDVLTY LDNLAMNVGSHATKGLEWAVDFTKRRWATEWKSDPQVVHRIKLLASMHPELFQAMLEH WVGLDIISLRECDLVFRHSIEFEAILGSVTGHPVVLHRLTTSTVYWHTQCANGDACYI QATHPTTTPLIGPFANVWSSPVLLELDIVDTLLVELDVALKHCPLYAFAAVLLSLWNL LAHLNQHHERYASQLDVATRLFFVSTLAPVACKVESEKLFVGVALVVESRWARWPMWT PRLTKRAVDFVSNVLPDCPTNEAKWAAAMILKHFIIDSRTLQHVRRSLLPPEVLVILR HRTTTPAPPLPSMHIADGDTSDDDSFPEE H257_02020 MAKKQKRGPAAAKTTTTTMPAKKKFDKGWQRVDLSEQQMDGFDD GNAFELEELTDYTLEDDGFGGRVLIAGANNPSASKKEIKAPADKTSAVKAEPTAKATD KAATVAKNESSAKPDNKKAKKTTTSKSTEPTADNDTAVSKVSVSILSPSAAASSIAES GKKKKKRKRSKKATADDQATDATASDDEDDVIDHSAAIAAAAEEAIAVLPAWAKFKLH PTLNASLTRMAFTAPTLIQALTLTPAMIEQRDVVGAAPTGSGKTLAFGLPVLQYLLKS SSNEPRGKCRALILTPTRELAIQIVSHLQALIPDKRRIGIVSLVGGMAIQKQQRQLSY GPEIVVATPGRLWEVMESGETHHFDTLQDDLRFLIVDEADRMLQQGSFPQLETIFERV NKGKVLLAPKQENEDDDVASGQQLPVEASVIMLDDVLKQHANNNSDQAAASTDDDATP TSPPPKKFLRQTFLFSATMTLKNAGRYQTKKTKNPTALTMLESIMKRIGLRGKPAVVD LSAKESNAATKSSTTDAPAKVPRGGAEVTLPDGLELAQVECLDEHRNNVLYYFLTQYP GRTIVFLNAISGVRKLAGVLHLLKMPVFALHAEMQQKQRLKKLDQFRAHEKGILIATD VAARGLDIPSVDYVVHYHIPRSAETFVHRSGRTARASKHGLSISFVAPIDAKYHAQIC NVLHRPSGFSMFPVDHKFLPLIKERVKLAESIFATDNNDNKQKSEATWFHQMAEAADL PFDDDMLEIAPSKDKGKVNNQRMELHHLLLEPLRPIGSKRKFYRLHEDMNSNKISHDG EYSSRDATADLMQKSKKHSLKRFRKR H257_02020 MAKKQKRGPAAAKTTTTTMPAKKKFDKGWQRVDLSEQQMDGFDD GNAFELEELTDYTLEDDGFGGRVLIAGANNPSASKKEIKAPADKTSAVKAEPTAKATD KAATVAKNESSAKPDNKKAKKTTTSKSTEPTADNDTAVSKVSVSILSPSAAASSIAES GKKKKKRKRSKKATADDQATDATASDDEDDVIDHSAAIAAAAEEAIAVLPAWAKFKLH PTLNASLTRMAFTAPTLIQALTLTPAMIEQRDVVGAAPTGSGKTLAFGLPVLQYLLKS SSNEPRGKCRALILTPTRELAIQIVSHLQALIPDKRRIGIVSLVGGMAIQKQQRQLSY GPEIVVATPGRLWEVMESGETHHFDTLQDDLRFLIVDEADRMLQQGSFPQLETIFERV NKGKVLLAPKQENEDDDVASGQQLPVEASVIMLDDVLKQHANNNSDQAAASTDDDATP TSPPPKKFLRQTFLFSATMTLKNAGRYQTKKTKNPTALTMLESIMKRIGLRGKPAVVD LSAKESNAATKSSTTDAPAKVPRGGAEVTLPDGLELAQVECLDEHRNNVLYYFLTQYP GRTIVFLNAISGVRKLAGVLHLLKMPVFALHAEMQQKQRLKKLDQFRAHEKGILIATD VAARGLDIPSVDYVVHYHIPRSAETFVHRSGRTARASKHGLSISFVAPIDAKYHAQIC NVLHRPSGFSMFPVDHKYVNISYPPALCPPMDDI H257_02020 MAKKQKRGPAAAKTTTTTMPAKKKFDKGWQRVDLSEQQMDGFDD GNAFELEELTDYTLEDDGFGGRVLIAGANNPSASKKEIKAPADKTSAVKAEPTAKATD KAATVAKNESSAKPDNKKAKKTTTSKSTEPTADNDTAVSKVSVSILSPSAAASSIAES GKKKKKRKRSKKATADDQATDATASDDEDDVIDHSAAIAAAAEEAIAVLPAWAKFKLH PTLNASLTRMAFTAPTLIQALTLTPAMIEQRDVVGAAPTGSGKTLAFGLPVLQYLLKS SSNEPRGKCRALILTPTRELAIQIVSHLQALIPDKRRIGIVSLVGGMAIQKQQRQLSY GPEIVVATPGRLWEVMESGETHHFDTLQDDLRFLIVDEADRMLQQGSFPQLETIFERV NKGKVLLAPKQENEDDDVASGQQLPVEASVIMLDDVLKQHANNNSDQAAASTDDDATP TSPPPKKFLRQTFLFSATMTLKNAGRYQTKKTKNPTALTMLESIMKRIGLRGKPAVVD LSAKESNAATKSSTTDAPAKVPRGGAEVTLPDGLELAQVECLDEHRNNVLYYFLTQYP GRTIVFLNAISGVRKLAGVLHLLKMPVFALHAEMQQKQRLKKLDQFRAHEKGILIATD VAARGLDIPSVDYVVHYHIPRSAETFVHRSGRTARASKHGLSISFVAPIDAKYHAQIC NVLHRPSGFSMFPVDHKYVNISYPPALCPPMDDI H257_02021 MSARSSTADEDSRLPILEKYTEESKASATRQSTWTKSLIVGGIV LLVAGIAYAFGSSTNAPDTSLYARDFTGDLRQNCTEAYITQPLNQFGATGATYDERYF VCAAEWAKGGPIFFYTGNEANVELYLNHTGIMWENAAEFGAMLVFAEHRYFGLSIPDD ALKRMEYLSSEQALADYAVLLGELKDAYNASSSAVVAFGGSYGGMLATWFRQKYPHVV DGTIAGSAPVLAFEGQSPAADTGSFSRIVTFDATPAAGAAANCVPNIKSVWGKIAAAA RTAAGRDTLARAFGFCESFATESAALGLVDWIQGAFAFMAMGNYPYPSSYLMNGVSVM PAYPVRVACAHLADLHDDDDVALFHAVKLSVGVFYNTTFDKRCYTFGQPSNESQHDND FWDYLSCSEMYMPMDQGGGANDFYMANVHNISASNASCLAEWNVPLRPTWAHTVYGGR AGLEATSNIVFTNGNYDPWSGTGVLTSVSESVVALHVDGGAHHLDFMFSHPLDTQSVK DVRNEQKKHMAKWIDQAATKRRQRAAVV H257_02022 MASPSPSTDKTIHFIRHGESTFNQWRLRSILTFSWIFVKDPMII DARLSAQGKLQVAALKKVIQERKLHEIVDVIITSPLTRAIETTLGGFDGCHIPIQVSP LCREMLDTACDIGRQPQELAHEFGSAGIDTTSLADYWWLSHPTAATMTIPQSAEEVAP LRESVADLDARILAFLHLLRDLPQTNIAVVGHSSFIKRLTKATRKLANCEIHTTTLHQ CLK H257_02022 MASPSPSTDKTIHFIRHGESTFNQWRLRSILTFSWIFVKDPMII DARLSAQGKLQVAALKKVIQERKLHEIVDVIITSPLTRAIETTLGGFDGCHIPIQGTH LPCVEVRILLRVINCAVSPLCREMLDTACDIGRQPQELAHEFGSAGIDTTSLADYWWL SHPTAATMTIPQSAEEVAPLRESVADLDARILAFLHLLRDLPQTNIAVVGHSSFIKRL TKATRKLANCEIHTTTLHQCLK H257_02023 MAESTVYSARPRSIPLALRETCSATTRVQVLALPFQWQRLYFNH RRYTSSFVLICFVHQPRYHKPRVFDPLAVHLMLAEYSTAKQRSITTLPPPATESTASP TETTAPLLFFFHLLHDHIMNTHVTDPNRQVHRAPMSEEEKERRRLLRCQKESGKKRKL EHKQSVKDRLAAEIEAGVVDKWPKKCFNCNARTHEARECPVVCRHCKVQVHFNGDCPM KGQRGPAREPKITKAL H257_02024 MAASTSYAAWDKYDVDEVLQQLDEADAREAKLKEKQQLMKKKDG IVDEAGDSAEVAATRAAVAALKAKRLVERNKKAGGGSSNAGTDSGNNTVAVLEKQARL LQRKSASIQTAMRSRGRGSAFLAKNSATDALASFHEALRAITDLDDVIPQLNDVQTEI DESVKLPSSSAHDHGPHGGCATDGNAPCSHSHPSRETNGPPSLPKSSDLKGIAKMLRV DCLLGIGKCHMERCHYAAASESFRDAILIDGDNLDAWKLRADAFAHMGAPLIALLHLN KVVAMEGEGGDGQAQLETLQAEVIDPTYNEEDGYTAAMTYLVRAPPHESLARMLLLRH EADVIMIEGFYTYSTHKYRAILDTLSTLLQATPHLHHPALDQLRLACHVNIASGYLDM HKNIRSAVNHCLAALAIDPTAAAVRYRLGHAYRVLGKFDLATSQLTTALSTGNNQSYC CCDHGRAGPSRV H257_02025 MAQQSLEGTAPAAAQAAVLVKSERLDNGDYTQVKGYDFNNGVNY DDLFNSYRFMGFQSTNFGKAVEEINRMRAWRLSDEPIDENDDDELQDPAVRANTTCKI FLGYTSNLISSGLRETLRFLAQHKMVDVIVATAGGVEEDFIKCLAPTYVGDFALKGAD LRRRGINRIGNLLVPNDNYCKFEDWLNPILDAMLDEQLTLGTVWSPSTMIHRLGKEIN HEDSVYYWCYKNNIPVFCPALTDGSIGDMIYFHSYRKEGLVLDIVSDIRRLNDHAIRA KKTGVIVLGGGVVKHHILNANLMRNGADFAVFVNTGQEYDGSDAGARPDEAVSWGKLR LDATPAKVYADATLVFPLLVAQTFAKGFAPRSSPPST H257_02026 MGEFDTSVGSTIELLLYVALAIVITLVMVVLYFVYQYWFFKKYR HATTHPDYEELRDLDGNVVQQANTICSVGAGIRMDEAATRPKLQLLRTASLPTREELP THRAASLYPRSESVPPSKSSANLILQATSTAMASSRTEPLVHTSFVPGTAPVDNTDQD IIRVQSNRADQTDPQSI H257_02026 MGEFDTSVGSTIELLLYVALAIVITLVMVVLYFVYQYWFFKKYR HATTHPDYEELRDLDGNVVQQANTICSVGAGGASCPPPINLHDLFCLGIRMDEAATRP KLQLLRTASLPTREELPTHRAASLYPRSESVPPSKSSANLILQATSTAMASSRTEPLV HTSFVPGTAPVDNTDQDIIRVQSNRADQTDPQSI H257_02027 MALKERHYLTSPSLIAKYPTPWTTIYATRDRSSFITSVSLDPET FVLLLVSFAKHYQLREWGVLISRVEPLVRAVGGFLDGKNYPVKAPTAVDLQNTYYNGW LHSTFVTGMLLFGADGTIV H257_02028 MWVQEMMIGNHDAFVDSFRMSRETFLMLDDELVDKAGLQATRRI SSVEQLAMFMYFAGHQATSANLQQRFQLSGETITRHLWRVLYAVKQLTPTYMALPLAN SPTPAFMENNLKFTPYFSKYRMVIDACNFDLHFTYVLAGWEGSAGDGEVYADALEKGL TMDDDKYDIADAGFGLTLRCLTPYRCIRYHLKEYSLGRLKPQRKDKIFNLRHAQVRNC IERIFGIVKMRFPVMSHGVRYDYGFQVDLVIALCTLNNYIRISGVDCGVFEAQAAALI RQQRDMPLNQNERPQFANEPASEEAKRATFAAVSFGVPRWKRFDVLFLRQLGLTALRQ LVLEGAQSTQSRIGPLPLSP H257_02029 MEIFTSSTAGPPQASEDTTDAVKVDASVDVVPEQHVLALHDVSH SEPCDGDAKVDKEQPNTKVDDDARATETITTEDKPSTPNEEKPAKVEIVESDKEPEAK RAKTGDDVKPTKPITTENAAAVPTSPTKSNARPSKVDGVESDGQPAPPSLTEDPTSSA PTSSNGPDIKLTSPDSNKNSISWILTSEPAPSASEEIDAAAVAEYEALKERFRVERTP FLQSLSVHDRLDIKCYKYRQWFGGKVLKISDKKVKIHFHGFKKPVDYDRDDFMHLAPE GTYAAPFDSMSGAKWKQAPSAIDADLDGLSYIPDQTKPKPKKAPAPKRPRPTETKATG EVIVKSVSRSRATPLPQTDLDGNLIVKKEPSPLPPPPRPVGSSKRPKPDDPIDPFLAK GICRDDMPAWLASTSTVKPTGDFKADLEREQEVCSVCLEVEDADGSEYVLCDGGCLGA FHQACVGIAPDTKLDDEWRCDSCVRLEHECPICRKLGLVGESLVKCALEDCGKYYHPE CLIGHPLTQVGLKHKTLVCPRHTCDNCYAKKGSEGLMKCTYCSMAYHPRCIPPSCRFN DLAMVCGRDKAMKLPSIPSFYGPGAVVFDGKFKFPDIFLPKVRPAATSVDKEEQQVDV HQPFQFRLPATYLDESNAPPPRFQKLRRNQYLFKPLKLDMNDLPMCQCTDVCDDDCTN RASFVECIGNAGNGDKKERHFNCRVGPDCGNRALQVAAIPKTKVFKTTNGRGFGLRVL EPVKAGALVIEYVGEVLTAWMKQDRMIAHAETSPNNPNYYIMELDKNVYLDGRVKGSD SRFINHSCDPNCHLLKWEVGEFKRIAITALRDIEADEELSYDYQMETTSTDSFKCHCG AVKCRGTMAPDNLNKEAHAKLKLEEDNAKKTKKPPHKKRKRSSTKAVAALAVEPPNRN GGGGNTSRRHVLADDVSIKAELIADVKSEEGAATTDETRSPLTSTSSSTSSPPDTGSP GPAAAAASSNSIRDDNVVGFVDATNIEGGMDTSEEDASPPRPTGDVDMMDTDARKEDV NQIASVLIAATGAPQEARTATSDAVSITTATTTTQATWVKRQDGGDAAAVHVETLPAS PLCL H257_02030 MESPTSYVSNLLVEGSTLLTVLTVTFYVIVGLAVLVVLVGISFC WLNKRADAAFDEHNTYRELEDGITSPSSIPTAPGNGSKVSSHRHPSSLTSITSATDKR LQVNPIKKTPSKPLPARVKAAASPQPPTTTTQL H257_02031 MASAHGYHPRSNCVRKWVVLALRVMCCLGCGAWARSRFSFDDIP ELHSKVAIVTGASSGIGLVTARELARKGCHVILACRSREKTLGVIHDMVKSLLPTATQ VEFMQVDLMDLSSVHAFVEAFRQRHLPLHMLINNAGVMAPPFSLSVDGIESQFATNHV GHHALTIGLLPVLEASAPSRVVMVSSRAHVRAPGIDFANMNNPHSYFSWNWYSQSKLA NILFARELSRQLEVRNVMNVYINVVHPGVVRTSTTTSHQHWLTTLVMSMFELAIDDGA KTQLYVATSDDIVTHNWHGEYFVPIARLGTCDTLGLNAVLGRRLWAFTEALIRESTTS DVHDDCDCLPTATLLPPPCA H257_02032 MAFSFDDIPTLDGKVAIVTGCNTGIGLVTARELAKKGCHVIMAN RSREKTTAAIAAVDVADKSKLEFLELNLLSLRSVYAFVDAFKARNLPLHLLINNAGIM NPPFQLSEDGIESQFATNHVAHHALTVALLPILEASAPSRIVVVSSSAHNMAPRPTGL DLANLNDPSKYASWKWYGQSKIANIFFARELSRQLAARNVKNVYVNAVHPGVVKTELV RHTFWLLKWLLSWFQIDADDGAKTQLYVATSNDVVDNDWHGQYFVPIATLSETNKAAL NPDVAKDLWEYTETLIQEKVAGHSS H257_02033 MSSPPTLHAFSAAELAAVRAEFPSLSIPNQTIFMDNAGGSQVLK RVADRVYEYMTTTSVQLGASYGTSVTAQSRVVQARESIATLINATRSEEVVMGGSTTG LMFLIIQAILPTIEPGDEIIVTDTDHEANVGAWRRLAKAGAVIKTWHVNPLSLLLDLA DLTALLATCSRLKWVAMTHASNVLGTVNPVAAVAKLVHAAGARLSVDAVAYAPHRLVD VQASGADLYVFSFYKVFGPHYAVLWGRYDLLVSLPSLNHHFIAADNLPYKLQPGHVNF ELSYGCQGLVDYLVHMGGSVFGCDGSPRQLMQHAFRRFEQHENVLTELVLAFLRAKRP LVRIIGLPTLQSEANGTVYHRVATVSFVVQGKQSPDIVRRMDAFNVGIRHGDFYAVQL IDVLGLRAYGGVVRVSLAHYNSVDEVQTMLGHLDTTLA H257_02034 MRYLRAKHIRKSLRQFQFLCGIKPPYKVLLDGNFIAMCIKMHVD IAVRLPSFLQTDKCTYFVTRAVLDELKLLSDDADMRAAWEAASAFEVVEGGSDDDDST DVTSSMVAAVGARNDKKFIVATQETELRGRLRRVPGVPLIYLNRSVLVFEDMSEATLR ISRKDDHAKETKLNDSEKRKLEAVASSAPKEAPEKKARLVKRAKGPNPLSMKKATKPK KVRAPKRKQPTT H257_02035 MGCASSNLKEQTQEFDTIVGDLTTSTDRKVSIAMREKRDAQRRG DVFAESIQFDDDYQPNTVPKPEDTMQRIKEALGRNALMSIVSELDIDVMAGFMVSKKF DRGDVVINEGDTGDFFYVVESGTYDVSIAGGVVGTVKVGGSFGELALLYNCPRAATVT TTDSGVLWALDRVTFRWIVARNAEDQLDECKKFLRNVPLLQELTDNQMSQLANVVQML HFKQGERIISKGEKGNVLYIIKSGTVVCSDAGDGVKVVENVLISDGEYFGERALMTHE PRAANVTAETDVVAFALDRQAFDEILGSLREVIDRNMSMRVIQSVPILKSLLPSSLAT LFAALTAKTFNDGERVITEGAPGTTFYIIKTGHAIVSKASGSTVVEIAKLSVGDYFGE MALLNDEPRKANVVASGTLECFVLERAKFVELLGPLQDILNREAEDRKIELNAREEVQ FDDLQVLRTLGTGTFGRVKLVKHKVSGRAYAMKVMQKSQVVAYKQQVNILNEKNLLAM CNHPFILKLFQAFKDQQCLYLLMEFVQGGELFAYLHNSERPSGRLPNDHARFYASHVI LALEYLHDRNIIYRDLKPENLLIDQEGYIKVVDFGFAKVCADRTYTLCGTPEYLAPEL VLGKGHNKGVDYWAYGVLIYEMTVGCSPFVGNNPSDQIQICRNIVKEPLRFPSWIPGS CKDIVTKLLDRDVSKRMGCNHGGTHAIRTHPWFHGIEWDKIIKKREPAPWLPTLSNPF DASKFAAIEEWDNLTPYQDDGTQWDATF H257_02036 MAPLTMASLSPHTFGATRQSTGSVVPSHHILPRQLWVSKKNRSG CVWCGKSFGLFRHRHHCRLCGDLFCKDCVVYCTTRETSVKVCRLCIPTIDPACQPNNP RALPRRRTTMENVKLLDTIRCVEYSNTPKERGTSSVRTTVTSSSTASLPNSPSASELK AAILPSSSMVATLAHLLQETADTQATLAVQTSSATHMISAHGAQIERLNQAIARIEAK FWEDCGGNAGSLSDDKSL H257_02037 MPGAPSIHFVKDESFGVGGEHHHATNDDDRALIHNNLVPRSDIS SYKCIPGVGLFTGRQDGKLLMWSYDHQDCLLAQGQLFGGHAGPVLCLELMQRHDNSLL LFSGSADRTIKIWDPTHPSVDEPCIQTLNAHGGSVTVVKIAGTTLISCSVDRTIKLWQ PDKGRALLLHPWYICTQTLSSGGSWPTSVCLRSADLASTYVADSGGGVSVYTTANSRE RVDPTNEDQLKLKRQHGHFHSLGVTQILPIPDQNLVVTISFDTKAQVFDANTGAVVMT MENTHHCRYTGCDWDKANHELFIADEKGHLAIWSIDTEKCMRSEPLETVSILGVTVFP GGHHVLVQRANGATLYKVHRDESYVECRGHSDAIIGVVVATTLDSGESTLFSASIDNT LRCWDTYDLRVLYGFEEKEGELSCMAYSAPHNRLLTGNENGNIKQWNVDNGHFFTCRV HRNTVSCIVTASVDGHELFISADFDGVIWIWELMAGQAPMPHVKIQSKEPNHDNEIYC LAFNAGTYLATTSHGAAFFAAGDSNGDILLYSLDDQELLTTLSNHDNSVTCVAFDGCF LFSGSDDCSIKIWNVLDPAQAYEVGFIQAHALAVRDIVVLPSSGYIASCAYDGKIRVW NYQVCGSYGQYAALVHEFKKDEKLRCLTYWTHKHAIVCGTSDNNVLVFNVPMTLITPG CTHESLSPA H257_02038 MSTQPKQIVVIGGGYVGIQFAQELAKFLPASAASITVIEKNEFT YHCVGVPRALVDPTFVKKLFIPVANALPASHSKVIRGIADAIEGNEVRVRKIGDNNVV DETTSNVPFDYLILATGSSYVSPIKVPSGSYTRENVENAIVDTANHIKAASSVLIIGG GPVGVEIAGEIAVAFPDKTVTILDAHDKLIGNSALTDKFRNNLTARLNALKVKLVLGE RLPTREIAHGFEKKTVQTDKGTSIESDVQLVAAGSTPNASLIQKLDPTLLTEHGAVKV TAGLQLDDPRFSTIFAIGDVNNHATPKLAYTGALQAKHLAKQLATVIKAGSGAVAPYV TGAVEGMIVPLGPTGGVGQLPLFGGVVVGNFVVRLAKAKDYFAAQFWGLWHAVLPTA H257_02039 MQRRWCLTEMLLLAICVLLLHAGSIVALHQTPRRHLGASDGANV STTLHSLGRVIFPVDDEPSRASSNSTVVDSSSPNSTLTFPQSPSPTVAPPTTTPIPTL NVNETHGVPGNPTDVLAVASDAQAQVSWKAPDDDGEDPIVEYEVVCLVVDTNTPLPRA IHVASQQGSSSAPTNVLVPDLQNGVTYAFKVRAKNIHGYSTWSAKSQPVSPLHPPDMC TSLSCSGNGACFPQYNPIQQPSQANMTSSAPGSKDSGMCVCKPGYLAPDCSRADDLIV GTWEVTPWTACSNGCGGGTRTRKATCVHIKTGALLDASACASSHMPALSYICNGFACG SKLIEVSYEIEMFFDDVVFSADSERAFVVAFTTEVASALQLPPSRLDVVSVRRGSIHV ELYLLPPTQAGEKSLNEVVADLQSQVNDKASVLRTQGTFCRRVYPSGVKMSFFIAEQH GAAEAEDISIGGLVGTLAVSVGFVAAFAYCLRKRHERLTKFKADKHMGGSDHSKMKPM GIRTMS H257_02040 MSTVQVVEEGNRRKGGMSSEETDQCILDILSWFQRKKASLPKAS MDPQEVEALEKALDAPVPKALAFLLEKQNGGIWFNEFKEIISTAETSQSWDGWARGHV PLAADVDGALLVIDTKHGNAVHELSSEGLGRQLGPSLTAFFETYRNELLSGNYDFVQD VGLVERSQKPRK H257_02040 MSTVQVVEEGNRRKGGMSSEETDQCILDILSWFQRKKASLPKAS MDPQEVEALEKALDAPVPKALAFLLEKQNGGIWFNEFKSLSCDEIISTAETSQSWDGW ARGHVPLAADVDGALLVIDTKHGNAVHELSSEGLGRQLGPSLTAFFETYRNELLSGNY DFVQDVGLVERSQKPRK H257_02041 MPATPAFEYVEPGHPASHSHRAIRRAILITVMTILGYPILLVLS ILKHDFIVSIFSPAAHESIKKHPNYKDASLWGRVWSAPVGQLYLQGGLEYQLQEGYCM PTTLRNVLKSIKSVDAKDIPEAKRGPSVPEKYAAKIDAIGHTVSTVVFGSDGYIAFVE ALKLANDPNYRVALNFLRSPVFGINRPSFAPHNLLMALAGGHFSNIVGYLEDEDLVAV FDVNHTYGPYLVESRRLFDAVNAHDFQSGKTRGLIVSQLH H257_02042 MRNVLKSIPSVPLELIPEAKAGPLTAQQFKTKLDAIRHTTSTLV YGGAGYDLFLSAIKRSNDPHYRVAMNFLHPALFGMDGPSFLPHVMLLAILGGHFSNII AYLETEDLVVVFDVNQDFGPYLVPSKRVYDAVRAIDVQSGVARALIVSELVHTPRQV H257_02043 MAFEWSNEEEKYVQPEIPGRDALIVLIDVRQSIFDASDDPSKTW FQTCIDMLVRYLKSKVIANDNSLLGVCFFGTKQVKNINSLEHVYEFQEIGYPSARRIK QLTDLVSPKFDFEGTFGSMAATDQVSLSNALWHCSLAFANAGLKKQDTQTIWILTNGE DPSAGNADERTRIHEQFKNHLELHRTLNLFYMPPPSSTSFDLSTFYATMFTDAASPVP DDEYKKQAAFAIHTYEDMMEESLRKRYRKRRLATLRLSITKSVKLSVELYALRVRQTR PTPVNLDAETNLPLQSGTKWLCNHTGSFLSPQEIHTYLEYGGGHRVYLTKDDMVQIKR FDAAGIQLLAFEPTSVIRLHENVRAPYFLFPTDEDIAGSSAAFVALHHAMSTKDKVAV CRFSMRDNSPPRVAALLPQDEVSDEQGQVQPMGFQVLFLPFLDDIRPLRATQQDSTAT QVEAACSVIRALTLSSLPSFQNPELQKHYASIQALALDEDVLAFDDKDDTTLPDTAGF ARRKVLMSLEAFKEACGGDELHKDSAKRKTASAAPKREKKAKASDDMSADWSVDTYKA LAASGTLGKKTVAELKGFLTSHGLSTAGKKADLVATAMAFLQTP H257_02044 MHSMLALKSTPTLWILLTASTLHLIWTEHNKVQYEDKTPLPSTA WNELSFLGWTMSVRRWLRLQDPDCPLRSSVLHVLHTLRAPANYRPLWAKYPYSLHLAP TSAADQRA H257_02045 MWVVRAAVAHRRSLSSKSSSIMPTIPSLVQTAAHPSAPSTFSIA QLNILASNLAKPDRFPYVHPPLLDWAIRKEILVRQLTSLQADVLCLEELSDYWTFFRP TFLALGYDSVYVKRPSGHVSEWSGEKKMDGCGLFFKQSKFRLKEVESVNFSDEHDRVA LLVLLQEIATNQLVLVGATHLWWNSSKIDHQMKQLVELHREMADLTEFIHAKYTEDLP AGRTVPVVVCGDFNNSPSSQLYKYMENSFLRPDWTMKSAYADYRIADGTADTGSNEPL HTTVNFRRCWAIDYIWYSSEHVRPLSLLEIPSEAELRSEDGPAGWQDKSLGNLPADTT TTNQNGIPNSVHGSDHVPLLATFAFTS H257_02046 MASFHTLPSSSWADDDDFEVPAPASTPAVAPIREAAIPREEAPR QASPSRGQRHHDDHHDTGYGAGRGRRNSGDNFEKREKLPVPDYGPFKSYVGNLPYSVQ EDDLADFFAGCAIKEIRIPIDYNTNRPKGFAYVEFEDRNSLVHALEFDGQTVNQRAIR VDVAGERKGGRQDNGFFQKRDGGGGDRYAREDRGGDRYNNRDQRDDRGSQRSDGNWGA DRRPRDDHHHHHDRRAPREDTEIDAHTTSTGPAERPKLSLKPRTKSKEDVAQATRSAN VFGDAKPRDERNVKPVADRPAARHDSSDRPQRDGPGGRGGRGGRGDRVEGAGGRGDKG GRGGGGGDVSKPTRSDGDWGRGGAASAAAAAVVEKKVKKPKADKDLTKTAVFKPAAVA TKVVNAYSVFNNDSDSD H257_02047 MVRSVKRKAARMSSATGSNRASAALIDETDHSDGEARNSSTLSE HPTPGKDKPQTMIEYLEAQRNLALHNLQTQRDKALAQFREEAAQAKKKIFDSLAEGKE EAPSADSSSLSHEPPLPSAVKDETQLQHQTTSPAAASSSKPQRTYGEKKTIHIACTSG VYSGQHFTLVLSTDVNRVCHIGRSSGKKYKAPLGLSLPKDPEISTTHAEMRWSSENDH IYLADQNSTNGTKLNGRNLKARVPVRLDFAKPIHVGFGGCEFTMTM H257_02048 MSNERQKGVVVSKAIAYGSVATYLGRKSEETKTHRWHIYVRGIE NEDLSYMISKVVISLHSSFANPVRVLTEPPYEVSEYGWGEFETRIQIHFQDPDEKPVD KIHMLVLYPPGNQIASTKKPVVSEFYDELVFNEPTEFMYKKLMTGPEKQAPPNPLQEH WPVYSEAHDLKLLADADAFLTRELATVKALFVEADVEAKELKEKLQQVQSQLVLKKAS SSVKPAGPGYP H257_02049 MAACAIPLVALGVCGAIGESCILFTVGKFGVLTTSMVAMLQKAL IIAISVTVFQHTLSGMQVSIALVVALAKGRSVAHNALGECHLLLQDDEDVNSAVEVAS KQVDDS H257_02050 MTDKFTFESSTKLKADGSTAYRIAPGVYASDRNEADDLVALSYI QLSIHGDHLKYTHASSKTYQTWEALRAIYEAHPK H257_02051 MERPKVLAKENTKKKSTTTASTKLKAPPGITATRRKQPPIAKTK LTVKAAKIKEARQKQRAKKSQKWSAEEEPHVEDFLMEFVPTQPPIIPEGRAMSREEMK LLLATCTAARSNFNTDETYFRRSVRGTLPRYSE H257_02052 MVKVLISLSVLAAAATAGSVTELPESVTKLIDYSINPCDDFYQY ACGAWHNDAVIPPDEHQVDTSYAKIYSENEAVLKTILSDYKPKLGEFYNSCLDTATLS SLGLTPLEDSFKAIRSANTTLDLLIVAGKLAKNDIPAFVDINSSLDDNDVTKHALFGY RAPLSLDREYYTNPSNWKAVEADYKVYIASVLQLAGYTAEKAAAAVPVIIRFEQSLAG VSLSELEEMEPVESPYTALTFYQLDQKYPLLIGSWLKANGFNVRDQCGGSNDWVGFYY LTYFDKAEVLLKNTTLDNLRTIVEYKLIHASSNHLTPEFRTANWNFFGKKIDRKREEP SREKFCLTQTSSKSTLGYLLGQYFLDAMWSADTAKTANDLVKALRSSFSTGIATADWL DNSTRANAQTKLSKLVHLLGGPEKPQLYPTLTLDSKSYLNNRWKVSQVNIDTNLKLNG QPVDRRRFNMAPHEVNAYYNRYVNQIAFPVGVLQKPFFDGQFDAAQNFGAIGMVIGHE ITHGFDHLGRNNDGDGNVNPWWSNTTSTAFKTKAQCIIDQYSNIVVKSEVNGTVLGNI SGKISLGETIADNGGLKTSFRAYHEYLKEFPSQYTEEAGDKLFYLSYAQSACSKNTDA YLLRSLKQTHPRGRYRVTGALQNNAEFARVFQCPTDSYLNPSKKCLLWE H257_02053 MLLESLVGASVIGETSLKLSTSVVCAVFAWGVKRVMHKRQGIPV MVLGVCLGKRYTVEKYMPVFVLSAGVSLFFAGTASQTSSEASLPPQAPPSELKAQANT RNIGTLEDKYMHEIDVGVFEFMFRLNVYKSIWAFM H257_02054 MGCHDGQLMTMPPDLERATSAIVLCTGKHDRSVPLAGDSCCRQA ETSTMSECSLQLSPPSKPASQLSLMLFVFTGIMASFTINGMALESLTNVHAIGEQSLT MITAFLYAVVAIGLKKVSQEPKSTLPAKHYIFLSVLSYTSTLASVLALRYVSFITRIL GKSCKSIPVMLLGVVLGKRYAVKKYVSVVFLSLGVAVFLVGSAHHSPAATLHSASTAQ DIQPPRPVDADPTDLAIGMALLVVSLLCDGATGALEDKYMHDYAVGTFDLMFQLSGYK ALWAAVGVVASGELPDVMSTVTVSIMPLLALSLSGAIGQAFLFFSINKFGALTTAIVG TVRKVLSVALSVVLFHHVLSGIQLVGLAVVFGAIGLNWVRFDVGAWAKYVSCRRAGRP EGHDAAGQDEVEMVLLMPPSNTESRPCETTLECDDGVNMNGGVGNVKAMQALVRWDQA SATATRSCSSTTAV H257_02055 MAFFFVGTSDHKPSSPVHVQISTSDKQTFDMAFMGDRWLAMEPL KTTTPFCCRGNRRIQRDPSISSSVFGTLVALSAIEARLSVPLFTINKSGFLTSGMIES VQKVVSIDVSVAVFYHEFGGMQVAGLVAAIAPISTRSESSSFTTSPSVSY H257_02056 MTDTSAPTTAPAANVKKDVAAQVPAIVVEKDSTTPSEYRISEKW DKCVESFVVNFSAGLVAGGVTSLVLARTSAGRAALTGFGAGAGVGATWTTCSLAFEEE LPKK H257_02057 MFARSIKSSVPRAVRNFAHRVDVVLKEDVPKLGFRGDVVAVKAG YARNFLYPEKKAVYATKLNLAAYKVEKTSTLEEGIDAEQERIREQIVKRLSTVTLKFK RHCTTPKPNTKSPVTAQNIVDKLESQLGIKVGIARVILPDPIKLVGNHNVKIRVDDFV DVEFEAAQQAAVAVEGQVAAAPINPDAPVNVSSQTVLQQFATDRYKSNSTQAEAKVAA VVVPEVDSSKRRLATLKVLVERR H257_02058 MDLDLELRRNLEDIRHDLTLKATLPTADAIKLSLKHSSTPHAKD LLDKLRGWTQSGSFDEDQLRLTELLPCVAEVAEKELDFATAEACVAWYLTLSSPRDQF YCRALFVRAKCLAQHARALQGHACVSQVQHAIHWILVAVKLALEPASRPHYDFLVYNA TVTYWHVARPLLRPGSARHVIESMQTMLDGLKAINEADKAWIVRYEITLATSYEDDNQ LGKAAKVINDAVDHALALVAAAKPPSDQAAATAVTNAPAIATGTAAALATATSLFEEA SLLQVHFGRHKDAECAKALAAAKKNMVSKRHVMLFNLQSIKSELTPPESVRAALVDLF AATTGVKLDAVGHDIPDDGSLEWETIVELGIVAVQCHHSDIGKTCERLVGSSKRLNAS ARIMADLLRCYLALEPNKPAPSPIPTTPANPPIVAGQKGAPVPAAPPHPAPTPTTTTG GQAKVVHLDTRQREAHRLARHVECVKVLERSLAAAKRVGQPHLIQDICLFAWNVALPL VESHLRKHVHRLFQSATTLLEELESPLVALRAQMHFESAKCEMECDYLAKASVHVNKA LQLDYGGTPTEQPSKIGLHDGTAPGVGKTSSLPDVHVKGKASHAPPSSSSSSPSPEEV SRLLDKHLMPLKRVLDLKSNLYGEPDAIEDQALILVEQSKEAHDKALKATLLHKAIAL LEANVPVEHTPSVVLERFALWHDMAKLAWELKNAAMTRKAAARALKYPFGMDQSQSIL QGELHFVLAETYVDEIKAYEKDPSVLTSDLRLGTSIVCDGTSTELEPLATIATCKTQV VAEIMLGLQRGLDTKAAWVVHNAATYLWNYHCHLFRNDHHQLDVVMPDVAAAFDTVFQ ALVAPEQSVNHPNDQQQQLPLVSCIAQGLTMIHRGDANRMDTVVDAVLKRSDQLPILH RKALIEIKTRVQLERGVKDAVVGDSTPMKVVSCIKALEVQVDALHATSVATESGAAAT SSRSTGDDEKTLLDKAATYFQKAMQLWLPFATDMFGRNAVVEPVLESEQQKREFHAEI WVRLAKAALALHKMTDAQKFCEQAVAPLQASDIPPAFLTKAIWRWYALAQVVWAQAIL QLATDTEAQEKDVKHELALFAIKHLLLGAEFAVHAGTPSLVQTAARIMWNGMLGILDV NGSPNPSFRRKLTLDMHTMLDHLTAVDSTQYSFRVDFVCALLDTYEELQAWEAGLSTV EAAFVVIPSSAQRPLWRYRVIFMSKLGKSVADGFAKMKENDVLLQARVSKRIAASTTD PGAQYKALYRAVKDLTGKVEQAQFLVEIAEWFYTNQFPLQDVHDQLHGAIHTLLPVVH RDQGGMKGVAKKGGGAKKRKDGDVVPPMEWWHLDLLLRVYVMLALASRSFRERLEYVL VALAHVASMWDALANEHVNLQYRELFEAYQQQNAEHESSADDHDKAQVYDDFDEWKAL NMASTSVTPGLVVPPSTAEWAAFDLQPHVPMLCGHTTLAKTSLLMLHPGNVTQPTLTI HYLSKLTQLALDFHQHPHVLPCLWLAKAVVIGTATTTTSSLAALGDDLPLLPLLVDLQ LAKVLCVLGYTSQSQECLKRAIDALHGANHSPPSSSSEKIATTQPSPTPVASLRHRPI VSSKYNVLHVCTEIADLFLDCGYHLQVKQLLDVASRQCAAEGDTTTLACVDVCRGKLL WIEGERTAALHHLQLAAATDGLSIQLYAKYVTLYATLLRDQGQVKVAKAALQHAIHVV SHLPKQLPRTSTQLQLDLPPPVSMDIDLVEGLAALQAAYADLLVHESRMLLATGGDWT GTWAEAGRVYSDVVSMLIQLGGTFAMVAVASRYADVLTSTLRDGGSKSESDGDILDQA RKVLLVAHGFLEHVWAATDNPRMDADASAVNPVALATILAQVKAQLGRLELQQHLLGH EQLMVVYQVECDAKKNLIELWLEQTAPQHAKTADEMRLPPLDKALLYFNAAVTLTQLA PSPLYIASVGRCLRLQLQHNDAVWTYSTEGLRKQGIACSTPPPPSTAGVPATTTGLSQ ALDDCTRLIQSALDIALKQKDRPAIQECTYELMQCFGCIDPAIAVKHLLWYQSCRMSA VAEGLLLEASAPTNRTALFVQRTHMLPPTSVPAQLASLYLEHESEAWRRLAVTSSIDA TLSHVPPHMTLFSLQLSSDGSYLYGAVLASPTSTSTTNTCGLPLLARLELHSPRRVAL RELTAKLKAWRASTVKGLLQISDAMTGEHDWFEFGNPTPASKEDMPTEDALEDQFQQL VDEAKQLLGPFLDCFHGALKAAKATSDDATVVFVVDPALECIPFEALLVDVLDARDLS VHMLSIRLQNLKAIPYKKDDLYYIADPQNDTGADSPDNPHSIYATLAALKSNAPSIKG LSGKKYVPSAGEWQAGLTGRRGGGLLFYGPNRSLAHFAPSHVAGLSASKCNLVVSMSR MENYASYRRQSKLDTHKPKRVLSLEDGWESAVLWSLCGANCVVTNQWNTSFLANHRVV TTLFGQLAKGVPVAKALKRTGDVWVMATKPPHNGTTLKGRVRFNPIVYGLPHLV H257_02059 MSVYVPDHELPDILCCICAVAIKANAANMCVSCLKGQVDVTEGI PKEIIMHQCRGCLKWSRPQWVSADLESRELLAICLKKITGLNKVKLVDAGWIWTEPHS KRLKLKLTVQKEVMNGAILQQSFIVTFIVRNQQCDDCQASFTNHSWKAVVQVRQKVEH KRTFFFLEQLILKHRVHEKTTSIESQPDGVDFFFVERNHALRFVDFLQETVPISIRTA KKLISADNHSNTANYKFTYAVDIAPVCKDDLVALPLKLARQLGNISSLCLVTQVSSSI HVIDPFTMQRAEIDNDKFWRTPFKALRSSKQLTEYTVLDSTPLEMPTKGGVPVRTNRK TRLADLDVVRNTDFGVNDTHFNTVTHLGMLLNVGDTAMGYDLSTSVFNDLDTKPLDEA GISLPDVILVRKQFLRRVQKRTRKWKLKTIEGVEPAVPLKKADQTKHDLDYEHFLNDL EEDKEMRARINIYKANDDHDDDQDMDDDDDGAIPLDELLDDLHVDEQTHEAILTAAAA NATQSLNVDDL H257_02060 MSLQDVSISTETVRILHLPPPNHMDGSMTPAPTPGGTAGEYGSS AAMLKDPEIKYICGDCGIVNYLAARDPIRCRACGYRIMYKARTKRLIQFEAR H257_02061 MADAVQYVMEKMIPELEDLQHLQIFTKDEVRQIVQKRRDFEYTM KRTPLRKVDCLRYIEYELNLDALRRQRKKRMGLTKLSLSDHSGMQRVHNIFDRALMKH RGDVDLWLQHIAFCKNTGSSKLLSKLFTNALQLHPRNEAIWIEAAAWEFATNLNVDSA RVLLQRSIRINPHSQKLWLEYFRLEFLYVQKLRTRREVLGLDTEKTKPKELSLDIAPV DGEDAPIDATTDSESSTEKSRQEILQGAIPKIVFRNAIQAIPQNAAFRLAFIAICNLF PATYGHPVAKFILDSTLADFPNDATVWSSFCEQAMHESDSADVDSRRKVALERFQQAI TSTVDADAMRNAFMHWCVSELARTPSSPWFGAEMAKTIETLGPLSSTLYAVYIDYTLR VTGLEAAVAVAAKATAAFPTFGTLWQLRAQLVLRLASVQQVQVPTPASKRAKKQPTSS SSSVYKTALTVVEQGLRVATVDTDGLWQRHVQLLLSQGGTSSLGRQKNAFHRALKAAT PWTAAWSTLRMQFLQWTLRTQGVEAARTLYKSFLNGQMLPQADTLALLRWCVLVEAAQ EVTPAANAAVKGLMEKVVDLFGQTDEDVWVEYVQFYRERGLHKEANDVHWRATRVFPS STALATLQELN H257_02062 MDEADLIEIAAQRKKRAFKKFTYRGVDLDKLLDLNHEELMELVN ARARRRFSRGLKRKPMALIKRLRKAKQEAKPLERPRGIKTHLRNMIIVPEMIGSVVGV YNGKAFNGVEIKPEMIGHYLGELSITYKPVAHGRPGINAAHQNRFIPL H257_02063 MGISMERKGEYFIKLENLLETYNKIFLVSVDNVGSNQIQQIRIA LRGTAEVLMGKNTMIRKVFNNFVRKNPGHPLEALIPALRGNVGFVFTNGDLGKVRETL EANKVPAPARVNAVAPVDVWCPAGPTGCDPGQTSFFQALQIGTKIQKGQIEIVADVLL TKAGEKVGNSEAVLLQKLNIRPFSYGLIIVSVYDNGSIFDPAVLDLTEDNLTQKFVAG LRNVAAFSLALGFPTLASIPHSLANAFKTLVAIAVESENFSFKKADPFKAYLADPSAF ASAAPAAAGGAAPAAAAAAPVEEDEEADVGAGDMFGGSDY H257_02064 MKRRPPAAAAEADHLGTFTKLALDIEKCQRYNPSLWDVVLNRSN KSLEKFAKASTTKTRKRARGPSSSSTPTTFPAVNTSTAPLTLSSYLHQPDMVREMLLE LQPEHPAEVLPENRSRSTMPMDKPPPIPRPSPPIPSITPTPSPTKLPILNVVTPRTRQ WIGRLPPPREADWAAINQLESVADDAVARRANVIDNLHKPHSLYGKLHHHRKSPRKDG RSDSRRRRDAASRYAADTSPTNSTVTKSIQVANASRLRMDQETALMHQEDHHHTPPLT TSFDDERQRVATEVTTLVEGIGSPQAKYPLHQPHHVALETDHRVSVLLSESTGKAHQD ATAAVDATLASIQKLVPLELIYAHGQGRYASMQIQRAMSMVHQALLRLVKRQFVVAWS RWQQHTCHARERERRQAVIVIQCAWRRVLARREVHIRRGLRRKQEEREKYLLRMLLAR QNDAAACITRHLRRYVAVCHARKERKRNVAALRIQRFIRRRHGLWARFARFLQHQRDM HAATCIQKHIRAHLARAKTRLVRKLRAVDRRRALLTAHLEARAHALRLVGAALTVQRN FRRRQVARRAKFGAMRRRHAKKVVAAVKVQSIARMYLARRLRCHMQTTFAKAALVIQC AFRRHCSLSQRQQRKQVVADDRKRRISKKKELRREKKQRQKAKAKANQATKMWMALQD TASTVNKTRLKWMQMEPPQAATVIQGVWKGFKTRQRLKRQVAKDKERARRQSNSAKRL AATCIQRHVRGMLGRRRFWVALVNRFASSIQRLFRNRKARRDIALMRAYVKAARLIQS RWIHKKEFVVFRRRRRAAVAIQSIARMFIATRRYVQRMQAFHRQLELRVVGQVLFAQR TLDVVQTQLLQLSWQYATESKKHLPPPQQQPGGANVQRSLYKRSDNGTWTTTGCFGLW QKIYLDICRHGNTSDAVEIDNMRFSRFVKEIPGMLHKSLCPLQNVDVAFAKFKPAKGR TMPFSGFHKAMSYLLTLRYPDKPPNQYTMEQRFLLFMHQLVLVSKFGEVYRLALATSA MERGGWAAHVIQSMYRHRQQQRQHQAFVARFLVLRRQDEERRAATLLQTKWRQRLATL QFQSLVCDTFVEYVDWKSGACSYKNLVTHTTTYSRPALLRGLTPSVSIKLPPPGEEFV VLCCRHETQPRQLATTFCLACEDAMCSDCFDREHKAKAFAAHTTTRIRMCHLCSKHTA SRVCNQCQDGNVPYCDTCYPHYHKGKFAGHTFTALVFLCVECGDRVGRWRCATCTDLF CKKCFSNFHRKGQRQNHVMEAVSYLAVEAKSAQDVRLHEKELEQAEALRALDVSRQVA AIELQKQEIAALLIQTAYRAKRGRVEGQAYMKAVRHTNRMVYQRLKDDSVRSAFTYKL RKVVGMAPVLASDTIEEVQAVQLRKDKIVNALGLATYDHTKGPPPWCQYNVPVEILVG DFKSCVATVVSTAQVVASGLVMVHVTAANKSVTLPLAALKPLGDNASPSKVLQLVDTV GNAAHKLQVSLLDRIEKKRHNLKLRHHRTEFKDLEEYAWVELPREPVSPPANDNAAAS PRQHNVATKTTWWNVVTNSKTSVKPHGVKAMETMEPGAREDMQAQIQDAHDKLVKIET KSDRQRRASVDTAFSQVNAKAIDDALFWHDSLWSHPRVGKKARDLVKELPTDDLRRAV QLLARVGSPSTGTNVIDEDPTWEKMVLKFCALKTAEKKELLTETQDMNAADARAVLWS VVDPKAKESAADE H257_02064 MKRRPPAAAAEADHLGTFTKLALDIEKCQRYNPSLWDVVLNRSN KSLEKFAKASTTKTRKRARGPSSSSTPTTFPAVNTSTAPLTLSSYLHQPDMVREMLLE LQPEHPAEVLPENRSRSTMPMDKPPPIPRPSPPIPSITPTPSPTKLPILNVVTPRTRQ WIGRLPPPREADWAAINQLESVADDAVARRANVIDNLHKPHSLYGKLHHHRKSPRKDG RSDSRRRRDAASRYAADTSPTNSTVTKSIQVANASRLRMDQETALMHQEDHHHTPPLT TSFDDERQRVATEVTTLVEGIGSPQAKYPLHQPHHVALETDHRVSVLLSESTGKAHQD ATAAVDATLASIQKLVPLELIYAHGQGRYASMQIQRAMSMVHQALLRLVKRQFVVAWS RWQQHTCHARERERRQAVIVIQCAWRRVLARREVHIRRGLRRKQEEREKYLLRMLLAR QNDAAACITRHLRRYVAVCHARKERKRNVAALRIQRFIRRRHGLWARFARFLQHQRDM HAATCIQKHIRAHLARAKTRLVRKLRAVDRRRALLTAHLEARAHALRLVGAALTVQRN FRRRQVARRAKFGAMRRRHAKKVVAAVKVQSIARMYLARRLRCHMQTTFAKAALVIQC AFRRHCSLSQRQQRKQVVADDRKRRISKKKELRREKKQRQKAKAKANQATKMWMALQD TASTVNKTRLKWMQMEPPQAATVIQGVWKGFKTRQRLKRQVAKDKERARRQSNSAKRL AATCIQRHVRGMLGRRRFWVALVNRFASSIQRLFRNRKARRDIALMRAYVKAARLIQS RWIHKKEFVVFRRRRRAAVAIQSIARMFIATRRYVQRMQAFHRQLELRVVGQVLFAQR TLDVVQTQLLQLSWQYATESKKHLPPPQQQPGGANVQRSLYKRSDNGTWTTTGCFGLW QKIYLDICRHGNTSDAVEIDNMRFSRFVKEIPGMLHKSLCPLQNVDVAFAKFKPAKGR TMPFSGFHKAMSYLLTLRYPDKPPNQYTMEQRFLLFMHQLVLVSKFGEVYRLALATSA MERGGWAAHVIQSMYRHRQQQRQHQAFVARFLVLRRQDEERRAATLLQTKWRQRLATL QFQSLVCDTFVEYVDWKSGACSYKNLVTHTTTYSRPALLRGLTPSVSIKLPPPGEEFV VLCCRHETQPRQLATTFCLACEDAMCSDCFDREHKAKAFAAHTTTRIRMCHLCSKHTA SRVCNQCQDGNVPYCDTCYPHYHKGKFAGHTFTALVFLCVECGDRVGRWRCATCTDLF CKKCFSNFHRKGQRQNHVMEAVSYLAVEAKSAQDVRLHEKELEQAEALRALDVSRQVA AIELQKQEIAALLIQTAYRAKRGRVEGQAYMKAVRHTNRMVYQRLKDDSVRSAFTYKL RKVVGMAPVLASDTIEEVQAVQLRKDKIVNALGLATYDHTKGPPPWCQYNVPVEILVG DFKSCVATVVSTAQVVASGLVMVHVTAANKSVTLPLAALKPLGDNASPSKVLQLVDTV GNAAHKLQVSLLDRIEKKRHNLKLRHHRTEFKDLEEYAWVELPREPVSPPANDNAAAS PRQHNVATKTTWWNVVTNSTRNRLPFEPPIWLLS H257_02064 MKRRPPAAAAEADHLGTFTKLALDIEKCQRYNPSLWDVVLNRSN KSLEKFAKASTTKTRKRARGPSSSSTPTTFPAVNTSTAPLTLSSYLHQPDMVREMLLE LQPEHPAEVLPENRSRSTMPMDKPPPIPRPSPPIPSITPTPSPTKLPILNVVTPRTRQ WIGRLPPPREADWAAINQLESVADDAVARRANVIDNLHKPHSLYGKLHHHRKSPRKDG RSDSRRRRDAASRYAADTSPTNSTVTKSIQVANASRLRMDQETALMHQEDHHHTPPLT TSFDDERQRVATEVTTLVEGIGSPQAKYPLHQPHHVALETDHRVSVLLSESTGKAHQD ATAAVDATLASIQKLVPLELIYAHGQGRYASMQIQRAMSMVHQALLRLVKRQFVVAWS RWQQHTCHARERERRQAVIVIQCAWRRVLARREVHIRRGLRRKQEEREKYLLRMLLAR QNDAAACITRHLRRYVAVCHARKERKRNVAALRIQRFIRRRHGLWARFARFLQHQRDM HAATCIQKHIRAHLARAKTRLVRKLRAVDRRRALLTAHLEARAHALRLVGAALTVQRN FRRRQVARRAKFGAMRRRHAKKVVAAVKVQSIARMYLARRLRCHMQTTFAKAALVIQC AFRRHCSLSQRQQRKQVVADDRKRRISKKKELRREKKQRQKAKAKANQATKMWMALQD TASTVNKTRLKWMQMEPPQAATVIQGVWKGFKTRQRLKRQVAKDKERARRQSNSAKRL AATCIQRHVRGMLGRRRFWVALVNRFASSIQRLFRNRKARRDIALMRAYVKAARLIQS RWIHKKEFVVFRRRRRAAVAIQSIARMFIATRRYVQRMQAFHRQLELRVVGQVLFAQR TLDVVQTQLLQLSWQYATESKKHLPPPQQQPGGANVQRSLYKRSDNGTWTTTGCFGLW QKIYLDICRHGNTSDAVEIDNMRFSRFVKEIPGMLHKSLCPLQNVDVAFAKFKPAKGR TMPFSGFHKAMSYLLTLRYPDKPPNQYTMEQRFLLFMHQLVLVSKFGEVYRLALATSA MERGGWAAHVIQSMYRHRQQQRQHQAFVARFLVLRRQDEERRAATLLQTKWRQRLATL QFQSLVCDTFVEYVDWKSGACSYKNLVTHTTTYSRPALLRGLTPSVSIKLPPPGEEFV VLCCRHETQPRQLATTFCLACEDAMCSDCFDREHKAKAFAAHTTTRIRMCHLCSKHTA SRVCNQCQDGNVPYCDTCYPHYHKGKFAGHTFTALVFLCVECGDRVGRWRCATCTDLF CKKCFSNFHRKGQRQNHVMEAVSYLAVEAKSAQDVRLHEKELEQAEALRALDVSRQVA AIELQKQEIAALLIQTAYRAKRGRVEGQAYMKAVRHTNRMVYQRLKDDSVRSAFTYKL RKVVGMAPVLASDTIEEVQAVQLRKDKIVNALGLATYDHTKGPPPWCQYNVPVEILVG DFKSCVATVVCTYVWGTFLASQNVMEY H257_02065 MQPLNAVMTVLPSNNIMAQPRRSGLKRKATSPLKRVQFSVATEY TFQVGCAATAIPHDSVPGVGLEGPAIRIETTAIHEKRSQLMMYTHRDRVCLLRRAGYS IADLNQQSRDLQAIQKSRMETVNEYIKERREAIALQTREQMDLARLLC H257_02066 MAASRTKAATKVVFSGIQPTGVPHLGNYCGAVSKWVTLQQQQTT DVSLYSIVDLHAITLPYDPSTLQANIHGLLASLLGCGLDPKKSILFKQSDIVQHSELA WLLSCVTPLSWMQRMTQFKQKSQQKDSNAVSLGLLSYPALMAADVLLYKATHVPVGED QQQHLELARMIATTFNDRFQTNLFPKPIPQRDDPNDALVRIMSLRDPTQKMSKSDIST QSRIDLTDSPDVIVKKIRKAQTDAIPGISYDKAGRPGVSNLMSILSAVTGHSVDDIQG QYGSHQTGQFKQVVADAVVAKIAPIGQRIQTYQADPAFLNDVLRNGRDAAAAIAADTM VEVKAAMGL H257_02066 MTLTCRTKAATKVVFSGIQPTGVPHLGNYCGAVSKWVTLQQQQT TDVSLYSIVDLHAITLPYDPSTLQANIHGLLASLLGCGLDPKKSILFKQSDIVQHSEL AWLLSCVTPLSWMQRMTQFKQKSQQKDSNAVSLGLLSYPALMAADVLLYKATHVPVGE DQQQHLELARMIATTFNDRFQTNLFPKPIPQRDDPNDALVRIMSLRDPTQKMSKSDIS TQSRIDLTDSPDVIVKKIRKAQTDAIPGISYDKAGRPGVSNLMSILSAVTGHSVDDIQ GQYGSHQTGQFKQVVADAVVAKIAPIGQRIQTYQADPAFLNDVLRNGRDAAAAIAADT MVEVKAAMGL H257_02067 MVAIPLFKVGGLLLRTLTKPVAKALKSHAKTHPWLSTVCNSVGQ HQNRAMLKIQMSVQGQINTRSVQIKELPIDQAVEKGAEFLGEVLIFSVAVAVAAYEYD RSSKSSKEKERKANEREYQKQLDVDMRFRRLEHQMRAMDDQLTLLKTQLDSATAAIVR FEDERDLSIAQRQQSRWPRLSWSS H257_02068 MSSNQVHHNSYPRIEFTQDVYEKHLGNMERIATLALAGRSGWDK ATATPAHGWSRLTDNAGWQVLSLQAPSPGVHEYLCFGTLRTSLVALQHAFYVKNTYEF RALSAVMYEDTIADAALLNITHRQTAADVGQFFGVKYLKLLVQVLNEEDQEQEYVYLE FSGTRVDALGRRTWFVVTEPVAIKSASDSDPSSSISSSGNSRGSHASEPFHKSISFGE RCSCVKLYRETYDGCVEVTVRTKLEAGGGNPEASVKLHGSAAALARKAGQLVFWKSMG VFIPSGLAKDLLSLCRTGCVPESVRLTTGSFAPSWEHGNKCKVCFKFFNLLRRKHHCR RCGSSMCSACTVALHCVDRPTKSRTSEAVAVEKFCKSCLVQAKIDTMRVDGDAGRGGG RRRGGSDDGTTHSVVMSDSNKRVAHLHSPTRNANGDHFFLNELQSVYSSSGFVPPVDQ VPPPPAMILGGALKLHRQTSSTITMSTSSRYTPAISTMSTDFSEFDLSVHRHAPEKAA AASTIMRGSNQQRTGHEANQPRPPFPNQADATSGWDLLRSKFEMVKLADEADRTRSGS DHFQHLFQQPTTESTSEHPNRRYSSRAPPSNQQQRY H257_02068 MSSNQVHHNSYPRIEFTQDVYEKHLGNMERIATLALAGRSGWDK ATATPAHGWSRLTDNAGWQVLSLQAPSPGVHEYLCFGTLRTSLVALQHAFYVKNTYEF RALSAVMYEDTIADAALLNITHRQTAADVGQFFGVKYLKLLVQVLNEEDQEQEYVYLE FSGTRVDALGRRTWFVVTEPVAIKSASDSDPSSSISSSGNSRGSHASEPFHKSISFGE RCSCVKLYRETYDGCVEVTVRTKLEAGGGNPEASVKLHGSAAALARKAGQLVFWKSMG VFIPSGLAKDLLSLCRTGCVPESVRLTTGSFAPSWEHGNKCKVCFKFFNLLRRKHHCR RCGSSMCSACTVALHCVDRPTKSRTSEAVAVEKFCKSCLVQAKIDTMRVDGDAGRGGG RRRGGSDDGTTHSVVMSDSNKRVAHLHSPTRNANGDRTYSSTT H257_02069 MSSNGGASTYEVDDKTLIRRRYFREKQREYRRKIYDDGASIKAQ CVHLQSIIAGLQTAKPLSVAPREANDGQVEDQYPSASMSSKGGASMYENADKIPPRRQ YFREKQREYRRKMIADGAALKAQCVHLQSILVRLQTAKPPSMVPREASDGPLSWHSIA MVFKREAHRVLTDRQSLITQTQELQSLTKAMQRFVVTNIQPPMSRSNAWQNATLAADP TARNLGKEWLTQHMYHNIHEPLAFLPAVSYGDEYFDVDAQMSNDDNPFACIERAQFTW PGTVQMFRRLMESNIQAVVEEITANTRLFHTTTSKGVFVNSLRGHFSEANRFVMVIRE VEEDEVYVCDPQHKQRHFMSWTEVRQISPTHILLRLVTHASHLFRANGRFVSVDELAA TRGINLTGIDDGDKDAFVRRELIRRGRADFVPWRKRLMDTMHQSATNYL H257_02070 MDMFIPRGFAKHLLSLSRAEMRSRIGAVDHWTSCSSRVEAWQQV HHVFQVLPPTVLRRKHHCRRCGSSMCSPCTVLLRCVDRPTNSRTNDATAAGKVLQVVL GAGQGGHDTTQGGSEWRQSIETNSAVTSDTAHPVAYLVSPTRNGDYFFVTNMHRSVPN TRTSYLTVSYALSPKLDSTPSNSQILYAPEISMLSTDFSEYNLTSPLQDHSPRQWSPP SKPHQSDHLSQVPSQSPVERDQSRS H257_02071 MSKSKLDIQRKYADHFRLLGFDLPVDANISKWHQPVLRCDHELC LLTLADVLRDTIDTTLDLVPSLSCTLYLYDRRSRMLNTNASSSSKRQLDVKFAPGMGI VGRCFSRKIPVHLEKPGVNSLFEPKSDGRINKTAESMLCIPLVVQDKAIGLFQLVNRV VDKKDKLRDLDRYMEGFDNLHFNVAEHLGESTNENAFHGRDISTLTEYASLVAECIAQ ALYRDEAMDPTKDHGNSAMDLLNMLNGKLTTSHQVDIPDLAPERKMSSVQDPAGRRPS KSPPKSRLATKARGVIALPRSPTNMKERLVQAAIKCQAWGRGWLVRRRHPLARLRLER TARREIQRVRVLKIQKTFRGTLGRKRVKRMRTARVLISNAVFHYAQRKHDGTAKKIVG SPRTRKLWVQTSTTLLDERTKLKNKKVTNIQKVFRGHKARAEVKDQFGVSNPAKVYRC FVKLQARFKGRLLRKLIQKHTVLQHKATKTTISAFAVKCHHAEPQLQSKSYPRCHTGV NPYLVAKRGQLADVGPLFDALRLQQQHPTRHHPPLRLPTVRKPQHQSNDNETTLSLGG VDARRLVAAHALATNTPLPHLVRRSPAKSPDQPPPSRNACHFDLEQPRQNYSAVPRAC MKGPASSSPTTNQDNTVYSIQQYPQYRTTAYAVAVGAPTPPAPPLRSRQPPPHRPFMC LVQRTEDAKREGIRKALEELKSYYRSRVVPGPVNRRKSIKEVMDECTSLASSRSVSIL VHRVKIAPGVDFSATLLGPEIVEEPVSEMDSVDSLALEYRPVTPMLDAIQLVPPEPHF IDIPTVSRLDTIFVDSNDGPS H257_02072 MQPNVLHPLRGTVLFESPSQQYPRVSSMIRLYDLSVTPPSNQMD LLADYEQVDRHSPPRSSLSTRPSYQRY H257_02073 MYTSDSFGFRSVCALLYPAHIEDAALLQVMHTADRSHFFGVKFV ALNAPSVTDEIASTKEFLYAEYSGMCVDAHGVSTYFVLTCPLSVTQGLRANAGCVKLY RQKSPFVVDVTTQAIVLQKQPRGRGNRGSVGLAVQYAQSQGHLMYWKPLNVLIPRGLA KDLLSLPHEATLPESRQLTTGSFAEAWGHSQKCVTCQKSFYLLRPKHHCRHCGWSMCG NCKTALPCVDRARDDGVSVSTEKFCKSCLVQARLAAHRETQPPILPSRSALKQIDLDA FEAIQLYDPPHEQRITEENLDIFEASTNQLVKFNDTPRRPKMQPNVLHPLRGTVLFES PSQQYPRGSSMIRLYDLSATPPSNQMDLLADYEQVDRHSPPRSSLSTRPSYQRY H257_02074 MSEASSLDDDSWISKSNWHRPDGTGSSDQDKLSLRYLDEFVGDL TCSAQMLAWGLFPDAKEVSETMGVFNAVRKLGLHEKDTAPPGVHDGIVIVGDGVTPRT AAMFAYRTKGWTCYSVDPIMKVSTSDAQVPWDGGALANVVSVCDKIENIRIRLRKAIV VLVHAHVTIEQAMVAIDATEVVAVLTLPCCNWYGRQERYLDRHPDIVYDDLSILSVHR EIRVWVGTPSKALIPSLAALGRCVFKSYSAPVANPTSVARAFLSLVLQQSVDLSTSTT EYVAAYCVEHFHPSSRVGVIGRHDGLVSALTSRGFTAIHSIESDYVEQGSLSTVFNVV LDCGSLHLALNRTEKTKSGNLVGSLCQLWQSWLDEDIHPTGQSGAVVVVSSRRMLRSS KFLNRPALNWASVVTTPVCSSPTFIYHCKRNLKGDSADGGDATISPSMDQVYAALSSQ FNATSGDPAVCSATGKVTRVQTLHVNLSFVDLEAEDGATTFVLLRRGSLPQDQAFSMP RALMQHLQLGDVLQVTGTPEPTKNGSSVVVASAVRVVQWVSRDTLVYFNYN H257_02075 MTKTPGYVVDDKFPDVIEYLDKAGWTACPHHTYPNCMLRYTNYV KIQWSYVKPHQVINHLQHAILLSKKHELLRHLEIRQGVYEFLPRSTTTVDAWFPMFVY AQALLVLKNPSTYAANLPAARCIAHEIRRLNDPSRRKHGLILMYESFVTSHADTIATL ISATRTPFEPVPPHAPDDDALLTHLEACDPQFHFVSTRNLWIAKPTGLSQGRGIQILS TPKQVQAYMADAQLKAVVLQQYVERPLLVLGRKFDIRQWVLVTATAPLTIYWHRHCYL RFSSKPYSVTHDGDLDDKFIHLCNNSIQKTAPNAGNDHPDIPQHMWSLDRFLLHLSQV GGRDRWDSATLPAMQHAAIEAILSVQSNLTRVGRGFEWLGLDFILDERLHPWLLEVNV SPDVSHSTSTTADLVPQATRDLLDLVLPTDQVTQRDSRTTHDNVWTLLYHQHVPQSGQ H257_02075 MTKTPGYVVDDKFPDVIEYLDKAGWTACPHHTYPNCMLRYTNYV KIQWSYVKPHQVINHLQHAILLSKKHELLRHLEIRQGVYEFLPRSTTTVDAWFPMFVY AQALLVLKNPSTYAANLPAARCIAHEIRRLNDPSRRKHGLILMYESFVTSHADTIATL ISATRTPFEPVPPHAPDDDALLTHLEACDPQFHFVSTRNLWIAKPTGLSQGRGIQILS TPKQVQAYMADAQLKAVVLQQYVERPLLVLGRKFDIRQWVLVTATAPLTIYWHRHCYL RFSSKPYSVTHDGDLDDKFIHLCNNSIQKTAPNAGNDHPDIPQHMWSLDRFLLHLSQV GGRDRWDSATLPAMQHAAIEAILSVQSNLTRVGRGFEWLGLDFILDERLHPWLLEVNV SPDVSHSTSTTADLVPQATRDLLDCTYQQHDNLISHPHVWCCPRTK H257_02075 MTKTPGYVVDDKFPDVIEYLDKAGWTACPHHTYPNCMLRYTNYV KIQWSYVKPHQVINHLQHAILLSKKHELLRHLEIRQGVYEFLPRSTTTVDAWFPMFVY AQALLVLKNPSTYAANLPAARCIAHEIRRLNDPSRRKHGLILMYESFVTSHADTIATL ISATRTPFEPVPPHAPDDDALLTHLEACDPQFHFVSTRNLWIAKPTGLSQGRGIQILS TPKQVQAYMADAQLKAVVLQQYVERPLLVLGRKFDIRQWVLVTATAPLTIYWHRHCYL RFSSKPYSVTHDGDLDDKFIHLCNNSIQKTAPNAGNDHPDIPQHMWSLDRFLLHLSQV GGRDRWDSATLPAMQHAAIEAILSVQSNLTRVGVSKDLRLVMKKVE H257_02076 MELTEQRIANGNELYKEGRYVDARREYSAAIRELDDAAEASPLV MSRILANRAQTYLQEREYALAFKDADAAVENDPLNVKAHMRRVIACENLEKFDAALKH VRHMLTLSLDSPTLTYALTTQSRLKRNCKSDAAAAKAERYEVGKLVHSQQSLRLNFGS MLPSHLPVGDWIDVVFFVANEFGLFQRGLLPSSVPLTVSIHGFSSTGLNVALEIDSKS LPVEVGVNGKAAARLRIVPSSSVDQASGTLAASRFSLRADLAKGHHVDDVLPVVSLPI QAIPTTSTILFEYENDPLGIQCCRSVWVEGVDRFITLAESPGNLGIGGKLWDSSLILT AYLAAHPAVVSGKHVIELGSGLGLVGLACASLPAVASVVLTDIDDVVPLLEYNVRLND LSDKASVKPLWWGTSIEHLFNAPYDVVLLSDVVYDPFGYKPLVASLRDLTSPDTTILM GHRSRHPQEKQFFDSLQLEFTLTSIPLDESSAVWAHPSRMADVKLFSIRKNA H257_02076 MELTEQRIANGNELYKEGRYVDARREYSAAIRELDDAAEASPLV MSRILANRAQTYLQEREYALAFKDADAAVENDPLNVKAHMRRVIACENLEKFDAALKH VRHMLTLSLDSPTLTYALTTQSRLKRNCKSDAAAAKAERYEVGKLVHSQQSLRLNFGS MLPSHLPVGDWIDVVFFVANEFGLFQRGLLPSSVPLTVSIHGFSSTGLNVALEIDSKS LPVEVGVNGKAAARLRIVPSSSVDQASGTLAASRFSLRADLAKGHHVDDVLPVVSLPI QAIPTTSTILFEYENDPLGIQCCRSVWVEGVDRFITLAESPGNLGIGGKLWDSSLILT AYLAAHPAVVSGKHVIELGSGLGLVGLACASLPAVASVVLTDIDDVVPLLEYNVRLND LSDKASVKPLWWGTSIEHLFNAPYDVVLLSDVVYDPFGYMKQHPGTREST H257_02077 MGSLVLTDTYLVERDHFRLTMFVQPLTSLYEIVRLEDTTDEFTV EFGNELRRTYYSLHRDAIIAHILDACASRGTHVRLHKMRTPAGRRVFLRFMLMTTASS TIPADMVWFQATWLHRLVGGGGDKHDTDSHGTTKTPSILSQLRQKKKPQQQSVDAMSH VEMVLDFNANVPLGGLSTKLKDNVAQATSLLLGEVPHMVLLRQSPKSNMDTVASYLQC LYRLVANPFSAKQALEHLARSDYMDAMAEILKRDDFSSIQSLFCFMSRLLRGPIGLRT RKVFLSHNGFVTALLALFQSTNAIVLGQLCQVLEALLTTADDGPTVDPLVNNLVSHVS MHYAMLVRMLFQFAPPATVEAVVCILNHMVHTTVLSFANTHDTTVHQWNTSSTRVSSA SRVFAMHPPERMIKQYHSFLMAHPHPGKDLRRQPHAAALRLRYRYRGRFLQQWMHLLQ GDFDVAIECLGLDAVKYEGSKMSQKHGQVKSAIYVVPSGLVVTTFGGKMSLYDFGQLD DSVIGFTAEDPCGLIMSIHHRHRVVFSYERGEIFDRMQALAGQVGIQLDMGGLYQNHE IRTKKPTVGELGLTLMSSFTLDRVDHGSNGTGPVKKRKRLALTSAGVVETDDSDVTNA SLWTFDQVVHITRLQDHEFRFELVVATGKSLWYDSMERDVVIATLLDACHHAQKQSDA VACTGIGMFIMPTPLFMPLLPRCVLQTPAELEFYFGPNGMGMYYLSKIAQSRDLFIDA VEEFNANCNVSRDTPLHFATDPAWMPQVCKDMSNTLTLACKTKDIRSIVAILQAFCWL QHAHVNLLDMPGCSLLEILLAVHTTDDETARFWAITLAHALSEDTTGSPAGKAAEAAN KKRIFMNSRLVAHLVAAVDASAQRPLSLLASATLFDSVLCTSRDSTHHGHFHDLVVAF GKIFPRLLGILHNHHPNRRQVVVVVGTFEACVLILKTVVEHSDVATRTAICDMALNSG LTLRHFYKAIYGHTQAQRFVHQFIVSIWTTGHGTSFAWLARVLPRGFLRILSHPSTKK MMMDGFGDGFSTHAAAAVDTSRGSLYSRQSMQFDDDDDDEGVDEDAADDQTSRRLFRR LERYPAELDVHQNHRNYPSESCRDMHFLCHLLLHTFALPDLIWNPTTSKELKYALEEA LLDHEDLITPQYMTSMGFAWNHWSFRVVYASLAKEIQVGSYYLRILMDNVHDGIVLVA RTPDPGRKSSSFAHLELVCRSNLAGMVTHSIVDIAADPRRFFDQCFQRWLQELPFSSN QASMNPAFPQGGAGGGDDDMDSASVVSQHDNAEIWCLQLMVATYKAYGIGSVELEKVA YVVRMLRGETRAPVIEELLSWLSTVASDTATALSLLTKPNMELFLDMSTLVHEHTPLQ ATMSAAATKRLRSMKSLKQLQQLELDQHNHQSFSYFNLFHKQDDLDDGETNIDTIDDT FQGEVYWYIMTNHDLHSISGPHPESILLAICTSKGADWSRILLSMYNERLPDEREWRP LLRVPRIRWRLFVQSPFHVNVCIYTISLVRSLVEAEIDRTSTGLQLLPVPAGKRFLSD PFSLACVVQLLLCQETAIVECAISILHRLVAHNAATAANLYRCGAIWFMFASASRVSF YEHAVVLGLTHRRQVITHPTSTSAVNQTSALTGLLPEALVRMLDMDGARSFTDVFTFR KWDHRVLWTPAMRDHLYDMLQEHLAPFVCRLHQDSTAVYVTTSR H257_02078 MMDLRSSGAVGRVIDEIEDPLAFMRAVHTTWRHEIAVAEGRMAK STARHLLQIDPSEVIHEQTNDGAFLRSRYRAVCLGESVDISHLNEAFIVLSCVSDSTC ELDKLPHKTLSLLLRTQLLFYDTFPSAFQSIPYDAYDLLLKLLGQFDFRVDMDDPAYS LEHDIHAMELLSLSMELLLATMISSPWNVEQMLRAQGLHTIIEITDLCRQSQTGWVSE GDSTFDYIGGLSVRVLLAVANTDVGRDELAFEHQHPMKKPSIRPVLSIVSDLIHQNVN DVNINMIILALDILSLMGRQEAAQSTILTSTMAFWHALQLVIVHGTHPSKHQLVCAAL RCVRVLAGFEGMDAAPRPDVQNALTALVPMWTPTFMAAKSPVDEIVSIVFQDLYVPQF IWFDDMRCEVQAYLDTLLEFQVPPQSFYDVARQFSFDALDGEPMVGGIFLRVYKQGPD RALPPAVAADFCEQLLVFLYDHAIPGRPRYDETLLALECFALLTETSAPDVERSCLSS FSEPPLLHALGLFMTMGVPKSNNGGFDVDAVQRRDAATTCMRALARHCDDATVLAPLS PFCQDMLAMAELQAGETDAPLACLQELCEASPDIAKHVLTSTVWITLFAMMIQAKHYV TEDQHMAADTLRRPAAAVWYALLHGSDDDDVQQLALERLKLLLPYALMQTLVDDPDEF DDEFEESAFDAELIWNDHTRGDLRSHVEYLVSQPNPSFPDDQMDYTHLDHGVVVGDFY LDLFLQNPEATSLRHPFHIASQLVAVWQQELANLIPLASQSMMSPPFSLPELVERGVD NAGLVNKLTNALVFIYREYVDVKATVEDDTTLCTDLMHVLEQCQTERAIGFPQTCVLR LMQVFATNHTFDTAAGLQALLMPLVRKHQDPILVLDIIRTILETHLGKPKPQQAFDAL HVVRELGMLAVVMGYVDGSADMSNVRRPAECQALAISISRMLQPLDLDPSPVQAAPIA TTRASLPNMPTEPPRPSVDVVPRPTATPSFVRQLSIPTVRSSGRRSMPANYPYSRNHG MQSKPQPPPPRKLVSEVSIDSASVDHSFIAPLVPTTSPESHSDASDPRTSAAFHRVDE EGSEQDASEYEVDIPSEVEFDLHNDQGMPPPAEEYDL H257_02078 MAKSTARHLLQIDPSEVIHEQTNDGAFLRSRYRAVCLGESVDIS HLNEAFIVLSCVSDSTCELDKLPHKTLSLLLRTQLLFYDTFPSAFQSIPYDAYDLLLK LLGQFDFRVDMDDPAYSLEHDIHAMELLSLSMELLLATMISSPWNVEQMLRAQGLHTI IEITDLCRQSQTGWVSEGDSTFDYIGGLSVRVLLAVANTDVGRDELAFEHQHPMKKPS IRPVLSIVSDLIHQNVNDVNINMIILALDILSLMGRQEAAQSTILTSTMAFWHALQLV IVHGTHPSKHQLVCAALRCVRVLAGFEGMDAAPRPDVQNALTALVPMWTPTFMAAKSP VDEIVSIVFQDLYVPQFIWFDDMRCEVQAYLDTLLEFQVPPQSFYDVARQFSFDALDG EPMVGGIFLRVYKQGPDRALPPAVAADFCEQLLVFLYDHAIPGRPRYDETLLALECFA LLTETSAPDVERSCLSSFSEPPLLHALGLFMTMGVPKSNNGGFDVDAVQRRDAATTCM RALARHCDDATVLAPLSPFCQDMLAMAELQAGETDAPLACLQELCEASPDIAKHVLTS TVWITLFAMMIQAKHYVTEDQHMAADTLRRPAAAVWYALLHGSDDDDVQQLALERLKL LLPYALMQTLVDDPDEFDDEFEESAFDAELIWNDHTRGDLRSHVEYLVSQPNPSFPDD QMDYTHLDHGVVVGDFYLDLFLQNPEATSLRHPFHIASQLVAVWQQELANLIPLASQS MMSPPFSLPELVERGVDNAGLVNKLTNALVFIYREYVDVKATVEDDTTLCTDLMHVLE QCQTERAIGFPQTCVLRLMQVFATNHTFDTAAGLQALLMPLVRKHQDPILVLDIIRTI LETHLGKPKPQQAFDALHVVRELGMLAVVMGYVDGSADMSNVRRPAECQALAISISRM LQPLDLDPSPVQAAPIATTRASLPNMPTEPPRPSVDVVPRPTATPSFVRQLSIPTVRS SGRRSMPANYPYSRNHGMQSKPQPPPPRKLVSEVSIDSASVDHSFIAPLVPTTSPESH SDASDPRTSAAFHRVDEEGSEQDASEYEVDIPSEVEFDLHNDQGMPPPAEEYDL H257_02079 MACDIDRANAFLVQGRRHHHWHRHVRARAVLHGEGMRAEAGVVR RVQHHHGDGLEEAVCARCLFADSRVGHLEHTAVASACDVVHDVFGDEVEGPNGGVVEH AHGICDVVGQDGVVEERGEVGVNIEAPDAVESRALVGRTGGLGKRVDPVKVKVKRVSR PTTQFGRVLHQVVGVLGRHAEAAVFEE H257_02080 MAAAVESKRKTLFRNKAAATNVIYVSGIPLSKDIMDDPSNNDAP VEEHEAFLDAREMFQKYDRDKSGGIDLDEFKILLKDLNLAVPDGKAVVYFRKCDIAQK GFITFDEFRVALFTCDPSNPARTGGFCPGKALTPKDIFTLFDADDSGEMGRDEFERVL AFLSIKMALDKMEDLFSVYENPDSEALDYKSFRTIWLTLVDVRKELTHRNIPYNKLLP KSVLAKKLEAILDKEDAQEQYTIDEAKWNIEWERIRREREALVHTAKRFANYVLGDTL DAAGQVYVFGCGSFNRFDGAPATKDFVECPHFEKLLGLWTTRLRGADDWSPVVAPQVT HKSAGWESAAKKHEQLVRAQQAAVAAKTLDVIETQQLSFQNRLVAVNTAWLWGRRIKH VSCGTSVAYALTDSGEVYCWGGSQRRWNYVYTPNDEVLPMTNRPFTARTEQLKLVAPS QVRSDAQAIDQSYVRNMFRNNAPPSPIVVSPEVQRQGAGAIVEYFNLGQTMPPDVRAG LALDKLQEIIEFDLSADLAANAMRLRGLAVHTAGKQVIVDDLSKALLLEIECMGGPFH DRMKRLDAKYRQALHTNNAKLVTELLKRGATTWRGLRKLYHALDEEVAAGVQAKQDNW DAKRDEIRRLRLKQDRIGRENAESSATKSAAQTIDVSGLTSRGPSQKQFQGGHALNEI SVGAKHALAIHASGKVYGWGFGSFGRLGNGTHADMPIPQPMTQLQNMRFRAVAAGYSH SLALRSDGQVFAWGSAATGKLGLEPDNPSIPLRPNTTPVGHRQASNHQVSVQSSSNAG ALDISDCFTIMPLPLSIPASVKKIACGPSHSAAITTDGALFVWGSGDGGRLGLGDGRF VDTDNELKGGRLGVLTTPTPVTSLKEHFLVDVSCGVSHTAVLSAVETDTANGAKGGVV YVCGSAHSLGKFCPAFVALPQLQGVPVSNVSCGNAHTAAVSVDGEVYTWGNNTGGCTG HTLVQRFVKVPTRLPCMYQMPQNMARNPHYNVVAVQSSVNSGYSAAMALDGVTDGQFE STCAQTFNEMCPFWQVDLGVNCRLDTIRIWNRTGNDGLRLFPLVVMVSESPYEAEGGK FMLRTCKAQSVWTKFSADCAAQNPLVWRVPQSTLGRYVRLQLDATNLLSLAQVEVLGV EDYKCIGPKGASVACGDDVTMVVCRPSVLQSDIDTKFLRALCADIDHLHVLNEYHTFA PCIHKFTDLRPHLTSCLLCTAQHTCPICILFQQIPASAVPPDIRTTTSLDKFSTFLLS HRPPRAFEGSAAAATMTDDETRLLQIAVDDEKPESELTKAGDMIFSTVHKAVTSTAQK VQPNPTIQPIHAAAADNIQKFQDQARPMSQSFLRKAMASSKETWQKYTSGKPKDKATG NEVIRVQPKEVLPPDDTGQSPPLPQDASARGGGDGAP H257_02080 MAAAVESKRKTLFRNKAAATNVIYVSGIPLSKDIMDDPSNNDAP VEEHEAFLDAREMFQKYDRDKSGGIDLDEFKILLKDLNLAVPDGKAVVYFRKCDIAQK GFITFDEFRVALFTCDPSNPARTGGFCPGKALTPKDIFTLFDADDSGEMGRDEFERVL AFLSIKMALDKMEDLFSVYENPDSEALDYKSFRTIWLTLVDVRKELTHRNIPYNKLLP KSVLAKKLEAILDKEDAQEQYTIDEAKWNIEWERIRREREALVHTAKRFANYVLGDTL DAAGQVYVFGCGSFNRFDGAPATKDFVECPHFEKLLGLWTTRLRGADDWSPVVAPQVT HKSAGWESAAKKHEQLVRAQQAAVAAKTLDVIETQQLSFQNRLVAVNTAWLWGRRIKH VSCGTSVAYALTDSGEVYCWGGSQRRWNYVYTPNDEVLPMTNRPFTARTEQLKLVAPS QVRSDAQAIDQSYVRNMFRNNAPPSPIVVSPEVQRQGAGAIVEYFNLGQTMPPDVRAG LALDKLQEIIEFDLSADLAANAMRLRGLAVHTAGKQVIVDDLSKALLLEIECMGGPFH DRMKRLDAKYRQALHTNNAKLVTELLKRGATTWRGLRKLYHALDEEVAAGVQAKQDNW DAKRDEIRRLRLKQDRIGRENAESSATKSAAQTIDVSGLTSRGPSQKQFQGGHALNEI SVGAKHALAIHASGKVYGWGFGSFGRLGNGTHADMPIPQPMTQLQNMRFRAVAAGYSH SLALRSDGQVFAWGSAATGKLGLEPDNPSIPLRPNTTPVGHRQASNHQVSVQSSSNAG ALDISDCFTIMPLPLSIPASVKKIACGPSHSAAITTDGALFVWGSGDGGRLGLGDGRF VDTDNELKGGRLGVLTTPTPVTSLKEHFLVDVSCGVSHTAVLSAVETDTANGAKGGVV YVCGSAHSLGKFCPAFVALPQLQGVPVSNVSCGNAHTAAVSVDGEVYTWGNNTGGCTG HTLVQRFVKVPTRLPCMYQMPQNMARNPHYNVVAVQSSVNSGYSAAMALDGVTDGQFE STCAQTFNEMCPFWQVDLGVNCRLDTIRIWNRTGNDGLRLFPLVVMVSESPYEAEGGK FMLRTCKAQSVWTKFSADCAAQNPLVWRVPQSTLGRYVRLQLDATNLLSLAQVEVLGV EDYKCIGPKGASVACGDDVTMVVCRPSVLQSDIDTKFLRALCADIDHLHVLNEYHTFA PCIHKFTDLRPHLTSCLLCTAQHTCPICILFQQIPASAVPPDIRTTTSLDKFSTFLLS HRPPRAFEGSAAAATMTDDETRLLQIAVDDEKPESELTKAGDMIFSTVHKVLAVTSTA QKVQPNPTIQPIHAAAADNIQKFQDQARPMSQSFLRKAMASSKETWQKYTSGKPKDKA TGNEVIRVQPKEVLPPDDTGQSPPLPQDASARGGGDGAP H257_02080 MAAAVESKRKTLFRNKAAATNVIYVSGIPLSKDIMDDPSNNDAP VEEHEAFLDAREMFQKYDRDKSGGIDLDEFKILLKDLNLAVPDGKAVVYFRKCDIAQK GFITFDEFRVALFTCDPSNPARTGGFCPGKALTPKDIFTLFDADDSGEMGRDEFERVL AFLSIKMALDKMEDLFSVYENPDSEALDYKSFRTIWLTLVDVRKELTHRNIPYNKLLP KSVLAKKLEAILDKEDAQEQYTIDEAKWNIEWERIRREREALVHTAKRFANYVLGDTL DAAGQVYVFGCGSFNRFDGAPATKDFVECPHFEKLLGLWTTRLRGADDWSPVVAPQVT HKSAGWESAAKKHEQLVRAQQAAVAAKTLDVIETQQLSFQNRLVAVNTAWLWGRRIKH VSCGTSVAYALTDSGEVYCWGGSQRRWNYVYTPNDEVLPMTNRPFTARTEQLKLVAPS QVRSDAQAIDQSYVRNMFRNNAPPSPIVVSPEVQRQGAGAIVEYFNLGQTMPPDVRAG LALDKLQEIIEFDLSADLAANAMRLRGLAVHTAGKQVIVDDLSKALLLEIECMGGPFH DRMKRLDAKYRQALHTNNAKLVTELLKRGATTWRGLRKLYHALDEEVAAGVQAKQDNW DAKRDEIRRLRLKQDRIGRENAESSATKSAAQTIDVSGLTSRGPSQKQFQGGHALNEI SVGAKHALAIHASGKVYGWGFGSFGRLGNGTHADMPIPQPMTQLQNMRFRAVAAGYSH SLALRSDGQVFAWGSAATGKLGLEPDNPSIPLRPNTTPVGHRQASNHQVSVQSSSNAG ALDISDCFTIMPLPLSIPASVKKIACGPSHSAAITTDGALFVWGSGDGGRLGLGDGRF VDTDNELKGGRLGVLTTPTPVTSLKEHFLVDVSCGVSHTAVLSAVETDTANGAKGGVV YVCGSAHSLGKFCPAFVALPQLQGVPVSNVSCGNAHTAAVSVDGEVYTWGNNTGGCTG HTLVQRFVKVPTRLPCMYQMPQNMARNPHYNVVAVQSSVNSGYSAAMALDGVTDGQFE STCAQTFNEMCPFWQVDLGVNCRLDTIRIWNRTGNDGLRLFPLVVMVSESPYEAEGGK FMLRTCKAQSVWTKFSADCAAQNPLVWRVPQSTLGRYVRLQLDATNLLSLAQVEVLGV EDYKCIGPKGASVACGDDVTMVVCRPSVLQSDIDTKFLRALCADIDHLHVLNEYHTFA PCIHKFTDLRPHLTSCLLCTAQHTCPICILFQQIPASAVPPDIRTTTSLDKFSTFLLS HRPPRAFEGSAAAATMTDDETRLLQIAVDDEKPESELTKAGDMIFSTVHKGM H257_02081 MLNGLKLFLDQNKEGVVPALGSVAGLFGMALFLHSKAALSMFVP GSSLPIGQVFNWAVATVFVLTSIHTAAVHQRASAPHDVTVILSFFSSLAFLLVAFSVS AIRAETKAVFYSVAASAIALFASSLFVLQVENSTTTKGAIAAALVVFASAVFQTAKTV GNSETKRDGSDFATTLLGSTRLLSNPDSVLGRDAVNSRFEQYDKLFTGARKEAGAIST DESIKHRQNEYQLMVDSFYDLVTDFYEYGWGQSFHFANRFKDETFTESIKRVEYFLAA KLGLSKDSYALDMGCGIGGPMRNIARFSGAKIKGLTINEYQVRVANRNNKQTGLDSRC HVQQGNFMEMPFEASTFDAIYAIESVCHAPDKKACFTEAARVLKPGGTFVGLDWAMLN DYDPKNMHHIALKEGIEVGNGLPTLETPEQIAKALTDAGLEVVTHYSLQDNYRDPHEI PWYETLEGKWTLQGFRMTWFGRQCTHALVTILETLKIAPKGTVKVSLMLNKTADDIVE AGRANIFTPSYFFMARKPLK H257_02082 MTGVLLPVAADANALNSQKRVLLIDNYDSFTYNLYQYLCELGAS VVVIRNDHKTAKELLAEYKATKEFDRILISPGPGFPKDAGISCDVIRTFQGIVPIAGV CLGLQCMFEVYGGTVGHAGEIIHGKQSEMENDGRGLFTNVPSPFKAIRYHSLVGLPET LPAELEVTSTLAGKSMIMGVRHKTFKVEGVQFHPESILTPDGKIMIQNFLNM H257_02083 MAAVMQASSTSSSDRHRSSSVDRMVRMPPELLLRPRAHSLSRAF RSKAAPPPPLPATFPSNQSERSPSNVEATPQHNHVHWKHVSSIVGHQPSTYTDVESFD DLVYNVGGLQPDPTRSVILHINDFLSRAATHVGYAYEVMPTTTLDQSPLTEDDVACWQ RLLAHPWEVDHEFDGCTNVDADTAEVLMDFAVVPPALPPPKSLWKRWLAPPKSTRLVH VTKTQMARLQCLQYIVNSCLTFGGFQFTIHDLVRVCFADVC H257_02084 MGKRTKKVGICGKYGVRYGSSLRKVVKKIEVSQHAKYNCVFCGK DSVKRESTGIWKCKSCHKVTAGGAYLLNTPSAATVRSTLARLRKAREANIE H257_02085 MELNMRISAYLPAIVRRRLETRDSVEVPDTHNAEVVSMFADVSG FTALTESLAARGPSGAEGLGKYLNSYFEQLLKLISSEGGDVFKFAGDAIIVFWLINPK EDTPETIVRRCIQCALRIQQHLHQATFARDVVLSIKMGIGVGRATIAHLGGVSDGATS RMEYVGVGPALIQAFGAEHHASPGDVICSAECWALVSSSFAGVPILEHGHAATDGCVR VTEVLHPVRCQSRRASFTRDDITLQKRMQQYVSRAVWPYLDDAEEFWGSELREVTVLF INLGFADADLSKMLDVASVHKLHAAFAAVQKCIYDYEGTINKFLVDDKGSTAIAVFGM PPVTHENDPIRGILASLAICAALTPLGLKASVGITSGTAFCGVVGHQGNRREYTVLGD IVNLAARLMQRAKSEHGGVITDESTKLSTEDVLHFEERPQIMVKGKHDSIKIHRPYPR MSNVIKHHLEKPTNTPRTINVMENMHSMQVTAAKRRLSAKLARLATDASPSDDDDGHP SSTSVPENAGALDIQAALRAKLELVNPLHSTGAFLLEGDIGVGKTRLIRSTLRSPKEV GAPEHPQQPSPRVVFATATPFTPGKDYVVFADVLVKLCPPSQAVGPTIAAWVAQGVAP QTHLLDHLHVLNDVLDGVEFDKPLSNQDSDSAVSGAAMAAWFVDLLATDLMENQSGDS SRASDARESLWCPSDLDVAGMLLLSALYAVTRDHPVVLCIDNAMYMDEKSWILTISVA KYFSNCLVVVVSRPPSVAVTQRTASCAFRKKLRALKELPSTTSCHMGRMTPVQIEMLA TRILNIPTLPTELANLLVSRSQGNPLFLHELIKVMKDQGVLWVDEKTQLCETRVQVAW ADKASAVACFGCQVKLPPKTTDRNRCKACGYVFCAPCTPKQCFKVLAGQGEPMRHCKG CFGMTRGRRPSFERSASDSRCLAPHPSSSSSSTLLHHHCQTSGNAGAAIAAADGKKPM HRKALSMFVHPSDPSTPLKHRMALVAPPTIKSVLTTLLDQLTVSQYMLMKTASVIGSS FDLDTVRAVYPIKGHYVKPAKQPPTDGDPPSTNVPDKNVLPVDRFMVDIHALERLSMI QPVDVFIGGLKVPSQTTKFEFCHGFMQDVIRSQMLSAQCDKLATRLADCREQKAKAMR QQFFAKAQGSLTPLSAPPSSLPQTNTHTPPVRCHSTPHVAPPPPFGPAPARTSAGDLH ITRSLSTVLKLKAGMVHVKKHGGVLSHFRLGSAAMWKRRWAVLHNTRLLLQYDNHRGG GRSTSMELNHARVSTCDMTHDPAGVKYHCLQLDVQEWCRMQPQATPESLSPRVFILGL ESARELDYWIYMLKYAIESLKEG H257_02085 MWTGGDVFKFAGDAIIVFWLINPKEDTPETIVRRCIQCALRIQQ HLHQATFARDVVLSIKMGIGVGRATIAHLGGVSDGATSRMEYVGVGPALIQAFGAEHH ASPGDVICSAECWALVSSSFAGVPILEHGHAATDGCVRVTEVLHPVRCQSRRASFTRD DITLQKRMQQYVSRAVWPYLDDAEEFWGSELREVTVLFINLGFADADLSKMLDVASVH KLHAAFAAVQKCIYDYEGTINKFLVDDKGSTAIAVFGMPPVTHENDPIRGILASLAIC AALTPLGLKASVGITSGTAFCGVVGHQGNRREYTVLGDIVNLAARLMQRAKSEHGGVI TDESTKLSTEDVLHFEERPQIMVKGKHDSIKIHRPYPRMSNVIKHHLEKPTNTPRTIN VMENMHSMQVTAAKRRLSAKLARLATDASPSDDDDGHPSSTSVPENAGALDIQAALRA KLELVNPLHSTGAFLLEGDIGVGKTRLIRSTLRSPKEVGAPEHPQQPSPRVVFATATP FTPGKDYVVFADVLVKLCPPSQAVGPTIAAWVAQGVAPQTHLLDHLHVLNDVLDGVEF DKPLSNQDSDSAVSGAAMAAWFVDLLATDLMENQSGDSSRASDARESLWCPSDLDVAG MLLLSALYAVTRDHPVVLCIDNAMYMDEKSWILTISVAKYFSNCLVVVVSRPPSVAVT QRTASCAFRKKLRALKELPSTTSCHMGRMTPVQIEMLATRILNIPTLPTELANLLVSR SQGNPLFLHELIKVMKDQGVLWVDEKTQLCETRVQVAWADKASAVACFGCQVKLPPKT TDRNRCKACGYVFCAPCTPKQCFKVLAGQGEPMRHCKGCFGMTRGRRPSFERSASDSR CLAPHPSSSSSSTLLHHHCQTSGNAGAAIAAADGKKPMHRKALSMFVHPSDPSTPLKH RMALVAPPTIKSVLTTLLDQLTVSQYMLMKTASVIGSSFDLDTVRAVYPIKGHYVKPA KQPPTDGDPPSTNVPDKNVLPVDRFMVDIHALERLSMIQPVDVFIGGLKVPSQTTKFE FCHGFMQDVIRSQMLSAQCDKLATRLADCREQKAKAMRQQFFAKAQGSLTPLSAPPSS LPQTNTHTPPVRCHSTPHVAPPPPFGPAPARTSAGDLHITRSLSTVLKLKAGMVHVKK HGGVLSHFRLGSAAMWKRRWAVLHNTRLLLQYDNHRGGGRSTSMELNHARVSTCDMTH DPAGVKYHCLQLDVQEWCRMQPQATPESLSPRVFILGLESARELDYWIYMLKYAIESL KEG H257_02085 MELNMRISAYLPAIVRRRLETRDSVEVPDTHNAEVVSMFADVSG FTALTESLAARGPSGAEGLGKYLNSYFEQLLKLISSEGGDVFKFAGDAIIVFWLINPK EDTPETIVRRCIQCALRIQQHLHQATFARDVVLSIKMGIGVGRATIAHLGGVSDGATS RMEYVGVGPALIQAFGAEHHASPGDVICSAECWALVSSSFAGVPILEHGHAATDGCVR VTEVLHPVRCQSRRASFTRDDITLQKRMQQYVSRAVWPYLDDAEEFWGSELREVTVLF INLGFADADLSKMLDVASVHKLHAAFAAVQKCIYDYEGTINKFLVDDKGSTAIAVFGM PPVTHENDPIRGILASLAICAALTPLGLKASVGITSGTAFCGVVGHQGNRREYTVLGD IVNLAARLMQRAKSEHGGVITDESTKLSTEDVLHFEERPQIMVKGKHDSIKIHRPYPR MSNVIKHHLEKPTNTPRTINVMENMHSMQVTAAKRRLSAKLARLATDASPSDDDDGHP SSTSVPENAGALDIQAALRAKLELVNPLHSTGAFLLEGDIGVGKTRLIRSTLRSPKEV GAPEHPQQPSPRVVFATATPFTPGKDYVVFADVLVKLCPPSQAVGPTIAAWVAQGVAP QTHLLDHLHVLNDVLDGVEFDKPLSNQDSDSAVSGAAMAAWFVDLLATDLMENQSGDS SRASDARESLWCPSDLDVAGMLLLSALYAVTRDHPVVLCIDNAMYMDEKSWILTISVA KYFSNCLVVVVSRPPSVAVTQRTASCAFRKKLRALKELPSTTSCHMGRMTPVQIEMLA TRILNIPTLPTELANLLVSRSQGNPLFLHELIKVMKDQGVLWVDEKTQLCETRVQVAW ADKASAVACFGCQVKLPPKTTDRNRCKACGYVFCAPCTPKQCFKVLAGQGEPMRHCKG CFGMTRGRRPSFERSASDSRCLAPHPSSSSSSTLLHHHCQTSGNAGAAIAAADGKKPM HRKALSMFVHPSDPSTPLKHRMALVAPPTIKSVLTTLLDQLTVSQYMLMKTASVIGSS FDLDTVRAVYPIKGHYVKPAKQPPTDGDPPSTNVPDKNVLPVDRFMVDIHALERLSMI QPVDVFIGGLKVPSQTTKFEFCHGFMQDVIRSQMLSAQCDKLATRLADCREQKAKAMR QQFFAKAQGSLTPLSAPPSSLPQTNTHTPPVRCHSTPHVAPPPPFGPAPARTSAGDLH ITRSLSTVLKLKAGMVRTCVPVMFIWSMASIARGGLVGSF H257_02086 MASHNHGEGCCGSHQGGGGLADYDIQGDDPTLSSCCIKDMKEQA EYVRIQTILQAHDVAEHRLAHRQQATASSISSTSSLFPPTPAISMTSFDPSKGDDDDD DDLDEFDYLLDDNEAATQRRVALEAKVKLQAQGLGIVWGDKEFAAFHTRVTGMQWDAL AKHKQNRPTVIAWRATDHESTMVLNAALVACAERYLGTCVYGVSSACSDKLQALCHRR ITQHNNTVVFVALNTHSQYLAHVSVTALDDAKWECEVLPWLHKCNVLRETFEATTREA AAAAAGEDNSKDIVEQGYDCGRDKCRLRHGYYHEHVGPSEESKRDISEWRREQS H257_02086 MASHNHGEGCCGSHQGGGGLADYDIQGDDPTLSSCCIKDMKEQA EYVRIQTILQAHDVAEHRLAHRQQATASSISSTSSLFPPTPAISMTSFDPSKGDDDDD DDLDEFDYLLDDNEAATQRRVALEAKVKLQAQGLGIVWGDKEFAAFHTRVTGMQWDAL AKHKQNRPTVIAWRATDHESTMVLNAALVACAERYLGTCVYGVSSACSDKLQALCHRR ITQHNNTVVFVALNTHSQYLAHVSVTALDDAKWECEVLPWLHKCNVLRETFEATTREA AAAAAGIQPMDKQRAKG H257_02088 MALESLVLKVVPPVLISTAMGLALVNSNNNSTDHAPATTTKPTT TTPIGREFAQPPPLPPQSSNHHQHPLMGWKGTR H257_02087 MSSTLEQIRVHFGHTDLYKILHVKQSATESELKTAYRKQALKHH PDKNLDNADATEKFQLLCTIHAVLSNADSRALYNETGEIDADTSADTKSFNDWVEYWR ALFPPLTENDITSFEATYRGSDDEAKDLLQAYEKYKGKWQNILDVVILARDEDVDRFA DIIQAAIDDGSVPLFPAFKKKPTVVVKKRSQKKMDAEAKAADDLMAQIRGRNGPSAAM AKRSANLDSLVAKLEGKYDDKPTKKQKASKSSEPSEADFLAAQRRLQAKLRK H257_02089 MVTPMYGHSSNVYARSAWSRATSSAAQWTGMPRILSTLVLVLAM SWRSCMGSYSQGVGDLGNREVASAVRYATASAVGRAESEIGAAKNVGHAGCGVRRRNL NTASPSNLCRRYTSLKHSWVASVSCSACFFDGFRRKN H257_02090 MVSLTKALAVFGLAVLAQAQIPSFFDDNAQAESICNTDESQNVV CYKDSEPAKVSKSSAVARLKISESWCTGWLFGSEGHLITNNHCINNDAAAANTRVEFA SVTPGCQDSVSLGSNPGVYATTNVSLILNDVKLDFALVKLNLVDGFDLSPYGYLQASE ASVNVNDTAYVMGHPYGKPRRIAMVKDGTTAGRITTTNYTERVQTDCYNVDRLGHNLD TEGGSSGSPLMSATSNLVIGLHNCGGCKASTRAYGSNYAIKITYIVNLLREKNVLPKD AVKSSTTVSPTTTPTTTAPTTTAPTTTTTPTTTAPSTTTPTTTTPTTTAPTTTAPSTT ATPTTATPTTTPSTTTVQSTVAPVPSKCSPIEDNTDYAGFDVASTSRANAADCCVDCD SIPGCKLFVWSPFNGGTCWLKSQVGTKVTSVGVKSAVLTSPVTTSAPPPTPPPSLCLP AKANYDFPGNDISYVSSRQFKDCCAECTSTYGCNFYVWTDYNSGTCWLKSKQGSDKVL SFGSRAAFAPGGGVDSTCSPVEVNTDYAGVDIVGVAGPLDTCCDACKANYKCNAYSWF NGVCYLKGKRHGASPNSHVQSARVYKCAAPQVNTDYVGNDIGSVVAEAAEDCCAVCRS TAKCKAYSYAQGVCYLKSAKGVTKSNGGVTSATVA H257_02091 MVSLTKALAILGLITVGQAQIPAFFEDVEQLESICNTDESQNNV CYKDSEPAKVSKSKAVARLRLNYIGSWCTGWLFGSEGHLMTNYHCINSADAAAFTRVE FDAVTPGCQDSSSRGSHPGKIAAENVTVIFSDRALDFALVKVNLIDEFDLTPYGYLQA SDQTVKVNDTAYVMGHPNGRPRRIAMVKDGAPTGRITTTNYTEAKPSLCLNVDRLGHN LDTEKGSSGTPLLSATTNLVIGLHNCGGCMAATTAYGANYAIKMTYIINLLRENNVLP KDAVKSTGTLTPTTTITSTPPTTTPSPATTTPTTTPTTTLPPTTIPTAPSTTPVQSKC SPIEDNTDYAGFDVASTSRANAADCCVDCDSIPGCKLFVWSPFNGGTCWLKSQVGTKV TSVGVKSAVLTSPVTTSAPPPTPPPSLCLPAKANYDFPGNDISYVSSRQFKDCCAVCT NTPGCNFYVWTDYNSGTCWLKSKQGSDKVLSFGSRAAFAPGGGVDSTCSPVEVNTDYA GVDIVGVAGPLDTCCDACKANYKCNAYSWFNGVCYLKGKRHGASPNSHVQSARVYKCA APQVNTDYVGNDIGSVVAEAAEDCCAVCRSTAKCKAYSYAQGVCYLKSAKGVTKSNGG VTSATVA H257_02092 MWTSLIPPSVRVGAVHLLTGVVTWLRGRVAQDVLVAPIACAEAA TSALAMVTDTSSEDGFRINCAPHDEDEWSEFSIDPHAFRSVGPCGRCTMVNVNQATST SRGAPLKQLASRGNPLGQ H257_02093 MVSLTKALAVLGLITVGQAQIPAFFEDVKQLESICNTDESQNNV CYKDSEPAKVSKSKAVARLRLNYIGSWCTGWLFGSEGHLMTNYHCINSADAAAFTRVE FDAVTPGCQDWSSRGSHLGKIAAENVTVIFSDRALDFALVKVNLIDEFNLTPYGYLQA SDQAVKVNDTAYLIGHPDGRPRRIAMVKDGTSTGRITTTNYTEAKPSLCLNVDRLGHN LDTEKGSSGTPLLSATTNLVIGLHNCGECKVATTAYGANYAIKMTYIINLLRENNVLP KDAVKSTGTLTPTTTITSTPPTTTPSPATTTPTTTPTTTLPPTTIPTAPSTAPVPSKC SPIEDNADYAGFDVASTSRVNAADCCADCDGIPGCKLFVWSYFNGGTCWLKSQVGIKV TSVGVKSAVLTSPVTTSAPPPTPSPSLCLPAEANYDFPSNDISYVSSRQFKDCCAVCT NTPGCNFYVWTDYNSGTCWLKSKQGSDKVLSFGSRAAFAPGGGVDSTCSPVEVNTDYT GEDIAGVAGPLDTCCDACKANEKCNAYSWFNGVCYLKGKRHGASPNSHVQSARVYKCA APQVNTDYVGNDIGSVVAEAAEDCCAVCRSTAKCKAYSYAQEVCYLKSAKGATKSNGG VTSATVA H257_02095 MGKPNQAQLKWTDDLDLALLREVLRVEPYDGEHGTLIQRWKEVA ASLSLYSNQGIPHRSVRDHYEGLVEAFKATDKSQRQLADTLKTAVKSKEKKRKESLES TASQLCVEAEQRVSKRQRPMSAKREQFDAMVDELLEFEKKKQMDDHRFRMGRLDFDRE EQQLRQAQMAENAQRGALLEKLFVDMGMLVKSIVNKKE H257_02096 MPTGCLKCGDAAHLYDKCPGASAEDRAKVKYKWAMVAKRMQNRK TLKPKAKKLRDSLMTANKKWREEQDKSREAKATEPEKKKLRRMLESPAGESVATINGV LDVPYCPDNGSDVGIISTAMVKTLRKLDETVQAKQLPKAWVGSAVGNLPVIAKTTVEL RVTLSTAAGQVKLPGKQLFYVVDDNDELIISKYALMSIGLDMDRLLEQVAMRQTHEDG DDIGDPGEAEDIAFGVSVQGLHANDEQLDVEDMQAAEQLYKMAIISANAAEQEQTVAF KLLRGIVVDTAAKGVWRTKFRGTDLPANVKAMEIRLKLFMQTTQAPTAAPSTRL H257_02097 MRKSSSVLTRHIARDVKSRAMKPKKRKTRKNNAKCVHGVTTANE AVAKGGTDAIDQATAMSHETIIQATARNRAKETVVVRTNDVMKTAVPVMDRPTANPSS KWDLRCDVAEAPLHPMEEFCATAKGNEMLGGDIHPGAAARRKTV H257_02098 MTATGLKPLSFRWILMGCIGALVLFQSVDVFLTYRATSLFRARS SDMPSGLCNLKTGKATPRDAKGAKHHRAREGPDNGFASAQKELP H257_02099 MAYARPGIARRFSEFRRFLVRPRSGFLPRGWRFAYEISLTSKEM KWILNDVPHEGPA H257_02100 MKYALLAFTVAAAALAQDHIVPAEVVWGDEAPDDGFEILGGQEA QFGKHRYVAGLKKSRDANTTCGGSLIAPNVVLTAAHCLTGKLRVVVVGTHYLTGFADG ELANIIQEIKHRKPTDVGIAILDRNITTIQPVAVSFEFVPAGVLTWVRGWGLVTNRGF QPQVLKEVNVTTWSNTAASAALSFPLADTTLSAGGVEGEDACTGDSGGPLTIEENGTA RLVGVSSWGFSCGVHGEPGIYERASAIRNFIEPYLPK H257_02101 MISASGFLANGSDTFHATTSRTVEAALGHELPQLEIRFHDLSIS AELVLASKQGKHDLPTLWNHAKKSFVGLCNRKHSVTKDIVHPVTGVLKPSTMTLVLGQ PGSGKSSFMQALAGIFPVTKSSTVQGTITYNGLTKAELQHRLPQFIAYTGQRDHHYHT LSVHETLAFAHQCHGGAVVPRHVLDALVHGTSDENADAVRVLQALYAVYPDVVVQQLG LTNCKDTVVGNVMLRGGCLGARGSA H257_02102 MKPVSLLDEISTGLDSAATFDIIKAQQSAARHLKKTIVVALLQP APEVFDLFDDVILFNQGYIMYHGRGLDAVGYFEAMGFTCPPYRDVADFLLDLGTTQQD QYLAVGDGATVPCLPSEFASLFQQSAMHQAMVDCAKGPASPQLLADTSSYMQQTPAFH NSFGKSVTHLIKRQLTVVLRNRVFIISRVMMTLTMGLLYGSSFYQVDPQLPQVVIGVT FQSLQFFIVSQIPNLPAILDNRHIFYKQRDANFFSTLSFVVAYSVSQIPFATLETLVF GNIMYWVSGFVMDATAYVTYMCMLFLVSFAFSAWFFFVGSASPDLHVAKPLAMMSVVV FILFGGFVIVEKDIPVYFIWLFWINPVAWVMRALAINQYTAPVFQNDTFDGFNYILAL NQTMGDFQLRLFDFPTDTNWISYAMAFMLTCYVLLTFLSCVVLEAKRYHAHEHVHAPA ALETDTVDGYVSTPPTPHTTDSISKDLSAAVEVVVPVTVAFQNLGYVVPNPKKGESDL HLLTNVTGYALPGTITALMGSTGAGKTTLMDVIAGRKTEGTTTGDILLNGYPATDLAM QQCTGYCEQMDIHSESATFREALTFSALLRQPRDVSETSKLAFVEDCLAMLELTHLGD SIIRGSSVEQMKRLTIGVELAAAPSVLFLDEPTSGLDARSAKIVMTGIRKIASTGRTV VCTIHQPSAEVFDMFDSLLLLQRGGQTVFFGDLGSKATNLIEYFSRVQPALSPLVAGV NPATWMLECIGAGMEVKSHDATPDMDFVKIFQASPEFQTLTTRVAKVAFPSSELAELK YAKKRAAPSATQCRLRIQRFLRMYWRTASYNWTRLMISIVLAVLFGSVFCKINFNTFA GANGGAGMIFITAVFLSLVSFNSVLPLATEERESFYRERAAQTYSAVSFVFTAIFYPF VGFEGTFGDAVFYGLNLSLMVLLNVYMGQLTAYAAPRVEVATLLGILINSIFFLFMGF NPPASSIPAGYRWLYHITPQKYSLAAMTASVLAKCDDGQGMGCGAIHDFPPFILKQLG KPHATMKDFIELVFEMKYDDAVGNSLVVVAFIVFFRVLALLALTKINHQKK H257_02103 MKTVAILMLVATANAVVDPVQQRDQATAAEPIGDLRAAESAKEW RWGGGYWGGGDEMLSIATQLLGTPPPAPHPQNPSTSSRH H257_02104 MGPTVIFPQLSSTIITEATMRLLLQLMAQTFEVTIGSNFARSAF THKGEPFDQSFSAQDETDIPPASSLVVTNETFVFAPLEWMKEDLNGLLPLFGRDADFR NLVMKTFEVIFRPENVLAVTYNPIFGSLWRLCCRQRLDPRLDDLTAKLSQCVPTLTGG AKVQVSQWLEESYNDSQRIRDAIANAAPLGPCFTLDIGHLSMSKASIRSLARAPQPGV LEGVQNILARLQYHQSPPVYSDKEDDDLMYLPQSHSNEYLFSFLPHLMFPCTTLSQRG VALVALVRTWLPFDYAVEFPEIFSAEFVQLLYRGQAYLTPFEQQVYRQLFVVHRLRLA ATKDVDVDSLWPDRKARCHTCGYDTSLSLMVSPTLCAMCVTYGDDAPTLQANTVVSGN ESHIVECHDCHGIYAVLQVALQRLAVRAPTSPSYMDGPPTKSRVRLSRWCFARPTIPW FKLFTQKRAVLLETSPAWDGSTVLHMVMHFQGKAILQSSAIYESLKAIVLTDEFSLPC LSSACGRCPTKVCTPCLTKWFGAAQPGHLVSPAMLACAFCRGFPTLGVLRKYNRDTCA LQMDSRAVIEPNMHYGWCLGCYRVKQMMKRDCTRDPPLDEVAFRCAECVDAHAAVDLE CILVESQECPNCHAHTEKAGGCNHITCICGQHWCFEFATGFDTAQLVYDHMYTSHRDD GGNE H257_02105 MIKGVLIVNNQGKLRVVKFYEHVPDAEQQAVIRDIYTQVSKRSD TLCNFLEGTVRYWGDGIKLIYRHYATLYFVFAVDKQESDLGILDIIHDKCFENVCELD FIFHSDKVHYVLDEIVMGGMVLESNINEILTAVNEMNRLDSNSTKRSLPGMGKK H257_02106 MNAAAVAVKEVVGSLLRKQSAHVANILAISFDKSTSDAPFLDND RVIEAACRSSFVGPLAAYHDIVAATLKAKRLVHEDKFVLAYDEHISGFIKFLEVFREE SNWLVPWLHVFVYDARMLALYADVEAGKKRGDGEVHDNVKNAEQHLKRAFSMTVNDRA APDLSKRPGTLYIVNQLFKIYFHLNAINLCRNLIRAVDLQSFDQFDKRDQVTYKYYLG RIYMFEDQYHHAEASLSFAWHHCHKKYTRNKRKILQFLVPVKLILGVVPSTQLIATYN LTEFEGISTALQQGNIREFNQSMDRYQDQFVLQGVYLLMEKLRAIVMRNLLKKVYLIR DKKNQLKLVDFQAAVDAVDSADLDMDALESLVANLIFMGYVKGYISHKLKILVLSKSN PFPAITDVLQDQSA H257_02107 MQFVQEETKETIYKIIMIGSAGVGKTNLIAVGARGQSYNDKSPA TLNPEFATVKIKRPDWKAGDAHQYITAHIWDTAGQERYQAISSSHYRRVNGAILVYDV TNKNTFKEVYPGVTSGGLSWLRALKQNSDPELLGGVLLVENKSDKIDKEQPRPPAYVQ ENEVTKLLMDVVYRDPVEGVGWLHDGKSDKVNPYRLANSMLYAKASALTNECELFEMN EVKVATVNEMFHKHTDAMAYEKTDAVTTVTKAIEALVLRIYERSKDMRAGGTKVKGKP FKLVKAPVVAPTSADQCC H257_02108 MTIPRIVWNVMSGRLRRRLAPPPPGILIDFPSVWKSRIGVVDTD TNLHLNNSSYFTQMELALDYAVGYTGIIDRVLANKWMFLVGSHAIRYRHAIPPNQPFE IHTQNIHWDHTWVYLRARFVCPETGKLYAEGLTRITLRKGRDTISPTELLRCLYSPHE VPELSPPTTVPFLVTEMLKWDAASEASMKGFDSSNAAGSPQNSTWRLFQSINWPWEAF KVPPPQS H257_02108 MTIPRIVWNVMSGRLRRRLAPPPPGILIDFPSVWKSRIGVVDTD TNLHLNNSSYFTQMELALDYAVGYTGIIDRVLANKWMFLVGSHAIRYRHAIPPNQPFE IHTQNIHWDHTWVYLRARFVCPETGKLYAEGLTRITLRKGRDTISPTELLRCLYSPHE VRYELLGAVAHSLESMRG H257_02108 MLSGMSITDSWWLLTSLSMHCRYTGIIDRVLANKWMFLVGSHAI RYRHAIPPNQPFEIHTQNIHWDHTWVYLRARFVCPETGKLYAEGLTRITLRKGRDTIS PTELLRCLYSPHEVPELSPPTTVPFLVTEMLKWDAASEASMKGFDSSNAAGSPQNSTW RLFQSINWPWEAFKVPPPQS H257_02109 MTFRLVFLVIVLVATAAATHHCTKANWNAVEDAVHDSPLSAACA ADMDMRLDDFLHHKQPTVEQTQAFDDSDNCKDLYELMQSVALDQNCAELDVFKLITWE MVVAIMDVAAYPKAAEACNKEEVKQAVEPLTYNPNLMACMSSTGLYASILTKSTPSVS QWDDVANNTACSNFYNDAQAVLKSLPHCSMEGPGGRDIHALEGVSFPIFVKWLQVLTV IHKEHLASGVNTAMMSLWGVQTTALSHEGKGQGAVIAQSMFTGAVMAFLGMFIYASTR RSGEETRRLLASRI H257_02110 MKAVIWVPSGEISHALANQCTDNAYGYYCCTTKTTTVTTTSVSS PVPSPTAKLTSTTAKPATSTASSMASSTTSRSPTTTTHHTPTTSPSNDINSLPSSTDP IVNALEGDPTDAPANNNPSFKWRQGHRICWLVD H257_02111 MRVLFAFVAVFVAAVCAENATTTVAPTTVAPTTVVPTTRTPNTT ASTTSTPTTTAATTANVTTVPVITTKAPTTTVEPTTEAPANTTAPATTLEVTTVVPTT TKSSVNASIIVPDSSTNTTNTSVIQSPDAVLETDAPFPTDPETLEPITAKPTTARPTT TAPSSAAATATVSAVVAVSLAAALL H257_02112 MEITLLTSAQYVDDHYKELKRKRPDEKDLDSSVEDKVISSAEVT SAARRLEVALQATSSAINEGTASSDLNQKRHLAACLHQLEGMWPVTPLDKKLTLLFTI LTQMSTTVGTISDLAAIDDKVATSSFPATTLESLPLSTQLAVKLFFRLIQSMRARSQA SGNYATLLRLVHHLPRMLTDLPPLALSPEQRLLTNDKSIFDELVTTVQHVIPQTKEDQ EAVLATLVGLSIKRGRLLHILGVVRLLLQADPTLPMQLALPFLHELAEAKAQETENGM PDERLHAGYLMSFGKGDHGKLGHGTCTHVTCADNKCTENKPVPTMLEASRDTMFIKID SLSTHSVAVTVAGELYTWGNGEKFRLGHGDATKEYVPRLVEAFRDKPRIKDVACGLGH TVVLTVSGDVYGWGNGGNGRLGLGDTGDQSVPMQVTFAHVKSELWGVGAVYCGASHTL AITMQGQLYTWGKNNQGQCGHGHTNDQLTPAQVLFFDEMDLKVASVAGGWEHTLICTT GGQAFACGCGYKDSRRAGLPPVLGVGMNDTDRRVKPALIPVLENCVAVACGWDHSLAV TGDGSVYSWGSGSNGKLGHGDEDNRDVPTKIQGLNGKAILDVKAGCEHTTAITTNGEM YTWGHSDSGRLGHGDNITRKLPCFVEAFAWQGYRPVSIAVGDKYNLVLVQPVAEKPPT SSSSSSNNQGLLAPPHHPKTLLVEAASLDESMPLTAASLAAHIMTHVDRLGRACMPQD NVQLLEKLRHVYLHPTSTPPAMAYAVDVSNETFLTLVDIITTTLGLDPVESKQSSVKT TNQLTTNLLVTSLRLVQVNLYRLLTCPKLAPPVVHKLFILLRELATLPVADDTQEISQ CAADALKIGFQVFYPTSVDQHELLWQLMTQSSSDLMLLRALVDRLCQDYVMVDLMARL FSKTHTCDVAASEIASAWDKDSLTYMDLMALMTRLVKQCADTDNTDPRLQLQLKLVAV LQSHVFAAWNPSMYCTRCINRVLGSYLEGLLGEGLSLLRKVHKGLLKGNDVSQLRPSF FHVLVPLAIECIQVTTPLRSNMALAKTLLPLLLPMLKLVDEITYKMSEDSKANNQALP IDIAWITELENACARLVGRYICMFLASPSSFKLDSSMASVPTCIQFVCRHGPFLQAAD PHDDLLRTWESNHVYDVSYETHPPEPVPTDVTLVDHLAILDWVAGHGGVVEDFHQYML RHVHPIPATTVDVVVLAIVVWHSGLLPSVFACIASIGAVELRRGCANHPPPQPALLHV WQWVLTYCAGTVDMTDTMRMQGKVLLQFYPSKCNFTVEPESNIPVNGCHHPTCTIQPT TPATVSLPQLVTALSRQHFDMGPSTTRGTPLKIIGLSILHDSLCKLTSSSAKCELLRA FIEPVECGGDMGGWLTLTVNAWQEPKVEFHRNAVADVDDVGLTPLQVLEQDMHTQYGV AYHSKLSKAFENLYIRLAKIVASPESSLLLKKRALNLWSVTFHDAPSVLRHTGILHTL GQLLQHEAAHVALAASPPLDILDDAISSSTHGVPSSSCLAPRLRPVEKLVYMATSRHN VHQACWHVFSWLCKQFMTNEQFMANDYDSAMSSDLRPSSSAKAVVCASPRKRLTLPPK LIVSTMEESFDHMVLVLLQEAAKIKDALTGWNHHATELTRCVNASHVLLLADPYVVSS APAIFTTTSPKEERAHDPRGWTVCMWIHVDEIGAVPVVLVANGDAPLVTLEHHGYIGL TWNDSMIKVVSTGALKRRGWTHVACNFFPDKAELYLNGVLDTAQVGQHYHVVMHPTFT VGGLCGDQSANQPEHHSSSHILLDDVTVHYTPLNPQQIGSLAAAGSLLFRIKQRQLLD QHCTSLLSLLAWLATVKAPSMTEEIPLLLALLPLCPPPAHPHIFRLLACILPSISPSL PIPALSASVVEVLVSQFGLAWFSESNGLYGPPELYDLLTCRKDSSCISTLLRAGLFDR DGGGVVTWLGLDGPLKAKSSSMLRAARSSLVDSFVTLFRALLLSPTWTPELLSKFAGH SPETILVPRRRRSDAPRSPSKKPNDLVVPLILSIYVLGGVGKPPPPTTVPPPVKMGDT MHGLLEWVLQHPLSIDGHGTTFMQDMDEDIQIAIYMHIRASLLRLAMAQTLHPCVART MLSHESVVTELLAVAVRPVQSSLEDVFGNELDVVSKLDNVQGLVDWIADDNNSNMTES VTKKRAILDTVATILFERLPYVRGADVTPWWVVSAAQSLHVLGGEVEVDEYCVKGLLH FPTVKLNGVSVTAGSGMWYYEVVLLSDGLMQIGWIDSGFESDALQGQGVGDHANSWAF DGFRRKKWNVGAMDYGEKWQSGDVVGVLLDTDRCEMHYFLNGRSLGIAFEGLRLNHPV YPAMSLNVDQSVQFHFTASQFLYLPAMDKIQPVSSAILGHMCEHNTSTSPKTTPPSSS SMQEQDARRTDLIDGLIGLGFPPEWALRCARETSTELSESGAIAWIMEQMEQEGQAVR PPPPSLHGFDTSSQHLQTLDGFTDVVKSPALDPPPPSTSLLCVSIDDKDMESTNPFLA DEVCEDAYSVECFSPPRLPPPTSSPNANPTDKKASTFVLELADTCRDEEILPLYLIAE TARSKWCAQDTLNQMLVHSTTPSPAAFPHGPFLAFVQSVIVGRSTDDDVDMKDSHLGA NYTRLLHAMCAKNARFVSILVDEMLRHFTLACDKDYWYTSFRPGDRATSVSSPFPPVN LDWSCWLARVLFAFAHNDNAAEANAVIRASNVWPTLVKAATNCNATLRHRAITVMTWY LQQDGLVGESGVPCLRFEYFVEWLAARVRKESPMRVVYSDYTQSLFQLVVTIDTLLAA TDISNIASPPPSPPSPPLSDLVVEYISTTNVTISYPVTLDTRVSHFQLATQSLVHGRL SPFNDVLEPPVQPGQVSYTFSDLEPDTLYSVRAILTTAEPTGSVTFETLCESHLELDA SSMGANLELVHHNMTVRNRVNKKWNAVRASVAYTSGVHVWDVRIDKCVSKNIFVGICT ADASMENYVGSDAWGWGFLANKAVWHNKSKLQTYGDMFKQGDVITVALDVDHGTLSFA RNGESFGVGVDNLPSQDQGGYFPAISMYNKDDQVTFLPHDEATTGSKGAKSGIASIMR KVEAFQRLQTLWTRQEPPLDVYSAWVLWTIGQLKYVVGADGNAVAVDVTDNACAPFGL QPCDVVFTPKGMCTVLGVAHHLLWYALESHNQPSGHVLAHWNVQTCRDMQSREHEFPI TRHHRTHHSHDAQAETPICDIVSYDDFAVRQRSWTPALDEALIARLHSLAQLHRVDSM FHLTAKEIVHALEKHALDGMSAMDCLCRTGVFHASNQLLHAVIPYLASSSGAHGIPRA GRHSCIVGLKFARDLIKKSTTLTLPPAAATDDDVDNDPVDLPKCKLTLPSMPCIPYWE QQVDQTGLRRPSLETLNTPPSRSDPIQDHHNRAAASIAVTLSTFFDQIADPRDLRRQF TAPSTSVYSTSVQPRAFRVVCEDMPDPTASFLYALREAAREVQSPRVPLFVPVATYSS SPMNPTQKLATMVNPLEKDVTLFEGVGRIMGIAWRCDVSMPWLFGPIVWKYLTGECIT LADWQEGGGGADGVSATTRTKRVVDTALAMSSWTQEDFDIHQIPYCAVEDTATDIVSL DTREVYVQSVLASLILPYQEALAAIRRGITAIVPAACLSLLSAVQLQTQLTYPSVDLL ALEAGTTYGGKHAAAAVCSDAAAGFWKLVHGYSTADQRHFCRFLSGHDGGNMSMLRLD IHLSPPMMQQPPSMSPSSCEDSNDHMMTKYGYPHVERDLPSDEDRTTPVRLYVPAYAS VEMLQKKLHLAMTHHTDQTPLM H257_02112 MEITLLTSAQYVDDHYKELKRKRPDEKDLDSSVEDKVISSAEVT SAARRLEVALQATSSAINEGTASSDLNQKRHLAACLHQLEGMWPVTPLDKKLTLLFTI LTQMSTTVGTISDLAAIDDKVATSSFPATTLESLPLSTQLAVKLFFRLIQSMRARSQA SGNYATLLRLVHHLPRMLTDLPPLALSPEQRLLTNDKSIFDELVTTVQHVIPQTKEDQ EAVLATLVGLSIKRGRLLHILGVVRLLLQADPTLPMQLALPFLHELAEAKAQETENGM PDERLHAGYLMSFGKGDHGKLGHGTCTHVTCADNKCTENKPVPTMLEASRDTMFIKID SLSTHSVAVTVAGELYTWGNGEKFRLGHGDATKEYVPRLVEAFRDKPRIKDVACGLGH TVVLTVSGDVYGWGNGGNGRLGLGDTGDQSVPMQVTFAHVKSELWGVGAVYCGASHTL AITMQGQLYTWGKNNQGQCGHGHTNDQLTPAQVLFFDEMDLKVASVAGGWEHTLICTT GGQAFACGCGYKDSRRAGLPPVLGVGMNDTDRRVKPALIPVLENCVAVACGWDHSLAV TGDGSVYSWGSGSNGKLGHGDEDNRDVPTKIQGLNGKAILDVKAGCEHTTAITTNGEM YTWGHSDSGRLGHGDNITRKLPCFVEAFAWQGYRPVSIAVGDKYNLVLVQPVAEKPPT SSSSSSNNQGLLAPPHHPKTLLVEAASLDESMPLTAASLAAHIMTHVDRLGRACMPQD NVQLLEKLRHVYLHPTSTPPAMAYAVDVSNETFLTLVDIITTTLGLDPVESKQSSVKT TNQLTTNLLVTSLRLVQVNLYRLLTCPKLAPPVVHKLFILLRELATLPVADDTQEISQ CAADALKIGFQVFYPTSVDQHELLWQLMTQSSSDLMLLRALVDRLCQDYVMVDLMARL FSKTHTCDVAASEIASAWDKDSLTYMDLMALMTRLVKQCADTDNTDPRLQLQLKLVAV LQSHVFAAWNPSMYCTRCINRVLGSYLEGLLGEGLSLLRKVHKGLLKGNDVSQLRPSF FHVLVPLAIECIQVTTPLRSNMALAKTLLPLLLPMLKLVDEITYKMSEDSKANNQALP IDIAWITELENACARLVGRYICMFLASPSSFKLDSSMASVPTCIQFVCRHGPFLQAAD PHDDLLRTWESNHVYDVSYETHPPEPVPTDVTLVDHLAILDWVAGHGGVVEDFHQYML RHVHPIPATTVDVVVLAIVVWHSGLLPSVFACIASIGAVELRRGCANHPPPQPALLHV WQWVLTYCAGTVDMTDTMRMQGKVLLQFYPSKCNFTVEPESNIPVNGCHHPTCTIQPT TPATVSLPQLVTALSRQHFDMGPSTTRGTPLKIIGLSILHDSLCKLTSSSAKCELLRA FIEPVECGGDMGGWLTLTVNAWQEPKVEFHRNAVADVDDVGLTPLQVLEQDMHTQYGV AYHSKLSKAFENLYIRLAKIVASPESSLLLKKRALNLWSVTFHDAPSVLRHTGILHTL GQLLQHEAAHVALAASPPLDILDDAISSSTHGVPSSSCLAPRLRPVEKLVYMATSRHN VHQACWHVFSWLCKQFMTNEQFMANDYDSAMSSDLRPSSSAKAVVCASPRKRLTLPPK LIVSTMEESFDHMVLVLLQEAAKIKDALTGWNHHATELTRCVNASHVLLLADPYVVSS APAIFTTTSPKEERAHDPRGWTVCMWIHVDEIGAVPVVLVANGDAPLVTLEHHGYIGL TWNDSMIKVVSTGALKRRGWTHVACNFFPDKAELYLNGVLDTAQVGQHYHVVMHPTFT VGGLCGDQSANQPEHHSSSHILLDDVTVHYTPLNPQQIGSLAAAGSLLFRIKQRQLLD QHCTSLLSLLAWLATVKAPSMTEEIPLLLALLPLCPPPAHPHIFRLLACILPSISPSL PIPALSASVVEVLVSQFGLAWFSESNGLYGPPELYDLLTCRKDSSCISTLLRAGLFDR DGGGVVTWLGLDGPLKAKSSSMLRAARSSLVDSFVTLFRALLLSPTWTPELLSKFAGH SPETILVPRRRRSDAPRSPSKKPNDLVVPLILSIYVLGGVGKPPPPTTVPPPVKMGDT MHGLLEWVLQHPLSIDGHGTTFMQDMDEDIQIAIYMHIRASLLRLAMAQTLHPCVART MLSHESVVTELLAVAVRPVQSSLEDVFGNELDVVSKLDNVQGLVDWIADDNNSNMTES VTKKRAILDTVATILFERLPYVRGADVTPWWVVSAAQSLHVLGGEVEVDEYCVKGLLH FPTVKLNGVSVTAGSGMWYYEVVLLSDGLMQIGWIDSGFESDALQGQGVGDHANSWAF DGFRRKKWNVGAMDYGEKWQSGDVVGVLLDTDRCEMHYFLNGRSLGIAFEGLRLNHPV YPAMSLNVDQSVQFHFTASQFLYLPAMDKIQPVSSAILGHMCEHNTSTSPKTTPPSSS SMQEQDARRTDLIDGLIGLGFPPEWALRCARETSTELSESGAIAWIMEQMEQEGQAVR PPPPSLHGFDTSSQHLQTLDGFTDVVKSPALDPPPPSTSLLCVSIDDKDMESTNPFLA DEVCEDAYSVECFSPPRLPPPTSSPNANPTDKKASTFVLELADTCRDEEILPLYLIAE TARSKWCAQDTLNQMLVHSTTPSPAAFPHGPFLAFVQSVIVGRSTDDDVDMKDSHLGA NYTRLLHAMCAKNARFVSILVDEMLRHFTLACDKDYWYTSFRPGDRATSVSSPFPPVN LDWSCWLARVLFAFAHNDNAAEANAVIRASNVWPTLVKAATNCNATLRHRAITVMTWY LQQDGLVGESGVPCLRFEYFVEWLAARVRKESPMRVVYSDYTQSLFQLVVTIDTLLAA TDISNIASPPPSPPSPPLSDLVVEYISTTNVTISYPVTLDTRVSHFQLATQSLVHGRL SPFNDVLEPPVQPGQVSYTFSDLEPDTLYSVRAILTTAEPTGSVTFETLCESHLELDA SSMGANLELVHHNMTVRNRVNKKWNAVRASVAYTSGVHVWDVRIDKCVSKNIFVGICT ADASMENYVGSDAWGWGFLANKAVWHNKSKLQTYGDMFKQGDVITVALDVDHGTLSFA RNGESFGVGVDNLPSQDQGGYFPAISMYNKDDQVTFLPHDEATTGSKGAKSGIASIMR KVEAFQRLQTLWTRQEPPLDVYSAWVLWTIGQLKYVVGADGNAVAVDVTDNACAPFGL QPCDVVFTPKGMCTVLGVAHHLLWYALESHNQPSGHVLAHWNVQTCRDMQSREHEFPI TRHHRTHHSHDAQAETPICDIVSYDDFAVRQRSWTPALDEALIARLHSLAQLHRVDSM FHLTAKEIVHALEKHALDGMSAMDCLCRTGVFHASNQLLHAVIPYLASSSGAHGIPRA GRHSCIVGLKFARDLIKKSTTLTLPPAAATDDDVDNDPVDLPKCKLTLPSMYSSTVTS LYHTNHPHCPVYIYIYIYHVGRVFRTGSNKSIRQGCDDHLLKL H257_02112 MEITLLTSAQYVDDHYKELKRKRPDEKDLDSSVEDKVISSAEVT SAARRLEVALQATSSAINEGTASSDLNQKRHLAACLHQLEGMWPVTPLDKKLTLLFTI LTQMSTTVGTISDLAAIDDKVATSSFPATTLESLPLSTQLAVKLFFRLIQSMRARSQA SGNYATLLRLVHHLPRMLTDLPPLALSPEQRLLTNDKSIFDELVTTVQHVIPQTKEDQ EAVLATLVGLSIKRGRLLHILGVVRLLLQADPTLPMQLALPFLHELAEAKAQETENGM PDERLHAGYLMSFGKGDHGKLGHGTCTHVTCADNKCTENKPVPTMLEASRDTMFIKID SLSTHSVAVTVAGELYTWGNGEKFRLGHGDATKEYVPRLVEAFRDKPRIKDVACGLGH TVVLTVSGDVYGWGNGGNGRLGLGDTGDQSVPMQVTFAHVKSELWGVGAVYCGASHTL AITMQGQLYTWGKNNQGQCGHGHTNDQLTPAQVLFFDEMDLKVASVAGGWEHTLICTT GGQAFACGCGYKDSRRAGLPPVLGVGMNDTDRRVKPALIPVLENCVAVACGWDHSLAV TGDGSVYSWGSGSNGKLGHGDEDNRDVPTKIQGLNGKAILDVKAGCEHTTAITTNGEM YTWGHSDSGRLGHGDNITRKLPCFVEAFAWQGYRPVSIAVGDKYNLVLVQPVAEKPPT SSSSSSNNQGLLAPPHHPKTLLVEAASLDESMPLTAASLAAHIMTHVDRLGRACMPQD NVQLLEKLRHVYLHPTSTPPAMAYAVDVSNETFLTLVDIITTTLGLDPVESKQSSVKT TNQLTTNLLVTSLRLVQVNLYRLLTCPKLAPPVVHKLFILLRELATLPVADDTQEISQ CAADALKIGFQVFYPTSVDQHELLWQLMTQSSSDLMLLRALVDRLCQDYVMVDLMARL FSKTHTCDVAASEIASAWDKDSLTYMDLMALMTRLVKQCADTDNTDPRLQLQLKLVAV LQSHVFAAWNPSMYCTRCINRVLGSYLEGLLGEGLSLLRKVHKGLLKGNDVSQLRPSF FHVLVPLAIECIQVTTPLRSNMALAKTLLPLLLPMLKLVDEITYKMSEDSKANNQALP IDIAWITELENACARLVGRYICMFLASPSSFKLDSSMASVPTCIQFVCRHGPFLQAAD PHDDLLRTWESNHVYDVSYETHPPEPVPTDVTLVDHLAILDWVAGHGGVVEDFHQYML RHVHPIPATTVDVVVLAIVVWHSGLLPSVFACIASIGAVELRRGCANHPPPQPALLHV WQWVLTYCAGTVDMTDTMRMQGKVLLQFYPSKCNFTVEPESNIPVNGCHHPTCTIQPT TPATVSLPQLVTALSRQHFDMGPSTTRGTPLKIIGLSILHDSLCKLTSSSAKCELLRA FIEPVECGGDMGGWLTLTVNAWQEPKVEFHRNAVADVDDVGLTPLQVLEQDMHTQYGV AYHSKLSKAFENLYIRLAKIVASPESSLLLKKRALNLWSVTFHDAPSVLRHTGILHTL GQLLQHEAAHVALAASPPLDILDDAISSSTHGVPSSSCLAPRLRPVEKLVYMATSRHN VHQACWHVFSWLCKQFMTNEQFMANDYDSAMSSDLRPSSSAKAVVCASPRKRLTLPPK LIVSTMEESFDHMVLVLLQEAAKIKDALTGWNHHATELTRCVNASHVLLLADPYVVSS APAIFTTTSPKEERAHDPRGWTVCMWIHVDEIGAVPVVLVANGDAPLVTLEHHGYIGL TWNDSMIKVVSTGALKRRGWTHVACNFFPDKAELYLNGVLDTAQVGQHYHVVMHPTFT VGGLCGDQSANQPEHHSSSHILLDDVTVHYTPLNPQQIGSLAAAGSLLFRIKQRQLLD QHCTSLLSLLAWLATVKAPSMTEEIPLLLALLPLCPPPAHPHIFRLLACILPSISPSL PIPALSASVVEVLVSQFGLAWFSESNGLYGPPELYDLLTCRKDSSCISTLLRAGLFDR DGGGVVTWLGLDGPLKAKSSSMLRAARSSLVDSFVTLFRALLLSPTWTPELLSKFAGH SPETILVPRRRRSDAPRSPSKKPNDLVVPLILSIYVLGGVGKPPPPTTVPPPVKMGDT MHGLLEWVLQHPLSIDGHGTTFMQDMDEDIQIAIYMHIRASLLRLAMAQTLHPCVART MLSHESVVTELLAVAVRPVQSSLEDVFGNELDVVSKLDNVQGLVDWIADDNNSNMTES VTKKRAILDTVATILFERLPYVRGADVTPWWVVSAAQSLHVLGGEVEVDEYCVKGLLH FPTVKLNGVSVTAGSGMWYYEVVLLSDGLMQIGWIDSGFESDALQGQGVGDHANSWAF DGFRRKKWNVGAMDYGEKWQSGDVVGVLLDTDRCEMHYFLNGRSLGIAFEGLRLNHPV YPAMSLNVDQSVQFHFTASQFLYLPAMDKIQPVSSAILGHMCEHNTSTSPKTTPPSSS SMQEQDARRTDLIDGLIGLGFPPEWALRCARETSTELSESGAIAWIMEQMEQEGQAVR PPPPSLHGFDTSSQHLQTLDGFTDVVKSPALDPPPPSTSLLCVSIDDKDMESTNPFLA DEVCEDAYSVECFSPPRLPPPTSSPNANPTDKKASTFVLELADTCRDEEILPLYLIAE TARSKWCAQDTLNQMLVHSTTPSPAAFPHGPFLAFVQSVIVGRSTDDDVDMKDSHLGA NYTRLLHAMCAKNARFVSILVDEMLRHFTLACDKDYWYTSFRPGDRATSVSSPFPPVN LDWSCWLARVLFAFAHNDNAAEANAVIRASNVWPTLVKAATNCNATLRHRAITVMTWY LQQDGLVGESGVPCLRFEYFVEWLAARVRKESPMRVVYSDYTQSLFQLVVTIDTLLAA TDISNIASPPPSPPSPPLSDLVVEYISTTNVTISYPVTLDTRVSHFQLATQSLVHGRL SPFNDVLEPPVQPGQVSYTFSDLEPDTLYSVRAILTTAEPTGSVTFETLCESHLELDA SSMGANLELVHHNMTVRNRVNKKWNAVRASVAYTSGVHVWDVRIDKCVSKNIFVGICT ADASMENYVGSDAWGWGFLANKAVWHNKSKLQTYGDMFKQGDVITVALDVDHGTLSFA RNGESFGVGVDNLPSQDQGGYFPAISMYNKDDQVTFLPHDEATTGSKGAKSGIASIMR KVEAFQRLQTLWTRQEPPLDVYSAWVLWTIGQLKYVVGADGNAVAVDVTDNACAPFGL QPCDVVFTPKGMCTVLGVAHHLLWYALESHNQPSGHVLAHWNVQTCRDMQSREHEFPI TRHHRTHHSHDAQAETPICDIVSYDDFAVRQRSWTPALDEALIARLHSLAQLHRYIYI LR H257_02113 MESLAELNGYLLKKSRQGRYQKRWFEATSHYLTYYKNAESDKLL ACIDLWRSGNIQLGVPAGTTTTDTSPADFSLRIGDQDYLLRAVDHDEAIRWVEGLKAR QVKQEGAISDSYSHHEECYNDTSSEVSSVYNDDTRASLGPNSRPTFAALDREEAAAQA LVGASVRHAPPPPIIPLQTKASASFRLAAPPVVPAAVPPPSPYFSAPPPQVHKTHDND DKVTVCCGRCQFM H257_02114 MNYSRWDHIDDSSSSEDDDNEVSIGAWIRSTNQMQSRPARRQNS WGDLLDNATIRRKHMDLLLTLQDTRLHVKTAGFDAVSSGFVSTAPVTSLAKVDSITTL RHGVINRGTILWCKVAAPVMRIVGVLVLVDDGSGQLFQIGLYNFVDSQASTLDCQRLL LEGTLLGVKEPYVKTFASGFMGLRTDHPSNIVVKRPNEQMHATVPPTAASSIEGLKAQ GNAHFQAGDIIAAESCYSAALKQTTEAASPDMTVALLNNRAMCSLKRCAFVEALEDAT KALSLVPTNAKSLFRLALALTGLRRHEESHAILMLLPRSKDVMTWRDVVARRVAESIH GHFKPIDVMGGTIVEDYIGPVEVKMTRGKGRGLFLTQPVRRGDLLLVEKAYATSLAIL NERRR H257_02115 MEPKAEQEPTWITLAGDKDEIDLFLVCDTTGSMGTYLPALKASL RQVFLVAKLLFHGRLMVHIVSYKDYCDGNGLLSTVSRRTSRNDAIVKFVDDLKPTGGG DFPEAVKTALNHVIMTVDDIRATVSATSRALVFLYTDAPPHHQTTRSNNQSREIEAIQ DNPKYRGGHDWFQLQRTLQDLGIPVYTFHSPTRDYLSPSFYAAMGPTVILPQLSSTII TEATMGLLLQLMAQTFEVTIGSNFARSAFTHKGEPFDQSFSAQDETDIPPASSLVVTN ETFVFAPLEWMKEDLNGLLPLFGRDADFRNLVMKTFEVIFRPENVLAVTYNPIFGKLW RLCCRQRLDPRLDDLTAKLSQCVPTLTEGAKVQVSQWLEESYNDSQRIRDAIANAAPM GPCFTLDIGHLSMSKASIRSLARAPQPGVLEGVQNILARLQYHQSPPAYSDKEDDDLM YLPLSLSNEYLFSFLPHLMFPGTTLSQRGAALVALVCCLSNHIHLYDRAAEYLTLIQG TWLPFDYAVEFPEIFSAEFVQLLYRGQAYLTPFEQQVYRQLFVVHRLRLAATKDVDVV VGYTPQKDSLWPDRKARCHTCGYDTSLSLMVSPTLCAMCVTYGDDAPTLQANTVVPGN ESHMVSCHDCHGIYAVLQVAQLGTAAKCWFCRTNNISPLPPPPKISCSGCLNQFIDPA GLYRANGSPSNGWLCPVCTDAPVRATTTTSVPFNALMRTNPHVAVVHGWTTDKVKSVF VEMVFHTPYDSMFKLFTQKQAVLLATSPTNDPVTVLHMAMHFQGKAILQSSAIYESLK AIVLTDALRDVCNMCFEEFSLPCLSSACGRCPTKVCTPCLTKWFGAAQPGHLVSPAML ACAFCRGFPTLGVLRKYNRDACALKMDSRSVIEPNMFYGWCLGCYRVKQMMKRDCTRD PPLDEVAFRCAECVDAHAAVDLECILVESQECPNCHAHTEKAGGCNHITCICGQHWCF ECATGFDTAQLVYDHMYTSHRDDGGNE H257_02116 MKTVAILMLVATANAAVDPAQQRDQATAGEPIGDLRAAESAKEW RWGGGYWGGGGGGGYWESGKI H257_02117 MLRPSSLALLVLAATAANPSNANAYPAYCAKDMSANKIQPLEPS TARTLQLVQVQVVVRHGARTPWSGKKCWDGYDEEWNCSIRELQRPEIVGNKTWAASRE FEKIYTRGDNTFRGTCNLGQMIDEGYSQQVSNGQHLREAYVGPQGLFKSTEGLNFTNT SDVYFESSDIPRTINSGMIIMDTLFTSTNASSSSIASPSKPPPVPWHTNDYARNTITP NPSMCPKLNWIDAHWRQSPEYVRWTRSAANTKLEQDIRRVVTNYDHVTLYDCFMTAKC TDRALPAGIDDDLFVRSTSREESVQIQQYLYNHSAYAQAGMAAYTKRLRDRAVAVTLG RGPRFVLSAAHDTTIMPLLAALGGSAWLTEWVPYASHMIFEVYANATSHYVRVLYQGQ PLAIPGCATEVCPFEALLALTAFSIDESICDEPSTAGQQKQQQPIATLPAPESVSSSG WTYAYVVGGVLAGTALGYFAGARSADRQGYTALGDKSLS H257_02117 MLRPSSLALLVLAATAANPSNANAYPAYCAKDMSANKIQPLEPS TARTLQLVQVQVVVRHGARTPWSGKKCWDGYDEEWNCSIRELQRPEIVGNKTWAASRE FEKIYTRGDNTFRGTCNLGQMIDEGYSQQVSNGQHLREAYVGPQGLFKSTEGLNFTNT SDVYFESSDIPRTINSGMIIMDTLFTSTNASSSSIASPSKPPPVPWHTNDYARNTITP NPSMCPKLNWIDAHWRQSPEYVRWTRSAANTKLEQDIRRVVTNYDHVTLYDCFMTAKC TDRALPAGIDDDLFVRSTSREESVQIQQYLYNHSAYAQAGMAAYTKRLRDRAVAVTLG RGPRFVLSAAHDTTIMPLLAALGGSAWLTEWVPYASHMIFEVYANATSHYVRVLYQGQ PLAIPGCATEVCPFEALLALTAFSIDESICDEPSTAGQQKQQQPIATLPGTYDLDWAP ESVSSSGWTYAYVVGGVLAGTALGYFAGARSADRQGYTALGDKSLS H257_02117 MLRPSSLALLVLAATAANPSNANAYPAYCAKDMSANKIQPLEPS TARTLQLVQVQVVVRHGARTPWSGKKCWDGYDEEWNCSIRELQRPEIVGNKTWAASRE FEKIYTRGDNTFRGTCNLGQMIDEGYSQQVSNGQHLREAYVGPQGLFKSTEGLNFTNT SDVYFESSDIPRTINSGMIIMDTLFTSTNASSSSIASPSKPPPVPWHTNDYARNTITP NPSMCPKLNWIDAHWRQSPEYVRWTRSAANTKLEQDIRRVVTNYDHVTLYDCFMTAKC TDRALPAGIDDDLFVRSTSREESVQIQQYLYNHSAYAQAGMAAYTKRLRDRAVAVTLG RGPRFVLSAAHDTTIMPLLAALGGSAWLTEWVPYASHMIFEVYANATSHYVRVLYQGQ PLAIPGCATEVCPFEALLALTAFSIDESICDEPSTAGQQKQQQPIATLPGTYDLDWGM YMGGAQLKLALLYIAPESVSSSGWTYAYVVGGVLAGTALGYFAGARSADRQGYTALGD KSLS H257_02118 MQRNLKELVAMDLHVHKDDGGGNMEKEDKDAVLPAFLSKTYEIF STPSFASICGWNATGDTIIIHDPDVFVKQVLPRFFKHRNLPSFVRQLNMYGFHKSVLD SNKREFRHKMFLRDKPEMLRLIKRKVNTAPASAAAPAGAAAMELKRDELSHEILHEMR SLKMKNELMEKRLRDVEIDNAIVRSDNLKLWKQLESAKEKQMVMQEKMKKILWVLFQI YRGKHNQVPTLSTDNNGATILTEELVSGSSRLGPKEFRDVLRFLAMDDPPLLQAAADG STSAADKLQLPKSAMKKRKFVEVPTISALPAPGTPVMNHLQSQLQHHAFPSSASMNNL HDHFFEIVETSPPRMPQAQKAIKPKKLPKTSMLAEPTKATHGGSALSIFSPLGTIPSN TAAAMPPQKIMSPPPSPLSLEKSHSFDGTHDGSILHTDLIDDDLLLHDDSLNFGEVES ENVMRKLEDFESSLLNEYDVTCLDSLLKQIHQQPTPNDHPSSPPSTSTSKLL H257_02119 MLSSLRRAAVRLPANRQQLKRTLASATPSAATAQPKPSSTVWGV LGKGALAATGVAAGAIYYRMQTDEGFSRSAYFYAKVLPAYVDYRVTQFYVEDILKLAD PDQDEYYDRLHNRYSPEIFDVILNLKGFYIKIAQLSSTRDDFVPKQYLDRAKQLQSDA PAKSIDEVVAIIEQSTGKPIGALFQSIDPTPLGAASIGQVHKAILLDGTTVVVKVQYP EAEKHFRNDIGTIKSFCALAQPAHLPMMNEIEKQFMNEFDYRKEADHLSEVRANIEAS PYSHSFVVPRPYPDLCTKDLLVMEYLQGKSLLDGIHQHFEVIANERHTTVDALRAEQD KEDAERDAAGLARRTGPSEEDLRRYQKLLQARDTWTATKHVLYDYSVGWLYPRASNSS VDEGGKLLNLAEILRLVVEVHGYEILVNGCFNGDPHPGNIMLLDDGRIGLIDYGQVKK ITKHQRHQLARCFVALAEGTKEDVVACAVDLGLRTKHMDRDVLEKYMRVAFDRDDKQV TDGLNIQLFIEALEARDPIVSQADDFVMASRVSLLLRGLSYALRYPMSHAQMWAPLAK QILATEPED H257_02120 MKHSEVSASDKGSTNNHRSTGIPMIELPAPIDSADVPPAPKPHS PDESSKWLSWYLGAPGTIAGSAVGFALVYGLTSIPSFTKWINGLENPYRVGDSVHTVG KLYFRALNCVTLPLAFLNVALSIADMVTSKRMFKIRWKLLGYTALTTTLALIQIMVWS SVFADKFNGSTYIYDWGTPTTPLMQLMCPGQNGTTILLMDNQTQQLSCQPPMYTGSYV GDRDARLMYMDDYSNAYTTRKVGTDFINKIRPLRADIKNTVHHLMPDNMFEIFFTNNV VGLVLFAIVFGMGAGYASKTGTTGNMIDILRELHSVVKIMMSYVITVTPVALIPLFAG PLIVGTHSVEQDVPRLCYFLLTLGLAGTIHMFVVLPLLLIATTRTNPYRYFNVLRDAL AYAFACSSSSKSLPVALRFMDGTGGDRNMSRFAASIGTGINKNGAAMYILLAVFWTYR NAGLYAELTPLRQVLICVGAFVGSMAVAPVRTGGVTIVLCVFAYTSNVPTPYSYSFLI MIECLMDPFSTVMNLWGNVVVARIVAH H257_02121 MGGMRRQWPWLPSMVVWATALVLSAYVPTTTSSAVLFFAPDFRG DNFTVSINQPFVSARNSTGSYLIVRSFSVPIGLALVGSYTQTSLSKHYRVYTSDVADI FHGIQSWRLVTVTEASALPPVPPASVTVVGYNTTSYGYHGAYPPFFQLAPGDIVDAML PWFSVRSVRIPAGVAVSMFDTTNDVPFVYYEDQYYLANTGLAKLVKFQVAVRNTTSIP SAGDDLVLYTSPNFQGKQVLLKAGNAVPQLLFTLDFIPSIGSFLIPPHLVFVTYEFGF YQGTSRVYRTSNSDFTYPTSRYSFRSFQVFTRDLFVDTPASPAPEVRCSIYTFNAQVI ELQGVYRVGEYPLLPYRSCTLLVVPPGLVAVGYERQWFLGPATVWSESATLTAEMSFR LRSIRVVVRGDPTIPNVTAPQRPDPALYFDVGVTKFIAGVYKYGEDVPGIDDWATYQD ANEMNPRIKDGIEAAFFPEYNFQGNPTIVSKTSFQFLKGLYRSIKTRPKTNLPWPDLH TSAFVGCFTSWASVTPTFLAQDESVAMFMYPWDGYVTTLTIPTGLAVFGYDESNFQGQ MVRWTASTVDVASVKFTLRSVRVKDASWVDNPPTTTSAPKTASVASSTVATSTSSAPV VSITPIAPSSTAPDFSIDYAPIEDPTGNDASTRSTNPSPTTTTMMTTISPQVSVTLRV NASTTSSFNTTMFPSPLSKTNGDAPTSSPDVSSPPPPPPVFLATLSQNGNPSAQIVLG AVGGVAVVVVVLVVVARRRNPRSSLAKAITSTSSTTNATATEFHSLKWGDVDLIRMNM APFALTQRLATGATGSIWLATLQQTPVVVKTFLSCTPRASDVQRLLDEIAFMRSLSSP YIVHLVGATWTHPTNLQAVLEYMNLGDLRQFLARTTSDTFGWPSKLECALGTAEALFY LHSQDMIHRDLKSRNILLDAAKGTKLGDFGSSKEIVVGATMTAAVGTFRWMAPEMLVF KAYTSAVDIYSLGVVLTELSTHELPFANARDAQGRVLEDEALACHVIHNHLRPTCANG CPQWFQSLAGRCMAAEPLDRPAAPEVIYILKSNMRQSGKVSSGS H257_02122 MLHRGLRLGITGGYNSWKASGVVQRWQSSVSSVRLANGHAVQYF QSQPAQPSAAPTLVLFHGLASSHHCFKHMWPHLEAKYPIMAFDLPGTAGSDVAAAGPT YTADSVHQALVEAITSAIPTNHPVVFVGHSLGGHSALRLASDFLKARRPVHGLVLLAS AGLEPYVVMRGLDLLPVSLVAKYPRAVMRFFHLMGFADTHPAEEYSYGALRAITTPYA QLRVAASAISKANVPTLCVSAQDDPFLTDAVWTDMFVTLRANVTRGSATTRSPLVRPR DLLLTSIAIVMSVFKACATTTWPSHSRRKRHLTWEWK H257_02122 MLHRGLRLGITGGYNSWKASGVVQRWQSSVSSVRLANGHAVQYF QSQPAQPSAAPTLVLFHGLASSHHCFKHMWPHLEAKYPIMAFDLPGTAGSDVAAAGPT YTADSVHQALVEAITSAIPTNHPVVFVGHSLGGHSALRLASDFLKARRPVHGLVLLAS AGLEPYVVMRGLDLLPVSLVAKYPRAVMRFFHLMGFADTHPAEEYSYGALRAITTPYA QLRVAASAISKANVPTLCVSAQDDPFLTDAVWTDMFVTLRANVVRYPNGAHNIPKSKA TQVARDIDTWICDNALAARPAERSSADVDCHRHERIQSMRHDDVAISLKT H257_02123 MQHRAAASIRKSFLRYFEQHGHTLVPSSSLVPSSDPTLLFTNAG MVQFKQVFLGHETRDYTRATSSQRCVRAGGKHNDLDQVGFTARHHTSFDMLGNFSFGD YFKEEAIFHAWNVLTKEFDLPIDRLHVTVLDNDVEAIEWWRKIAQLPDDKIHRLGSDD NFWAMGDTGPCGPCSEIFFDQGEAFSNYDDRYLELWNLVFMQQNRLGDGSLLPLPTPC VDTGMGLERMASVMQGVISNYHSDVFTPHLHAVAAALDLQNGRASSRFQDAVADELRL NRFGTDVDIQIVRVLADHLRTAYALMEDGVFPSNVGRGYVLRRILRRAMRFAQQAGVP QPFLSRIPMFGEGERKGVVQLQAVVDNEERAFYAMLAQGTKAVDKLLHHPHTKSYLTG HDAFFLYETYGLSIDMTESIAASFQATVDTAGFDAVRQRHQEAIAAASSPLGLSTSND DDDDQTHHHVLVPTPFVGYDTTVVHNATVLQASRVKHQSKASSKHPAVVALALSPCPF YAEGGGQVGDRGWVSVTGFDAPWPVVNTVRAGPETIHAHVQAPTTVDDAIAHLTAQPT VTATVDAQVRLRVAAHHSATHVLQAALRETLGAHVTQCGSYVGPDRLRFDFAHFGAVT DEELRAVEVRVNQVALDNVSVHADEMDKATAQASGAIATFGEKYGDLVRVVQVGSVSS EFCGGTHVSSTSALVPFVILSEASVAAGTRRIEAVAGLEGIKRLQEKNQLLEDIAGQL NTVPAMVTQKLARLDAQQKALEGFNQSLTDRFVYGPVPRPSKTVEGTWALSGTVVTLH LHEIADLSLPPTADKPLVNLYMTALRRRAEHVAKLDSAAVHLVVMGDSVICMGNGHVN AGEALKQLMQGVGHGGGSKSCGQGKLRPTATVADVVTAVTQCLH H257_02124 MAAIEMALPTRIDAPANALESNSESRGVPMSCTPASCASGTEAK SNATHGDETIKRFCSIEGCRRQAHAKRFVSITAAGGSANTTEGVRTTPERGDFATDTS SRQVMNADRGRHQGRHHLHRPLFPTSASRVFPTSFTSLPAYSEWWTLTQAILHEQYPW LKQQHPLSDVLVAIPPPDPQVFVQMTKPSS H257_02125 MFLSHPRAAGSGVASRAFTPPLLSSIAPDTVLLSTEPSDWTYIA EGGANVIFRYVGLPTTLTGWVMRVRKQGVRGAHPKDIVKFAERNTPPGSRDAYVQVAR AESVTVDFLVRLNELLMADAATSRRPVHRHASSLDTALTWVMLLPDVAFPDAALSIEI KPKHGLLPSAPGLHPVKQTACRFCMHQLLKQAQGKVVRASAYCPLDLFSNDKARIARA LTSLSSTPQNNLRVFSSCTEAGDSLEHSAEHSMAADQLDLVVELLHSHVDLLDDLKAM HAKDTLDIEGVFALSQLHAAIVDVISFSDSERQVEDGTMPVSQTLGQVLPMLSTDLSR QLDMYMPHALLTNTDVNVERWTELTIGQFQTVYSDVLDSFLVATTFKDCSVLLSLRPI PSLMSITPPDARCSSERQLQLSNGHHHHTATWNGQTYEVVVAIVDLDIKAHKPVAAYY AQDQGIVRHFASIQSTWSSANSLN H257_02126 MMDDHLPPATLATEDIHVSMAVLPTPSANSDTTAAAMSSAGGTA FAATFQTSSSPMSAAARAASPRTARLLWDLEAESIGSLPQSPRHQSRWKANATSAVVH NSPRPVPAPRLSPAPPAAAVEENCCLICMDDFTERRRPYPVPCVGSCTGAYVHYRCIM AWLGQSGSCPLCRGPCDALAMEPTQTLDFTDLATMVMRPVPLDAGIVRCYVKQVYRGF FNTYGYELYLQGRIGTDESDRFLLASKKQMRKNMTGNYGIYASPSCDEGSRVGRMGSN FLGTVFTLYDNGRDPQKVAKIQDGAAATATSATPESNLGPGDNVLQIREELGCCTYEA NRTSVGPRKMRVCIPDVDEMGRHSKIVRPMSQRDRIVSRMATGELTDLVKFVNREPVF REDLGAYCLDFGGRVSMASVKNFQLISADDPSMGNVLQFGRVADDMFTMDLQWPLSPF QAFAICLSSCDTKLACV H257_02126 MMDDHLPPATLATEDIHVSMAVLPTPSANSDTTAAAMSSAGGTA FAATFQTSSSPMSAAARAASPRTARLLWDLEAESIGSLPQSPRHQSRWKANATSAVVH NSPRPVPAPRLSPAPPAAAVEENCCLICMDDFTERRRPYPVPCVGSCTGAYVHYRCIM AWLGQSGSCPLCRGPCDALAMEPTQTLDFTDLATMVMRPVPLDAGIVRCYVKQVYRGF FNTYGYELYLQGRIGTDESDRFLLASKKQMRKNMTGNYGIYASPSCDEGSRVGRMGSN FLGTVFTLYDNGRDPQKVAKIQDGAAATATSATPESNLGPGDNVLQIREELGCCTYEA NRTSVGPRKMRVCIPDVDEMGRHSKIVRPMSQRDRIVSRMATGELTVRYRIECFT H257_02126 MMDDHLPPATLATEDIHVSMAVLPTPSANSDTTAAAMSSAGGTA FAATFQTSSSPMSAAARAASPRTARLLWDLEAESIGSLPQSPRHQSRWKANATSAVVH NSPRPVPAPRLSPAPPAAAVEENCCLICMDDFTERRRPYPVPCVGSCTGAYVHYRCIM AWLGQSGSCPLCRGPCDALAMEPTQTLDFTDLATMVMRPVPLDAGIVRCYVKQVYRGF FNTYGYELYLQGRIGTDESDRFLLASKKQMRKNMTGNYGIYASPSCDEGSRVGRMGSN FLGTVFTLYDNGRDPQKVAKIQDGAAATATSATPESNLGPGDNVLQIREELGCCTYEA NRTSVGPRKMRVRRPICNYIYSFEVYIYKYIYDLIRMITPIKPDHESFPLANLKILVA DWLLYFFFFLLRAFCGPMKFRGERWIGFTNRPELGNL H257_02126 MMDDHLPPATLATEDIHVSMAVLPTPSANSDTTAAAMSSAGGTA FAATFQTSSSPMSAAARAASPRTARLLWDLEAESIGSLPQSPRHQSRWKANATSAVVH NSPRPVPAPRLSPAPPAAAVEENCCLICMDDFTERRRPYPVPCVGSCTGAYVHYRCIM AWLGQSGSCPLCRGPCDALAMEPTQTLDFTDLATMVMRPVPLDAGIVRCYVKQVYRGF FNTYGYELYLQGRIGTDESDRFLLASKKQMRKNMTGNYGIYASPSCDEGSRVGRMGSN FLGTVFTLYDNGRDPQKVAKIQDGAAATATSATPESNLGPGDNVLQIREELGCCTYEA NRTSVGPRKMRVRRPICNYIYSFEVYIYKYIYDLIRMITPIKPDHESFPLANLKILVA DWLLYFFFFLLRAFCGPMKFRGERWIGFTNRPELGNL H257_02127 MQHARRQAAALVRHIRRTLIDASSRTSPYTYGRSYSPYEKVVLD QAQVAAVATQLVESYGAQVGVFSALLATTAVCLVAMVIYIAKLKARTVVESKEVVDET TVEAPPAARADEPKEDMTVTV H257_02128 MAGDSATAPASTMRLEIPKGHASNISIEMPMLFKDGADMASYFG GTTNLQTIQHTKTPFLPVKLRPREPNAKPIFADRAKTQTFLLHVTKKKRSHGELSGKI ATVTHEKFVCEGMVDYQYLAANQYTERANDPLDVRLRTYLEASTQDDLELIPEVFSRV DLPLKYEFKQRPMYNETQTPSSDLKQVPRHFFTYANFRSNSPVPQEVQRLPKTRGIPL HMEEHVMGLLKEKLAERPIWMRPKLFAFFTTEECRVAMKFLPYLCYIFVNGPWRGMWV RLGYDPRTTPDAAPYQLVEIRGTRRLVSETVTPLVLLPKTKKKSHRRMPYRSRLNTVF QVNDVEDKVAMHLKKKKAHNRSLATVKATTGDDDRRNAALVIYGVPLREGFFYVQLCD LVDQNPAVKAFVAPFQKRLRSSASVWGGWFPMCMFPPIREMLKLHIVTTVGRPAEEIN VRQAQIDRAVRNAMEELEGRGGVDSEEDKPSTKDEESKEADDDDVAAADNESVDDEEE DDDAASDDGEADDGEADDDDGPFIESVMI H257_02128 MAGDSATAPASTMRLEIPKGHASNISIEMPMLFKDGADMASYFG GTTNLQTIQHTKTPFLPVKLRPREPNAKPIFADRAKTQTFLLHVTKKKRSHGELSGKI ATVTHEKFVCEGMVDYQYLAANQYTERANDPLDVRLRTYLEASTQDDLELIPEVFSRV DLPLKYEFKQRPMYNETQTPSSDLKQVPRHFFTYANFRSNSPVPQEVQRLPKTRGIPL HMEEHVMGLLKEKLAERPIWMRPKLFAFFTTEECRVAMKFLPYLCYIFVNGPWRGMWV RLGYDPRTTPDAAPYQLVEIRGTRRLVSETVTPLVLLPKTKKKSHRRMPYRSRLNTVF QVNDVEDKVAMHLKKKKAHNRSLATVKATTGDDDRRNAALVIYGVPLREGFFYVQLCD LVDQNPAVKAFVAPFQKRLRSSASVWGGWFPMCMFPPIREMLKLHIVTTVYIHHILYI YIYK H257_02128 MAGDSATAPASTMRLEIPKGHASNISIEMPMLFKDGADMASYFG GTTNLQTIQHTKTPFLPVKLRPREPNAKPIFADRAKTQTFLLHVTKKKRSHGELSGKI ATVTHEKFVCEGMVDYQYLAANQYTERANDPLDVRLRTYLEASTQDDLELIPEVFSRV DLPLKYEFKQRPMYNETQTPSSDLKQVPRHFFTYANFRSNSPVPQEVQRLPKTRGIPL HMEEHVMGLLKEKLAERPIWMRPKLFAFFTTEECRVAMKFLPYLCYIFVNGPWRGMWV RLGYDPRTTPDAAPYQLVEIRGTRRLVSETVTPLVLLPKTKKKSHRRMPYRSRLNTVF QVNDVEDKVAMHLKKKKAHNRSLATVKATTGDDDRRNAALVIYGVPLREGFFYVQLCD LVDQNPAVKAFVAPFQKRYMIY H257_02129 MLYLRRGGRVLTHARASSTKSTSSTVQPSSSPLKKPSTLLSMMT KAIGSVAVVGGASFYYLLQTDPGFARSMYFYVNAVPAYVHYRAVQRYVEDIGHLGPDE ADAWYNYLHDKYATPLYGTVLTLRGFYIKLAQIASTRGDFLPKQYMDHCKTLQSDAPS TPAPEMMKILEASYGKPFHDIFQSIDPTPLGAASIGQVHKAVLLDGTTVVVKVQFPEA EANFRNDITTIKRFAAVAQPAHLPFLNEIEKQFLTEFDYRREADNLDLVRANLAASPY ARKFVVPKSYPDLCTKEVLVMEYLQGRKLIDAIYDHFEIVASELGVTVDELAAMQAKT DAEREAKGLAKVMGPSESTMRLYQTLWRAKQAALTMYDYTLGYVYPRSLDPRFSTHKQ PRELLNFPELLRLIVEVHGYEIFVNGCFNGDPHPGNIMLLDDGRIGLIDYGQVKHLST DQRKRLARLVVALAEGTNDDVVRSVTTDVGLVTKHMDPYVLEKMGRTMMDRDDWEITE GMNIQLFVEYLQKRDPILHQEDDYIMAYRVSLLLRGLSNALRYPVSHANMWKALAKQT LAEHE H257_02130 MANACHQGHVDVVKLLVQHFGLPVVHFPDVINEAIENDQLSVAE MLHRMGGACAPSDIALEAAESKGCCDVVSYVHENCNMVWTTSVMDNAAIHGHWDLVRF LRDHRIEDSCTTEAIDMAAPMDIWKSCNAYTRLGPKDTPPLRYSWQRTIVIWKSDHAA DKGHLVFVQFLHYYSRMDGCMTRAMDVAAMHGHLKVVQFLHHHRDESCTTRALDNAAS CTVGAMDKAVSRTRPTRSYSFLARPSTRRVYDHALDDAASRGNLDMVRFLHGHRTEGW TTQAMDAMAARGGHLEIVRFLCETPPDESGSLKAFVETMDAVAGNGHLEMLGCCIWKD KPRALVSD H257_02131 MLSARRCLTLRVRRPAIAPISVTLRHLHVTRTLHAAGDLGHFKT YPKSDAHFTQQAKAPSPPTEWENPIPHAIYTADDVNSIEETHRDPKETHAKVALFAVR VLRGGFDLVSRYKGPGGGMTSTDWLNRCLFLETVAGVPGMVAGMSRHLRSLRTMQRDQ GWIHTLLEEAENERMHLLIFMTMKAPGPLFRLFVVGGQGVFFNMFFLTYLISPKTCHR FVGYLEEEAVHTYTAMIEDIEAGHVGSWKTEVAPAIGRKYYHLAEDATVLDMIKCVRA DEANHRDVNHTFANLDGEKDVNPFLHAHSK H257_02132 MASAMNGSLREHLLGPEIVVVDGTVPAAVGGIKAVVHTGGRDMA WQFDMHGQCFRRHVSREDVFREIQDAASSSSGGDVSIPGVHMRDIRQLDSAYSISDRP TILVRHQAILVNIDPIRAVILRHRCIVFPGMPLDVATHLQATFVANMAESPDAPFEFT ALESVLSTVATWYANQVTYMQPEAMLVLQSIASVERPRDEFERLRLVQRRFHELQAQV QGIHSLLAALLDDDDDLHRLYLTKLHGNQNVDSYISSTFDPDEAASLVEVYVQKTFST LCTIQLQLTKADNTESSLDLKWTSKRNQLLLVDIPLKLVYLALWVASFWTAAMAMNVA SPFSTLDDGGMFFWSFFGGLAGLCVVFVLVAVVHLRRQGIRLTFAAPVEVPSVAAAIA AASTMSTLSSSSAAATDDDDDDGRRPALHFDTTGNYTTTFVTRSAIFNMVQCAAADVQ GYADPTQSDEYYHTPVDIPPMHMRDIRTLETALSVSNEPMITVRQQVILINCDPIRAV IFRDSCLVFISRGLVTSTTLVQRLQASFHQHLGDDAHACVGFEFSALEAIVATKCQLL ADEQYKLAVLGRDQLNLMASDEGNMSVLDNLRMIKNATSDLESQVNGVRRMLIDMLDD DEELHMLHLTKLYEEPSVALDLFGFDTEEVESLLESYLQNNYGTGAAVELMLHTIQNT EAIVMLKLDAKRNYLIIVDLLMTLVTTLVAVSNFFVNAFTMNVVSWLKDLDWVYWTWI AVAAAFPIASYFTSIWYIRKAAGVNIALSAHKHD H257_02132 MASAMNGSLREHLLGPEIVVVDGTVPAAVGGIKAVVHTGGRDMA WQFDMHGQCFRRHVSREDVFREIQDAASSSSGGDVSIPGVHMRDIRQLDSAYSISDRP TILVRHQAILVNIDPIRAVILRHRCIVFPGMPLDVATHLQATFVANMAESPDAPFEFT ALESVLSTVATWYANQVTYMQPEAMLVLQSIASVERPRDEFERLRLVQRRFHELQAQV QGIHSLLAALLDDDDDLHRLYLTKLHGNQNVDSYISSTFDPDEAASLVEVYVQKTFST LCTIQLQLTKADNTESSLDLKWTSKRNQLLLVDIPLKLVYLALWVASFWTAAMAMNVA SPFSTLDDGGMFFWSFFGGLAGLCVVFVLVAVVHLRRQGIRLTFAAPVEVPSVAAAIA AASTMSTLSSSSAAATDDDDDDGRRPALHFDTTGNYTTTFVTRSAIFNMVQCAAADVQ GYADPTQSDEYYHTPVDIPPMHMRDIRTLETALSVSNEPMITVRQQVILINCDPIRAV IFRDSCLVFISRGLVTSTTLVQRLQASFHQHLGDDAHACVGFEFSALEAIVATKCQLL ADEQYKLAVLGRDQLNLMASDEGNMSVLDNLRMIKNATSDLESQVNGVRRMLIDMLDD DEELHMLHLTKLYEEPSVALDLFGFDTEEVESLLESYLQVQWYIV H257_02132 MASAMNGSLREHLLGPEIVVVDGTVPAAVGGIKAVVHTGGRDMA WQFDMHGQCFRRHVSREDVFREIQDAASSSSGGDVSIPGVHMRDIRQLDSAYSISDRP TILVRHQAILVNIDPIRAVILRHRCIVFPGMPLDVATHLQATFVANMAESPDAPFEFT ALESVLSTVATWYANQVTYMQPEAMLVLQSIASVERPRDEFERLRLVQRRFHELQAQV QGIHSLLAALLDDDDDLHRLYLTKLHGNQNVDSYISSTFDPDEAASLVEVYVQKTFST LCTIQLQLTKADNTESSLDLKWTSKRNQLLLVDIPLKLVYLALWVASFWTAAMAMNVA SPFSTLDDGGMFFWSFFGGLAGLCVVFVLVAVVHLRRQGIRLTFAAPVEVPSVAAAIA AASTMSTLSSSSAAATDDDDDDGRRPALHFDTTGNYTTTFVTRSAIFNMVQCAAADVQ GYADPTQSDEYYHTPVDIPPMHMRDIRTLETALSVSNEPMITVRQQVILINCDPIRAV IFRDSCLVFISRGLVTSTTLVQRLQASFHQHLGDDAHACVGFEFSYDLYIYII H257_02133 MATGGLGSGGATTHVESLMHNDMLVSKDGEILGMTSVAAGGKRL ALRFDSAGNSDFHDVSRQEVLTMIQTCADSMAIPANKLGRRQSTSVDAGNSRAYHRSR TRLARDGPIDIPAVHMRDLRKLDNMFSTSNEPSITVRQQAILVNCDPVRAVITRDCCL VFLPDGADSLVYHLKTNMQQHLAEATAFEFAAVEAILATICRLFSAECDRIVPKGRLA LDKMTKDDSMLSELENLRSIKNEMSALESRVGGMRRLLMAFLENEEDLHMMYLTKLYH EPALVHDLFSFDTEDAESFLEVYLQEIYGTQTRVALMANNILNTESIVMLKLDSKRNF LLSVDLSLTLMGTVLALPTFIVGGFGMNLNSTVQETAYLFWIIFGLCIALIVVGFVYA QQYLKKQGINMSWKY H257_02133 MRGQHGDSGEQARSPSVHLRRRWKQPRVPSLPYAPRTRRPHRYS GPSCMLNRSLNLNIQAVHMRDLRKLDNMFSTSNEPSITVRQQAILVNCDPVRAVITRD CCLVFLPDGADSLVYHLKTNMQQHLAEATAFEFAAVEAILATICRLFSAECDRIVPKG RLALDKMTKDDSMLSELENLRSIKNEMSALESRVGGMRRLLMAFLENEEDLHMMYLTK LYHEPALVHDLFSFDTEDAESFLEVYLQEIYGTQTRVALMANNILNTESIVMLKLDSK RNFLLSVDLSLTLMGTVLALPTFIVGGFGMNLNSTVQETAYLFWIIFGLCIALIVVGF VYAQQYLKKQGINMSWKY H257_02134 MASEDIAVERCVTALRTSLEGELSSTQTTMFTSIMTTAMQHMAD PSKLLHAVIWTLQSNQVQMKADIVTNVVARQRAVLADMAAHVVVAQPSLDSQVISRAF ALRAGLCGWMSQGLRAIAELALNDMQRSVVDKRGNDCRSAFERLLRTYMEAFQLETQG ESLGQRIAKLQAFLEPSSNSVDRSSQTARQRLIWGCYGIKNVGNERSHVTGELTSEDQ MEICVSVTALARTLPFIADEVGVGSTDSLVLRPPPPGLAALPMPPVYTSVKKSVVRPA AHETTAPPSAHRPPPPPSPPPAMPDKPSSPSEWHTPRAPPPRLQYSLIHVRPESLPAW PGTQKVMFERMLKHMRMVSEANLCASKPCPRSDCAKSHTFVDTMRYNPLFKLFVCGKH DHFWAKDAIEPSDCVNVHVQFNVAVPWIDEKKDMCKLGPRCKRPKCVKSHSLAEACWY NPNFKTKPCSFGSQCTSSPEGCRGYHYDSGPNCDRRYHHDDSDYVGSPSPMLFTERTH AELAKALERHSRQIAVSQLF H257_02135 MSHVMWTYDAENVATKNGKVKRIVRERYLRDDIACGITACSLCC HDDDDSLEEQPHRHGNDLNLSPQAAQYLLLTVDVVLRQMDVLEYSSCTELSNIIILET VAEQVKRKDMSIYRRLHALIKSDRHFYVFANEHHRDTYVAKTETESAVERDVRATCGA FQWYTSHLTTLGSKTAVTYIANDTVDAERAAANGVQATTISSFVAPLVKAYPDLADLM SNASTAPVSEGPAKKTTLYAEHKSMSEVLAGIKNKRFFQGTLRCNRDHWLECTVLIHG LNDARVPVLISGREFINRAMDGDVVAIEILPKAQWRRPADAFAVNNDKDDMEDSARTT EPQHIGVAAPTLSGDVADVSDLKPCGKVVGIVQRNWRRYCGSIEPVAAQTTATTNVLF VPVDRKIPKIRMITRQHDTLLDKRIVVAIDSWPVDSRFPLGHYVKTLGVIGDKETETQ VLLLEHDIPCQQFSDKVLKCLPPADWTITPENSKGRTDLRHLPVCSIDPPNCKDIDDA LHARLLPNGHIEVGVHIADVTHFVEAGSALDLEAADRGTSTYLVDKRLDMLPGFLTTQ LCSLTSTDDHFAFSVLWELKIEGNEVHVIDVSFCKSIIRSIASLSYGEAQVLLDDPAS GSSYLQASSATPSKADKKLTLGSGIKTLNDIAMRLKAKRIQAGALTLASPEVRFVLDT ETQNPLDVQMYALKDTNALVEEFMLLANITVAKKILRTFPTFSLLRRHPAPSKRQFDA IVSQAQSVGVTLRVDNSKQLQESLDNPTASRAENAYFNKMLRILCTRCMMPASYFSSG EVGVDEYHHYGLAAPIYTHFTSPIRRYADVLVHRLLSAAIGVAPLPDYLENKAHLHEL TQGLNRRHLAAQLAGRASVSLHTLLYFANFPTTTDAMINKVRANGIGVLLPRFGIEGM IFLADKGKEAAVVKHDPAHHKLTLLTTNRVLQVFQKVSVKVFVELTFGNRQQLKFELL DAGVETSDDNATTAPPAKKRPRNA H257_02136 MCNCHSVARGARPNWIFHLDISSCNNSEMLSFDGENSLRQGLLD DAHTHAGDHDVRLVIDPVVPTSGAPIRACHFDATGRRTFQTLTRADILSLIHSTSDDF APGMSSPASTRPTKSGNLGIPAVHMRDIRQLDQAYAESTDASLVIRQHAILVNVDPIR AVILRDQLIVLLGTTNTVDSLMDQLQQRVQAYLRESPGTAFEFTALEGLLSTITSWLA MKCAGLKPTVETALASFARAERPRDEFEQVRNVQTAVTRLHVQVSGIRGMLMTLLDDE ADLCMMHLTKLAQSLDQQVVDLDEVESVLETHLQDVFGTLASISMLLSKIDNTQRMVD LAWTSKRNYLLVVDMSLKFMFLGILVTHLWTGMFGQNLESHVKEDSMWFAATFGGCSI WIVGFYVVVYVYFKSKNIALSWRKPGFVPPED H257_02137 MASSSRPSATSAPFLDSLILPNDTMLISQNGNLLGLPTSPHGRR LALRFDSAGNAEYHEVSRTDVLKTIQTAARNIVLNPTGDAPASPKTIAASDRRQSRSR TRARFIVDAAVEIPAVHMRDIRKLDNVFSASNEPSITVRQQVILVNCDPVRAVIMRDC CLVFLPDGADSLISHLKKNFKQHVGEAIAFEFAALEAILATICRVFAMECEKVIPLGR SALDKMTKDDSMMGELEGLRSIKNAMSTLEAQVGGMRRLLMSLLENEEDLHMMYLTKL HNDPGLVHDLFSYDTEDVESFLEVYLQEIYGSQTRVALMANNILNTESIVMLKLDAKR NFLLSVDLSLTLMGTLLAMPTFIVGAFGMNLNSYIQETDYIFWIVFAVCIQFVLVGYY VVVQFLKLQGINMSWKY H257_02137 MASSSRPSATSAPFLDSLILPNDTMLISQNGNLLGLPTSPHGRR LALRFDSAGNAEYHEVSRTDVLKTIQTAARNIVLNPTGDAPASPKTIAASDRRQSRSR TRARFIVDAAVEIPAVHMRDIRKLDNVFSASNEPSITVRQQVILVNCDPVRAVIMRDC CLVFLPDGADSLISHLKKNFKQHVGEAIAFEFAALEAILATICRVFAMECEKVIPLGR SALDKMTKDDSMMGELEGLRSIKNAMSTLEAQVGGMRRLLMSLLENEEDLHMMYLTKL HNDPGLVHDLFSYDTEDVESFLEVYLQVRQSPSSQN H257_02138 MPSIYMLASAGISGMYESRQGTLLDPPIVSGDIRRSIHGMLPNG KSMAWVFDPSGTSSCREVSRSDLFKMIQSASSSTGLPRPRATRRSILGGIPAIQMRDI RQLDEAYVESQEPTIVVRQQAILVNVVPIRAVVLRNACIVFDGPNNVVAVLQAKFREY SAENPTSPFEFSALEVILSTLTAGYSKSFETFRPVAEAALREVAKVERPRNEFEQMRG IQTTLAVLESHVVSMRRLLMSLLDNDDDLHMLYLTKMHNDPSLLQGEFNFDVDEATSL LEVHLRDIYGIQSQISLMGSNIQHTARVVDLLWTSKRNYLLLIDMSLRVMLLMLYCTN YWTGLFGQNIRSDFEDNEALFWGTTVFCAVWTFGAYAVVCKMFLARGLSLSWHKRV H257_02138 MRDIRQLDEAYVESQEPTIVVRQQAILVNVVPIRAVVLRNACIV FDGPNNVVAVLQAKFREYSAENPTSPFEFSALEVILSTLTAGYSKSFETFRPVAEAAL REVAKVERPRNEFEQMRGIQTTLAVLESHVVSMRRLLMSLLDNDDDLHMLYLTKMHND PSLLQGEFNFDVDEATSLLEVHLRDIYGIQSQISLMGSNIQHTARVVDLLWTSKRNYL LLIDMSLRVMLLMLYCTNYWTGLFGQNIRSDFEDNEALFWGTTVFCAVWTFGAYAVVC KMFLARGLSLSWHKRV H257_02139 MGPRHGKELPVKGPVLSAEFHSTHTILHTDGLLPPTINTLGKCM TLRFDIHGNSAYEEVTRNDILTTIRIAATSVACEANDPDGTRAPVHVMSTSPQRRSKP HSRIRQVGDTAVEIPTVHMRDIRKLDKMFSTSNEPSITVRQQAILVNCDPVRAVIMRD CCFVFLPDGTDSLIAHLKSNFKLHIADASAFEFAYNHTIYALEAILATICCIFSTQCK QVIPLGRSALEKMTKDESMSELESLRSIKNSMSVLESQLGGMRRLLMTLLENEADLHM MYLTKLCEDPKLAQDLFYIDTEDVESILELYLQEIFSSQTRVALMAQNIVNTESIVML KLDSKRNFLLSVDLSLTLLGTLIAMPTFIVGAFGMNLNSHIQDTEYVFWVVFALCGLF ILVGYVVVVKYLKQQGINMSWTY H257_02139 MGPRHGKELPVKGPVLSAEFHSTHTILHTDGLLPPTINTLGKCM TLRFDIHGNSAYEEVTRNDILTTIRIAATSVACEANDPDGTRAPVHVMSTSPQRRSKP HSRIRQVGDTAVEIPTVHMRDIRKLDKMFSTSNEPSITVRQQAILVNCDPVRAVIMRD CCFVFLPDGTDSLIAHLKSNFKLHIADASAFEFAALEAILATICCIFSTQCKQVIPLG RSALEKMTKDESMSELESLRSIKNSMSVLESQLGGMRRLLMTLLENEADLHMMYLTKL CEDPKLAQDLFYIDTEDVESILELYLQEIFSSQTRVALMAQNIVNTESIVMLKLDSKR NFLLSVDLSLTLLGTLIAMPTFIVGAFGMNLNSHIQDTEYVFWVVFALCGLFILVGYV VVVKYLKQQGINMSWTY H257_02139 MGPRHGKELPVKGPVLSAEFHSTHTILHTDGLLPPTINTLGKCM TLRFDIHGNSAYEEVTRNDILTTIRIAATSVACEANDPDGTRAPVHVMSTSPQRRSKP HSRIRQVGDTAVEIPTVHMRDIRKLDKMFSTSNEPSITVRQQAILVNCDPVRAVIMRD CCFVFLPDGTDSLIAHLKSNFKLHIADASAFEFAYNHTIYALEAILATICCIFSTQCK QVIPLGRSALEKMTKDESMSELESLRSIKNSMSVLESQLGGMRRLLMTLLENEADLHM MYLTKLCEDPKLAQDLFYIDTEDVESILELYLQVLTSKYNIDCMIDTRRVRM H257_02139 MGPRHGKELPVKGPVLSAEFHSTHTILHTDGLLPPTINTLGKCM TLRFDIHGNSAYEEVTRNDILTTIRIAATSVACEANDPDGTRAPVHVMSTSPQRRSKP HSRIRQVGDTAVEIPTVHMRDIRKLDKMFSTSNEPSITVRQQAILVNCDPVRAVIMRD CCFVFLPDGTDSLIAHLKSNFKLHIADASAFEFAALEAILATICCIFSTQCKQVIPLG RSALEKMTKDESMSELESLRSIKNSMSVLESQLGGMRRLLMTLLENEADLHMMYLTKL CEDPKLAQDLFYIDTEDVESILELYLQVLTSKYNIDCMIDTRRVRM H257_02140 MTVVCNNCRFDGNAKEAAFCSRCGGPLSGANATPSYAPQPTPPQ QGYPGQGPPQYANGGQQQHQHPHVVHAVPVVQQPQYVVVQAQPQVLASAEFIVVPGQV ITASGHCAHAVQTNEFTCPGVLLGILFFPIGILCCLLLTERRCVHCGAILN H257_02140 MTVVCNNCRFDGNAKEAAFCSRCGGPLSGANATPSYAPQPTPPQ QGYPGQGPPQYANVVQAQPQVLASAEFIVVPGQVITASGHCAHAVQTNEFTCPGVLLG ILFFPIGILCCLLLTERRCVHCGAILN H257_02141 MTSSTHVLLESLTSHNVSATSHHRPRRHHAPYLDVLTVQSRQYI SHQFERDDVDNDHTKLLVEPIHIPDLKHTGIAVPLNTLGHSASAPLFYPNGTKNVHLR QVIQDSLAHVTSTPSLQAPELPTPNTATVTLQPSVSESTASGMHMPSKIQRSTEQLKP KKTTRLHRSIHDRPNDRGTKELLHSVRHNSTKITALVNPHAT H257_02142 MGYQSLGPRHYGHWKRKDVLGPSGGRLGLSRSVEGSLSHQIQQL LPVLMGARDYRGAAGVLSVIYTHLMSDPAACVTTSLEILRRLPDSTPTLVEFYQSLLE WQSDHISNDVILRERFLLYLLQGDLHEAYAYYKEHMQLEVLQQDIHVIASFGMVCYWL LFREDQAIRDAYIHAPLSSQSNDDGDNDDIMDNDQETQFPIRHVVGTATLYQEASVSF RRAMTLSPESNVFVEYYVQILVMKNDIELAADYLEHFYHLHPTEPHACRMLFEFFQRY FPASVASHVDICIRWNTLDPSTLVPLKALVECFAQDLVTKGQLVASLCHSLDHCGSNM YQQLHPDTTLWIWQQLADVLGPVSLPPLSQHVALYFEPRQWWRRVYTTNTSSANELTL LNVLQCIVFVRVWGVEALSHTLELATLVHSPHHDVATLATRFHLDSLTRQPTRQPASP SSLKCIGLPVVRTLSQPLSWRPSIGQLRTPHLLKRPQVLTSFELEDAVQVHQVATGAA PPPCRIPRPVNNTIDARVTLESSQSKHQQQHPMDWLLGQRSRQTNPWHMDMTSSVLHA IQTDTSSSSTGGLVLTDKDCFAAAMEDELLLVSPPSTAPLHHLHTSYLYKCLPVPPSS QQAGIIVKALRRYHPFLPQYRIPARFLHWIQHYMMRHGLHATSPKCLEHVESKWRRQY GRCRGLPSIEAVAAAMDYFKRNPVILPRRIRDRFRAFVSAHGRVNLLHLCRVFYTLLG ARYPPDLPFSSVLVATARQWMQDGDPRGSVGTALACYPPPLRNRNDDADNAWTRALNE DPPVTESSFLVPANERYLSSIREWLEKEAPISNAALMYYMHMHFDATDPAFPSSNVVT AMIEYVRVQVHAEFADVPSLEDSIYYSLPHRTSKPAHRRYLETVVLPRQPFMPFEDVC RVMLDKTKLPPSKYPAPTVPELYAWWRAAVKDFVTAGMGLGTVVPHVDEAEAALPPPP PTARGDGIEIAKQKLKMLNLPDDMEIFPI H257_02142 MQLEVLQQDIHVIASFGMVCYWLLFREDQAIRDAYIHAPLSSQS NDDGDNDDIMDNDQETQFPIRHVVGTATLYQEASVSFRRAMTLSPESNVFVEYYVQIL VMKNDIELAADYLEHFYHLHPTEPHACRMLFEFFQRYFPASVASHVDICIRWNTLDPS TLVPLKALVECFAQDLVTKGQLVASLCHSLDHCGSNMYQQLHPDTTLWIWQQLADVLG PVSLPPLSQHVALYFEPRQWWRRVYTTNTSSANELTLLNVLQCIVFVRVWGVEALSHT LELATLVHSPHHDVATLATRFHLDSLTRQPTRQPASPSSLKCIGLPVVRTLSQPLSWR PSIGQLRTPHLLKRPQVLTSFELEDAVQVHQVATGAAPPPCRIPRPVNNTIDARVTLE SSQSKHQQQHPMDWLLGQRSRQTNPWHMDMTSSVLHAIQTDTSSSSTGGLVLTDKDCF AAAMEDELLLVSPPSTAPLHHLHTSYLYKCLPVPPSSQQAGIIVKALRRYHPFLPQYR IPARFLHWIQHYMMRHGLHATSPKCLEHVESKWRRQYGRCRGLPSIEAVAAAMDYFKR NPVILPRRIRDRFRAFVSAHGRVNLLHLCRVFYTLLGARYPPDLPFSSVLVATARQWM QDGDPRGSVGTALACYPPPLRNRNDDADNAWTRALNEDPPVTESSFLVPANERYLSSI REWLEKEAPISNAALMYYMHMHFDATDPAFPSSNVVTAMIEYVRVQVHAEFADVPSLE DSIYYSLPHRTSKPAHRRYLETVVLPRQPFMPFEDVCRVMLDKTKLPPSKYPAPTVPE LYAWWRAAVKDFVTAGMGLGTVVPHVDEAEAALPPPPPTARGDGIEIAKQKLKMLNLP DDMEIFPI H257_02142 MGYQSLGPRHYGHWKRKDVLGPSGGRLGLSRSVEGSLSHQIQQL LPVLMGARDYRGAAGVLSVIYTHLMSDPAACVTTSLEILRRLPDSTPTLVEFYQSLLE WQSDHISNDVILRERFLLYLLQGDLHEAYAYYKEHMQLEVLQQDIHVIASFGMVCYWL LFREDQAIRDAYIHAPLSSQSNDDGDNDDIMDNDQETQFPIRHVVGTATLYQEASVSF RRAMTLSPESNVFVEYYVQILVMKNDIELAADYLEHFYHLHPTEPHACRMLFEFFQRY FPASVASHVDICIRWNTLDPSTLVPLKALVECFAQDLVTKGQLVASLCHSLDHCGSNM YQQLHPDTTLWIWQQLADVLGPVSLPPLSQHVALYFEPRQWWRRVYTTNTSSANELTL LNVLQCIVFVRVWGVEALSHTLELATLVHSPHHDVATLATRFHLDSLTRQPTRQPASP SSLKCIGLPVVRTLSQPLSWRPSIGQLRTPHLLKRPQVLTSFELEDAVQVHQVATGAA PPPCRIPRPVNNTIDARVTLESSQSKHQQQHPMDWLLGQRSRQTNPWHMDMTSSVLHA IQTDTSSSSTGGLVLTDKDCFAAAMEDELLLVSPPSTAPLHHLHTSYLYKCLPVPPSS QQAGIIVKALRRYHPFLPQYRIPARFLHWIQHYMMRHGLHATSPKCLEHVESKWRRQY GRCRGLPSIEAVAAAMDYFKRNPVILPRRIRDRFRAFVSAHGRVNLLHLCRVFYTLLG ARYPPDLPFSSVLVATARQWMQDGDPRGSVGTALACYPPPVIHISTVSL H257_02143 MTTATVATGVVAIPGPFQVIKPPQPSSTSTWKDVPYAVLFVANV VAIVAVMVSLGLPLLQAATTSSSSSGQANATASSSEFTSGDMATVVAVATALAVVSAI VAYLLLQVVLMHAKHIILYSLWANVILCFVFAAAAIASGVVVLALLFIVFGFFSLCYL HAVQSRILFAGANLQVAAAAVKKHWSIFPVAFALMAVQVAWVFIWAIALVGVFQKATS PVPNLGASVAGTSCSASSECESDVCAKSSNATRLTCQPFIPGQAIVTYFFMLVSLYWG VNVVKYLLHTTVAGTVATWWAAGDSQSATGGAFRRAMTTSFGSICMGSLLVAILEALE SMAKEARKKGNGVACVAECILNMLRGILEYINRWAFVYVGMYGFPFAHAGKAVYGLFQ TRGLSAIVNDDLIGAAMGSLSLACGLICALLALAYTYIDTAHTSFDGANLILPLMGLA FGVGVTVIPLSVVSSAVATVFVCFAEDPAALSRTHPELHNEMVGAWRLAHPDAMVAFY PL H257_02144 MKTVAVMRETYKAMLIDRVIPAIPTKWQVVSRRPSRFSKTTRGR MRLLMTPTLLLSASLEDIVAATEAAWEHVSPLMLNKNFLTMQKCLEEIMPNKGGNDCK TPHMKKLTDKHKKARIQWAQEMLVHGTEQWYTVVINDEKKFNSDGPYGLKHYWHDIRK ENAIFSWSQSGDGSVMVWGVFSPMEKTELACLEDLQDSGAYLGTLSDYLFPYIDDYYG RDCVCQHGNASIHASRETKAFLNEHGVRVIEWPAKSLDLNPIENMRGVLARAVYVQFA TKPDLVAAISKAWDEIGQNLIEKLLQSMPNRCVSVIELKGGKTKY H257_02145 MQAFKDLFKQRNFIEHIRQYNAQFNFTSIGTNEVKHSGSGPKTY CIQGQLTHNIGPLQPSLNRSGNLRQPSFTQIYMHTSEEQLQHRRNMFPAFSSRYTATI QRVMDLHNPLARTYATAKERLRRAEADGASGGNRPIVLKAVGGAQARTHNLPTVVQLA VIMEGDGSEPTEGRHVVLQSLNSNQFTTIRETNPLHDALQFPLLFPMGGPGWEYTMTK PDGKSLSLRAFFNYWLQQRDGDDFSDMLHRSSMLFKMYTTVGFVRVETNRLRYISQNG DKLRFDLYASVKEAAERRSGLHNVGIKSIVPSSFTGGKRYIKKCYFNAMAIVRKYGKP CLFVTMTCNPNWPEIISQLKPHQTSTERDDIVTSTTTEGVDGYAKYRRDTAADQYCVP HNLYLVHKYNCHINVEVCTSINAVKYLYKYVYKGTDWITYAVFTDRERQPMLDEAREY VEGRYVSSLEAITRIRCYDLQRMSHAVEVLPVHEKDQQHCTYDETHDAESVVARNQKT KLTFFFLACAQGLTGIDGVPAKNCLYLDFPQYFRFEQKTKLWVGRKNHIKVIGRIDSV SPRQKERFYIRTLLCHKYGPTTFEDLRTVHGTLYPTYEEAALSMGLLENDEEYVVCIR QATLDCMDGQLHELFANILVHCLLASTRSLFDQFKTDFMDKRLRNLRRCNKTLQEPLS EDMMLGKAMFYTLKSIDNCFQHHRLSLLDYPTLPQLHEFEVFRDLGERRPLDDPISRL YVIETSYTRAALDDVLVTAATMTDEHRSFVTTVLAQIYDHASGKAYFLQCEGGSGKSY VSQIMLAKVRDKGDIALAVASSGLAALLLMGGTTAHS H257_02146 MKTIAILALALSTAAVAVGTTALIQGTNGEDRTNATKVQRLQDD IDINRQCHHDNHPNEYLETLTAGQYTSSKFYNCFRSSDQIYEYVDALAKKNPTLLKRE PISTSYHGKNIYAYKLTRSPSKNNSLVFQSLVHAREWITGSSNLFALSSILDDIAKNI TTVADKFNLYFVPIVNIDGYDISWIGHRHQLKSANQVDLSLNWPGKYIKHEPLKETAE HYPGKSPLSEQETTGISNWIRDKQSELAGWVDLLSTGGLVLYPNETDTTSKGKFKRLG DNVAVEVGYTAQATPHLNIPFGTFPYYFYPAYRKPVLTIHVAGSDFVAPASTIRIRGK EIVKALTKFGDEVSIFEDSRESC H257_02149 MRVVDSTLALVGAFFLGQADAKATWYPCPIMTVARGVAASDDNP LVECADIQVPLCHPGVCTSTLQITVFVKRIPPQKLPPIGSKQQALWMLQGGPGESSVN MEGLMASSYVNAGKSVAVYTMDHRGTGRSAPLSCAEDNIPYSPNTTLKCLTNIKTKYG AVAPAAFSVTSAASDLAYIITNEQSTNDVFVYGLSYGTYLVERLMHLAPEGVKGYILD SVQSEQFYITKDAPYYSNWDRDVGGTVDTFLSYCDNDKFCASKVGPKSKTYIQGLYAR LDKKSATASSKCADVIRSMLPEYATNPSWLVNNFLYTMLRDYNKRNLIPAYLYRLNRC NAADQAVVANMVMILTNSTENLLMTTLDGFPKSLFNVSGTGNVSKVSTLTGEGRSNVV YKAIVLSEIWELPSPSAAQMTKWFESAMMGAMNPLEQRENVLDNCIYLGGKDTPCKNY AVAYDSGFTYARDAYWNKTAAIPAGASVLMFTGYLDPATPPKYAKDEYATMAGTTKKL IEFPYAPHVIVGQSPTDGGVDCGGAILASFLAAKGDVTLVKDACVANVLKMNFTTVLD SKFAAALFGTDVDVYGPGAAGPNPALEAPASGPTTAPGGANPSGPQSTASPIMTLPRL ALGLLAIAASSSV H257_02149 MASSYVNAGKSVAVYTMDHRGTGRSAPLSCAEDNIPYSPNTTLK CLTNIKTKYGAVAPAAFSVTSAASDLAYIITNEQSTNDVFVYGLSYGTYLVERLMHLA PEGVKGYILDSVQSEQFYITKDAPYYSNWDRDVGGTVDTFLSYCDNDKFCASKVGPKS KTYIQGLYARLDKKSATASSKCADVIRSMLPEYATNPSWLVNNFLYTMLRDYNKRNLI PAYLYRLNRCNAADQAVVANMVMILTNSTENLLMTTLDGFPKSLFNVSGTGNVSKVST LTGEGRSNVVYKAIVLSEIWELPSPSAAQMTKWFESAMMGAMNPLEQRENVLDNCIYL GGKDTPCKNYAVAYDSGFTYARDAYWNKTAAIPAGASVLMFTGYLDPATPPKYAKDEY ATMAGTTKKLIEFPYAPHVIVGQSPTDGGVDCGGAILASFLAAKGDVTLVKDACVANV LKMNFTTVLDSKFAAALFGTDVDVYGPGAAGPNPALEAPASGPTTAPGGANPSGPQST ASPIMTLPRLALGLLAIAASSSV H257_02150 MPTRQLWSSVVLAALAFARASHAQMSSSTPLQFNWYACPFQTAE DGAHDDVNATPAECADVAMPLCYPGVCTSTKTINVFVKRLLAVNPPPDKQPRAMWMVQ GGPGFGSPSLEPGLLDAYEAFNGTLSVYTIDHRGTGRSSILNCTSNGDQPKGNLNQWQ ALAKCHRQLKALYGETSGPIGFSVTSAAMDIATVIQAPTLFNGTDVFVYGVSFGTYTV ARVMHLQPSNVKGYVLDSIQSEEFGTTKAAPYYSNWDRDVGDAVDQYFAHCDKDAFCA SKLGQPSSKQALVDVYAALDAKSSPCYDVLAATATAATPSEYVGSTLYTMLGDKSTWS LIAPFIFRLRRCSQDDVDMFGNVTAVPNAMMQTSMMSTSSLPLFVLPPNRGSAYLKDE GFSAILYNLIVFSELWEFPAPTLETMAAATTSALFGLKNTKRLPDAFHELCVYIQNND PVCQGYPAYESGAGFIYPKDKYWNKTAAVPPGASVLLLSGLMDPATPAKYARDQFVNM KGLDKLLLQFPFGGHGTLSTTPLADQPNVDCANQIFYAYIQRRGKLQALDTTCVAKVQ TLDFQKVLNSDRATAIFGTDGDVWGPNSPPLPPRPTTPRTELPSRSPKTVAPASSTPR AAAVVPLAVIVSFVVLYMVPEGL H257_02150 MDIATVIQAPTLFNGTDVFVYGVSFGTYTVARVMHLQPSNVKGY VLDSIQSEEFGTTKAAPYYSNWDRDVGDAVDQYFAHCDKDAFCASKLGQPSSKQALVD VYAALDAKSSPCYDVLAATATAATPSEYVGSTLYTMLGDKSTWSLIAPFIFRLRRCSQ DDVDMFGNVTAVPNAMMQTSMMSTSSLPLFVLPPNRGSAYLKDEGFSAILYNLIVFSE LWEFPAPTLETMAAATTSALFGLKNTKRLPDAFHELCVYIQNNDPVCQGYPAYESGAG FIYPKDKYWNKTAAVPPGASVLLLSGLMDPATPAKYARDQFVNMKGLDKLLLQFPFGG HGTLSTTPLADQPNVDCANQIFYAYIQRRGKLQALDTTCVAKVQTLDFQKVLNSDRAT AIFGTDGDVWGPNSPPLPPRPTTPRTELPSRSPKTVAPASSTPRAAAVVPLAVIVSFV VLYMVPEGL H257_02151 MVHHHCHGHVGVRKLTIPFRNPWVVHVGCIDETVYRTRSTSLRF RGVFSHDVACSSRRPVSSLRLRVAPKEWGRVCTSTSSPDCLGAVGHELVTNVQYSRPT NMH H257_02152 MQYSDVSSGESCDEFDSSIHHHQPRGSHHRPPHRPTHAVVSDAD AAASIAAQPKETSQRWLNWYMGAPGTFVGTLVGLCIVEGVRVAPAVKRSSQAVMYDIG DAVHTVGALYFRALTFMTLPLAFLTIALSVADMMMSKRMFRVRWKLVGLTLLTTLLAI GQALVAAWLLGSRLDSTKSYEFVMGQATNTTPVVAWQCPNITHPADGLRTTILVAHNV TNDLQCVPSWGPVNGSALDERLFLVTGISSSMFANRTAGQFTFDSMSAEIINTLVNLS PSNMMDMVLSNNVVGLVVFAVFFGQAAAIASSPASDLLDPIQFMRELHAIFKTMLSYV VTCTPLAIVPLFAGPFLTGTQTARDDFPRLGYFILAFAATCTVHVVIVLPAVLFLCTR TNPYKYLYLVRGALVFGLGCSSSSTSLPLAIRLMDGTNSCDRNIARFAGSIGTGINKN GAAMYIVLALVWTIRNAGIDTDLSVLKYGILSACALVASLAVAPVRTGGLAVVLCVFR QVTGLDIVPYSLSFMVIAECIVDPMSTVVNLWGNLVVSRIVAHRSK H257_02153 MPSLSGTAFVGFVASSFVLLLACAVGIWVWVPHGWVALCSYAVW AIFLDQAPYNGRGRIFNFLRFNWLWQFAQGYFAHTLRQESELDPSKTYLFVAHPHGII ALGTWLVFGGDSIYFLRNNPKLQLSTATVGLNFVLPLWRDLLLALGFVDASYGSLKAV LAQRRSACLLVGGSQEALEAHPNTNRLVLNKRKGFIKLALETGAHVVPVYTFGETNMF SQVANPQGSWLRSMQDSVVKHLTIATPLLTSGPLPNSTPLLTAVGIPIEFPQISKPSS EEIEIHHAKYKAALQALFDKHKQDFYSPDELKTADLAFFA H257_02154 MSKQQKVLDHALAHGFKQEQIVLAPWQMGKASVGGHHPIQHHVG LCVPADDVLAEAVVCVGFRVQTAARRVARAPVRLDRRAGDAIEHERREDDPFLEQPRL GGGVAEVRRTEYALLAHEKPRAIRHPREDAIVAHGGDSVYEEAPLPDGSARHVRHVVI QRRHFEVLGWMQARMGFIERRFPAKVKQVLGHTCWKELVVVESVVAVGDAQTDAPAIH LDWQRRDRVWTERLAFEVQVHAKESANRQQFVLALAVDRCRIGVGVVGGGRRRRVGWG RRRQRPAAHRKRKRCQVVAAASSRDPFVV H257_02155 MPVLILGYHQQRVGFPRFQVRLVYRRPLRWPRCVELRLARGRQA FGRHGSDHSRVFRQSKPNGLAREEPLDRAQRPRRHGRDLSLVVWDVHKSEPNVGDDRR RVVHELPTQRILADVAPPRVVHDPVQR H257_02156 MAASSVGFTNLADVAGTPGDSKDTSPPMRLSTPSKSTNDRHKKK VHGTSRRLLPLDLSAFDMTQKSKRIFQKKDLAEAVRRVNMPIMLLQRDPPPSVSEVPE SDAAAAGNKDVAILEDGVNINARKYNERRRVAVAKEKELHHLLDEFKTMQLETETLEK IHNQESTGAKEIQRLNADIDECMLSMEEKMHTRRQLEHMIRRLQSNQVKFDSHISAMS VAVEASVREAEDVRVLCRQLEAGKSRTIQMLQDLQQQIVVERKIRGRELSENSIKAKN AERMEQWRLKRIKQRSELAAELRGDLSFDEERKLLREIDFKRKAGSDLSAANMEISQR AADHDQVFMQMKQVTGASSLQDVVDKFAAQNSSNASLEKEKARAEARLAAAKASKEGA IKSLNDLKASGIGGIELNRDVYTALESEILVAKGQLKGNKAAYDCLDGVLAAVRQGAS SLVQRLVPFDDLLEINDEALAALTGKDSANDLLAVAEIKFAKVLELVGQQNGSVGGFN GYGGDADDGFDDHARGGGDVGDDVKHAVWTPTGNNDPVVHRNNIRVQARPGASAPSSG PPDSARSDVSSAAGGGGLLEDEEEATDGVMDVLVPSRDILKMSSNRHFAEIMRKQELA EKRKNAAERGISDEELTSKLKKKNQNEADKRLSANPTSRLGLPPGVCQKDDAITKSTA FVTQMPALL H257_02157 MNPSRLNVLTKRQNEAHAARLRSQYSSSRGAMAFLLMTSLLVLL PYVSAWFSSAIVASIFVVLPGYAVALISGLIAVKAAYVAVVNQWTDFHVPTTTAKQAE TAPHADTGLRQRKFVVPEVVGEVIPLESSSQSVVRKAPSIISTEAELLPYRPTTDEAD MSEPATLNFGFGMEMSSAINPNGLYAHTYAVMKPSAKPPARKELESALSSAPHVDKAH EVLADCRLKPRHMGNLCDTLRHVLAQHLQDVLGNFAQNMDELSKLGLRRDMLVDVAAV HPVVSIHVPGEPAPRSIPNVSMKHVIDMARSEPVFASRARPNVHLLREYESLIKYFDV KEEYSTAYAIHRLQTLGEDGFLGPYRWDSGGKWKGKPWTKDAMLPSDAELIMNLFCAM LDDVLPATSDTDRPFRRAHYAPSPPTKSASIRGMFLIFCSQVSPPNFKVIANGAVWEI LPGKTNVFQAIVLFLHAVKTYKSGHLGNINLHVLLEEIFDK H257_02158 MTMADVEEDPSSRFVDYTNETDWERFIAAVEDALLQWGLNDHGA MPEGVTSDKFKVFGLQNSHYLLRLHQEDVLHAAPPPAAITIRNAAAASSSTSTLHYTP TLASIADPRLDLTSPPESLHRYFGCDTYLLLYRYHLLAYNDTEDTITLDTTAGVRDDE VHVLLSSLMVALGNCNCTLPAFVVVGDLDNQRFMGVAAPGLHSSISMQFDTNKVPEVP AAQHCISGLLDLFRFKLEQIPSSNSNTFHALFSASVVYHYDWHPPPPPPDSSLLEWRH AADGNHQHHDLWKYMTWGPVKSPLVSLALQAGWTSLQEGTFVDNAVHSTLNPMEAPTF LLTSLLHSSAHSGGNPPLPPMAQSLQQLVSNLHHARSVTRDVLVSELATTAHVSSPKK SPSRYQSRVPAARAAAVIGHAIGSWVSPADAEIPAMLAAVLEPTTTDGGPWCHAPTCL QHAVVVGQLVSRLALQMSRLEDLQAQCVLWVEFVRVLRDQWRTQQLLPHMGLGRDGML NPVEVDPLDGISMPTPDFHFNLLHQKLQLLNLCIVRRVRLPLSRRQSHATDDDDEFFD SLEELPCVGVLRSVEGHRLLHHPTKDLHVPVTQDAVPLTEDIAKEQQDILAKLGVSAE STLLRQQIQSTSMLSDMQAFKAANPLSCLADFIRWYSPRDWSECDGEIVVDMQTTFPQ GHLSARMSHHQDANPWQLMWTSAAAVPADKQKPLFDAPTEAEKLFHYLETMAPATLLY HMVVATLSNASVFWQVAMGHEWLEAFPVFVSVRDHFQAKCNKAIAALDDAAVDELRQP TAEHQVLEVLALDACDKAVDALAQVETTVAALTSLRHVLPQVSATLVNDMVVTKGPVV VAAEDRAAVTDVVWTAKDPSGPLHRFPVEREYVLRHTAPRPFFVGPRDDGGRRSVDVV NRLYANFTPHEVRYALVLTDSEF H257_02159 MNEDDSLASSTEELRDATSPESVESNQPHERQGKGTRREANTRP ARSTNSKFGQVQPRYLDINRSTTYVRNRTEQLQRRRDLERANSARANERMQQCRALTE LKHTQEQIYRQWLQASNADAHRLRNASNSTAFQTKAISTKANASFTVTA H257_02160 MATNVNAMAGSMQEEFATIIFKYSASPKDNDLGKLAHEFAALHN INLKPLKKTIQHVLELLNHAIQQSQPTSEFVEHLTHAGMDLAPAKLFATKWEHSRAQL LQRTHQVMLSSNKLVNLTWKVGITSATNLVPELGATYVQLRFELDSTTETIELSVESF YRFLANMEALQAHMHFLMG H257_02161 MVEPTLAEKPTLPTNVHRYGCVKDDIMFEVLDSVLTEAECRALI NRMSPALKSVSGALSRLHPLGEQRASKTEYCLSVMENKRFADVIWQRLMDSEAFASIY KYTQREGCGMPLGLAPRLRLLRYEGSDRFDAHYDRIVPDEATGSESLITVLIYLNDGG GVDFSGGETLYINPENMAESVGVVPQRGRVVLFEHCLYHSGSPLQHIDDSANQRKYVM RTDILFRIQAKNHDKY H257_02162 MMTSLDEYRNSFGMAASAIINLAKLRWSGSGPNRPLHRNMTAAH PAFNTVRAIHRFTGEVVVDVKSSKILSKDPSNTSNPLPEFGDESKIMAGTKKCTPRCL K H257_02163 MAAKPTTVKTPPPAAEDSVQKPGCDLKEERSCNDIFFAILYLGC FGLVIALFAMYGGEVLTYNGDELKQKQHKYRYALQICAGIAGASVVLSMVWTGIMLVM GKLLIWIAAIVAIVGVFAAGVLGSYFMKDGGDNTFFWVPATLGTLLALILTIYVCCIR RRIAFASVNLKIACRAVLTYPVLLLIAFGMTIVIALWCLVWSAATYATMNHGEYILSQ VPGLNVSANLNPDGYGNTERFSIFFGMLLVFFWTVFVVRNIVHVTVSGTVASWWYNSD ENRKPMTSTTALCRAMTLSFGSICFGSFIVSVIETIKAIIMFFRHLASKSQNSVAVCL LGCLECIIGCISRLVQYFNKYAYSYVGIYGFSFLRSGKETWELFDKMGWSAIANDSLI DNVLMVGAIMVGFTGAAAGNWAVSYDKHHNNSVWTQNLSQPALTLGTSGFLIGFAICY IVMSVINSSVATAFVLFAEDPQSLQRSHPDDHNDLHAAWKEIYPVEYAASSNQGGAPP AGSSKPTQHV H257_02164 MVRGRRGLRRSPRRRRRRCGRGRRRWRCGPVVSPMRLAVVQLLA DQDSKVCEAHSTLLGVASAIHDRARSRERSRHGTSQATISKCQGASSSPRVSEGSYL H257_02165 MTTKEHSARPSCCSFTSGKLSWAEVRPSSLCRESLHLLDTLPRL QKPLVSAKVRGKPQVCPDRPSFFFTNYTMHITCPTTNVLWVVRQRFSRVYANRKAILE ASSEKCSTEGKQLLRGLMRPLQAFPKRRFGIDCDSVVTERSEGFRGYISALFHVREAC IVSLETADASTAMVLRHTIQLIESAVEMPALHKQEELKWRDRLCRMLTHDVCPDDDDD DLTCAICLDDLEESAPAFTNIRLGCAHAFHHECVSTWLTRHATCPLCRVKV H257_02166 MATGALFPGARQRDRFGKALKALAHAVLGSTANGTVGTHSIRKG NVVERYMHYEKAGDQFVGRVVAGLPLNSAGFAQLPPHFDATDSATVTSAVRYMFPRLS KNVALLGVLKLGLASLVFHADYLRSTLPASHAVLHTAIFRDDELRVKLRSLLRSSSAT LAPTGLPPYVELYRQLEAQHETLKALSTEVVAGVRGIWDEKELSAGTVTQAYIDRHFS TILERLGGGGNSADVVPAQPPPRRREHMLFAWGGRLHKLPEDFCFPSVDVATAWALWW LGKDNEIPYRTIDPSDLSTKLQKRILSEWRTEEAVVESFDCAVHALDGVVDKTLSQRQ RRFGQLMVVTVARIMRETNGAKTKRAYSKRKRS H257_02167 MFEARLSQGKIVKLIIEAMKELISEGNIDCTKSGLALQSMDGSH VSLVSLLLRAEGFEHYRCDRNISLGIQTASLSKILKCSGNDDALSLSAEDEGDVLNIT FEASSGDRISDFGLKLMDIDSEHLGIPSTEYVATVRMPASEFQRICRDLHTMGDTCTI SVSKEGVKFSVSGDLGNGNITLKNNAAAEKEEDRVIIHMEEPVELTFALRYLNMFTKA TPLSATVTLSMSPGVPVVIEYAIGEMGYIRYYLAPKVEEDAN H257_02168 MLFQHKSPVIRGLSWIPVGLVTALIALEYVVFIRFHIRAKLLVG DDSIALVLEFLAFNSLTALTAISYYRVVATDPGFINDKLADYLRMRAQQAGIQLPNCR SCHKPKPSRAHHCSICKMCVVKMDHHCPWVGNCVGLRNYKYFYMFVTYGAITCGLIVL RLFDPFFRAVHHMDNTLPLHAILAYVMAASVTLSLTLFVGFHSYLIFQGQSTLELNVY GRRSPYRYREMAQNWRAVFGSNWHSWLLPLVPENADYDYMMWTRNHDVEAQRSYDDHD DDEESNVSLIL H257_02168 MFHPSFTPDPGFINDKLADYLRMRAQQAGIQLPNCRSCHKPKPS RAHHCSICKMCVVKMDHHCPWVGNCVGLRNYKYFYMFVTYGAITCGLIVLRLFDPFFR AVHHMDNTLPLHAILAYVMAASVTLSLTLFVGFHSYLIFQGQSTLELNVYGRRSPYRY REMAQNWRAVFGSNWHSWLLPLVPENADYDYMMWTRNHDVEAQRSYDDHDDDEESNVS LIL H257_02168 MFHPSFTPDPGFINDKLADYLRMRAQQAGIQLPNCRSCHKPKPS RAHHCSICKMCVVKMDHHCPWVGNCVGLRNYKYFYMFVTYGAITCGLIVLRLFDPFFR AVHHMDNTLPLHAILAYVMAASVTLSLTLFVGFHSYLIFQGQSTLELNVYGRRSPYRY REMAQNWRAVFGSNWHSWLLPLVPENADYDYMMWTRNHDVEAQRSYDDHDDDEESNVS LIL H257_02169 MNTPDAVSPTTQGTDALKRVNSEEKVIDISEGPIAFRKAHLRIN NPPAMSPAVRATIEENVRNVYNPFISSMLSQTSDQYENLTNAQRIENFLHTPATYSAR RSVQSISASELTPLTAAKREALSKETTMGQAFLALLKAFVGTGILFLPQGFKSGGIVF SPIILSIVALLTLYAMFRLLACRELVGGSYSHIGEVAYGKWGSRMVQISIVLMQFGFC CSYIIFVAQNMNQVLAYFGANVSVGALIVLQTVVYIPLSWIRYISYFSISNLIADVFI LYGEFNPCQYFNPQDYSVFIGTAVFTFEGIGLVIPTQASLTKDRQKQFPSLLVWTVLG LLTFYSVFASINYMALGDPIQPLVLASLPRNGWTLSVQCGWSFAQLLSYPLFLFPAVK IIEDMLQLPRRSSGQKVQKNVVRAVIVIVTVLIAYFGQEHLDLFVSIVGAVCCVPLSF IYPPLFYQKIMKHTTFMSKVVDWLVVFIGIGTFVFVTYSNIQKWGAAPL H257_02170 MPPKTQQKTKEQKMAAAMAGGRGKGKKKWSKGKVREKTANKVLF DQETYDRMLKEVPKMKLITASAVVERLKVNATLARAAIRELEVKGDIKVVTKHRCQLI YTRSVGTD H257_02171 MQPPSPPYHAPFNDLAGSDVHEGQVDFVLKVEQVRGLLVKLNAD AGVLSLAHNFAWITGGGRNHIFQATEGGVGSIYIDATRVVLITNNIEGHRLKLEELSG LDLEVFEEPWHMCQSVQAVATSIANGSSVQFDTDGSFDNALAPLRQALTPLDIRRFRQ LGHDCTVHTHVRPGLTEWAIGAAISQACVARGIDMVFLLKAADDRVDAIRHPLPTTNV LHHKAMLVLCDTRIPDDLRKRHDAVTFVDAAALAATAAPLAISGYVFRAIQAAYTSTG FANEYTLHHQGGGTGYKSREWKATPTSEVGAARVFPVEIGQVCTGLVCVSRMASLQTK RFYWNPSIAGTKSEDTVLLVEGGGRIEVLTADGDWPQVRHTMGEITYSRPDILHIQVD AAGNPLPK H257_02172 MRVSGPLIFAASVAYAQQFLVQDSPVMRLLSTDEFDLSEYDTYG VPAEADEEWADEADFHGVDTVPEYDVDDVADQADIGRRQIRAVGALKSRHRAHNIPQG GKAGPRKRGGAKPRRAAGKSGSRKARVAKPRSNRQAFAKTGKVGPRVDDGAIKLRRTA GGKLRVKGAKKPVTGKVGRAKPRHAGGGGGNKRVKDSAKAGVRIRGAVKPRRGSSHQA FAKPAKGGKGAGVRKGAAKPRRATDGATPVKTSKTGRGHVKPRGAAGQAGVARAAPKT NTEPAAESDATEVPDDETVESDVSKKSDDDDDDDDDDDDDDDDDDDDDDDDDDDDVVE AADSNGE H257_02173 MGCLFRTTLRPHTPLSLRRTDLHLDRHTEDRTARRTGSYLACLV RHTEDRTGRRTEIHLARYTEEHTEPRLARFVRHTEVRIPARRTEVRTARRTARRTVRR IQERLARRRCLVRRPVRCCSHCST H257_02174 MRVFHPAWTVAAIAALFSLVSASQGRVDAHEDTPRHLPSTRPVV TPLSFGSFAENVAPVDVGASSSYGKAPPSSRSKKMRHAPYDYRRRLLEKLRSATGAPS STATPSNDMDTQSSSDMDNDDTRNKMIEQVRMKLLTVDSVVVVLAFVGAAVMYWTKWR HYDRLAGQEDPLQYLHGLRREDMEDIALEALKWQCAICYFHSPDDRQCCGLCDTKRGT ELALVDMNTRQKSARARKQWQRRLDAVDGRTYWHEAAKPVHQGPHYVVLPATPPPEFD SNSDAHPSNVLHLQPLALHLAGTAVTGDILPSWWLPHLHDLTDQSFSLKYAWLLTQIS HSYKNYSKMQVYRDKIFDESLEIVLLLRPTHLCTMTRIELLGESGIDAGGVLREWYSL LTVSLFAADRGLFVPANKAEQSYFINPAAASSANPNHLRHYHAIGRVLGRAIVDGQVL PFHLCAPLFKVLLGTPLAFEDVWHLDLNTYNSLVYIRDTDNVDDLALDFSVTVDTPSH DVVDLIPNGRHIPVTLDNRHEYIEKMVSYLLFDRIQPQVSALVRGLYEVVPYELLMPF DHKELELLVCGYSHIDVADWQASTSVSSALRSSKCIKWFWDILEHELTADDRAKLLRF ATGSSRVPLQGFKGLTSYDGKLCPFSLKAVPYTRGVFPKAHSCFNRIDLPIYPTRELL KEALMALVNLEMTEFTLE H257_02174 MCSSPLQYLHGLRREDMEDIALEALKWQCAICYFHSPDDRQCCG LCDTKRGTELALVDMNTRQKSARARKQWQRRLDAVDGRTYWHEAAKPVHQGPHYVVLP ATPPPEFDSNSDAHPSNVLHLQPLALHLAGTAVTGDILPSWWLPHLHDLTDQSFSLKY AWLLTQISHSYKNYSKMQVYRDKIFDESLEIVLLLRPTHLCTMTRIELLGESGIDAGG VLREWYSLLTVSLFAADRGLFVPANKAEQSYFINPAAASSANPNHLRHYHAIGRVLGR AIVDGQVLPFHLCAPLFKVLLGTPLAFEDVWHLDLNTYNSLVYIRDTDNVDDLALDFS VTVDTPSHDVVDLIPNGRHIPVTLDNRHEYIEKMVSYLLFDRIQPQVSALVRGLYEVV PYELLMPFDHKELELLVCGYSHIDVADWQASTSVSSALRSSKCIKWFWDILEHELTAD DRAKLLRFATGSSRVPLQGFKGLTSYDGKLCPFSLKAVPYTRGVFPKAHSCFNRIDLP IYPTRELLKEALMALVNLEMTEFTLE H257_02175 MSLSKRTRRPVASRPSMARPSVPFTGTLTCYSVAFIDKPDLEFG DKKVLLEIQCLKIPLPLLFKLSVPASKTSSESYHYCGVLEFSAPAGQMYAPYWMMQQL GVDEGGTVHLESALSIPKGLFCQLQPDQMDFLDIAATVGPKVLLESAMRRYSCLSVDG CIVIEYGDQKYFLKVLQVKPTPVVHLFGDVDLEVDFKAPDNVDPRRPNSARPTGSHEL CPSHSFNTAPAPTVDNNTSAATSYGRRLADGGFVSSTAPHSSSNPSFDASIPTTSVPR NLSLKAAQIKAKKQTEGLDPAKFKAIKAFATPGQSIAPPPSSPSLSSIAPYAQDNQAD HRSTALVPPTVPLPTAPGAPTTSPPSSSCPFCLADVPPAHVDLHMLRCKQHTAYHRFA CTTCNAKVLQTDAQEHVHCHKCDFVGAADTVVMHTNEFHVSVRCTCGASMAADALSAH KCTACPLTFMPCALCSLSFQRHKFDAHYSVCSNRTQQCEVCNKYVNVVSYAQHCDQCA DLPSVASHAKSPPTEMPCTNPCESPTSKDITSPVDDDNTATMYRCPYCTDATFPNMFA LDRHTESQCPIANLNSAKDAPILRGKLRRKADLQKPTAVSKAGGERIGQPKQSPPRRR RLLGTPSNNQQQTLPRTGSNELRGSHNNRMGETVLQGSTVGLHASKTSRLNQVEALIG RKPSSVARPTKR H257_02175 MSLSKRTRRPVASRPSMARPSVPFTGTLTCYSVAFIDKPDLEFG DKVILPPKVLLEIQCLKIPLPLLFKLSVPASKTSSESYHYCGVLEFSAPAGQMYAPYW MMQQLGVDEGGTVHLESALSIPKGLFCQLQPDQMDFLDIAATVGPKVLLESAMRRYSC LSVDGCIVIEYGDQKYFLKVLQVKPTPVVHLFGDVDLEVDFKAPDNVDPRRPNSARPT GSHELCPSHSFNTAPAPTVDNNTSAATSYGRRLADGGFVSSTAPHSSSNPSFDASIPT TSVPRNLSLKAAQIKAKKQTEGLDPAKFKAIKAFATPGQSIAPPPSSPSLSSIAPYAQ DNQADHRSTALVPPTVPLPTAPGAPTTSPPSSSCPFCLADVPPAHVDLHMLRCKQHTA YHRFACTTCNAKVLQTDAQEHVHCHKCDFVGAADTVVMHTNEFHVSVRCTCGASMAAD ALSAHKCTACPLTFMPCALCSLSFQRHKFDAHYSVCSNRTQQCEVCNKYVNVVSYAQH CDQCADLPSVASHAKSPPTEMPCTNPCESPTSKDITSPVDDDNTATMYRCPYCTDATF PNMFALDRHTESQCPIANLNSAKDAPILRGKLRRKADLQKPTAVSKAGGERIGQPKQS PPRRRRLLGTPSNNQQQTLPRTGSNELRGSHNNRMGETVLQGSTVGLHASKTSRLNQV EALIGRKPSSVARPTKR H257_02176 MGMPHDVEWRSAEDALAYDVLLLKSVFTRLRRQSADEGILELDL FSKQQVIDELEVHEDQKLLRHRPNLRKLHTRLSSLNNIQESISFDAFRVTRVRDKTLS FDMTSALLKDLCRSQLEAESERRRLVRYKPMWCTRDAKLADNHPAATYNVCYRPPHTL TSREKLAVERRAKWTRDKAAVMAQLFVQGLMQTLFLPKAPRGLPSCPTTATDPIDDHD VGGLKTPSVKTKRHKGKPLARRSSFMSSKQEQQDVASLKLRILCPGYDPNAPPWRSAT CGGAFNDATDAEAFVAAVESAGVPSIARKLWAHATFRSAATACARRVESCASSHSNNP ATFPHDRPLSTATTPASSSSSSRLTASTDMLLLDTYAAWQGQYRTKTIPHLR H257_02177 MSSIRGLLQRKQDGSAVPNTNGDMNEHDGQKQEGDGVDDDSVKP TEQKKKGGFGFGSLVAPKFMTGLKNLNPLKNFSKKSEAKPGTRAPPPPLKQIVWLFGP KHRAELWQVCPFLPLNALGCLAQVNEAANEHMIAYFRSPLLTVQHVLSTRFLVFQTLL RDHTLSRNRVATDYDIPSLVLAYLPMKEQVHMSQVCRRLAGIYAKACGLHLCGRHQLA AFLRGYDVESKAFWTVRARYGRIRHLSCAYVTATQLVLVTWLLLHDCFPNVTSISFSH VTMEGGQTSAIDLATSLVTMLEHMGKGQLDSIVLRGIPWTDPAYAILVDHLYFHPLTN LKHLDLADSPLPHVTWVRMTPSFVRDHFAHLTSFDVQNTALTSESFPALLHVLAHMPH LMHLNISRNELAIGAWKDLAAHMLLDPAVSVFHTLRSFDCSGCSYNIEGMLVFMDALW QCTCPHLSHLNVSGNVITKDAIVGLSRAFVHETVPSLSHLNLASTALTSECIQMLSAG FTGRLFYHTSKKIHHDMPGQSLSYLNLTGNVIGKGVEFLLAALKAHALASIDQLVLAK THLGTSEFDLLAQTFQHDCCPQLTSLDLSDNQAKGEGLTRFCYFFSTPAAARVRHLDL SHNLLDTYSIHRLSETLRRSQCIRLHTLNVARNYKLEFQTLYHFNETVRSGACPSLRC LQIGDAVTPDAGHRMVQRLNQTASSLDVATQRDCMRDAKRLAFTKQSELDAIATDVRT KMKCAIRRELYDRLEADAASANLRVHPVKHTTKTKLAIHRKIQQEILDTVGR H257_02177 MSSIRGLLQRKQDGSAVPNTNGDMNEHDGQKQEGDGVDDDSVKP TEQKKKGGFGFGSLVAPKFMTGLKNLNPLKNFSKKSEAKPGTRAPPPPLKQIVWLFGP KHRAELWQVCPFLPLNALGCLAQVNEAANEHMIAYFRSPLLTVQHVLSTRFLVFQTLL RDHTLSRNRVATDYDIPSLVLAYLPMKEQVHMSQVCRRLAGIYAKACGLHLCGRHQLA AFLRGYDVESKAFWTVRARYGRIRHLSCAYVTATQLVLVTWLLLHDCFPNVTSISFSH VTMEGGQTSAIDLATSLVTMLEHMGKGQLDSIVLRGIPWTDPAYAILVDHLYFHPLTN LKHLDLADSPLPHVTWVRMTPSFVRDHFAHLTSFDVQNTALTSESFPALLHVLAHMPH LMHLNISRNELAIGAWKDLAAHMLLDPAVSVFHTLRSFDCSGCSYNIEGMLVFMDALW QCTCPHLSHLNVSGNVITKDAIVGLSRAFVHETVPSLSHLNLASTALTSECIQMLSAG FTGRLFYHTSKKIHHDMPGQSLSYLNLTGNVIGKGVEFLLAALKAHALASIDQLVLAK THLGTSEFDLLAQTFQHDCCPQLTSLDLSDNQAKGEGLTRFCYFFSTPAAARVRHLDL SHNLLDTYSIHRLSETLRRSQCIRLHTLNVARNYKLEFQTLYHFNETVRSGACPSLRC LQIGDAVTPDAGHRMVQRLNQTASSLDVVIPFIRLILVYF H257_02177 MSSIRGLLQRKQDGSAVPNTNGDMNEHDGQKQEGDGVDDDSVKP TEQKKKGGFGFGSLVAPKFMTGLKNLNPLKNFSKKSEAKPGTRAPPPPLKQIVWLFGP KHRAELWQVCPFLPLNALGCLAQVNEAANEHMIAYFRSPLLTVQHVLSTRFLVFQTLL RDHTLSRNRVATDYDIPSLVLAYLPMKEQVHMSQVCRRLAGIYAKACGLHLCGRHQLA AFLRGYDVESKAFWTVRARYGRIRHLSCAYVTATQLVLVTWLLLHDCFPNVTSISFSH VTMEGGQTSAIDLATSLVTMLEHMGKGQLDSIVLRGIPWTDPAYAILVDHLYFHPLTN LKHLDLADSPLPHVTWVRMTPSFVRDHFAHLTSFDVQNTALTSESFPALLHVLAHMPH LMHLNISRNELAIGAWKDLAAHMLLDPAVSVFHTLRSFDCSGCSYNIEGMLVFMDALW QCTCPHLSHLNVSGNVITKDAIVGLSRAFVHETVPSLSHLNLASTALTSECIQMLSAG FTGRLFYHTSKKIHHDMPGQSLSYLNLTGNVIGKGVEFLLAALKAHALASIDQLVLAK THLGTSEFDLLAQTFQHDCCPQLTSLDLSDNQAKGEGLTRFCYFFSTPAAARVRHLDL SRTTRRHFVI H257_02178 MERRVLARAAMAACCLFTGHSSALMEKLPTLDNELKRIRSFEAK MRERWIKDEDNWRTLPSRVWPSYHPPVAQQASLEAKVKSLCKQSQPTNDTCFQNRFDL ATLLVFNSIDPEAGFRMYTSLADDGFVDGIVAAGVCLVEGFGVDQDYARGVAYLQRAS QLGHPQADYELAVLHYTGAAAPSLPASDELAFALFERAMNQGRFSYATYMVADMLLDQ ANPDEYGRALSLMYDAADNGHRYARQEVLSFLSGRHKALRRAS H257_02179 MASEQTPLTKQGSSGCVNTCDLKEERRCNDIFFVILYLLAFGVV LALFSMYGGEVLTYAGDHLVQKQHKFKYALNICASIAGGSVLLSMLWVGIMLCLGAWL IWICAGVAIAGSVVAGFLASKFMKDNGDDTWFWLPATLGSLVAILLTVYICCIRKRIA FASANLQIACRAILTYPVILLVAFFNTLLIGAWVLVWSVATYAAMNHGEYINSDGQGY NNVQKFSIFAALLLVFFWTLFVVRNIVHVTVSGTVATWWYRADNNRVPLTTLRALYNA VVLSFGSICFGSLIVSVIETIKTILEIFRNLAKQSHNAVAVCLLGCLECIVGCISNLV QYFNKYAYSYVGIYGFSFLRAGKETFELFEKMGWSAIANDALIDNVLIAGSIFVGIGG AAAGYGAVSYDRHYNNNVWTQNLENPTATLGTAGLLIGFSICYIVMAVINSSVATTFV LFAEDPHSLHHSHPHDYEDLHQAWNEIYPEEYAKNPHGTKADNQAIQHT H257_02180 MNPTPVHDAGRGRYCHVAECSRYAKEFSVCLHHSRLLQATPFDA THAPLLPINHHHLETGFSPLSTASRQQHMGHTCRSIGLQCRFPQCTSYARNRGFCTRH GGGRKCRIADCWTPSQTGGLCRIHGGGSRCKVTFCSNFSRTRGLCSKHLKESSNPHNN TFADHTDVFEL H257_02181 MMVGCPTSTLCSVATCSRYAKQSAMCLFHSRYFTTQAPGANTTI KSSPQCAMRSTSSPKVKSPAHRVSRCRFLDGCHSYARNHGLCTRHGGGRKCRIVGCPT SSQTNGLCRIHGGGSRCKLDGCDRFARVKGACSVHAKLHTPPCIALVSDIYS H257_02182 MNPTSAASHDTLLDDAPPSHCCLPSCRRYAKEASLCLIHHRLQH IASTAPRRSDEPVSTGQAWPFTSSASPRSSVSTHDTFSNTMMATALAPRSSSSSPQTS RNSRCRFHNCTSYARNGGLCTRHGGGRKCHIVHCTTPSQTGGLCRLHGGGTRCKSDGC AKFARVRGFCSMHYGNSVAVGAAAAATSASIDQGRHRIPLSKSPSTELR H257_02183 MAPHQQQPPPPSCYESHALSLEYGSLDADLFLDAVDSEPFVPYS AGVSSNGSTCRSNTPYDSSRSGPVVDSKPAPTLAKKGEKRKQQIREASRRCRIKQKDE VAYLRLRVNEMEQVLRDLSASSSSALSTATSDKDAEVSSLRRHNQHLTLALEHTTKQL RFIQTLMQETIHTATSLSTSPPPRPSSQPAPSEEPVHADKANAMAALAATAAAGLMSF VVEKGVQVMSISSINWVGDVWMEGSQVRFALTKSFSGAMSRTDMADRVWRATTALPRC GTSHYTLISQECVMDIHPSSLRVMRRVESLMEMRLLEHWSVVFRHEVDAHSTLVGSQS VAPFSSAQYTLGKEQQGILVTTTDDAVHAELRGMYECVGVPESEVAARVSKDILSILV LAESTLVGANVVPGREVPRENMNLYVH H257_02184 MKLLKKNISEKDGTGSVSLRAEEPEDMWHVFNLIHKGDTLKTTT VRKVVKEGATGSTSSQRMRMSLALEIDKIDFDPAKCLLRIKGVNVEENPHVRMGASHT TDLELNKDFTLKKNCWDLMSIERIETACNVAKQADVAAVVMQTGLAHLCLITGHMTVI RAKIESSIPRKRPGNTSHAKGVERFYDNIVQSLKRHIDFNLVKCVLVASPGFVKDDFF KYMLEYAVRTDDKVLLENKARFILVHASSGHKHALDEVLADAKVKVQLEDTKAAGDVK CLDTFFEMLHTDQDRAYYGYNHVVRANDQNAVETLLIADSLFRSQDVQTRRKYVTLVE KVRDNGGVVRVFSSLHVSGEKLGQLSGVAAILRMPLPDLDDEGDASDEDNDDAAALVA TTDSSVVELDDLEYI H257_02185 MHTNWHSDDSDAASWMDEQGSEGHSSSLTHQPFLLHPNATPSQQ RDANDDIAEAIQSIQFDMELWHDMYAVSAPYISPSQQDAWKAIVTQMQQVSHRIIGGG HDVAHEAASLPTVVPERKQRHSSIVSDAQPESYWAGLWSRLGDVENDRNRVMHARTMP GDEYVLQQIWSCLDSQDFAAVAAVCSDWFRLVYHSKLGQRQWQHIVRSRWPQVHGWDG DHAFVSRVCGTTRDWRHRFITLHKLSSNWQHGRLLHQSTIPVELTPTIRCMQFVDQSR LLLLGDSRGGLQMRNLHRDSAPSSMELPAADGVLRAHNYSVTAISSHGDRAVSGSVDG TLSVHALSAFHTSPVPHGHLDAITCVELHQDVVASGSKDATVRLWDLRNVQSGAALVF EHRREAVRMATLSPADATKWLVFYGDGMCCVADVRRPDVPVHQVQGTFPYKASAWVAN SMLCVVESDGGVALINDSGALVRRRRRPFSGPPLTCFPVFHGNQNDGGMFGVATSDPN HAVEIYHIRDHGTMTPAYSLPLPPSPLRCSAADWTSVVGVEDRGLLHEWSFDDQITWK H257_02185 MHTNWHSDDSDAASWMDEQGSEGHSSSLTHQPFLLHPNATPSQQ RDANDDIAEAIQSIQFDMELWHDMYAVSAPYISPSQQDAWKAIVTQMQQVSHRIIGGG HDVAHEAASLPTVVPERKQRHSSIVSDAQPESYWAGLWSRLGDVENDRNRVMHARTMP GDEYVLQQIWSCLDSQDFAAVAAVCSDWFRLVYHSKLGQRQWQHIVRSRWPQVHGWDG DHAFVSRVCGTTRDWRHRFITLHKLSSNWQHGRLLHQSTIPVELTPTIRCMQFVDQSR LLLLGDSRGGLQMRNLHRDSAPSSMELPAADGVLRAHNYSVTAISSHGDRAVSGSVDG TLSVHALSAFHTSPVPHGHLDAITCVELHQDVVASGSKDATVRLWDLRNVQSGAALVF EHRREAVRMATLSPADATKWLVFYGDGMCCVADVRRPDVPVHQVQGTFPYKASAWVAN SVRNERYRNSYNIWLYVLEILDALRGGIRRRRRLDKRQRCVGPPPPPTF H257_02185 MHTNWHSDDSDAASWMDEQGSEGHSSSLTHQPFLLHPNATPSQQ RDANDDIAEAIQSIQFDMELWHDMYAVSAPYISPSQQDAWKAIVTQMQQVSHRIIGGG HDVAHEAASLPTVVPERKQRHSSIVSDAQPESYWAGLWSRLGDVENDRNRVMHARTMP GDEYVLQQIWSCLDSQDFAAVAAVCSDWFRLVYHSKLGQRQWQHIVRSRWPQVHGWDG DHAFVSRVCGTTRDWRHRFITLHKLSSNWQHGRLLHQSTIPVELTPTIRCMQFVDQSR LLLLGDSRGGLQMRNLHRDSAPSSMELPAADGVLRAHNYSVTAISSHGDRAVSGSVDG TLSVHALSAFHTSPVPHGHLDAITCVELHQDVVASGSKDATVRLWDLRNVQSGAALVF EHRREAVRMATLSPADATKWLVFYGVRTGR H257_02185 MHTNWHSDDSDAASWMDEQGSEGHSSSLTHQPFLLHPNATPSQQ RDANDDIAEAIQSIQFDMELWHDMYAVSAPYISPSQQDAWKAIVTQMQQVSHRIIGGG HDVAHEAASLPTVVPERKQRHSSIVSDAQPESYWAGLWSRLGDVENDRNRVMHARTMP GDEYVLQQIWSCLDSQDFAAVAAVCSDWFRLVYHSKLGQRQWQHIVRSRWPQVHGWDG DHAFVSRVCGTTRDWRHRFITLHKLSSNWQHGRLLHQSTIPVELTPTIRCMQFVDQSR LLLLGDSRGGLQMRNLHRDSAPSSMELPAADGVLRAHNYSVTAISSHGDRAVSGSVDG TLSVHALSAFHTSPVPHGHLDAITCVELHQDVVASGSKDATVRLWDLRNVQSGAALVF EHRREAVRMATLSPADATKWLVFYGVRTGR H257_02186 MAEPKTSEDDDLKREMKKASKKSSKKTTAPPPGEGGPSLGVASP TAAAGAQKSSPPTSASTPQKPHSSAPKTPPQAQPSMSDVPKMNFHAANLGTVAEPKGG TTEGAMDDKLKKKSTKKKAPDATTAVAPPGTSTGLVTGVAAMPGGGAPTVASLQSPTT SSAKNDDEEERARAAARREAKRQKQKDAEEAKKRKEELERQALDMARREEEARQRELR KVEALAALNANAEECQEEDDYDEDFENYDDGGFEEPDEPAQAKKLSTNGSKSNQQSLM DPPEDFATVQKIREALQAESKPQTPSSGGASKKATTAKQTPAAPKDAKEAKGTGAKKA TSGVSASIAGLKHSIDPRAKRVKEILDKKKMETERFDMFQLAPLTDFDKYMGQLRVCA VRQVFVQTNDDARSVQTQTKPRAMKDQSMLFPDDMGVDAALTDSTTTTTSSRRFIGFL ARASHACEVLLEENLMYADGASNQKQHDTIPQSQCDTASLNGFSAKQVSINADHALFQ YRPLLDMAFSHQVSHWLVGGYGPADDGDNNAPFADKSILSVWDINQVQSPVRWLRAEG VVSCVGFGPGRDMFVLSGSDEGAVQVWDLRLPPSPRFEAPSIKVKVEPPTYSTAGMKH DHNHTSPIAAVRAIPSGKKGANFQFGSLDNRGLLVVWSVIECRTDHEALSIDSCVEIG GRVKLVKTAVIDSAPSLLVGPSANEFAFFPADPNQFVVGTTGGAIVHGSRFDKKLGVK AYRRGGDYGGGGVSAVTSLAFHPTLPQYFLAGYADGSLSLFHVDLARDIASWYDVALG VSRVMWSPSRPSVFYVLYTNGTLTIWDLLTSRMMPVVTESAGPSVATKRKGKVLFALS ADIARTCRPSIAIAGASSKPLAIHELCGPLTQKTKDETASVDTLLAGVV H257_02187 MERKGADYKGVAEEKYSYGRKKAASGYKDADDSDAESKSVASSG QEEELDFAQEKLLDLDVESEWEEIRKRPSIARIQKAVDPRGKKIADGLKINYMNMRCG DSGRMLWQSEKWGKDMFEREQKAVVPKAILQCTSVSREINFSSTEEIKAFRLEQRVFF NGQCLEEWLFAFGFVIPHSTNTWQQTIDAAGPDAMLPADAISGNLTIETGFYDANVLI AKTVYRIYYD H257_02188 MYPRSREDKIRAKAETDGPILDLSNAYLGDEGCADVVRILRQYP LKRVLDLRGNRIQADGIAVVATMLKTSVSIEHVNLEWNCIGVLDHGVEALASALALNT SLTYLDLRNNSIGPDGAMRLAQSLKRNRTLEEMDLRWNEIGSVGGRAFLDMLEEGNHS LQRLQLMGNNVPMATADAIEDCLKRNQQVATSACSHADDDLHDVRGPECSEPADDPPL SQALSDDNAKLLLAYLADKEALSSQVTALQQALHVMETQVEARDATVLQLERELQVAR DDRERHMRREGQERDRGDDIARLLEQSESKRKSEADEGSRQLQTLEATVLQLKEAKIS AERQAQRSGDELVQAKAHHDQATRLLEMENAKLRSALSGVQDEAARLREQVHDGRKEL DRRDSMWRVELDETKAAATRRAEMAIEGLEQQLRHVTAHADSVMSDLHLQKSVVDGLQ STLLQMKVAHEAAMGELCVKLEAECQDRLERHVAAVEGSIDEMRRHRTLLEKDVEKHR MHGELLRDEKTRQRKVFDEEMQARDRQYDELKATVTAKDERLAAMDVECLRHTRKHDQ TLDKLTALESELDNVNAMHVQGMEKLQAMMTHEKQATSEALGAAKDKERRLMAQVAAL EGKMQELQQDHDRSMARFVRDVHAYVDQWPTTSSNSSSNHPPGYTTGSSNTTSRSTDD ANDDNE H257_02189 MKFSLLTAIALFAAATTAQTNNTIADIDGRARTLKEAAKVDDAE LNRECHKQNGNYIPSLKAGQYSTSAFHNCFRTIDQIYEFSDALVEQNPTLLSKFAISK TYKNATIYGYKLTKGHSQSLYFQGQLHAREWIAGSSILFSLASILDDIANKKPTAADE YDLYFVPVVNIDGFKNTWNGTRFQRKSDNEVDLNRNWPTPNKNPNNPTKDHWSYPGPK PFSEPETAGINHWLETKRDEIQGYLDIHSYGGYILYAYGDNDKPLGEGFDEKYEVLAR GLQSVMGEYAPGPAHGLLYFSYGSFPDYAFREFRKAALTIEIFGRTFNVSASTIPTRG LELYKGINQFAKEVTVFNSDVVTPIKPSCGD H257_02190 MSSELPWNRLVGMLAAHTATGVVFWALIDQLPRDVYWFCLQEMN LSSKLAFLGLLFLLPLILVNHKLRLAAAQYGSVLDAMGLLCLFVRFHIDTTFHLVMCC IGLVLVTAARLSLWSFARHLKALSSDGSVTAATLSVTGLMLANFVTLALRWGNKSLNP VVPGLADALVTVVVCQLPVALACVYLFYYRPHPLLASPVSTRHAWWRSVGSITSIPLV VFVTQWVFGAPTAVSRWLGVSTEYSWLVLLAYSTGAVVPQVLPRFVMSIVWTTGLLCF CSTAKVDVQLVGSACMAMALPSLWIDLVPPIGYAPLASNVSATQLVSATVMSGSVLPN DKSTPSPHTGRAHSADSLQTHASPSSGSMSTGLCVTTCLGTLAYILLTALTIILTCYD YLPDELRFLRGQRYPLLLAVGLLLSAANALTLIRSRHRRHCRFVRWTSMHALRAVVVT GLLVGVLCPVAIVRLVINHSSGQVSPSNTLLGVPSDFRVFSFNVYQGFNRAGLTNFQP ILTAIQDYEPHMVALQESDTMQAGSGAIDMTDFLAQELGMYSYAHPRTVEDSFGCTFL SVFPIVEAQSTGVILPSPRGENACMQMVAVDVHGTAVTVVNVHLGNDGMSEKQTQLDV VARTILSRPINGPLLVVGDFNTRNNTAQYRSFVQNAGHVRDAGSAANCRQSFNDTSVP IEYMFYSNVTCVQFDYPHTYPQDETADSFPRIGHFTLP H257_02190 MCCIGLVLVTAARLSLWSFARHLKALSSDGSVTAATLSVTGLML ANFVTLALRWGNKSLNPVVPGLADALVTVVVCQLPVALACVYLFYYRPHPLLASPVST RHAWWRSVGSITSIPLVVFVTQWVFGAPTAVSRWLGVSTEYSWLVLLAYSTGAVVPQV LPRFVMSIVWTTGLLCFCSTAKVDVQLVGSACMAMALPSLWIDLVPPIGYAPLASNVS ATQLVSATVMSGSVLPNDKSTPSPHTGRAHSADSLQTHASPSSGSMSTGLCVTTCLGT LAYILLTALTIILTCYDYLPDELRFLRGQRYPLLLAVGLLLSAANALTLIRSRHRRHC RFVRWTSMHALRAVVVTGLLVGVLCPVAIVRLVINHSSGQVSPSNTLLGVPSDFRVFS FNVYQGFNRAGLTNFQPILTAIQDYEPHMVALQESDTMQAGSGAIDMTDFLAQELGMY SYAHPRTVEDSFGCTFLSVFPIVEAQSTGVILPSPRGENACMQMVAVDVHGTAVTVVN VHLGNDGMSEKQTQLDVVARTILSRPINGPLLVVGDFNTRNNTAQYRSFVQNAGHVRD AGSAANCRQSFNDTSVPIEYMFYSNVTCVQFDYPHTYPQDETADSFPRIGHFTLP H257_02190 MSSELPWNRLVGMLAAHTATGVVFWALIDQLPRDVYWFCLQEMN LSSKLAFLGLLFLLPLILVNHKLRLAAAQYGSVLDAMGLLCLFVRFHIDTTFHLVMCC IGLVLVTAARLSLWSFARHLKALSSDGSVTAATLSVTGLMLANFVTLALRWGNKSLNP VVPGLADALVTVVVCQLPVALACVYLFYYRPHPLLASPVSTRHAWWRSVGSITSIPLV VFVTQWVFGAPTAVSRWLGVSTEYSWLVLLAYSTGAVVPQVLPRFVMSIVWTTGLLCF CSTAKVDVQLVGSACMAMALPSLWIDLVPPIGYAPLASNVSATQLVSATVMSGSVLPN DKSTPSPHTGRAHSADSLQTHASPSSGSMSTGLCVTTCLGTLAYILLTALTIILTCYD YLPDELRFLRGQRYPLLLAVGLLLSAANALTLIRSRHRRHCRFVRWTSMHALRAVVVT GLLVGVLCPVAIVRLVINHSSGQVSPSNTLLGVPSDFRVFSFNVYQGFNRAGLTNFQP ILTAIQDYEPHMVALQESDTMQAGSGAIDMTDFLAQELGMYSYAHPRTVEDSFGCTFL SVFPIVEAQSTGVILPSPRGENACMQVQSTWPLSSIQRMPNARWWLSTSMARR H257_02190 MCCIGLVLVTAARLSLWSFARHLKALSSDGSVTAATLSVTGLML ANFVTLALRWGNKSLNPVVPGLADALVTVVVCQLPVALACVYLFYYRPHPLLASPVST RHAWWRSVGSITSIPLVVFVTQWVFGAPTAVSRWLGVSTEYSWLVLLAYSTGAVVPQV LPRFVMSIVWTTGLLCFCSTAKVDVQLVGSACMAMALPSLWIDLVPPIGYAPLASNVS ATQLVSATVMSGSVLPNDKSTPSPHTGRAHSADSLQTHASPSSGSMSTGLCVTTCLGT LAYILLTALTIILTCYDYLPDELRFLRGQRYPLLLAVGLLLSAANALTLIRSRHRRHC RFVRWTSMHALRAVVVTGLLVGVLCPVAIVRLVINHSSGQVSPSNTLLGVPSDFRVFS FNVYQGFNRAGLTNFQPILTAIQDYEPHMVALQESDTMQAGSGAIDMTDFLAQELGMY SYAHPRTVEDSFGCTFLSVFPIVEAQSTGVILPSPRGENACMQVQSTWPLSSIQRMPN ARWWLSTSMARR H257_02190 MSSELPWNRLVGMLAAHTATGVVFWALIDQLPRDVYWFCLQEMN LSSKLAFLGLLFLLPLILVNHKLRLAAAQYGSVLDAMGLLCLFVRFHIDTTFHLVMCC IGLVLVTAARLSLWSFARHLKALSSDGSVTAATLSVTGLMLANFVTLALRWGNKSLNP VVPGLADALVTVVVCQLPVALACVYLFYYRPHPLLASPVSTRHAWWRSVGSITSIPLV VFVTQWVFGAPTAVSRWLGVSTEYSWLVLLAYSTGAVVPQVLPRFVMSIVWTTGLLCF CSTAKVDVQLVGSACMAMALPSLWIDLVPPIGYAPLASNVSATQLVSATVMSGSVLPN DKSTPSPHTGRAHSADSLQTHASPSSGSMSTGLCVTTCLGTLAYILLTALTIILTCYD YLPDELRFLRGQRYPLLLAVGLLLSAANALTLIRSRHRRHCRFVRWTSMHALRAVVVT GLLVGVLCPVAIVRLVINHSSGQVSPSNTLLGVPSDFRVFSFNVYQGFNRAGLTNFQP ILTAIQDYEPHMVALQVRYVLEMIHHGEVGHRSRTRCKRAVGPST H257_02190 MSSELPWNRLVGMLAAHTATGVVFWALIDQLPRDVYWFCLQEMN LSSKLAFLGLLFLLPLILVNHKLRLAAAQYGSVLDAMGLLCLFVRFHIDTTFHLVMCC IGLVLVTAARLSLWSFARHLKALSSDGSVTAATLSVTGLMLANFVTLALRWGNKSLNP VVPGLADALVTVVVCQLPVALACVYLFYYRPHPLLASPVSTRHAWWRSVGSITSIPLV VFVTQWVFGAPTAVSRWLGVSTEYSWLVLLAYSTGAVVPQVLPRFVMSIVWTTGLLCF CSTAKVDVQLVGSACMAMALPSLWIDLVPPIGYAPLASNVSATQLVSATVMSGSVLPN DKSTPSPHTGRAHSADSLQTHASPSSGSMSTGLCVTTCLGTLAYILLTALTIILTCYD YLPDELRFLRGQRYPLLLAVGLLLSAANALTLIRSRHRRHCRFVRWTSMHALRAVVVT GLLVGVLCPVAIVRLVINHSSGQVSPSNTLLGVPSDFRVFSFNVYQGFNRAGLTNFQP ILTAIQDYEPHMVALQVRYVLEMIHHGEVGHRSRTRCKRAVGPST H257_02191 MHHHEHASSTSADSTSTSDAAAAAMKRRPSRRHSTTRLMPTDAE ERDHTHQVLMYDTPSSKDAKAAKGIPAVHSSQGLLDTNNSFMTFRHKASISALALLRN PQRLCAGTQDNLIVIWELDEFSPAYSLEGHTRSIIALEVVPDTHTLISSSADQSLRVW DGKHDFQCVQVINGFNGHILSLTATNDTLFMACQDTHVKMASISSSSAAAHVLAPLEK WTLVSNHHGYVYGIHLYSDKSNRNLLFSCSSDSTIGCWELPAMTFITSLHGHRGSVLD IVSVGHSLFSASQDKTIGCWDIDSMRCNGILKGHTAAVLSICSLKDKNRICSGSADDT VRIWNTQSLACVHTLNGHHGGVSGILSTDMFVFSASEDSTVRVWDMDFITNMTSTSST SSSSGRLAGGHHDDGSTSNHKFKMDATLLATSNKQTSDASLIHLLRKFVAIQTVSVDP TMIDECWLGAKFLKNLLRQLGAECRVVHCGPGINPIVIGKLIHDPTKPTVLICGHYDV QPAALEDGWDTNPFLLTGQNGYLYGRGTTDDKGPIIATLFAIRELLSSADGVASVPNF MFLYQGEGENQAQGFKEAVEDHLSYFSPVDLIFISNNYWLGDHTPCLTYGMRGCLEIE IQVDGPAVDVHAGVDGGAIRQPMLDIVALLNGILDPRTGRFTDAGFYDDVRRVTPEEL ALFHHTLSDFDVDTYRQTLGVRDLLPPFLATATANPSVNVLMNRWRFPSASVSAIKGS IDNSSIIPRAACTELTLRTVPDQSPEEMEALVLAHIRAQFGLLGSSNTLSLHVKANVP WWLGDLDSSYYKAAERALEKHWKKKPMMVREGGSSQITMFLKTTLQAPVMHFPMGQAS DRAHLQNERIRLRNLRTGKDALVDFFAAIATDAAIANPSSSGSASSSPVVDIGGGGLY PSL H257_02192 MGGAGVYLASASIFVAAAAYFVQQWSLPLWQASLYAMLLAYLPS YLDTCPTTHRGRYWPWMAARDLRWLSPFVKKAELHFETPLTKGTQYLFAVHPHGVASW HHGVVLLANTSTPPFNDIVPGDQRRHLGASVVFRIPLWREFMLYFGVVDASKHVAHAV LKSGKTLVIMVGGVIEQMMAKRGEHLIYVKHRKGHCKLAIQHGTAVVPVYCFGETDMF ETSTFLLPFRQWVAKKFSVALPICWGPYWWCPIYPFDVEYHHVFGNPIPTTKTDHPTQ EDIDRVHKQYVAELERIFEKYKAQFGYPEATLHVC H257_02193 MWQAALYAVLLAYLPSYLDTCPTTHRGRYWPWFATRDWRWLSPF VKKAELHFETPLTKGTQYLFAVHPHGVASWHHGVLLGNTSTPPFSDFVPGDQRRHLGA SVVFRIPLWREFMLYFGVVDASKHVAHAVLKSGKTLVILVGGVIEQMMAKRGEHLIYV KHRKGHCKLAIQHGTAVVPVYCFGETDMFETSTFLLPFRQWVAKKFSVALPICWGPYW WCPIYPFDVEYHHVFGNPIPTTKTDHPTQEDIDRVHKQYVAELERIFEKYKAQFGYPE ATLHVC H257_02194 MAARDLRWLSPFVKKAELHFETPLTKGTQYLFAVHPHGVASWHH GVLLGNTSTPPFSDFVPGDQRRHLGASVVFRIPLWREFMLYFGVVDASKHVAHAVLKS GKTLVILVGGVIEQMMAKRGEHLIYVKHRKGHCKLAIQHGTAVVPVYCFGETDMFETS TFLLPFRQWVAKKFSVALPICWGPYWWCPIYPFDVEYHHVFGNPIPTTKTDHPTQEDI DRVHKQYVAELERIFEKYKAQFGYPEATLHVC H257_02195 MPAATARALFQNSKRRYGKTFLGSFNMYKVNVDEKHVLDPRIGT SWLLPHQNGETFRLWVARNLELSPLMRGLDALMVVLSFVMVAFFLYTNWNSYSIDLDP AVQTSSNVIGILFTADYIIRVYATPLRLEYVTSVFSLVDLIGVASAWIEICLSKRYMA ILKIDTMGRQFLSMLQVMKSLRVLRAYRLLRFTSSMVQRQILATVLTVLCMIIAVAGA LQNLELCPAHCPDICIPLYRNDTMACVDVEITFADSNATTTCPSFVNHLLEKALPPTN CCRCQVYRFLDWIYFVVVTISTLGYGDISPKTASGRFGTAILIMMTFVFVPIQVNKLV TVISQHSKYNKAYTSRSDTHAVITAHQLDVSMLHAFLRQFFHPQNRNWNERIVILHPQ EPSADVTKIIHLYEPRVTYIVGSAMQEADLKRAAVPTSAICYVLTSCAGDRSGRADQM SAILTTAFRVMNKTVPIFTQVIASTSVSYCTISGATNVVCVQKLKMSMLALSCGIKGL TTLLTNLLSTLSPPSKPAKEPWMAEYLQGAMSKIFRVDIPRSFSGITYHELVMFLYNN LQVIPIAMEMGNGIQLNPMSFKLGQAADPKLCCTVYVIAPNLQVIDRINEYQHEQIRE FRQTLRKMERAKADAEGAENKSPKSAKKQKKKFTLGGHVFRSNSHDTAGFDIKAAYDL FMAKDLPTVLDGHIVVVGLPFALQDLLDPLHTKSSSQIVVIFSPVKMAIPDFERLTHP NQTYFALGSPLTSFDLQRVSITTASSVIVLSKADSGTTNATVEFFDKNMVDADAITCV RFILEGCSRHRQVPPNLIVDLAKHTNVRFLSMAVKRESRRERRLTDRMLLQGGHLTMD DATLADMDIGGDDDENEDVLDMNYIYEPAYASGRVAVNGLIESLMSECYQKPNLPLII DALLHGQEPDYDLQLFQVPCPTGLVGKSFGESFRKLLRLNFICIGCWHPENVKAMTAK DIPAYVHTNPKTEMKLHANDLLYVIGRPASKIEL H257_02196 MSLWRNAVWVVAAVTAMLYSAMNTFVKTDSQHEHVQEVMGRVRA AQIEIQVTPLNTSIVDHLRRKLSTWRDNWTDLLVLEETNQKQIASHWERMKDDMLANV AAKNNELTQLRESERELMQHLHEWRALQHEIEVTTADIASIQADIVRQRNENAALRRD AIARGQAAVSRQADMDEFRRILTDEATTARDAATFHRRVLTSIAVAGGILSFGAVMIT LRARWRTPPPASRRQ H257_02197 MVRSFLAAFVVVLAAANTAMAEMTSQCKPNEVLCVNTAGTAGLC YIPQDRWECCDGLLHYMGPGALDECCYNPSLKKTYLANFTAGGCLEFKRTPEPKPVVV PTTTAATAAAPVSSSSNFAGLSVTNWILIGAGVLVIVGAVAFFIFQKKQKKHATDATG TEICILESKDTKPKEIMLFN H257_02198 MEAKLVALQRQSLQQNAVRHSFSTAIASRFFVDGSLARRQRLME SSHRRQERLRDKTQIEQRKGEWLRRTYEEKKQLEDKKRERDLDRSRRRLHNASASRIQ RTWRRSYHKRLYWRLLNHAAALIQVAMRDYTLHQHAIRFQSAVTLQRWWHLVFFRRQR RIAQDRILNGMYHHVLQRRGRRFRLQLAAALIIQRTWMRYWHGIKAAAAATIQRCARR RQRKRQMKRLASIHLHLQTIERMDASARVLQRNMALWAVTARLVSDAVFQRVLQLTKQ PKGHSVVTDDVPHEGLAKAAADEVKLQRLKATLLRDIDDLRRVRVPKATQQRNDEQES LRRLKALESQRLAKDKEREVERLRQVEDHTRREIRWELEKQLDHQRRVKMKQGKVHRD SNNAGGGASGTKGRIDCRVEVE H257_02199 MRRRSRGPLPRRLLNPDAPSPEAILTHDAAAAISTEINDLVSNR KLLAALQHAKAANMHARYQALRSEASQVDMNYSYAEGFLPGVYFYKYLASVADVGGED KDRPADDNCHVPLRSVGHPRLQLKCWLPDTIVFGGSFPPVWLYSDQHGYIRKVIHFQD AHVMEKLGNRRFENDPVVVFKEPQVVKTPLATTTGGNHIKLYNTLELKSALAKAIGSP VTFALQKYVKPKGPKAFIVRAVYKAGRPACGWTITNKAPFDAPHLPCLSRFCTLTATD DSCSFAKLTERAVADLMDVHVRMLKYVEVSLRVSFESVVADYIKDDMGQWWLVQVKAF RLKTMRPTALLSKLGDAYDRSTVQDDWADDVVAPAASFKKPPSSHHQTVQDKCKVHKM VPCKLCQVMYLQHEVAYKMTMKMMYETIARIQLRSASDTNLSFLRHPKDSLDSGLLYQ TWNVCNLCYALYERDQALLQVETKFTAFLGCPTKLSTSRKGGVTITHDSNVARSQPML TQVPTEFTLCRLMLFFTALYDIPKELYESETQLANDPTRPKRSRLYLRFAVLGFDCFV PLDAHAMLASTSIPSNEDDEPATCYWIPLNLMRCFHFFAPKTPLASKLRESSGLGSYL ADEGTITIQLIRCTDPHTVDIDARRGHQQQPPPTPSSSFSTARGIRRRGLQTHKAAVC DAQGSYSVLLGSTKIQLYQFRSAYVSKTDVYTSMSSGELFNLKANVGFERIRVVNSKH ILARYTLRQYFGVFVPDSSYVVTDKLCTEWVDNMNAVTEDATLYVPKAHLDQFRAPSS SAASTSSLRDANELEDLIEAESTRQVPLAEPPKHRRNPLDRKVMQACDDDDEGALDGV IPTPNEDVEDDPEGRSLLFQRRRSSQTTRAAPATAVVPTTWCVTVMLHRTHNWHPDEG QAGWTAAFSFLDEVHEARQQDVVLRPTDRHNATPADDVVFDSTHRIYVRGALDAMQAF YGSPGGVCTVRLSHAATSSRPPRTVEIDLTDLQTCRTIDGTFNIDTVADAVLQPQPYP PYLSVSIYVTRVPDDEASRLVVVGSPDATAPGLQVLSAPPLTNAQSIIPS H257_02200 MFGFGKPEKTPREQAKEAKRGISQSQRELEREKMALERQEKLLI ADIKRAAKEGNNGGTKILAKQLIQLRQQKDKMTMMKSNLGSIGLQTTSMAAQMTMVSA MEKSTKTMAATNKQMDMNRFQQVIMDFEKQSEMMGMREEMLDDTLIDAFDDEEVEAEG DAVVDQVLTEIGLDLASLMADAPTRQANAAATRFDNLLPDAALLPPPTKVSAQSLH H257_02202 MPGSTSRRNIGQTCLKATTFGKMQVLNATLSWGVLIFASLIIVA AVVGFAGAVFRVRFLLVIYAILCTLSDIAFLAISMAGWFIFAKSSSWKDKAFPADKKQ ERAFASRFNQEYCYVTGANLCNNVLFSSEAISKLAPNTAYDVLSLVLDALKEVMASAG IHGMQSLCQNFGANGAVADLAALVPTQPHLHRM H257_02203 MPPNGTRPSASRSTMSRVSEFDFKPHEKASTFLGLAKVAIMLVN VAFMIIGGMLIYFTVWIQRLGLVAMFQSNFTWLSGVTFGVVLAFGVLVVATSLVGCLG AWVRNRHMMLAYIVVQTINLGVFVLVAIGGFLSLRLAHTLQTSATPTDAEAAFPLHFN PMYCQGQIAYYCTVGNVGESLTLFLGPAAAASARGVFDQLYGWDQACNSSLVRVTDPA LSQHINAMCALCRQHPAAQYSEFLTSILDACPLTLASATWCAGFLNTPQSSSDGGNSP YVECRRHVLALWAYLSSWVGYGSLGVALCSLAIVGLTVLLRQLVVTAELLEMEDEPRT ATMSALPDSTDMYHVVRSPVDV H257_02203 MPPNGTRPSASRSTMSRVSEFDFKPHEKASTFLGLAKVAIMLVN VAFMIIGGMLIYFTVWIQRLGLVAMFQSNFTWLSGVTFGVVLAFGVLVVATSLVGCLG AWVRNRHMMLAYIVVQTINLGVFVLVAIGGFLSLRLAHTLQTSATPTDAEAAFPLHFN PMYCQGQIAYYCTVGNVGESLTLFLGPAAAASARGVFDQLYGWDQACNSSLVRVTDPA LSQHINAMCALCRQHPAAQYSEFLTSILDACPLTLASATWCAGFLNTPQSSSDGGNSP YVECRRHVLALWAYLSSWVGYGSLGVALCSYDLSPYSSILVGDVILLYILYRRLAIVG LTVLLRQLVVTAELLEMEDEPRTATMSALPDSTDMYHVVRSPVDV H257_02203 MPPNGTRPSASRSTMSRVSEFDFKPHEKASTFLGLAKVAIMLVN VAFMIIGGMLIYFTVWIQRLGLVAMFQSNFTWLSGVTFGVVLAFGVLVVATSLVGCLG AWVRNRFPQNHPVAYKTQLFSYKRCTLPRHMMLAYIVVQTINLGVFVLVAIGGFLSLR LAHTLQTSATPTDAEAAFPLHFNPMYCQGQIAYYCTVGNVGESLTLFLGPAAAASARG VFDQLYGWDQACNSSLVRVTDPALSQHINAMCALCRQHPAAQYSEFLTSILDACPLTL ASATWCAGFLNTPQSSSDGGNSPYVECRRHVLALWAYLSSWVGYGSLGVALCSLAIVG LTVLLRQLVVTAELLEMEDEPRTATMSALPDSTDMYHVVRSPVDV H257_02203 MPPNGTRPSASRSTMSRVSEFDFKPHEKASTFLGLAKVAIMLVN VAFMIIGGMLIYFTVWIQRLGLVAMFQSNFTWLSGVTFGVVLAFGVLVVATSLVGCLG AWVRNRFPQNHPVAYKTQLFSYKRCTLPRHMMLAYIVVQTINLGVFVLVAIGGFLSLR LAHTLQTSATPTDAEAAFPLHFNPMYCQGQIAYYCTVGNVGESLTLFLGPAAAASARG VFDQLYGWDQACNSSLVRVTDPALSQHINAMCALCRQHPAAQYSEFLTSILDACPLTL ASATWCAGFLNTPQSSSDGGNSPYVECRRHVLALWAYLSSWVGYGSLGVALCSYDLSP YSSILVGDVILLYILYRRLAIVGLTVLLRQLVVTAELLEMEDEPRTATMSALPDSTDM YHVVRSPVDV H257_02204 MQPTSVVTLFVLACAVAATLAFRPSTDAPSSDLEPLAVVAEGSH GAVKGTNGIFYWGCGSVASRSMNIVGLVGQRIGSVRGGARKLPGSPM H257_02205 MGVLSKQALEGIAAYKYKAGSYTFLDLQLNHFWNAMVEFLPLWM APNLVTLTGTIIVILTTVLLLAISPNMEGGAPGWAYVLSGVGLFVYQTLDAIDGKQAR RTGSSSPLGQLFDHGCDALSALLNCLTACVAFSAGNSTYTFAGLSSVSVNFFAAQWDE YHTGTMSCGNGYYGVTEGQLTLIVCLLLTAAVGDDFWLTQPLLPFASITCGQVFILTC FASNVLLVYANVKNVFTAAPLAQDQVGHKDLSKLQALRQLVPVAAVIAGGYLSMIVGP ARAVYLSHPLVLVGPFGLNFVLMSSRMIVCHMSKVPFSMQSRILVPLAAYLVVVYVPS AAGISIASPMVASVVYAGVATAVYIHYILSAVFEICAHLKIHLLTLPAKKSE H257_02206 MDKSWKHFSTGAVSGGLSAIAFQPLDVIRTHQQGKFGSEVHNVR QSVQFLLAENGVPGLWRGTTPTLLRVCGGAGLYFGMLEQLQVQNRDAVSAFLGGALAR SFAGLVMSPLTIVKARMEWDASNRSIVAQFRSLVATYGWQGLYRGVWPTLLRDVPFSG LYVTLYTRLKNTVGGDNCVHHPVAVNFSCGVVAGVSATVLVHPFDVVKTRMQLEMNGM TVRATMAKMFADEGVRGFLRGVLPRVFKRTLGTAITWTMYEYLSTSSSRTRTTVTATT H257_02207 MVRIENGRRIFCHSNSNIMRRYLVGASLRHAMGSRRHCIGGLHA ATTLLSHAHSLAGTASSFHSSAAVASTVNNTKAFVSKYYELDDHRAIDEYLRRKSLVL KETSTHYVVRDCPFCHATKGRADNLFKLYINKSNGVYICHRCGASGSWFTFKQNLGAS NDVVEASMGYADSHQSSRHDVPTAISALDNAKAIALTRNLHDDADCRHVLDYLTTTRG LDPDVLSKYCVGAIQQPFYGKDGESETKWCATFPWMARKVDIAAMGAELATPDDPASS SGVDANLFNVVRLKVRAIDDKSKQRLVPKGGSWGLFGWNTIPHDADSVVVTEGEFDAM AVHQATGRPAISLPNGCRSLPPAVLPLLERFSSIYLWMDSDVHGQESVDKFVAKLGVA RTYVVRATGAKDANDALLAKMDLNAILDKATLKPHAQITTFDDLRAEVLDEMMNPLRA SGVQSRSIPALNSYLKGFRSGELTVVTGPTGCGKTTLLSQLSLDWCAQGVSTLWGSFE IKNTRLVHKMLTQMAGRSLALNLDLFERTADEFEALPMYFLRFFGSSDVDEVLDAMEY AVYAYDVQHVILDNVQFMMSGQGRGYDKFERQDAALDKFRKFATAKNVHVTLVIHPRK EQDDTDLTLSSVFGTAKATQEADNVLILQRNRGDVKLDVRKNRFDGSLGSIALQFDKE AVCMREMFPTAMDESLASRDLELQMEREARQRYEASAATDSSSRVPGINPAPSNVQGP SCDGSRHHATDPSNGAPVNGQVNGVNGRRVINGHHSPEPFSLFTPIITR H257_02207 MVRIENGRRIFCHSNSNIMRRYLVGASLRHAMGSRRHCIGGLHA ATTLLSHAHSLAGTASSFHSSAAVASTVNNTKAFVSKYYELDDHRAIDEYLRRKSLVL KETSTHYVVRDCPFCHATKGRADNLFKLYINKSNGVYICHRCGASGSWFTFKQNLGAS NDVVEASMGYADSHQSSRHDVPTAISALDNAKAIALTRNLHDDADCRHVLDYLTTTRG LDPDVLSKYCVGAIQQPFYGKDGESETKWCATFPWMARKVDIAAMGAELATPDDPASS SGVDANLFNVVRLKVRAIDDKSKQRLVPKGGSWGLFGWNTIPHDADSVVVTEGEFDAM AVHQATGRPAISLPNGCRSLPPAVLPLLERFSSIYLWMDSDVHGQESVDKFVAKLGVA RTYVVRATGAKDANDALLAKMDLNAILDKATLKPHAQITTFDDLRAEVLDEMMNPLRA SGVQSRSIPALNSYLKGFRSGELTVVTGPTGCGKTTLLSQLSLDWCAQGVSTLWGSFE IKNTRLVHKMLTQMAGRSLALNLDLFERTADEFEALPMYFLRFFGSSDVDEVLDAMEY AVYAYDVQHVILDNVQFMMSGQGRGYDKFERQDAALDKFRKFATAKNVHVTLVIHPRK EQDDTDLTLSSVFGTAKATQEADNVLILQRNRGDVKLDVRKNRTICLDRFDGSLGSIA LQFDKEAVCMREMFPTAMDESLASRDLELQMEREARQRYEASAATDSSSRVPGINPAP SNVQGPSCDGSRHHATDPSNGAPVNGQVNGVNGRRVINGHHSPEPFSLFTPIITR H257_02208 MLAAGPFLLCMGAVVVVVIAMKVCFRALVHAVHDHAAFRDLVHN VTGELTVVGCIYLVVKLCLVVGIVAHDSAAFSALDAADLVVFSITLSLAIHVFLVLLC LRSRNKVMDVLFLRSPMDVLTAAAASLVRARHRSSLAQLYAARHHTRQLHLKALEHYF LGLYDLPPCFSFAAYIRAIQTRHCVTHILQIDACTWLVLLFQLCLIFPWDLLPPNDDN SVLPDSLCIFAAFTAVLTISALTLHVYLAHALNSLFQHVVGKQGEAMEAVRRLTSQQV YAVVEDAVNVEGDNPSAAYWCPDKQGQQRSATQCATWSGTALHPRTIQRCVRLVVVTN ALLVALLWTVVVPTAHVRGGVWIALALSIPLGVNLLVLAAEVVHNAAIIQGVWYVDRE TFRDAIERTEEMEMLKMHVISQMQVYMWEHRLSPQDLVVLVRDVAGSSDSFVHVHVLR GILQSIFHLHVTKHKLKTLLQLTCSMKSGSRFNIMDLIALLDAQGHHGSGADLEFFL H257_02209 MSWLSNSLQLAGELLESVDQKASGKLKQRGEERKKPKQVSEEAW EVKHVSGGGYVDTAQQQQLQVSTEFDPLSRSSSSMQLAAAASPSNGGPDADAFSEWSE VDTESNSGLIMFDEPPLSSSSSSRSHPLPPLPADMQTMKSETLRLRRENSKLRNDLSA VERQLANSTEQLAVCQDELEALDKECTDKITSLERQIGQLGCDKAADEAHFVAALGVK DAHVLTLEGHLTASKHAIQAHVAEIATLKQSIEDLTHTKDQAWTHAASGEAQLEQRVA SLLAELTEASAAHVQLKKEYADSKQSMYARQCALEATNAELTTQVATLQHASTEPTVS SQTKNRPLQEAQDMLASTKKQLHEECRKAMHQAQEISKLTADMTALQAMLVHKEASHH AAVLSLQKELHEARTARPSAGTAPVLTTTTAAAAAVPDGQMQAMTRRLLEKQEQLDAV RSRYTTLEVRFNDLKAAKDAQDKNDDLELNIRTGRTPRGGHHGLRPRHAPHKIAAVEA ADRWLLSVGRFLRAYPEARLGLLGYLVLLHLWAFVILGFHTSHLSDEVKAKATP H257_02209 MQLAAAASPSNGGPDADAFSEWSEVDTESNSGLIMFDEPPLSSS SSSRSHPLPPLPADMQTMKSETLRLRRENSKLRNDLSAVERQLANSTEQLAVCQDELE ALDKECTDKITSLERQIGQLGCDKAADEAHFVAALGVKDAHVLTLEGHLTASKHAIQA HVAEIATLKQSIEDLTHTKDQAWTHAASGEAQLEQRVASLLAELTEASAAHVQLKKEY ADSKQSMYARQCALEATNAELTTQVATLQHASTEPTVSSQTKNRPLQEAQDMLASTKK QLHEECRKAMHQAQEISKLTADMTALQAMLVHKEASHHAAVLSLQKELHEARTARPSA GTAPVLTTTTAAAAAVPDGQMQAMTRRLLEKQEQLDAVRSRYTTLEVRFNDLKAAKDA QDKNDDLELNIRTGRTPRGGHHGLRPRHAPHKIAAVEAADRWLLSVGRFLRAYPEARL GLLGYLVLLHLWAFVILGFHTSHLSDEVKAKATP H257_02210 MEISAAARYTMDAEVPVTGHPETDETKWLRRCLPVFVQPQVDLD NENHLIKILYSFVRNSTDGLLSCHLCVIFAGESSYNRKHEHKHNSLATLLYRSFNRHA YERTADINYMEYRNVDITPDAVQPWQSIHTEDHSGAQRWSQGDKANICNWFSLMHHYE TEVPIEEWHVTPGPSARPYVYLNTCNHMIGETDNNPQLPKHEWVNYQFQQGDAYDALA WVKDHIPTKMNLYSICCCCWARNGGGCCDRHVIVGSKAHHKLVSATPSSSDKTHYVLH EDDPDNVVVPAPKAVKTLKQKRGF H257_02211 MAATTTEKQPNIVFIMADDLGWADLSVYGQVDYSTPRLDQLAAQ GVRFTHAYANSAVCSATRFALMTGRYQYRLPGGLEEPIPTSVGPRGPIGLPPDHPTLP SILRDAGYDTALLGKWHLGPLPDFGPLKSGYDRFFGNLSGVIDYFTHKPGVGEHVARD LWEGETPVDRVGYYTQILADEASAYVTAPERKDKPFFLSLHFTAPHWPWEGPEDEEVS KNLTSIFHYDGGTQATYGRMVEALDQSVGQVLDALDSAGLGDDTIVVFTSDNGGERYS KTWPFTGQKTELLEGGLRVPTIMRWPAGLPTPHVSTQVTATMDWLPTLLAAAKLVPTA EFPTDGENLLPILQQLAPEHDRTLCWRYKAHGQRAIRDGKFKYLKINGNEFLFDIVAD PRERGNLKKRLPDVFDKLKQLWADWDATMLAMNEGTYTHALTPPIQADRYAPQDLTPP VKPT H257_02212 MTVSCCLLAFTSVVATAHAMHPISDTTTFLQHQLVHEHNVLRDA HDLAPIEWNDTLAGVAEAHGSLCPSFDNGYPNVIDGHLITVDIVAPCLPPPDNDVSGC PDTGPSWSWYVHGASRWNYTSQSCVEEVTMTASTACGAFTNLLSPSVRSVGCSWFSCT TRDSITSSNIWCVYDTAEASPVLPVRSVALKDVAQRLPAPPLPPPACPPRIRQSWDQT PSQNQQLFVDAVALAMDRGFHQLFVDIHADTLITNEAHNNVLFFFWHRKFILGYENML RSLGRRFACVTLPFYDYIQNNLDYLLGKCTSLESCSPFLAGLGGSTNGSFSSTLLGGF AFPHFKCVGSAPVSHACEAPGTPRCMHCMPRGPWMRTHFNSTALSYTSIKRVLFQPDD DIYSVTMRVERSPHDTMHFLLAGAVANFYITTTDPVFYGHHATIDILHAIYHHCRVRP LKLTKEQAYNHPINFEPCVVNGTTVDATSTIRLRLPVDGVPTSVDEYAVTRPWFQHVP FTYGDIVDTTNLGVHSYRYNLTGLLGTLYSHCDHAGMNHTANMEVQANSEDYEDDDQH VVMDVTDVDSLTFLAWRYDVLVQARAQGLDDADADDEMDKMVVMVYEHCLPGTHSEYP EDFKAMWKIQGGEPSKTMLDGVLSGATPIRIQGWAGLNQRYFGCSGEVEALVVS H257_02213 MVKRMTMKTSTSHISATTPCTQATKTTVRPTTTPPVMPTGQRTM WRTPHNPPLPIGIPAALPSLAADNPKAKPTIPRQFPAPEKRLTPPKDVLTVYMDAHSQ VTQLEREKFEYMKESDKAARAAAAVVDMRQAKSPLIEKLVASGGVSMRNHKEVKPKSP AWYWLASKKQSGWRAEEDEAQTVESCTLPGQPATTCRI H257_02214 MHMELVSWVICAGVSMVHAIPTPPVSSTFFLQRQLVHEHNVLRD AHDLRPIAWSDRLASIAQAHATSCPRYDVNPNFVDGLFATVDQVAPCSSIAWEHDDDN DGFTNCSGPAWTWYTTGASHWDYTSQLCLEDTTKCRSFTNMLSPSVRSVGCGWSTCSA AQSSKLSLVWCVYDGAQAFPIIPPRSLALNDLMQRLPVPPPPSPLCPPRIRRSWEATS TNDQHLFVQAVALAMDRGFHQLFVDIHAETLGEAHDSCVFLLWHRKFILGYENMLRSL GRRFACVTLPYFDYIQHNLNYLHGKCTSLESCSPFLAGLGGSTSGHLSSQPLAGFAFS HFKCVDAFPASHACAVPGSDCMRCIPRGAWTRTYFNSTALSFTSIKRVLFDADDGMTA LSLRIERSPHDVFHFTLSAALANFVVAALDPVFYGHHATIDILAAIHHRCRVRPLKLT KEQAKLHPGNFQGCVINNTMVVKATSPVGLRLPVDGVPTSVDEYAVTRPWFQHVPLTY GDIADTTNLGVHSYRYNLTGLLGTLYSHCDHAGMNHTANMELQANSEDYEDDDQHVVM DVTDVDSLTFLAWRYDVLVQARAQGLADADADDEMDKMVVMVYEHCLPGTHSEYPEDF KAMWKIQGGEPSKAMLDGVLSGATPIRIQGWAGLNQRYFGCTGERIDNSIKTSIV H257_02215 MDLWRQLSAYWGVPSDVEETEEQKFNRERRQAKLRLLSRSQRGV MLDDLKSSVAEVLQLVVQQQVAQTHAASSATMESTDDDKHKKPLLLNDDLQAVSKLCA TLDRCFSHGLRRVENDDQQSVKFFGLLKWTCTRLGAIHQQRMACGEDGAGSANLSKQA VQLATSSLEPELPRNVRGFLACVRTANDLSNVHQDEGKVRAFLRQALNTHILLECMKV TLNEANQDLLVSYFTEHALFRQQDEVAVFLGLLEGLDTLSFGFLVNDPRLDLSPDIQP FLTPLPKPMAQAAVAPPADLIDPEDMVQGRVRLSGEDYECNLLAAKLMSHRELNHEFD KSTNGNPLAAVLSRAKTSQALDDAASAVLRFLEIGLPEYDVFGAPLLEVVCNPFLCGL ARFDTSLGLPDVVEACVCYLHRKLATPGLFQVHLASEHVLDLRDAIEELGGFHKSMAI DPHEVISVLLQYLWELPDPLLTEDRADAFLAAAKAPDDKQAVRHLRLLVNDLPWYVKP VLERLLHLFARALEPEFSAKNGLSPQSLSLLLAPLLLRSARTYRFSELDEPAIRRFPV AGHVPVKHRFPSTVYNEYPSEIADRLAAEAHAMRKLKQQAEEGAGVVELLIVHQATIL HDIRQHLTARRSKLQAKVETMEGVRGRLDVPVDVSQPYHVSVLKKLWDGLLPLDETAA DYSANLALEAADSVDVAALLRSSRWLQSGFHTKDPLGGFRGGGLLSVECLAFFVHEYK DKAQTMLRRNALSGGNRYPFPVAAINVMRMMLKLLMLDAAPATSSSLILHAETGVDTI LSMQVAERVSRTPFWKVFDDDDGRAFERLFSMAFMVLDLHWQRSGATQMGFNPVLDTT RRQMGWLLEQAPRDMDEMWTTWMQVREGTVKKVTSAGLPREDGGGMINEERRHAKEVL DQGTANDLVDFSTADTKKKTEEVEEGGFDMIKDGRLSPLDIDAI H257_02216 MPFLHIHIRMSLLAADYSDSDSSSASDNEEVAPVVLPVKKTPTI VLPRVDDIFKETKTPSFLVKPKKASLDTFDIDKESLTKLPPPPVEWSPSIARVDHEDE QTASSAKVGSMYQFPGVDQGIPKRTKHLPRQHQKNASDHHGHKRKGANADTDKASGKD RVKQQRLKGQSGIGSDFKSWKSETEMKMRQGFD H257_02217 MIPLGRKPDAAPRRLGVGSSRGPASLVGRGPNSLPAPNNAGEVF DEEYTLRLIPSIKKYNVGRFSEGQMPTLSKCVQPIKMFKEPEREIEDDEEVKEEPQGW NPALRKKRRRRRKDNRIKNWIIQENDSKTLFSGTVEGGVTSSYMLMVKVPNKNEFQMF PVEDWFRFKKPITYPTLTLLEAESMAVEKKRAVERWVMKHERKDGNDVAPSTSMITGA LRTVKSTEDDEGGSTIFAEPKAPRRARGGAMAKKSGSEVDATGDDGGDFTEIFSDDEG QQEVEKTKNDIASESSEDEFEDDATKKPLTDEGKALQDLVKRTKEQSENPAGTAATAA SADANRDDSDDDESKQDKDTTVVKRFDFYGNPVKEAVPLVVAADGGVSGGAASAATDP EKRKFDGDGDKQGHAKKPQLGAPSKPSAAPKLTEALIQEELIRYGGRMKTRDLLKKLK KLLVTAHDKSLFKDIVRTICDVEEDPVDGRFMVLKVQFR H257_02218 MGYYSVDELLAEEERVQCVFQTEAAGCGYMDPSTEGDDILQNTK LELPVWLATALAKHGTVDVLVPTCLSVRYRNVIKAGPSAANLRDMNPFFFELGKAVLP LLTNEADAQEIEDILRVAFGGERYKQILDQSMNSTCTLRESTTRRTFFGGGSARTTSS KAPRSLKSRNASASTFKLKRY H257_02218 MGYYSVDELLAEEERVQCVFQTEAAGCGYMDPSTEGDDILQNTK LELPVWLATALAKHGTVDVLVPTCLSVRYRNVIKAGPSAANLRDMNPFFFELGKAVLP LLTNEADAQEIEDILRVAFGGERYKQILDQSMNSYDEDTTEFTRKLTEFEKDLYAAGV NDAQDFLRWRERKNDIIESAKVTQIKKRKRKHI H257_02219 MSTRLFVQNLPLYVDDEKLRKHFAAHGQVTDACVVKTKDGKSRR FGFVGFKTETQTTTAQKFFDKSFIDTTRINVKVAQPRESDELDRPWSKYSKGSSRYKE KQAPVVEGADGDESTTTTDAKKAKEPSKEAGGFDEFVETMQPRSKTKFWANDDVVDAA SGAGPETIQSILHDSNDSDDEYEDLDTIHDVNKQSAKKAKTGGDDTDDESGDDDSMDK SKASSKQTMSDLEFLKAKTVRRIADSDDEEDNASDNSDDNSEDDDAVTKLNQPSHDDE TPVAPSARLFIRNLPYSCVEEDLTELFAGYGTIVELHMPLDDNKRTKGFGFVLFQSMA EADAARAALDGKAFQGRLLHVLAAKAKLEPVGVEDTSTLTYKQRKEAERKALANTKVG WNASYIRGDATVDALAARLQVNKGDILDKESGNMAVRLAIGETILLQENQSFFEQEGV DVKVMEGAATKKSSAERSSTVLLVKNLPFSTDETALAQLFRTYGELARFVLPPSKTMA LVEFMEASEARKAFRTLAYKKFQHVPLYLEWAPVKVFKAPATMTYSDKLKAASNGPAA ATRPDVEADNVAELDNTLCVKNLNFTTTEAVLKAAFEKCGTLRKVTIARKKDRSGKLT LSMGFGFVEFGTAAAAKKAMQSLQGNLLEGHAMDIKVSRKQLQPAKAQAAKSAANVPK TKVICRNIAFEATVKDVRELFAAFGQLKTVRMPKKFDGKHRGKVQLSEMEVDDMHDSQ GLHSSSSSRKPKPRARSRRCRRRTCTAAIWSWSGLKMQTTWIRCAPRLREISIPFKTA NEPPSGARTPTTSRMTKNFKYY H257_02219 MSTRLFVQNLPLYVDDEKLRKHFAAHGQVTDACVVKTKDGKSRR FGFVGFKTETQTTTAQKFFDKSFIDTTRINVKVAQPRESDELDRPWSKYSKGSSRYKE KQAPVVEGADGDESTTTTDAKKAKEPSKEAGGFDEFVETMQPRSKTKFWANDDVVDAA SGAGPETIQSILHDSNDSDDEYEDLDTIHDVNKQSAKKAKTGGDDTDDESGDDDSMDK SKASSKQTMSDLEFLKAKTVRRIADSDDEEDNASDNSDDNSEDDDAVTKLNQPSHDDE TPVAPSARLFIRNLPYSCVEEDLTELFAGYGTIVELHMPLDDNKRTKGFGFVLFQSMA EADAARAALDGKAFQGRLLHVLAAKAKLEPVGVEDTSTLTYKQRKEAERKALANTKVG WNASYIRGDATVDALAARLQVNKGDILDKESGNMAVRLAIGETILLQENQSFFEQEGV DVKVMEGAATKKSSAERSSTVLLVKNLPFSTDETALAQLFRTYGELARFVLPPSKTMA LVEFMEASEARKAFRTLAYKKFQHVPLYLEWAPVKVFKAPATMTYSDKLKAASNGPAA ATRPDVEADNVAELDNTLCVKNLNFTTTEAVLKAAFEKCGTLRKVTIARKKDRSGKLT LSMGFGFVEFGTAAAAKKAMQSLQGNLLEGHAMDIKVSRKQLQPAKAQAAKSAANVPK TKVICRNIAFEATVKDVRELFAAFGQLKTVRMPKKFDGKHRGFAFIEFLTEAEAKSAF AALSSSHLYGRHLVLEWAEDADDLDTLRAKASRDLNSIQDGQRAAKRRKNADDVEDDQ EF H257_02220 MSYWVVAVPNEGNKSSDATFNELKAETASSKNDFADLYRLDLPS DLLVGTLDSLMTLGEDMHRVDLVVEGAVRKIERQFHDLNKGGEALTVDGVPVERYLHH FSWDEAKHPHRRPLSEIVSIIQSSVGKIEEELKQLSTRYTEKKQQLALHQKKKGGNLL VTNLADILTPDIVKTSDFVNTEYLQTLVVVVPKSQEAVWLQEYTSIGDDIAEFGPKHS RGNVKGSPVVPHSSRKLLEEGDACLYTVTILKGQYQSGSYDQDGNFEPGTLLDYVEKF KLAAREKRFMARDFTFDPTSHATNETLVAELEVEVNRLYSGLLRWCKAHFGETFIAWM HVKAVRVFVESVLRYGLPVNFVAMLFKPKAGRDKKLRQALDKRYEHLQPPQFAAVDDS AAAAAVEYFPYVSNSFTPLSF H257_02221 MEQLPQSTLPILTIRDSVLFPGAYMRIAVGRESSLRLVQDSLWD AFHRSLDSAQIKPVLLGVFTEHGLKENHTLGQVGTVARIIQLQRSRSNQTFDYSMLIQ ALARVSIVEVTQSDPFLEATVQLEKDTFDGKTKVPLQQLISQVSSGLSLGKSMQLIAP RVQNDPNIASKLSVWLDMLAAHIQASAAEKQMVLNAINVIHRLELLWAMLQHHKGKGA GQRLTIKPQPMTAAAALRDTDDNAKANDDDDDVRSLEKKILALKPHLSDETFHMAIRE HRRLKRMNANQPEHHVILTYLEFFCALPWANADTDAESISSSPSPSSLDLSAVQAQLN KDHYGMQKVKSRLVEYMAVRNLTMHNPKTRTKGLILCLVGPPGVGKTSLAQSIATATN RTLQRLALGGVSDESEIRGHRKTYIGAMPGNVLVALQRAGVSNPLIVLDEIDKLGVKG HSANNAVAHALLEVLDPHQNDSFKDHYLNVPFDLSRVMFVATANSLDTIPRPLLDRME IVHIEGYTTVEKLAIAEQYIVPRQVTDHGLTAAPLEFTTDALEYVISHYTREAGVRDL QRKIGSICRHVAVQVVNGQPPVEVIGVEMVQDVLGQETVRNDVALRAGVPGVSTGLAW SSAGGSILFVEASAVTSTSSRDASAPVPIQLTLTGTLGECMKESAQLAVTWLKVHLPA LHPVDFTAVNEFHVHFPEGATPKDGPSAGVAIVCALVSVVTQLVVPVDLAMTGEITLR GVVLPVGGITQKVHAAARAGISRVLLPLANRKDGDSVHASVPSVELIYVQTIADVLQI VFGLPTHSKDDAKIVTSSSTSSSPRRTSRL H257_02221 MEQLPQSTLPILTIRDSVLFPGAYMRIAVGRESSLRLVQDSLWD AFHRSLDSAQIKPVLLGVFTEHGLKENHTLGQVGTVARIIQLQRSRSNQTFDYSMLIQ ALARVSIVEVTQSDPFLEATVQLEKDTFDGKTKVPLQQLISQVSSGLSLGKSMQLIAP RVQNDPNIASKLSVWLDMLAAHIQASAAEKQMVLNAINVIHRLELLWAMLQHHKGKGA GQRLTIKPQPMTAAAALRDTDDNAKANDDDDDVRSLEKKILALKPHLSDETFHMAIRE HRRLKRMNANQPEHHVILTYLEFFCALPWANADTDAESISSSPSPSSLDLSAVQAQLN KDHYGMQKVKSRLVEYMAVRNLTMHNPKTRTKGLILCLVGPPGVGKTSLAQSIATATN RTLQRLALGGVSDESEIRGHRKTYIGAMPGNVLVALQRAGVSNPLIVLDEIDKLGVKG HSANNAVAHALLEVLDPHQNDSFKDHYLNVPFDLSRVMFVATANSLDTIPRPLLDRME IVHIEGYTTVEKLAIAEQYIVPRQVTDHGLTAAPLEFTTDALEYVISHYTREAGVRDL QRKIGSICRHVAVQVVNGQPPVEVIGVEMVQDVLGQETVRNDVALRAGVPGVSTGLAW SSAGGSILFVEASAVTSTSSRDASAPVPIQLTLTGTLGECMKESAQLAVTWLKVHLPA LHPVDFTAVNEFHVHFPEGATPKDGPSAGVAIVCALVSVRKPTNTRSTCIPPLALTTS CM H257_02221 MEQLPQSTLPILTIRDSVLFPGAYMRIAVGRESSLRLVQDSLWD AFHRSLDSAQIKPVLLGVFTEHGLKENHTLGQVGTVARIIQLQRSRSNQTFDYSMLIQ ALARVSIVEVTQSDPFLEATVQLEKDTFDGKTKVPLQQLISQVSSGLSLGKSMQLIAP RVQNDPNIASKLSVWLDMLAAHIQASAAEKQMVLNAINVIHRLELLWAMLQHHKGKGA GQRLTIKPQPMTAAAALRDTDDNAKANDDDDDVRSLEKKILALKPHLSDETFHMAIRE HRRLKRMNANQPEHHVILTYLEFFCALPWANADTDAESISSSPSPSSLDLSAVQAQLN KDHYGMQKVKSRLVEYMAVRNLTMHNPKTRTKGLILCLVGPPGVGKTSLAQSIATATN RTLQRLALGGVSDESEIRGHRKTYIGAMPGNVLVALQRAGVSNPLIVLDEIDKLGVKG HSANNAVAHALLEVLDPHQNDSFKDHYLNVPFDLSRVMFVATANSLDTIPRPLLDRME IVHIEGYTTVEKLAIAEQYIVPRQVTDHGLTAAPLEFTTDALEYVISHYTREAGVRDL QRKVTSFWLCVFHPIVWLR H257_02222 MHQRYSVVHEVATTPTGQVLHCLDRQSGQHVVLKRIQVRFLSTA PSTDMSLERRIHRRLCDGGGHRHILGLLDDFAADGFDTLVLEHCGRGELFSLVENAPD RRLAAPLVQASFAGICDAVHYMHKQGIAHGDLSLENVLVTDAGMLKLSDFGSATPFDA ILRNQQMAGKPFYMAPEIHRSGAFDPSKADVWSVGVMLFMLVTGNPPFESSHASDPSM QFVATNGCRALCAAWHVDDVIPADAMDLLENLLVIDPAERWSMVQVMSHAYIRHSHDH DLMALHPEMQLLTSPPGLLQLAAH H257_02224 MEGYAVVRRLATTRTGQVLLCTDRQTGTPVVVKRVKVPFAARHA STVVSTERQIHRHMMKADNDNAVTTHPHILALYDDFVQHGYEHLVLEYCANGELFDVV EQLPNGRVEPTVAKAYFSQICDALQFMHGRGIAHCDLSLENVLVDANGTLKVSDFGLS METDQRRDHAVGKSFYMAPEMHSGESYDPVLADVWSIGVMLFIMLTGSPPVDSSEVSD PVMQFVHEKGWRSLVHEWDFDDVVSEDALDLMENILQVDPLKRWTLAQVVAHPFLQSS SMPPVTPSDESLLIHKLHNSLTIVEQLQVPAGIEFHL H257_02223 MMPILRTVAALVAATTATTAHVHVQIHLRAGTVPARGVNLGGWL VAEQWMTWDSPIWSGVPANVSGQGEYQTMMYRGAEAGQAAFDVHRRTWITEGHIQHIA ASGLNLVRVPVGYWIQGCSGLPRQLATECAVYASGGLDYLDTLVREWAVQHNVAVLIS IHGAPGSQNGADHSAPATPGAHWSDSDENVAATRRLVTFLAARYLHDDAFLGISLLNE PAGATDVNVLTQYYDNVYNDVRSGVGSDCILVTAPLLWCQNSGSGVCSMDKFGPDMTN VWHDWHPYVVWGYDAMTEDQIITQGVGGWATAIRQWNGSHPLFLGEWCFTGPGGKFST EASTKALVHAMVDMVGGAVAGWAVWSWRMAGDNTWNSWSLSGLVNSYDPVSISDWPSQ DVSPAGLALRGVTGYFTGDPSRVNKVLVQDAMWMMQFGVTVMERWMYNRRTLQLRSTR FGDCLDGYFDTSRQVYRVHMWSCAASNVNQKWKLERHQIVHVSFLLCLSVAALEMTLQ RCDATDAAQVWTTKERVMLALPMRQRVGVGAQGQLVQAFMPDDNLMWQPPALTWLVDY EAQSISNAATGQCLEAASSKPPASGNISVSMQPCERGRATQKWIVDHLHQHLVFLDRF CLAMAAASSSQLMVCDPTDPGQRIRLEWLEYPEV H257_02225 MLTRLVVCAAALLTHHVTHAHVQELIRSGQVKSKGVNLGGWLVA EEWMTWDSPLWWGVPATHHSEYHAMDFLGPVQGQKQFDTHWSSWITETDIKLIAQANL NLVRVPVGYWIQGCTGLSPALFKQCNVYAKGGIVYLDKLIREWAPKYNVAVLISIHGA AGSQNGADHSGSIDGLSHWTETYDNVWATRQLASFLARRYKSDISFLGIGLLNEPGGT TNQATMQQYYHDVYYDVRTVIGSDCILALSPLLWFQGRGGGPNFEDFGKYMYNVWVEW HPYLIWGYTGKSENDLISAAKAWATQIRDWTGHPLFMGEWSYVTAGNTFQTDAATSLL VETMLNMVEYAQGGWTIWSWRVAGNNPWNRWNVKGLLNAYEHSNQFSTGNRPNVSTPL TIVRAAPNQRLELYQYFNRGVVQNANYIAKFGVTDLERWVYYGTSNLLRSDRTGDCLD GYRSGSNFLAHSWYCDESNPNQKWRLANHSLVHVTYNKCLSISADGSSTILATCNADD PTQFFATNEVARLVAFDGTRLMAYGGNKDGWSGIAKVGVPLLDASDAWLIEYTTRRVI NTMSGKCLDAFGVDYNKGTGSVHTWPCSNGNGNQLWNFDAATSQLRHATHAGFCLDLS GGAPTLSLCRDPRQKQQFASQQLDLDWISYPQVDVLGSISG H257_02226 MYRASSKVTSAHNDGVWSTFWTSRDQILSGSVDEVVKSWDASSL EESQSLSVVKQYPGHVLGTISVVATKDGRRAATSSLDCQVRILNLETGGVEKTIDTGA GETWQIAYDPTDKFLVSGSQQGKVNIINIEQEKIVQSIAANGKFIVSVAYSPDGKLVA CGGFDGVVAIFDVETGAEVQKYHDRTKPVRSVAFSPDGSFLLAASDDMHVKIYDITQK SMVGSVSGHISWILNVACSPDRKQFATAGGDRKVKIWDLAAKNCLYTFECHTDQVWSV AYNSTGTRLVSGGDDALLQIYEIAT H257_02226 MYRASSKVTSAHNDGVWSTFWTSRDQILSGSVDEVVKSWDASSL EESQSLSVVKQYPGHVLGTISVVATKDGRRAATSSLDCQVRILNLETGGVEKTIDTGA GETWQIAYDPTDKFLVSGSQQGKVNIINIEQEKIVQSIAANGKFIVSVAYSPDGKLVA CGGFDGVVAIFDVETGAEVQKYHDRTKPVRSVAFSPDGSFLLAASDDMHVKIYDITQK SMVGSVSGHISWILNVACSPDRKQFATAGGDRKVKIWDLAAKNCLYTFECHTDQVRVV LVVVVVVLAILLHMTRDPCLVKVWSVAYNSTGTRLVSGGDDALLQIYEIAT H257_02226 MYRASSKVTSAHNDGVWSTFWTSRDQILSGSVDEVVKSWDASSL EESQSLSVVKQYPGHVLGTISVVATKDGRRAATSSLDCQVRILNLETGGVEKTIDTGA GETWQIAYDPTDKFLVSGSQQGKVNIINIEQEKIVQSIAANGKFIVSVAYSPDGKLVA CGGFDGVVAIFDVETGAEVQKYHDRTKPVRSVAFSPDGSFLLAASDDMHVKIYDITQK SMVGSVSGHISWILNVACSPDRKQFATAYDRFPRGLVPRHDNDWRRGGDRKVKIWDLA AKNCLYTFECHTDQVWSVAYNSTGTRLVSGGDDALLQIYEIAT H257_02227 MLLGRRVLGRRSMYTWRDIPYTANAHPRQILDVVVPTSPTFPRP KLPVTVFVHGGAWQRGDKSGRFYAHVAPTIAQSTPTLVVTMNYRLSPEVKYPEHIHDV LRALQWVHREIHKYGGDNERIVLMGHSAGAHSVMKLALDDGEAFPNCPPLLGVVGISG VYNIVRLSTASIFGSMALDPVFGHGVQVRRESSVMQPSAASLGSMKKDLPMLLLYAQD DFHLDEDAIELKAWLNSLGFTHVACEEISRTNHFTIIGNVNANLPPSRSTQAITKFIR DVTTEKNGH H257_02228 MGEEADVRSRASRVQQLTMQKNLVGAVIASLENPPVNSTSDHIK QSNAQTVFAALQACSKADVATVVQALTPDLEDVLMKYLYRGLAVPQNNASLLEWHGHL VAKAGNGCIVRALTDRKLV H257_02229 MEKGFDDADGSMSPLVLDPRSPNGHLGSVGSMSMPSLHIKVINA MDGLQHDVVLNASSSINTLRQELVLKTSIPSDDQILLYGPPYARLDPRKSVESYQLPH ASKFIFLYDRRVLSQDRPSIPRVVLHPQTVELPTAAPSTSSSHAKLLQETSSPLLRAL FDYESQFQQQLLMAEAVESTAKARLAATEACVEQLDTQTRGIQAALSNLDAHHTAMQG RFTPFWADFKHAQSDHSTLLEHFDTYVHRLGSIPLHPALASDTRLTLLDCIPLDRERD WLVSCKQSQASLEAKMDSLAASFRTLSDAITEQSSAVVSVAPSSWRHTVDEFAAKVAA ISSMRDTLRQNYDAVSKRVADSTQQTMDEAAATAATASMNMSFMFGSTHILEACRGLD DLFRQQADVLPNMQNADDLLKTIMNSVADAKAEAYGVVCTNLKRVSELQCNIVAFETH LGMLKDALAYQKRQFAELKHVQLLPQAYDACVVEIKRRRQYGRLFQARINEMGEAMVG MRDAEIAKREAFLREHGQHLPRDLAPGLTEKPSHCIVSMRPFDTNLPAIEEDAIDDPP NELQVLKQRCQALERQVETLQVELDEQHKASCHCDDSYSNSSSLSASVETAAAAAHGG PKFPLVLALAATAGTLNHSSEILKETDAVRLLKDAMYEKEAKIGHLEEAQSGLQDTVR SLQFSMGMQRNWLKKVVSVLQLDDDVDLDSAEGLHECLMHIEDKWSARTSSPVVAPTS SPDDDEPSSKIAFRSFSYSDLALFLPTFAPTDAAAAKIYLAFHLGCPNRFLSDESITT FYQMHNRYPEYILGRIVFIDERVASDRDNPYRLIAGTTFYVLTVTFLVDF H257_02229 MEKGFDDADGSMSPLVLDPRSPNGHLGSVGSMSMPSLHIKVINA MDGLQHDVVLNASSSINTLRQELVLKTSIPSDDQILLYGPPYARLDPRKSVESYQLPH ASKFIFLYDRRVLSQDRPSIPRVVLHPQTVELPTAAPSTSSSHAKLLQETSSPLLRAL FDYESQFQQQLLMAEAVESTAKARLAATEACVEQLDTQTRGIQAALSNLDAHHTAMQG RFTPFWADFKHAQSDHSTLLEHFDTYVHRLGSIPLHPALASDTRLTLLDCIPLDRERD WLVSCKQSQASLEAKMDSLAASFRTLSDAITEQSSAVVSVAPSSWRHTVDEFAAKVAA ISSMRDTLRQNYDAVSKRVADSTQQTMDEAAATAATASMNMSFMFGSTHILEACRGLD DLFRQQADVLPNMQNADDLLKTIMNSVADAKAEAYGVVCTNLKRVSELQCNIVAFETH LGMLKDALAYQKRQFAELKHVQLLPQAYDACVVEIKRRRQYGRLFQARINEMGEAMVG MRDAEIAKREAFLREHGQHLPRDLAPGLTEKPSHCIVSMRPFDTNLPAIEEDAIDDPP NELQVLKQRCQALERQVETLQVELDEQHKASCHCDDSYSNSSSLSASVETAAAAAHGG PKFPLVLALAATAGTLNHSSEILKETDAVRLLKDAMYEKEAKIGHLEEAQSGLQDTVR SLQFSMGMQRNWLKKVVSVLQLDDDVDLDSAEGLHECLMHIEDKWSARTSSPVVAPTS SPDDDEPSSKIAFRSFSYSDLALFLPTFAPTDAAAAKIYLAFHLGCPNRFLSDESITT FYQMHNRYECDLL H257_02229 MEKGFDDADGSMSPLVLDPRSPNGHLGSVGSMSMPSLHIKVINA MDGLQHDVVLNASSSINTLRQELVLKTSIPSDDQILLYGPPYARLDPRKSVESYQLPH ASKFIFLYDRRVLSQDRPSIPRVVLHPQTVELPTAAPSTSSSHAKLLQETSSPLLRAL FDYESQFQQQLLMAEAVESTAKARLAATEACVEQLDTQTRGIQAALSNLDAHHTAMQG RFTPFWADFKHAQSDHSTLLEHFDTYVHRLGSIPLHPALASDTRLTLLDCIPLDRERD WLVSCKQSQASLEAKMDSLAASFRTLSDAITEQSSAVVSVAPSSWRHTVDEFAAKVAA ISSMRDTLRQNYDAVSKRVADSTQQTMDEAAATAATASMNMSFMFGSTHILEACRGLD DLFRQQADVLPNMQNADDLLKTIMNSVADAKAEAYGVVCTNLKRVSELQCNIVAFETH LGMLKDALAYQKRQFAELKHVQLLPQAYDACVVEIKRRRQYGRLFQARINEMGEAMVG MRDAEIAKREAFLREHGQHLPRDLAPGLTEKPSHCIVSMRPFDTVFYIKKLKYIYILW IELACDRRRRHRRPAQRTASAQAALSSVGASSGNAPSRIGRAAQGIVSLRRFVLELVQ FERQCRDSCRRRARRPQVSAGAGVGGDGRHIEPLERDPQRDRRGAPAQGRHVREGSED WSSRRSAVGSAGYGAVAPVLDGHAAELAQEGRECAAAGRRCRLGQRRGPTRVPHAH H257_02229 MEKGFDDADGSMSPLVLDPRSPNGHLGSVGSMSMPSLHIKVINA MDGLQHDVVLNASSSINTLRQELVLKTSIPSDDQILLYGPPYARLDPRKSVESYQLPH ASKFIFLYDRRVLSQDRPSIPRVVLHPQTVELPTAAPSTSSSHAKLLQETSSPLLRAL FDYESQFQQQLLMAEAVESTAKARLAATEACVEQLDTQTRGIQAALSNLDAHHTAMQG RFTPFWADFKHAQSDHSTLLEHFDTYVHRLGSIPLHPALASDTRLTLLDCIPLDRERD WLVSCKQSQASLEAKMDSLAASFRTLSDAITEQSSAVVSVAPSSWRHTVDEFAAKVAA ISSMRDTLRQNYDAVSKRVADSTQQTMDEAAATAATASMNMSFMFGSTHILEACRGLD DLFRQQADVLPNMQNADDLLKTIMNSVADAKAEAYGVVCTNLKRVSELQCNIVAFETH LGMLKDALAYQKRQFAELKHVQLLPQAYDACVVEIKRRRQYGRLFQARINEMGEAMVG MRDAEIAKREAFLREHGQHLPRDLAPGLTEKPSHCIVSMRPFDTVFYIKKLKYIYILW IELACDRRRRHRRPAQRTASAQAALSSVGASSGNAPSRIGRAAQGIVSLRRFVLELVQ FERQCRDSCRRRARRPQVSAGAGVGGDGRHIEPLERDPQRDRRGAPAQGRHVREGSED WSSRRSAVGSAGYGAVAPVLDGHAAELAQEGRECAAAGRRCRLGQRRGPTRVPHAH H257_02229 MEKGFDDADGSMSPLVLDPRSPNGHLGSVGSMSMPSLHIKVINA MDGLQHDVVLNASSSINTLRQELVLKTSIPSDDQILLYGPPYARLDPRKSVESYQLPH ASKFIFLYDRRVLSQDRPSIPRVVLHPQTVELPTAAPSTSSSHAKLLQETSSPLLRAL FDYESQFQQQLLMAEAVESTAKARLAATEACVEQLDTQTRGIQAALSNLDAHHTAMQG RFTPFWADFKHAQSDHSTLLEHFDTYVHRLGSIPLHPALASDTRLTLLDCIPLDRERD WLVSCKQSQASLEAKMDSLAASFRTLSDAITEQSSAVVSVAPSSWRHTVDEFAAKVAA ISSMRDTLRQNYDAVSKRVADSTQQTMDEAAATAATASMNMSFMFGSTHILEACRGLD DLFRQQADVLPNMQNADDLLKTIMNSVADAKAEAYGVVCTNLKRVSELQCNIVAFETH LGMLKDALAYQKRQFAELKHVQLLPQAYDACVVEIKRRRQYGRLFQARINEMGEAMVG MRDAEIAKREAFLREHGQHLPRDLAPGLTEKPSHCIVSMRPFDTNLPAIEEDAIDDPP NELQVLKQRCQALERQVETLQVELDEQHKASCHCDDSYSNSSRFEFIYIFIYIAMSAT H257_02229 MAEAVESTAKARLAATEACVEQLDTQTRGIQAALSNLDAHHTAM QGRFTPFWADFKHAQSDHSTLLEHFDTYVHRLGSIPLHPALASDTRLTLLDCIPLDRE RDWLVSCKQSQASLEAKMDSLAASFRTLSDAITEQSSAVVSVAPSSWRHTVDEFAAKV AAISSMRDTLRQNYDAVSKRVADSTQQTMDEAAATAATASMNMSFMFGSTHILEACRG LDDLFRQQADVLPNMQNADDLLKTIMNSVADAKAEAYGVVCTNLKRVSELQCNIVAFE THLGMLKDALAYQKRQFAELKHVQLLPQAYDACVVEIKRRRQYGRLFQARINEMGEAM VGMRDAEIAKREAFLREHGQHLPRDLAPGLTEKPSHCIVSMRPFDTNLPAIEEDAIDD PPNELQVLKQRCQALERQVETLQVELDEQHKASCHCDDSYSNSSSLSASVETAAAAAH GGPKFPLVLALAATAGTLNHSSEILKETDAVRLLKDAMYEKEAKIGHLEEAQSGLQDT VRSLQFSMGMQRNWLKKVVSVLQLDDDVDLDSAEGLHECLMHIEDKWSARTSSPVVAP TSSPDDDEPSSKIAFRSFSYSDLALFLPTFAPTDAAAAKIYLAFHLGCPNRFLSDESI TTFYQMHNRYPEYILGRIVFIDERVASDRDNPYRLIAGTTFYVLTVTFLVDF H257_02230 MDAVACVVFGCLWLLALGAWGAHCYQSSRFVTELHEAMTLTATL GVFEMGVRLMLGDSTSTWVYVWLVAGCHALFLVSFFATIMLVANGYTLTLPTLRPRDW ARILLIACVLGLVRWVRSVADSFAGMLVELTLQFLVLLYVLQACSLNVHFSLFLVALL RREGLGQEVAVVEPSTHLFKQCQIAFSCFFMGYMAICAWSLTILQPFRTTFFLLEQSL LLGLATYFGFYLRPDSKSGLVDVAQHLNVSAMSDSFLRVRSNLNAPEEMRPLVTSSSV VVIENPPSRTPAGRFVPNFGVGVAPSDPHPPRDIQ H257_02231 MSSSDPTTTTTEQPTVQKRQVSKVIFDQAQGRSATEEFDKEFQK YNTKHNAVSATALTLARSELSKANALLWQDDKLTKLGQPGSLTATEREHYEARVKQLE AAIDTYHQSISTSNYTRAVLSFAGLVVFVLLLILLNQKVPMF H257_02232 MYSGHLAIPSCTNSTGFDKRDDLLDFPTFSNESVNRHPHVHARQ DLIFFSKSHFRRGDYDHMQLHDLNLGKVSEYATFMALQATTQYKLAIDKR H257_02233 MTLTATLGVFQMGVRLMLGDSTSTWVYVWLVAGCHALFLVSFFA TIMLVANGYTLTLPTVRPRDWARILLIACVLGLVRWVRSVADSFAGMLVELTLQFLVL LYVLQACSLNVHFSLFLVALLRREGLGQEVAVVEPSTHLFKQCQIAFSCFFMGYMAIC AWSLTILQPFRTTFFLLEQSLLLGLATYFGFHLRPDSKSGLVDVAQHLNVSAMSDSFS RVRSNLNAPEEIRPLVTSSSVVVIEYPPSRTPAGRFMPNFGVGVLELSSILNPPSFEP LPLHLCVLCANVCYFKYRRPPQVPPKPPPTSFYYSVTMSDATTNDKSVTDLKFNGRKV MFTAWKARIIAHLNSKSTEDDP H257_02234 MHHDRHEQQRTDHPLSKANALLWQDDKLTKLGQPGSLDATERGH YEARVKQLEVAIDTSSVRARWWQGVTPTCHIATYHQSTSTSNYTRAVLSFAGLVVFVL FLILLNQKVSMF H257_02235 MHDTRRPSGDVASHRKGRCGRKFKHESIAERLRNVPKARRTAFR SIAAALHISRSTLHDYCKRGIFVKYKSNIRPALTEANKAVRLKWSLQHVHASNADLFN FEDIMNVVPVDESQEQVLPGAGRGATTPHLQVEASHYKGDFFVGRRTAASGCRNRPAG TLESKPVSVTRVVDKKMLIEQVITAIKAMCPGVVTDLVTIQQDVPPSDADIVSACTSG GWNIRVVYQSPNSPDLNVLDLGFFRGIQSIQEKNHSRRVDDIVAATEAAWLEVDKETL NFNL H257_02236 MVQDCGKLSMKVIDHLHLHEFNATEKSDEYAKVRVTGWPRWHYG VLTMYSGHLAIPSCTNATGFDKRNDLLDFPTFSNDSVANHAHLHAWQDFIFFSKFHFR RGDYNHMQLHDLNLNKVSEYATFMALVATRRYKLAIDNR H257_02237 MVVGRARSVSAAARTESRSQQRRKQRGCFIPQPDPGAANMDHSS AGMTPPPTARPRGPADTSGAQDDDATTVSSVGDHAALAEQAATQVSDDHASPYSFLRM HTVLSHSIVDLRNSIQRNQRSLRVLQEVRAAMASTLGSGPHDMSQARHALGDEVEFLT ATLSEVNAEVLDLRTALDSSRRGPWIRHTQNGMGPTTCYKRLKSRSDPWSKGNTTSGS RVTKP H257_02238 MESPEQHRARLDRLKAQRSLESPDQCASRLSKLQTKRQLELPED RGVRLNALKAQYSVQTAEARSSRLGYLKAQRKALYISESVEERKSRLERRRQGLHRVQ EANSNDVTASEAQCAALDDATLLHECSKDMKSKILGELGFALGPSGMDQCLCCVCDRL AFTTDVHVYSTEDKDILQSMAALLRNPDAMLCGELVAFYDCKDIDPAFVGLMFSRKGI THAGNVNNIENPKDVDFNVCLECETVLLQPWLSELPRHYVFPGEPVEIERDIDHEFDD NDEHAISYADQGACLYDDPIEDNHGSSIDALAEMEFPDFSEIPVESWPLPPKHAIANH FFVGELPDELFKATWAEMLMCSLVSVVAQTRIIRGGEHRMIRSHLILFDAVPGPPATL LPLKLNRDAMYRVVLAGPFTKDQLVKVKEYHLVRQAMIMDVLQFYKSYNGFYADVSID GDLIASLPAEDVLDGIIDEALGVDEKASAVDNEQAAVNGFSGDPDEGSSEHECAYVER SVLFTQTASDMAPVNEKAVLESIKSKIHLRTPRADPAVPDFNVHTSNKETTPNVDGTI TLAYLAGGIQVKSRFDVQYLKHIPDKATMQQLAMNDNMASDTLFDRSIEAFIKVVVGF DKSTGRPRMTGGLFGHVKAYFGMVETQGRGTLHLHLLVWVHGAPRSTSEYEARFQADL NYEAMVLTYSEGIVSNSLPIDLLQTPCKSVSMRIPKGVLPKEPIMAKCGHCNTMVSSQ HLVRQALLHSRPKVWHVELPKLSQPAIDKYLDEEASFLKSTAGKKYDPERTHDHMRAS FQTYLAGTVHMESNVDYQEFLPGARASLE H257_02239 MPFGPNGTRLPDETVLFVIATLESALQVHWWSHCSSCFKQSRNA RGAQMWRYLFPRARVEVGLIGTSAILLVRKLGEEYINGYSDVILRAFKCNHDIQIMIG GAEMAERIYYACKYTTKDQQKVECRTALALAAFDTRIQRELSTAESGKALSDEVKCRR RLASHMFNMTRKQEVAGPLCALYLLRESCAYTGHFYKKMSIRQNVLKFLHQHSDTPFQ LEVTTNIKVKTLTPLTLKVTRKKMLHHLLDQGDLDNQAYSYRLDISWFEFTSKFFRAN RADGTSSDKLFMERHSLHKSKCIGVHRLARIPVLTGGVRVPFFGDCLKPEERDFHAQV ALVLFKPFRVLSDLCPHGSTWHEAWESFQPTMSTGSAEMYHFMQDYHVGRKKAAKTRT SREEEQNIQMENDDGHTEPDDFDDIDFENALPVASRHPATSHLDCDDARDTTSELYQA VSSSEMSNQVKFPTTTPIDADIAALVGGQSLDEASTTASSSYMSILGAWDQRLRCREQ FASDVKGLKTWMSKKRVERVVTFENQSRVEESSEASVAWCTDPPSEIQTLVQANVPGA LEPSTMFDGNLKIMEITTHEVSPLQRAPYNYEWFCTVKGVLESRMSSLLPPSQSTEFG MHNLTPSTVHEAKEADEKGVPGYTEDLKLLLVDEMSMMNKQQLVRLDEALRARTKLDL PFGGINVVLAGDFFQMPPVGGKPLYTPLSAYQEDLKFDLYEDTGFHLWRSFKDVVVLN ENVQFSQDPE H257_02240 MASPNVSTPSAPPSQRCTTYTTPDNRTRQAIINAYTAALSTKLP RNHYPIRVVANLNGRLNGLSSTDISDIMSLPDTMLGNMAPFIDLIPGKPMFNQTTKFK LVEDAGSKLKVLIPNSPPAVVFVRVTRPKNFDVLPRDGLHDSLPADVYPVFKFKPQGS TEVTLTPGPGGSKRTLKLNLQQLPFVNAIASTIYKIQGETMESLVVADWKARGSKFNK VVNTCQQGNIAISRLTKRDGFSALKPITNERIRYFQPSSDTIAENLRLEKLFAVYLSR NENTANHSTSIDDALETLSLSSPPPRNKGKAKRRALPDLPCVERLSYVSLARTRDFNL ESLLSLDAWIGEDVINVFMASLVQFTPRYAFLNSHFFESMTNDPSLEQATRILGRSSY GAQTQCVIFPIFVPGHWMLGILDFTHQRYGFYDSLHSPRPTVLTTLQRFVDTLDGRQG QLHGMEIPGPQQHNGYDCGVFVCIAAKQFIQTYSTGPFEHDDMAVWRLHILNCIAHFL PLAPRP H257_02241 MQKILGIDGAHCKNKLYNGVQLVLLGRDGNMNNVRVACALVPSE SEANCSWFFMRAERVGSLQLTGVTGPCTPTSTTTECMDAERNFVESEHNTSLLNDIRY KMPFPFIQAYLEFMRDDFKKKSDRAKLWIDDGRKVTPGALKVYNEQFERLGEYAADPV SDDIIHVYSTTRQPHYKRHVVLSGKQCTCGPCATRSCRVS H257_02242 MYDYVHVDEKWFYLTKVKRRYYVYDDEEVAARSVKSKKFITKVM FLAAVARPRFDHHSKKQWDGKLGVWPFVHVSPAARASKNRPKGTLHTVPLAVDAKVYS DAIMDKVVPSIQLKFPGDWRRRQVLIQQDNASPHRRVTSEFLQQQGIRKAFVDYVKDY AVSENKHVRVKSRGGNNRTVVCTVPLCPFLVTAYKRMSSTSPTQPFVLSFANVEHMDC TSSAKPSARQIANISSVNSAVHADRRVSAAFVQSQEQVVHSVNLDTRQRNVYRAKKYV RDELETVDNQS H257_02243 MLRDLATFQPALTITTHWQQPAASLPRRPNDRPIWAYLTPTLGT TLISINRLHTTKVRWVGDITNDKGTMLLSLESLRTKFGWSRHTLQRFAPIWDAIPTAV PPNPPPALRQQTLPWAPRSAGQPLPLPLAPPLRSPYHTLPTLLAAPSLMNNPPPAATA SGSAIVSKPGNHPRAQRLPSPSGTNSAKAQTSDTPQHRVKRAAATDCRAQRHKFIPWT DTSWTDARTHITHSGENNRSLIVSTAGGTTHRTQRPTGHQPAQQATPACTACHRLADT TLCPDCGQWHHSACIPHCQVVPHHTTPTYGLHTLPLRAARAYAVGDGSVTHQGTPATH GTWSYMGRDGTTLAGTLQVHANHITPTRCEVHSLLVGLHHSGDAALQICDNTKAIGLV VLARSLKRRGDWIRSHQDPADTPDPILRAKRTLLAEADSLATLAHQLLPLTNYAHLII PDYWELRDDHDRPITGATAPWLGAIYGRRDWPKAQARKPDTRQTIQPLRIPTGDICKW DLPALTFYWRTPL H257_02244 MHQGTDNQWEETTRAVWQRLNTQADAVAPRGLGKKELQYIVNSV WIPSVLYRTAISDAISIAPALDTLFRKTARRVLKLPHDHPTECPL H257_02245 MKVFGAFAFIAALVVAQTIDNGEKRLIALSDDDQRWLTKSEIDD LIENNIGFADATNGDWDSLQLFGFERAQRPENKTYPAGPVHETLVRAIQANVTPLALE KTLTEFVTKFANRHKLSAEGKASSTWLFNQASALKPVNPNIKYTVRRFEHNWTQASVI ARIEPISGQTANDTVVLSGHQDSINRSTNNTYAPGADDDGSGSISILHTLTHLLNSNE WNPTRPVEVHWYAAEETGLQGSSEIVKSYAAQQTDIYAQVQQDMIGYYKPGSTPVVAF ASDFSYIPLVDFLKKLVTKYLTIGYVDRTFGYGASDHASWFRAGYPSSFPFEAARGNG NPYIHTSNDTLANINWVHVADFTKFSIAYVVELTQQTKAAC H257_02246 MAESLPVPPAPPRYQERHDVIQYYGTHGMAATLSKKDHIAGMVS TTGTAKQRSWRRLGAGTTLSQETEEMLVRWVHDMRKDGVPVTHAMLQLMALEAAVDEG YSEVVVGLHK H257_02247 MNSKIARCVYSFRPDCTRGGCSASSTTRALEALHDDVQLLLHGH HLDKYLSSTTNHPTNRNLPYTQQIQLGIAFLTELHCPIGTREILLERLRRLRKRGNQW IAEYSVEFNRWLRKLSIRQASEKEQLSTNDQLQIYKSAMPTNFQIEFRKKFGVRVFEC IEDAEAAFTTIENDFSFIRGLENVKPNAAPTKPDKTKTKFKEDRKTTTSPHDRQAKRS AKTCRFCESKNYKADNHSSTDCGRHDNPKNADREEFDTPATKKKFRIGKGKDVAPMIA EIELLQTKLKRASASAVLQSSLDDSDVCAMAIDGGVVGAPTDQQRITMEVRVALEGSS QVNADTVARGVDMLDAGLVFAVVILAHLFNRALVGSDSLPTASAKSKNMLRPKAKKLL PPE H257_02248 MLMFRDQTKLHPASIGPFEVVATRPNVSTGHPSGFMELSFSKEW LKVEFVSFDSEWQFNGFSSGDILPGGISRGHCWFLHKSGNTPGVPCNASKEGLVGLPT H257_02249 MPPNKKVNTLFQDSNKLTYAVEFMNTTGNGVITYRCMFCEYVGR DAAEVGPNTKRKRKARSGTHYYTAPFTAAKYKIQLSGQHDSSWTEYQTASIEAKKKCF DGQIKVSNTLHRYIDLSTDKMTFYVKATIVDVIIGGRCSSATTPSSTSTTATAWTRTL QPIRQPRSQKLNTMKLFNVMRFELAMDFFGIGMSFRQVASAIQHAKIRTHTAKLTGAN HLIVGQYVRVLVGSSLQHISDVLDHESVWAMSLAGDSSTHRGQSFFDLRVRVCFGHKL YNLHLVAIPMFDRHTAEIIFNMLVKFHDALYPPWRTKLIGMSSNGENTMTGRHRGLVT RIVATAENPVLRIWCAPHQIDLIVKQAADQQANLITRMNVKCPQQTNRWSHLGRLLTF LKSHRRQLMEYCVENRPDNAPTYEWWLMTFSIALIIDAIKVTITILQSGSLLNAILLI VSVE H257_02250 MADRASSPDICVLAESDEPLSRRAVYTRVVIIVAVLALVGGGIA IAVVVLTQSPVETSSFAEGKSGFNSSTSTMETKGTEIAPNTTMVTPTTASPTTTPNNT VATPTTTPHTTVATPTTTPHTTVAPTTATPTTTPHTTVTPTTATPTSKPNTTSVPTAV PVLTGLGHILPKHMFLDAFPNAHPLYTYENLLAMATKYPEFASTGDVTADRREVATFL GHVALESGDLRFVEELKVSTMCQESPEYPCAPGKQYHGRGAIQLSWNYNYKDFGKVAN IELVQFPELVATDPDLLWWSALWYSNDDRWNGNIHKVVGRPGGFAYVTFMINGGLECG LNPSNKKSEATRIANYVKFCSMLGVDPGDNLSCQTAAYPPKNLWIDPPTKRL H257_02250 METKGTEIAPNTTMVTPTTASPTTTPNNTVATPTTTPHTTVATP TTTPHTTVAPTTATPTTTPHTTVTPTTATPTSKPNTTSVPTAVPVLTGLGHILPKHMF LDAFPNAHPLYTYENLLAMATKYPEFASTGDVTADRREVATFLGHVALESGDLRFVEE LKVSTMCQESPEYPCAPGKQYHGRGAIQLSWNYNYKDFGKVANIELVQFPELVATDPD LLWWSALWYSNDDRWNGNIHKVVGRPGGFAYVTFMINGGLECGLNPSNKKSEATRIAN YVKFCSMLGVDPGDNLSCQTAAYPPKNLWIDPPTKRL H257_02251 MLPAPEEISSRPRRRAGRIVLGVAALALIGGGAAAAVVLTQSKG TTTPTSEGSSSQNKPPSSLIGGAAATTLLPSGGGNLRPSTTPVIKGPTKSNPETDPVL VTMLAIGDWGSTNGKGDDGTNPGSCCSRDKVSEEVKTNEPRYKVDFRSQQHVAKLLAQ SALELKPKAILGHGDNIYWNGVGKDDVKARMDETFDKVYSDVALLNVPWYNVAGNHDV GGSVYLCGDRDGAFRECKSVAEMLDALDSKFNLQRDYKSSHNNRWIMEGHYYVKTVKQ QGVTIDIFNLDTNEASAHGATQVCCQCFSYRGNDKSVKCNEIDKGSPFCAGGNMDMYN ACMNRIQSWATESYDGVMRDLALSKADFKIINTHYSPHYHMSRPLAEKWFNVTKPPPP TAAAAVKGGVHAWFNGHTHGFNHDVTTWNTHFFQNGAGGGIVSQSGAQADPNAAKVHP EIDTVWVAAGQPYGFMEVSASKEWLKVQFVSFDKAWVFGGHKVADTVAGGLARGHCWY IHKSLDGPGVPCASSNDGVVGMPT H257_02252 MMADIAERARESAAGFGAEEQVAGGVIRVGQFIVVLGESTQRCH LVVVRGGGGLVAECDGAQQHQEHQEERERTLATHDGAKDGMNMMAPRWNYDSTTLHAT MSS H257_02253 MVPCNALRVLLPPSSVTQLDRNYILVIAAFGSTPPAVSHRGRTW QPLCGCFQRTNPVGGQTHLGAAGQERFDRRDGLFRLEVGDGHTMICEYCALKASHSRP VGCDTAENAVDVLAADTSHSNARVLSFTDGNRPSAPSTYSFSSIKSLVSYTWRRCANA ALGSDDVRTWMLYTIERKLAESDAGAEMQRGRAPRLPPPRLGRWHQPAWQRQLAHHDH AQPPPIFAVTATLSWGIYFRTREFKEPGLLDAY H257_02254 MVSTRAMLRILFVVAVTTTSAQANTCSAIPNVDFEGNDISTTDR ANPGKCCGDCQATPGCTAFNWYDGVCFLKSAQGASLSLPGGVSGVVLKATPAPTTTPA KTTPTPKPTPKPSPKPSPKPTCKRIRKSWEALTAAEKNTYISAIELAMDRGLYHKFVE IHKETMSTTEAHNSCVFLFWHRKLLLAYENMLRSLGNRYKCLTLPYWDYVQNYATMQN TPRAKRCRSIEECSPVLGDIGGSTVGKRSTKNLFGYSYPALTCVTNRPANHLCTVAGA SSSTCDHCIPRGNWASIPMITDMSIDSVRGQLLAPEVAGSIRLLSQAIESSPHASIHI TLSGPQNNVAVSPMDPVFFIHHNTLDLLHTIYYHCKVEPLGLSKAEKMTDTRSFQGCT TGNGHNLGPTSPIMMKLEGPAGVVNIENDPLVGEFFRDLPNQYYQFADVRSLGYSYEL KGLLGDLYSKCDGTMAVSRLESFSENVTSPFDVEHEVQPVVLEENLNALSFQDAVLAQ AKKQGMTIDQGFHELRKMTVMLQQNCLTGDVTDFTDDQKATFHVTMSPSFAILTNIRN GQDPIRIERWTELLFKYYGCHGDVKEQPPFEHATRHARSNITEEEKGQIKAFLGLKKS LRWIANAIGQSDKLVRRYVGQLRQPTTPNRPGTRIKLTKREIRRVFRLATRKGMPSRQ ISAVLSGKVKHTTVLRVLQKSIFTKYIKRRSGPRLTPQHKRDRIEFAARYLNQLDGEL AFLEGRQDSVKYQDTLRGYLLPKMRALRGLTPNGEAIFQQDNASIHRSRSTMAWLEGM PWTTMTWPAKSPDLNPIENVWGVLARKVYAHGRQFDTKAQLKAQILQSWEEIDQKYLS HLVDGMPTRMAQAILRRGQCIDKVGCLRFPSLLVSPCVWLTCVAPCVAPCPSTPDFRS RAVIADSGTY H257_02255 MVSKAFQVAATVLALAVDIVSGQTCSEFRNVDFDGYDISTTNRA NPTECCADCEITPNCKLYNWFDGVCYLKSAQGSSILLPGAVSGILGMVSAPTTSKPIH STCSILPDVDLDGGDIGATYQSDPANCCADCKSTLGCKAYNWFDGVCYLKNARGNSYP LPGAVSGLIATAQPTTLPPPPASTPKPTPTPTTVPIPVPTPKPTPAPTPVPTPNPTPK LTPVPTPAPAPKPTLVPTPVPTPAPTSKPTPVPTSAPSPAPTPKPTLVPTPVPTPAPT SKPIPVPTPVPTPVPTPKPTPVPTPVPTPPPTPKPTRVPTPVPSPAPIPKPTPKPTPV PTPAPTSKPTPVPTPVPSPAPTPKPTPKPTPKPTLVPTPVPTPPPTPKPTPLPTPVPT PAPTPKLTPASTKPTPVPTLAPTPAPTTTIVPSCPRIRKSWDALTAAEKETFVSAIEI AMDRGLYQKFVLIHQEQMGNREAHGTCVFLFWHRKFLLGFENMLRSLGDQYKCLTLPY WDYVQNYATMQNTPQAQRCTSIETCSPIATGLGGSTRGSVFRGSIFGYTFPSNRCVNQ RPVNHMCTTPGSASCPKCTPRGNWANTAMISDMGIANVRQSVLGGSNILTVSDNIENS PHNMIHATLNGPMANAQMSPVDPIFFMHHNTIDLLHTIYYHCKVESLNLSDLQQQNDL RSFQGCSTSNGETVGPTSSLRMRLVVSGQTIEVANDPLIGSFFKDLPTQYYKLTDARQ LGYSFDIKGLLGDMYTTCGSSSSSTGGIESVQEVSHANVTIDHVVEPVVLAENQNVLA FEDAVLAQADSQGLTTDEAYLEVQKMNLLLQENCMPGSVADFTPEFKAEWHITGSSKS FALLQDIKSGTNPVRIEHWQDILSKFYNCRGDVKEVV H257_02256 MFLSAVWHGLDGTTCVALREEAGPSNLPTIQQRPGKLEARPTLH DFRTHFLALWSGTLPLDHHPPHLVRPTTTPNTDDLQTSLLHGTQPPAAPWLLHSPNWT SHCTAQDNLTHQAWQLIRALTLRTLWNDRCAALHDNTSRIPPPVSFPYLLQAHFQALT TYHAQRRHRLRADLYHDLILRCSLFLVHPPLSSTRTDPNA H257_02257 MDEGCGGSGAVAMAPAEPLLCEYAAHYFPEPTTNNIAEYYGLIH GLQLAADRGFTHLTIFGDSQLVLRQMQGVYHLRHPGLRELYRSARTVTRVWKQGRLSE RCNGGVAVVASKIKDAVKAVPHFNRQTIRTLASQSKIPKTTLFRHIKEVGTLKGRSSY DKPILTDDNKITRTGFAKSFLRPSSKGGHVFTSMQDIVHIMEKWFFLTKIKRKFYVYE DEEMALQAAKSKNLITKVMFLAAICRPRYDYSKRKIFDGKIGVWPYVEVVAAQRTSKN RPRGSSKFPKASMGNNYGFINIPKASMGMYMKPELLAMSCLLF H257_02258 MTKKNSTKAELLAHIQALEHRVAGSSSGMGNEVDNLAHRKRTPV EYTDSMVVALLELRFNAYRNAFNGSRSAKQLSLLWERLTVQFNIATNQIINVDADSIK NKLRKLRAEFMVIQRALVQTGNVTPVPKPSYYAEMLTAQLEASMI H257_02259 MPTDPHQPSGIERAIEFVKRSRSRYVSKNEILDMLMVNAQLRKE EFVDKGTTTTKPQASPDMFLRTRLPLTTK H257_02260 MDGRSFRAPITNHIVIPSNTWTIVQNSPIHDAWFIRHLRCTRGT YQRICQNIEVAWQRVHPPLHHHNTMSVNDRVACTLHYLTHSDGYESTAALFGISKTRA YEYCNQVALVIQLCYVLETIVLPSSREEWEVVRVGFEEHGFPNAYGAIDGSLIQIKRF EDFYGWYCRKGFPAFNMQDVVDHRMRFMSYSLRSGSQNDKAMFNESLFGQTCHTRVPP GGCFLGDAGYKLFTHVMTPYSIVSSMATDEANYNWIHSRSRMVVERAFGRWKNKFRIF KHELLHHCPHDMARLIEVTLVLHNWYIDYDNEAVAPTQPETYPGWMHIGGDIVDDDEL FQVDGAAAERARDTIKNHLYALL H257_02261 MDMSVENCFFFPQAPARVVFSIGSHDTAVESLSLALHHGAMPSA HANVASISVISHLGTELELQGHVDGSLHLLHYQDRRVLLRYDLPKNTDPITQVSLHVA ESRLEIVVLLRSGKLLSVEGLCVDDILLQSPPVPMSTY H257_02262 MQGERLNVEFPDPFRCQVATKFGVLHASVMDAVTIAVAEHHAVP TNVKLSWDPATQTNPSDIFVKVAAKTTQDKYVQLTLQNYSDVFQQVWDNASKIRNAQA SFKLLLFDYIEKAASTAIRRATSSNIATSAVRVADYIRDQNIVLGPLQTDYTGVVAAR LPVTAPVEIPSNATMDQLGHIDSMLAQHAAARHRKSILQSTDTYRRVRMRLGTMASSP VDIFLSVEDLRGILGIPPFDFTPIFRAPVVEEIPVPSVNPQ H257_02263 MVLSTRICVVVALSALVAVQALPEGKSGVVELKPAPTPKATATT TSKRAVIPLPERKSKPALQPTTTTTSKHNRKLKGSRTSTPVTARTPKPSKAPRPATIS DPTQVPAPAPTPATTPATTSATTSAPSSDPSCPRIRKSWDALTAAERETFVSAIEIAM DRGLYQKFVLIHQEQMGNREAHGTCVFLFWHRKYLLGFENMLRSLGDQYKCLTLPYWD YVQNYATMQNTPQAQRCTSIETCAPIATGLGGSTQGSTSSASFFGYTYPSNRCVNQRP VNHMCTTPGSASCPKCTPRGNWAKTAMISDMGIASVRQSVLGGSDILTVSRNIENSPH NILHNTLNGPMANAQISPVDPIFFMHHNTIDLLHTIYYHCKVESLNLSDLQQQNDLRS FQGCSTSNGETVGPTSSLRMRLVVSGQTIEVANDPLIGSFFKDLPTQYYKLTDARQLG YSFDIQGLLGELYTTCGSSRSSTRGIKRVREVSHANVTIDHVVEPVVLAENQNVLAFE DAVLAQADSQGLTTDEAYLEVQKMNLLLQENCMPGSVADFTPEFKAEWHITGSSKSFA LLQDIKSGTNPVRIEHWQDILSKYYHCRGDVKRVA H257_02264 MTWFTTFGCVDTWVSDGGSHFKNEVIEKVRKLVGAHHHITTVYS SWANGTGEVVNRQVLRAVKALLSEMKLNADEWPHVLPLVQGALNHQPADRLGGIAPEV YVADWLGAARQKHVTDLQVALEETHRNMAVQSDKLRQQARGRRDRKTQVKFAGFSVGD FVLVGSVVNRPTKLALHWRGPCQVTRVITDHVMETQQLVPPYEVTVHHACLLKMYHEG GREVTEDLEAQVAFGDGGFHVEHLDEARCVDGQHQVLVKWLGLDDEESSWEHAANLLD DIPVVFRQWAAANKEDSAVAALVKTMDFP H257_02265 MLAISDGTAFQGLPSTTPLAGFVHPRTKKVLTVDWLSTAHQKHM DALRQAMENMHRDVAARSEKLRQQARGRREKKAHVRLAKFALGDFVLLGKIIKFPNKL ALNWKGPYRVSRVDSDYVMEVQQLVEPFRTSFFSDAALDVTDDLVDYAAFGDEGFFVE ELLGACRSADGKFEVRVKWKGLDEEASWEPALQLYEDIAVVLRRWIVKNAGDGVVKEM RDDLETTLGHSL H257_02266 MASATVNQTDDHQPKSPSYFCWKATYTRGVGRVPGSCAAGQERL GLLCYDKCPLGMIRVGLDCHSNCPDGLVDQGLFCRKSEYGRGFGYPWKFGDSLNANGI FQRCEKDYGKDKCEQSELVVYPKCKPGYTSFGCCICRPHPPNCTSLGLGGGLDLSCAK KITIGAPKFGTCAANEDLDAGLCYPKCKPNYTGVGPVCWGRPPPSWVHCGMGAAKTIY HCVAVVANQVLAVVVFASNIATRFKGISPKVLTGAADMSQVAELSTLWMKAHPYLAKK VLPKSAIFTVEDLARTAIALMAVLEKTGVLDVVAANLFPTCDKIEGILNGHGYIP H257_02267 MGPPCAQFLLACHLLHLTHQRPQTPHTPTLGATLSHMPRFHRHT GTPLRPLTPYMPNVCPTLPKILLATQAILPKAWLPDQDIYLPGHNTATAHILPGLKRP QWKSRGGNPSPNTGTCMIPLTVQRCIHALHMQRLLPPPNPNLSCSLPPNYPGNFTSAP SRASYYRAGYTTQRCDPPPSLHHGTSGLVNGWEDPMALHFMDHSDAPPTALSPVPRLT TTGGSMPLTTPTPPLGGAYLPWQYMKLPLITRRPPTGLSFPMAHVAIQRLSSYKQHGS SPYPRASSHFATSPPSTTPPRPTGENSLLLHWTAILQLIDATHWLHRPPPTTLLSSEE THTADAPRFLTAPTHCLHWAQTSIPDYDPYALDSRAFLTARYPTIHHATPGWTTFPTI THSTQAWAASITHLRAPLFHTHWFVAHWAVLRRHWQTTCATNVDHIRTTGELPLLTEV NNTIRLKRRYHDAAPMGHDRRIRARRAHLTAKTLQWHSRRISLLQPAIPPPIGYADPT LRPPRLPPDPGLPPPAV H257_02268 MTASTAAVFLQKTYDLIQSTPSDVAEWSNEGRSFVIKKIKEFET NVLPKYFKHGNFASFSRQLRFYGFDKTKKHDIRIVQDGSNGDSCQGWWEFANPNFQRD CPERMVHIRRKTYSEPNAASSPSSTTSESSSEVLHLKRKMSSLQTQLSALTNQISALT SVVHSYCQPEADDADASTCAKKLKGSSSPISKWTDDDAVTFAASDDEIDSGILDALLE FPAMATPLIAFEL H257_02269 MDLLSSSSDDEEAPPLATHATSFAYKPPSLPTYQCAKPSLSVFS SSSDDDDENNLMGTARNVVGGTKRPRPTPHGRNVRDESKSSSSESSSSSDEAFPISGP SRQASSPRQPDGKMSAIQHLLSLNANALSLPMFQHLLPPPVRRPPSPRRDAIQATPSP PPSTTPETHAKTDRRTKDVGPQERIFASPCTYQTPSNPSPSSSSNVRPRSPPPRPAYI SPFGRQKLTECLPVPPLRRRSLTTPSKPTDNNISDIDEWFSDIDEPPVVTKRARHSHP PKTAKNPLLFSDEPVKRTRPPPPKTPSPSLALTTHPPHDDDDDLMELDQTWPQLPPPE DQSPEDVETPLVLQQGDRVVQVHGRFNQFLLPYQREGVRWLFEAVRGNRGAILGDDMG LGKTVQILALLSAVLFKHGTAKADKRHKSDESPGPVLVVVPASLLANWELECKVWMCC RVAILHGKPKDRRDILEAMARDAYEVVLCSYDLLKLHLSELNVIEWYIVVLDELHNLK NPEAQITKAVQQLACKRKLGLSGTLMQNNADELHCVLDTIHPNCLGSLNDFRAFYIDD IKFARKKSAAPQAIARSEAKERQLRQLLQPYYLHRDKTVNPQFTKIKKHDQVVLCPLT KLQRSVYVRVTSLPEYQALMDPDTKEVDPPGVLWRHVHPTGDKCAQCPLNCIQFVAMT QLLKIANHLDLIRVNPADSTDQQAATKAFATVAFGSDVDEAGGLTRAAGLFDKMNSAL CGKMEVLKGLLERWRVKREKALVFSRNVRMLDILQAFVISQGLAYVRLDGGTKVDERL NLVHAFNQDPSLGVFLISTKAGGLGLNITSATNVVVFDPSWNPAHDCQAQDRAYRIGQ TKDVHVYRLVTMGTIEEMIYARQIYKQHMADTTLEGKSMPRYFEAIQGVKSQQGELFG VRNLLQFQPQGVMKAIQDRRAMAETNEEAEADQVVMVDNVLELRAASGQASGGDEEWP VVEELALSQAIPSKKQVPLKKEFNLYVPQYLQQPKE H257_02269 MATIHFQRTRPPPPKTPSPSLALTTHPPHDDDDDLMELDQTWPQ LPPPEDQSPEDVETPLVLQQGDRVVQVHGRFNQFLLPYQREGVRWLFEAVRGNRGAIL GDDMGLGKTVQILALLSAVLFKHGTAKADKRHKSDESPGPVLVVVPASLLANWELECK VWMCCRVAILHGKPKDRRDILEAMARDAYEVVLCSYDLLKLHLSELNVIEWYIVVLDE LHNLKNPEAQITKAVQQLACKRKLGLSGTLMQNNADELHCVLDTIHPNCLGSLNDFRA FYIDDIKFARKKSAAPQAIARSEAKERQLRQLLQPYYLHRDKTVNPQFTKIKKHDQVV LCPLTKLQRSVYVRVTSLPEYQALMDPDTKEVDPPGVLWRHVHPTGDKCAQCPLNCIQ FVAMTQLLKIANHLDLIRVNPADSTDQQAATKAFATVAFGSDVDEAGGLTRAAGLFDK MNSALCGKMEVLKGLLERWRVKREKALVFSRNVRMLDILQAFVISQGLAYVRLDGGTK VDERLNLVHAFNQDPSLGVFLISTKAGGLGLNITSATNVVVFDPSWNPAHDCQAQDRA YRIGQTKDVHVYRLVTMGTIEEMIYARQIYKQHMADTTLEGKSMPRYFEAIQGVKSQQ GELFGVRNLLQFQPQGVMKAIQDRRAMAETNEEAEADQVVMVDNVLELRAASGQASGG DEEWPVVEELALSQAIPSKKQVPLKKEFNLYVPQYLQQPKE H257_02269 MDLLSSSSDDEEAPPLATHATSFAYKPPSLPTYQCAKPSLSVFS SSSDDDDENNLMGTARNVVGGTKRPRPTPHGRNVRDESKSSSSESSSSSDEAFPISGP SRQASSPRQPDGKMSAIQHLLSLNANALSLPMFQHLLPPPVRRPPSPRRDAIQATPSP PPSTTPETHAKTDRRTKDVGPQERIFASPCTYQTPSNPSPSSSSNVRPRSPPPRPAYI SPFGRQKLTECLPVPPLRRRSLTTPSKPTDNNISDIDEWFSDIDEPPVVTKRARHSHP PKTAKNPLLFSDEPVKRTRPPPPKTPSPSLALTTHPPHDDDDDLMELDQTWPQLPPPE DQSPEDVETPLVLQQGDRVVQVHGRFNQFLLPYQREGVRWLFEAVRGNRGAILGDDMG LGKTVQILALLSAVLFKHGTAKADKRHKSDESPGPVLVVVPASLLANWELECKVWMCC RVAILHGKPKDRRDILEAMARDAYEVVLCSYDLLKLHLSELNVIEWYIVVLDELHNLK NPEAQITKAVQQLACKRKLGLSGTLMQNNADELHCVLDTIHPNCLGSLNDFRAFYIDD IKFARKKSAAPQAIARSEAKERQLRQLLQPYYLHRDKTVNPQFTKIKKHDQVVLCPLT KLQRSVYVRVTSLPEYQALMDPDTKEVDPPGVLWRHVHPTGDKCAQCPLNCIQFVAMT QLLKIANHLDLIRVNPADSTDQQAATKAFATVAFGSDVDEAGGLTRAAGLFDKMNSAL CGKMEVLKGLLERWRVKREKALVFSRNVRMLDILQAVHTVVYLE H257_02269 MDLLSSSSDDEEAPPLATHATSFAYKPPSLPTYQCAKPSLSVFS SSSDDDDENNLMGTARNVVGGTKRPRPTPHGRNVRDESKSSSSESSSSSDEAFPISGP SRQASSPRQPDGKMSAIQHLLSLNANALSLPMFQHLLPPPVRRPPSPRRDAIQATPSP PPSTTPETHAKTDRRTKDVGPQERIFASPCTYQTPSNPSPSSSSNVRPRSPPPRPAYI SPFGRQKLTECLPVPPLRRRSLTTPSKPTDNNISDIDEWFSDIDEPPVVTKRARHSHP PKTAKNPLLFSDEPVKRTRPPPPKTPSPSLALTTHPPHDDDDDLMELDQTWPQLPPPE DQSPEDVETPLVLQQGDRVVQVHGRFNQFLLPYQREGVRWLFEAVRGNRGAILGDDMG LGKTVQILALLSAVLFKHGTAKADKRHKSDESPGPVLVVVPASLLANWELECKVWMCC RVAILHGKPKDRRDILEAMARDAYEVVLCSYDLLKLHLSELNVIEWYIVVLDELHNLK NPEAQITKAVQQLACKRKLGLSGTLMQNNADELHCVLDTIHPNCLGSLNDFRAFYIDD IKFARKKSAAPQAIARSEAKERQLRQLLQPYYLHRDKTVNPQFTKIKKHDQVVLCPLT KLQRSVYVRVTSLPEYQALMDPDTKEVDPPGVLWRHVHPTGDKCAQCPLNCIQFVAMT QAARVSGLGLFQNIIFQSTHISQS H257_02270 MFLQRTMEMLASCPSDVAAWNEAGSSFMVKDVSVLERRVLPQYF RHNHFASFTRQLRFYGFEKAKVPLIHTTAATHDEVLCWEFTHPKFLRDAPHKLDTIRR KTCTDPTPKWNADEVADLRSDLSTLQAKMSVLLGHVSTLATVLHDIAKDEETAVEWDA PSLWGDMDELLLLGTESFATSNVDTFVDGTVFSL H257_02271 MNAAPVFLQKTYDMLEACPMPVAEWSKDGKSFVIKHTKQFESTM LPQFFKHNNFASFARQLRFYGFEKSKIHDARFNENNETGQTWWMFQHPKFLRDDPVKM TSIRRKTCTESVAVKWEAGEVSELKNRLSTLQNTMSTLSAHISTLTAAVYEYAASEDV EFREPAAKRAKTTLSVKVPAQTSSPKTVDDITSMDHQAWNFCLDDDTFTDVDEHLFAT LLDFDLPLAC H257_02272 MGWKGRPGEGRSRKLERFKAHLAWKHEISSALDDIRSAHRLAVR TTDDQAFSTRRPLQLQPRSAPTPTLPPRPRPAGPTPLSDEEIRGIIAQDHHGLLVTKP TSLVDRCLHVMARHLDQYPTSDTFVVEAMQAGLSPDLHHRLSILSTWYHTMTADNVAL LCTPATQALCLGQLNVDAVVVPLVAPDAYTSSPSLDSWEDLPDIVMHWSGCPYLTELE LVQCYEISIALLHECAGLTKLSLVDCSAFNAVETGFLLHLPRRLESLSLVGCTWLTND MLLALLRELMLSSSTTLRSLRVWGCCRVSLIVEQTWAAELPQVHLDIQQIPYL H257_02272 MGWKGRPGEGRSRKLERFKAHLAWKHEISSALDDIRSAHRLAVR TTDDQAFSTRRPLQLQPRSAPTPTLPPRPRPAGPTPLSDEEIRGIIAQDHHGLLVTKP TSLVDRCLHVMARHLDQYPTSDTFVVEAMQAGLSPDLHHRLSILSTWYHTMTADNVAL LCTPATQALCLGQLNVDAVVVPLVAPDAYTSSPSLDSWEDLPDIVMHWSGCPYLTELE LVQCYEISIALLHVQ H257_02272 MGWKGRPGEGRSRKLERFKAHLAWKHEISSALDDIRSAHRLAVR TTDDQAFSTRRPLQLQPRSAPTPTLPPRPRPAGPTPLSDEEIRGIIAQDHHGLLVTKP TSLVDRCLHVMARHLDQYPTSDTFVVEAMQAGLSPDLHHRLSILSTWYHTMTADNVAL LCTPATQALCLGQLNVDAVVVPLVAPDAYTSSPSLDSWEDLPDIVMHWSGCPYLTELE LVQCYEISIALLQYVHPP H257_02273 MNDPSFKDKRVEYVVAFGELMGQGKIPNWIDETKLQLVHLPHEG TVPTWDRCRCPRRDAKGEESPNVGRTSTKTQTFGCVKCCVRRLNTLDDSTTLSLLQTM LLVTPEFKSHVVTLLRERLAAFMGPPPDGLTWEEFRMQ H257_02274 MPPRGAEAFEVLRMPDLVVLICDYQHGKYPHLLPLLSCCIDPRT FNVDDWYYVKHMGPEPLRRFDAVFQAGFEIYGHAGLRRLLTQEKKSMRIILSAYAAFA GNVDLLTYLCDRGLVYPNRFIVDTAALQGHLDILRCLHARDLPGFTSRTMDAAAHNGH LEIVRYLHDTRHEGATGNAMTWAARNGHLEVVRFLHAHRHEGCGVGAMDWSATYGHLE VVKFLHSHREEGGTNEAMDGAAAHGHLEVVKFLHAHRRADGISAQAMNGAAANGHLDV LMFLHCTTDATCTTSAMDNAAAYGHLEVVQFLHSHRQEGCTTRAMDDAARYGHLEVVE FLNTHRTEGATTAAIDRAAQNGHLEVVTFLHTHRREGWTRDAFEYREVVNPHVNVVSY LNAAVDAI H257_02275 MGLAPTAPHAMLLEVVQIARSIQSSTSDYVNFPARFTVPDVTPW PKSLRGRTIQVARVRRQFKDGVLPTAVVEALNNVGFVWDAKQHNWTLRVLALKTYKSL YHNLLVPYEFTVPPHAATWSRDLWGCKLGVAVTNIRSRAHQLPPDRKAELDALGFVWD SHELTFDIKVLALNTYKQLHGHVHVPFEFKVPDTHPSWPPTCWKLKLGRAVHDLRCRG DHLTPERRDVLDALGFVWDSHELNWDMKLQALATFKQVFGGTLVVPQDFVVPSTAPWP QRSWSMKLGQAVTNMRFRADSMSAERHAQLEAIGFIWDYPELRVDAGVLLQIKSIAAC EFDKENTNNHILLGSSNEDHINAFNDDASSNRIM H257_02275 MGLAPTAPHAMLLEVVQIARSIQSSTSDYVNFPARFTVPDVTPW PKSLRGRTIQVARVRRQFKDGVLPTAVVEALNNVGFVWDAKQHNWTLRVLALKTYKSL YHNLLVPYEFTVPPHAATWSRDLWGCKLGVAVTNIRSRAHQLPPDRKAELDALGFVWD SHELTFDIKVLALNTYKQLHGHVHVPFEFKVPDTHPSWPPTCWKLKLGRAVHDLRCRG DHLTPERRDVLDALGFVWDSHELNWDMKLQALATFKQVFGGTLVVPQDFVVPSTAPWP QRSWSMKLGNSYYLRTIQPCSLYLSTGRGLLYTEE H257_02276 MELGFLAEENDCGQSLLRLVSRGSAIIAELLRLSNNIPGIFLGS AFVEDPEQRKYLDILFDFAYLKNPEEFENRVNSDTDLLDVDDEFMGNHEDILDRFYQL FDSIYKYIQDFLAFCDQLEKGFFIQHNLANILLNTDGAQLLCEALYLYGVMLLLLDQR IPGPARERMVIAFFRNKGESALENIDEVCKLCRVTGFLPGSPKPAQYPERYFKRFAPP KEVVSMVIGKLQTDDVYLQEPAFPHRDHRSTRLAAQASVLYVVLYFAPDILIHEKSTM REIVDRHFNDNFIITTYMGNVADLSLEWAPYPAARLALANTLEVSNLVEIVKAKMHTS ASSIVSLTHFLTEGVLTEQYVLENIDALLDCIRTANVTIRWTILHSRMQETIPMMNHS GDQRRVFDKGTDPDRLVTLLLQTSQLEWKLKHEFERLLAAKEDRWQHCINETCDRLSE LSEYFTGEKPLTRVERNEDLIKWFADTSAKVASLDYVNHVKAGRRIKRLIEALGHVEQ FDQIDTSLQVKAFLSESRAYLTEMVRTVRVRPEVMGIIEAVSDLSYAWEIINDFMSIL HTRVKRDPSCVILLRALFLKLASILDVPLTRIYQCKSSDVISVAEYYSGEIVDYVRRV MEIIPQSVFRILAGIIKLQTDHMKVIPVKIEANLLKNHAQLSERYRLARATNEVSKYT EGILAMKKTLLGILEVDPRQVLEEGLRKELVYRVSRAYHDILQFPPAESTTAKECTAI FQTLAGTLQAYRLSFEYIQDYVGIYGLRMWHEELSRVINYNVEAECNRYLKKKVYDRT SQFQSRAIPIPRFSPPPNDPSSINFMGRLMHALFLMTDPHETVYSFQCLTWFNEQGVE VAGLSTFAVLHQSIGLLGLVGVDRMLSFRIVHTLNNLIKFWGTAISPYLPLLDQLTTA LEPAWRLPDNASRLYEASLKKVEKVMSKLLKAVLIIGQAALLRKAIVSELAFSSKLDA HLLSCSVGTLDKSVLNDLRAHFRSNSAVPPAAVLVELNKYLETMGATDPYSKIFITMN EPLDKLSALFLLFVLAYMPKLQYDDQCGALKRVGTNPVDGAPLILGLSTIFKQFHPSY TEQFVSYVGQYVRSTISEAKTTDHLPPNVLNVLIFLQHFARVTKLKPSILHTHIPAYV FDAMSL H257_02276 MELGFLAEENDCGQSLLRLVSRGSAIIAELLRLSNNIPGIFLGS AFVEDPEQRKYLDILFDFAYLKNPEEFENRVNSDTDLLDVDDEFMGNHEDILDRFYQL FDSIYKYIQDFLAFCDQLEKGFFIQHNLANILLNTDGAQLLCEALYLYGVMLLLLDQR IPGPARERMVIAFFRNKGESALENIDEVCKLCRVTGFLPGSPKPAQYPERYFKRFAPP KEVVSMVIGKLQTDDVYLQEPAFPHRDHRSTRLAAQASVLYVVLYFAPDILIHEKSTM REIVDRHFNDNFIITTYMGNVADLSLEWAPYPAARLALANTLEVSNLVEIVKAKMHTS ASSIVSLTHFLTEGVLTEQYVLENIDALLDCIRTANVTIRWTILHSRMQETIPMMNHS GDQRRVFDKGTDPDRLVTLLLQTSQLEWKLKHEFERLLAAKEDRWQHCINETCDRLSE LSEYFTGEKPLTRVERNEDLIKWFADTSAKVASLDYVNHVKAGRRIKRLIEALGHVEQ FDQIDTSLQVKAFLSESRAYLTEMVRTVRVRPEVMGIIEAVSDLSYAWEIINDFMSIL HTRVKRDPSCVILLRALFLKLASILDVPLTRIYQCKSSDVISVAEYYSGEIVDYVRRV MEIIPQSVFRILAGIIKLQTDHMKVIPVKIEANLLKNHAQLSERYRLARATNEVSKYT EGILAMKKTLLGILEVDPRQVLEEGLRKELVYRVSRAYHDILQFPPAESTTAKECTAI FQTLAGTLQAYRLSFEYIQDYVGIYGLRMWHEELSRVINYNVEAECNRYLKKKVYDRT SQFQSRAIPIPRFSPPPNDPSSINFMGRLMHALFLMTDPHETVYSFQCLTWFNEQGVE VAGLSTFAVLHQSIGLLGLVGVDRMLSFRIVHTLNNLIKFWGTAISPYLPLLDQLTTA LEPAWRLPDNASRLYEASLKKVEKVMSKLLKAVLIIGQAALLRKAIVSELAFSSKLDA HLLSCSVGTLDKSVLNDLRAHFRSNSAVPPAAVLVELNKYLETMGATDPYSKIFITMN EPLDKLSALFLLFVLAYMPKLQYDDQCGALKRVGTNPVDGAPLILGLSTIFKQFHPSY TEQFVSYVGQYVRSTITFRSRDQVEAVDSAHAHPCLRV H257_02277 MNLMFNNKKIDTPSMAAKLSNLPSTPHLPDEDDMPTPSYEQPDD GSSRKAMFRKIALGVSVLAVVGTVAAVALNETQEVARGTASFGSAVSTSVGPQHNNQG APSDFARFAELDSLADIYKLRLQLDAEEAPHRGNQAPPANEGQLAHDNSMNDFANLAG RGNQHPEEPKRVGIHYGHVRRHHDATASPPADGPQRGNQAPPADELSEAQVDSIRDIE NLHAQLAGKAPQRGNQAPPADELSEAQVDSIRDIENLHAQLAGKAPQRGNQAPPADEL SEAQVESVVGVQDDTAPQGGDQVPEVDLAELDQVLKVLNELNQHNSRHRRTPN H257_02278 MKTVVLLALVAAVQSAGLETYLPKSLFQSVFPNALPIYSYENMI AVSKIYPAFANTGNVDVDKREVAAFLGQIALESGYLQYVEEINKSTMCQASADYPCAP GKQYFGRGPIQLSWNYNYKDFGIAAGKDLVANPDLVAQDPQLVWWSAYWFWNVDKWNG NIHDVVGQPGGFAYTTYIINGGLECGPNPANKESEKSRIANYIKFANLLGVAPGDNLS CQTSAFPPSTPWPKPVTTKTPPSTSPTTTKTVTTSTPTTTKPIVTPAPTTTKPIVTPA PTTTKPIVTPTTTPPPSNQCNGNRNVCFWPLTQQVLPYAKSDCQLFPSFVWCD H257_02279 MEPGRWTGSAADYARAASLPNLLQGKVLNEHVEPQWSSDGTRLW YLWQVALDGRNEVCVVDVHTGESLVDNDRYIAYWFWNVDKWNGNIHDVVGQPGGFAYT TYIINGGLECGPNPANKESEKSRIANYIKFANLLGVAPGDNLSCQTSAFPPSTPWPKP VTTKTPPSTSPTTTKTVTTSTPTTTKPIVTPAPTTTKPIVTPAPTTTKPIVTPTTTPP PSNQCNGNRNVCFWPLTQQVLPYAKSDCQLFPSFVWCD H257_02280 MEPGRWTGSAADYARAASLPNLLQGKVLNEHVEPQWSSDGTRLW YLWQVALDGRNEVCVVDVHTGESLVDNDRYMRTI H257_02281 MEPGRWTGSAADYARAASLPNLLQGKVLNEHVEPQWSSDGTRLW YLWQVALDGRNEVCVVDVHTGESLVDNDRYMYRHRVHRIKPYDSCHRDYAAEENIASP LRADGVPVSRLLLSCKALEIAKDLGLSAAQFKASPSWVAGFFHRWGMSMRAKTLSGQL NLEEGEAALDEFASIYNAGQTGINYEDLPKETINSKGSKTDWIKCCAGHENDCMTAMV LPDSKGTKYPLFLVLKSQKSKIKEVVEDNVTHRNGFGRQVWQDIEELHERHLSRIFGN PTAWWNSTISIAFLTYHFGHRQGQNVKKILLLWDDFSAHFTDDDVAYANKIIEATHPQ TTEHVEGRHGHDHDGHDQQGNDNHLNGSTSDDIVNTANALESILQMGVENIETMDLND DDDELPLAYWTITPLAARV H257_02282 MALKPRRMVTQHTGNETHVQFTNGCAFHLLVHWVATAASINTTT WHPERPSFNKLGGPHQVTVLGIDLTELVPWTLPDKPDQDASDVADAADAATDNDDLSA GFNVVHGGIQLTFDGTPEAHYDHVTVSPNGEYIACLLVVEPASSKTCIPVSTLLCDTP YDVTGLTWHPSSDWFSFLYNPRGHGFLRIVAVHVTGDTRVLLEDTSPSTFILHTKHYM HFLPCIHELLWMTERSGYLHLYLYNVPASFHDMTELVGLALTSGPYVVRKVVDVNETS RTVTLAVSIKRSRS H257_02283 MLPFVVRLYAIVERLYAIVERLYAIVERLYAIVERLYAIVVRLK DVFYGDANTPFTAHTPTPVAAAFEPPRQLRHQLAPPTCPLSPMTMIWDKAMSAASCTT PALPDPPSFRQLHQVGAAVFIRLYNKDVFTKKRVAMWDMANRDYRGVANTQWAAWFSK AFEEKPQDLEVLKKRLTTAIRFDTTILDADSQIGKMLDNLMQALERDDEAWDLEKEKP H257_02284 MKTVVLLASVAAVQSAGLETYLPKSLFQSVFPKALPIYSYEKMI AVSKIYPAFANTGNVDVDKREVAAFLGQIAHESGYLQYVEEINKSTMCEASADYPCAP GKQYFGRGPIQLSWNYNYKDFGIAAGKDLVANPDLVAQDLWLVWWSAYWFWNVDKWNG NIHDVVGQPGGFAYTTYIINGGLECGPNPANKESEKSRIANYIKFANLLGVAPGDNLS CQTSAFPPSTLWPKPVTTETPPLYDQDRHHIYPNHDQDHRHPHDQAHRYPHDDPLPSN QCNGNRNVCFWPLNQQVLPYAKAHCQLFLWSVWCD H257_02285 MSSRFSNQLNPFMETRRWTGSAADYARAASLPNLLQGKVLNEHV EPQWSSDGTRLWYLRQVALDGRNEVCVVDVHTGESLVDNDRLKAAMGNVLADEFPSLE PREIRFRSVALVDNAPRVVRVRVAVPSPLPPAPQSWFQVDLERYAVQVQDTPYNDPSN HHLDEMALKPRRMVTQHTGNETHVQFTNGCAFHLLVYWVDGDGRQHQYHDVAPGASVV QQTYAGHVWHLTHETESMTWHRAVEGPHQVTVLGIDLTELVPWTLPDKPDQDASDVAD AADAATDNDDLSAGFNVVHGGIQLTFDGTPEAHYDHVTVSPNGEYIACLLVVEPASAS TYTLTLVEHCGAPKPTVQTHKYPQPGDDMRIFRPFVVHIASKTCIPVSTLLCDTPYDV TGLTWHPSSDWFSFLYNPRGHGFLRIVAVHVTGDTRVLLEDTSPSKFILHTKHYMHFL PCTHELLWISERSGYRHLYLYNVPASFHDMTELVGLALTSGPYVVRKVVDVNETSRTV TLAVSGFYPHQDPYYIHVLRLHLDTHELVPLTKADGFHRPLEYSADGSVYLDRYSRVD MAPCIELRRSVDGSLVCVLEQGNYKPLQDQVQWQPPSRFACPGRDGVTLIFGLVVFPI GYDFETRLRVVEHIYAGPHGAHVPKAFGLHLEMQQLAELGFAVVQVDGMGTAHRSKAF HDVCFQNIRDAGFPDRKLWLESLAVKFPSLDLSTGGVGIYGGSAGGQNAVAGLFHHGD MYSVAVADCGCHDNRVDKLWWNELWMGHPFNDQLYAANANATYAHHMQPHQHLQLTVG MLDANVDPACTFQLVQALIDADKDVDVVVFPKLGHGAGGSAYGTRKRWDFFVQHLQGN EPRHSTTKQTEAGGGLWGFPTRRKGGKGGDDLLRKKQAPQIATQSSKIMPDDGGDRKH DVFGDGTPCEGDEVDIDNLPPSLIASVEAGFSKPKRRIPFNPTEGEVHARESTRPWRL DGHRKLLAANHRAEEEQWEKRRLGLAKQVHEGLLHNYNIYVGISEVGNVIKLGQDQRR QEQQGLLPVHKDIAASAILVAAEKYDLARIAVLLDKVPKK H257_02285 METRRWTGSAADYARAASLPNLLQGKVLNEHVEPQWSSDGTRLW YLRQVALDGRNEVCVVDVHTGESLVDNDRLKAAMGNVLADEFPSLEPREIRFRSVALV DNAPRVVRVRVAVPSPLPPGTAQVTTVLAPQSWFQVDLERYAVQVQDTPYNDPSNHHL DEMALKPRRMVTQHTGNETHVQFTNGCAFHLLVYWVDGDGRQHQYHDVAPGASVVQQT YAGHVWHLTHETESMTWHRAVEGPHQVTVLGIDLTELVPWTLPDKPDQDASDVADAAD AATDNDDLSAGFNVVHGGIQLTFDGTPEAHYDHVTVSPNGEYIACLLVVEPASASTYT LTLVEHCGAPKPTVQTHKYPQPGDDMRIFRPFVVHIASKTCIPVSTLLCDTPYDVTGL TWHPSSDWFSFLYNPRGHGFLRIVAVHVTGDTRVLLEDTSPSKFILHTKHYMHFLPCT HELLWISERSGYRHLYLYNVPASFHDMTELVGLALTSGPYVVRKVVDVNETSRTVTLA VSGFYPHQDPYYIHVLRLHLDTHELVPLTKADGFHRPLEYSADGSVYLDRYSRVDMAP CIELRRSVDGSLVCVLEQGNYKPLQDQVQWQPPSRFACPGRDGVTLIFGLVVFPIGYD FETRLRVVEHIYAGPHGAHVPKAFGLHLEMQQLAELGFAVVQVDGMGTAHRSKAFHDV CFQNIRDAGFPDRKLWLESLAVKFPSLDLSTGGVGIYGGSAGGQNAVAGLFHHGDMYS VAVADCGCHDNRVDKLWWNELWMGHPFNDQLYAANANATYAHHMQPHQHLQLTVGMLD ANVDPACTFQLVQALIDADKDVDVVVFPKLGHGAGGSAYGTRKRWDFFVQHLQGNEPR HSTTKQTEAGGGLWGFPTRRKGGKGGDDLLRKKQAPQIATQSSKIMPDDGGDRKHDVF GDGTPCEGDEVDIDNLPPSLIASVEAGFSKPKRRIPFNPTEGEVHARESTRPWRLDGH RKLLAANHRAEEEQWEKRRLGLAKQVHEGLLHNYNIYVGISEVGNVIKLGQDQRRQEQ QGLLPVHKDIAASAILVAAEKYDLARIAVLLDKVPKK H257_02285 MALKPRRMVTQHTGNETHVQFTNGCAFHLLVYWVDGDGRQHQYH DVAPGASVVQQTYAGHVWHLTHETESMTWHRAVEGPHQVTVLGIDLTELVPWTLPDKP DQDASDVADAADAATDNDDLSAGFNVVHGGIQLTFDGTPEAHYDHVTVSPNGEYIACL LVVEPASASTYTLTLVEHCGAPKPTVQTHKYPQPGDDMRIFRPFVVHIASKTCIPVST LLCDTPYDVTGLTWHPSSDWFSFLYNPRGHGFLRIVAVHVTGDTRVLLEDTSPSKFIL HTKHYMHFLPCTHELLWISERSGYRHLYLYNVPASFHDMTELVGLALTSGPYVVRKVV DVNETSRTVTLAVSGFYPHQDPYYIHVLRLHLDTHELVPLTKADGFHRPLEYSADGSV YLDRYSRVDMAPCIELRRSVDGSLVCVLEQGNYKPLQDQVQWQPPSRFACPGRDGVTL IFGLVVFPIGYDFETRLRVVEHIYAGPHGAHVPKAFGLHLEMQQLAELGFAVVQVDGM GTAHRSKAFHDVCFQNIRDAGFPDRKLWLESLAVKFPSLDLSTGGVGIYGGSAGGQNA VAGLFHHGDMYSVAVADCGCHDNRVDKLWWNELWMGHPFNDQLYAANANATYAHHMQP HQHLQLTVGMLDANVDPACTFQLVQALIDADKDVDVVVFPKLGHGAGGSAYGTRKRWD FFVQHLQGNEPRHSTTKQTEAGGGLWGFPTRRKGGKGGDDLLRKKQAPQIATQSSKIM PDDGGDRKHDVFGDGTPCEGDEVDIDNLPPSLIASVEAGFSKPKRRIPFNPTEGEVHA RESTRPWRLDGHRKLLAANHRAEEEQWEKRRLGLAKQVHEGLLHNYNIYVGISEVGNV IKLGQDQRRQEQQGLLPVHKDIAASAILVAAEKYDLARIAVLLDKVPKK H257_02285 MTWHRAVEGPHQVTVLGIDLTELVPWTLPDKPDQDASDVADAAD AATDNDDLSAGFNVVHGGIQLTFDGTPEAHYDHVTVSPNGEYIACLLVVEPASASTYT LTLVEHCGAPKPTVQTHKYPQPGDDMRIFRPFVVHIASKTCIPVSTLLCDTPYDVTGL TWHPSSDWFSFLYNPRGHGFLRIVAVHVTGDTRVLLEDTSPSKFILHTKHYMHFLPCT HELLWISERSGYRHLYLYNVPASFHDMTELVGLALTSGPYVVRKVVDVNETSRTVTLA VSGFYPHQDPYYIHVLRLHLDTHELVPLTKADGFHRPLEYSADGSVYLDRYSRVDMAP CIELRRSVDGSLVCVLEQGNYKPLQDQVQWQPPSRFACPGRDGVTLIFGLVVFPIGYD FETRLRVVEHIYAGPHGAHVPKAFGLHLEMQQLAELGFAVVQVDGMGTAHRSKAFHDV CFQNIRDAGFPDRKLWLESLAVKFPSLDLSTGGVGIYGGSAGGQNAVAGLFHHGDMYS VAVADCGCHDNRVDKLWWNELWMGHPFNDQLYAANANATYAHHMQPHQHLQLTVGMLD ANVDPACTFQLVQALIDADKDVDVVVFPKLGHGAGGSAYGTRKRWDFFVQHLQGNEPR HSTTKQTEAGGGLWGFPTRRKGGKGGDDLLRKKQAPQIATQSSKIMPDDGGDRKHDVF GDGTPCEGDEVDIDNLPPSLIASVEAGFSKPKRRIPFNPTEGEVHARESTRPWRLDGH RKLLAANHRAEEEQWEKRRLGLAKQVHEGLLHNYNIYVGISEVGNVIKLGQDQRRQEQ QGLLPVHKDIAASAILVAAEKYDLARIAVLLDKVPKK H257_02286 MASPPPQLKGPTLKLNQHRNAASEPYVLGLRLRWFRRLVLTVVY TLHIMSAIYFLSLAYLMLTLTPFEATAMRAYAPRPSSILFLGMACLHLTPFFKLFYSS SPILRHLVRRVAARKTATRFTARSPHWKPMYGIIIPPSLVMSPNMSMATGHLLEISSE TWIAGQMAKQIVDRKVSLFYAMVLASNCLITTWALLFRSSGLKKTLIGILDACLSSLL SAIIPLVLFLVPLVEYKVYGSGNESHDFTWLAQSVTSVRTLIVWTPVQPVMVMLPSIM NYLALQALSKRVRTTKGVTLRNVLVTPMETTLGHSSPLGHSSREHRRQPSNFVHPMLL APTASMNSSIPAAGRGFSSIGNSIQSYVASKTQAFTWHQEHEYVLRCVIVLSVLWGLA VVAVAVTAEFYRLPCPPGCILDSAPWFSRSCNCIYFRWTCNSSNYDDVLDEYINATDL GQNLLFLHIRKCGLRQGLAAETMYQFQSLYAFHFEFTSMQQWNIPSSAIPNTVIVALI RHSLLDHVPLALQTPGPSLRSIFLVGSPLQNIPNAIFNNWQHLNSLWLSSTNLTEFPL PVLQMQDLEVLALDSNHISTIPPELHLLPNLYWVYLDSNNISVFPDSLVTARHGIYLY LNHNPIEFISDDVVAQLDPWYVDISSTVYCQQRQLPLLCTSDCSESCSHADWGNYFCD PECNSTTCQYDKGDCAF H257_02287 MGASASVKYRQLDGKYHVQEKVRLVEDKCIVLLHDAMRRGELTR QQLRVLVAMLQAKEQYLEHFAHEKIHPILVALQPYYDKYQPIAKKCVDGSVRQTKWVA RKIDDVVTTTTLQALYQARRQVVSQLSIATISTYFNISLPEVISVKVSKRHFTIQNCG PDLRHQEIEWTCDITDPKFIKRLFTLQWVPWKPTTSTAVKLTETFMLDVEYYVRNEYA IKVVQHMNLRAGYKWVALDTRQGSVSTPPKWLQRVDYKEREKRSTLFYDLVAQMYTQM HREHLRSLEREHDARLAAEASKPPPQKLTVFTPELIKRTLATLADKIMDEDRFLFYTL GEYECWRMEGEDVKQRGDLTIGEMYELFEMEKQDDRMRAFASELLALGERVAMEMEDV NRGETKHERECRYMRRYDVDVESDDDE H257_02288 MHHPWPFVVVAMAASAPDCGDDVLPELAQALSSCSTAAFGKPDV WNPFFTLVTELRKPESFVLADFCSNGLPGCADLVALSSNRSFDCSCWLYKATAINVYQ DIPLLCPSMHPTRTLQLFTRNDKLVTVQGQALVASPRLTAFNQSFTFDMATHHIESNE LCGHYCIEATPASPSTSHTLAITLTLAPCDNVNSNQQWQVQPYLNRVRHLNVPNACLS ADPFATNYAIRVEPCESAFPAKQYFTTSAPYDDGCPTAEYDVDYPGFDLESRVLEQPS ACCLSCNWHPTCRAYAWADGVCYFKSAFNTSSHAVPKPGVVSGAVTKCSTWSEAYDIV GMDVGSVKSPTKERCCDVCQATPTCRAMSWSNFQGGTCWLKSGYGDYQPAEGVWSAFV ID H257_02289 MRFLFAVLGAFQCILAASSPSSPQFHLSLVGDGSGDYMLDWVTS VDEKSSTVFFGASNDSLANKADGTSSGNVVVTPSLSVQCWHARLSGLGAAGSTVHYDL SSTGTTSKSFVVSGPSMTWAIFGDMGSIAMKKASGITLPALTSDLAAKSYQGILNLGD LAYELVETNGDVYMQQLEPLTSVVPMHTTIGNHEMQYAMFGALPNYIRRFAGLAAGAG RASGSSSNRFYSFNAGYVHFVVIDTEVYGDQSFMTPGTDGFWSSSETARGQMQETQRS WLEYDLSRVKRKVTPFVVVCGHRPPSKIPNAITKPGNQFAAQLLPLLDMYSVDLMFFG HEHAYYSIEPTVVGKYNVPPWIISGAAGNNELIRPVSKVSMDPVFKVRKNINEYGYGY LKATADKLVWTWGQAATSSPNGESPTSTSWTAADSVEFLKKDIADPTPTGKPVMVPET APTNNVTIAAAGSAAPAASPESSKNTQAGPSGQSGSTSVSPTQSNSGGTSLVSNAIAM VMVVVAIALGSA H257_02289 MRFLFAVLGAFQCILAASSPSSPQFHLSLVGDGSGDYMLDWVTS VDEKSSTVFFGASNDSLANKADGTSSGNVVVTPSLSVQCWHARLSGLGAAGSTVHYDL SSTGTTSKSFVVSGPSMTWAIFGDMGSIAMKKASGITLPALTSDLAAKSYQGILNLGD LAYELVETNGDVYMQQLEPLTSVVPMHTTIGNHEMQYAMFGALPNYIRRFAGLAAGAG RASGSSSNRFYSFNAGYVHFVVIDTEVYGDQSFMTPGTDGFWSSSETARGQMQETQRS WLEYDLSRVKRKVTPFVVVCGHRPPSKIPNAITKPGNQFAAQLLPLLDMYSVDLMFFG HEHAYYSIEPTVVGKYNVPPWIISGAAGNNELIRPVSKVSMDPVFKVRKNINEYGYGY LKATADKLVWTWGQAATSSPNGESPTSTSWTAADSVEFLKKDIADPTPTGKPVMVPET APTNNVTIAAAGMGEFATGTRVFYYCSSAHQCGFERRKCRPGCFA H257_02289 MRFLFAVLGAFQCILAASSPSSPQFHLSLVGDGSGDYMLDWVTS VDEKSSTVFFGASNDSLANKADGTSSGNVVVTPSLSVQCWHARLSGLGAAGSTVHYDL SSTGTTSKSFVVSGPSMTWAIFGDMGSIAMKKASGITLPALTSDLAAKSYQGILNLGD LAYELVETNGDVYMQQLEPLTSVVPMHTTIGNHEMQYAMFGALPNYIRRFAGLAAGAG RASGSSSNRFYSFNAGYVHFVVIDTEVYGDQSFMTPGTDGFWSSSETARGQMQETQRS WLEYDLSRVKRKVTPFVVVCGHRPPSKIPNAITKPGNQFAAQLLPLLDMYSVDLMFFG HEHAYYSIEPTVVGKYNVPPWIISGAAGNNELIRPVSKRRRTSSCGHGGRRQLAPRMA SPPPAPVGPQPIRWSS H257_02290 MTGCGRTADKYWASPLPLFQQLHVPQRTRQTSTALPPPPPPCAL QMLLVVVQMPLLAAYCYADEWLQLASTCTAIRKELRVATRALDLVPARAALQDVHVDR LLRLFPNVSKVLMSGCNAITDNGLTLVASLLKLQTLHLKGCDQVTDATMVLMGQLPQL TSLDVGYCGRITSLAALPRTLTSLNLKNCKGIDDDACAALTHLTALESLNLWYCHQGQ LSDMAMEYVGELVNLKSLVLSNCSQISDNGLNAIIRCMKLEVLELANLGDVSDQGLWH LHSLVKLRSLDLSGCYSISDAGTIMLRHFPALESCNLWYCSNLTDDTVANLVHGSTCI TFLNVMKVLRLTDVSLALMGSRLLALKTLDLGNCESITDTGLTSVARLTRLESLTLGS CTGISDAGIGALAPLCQLKVSRCDCGVHDSAEAAKPDEAPIDPLPLLKTALPFSSTKT EIPQPSTANAVLDLSKCRQLGDQALDGLEQLVNLESLNLMRCCQFTDAGVTHLKNLTK LRMLNLANCRLLTDASCRVLSGLVNLTSVVLWYCHGLTDHGMAQLATLTKLRSLDVAG CSKLTTAAVVALSSLPALERLDMGNCVLITDDAMPHLAMIQTLKSLNLAECSELSDVG VKCLVALPRLSSINLWYCNKITKDGIEFLARHTSIDR H257_02291 MPVQGKQKHATTEEKTRVLAAHSNGENWKVVAKHNGIALTTARR IVRTGQVAHQKRGGARPGRTKVTPEILAALERYLDTNCQYTLSTMRDFVAQDFPGTLI SKQTISRHLLGMLYTVKQVRIEPSTCNSDTNKAKRKEFAETLVQHQRDGNFIVYYDET NYNIYCHRSVGRSKQGSRACLVLPPSKGPNLQIQCAVSPDVGLVCYRMERGSIKMEHN AAFVEEVYRASKNSPAKELVE H257_02292 MRLRPEKPIVSYNNNFDRDMHNAKAAHKFWFTIDQLRSSKSAVQ RTKQCISRPTDEAVHQPSVGASRSMYGSVERRRHDSSMLSMSRILDRMKENGVLSYYG LYDDPAYECR H257_02293 MDGFFWQMLTGEYAHLLVVGNVVGIATWLRRLSNRKCSQITTWQ DAGLVSAHAFLVGRLVQLAVANFGSAAYFRRAFVDSGVLSSADAKSRIGNRSWSDWWS LWNPLPFSLRFPGSIKTVAYGWVGERLPHALQMDVYRHENCGEMPPVLLFIHGGGWLL GSKATIPSTLLHAVGRKGWLFCAIDYRKAPFVAFPDHLIDCKRAVAYLRSSARSLQAD TSKIVVCGESAGGHLASLVAVTADDKTFQPGFENADCSVLACVDAYGTHDLTDRFGHY GRIDVAKKSRYYVEAVLMNTRLCVNRPAFERASPLYHVLQHTPSSVVPPFLCVHGIFD SVIPIEDTCEFFHALQRHRQVTGRVNAVPDVFVPLPQADHAFNCIRSPRTVAYNDAVG VFLDLVLAAHTKQHAVLLTTSKL H257_02294 MAGDAAAVTAPLPTSVASTAPHARGLVRILLQLEGATLLVACVV AYYRLQGNWWVFAAGFFAGDLLSVGYAFGPAVGCTMYNTSHTFVGPTLMATLYLLWTD HTWLGLVAAIWGAHLGWERSLGYGLRYPDGFTSTHITVDQDPVEYVQHLLEPTKATNE GTARSGISTTTVPNYQSTAPATARDDQV H257_02295 MTTVARQTHPSFAMAQPSKYALEFILNAPSPNEKMLFSFPTNLP TAAAPSRGCRMPDCDRVAISRGVCIRHGGGPRCSVAGCRNGAKSRRLCWKHGGSTKCT IASCNNRSKARGLCWSHGGGKPCSQKNCSRTALSRGLCWAHGGGKRCEVDGCKRPAYE RNGNRCEVHQP H257_02295 MTTVARQTHPSFAMAQPSKYALEFILNAPSPNEKMLFSFPTNLP TAAAPSRGCRMPDCDRVAISRGVCIRHGGGPRCSVAGCRNGAKSRRLCWKHGGSTKCT IASCNNRSKARGLCWSHGGGKPCSQKNCSRTALSRGLCWAHGGGIRYIHILFRNFQWA QQMC H257_02296 MKDLTSDQYRAVVDHLLLRVVQKPCKLQRGAIKDVARIFGRNRH TISEIWMRANVSLGGDLPMREIVCEEISSQKKGRVARKQKYTDLPARIRAVPAAQLKW AVDFVQPDQNVSFEDMYDYVHVDEKWFHATRIKSRLYLLPGERPPHRSTQSKRFITKD NDKCEWFDGKIGTWHFTEVVPAAPSSRNRPAGTLELRPINVTRTIYKRILIDNVIPAI KAKWPAEATRSVIIQQDNARPHVSPWDIDIVQACTSTGWSMQLKCQPPNSPDLNLLDL GFFIAIQALQQTHHSNTYKDIVNATTKAWRDVEPWSLERNFLTLQCCLCEVIACAGDN SYKIPHMKKAAFKKCGRLPESVQCSHDVVDAGCTLLAQHDLDSVMRELSLQTANDLEM SEIFTALESLEISCEDEKGV H257_02297 MASQSGTRHLTPAERRTAYELLLQSPFNGRLKYGALKDFAAHLK CHWKTISRVWKRGRHSLSNGSPYADVASKLEGNSGRKKSRLAADFEGAIKSVPKHQRQ TLSSLAAPSGLPKTSIVRHMQETKRLKSRASYIKPMLTEDNTRARLDIAKSFVRHLPS GNHAFVDMNEYVHVDEKWFYLTKVKRKFYVYDDEEVALRLACGAVQAVHHQSYVLGSG GPASIRPHEEGVFRRQDLALYNVECHSSAVEGALLHLESRLGEEAHLEDLVNSQEQVG STLE H257_02298 MRIDPLLTGRSDPDPEKPNATVPCAVKPLPRSPLLAGVIHQLLV SGFNQMATPILHLRCPHQPPWSRHLVLPRQLRYQLAQPTCPLSPMTMTWDKATRAASC TTFRLFCLTTAIRFDITILDLPDPPSFNGSTKSERRTFIR H257_02299 MWCLGAIVMPRDCPLLFDYDAHYIASATTNNQAEYVGLLRSLRL ALARGFTHLTVYGDSQLLVRQLQGVYRVRNPGLRRSNLTVCTLAATETKRLTFCSSLR RTTAGCTPLSKGQVLVRFPSTCGNVV H257_02300 MWLHSKAIRATALTLLALAIPTIQAAKYRNIVYFKEWTTYAPEG DFHLFDLDWSRATHVKYGFAVPQADGTVTLDDPYAAIIRNYFDSPTNATNSNVARGTL GLAYILKKKFRTTKFGLSIGGEQHSDNFSALAMTDAGRRTFASTSVQLMQDLGLDFID VYWASPVEGGGIPHTPNDMQNLVLLLEAIRKELAKLPFPSELTAVAPATQKSYRHWDT YNVCSFVDHLHVSSFGFTGNALRFLDHHEHGQRWLQTTNLTNYTEHQANLYPDPNNPG VANSVDGALQHYLNGGCPSTKLVMGIPSFGRSYENTGGLYGAYTPPTTGSWVYQGSGL GVWDYKSLPLSGAAEVFDPKLVAAYSYDPTRKMFTSYDNPQSVAAKLEYIKKFNLGGT MYWSGDADATGGSMRSLITQAYNTFGPANMAFSQNTINYPNSKYANIQDSTPLTTAAP SPTATSGPGNQCAGNRNVCFWPVTQQVVVAWTQDDCAKHANVFVWCS H257_02301 MKAASVVAAVVASSSASSVFRSSAGYPQAAAPRVAAHPLVGYWH NFKNPSGDTYPLSQIGASWDVINIAFAENAGEGKVGFTLDPKAGSEAQFIADIAALKA QGKTVALSLGGQDGYVSLGNAAETANFVSSVTALLTKYGFDGIDVDLESGVSAGLPII QNLVTGVKQIAQNIGPSFYLSMAPEHPYVQGGAGAYGSIWGSYLPIIDGLRNELTQIH VQYYNNAGFMYPDGRQLKEGTVDCLVGGSLMLIEGFKTNYGKGWEFKGLRPDQVSFGV PSGPKSAGQGFVTADVVSRSLTCLTKGVGCDTISPKQPYPSYRGVMTWSINWDRFDGY AFSNAARQALDSLGGNVPPPPPPPPTSATPTTTKSPVPTPPGPTDIPVTTKSPVTTPP PSLSCGTCTNCYYAPTQACYNGWTVAQCNSMAALTWCGN H257_02302 MNNAATVLVGSIWDDDITVRIYVMSCVPNIRTILDCLVEIERIC PLDLFEVLEEAFANPRGGPEWDGLELASVAKVWDH H257_02303 MMALLCPCVPLAQVASRFSGRTAYVVVLCLGMLLHMSFVVLVYI AWCHNPSHWPSFVEQLTSLFMMQLNDLPIYCWGVWAVLVAYLRSYGRAKMQLAGNFGS DLVVACCCTSCAIAQLATQVDVYAANVRRDDCRQYYFGPRDVLPPYLALEPRKPLKYS SARTVVV H257_02304 MADDNVDATQPAKRQKVAATPLGFHLTSLRGGTLQQNRHARSLS SLLDGEFTHAILTNYKVDVPWLFGQCPRLAQVPVILVHGGHQESMQAACRQYKQVTVV APPLPIPYGTHHTKMLIAFHSTFVRVAIFTANFVRNDWECKTQGLWTQDFSFKTSPTP SCAFESDLLDYMTALKAPAVTSLCRSSFPRYDFTAANVTLVASVPGVHQGIDAMHKYG HLRMKKLLQQHVAPHNHPLVCQYSSLGSLDEKWIGEFYKSFVPGSAATSSATSMSQRV GKKKPTPTSYFPSPAQLHCIWPSVAAVQNSNEGWEAGRSLPCALKNLKPFLHKYLRLW DPPAELYRKHAMPHIKSYATIDPTTRSLDFVLLTSANFSKAAWGAVEKGGTQLKIRSY ELGVLFLPSQTTKALRLLPDDRDMMDVVRFPLPFQWPPTPYDPRTDEPWTWDLARADV DVYGLTYSVD H257_02304 MQAACRQYKQVTVVAPPLPIPYGTHHTKMLIAFHSTFVRVAIFT ANFVRNDWECKTQGLWTQDFSFKTSPTPSCAFESDLLDYMTALKAPAVTSLCRSSFPR YDFTAANVTLVASVPGVHQGIDAMHKYGHLRMKKLLQQHVAPHNHPLVCQYSSLGSLD EKWIGEFYKSFVPGSAATSSATSMSQRVGKKKPTPTSYFPSPAQLHCIWPSVAAVQNS NEGWEAGRSLPCALKNLKPFLHKYLRLWDPPAELYRKHAMPHIKSYATIDPTTRSLDF VLLTSANFSKAAWGAVEKGGTQLKIRSYELGVLFLPSQTTKALRLLPDDRDMMDVVRF PLPFQWPPTPYDPRTDEPWTWDLARADVDVYGLTYSVD H257_02305 MAEDDADIWGRLSLPSFRYSIVDPKHNIPYITAIQDRSACASEK PLAASHGHLVWDAALVLADYMQQVYSKSTDLSCCRAVELGAGIGLVGMVLSALGCMEV TLTDQPYCLPLLDKNVDANFGPAGPRPLVKALQWGEDATDGSQVDLIVASDVLYNASV FAALVHTLDALATPATHIFLCYEPRIPAQEALFFSMLQAAGFTWQPVDFVCTQVDYPD DMVLVRATRVG H257_02306 MIPLPRCHARAAILSFLVLPWIRAMEPYPHLYHPCVSTSCPAAC VVNSATDTSPVCVTPGPIDQNPIGVSFNLAAIPEPVLGITMTNDTINATHFFGIPTRR GNLEFLNVSGVPNLNTIIIRFVNMAHTNVEKWIVPPTVATLLLFNCSLVNFPNLTDTD WPSLTYLDMSNNTDQLRTKLEVDQGGEIALRFPFLQQLGGIGLSALGLRSIPKFIFKL PKLTRLFVRHNNFTLISLSLAEYQFLTKPGMDLHIHTFPKTPGRDYGDWSGESLPNCP ESPVISIVYNSSNGENWSVCVDDPDSATQIAAIVLAWTVLSGMAVAVVYLVVMKVVRR HRHRHRGLFDSDAATSSVTVAPPSLFHWTTFRDLVTPAKPATTTTTSATTIISSSAYP AIESDVTNDTVSTELDGWLLRQQCLLRPHMLEWTEVEYKVRLYHSKQDGIWTDSTSAT DVMEVWKGSYRAQLVLVKRVSGSELSLRVFARQVERLVRFQHPRITALVGVTWQPHSL ALVCADDELVVDLKQCIPHIPTAEVQGQVASHIAAGLRYLHSTAQVHGNLSSSSVVVD AGFSALLNRLDLVPCDVSKLGMAHQTLYIAPEVLSGGPHSVAADVYSFGILLAELDTG ESVYHFLNRTARCIPGRHDMTVSQLLPLRQALTFNRGSLQPAIVMCLQDDPRSRPPVS YLMNLWT H257_02306 MIPLPRCHARAAILSFLVLPWIRAMEPYPHLYHPCVSTSCPAAC VVNSATDTSPVCVTPGPIDQNPIGVSFNLAAIPEPVLGITMTNDTINATHFFGIPTRR GNLEFLNVSGVPNLNTIIIRFVNMAHTNVEKWIVPPTVATLLLFNCSLVNFPNLTDTD WPSLTYLDMSNNTDQLRTKLEVDQGGEIALRFPFLQQLGGIGLSALGLRSIPKFIFKL PKLTRLFVRHNNFTLISLSLAEYQFLTKPGMDLHIHTFPKTPGRDYGDWSGESLPNCP ESPVISIVYNSSNGENWSVCVDDPDSATQIAAIVLAWTVLSGMAVAVVYLVVMKVVRR HRHRHRGLFDSDAATSSVTVAPPSLFHWTTFRDLVTPAKPATTTTTSATTIISSSAYP AIESDVTNDTVSTELDGWLLRQQCLLRPHMLEWTEVEYKVRLYHSKQDGIWTDSTSAT DVMEVWKGSYRAQLVLVKRVSGMYCTLGSI H257_02307 MSTGRKILRMSRRAVRLHGTAAAPAIASSSVRQNPTPPASMLLG AAASIFLLASTSTVIHARSQESTLAVKAEELYNSPSYDRRKLLQYLQRGVGEHPHDVG LQWRLARAAYDVASLSSTSLDEKKTLIYLARDVIQAALALDADNFAVHKWYGIILSSI GEFEGSKVTIANSFTVRDHWLKAIALNPSDATSFHLLGRWCLTIADISWIERQLATAI FGTPPRATYAEALAYFLQADAISPGFWKKNSLLVAQTYLKLNDVPQAKDWLRLALAVP VVTSEDQQVHDQATATLATLK H257_02307 MSTGRKILRMSRRAVRLHGTAAAPAIASSSVRQNPTPPASMLLG AAASIFLLASTSTVIHARSQESTLAVKAEELYNSPSYDRRKLLQYLQRGVGEHPHDVG LQWRLARAAYDVASLSSTSLDEKKTLIYLARDVIQAALALDADNFAVHKWYGIILSSI GEFEGSKVTIANSFTVRDHWLKAIALNPSDATSFHLLGRWCLTIADISWIERQLATAI FGTPPRAT H257_02308 MEKPATDDATIAPAPSSDPSTPVKVLAISSTVATTTPADGVPQP KRRGRPPKVRDPDELDKVVKRPRGRPRNPDKLTASWCPESVKLLFYLRYDSDLRQKFD EKDNRSKRTGYELLASTLSDKIGRKFDNAQVQQKLSQLGTVWAKLDPKDDEATRPQHW EVMNEYWGPGSKFPQPQLQPPIVPRHQINKRDSADSDYLAPPAVTSSTLSTSFLEAVV PALSSSLAAVQPHKLRRILSKHSESDETVAATDVHSAAAAAVSSSAAQSDQGDSEATL ISGFLAVKEGLVAISSAIAGHGNDDKMDVLVAAVAKQTHAIEQQSKQLAALVDLLSTR HQQATANDDA H257_02309 MSFLAELSKRQGKPQGSVKPFGFARALHLDGAADATTSMLPPFM EKKTPSQTFASPFAKSAIEILQELERKADEEQQQKQQPQPEDDTNFVRTKPQSTSAAA PSLMERMSRRTLNTTVLDSSSPDDTSNQSDNDITTTPVVVHATPHPPSTTTRPSKPAS MLEELMLARKKAAQPASPSHVATAPKPTKHAMLEQLMQKRTTPTSHKPPTTTTNAGSK HSTMLEPRLQPPSASASPHQTHQQQQPSPTSSTASTESSYSSPSSSSTSAANNNTDDL DHTSSTSTSVPLKDHPKYATYFVMLKRGLPKPAVQHRMLKDQVDPSILDLDPELPLVE HTLATDPAYEKYFKMLKTGLPRPVVEHKLTADGVSIHLLSLDPTMPTVPKTQLDAAFA KHKDNVVLKYRKMLKMGMPPLAVDHAMAKDGVDPSWLHPNAAATTNSTKATFLTRQKK SDKIRKKLHWVVLNKDAMHDSTLWKQPSQATLSEASVVALERLFTKSVHDQATKAMQS DLLTAAKSSRDLQVKSISLMDMKKAQNIGITLARIKVPFEKIKDEILNMNPTVMSSMH LKALIDLWPDTQEMKAIRDFPGEPQTLGLAERFCYVMRDTPRFTEKLQCLIFKQEFLS RSHELRETILLVVRCVHQICTSDDLRDILLLVLNMGNVLNFGKDAPHAAAKGFSLSSL VKLSQTKAFVGQTTLLQFLVEVVDRDAPHLAQFDEEVGLLERASRVVTQQLYSEKKAL EAGRAHLEAEAQRILGEDHETNLTAAAIRFFITKVDLELKDLTEQLDALNQKKSSFLH YIGEEGNYEVDELFSVLWAFTEEFRAAHRKFVVKKARAAKKKAPPPPVADVA H257_02309 MSFLAELSKRQGKPQGSVKPFGFARALHLDGAADATTSMLPPFM EKKTPSQTFASPFAKSAIEILQELERKADEEQQQKQQPQPEDDTNFVRTKPQSTSAAA PSLMERMSRRTLNTTVLDSSSPDDTSNQSDNDITTTPVVVHATPHPPSTTTRPSKPAS MLEELMLARKKAAQPASPSHVATAPKPTKHAMLEQLMQKRTTPTSHKPPTTTTNAGSK HSTMLEPRLQPPSASASPHQTHQQQQPSPTSSTASTESSYSSPSSSSTSAANNNTDDL DHTSSTSTSVPLKDHPKYATYFVMLKRGLPKPAVQHRMLKDQVDPSILDLDPELPLVE HTLATDPAYEKYFKMLKTGLPRPVVEHKLTADGVSIHLLSLDPTMPTVPKTQLDAAFA KHKDNVVLKYRKMLKMGMPPLAVDHAMAKDGVDPSWLHPNAAATTNSTKATFLTRQKK SDKIRKKLHWVVLNKDAMHDSTLWKQPSQATLSEASVVALERLFTKSVHDQATKAMQS DLLTAAKSSRDLQVKSISLMDMKKAQNIGITLARIKVPFEKIKDEILNMNPTVMSSMH LKALIDLWPDTQEMKAIRDFPGEPQTLGLAERFCYVMRDTPRFTEKLQCLIFKQEFLS RSHELRETILLVVRCVHQICTSDDLRDILLLVLNMGNVLNFGKDAPHAAAKGFSLSSL VKLSQTKAFVGQTTLLQFLVEVVDRDAPHLAQFDEEVGLLERASRVVTQQLYSEKKAL EAGRAHLEAEAQRILGEDHETNLTAAAIRFFITKVDLELKDLTEQLDALNQKVRNKQF CYRQFVILVYCMHWNIK H257_02310 MLRRHEMALLSTVGTLLCYADRTNIGVALPSFEPDHAKQGRILS AFFYGYICTQMLGAHWAFMHGPKRVLLLGVCVWTLFDLLTIPMASTPALLWLVRAGMG LGEGILFPSLHVVAASWYPVSERSRLMSFVSSGVDLGTILSMSVAPLLLSSFGWPSIF FTFGGLSVLWLLAFVWRGSSNPETDKFISLAEKAAILSQRDVFSANHKAMSSQRHVLS TRRLLSSRGAWAIYSAHFAFNYGWYVLLGWMPLYFREKLHVPLAYSGLACPYVAGYVG VLFWGVVSDKCIQAGRKPLVIRKAMNAVGLVGAAACFGLLRFTQSTSAAVGMLSLALF LGRAATLGYWVHMVDVSPAHAGHIMGISNTIGTVPGIVGNLFTGWMLSRSNNNWDVVF GVVAAVLVGGAAVFHCWATDHLDDDGDHAKKDLMATNLLDSDDDELHLERDEFFI H257_02311 MMLSALRRRSFSSRAAASRPGLLHVGCNIRRDDPPSKQYVPNAV SVSLRSPIVQVFGSNTDVGKTIVSAGLCRAAVQSSATSSVGYIKPLQTGGDSMMDARF LHSHMDASRLTCETLFSWDTPVSPHLAAQLEQKSLTDDILVHRLSDAIHKLQPTASTL MVVETAGGVCSPSASGKFQCDVYRPLRLPAVLVGDGKLGGISATMSALDSLLLRGYDV PWIVLIEQDDLGNAAAIADRAAELNISVFALPKLPPQPTPLHDWYMAQDEIFRSLLSA ISTYHQRRVDRLHAISSQAQDILWWPFTQHKQNRGVLVIDSAYGDSFATFDAASTSLE PMVDACASWWTQGLGHGNPKAALAVATTAARYGHVMFPENAHEPAVQVAAKLLDTVGR HWASRVFFSDDGSTAVEVALKMAFRTFITSSSYNSTTDSNKQLVVLAQTNCYHGDTLG TMHVAEPSVFNLSQHPWYKPKAIFAAPPTVSLSAVSGKQGVTVTWPEVDPAFALHLES LDDLFDPTSRDATAAAAAYEAYVTDLLDHHVPPHAVVGALVLEPVLIGAGGMLFVDPL FQRTMVLICRRRGIPVVFDEVFSGLWRLGAPSGRDLLHVHPDISCFAKLLTGGLVPLS ATLAADHVFQAFHSHAKADALLHGHSFTANPVGCAAALTALDMYDSLGQDDATPRVYW DPATVAAVGQSTRVVRAFQLGTVVVFELASEGKGYEATGAQDFIRHLRTDGIYARALG NVIYIMCSPLTTTDVCRQVLQKVAKVVLG H257_02311 MSALDSLLLRGYDVPWIVLIEQDDLGNAAAIADRAAELNISVFA LPKLPPQPTPLHDWYMAQDEIFRSLLSAISTYHQRRVDRLHAISSQAQDILWWPFTQH KQNRGVLVIDSAYGDSFATFDAASTSLEPMVDACASWWTQGLGHGNPKAALAVATTAA RYGHVMFPENAHEPAVQVAAKLLDTVGRHWASRVFFSDDGSTAVEVALKMAFRTFITS SSYNSTTDSNKQLVVLAQTNCYHGDTLGTMHVAEPSVFNLSQHPWYKPKAIFAAPPTV SLSAVSGKQGVTVTWPEVDPAFALHLESLDDLFDPTSRDATAAAAAYEAYVTDLLDHH VPPHAVVGALVLEPVLIGAGGMLFVDPLFQRTMVLICRRRGIPVVFDEVFSGLWRLGA PSGRDLLHVHPDISCFAKLLTGGLVPLSATLAADHVFQAFHSHAKADALLHGHSFTAN PVGCAAALTALDMYDSLGQDDATPRVYWDPATVAAVGQSTRVVRAFQLGTVVVFELAS EGKGYEATGAQDFIRHLRTDGIYARALGNVIYIMCSPLTTTDVCRQVLQKVAKVVLG H257_02312 MIMTVRGAVAASAIKPGGILVHQRVLQKETTVVDMDIAAEDLME LREHPAEKGNLVLSNETRAYRELERLSLVQSNCVVDIHGRDERDVVRLKRMAEQLDLH ILASTSLNDTTTSTDVSALAHQLVLDLQYGMDNTTIQASVIYQRTSLSPANPTILRAI AQAQQVTNAPVYFSFDSDPSASYPTDRLIYLLHWRSCTNMIHMAGNLRRVVLCHCDLW WRHPAALEHVATTTNVWLSFDLVGLSAVSDHLPFLASSSNAVCVPRDFEIAQCVQVLL TIHFNHVLISSTVTQTIQYHRNGGGGMLHALSSSFQTKLFHGRRGTAAQHQQLWHTIT HHNPLLLLQGYVKPPPSVIPKDFIPCSICRLEFEPVVGEYFTKFEFVYCSTKCLRRHR VAGFGPVDQVQ H257_02313 MVMKKVKDVLIVGGGVMGSSAAYHLAKKAPHLKITVLERSFAYK RASAVLSAGGIRMQFSERPNIEMSQYGIHFLKNAGELLHVPGHDAVDVQFTEGGYLFL ASGRGATVLDQNHATQRSVNAKVALMTPAELTGRFPWLDTTGIARGSLGLQDEGWFDP WSYLTGLKRANLHLGVEYVEGTVVAPMAKTKGHDHVIIDHVTVATSQGQLVQFAPGHV VNAAGAWAHDVAVLAGVSDLPVRARKRTVFVFHCPEAATWTGTMASPLVIDPSGVYFR REGAAGQFICGVSPAAADDHDCDGEDLLQPDHALFDDVIWPTLAARVPAFNELKLVNA WAGFYDFNTFDHNAIVGRHPDVPNLLCINGFSGHGLQQSPAAGRAIAELIVDGGFTSL DLTCFEFDRIRNNKPYFEQNIV H257_02313 MHSINYVRSVNAKVALMTPAELTGRFPWLDTTGIARGSLGLQDE GWFDPWSYLTGLKRANLHLGVEYVEGTVVAPMAKTKGHDHVIIDHVTVATSQGQLVQF APGHVVNAAGAWAHDVAVLAGVSDLPVRARKRTVFVFHCPEAATWTGTMASPLVIDPS GVYFRREGAAGQFICGVSPAAADDHDCDGEDLLQPDHALFDDVIWPTLAARVPAFNEL KLVNAWAGFYDFNTFDHNAIVGRHPDVPNLLCINGFSGHGLQQSPAAGRAIAELIVDG GFTSLDLTCFEFDRIRNNKPYFEQNIV H257_02314 MLSSNDLHDPNTCPACGDWLKSHKDVSADQMDPAAVHAELEKLR SINHQVEAHDKSAKQKSASGVANTIAWLQQHQEEKKKSSGFIHSLLHWFRHDDDDDKS TSHTPLLPLEWAQSRIDVDWTERSYAVLNAPFYADMSNARRILLEGKLRTTATDAVTA EFKTWVAEFMGQLGQCRHLKDELLEEKAHVANNVIRVRTIYDQVQSLYEETLLLDAKS PEYEAALVALFDEVDATLADEAAAVSEANPLVMTPDSENEAFSNLFANVEASDDKNGM VVAKLVAWMKNNLPRTDFDAFMALFDNQVKHQLGGQWMQTYAEHLQRLEPFAIEFHSA FVYSRSRVDVVAPVHDPTQP H257_02315 MSTTDTFTVTTAKVFDIAGGLVAAHHATASNDRLLWGDPTPWET YVKVALNAPQSKYVALTGENYYDMIRKVWDNAGRTRTGQGSFTLQLFVYLEKHEEVGI RRATQQNIALSATRVADHVRQHDLQLGPLQTEYVNISTARLPATSPVEVPTNTTMQQL GHMDLMAQRHAEERAHHVQMDEQPYRCVRMRLGALTSPPIDCFISVEDLREILGLPSY PLVPPFRVPLQPTNAPRVNIEDVDHIDS H257_02316 MCPAAATSIIIASTSAVPPTPPPTLTCAHPQTRSKTLASLNPAA TKDESADHHRITKNRALVARCKHPVTVPCMKHTLAWLHSHDLSHHTIPSSFLQSLLHW FHDRPGNTTPPLSLGWGSGNLTFSWSDRSFGVLNAPLYADIAVARRILTAASEEGGFI SATRSQRIEFSSWFAEFLDAVRSCDELKHQLLVEKARLSSKVTQVTTSYDRVRLIYEG AQKHDVAAYGASLLQCLDEVDAVLRDEERAVDAVNLTASTETLENAAFSLLFGRLNKR TNDSHVYVAKLMAWMRQTLSRDDFDAFFQLLQPRVKESLAKNWKTYARHMQRLEPFQG SADGGTSGGKGFTRSRAVSSDQSHNQQHQHP H257_02317 MASTTDSSVLRSKDLFTLVTAFQAGWYADTRILLPLFSGKFSVV RQVGVEATEAVAPGGGLLCTSDESLRRFDKVWAPWYDTHHGLRGVRRLLRCRDSCRYV LYVHACCFGYVDVVMELVATWPMCTKMPRYLDLSAWNGQLHVVEYLQEHQYDECATTA VDWAARHGHLKIVMFLNGPVTPPEHCTTMAMDWAAEGGHLDVVTFLHHHRTEGCTTDA MDWAAQNGHLEVVVFLGTHRLEGCTTLAMDMAAQNGHLEVVQFLHDRYTHVGCTTDAI DLAAMHGHLAIVQFLTENRPEGGTVDALNWAAENGHLGVVTYLHPQVYDSHAVTVAMD GAIQAGQLDVVKYLYDFRVDPLNMWALAIARERRHRHVLEWLHWAMNPISHQVQPDGR GCI H257_02318 MPTMMSPTATSEWTENQQTLQEVVEKDAQAMRLVEQMTTLVAID NQLANCHASRPVTQDEVERTIVWLQQHQDKKSSHFIHTLLHWIKDKDDEEESSPPESL PLTWGSTSLSFSWDEQSFGVLNAPLYVDMLHARRLLLSGGLLRASEADKIEFSKWFEE FLDHLTLCDVLKQRLLQDKGQMTNKAIKVATQYHLVQKCYDESLWVPAGAPYSDKVLQ CLDEVERVLRDEEKAVGEANLIVMTPALEMEAFSNLFSHIRADAEEGTFVAKLVAWMQ HALPENDFEAVYSFFPPDVKASLAGVWMQEYAEHMHRLEPFDVDFHYSVVYPRSRADI VDSAKAIGI H257_02319 MNTQLINAELQPPPNLIPNPTPAESTPAAAAVPAAEPSSTPIPP PPGLATSSTLAASPAIPMQTPVSTAPAISTTAIAPPADLDDAIDSTSEGSATVNALGD EWRNKENDRSARQHIARIILSLIMKSANRPASNNYNPREIARRLEHTLYMNSSSKEEY SNLTTLKVRLQRALDTSRRRQQEAQLKQSGGAPPAADASAAPSAAGAQKAAPATPSAG GPIKPPPAAHAAQLKAVHSQAMQMQLQKAQFQAQMQLLNKPQPPPPPASAATATSSSG GGASSSNILEELEREADEMAGGISDQPLEMPTSELEAASSVAPTTSTNANMLNMPLNM LNMMQNRGVPYGMNLLNGNAPYVHPQLQLQQLQQMQMQQLQLQKLQHMQQMHHMQLNA AAKVTTTGTTGTTTAATHLPQAAAAATQGGGPTQPLAPPIIPSSSSSTAGVALSMPPG LAGEVTPKQRREEFRKRLIQLKHARTCQSTPCDELFCAKVKVLLTHVSNCSDAECTTI GCKSTRQLLSHYRKCRDMQCYVCTAIRTQNPTNQAFVLRRQQDRLCMLRHASTCAAPQ CPMAYCVDMKVLWKHICDCRQTQCPTDHCISSRYVLSHFKQCQKPDCGVCVPVRHAIK IIDSTKTNPQELQALASSCPNEVKILIRQMTTVPISIPMQHQQGGGLTMMGQQSIGDM KPPQSMHIMGAAAPTQAAAAKQEMPLGPPANLTAALAAPATKAPAKPKAKSKAGVSGA AALGTPTQATGKPVKSEGKAKKGELATPVPQTGAAASKKPLATDKEKKEIRKLKAEKA KERQQTKLGVKPAHPPTAGISAIKMEPEFKLPSVMPASSPTNVLNNEQDISYLDSMTE AQLDEHIKSLRFNFCGHISLVELKNRLLPLLTAIMETEHGWAFNTPVDPIQWNIPDYL DVIKCPMDLGTIKKRLESEHYVSVDSFASDVRLTFENCIAYNSSTNKFNQAAKQLLVQ FETALMALKEQLESELKSRCEQRREEMCQLCGGDSFKFAPCMLFCSGPCTGRIRRHTH YYSDPRGEFHWCSSCFKQMKDGPLDMTLLPVSATASTADAPLTKALLLKKKNSEVAEE PWVACDTCKLWYHQICGLFNERNHAISGEQELFVCPFCTIKARQAGQAPQTKFPLQAK RLPMTRMAARIEKRVVDALAKAQAEELARLNSLGNVESDGTSALHPKTATPVPSFAIT IREVLSVDKQVQIKQRMAKQFVAKESVKASDGAAVKRSKSNGDMSVKKVKVEAMDPSK ASSAVVKKEKKDKFELTYRSRCVCVFQELDGVDVLIFTLYVQEYGEMCAEPNRGRVYV SYLDSVAYFQPKKFRVLMHQQVILGFLDDAKMRGYHTAHIWSCPPLKGDDYIFFCKPD NQKIPKAARLRSWYSKLLQGAKKEGLVYNISNLYAEYYMKRKTALELPYFEGDYWPRL AEDLIKQVEDKTKPPTKPSQRKSSDSAASSDYSAIPPDVSVPAATTSTKHQSGKHKGE VNMDPIMQKLKAILEPQKEDFFVVDLHPRCHKCNAGVINRPYWILKTLVPSPDGINDV IEALKKAKSAAVKSSMLPRHYHHYYCASCYDSSKNTLQSRVDASIVGAKKAQDIYAAV QAANSDPMTFNYAGHDILDVKYELQLTSSVSSLLLEGEPPRPNLALEPDTDELMPCEI FDTREAFLMYCQNNHCQFDQIRRSKHSSMMVLYHLFNQGTTGFTFTCHKCSMTLTSGK RWNCSICLNFNLCEGCRAKTKHEHPLHAFQIVSIPQPKHKTSAEIDMHNMEGSSILLD TASSSRKKNAKKRPGPKKGKGKGGHAGSSKKAKMDASSQPPDEPALATPAMPFPSAPP PTASTSALPHSVATAVPSTPATTGGVVTTTTPSVSTAAPVAPPSVAPPVAAPTTPTGP SASAASVNQQRIHNVDPQLLVQLEHASLCKLSDACTYTNCNRMRAMLKHGATCEARKV GPCQLCKRIVGLLSAHAKQCVKPISECQVPRCTEIRRLVEQSQQKQAAAAAAGGGPVH PLQQ H257_02320 MQRVAGVLAIALLGTALELTNPVHPAREAVTFVFTGVEDASPVV KSIQDAVTLATVAADIPLERQSNNEAVFTLDGALAIPGLYKLLVQDGKASKVFHATLT TSVSLSSAVVQGINLPFGSTLSSVVNLTPRDTFSVEVELQDASTLTPVVPHHAVLRFA HESSVDATIVLEPYQATAMRAVVSVASRGLLSGLHTVSLVVGDIHFHNALAWELGQIN VELPPAPPASPTPLYTTPLLHTSDTTLQALPEITHIMRPPPTTPPPAISIVFTALVGV PLVAFVGGSLRASSLPKLPSSVAGIVWSVAFLVSLLSVLALFGLYWLQLDMFTALGYL GVLGPVIVVTGQYALAHVAARTTSPRPKTD H257_02320 MQRVAGVLAIALLGTALELTNPVHPAREAVTFVFTGVEDASPVV KSIQDAVTLATVAADIPLERQSNNEAVFTLDGALAIPGLYKLLVQDGKASKVFHATLT TSVSLSSAVVQGINLPFGSTLSSVVNLTPRDTFSVEVELQDASTLTPVVPHHAVLRFA HESSVDATIVLEPYQATAMRAVVSVASRGLLSGLHTVSLVVGDIHFHNALAWELGQIN VELPPAPPASPTPLYTTPLLHTSDTTLQALPEITHIMRPPPTTPPPAISIVFTALVGV PLVAFVGGSLRASSLPKLPSSVAGIVWSVAFLVSLLSVLALFGLYWLQLDMFTALGYL GVLGPVIVVTGQYALAHVAARTTSPRPKTD H257_02321 MSHPNNNPTVQQQPEEPLTYANWTEYFDDDSGKPYYHHSETKEC VWEAPEAFRVEKARADVKKLFDPSQQQQRVAEKEQPDSTSVDDTLPEDGSSETAAVAP VPVVPVENLEETYKKMTQSEKVATFKALLKSSGVMPKMKWNEAMKLVVNAPAWKVLSS VGEKKQAFAEFTTQLANELNVEKRRKQKTARENFLKLLAGNDRVTSQTRWGDLLDESL GLVTDDRWKDVEDDTERRDLFSTYIADLSRNEREFKRQQRDAHRRTFLAYLRDPAQHP DVPVTIATKLHEVRDQLLAHESIQALELHRADVQEWFNEYMDELRHDEELKKREERLQ LRKREDELAAAFKAFLLQEVAAKRLTTASRWRECWAGYEANATYCELKKLHSGMPRDI FEGVMDRLHDALRDERSWLKHVVARAEFVVKYNSTLSLFLQHIHDTVAKLGLEDEPGA VPTPRQSFFASLLDEATVPPSVVEWFQGAHARELERYQRMESERIKKVEAFEEMLMEY YFRSDHLTTSWDQARVEVQHRSAYRALDDGAEVAFQQYMQKLQKKMESLTKSRKAVDA TEQPPATDEARPTSRSTSRSNKKKKRDDRSRSEEDRKRSKKSSSKKSSKKKHKRSRSP P H257_02321 MSHPNNNPTVQQQPEEPLTYANWTEYFDDDSGKPYYHHSETKEC VWEAPEAFRVEKARADVKKLFDPSQQQQRVAEKEQPDSTSVDDTLPEVDTTTPPASTS TKSEPTAPTPERKDGSSETAAVAPVPVVPVENLEETYKKMTQSEKVATFKALLKSSGV MPKMKWNEAMKLVVNAPAWKVLSSVGEKKQAFAEFTTQLANELNVEKRRKQKTARENF LKLLAGNDRVTSQTRWGDLLDESLGLVTDDRWKDVEDDTERRDLFSTYIADLSRNERE FKRQQRDAHRRTFLAYLRDPAQHPDVPVTIATKLHEVRDQLLAHESIQALELHRADVQ EWFNEYMDELRHDEELKKREERLQLRKREDELAAAFKAFLLQEVAAKRLTTASRWREC WAGYEANATYCELKKLHSGMPRDIFEGVMDRLHDALRDERSWLKHVVARAEFVVKYNS TLSLFLQHIHDTVAKLGLEDEPGAVPTPRQSFFASLLDEATVPPSVVEWFQGAHAREL ERYQRMESERIKKVEAFEEMLMEYYFRSDHLTTSWDQARVEVQHRSAYRALDDGAEVA FQQYMQKLQKKMESLTKSRKAVDATEQPPATDEARPTSRSTSRSNKKKKRDDRSRSEE DRKRSKKSSSKKSSKKKHKRSRSPP H257_02321 MTQSEKVATFKALLKSSGVMPKMKWNEAMKLVVNAPAWKVLSSV GEKKQAFAEFTTQLANELNVEKRRKQKTARENFLKLLAGNDRVTSQTRWGDLLDESLG LVTDDRWKDVEDDTERRDLFSTYIADLSRNEREFKRQQRDAHRRTFLAYLRDPAQHPD VPVTIATKLHEVRDQLLAHESIQALELHRADVQEWFNEYMDELRHDEELKKREERLQL RKREDELAAAFKAFLLQEVAAKRLTTASRWRECWAGYEANATYCELKKLHSGMPRDIF EGVMDRLHDALRDERSWLKHVVARAEFVVKYNSTLSLFLQHIHDTVAKLGLEDEPGAV PTPRQSFFASLLDEATVPPSVVEWFQGAHARELERYQRMESERIKKVEAFEEMLMEYY FRSDHLTTSWDQARVEVQHRSAYRALDDGAEVAFQQYMQKLQKKMESLTKSRKAVDAT EQPPATDEARPTSRSTSRSNKKKKRDDRSRSEEDRKRSKKSSSKKSSKKKHKRSRSPP H257_02321 MTQSEKVATFKALLKSSGVMPKMKWNEAMKLVVNAPAWKVLSSV GEKKQAFAEFTTQLANELNVEKRRKQKTARENFLKLLAGNDRVTSQTRWGDLLDESLG LVTDDRWKDVEDDTERRDLFSTYIADLSRNEREFKRQQRDAHRRTFLAYLRDPAQHPD VPVTIATKLHEVRDQLLAHESIQALELHRADVQEWFNEYMDELRHDEELKKREERLQL RKREDELAAAFKAFLLQEVAAKRLTTASRWRECWAGYEANATYCELKKLHSGMPRDIF EGVMDRLHDALRDERSWLKHVVARAEFVVKYNSTLSLFLQHIHDTVAKLGLEDEPGAV PTPRQSFFASLLDEATVPPSVVEWFQGAHARELERYQRMESERIKKVEAFEEMLMEYY FRSDHLTTSWDQARVEVQHRSAYRALDDGAEVAFQQYMQKLQKKMESLTKSRKAVDAT EQPPATDEARPTSRSTSRSNKKKKRDDRSRSEEDRKRSKKSSSKKSSKKKHKRSRSPP H257_02321 MSHPNNNPTVQQQPEEPLTYANWTEYFDDDSGKPYYHHSETKEC VWEAPEAFRVEKARADVKKLFDPSQQQQRVAEKEQPDSTSVDDTLPEDGSSETAAVAP VPVVPVENLEETYKKMTQSEKVATFKALLKSSGVMPKMKWNEAMKLVVNAPAWKVLSS VGEKKQAFAEFTTQLANELNVEKRRKQKTARENFLKLLAGNDRVTSQTRWGDLLDESL GLVTDDRWKDVEDDTERRDLFSTYIADLSRNEREFKRQQRDAHRRTFLAYLRDPAQHP DVPVTIATKLHEVRDQLLAHESIQALELHRADVQEWFNEYMDELRHDEELKKREERLQ LRKREDELAAAFKAFLLQEVAAKRLTTASRWRECWAGYEANATYCELKKLHSGMPRDI FEGVMDRLHDALRDERSWLKHVVARAEFVWPSSDSRTSRARCRRRGNPSLRPFWMKPR CRHRWSSGSRAHTPVNWSATNAWKVSASRK H257_02321 MSHPNNNPTVQQQPEEPLTYANWTEYFDDDSGKPYYHHSETKEC VWEAPEAFRVEKARADVKKLFDPSQQQQRVAEKEQPDSTSVDDTLPEVDTTTPPASTS TKSEPTAPTPERKDGSSETAAVAPVPVVPVENLEETYKKMTQSEKVATFKALLKSSGV MPKMKWNEAMKLVVNAPAWKVLSSVGEKKQAFAEFTTQLANELNVEKRRKQKTARENF LKLLAGNDRVTSQTRWGDLLDESLGLVTDDRWKDVEDDTERRDLFSTYIADLSRNERE FKRQQRDAHRRTFLAYLRDPAQHPDVPVTIATKLHEVRDQLLAHESIQALELHRADVQ EWFNEYMDELRHDEELKKREERLQLRKREDELAAAFKAFLLQEVAAKRLTTASRWREC WAGYEANATYCELKKLHSGMPRDIFEGVMDRLHDALRDERSWLKHVVARAEFVWPSSD SRTSRARCRRRGNPSLRPFWMKPRCRHRWSSGSRAHTPVNWSATNAWKVSASRK H257_02322 MGNAATTCFEHMNAPPPGPSQRPADVHLSLEQVESTCVDGDLVL CRELVYNPHMMTTLNPVVVNGIATASVSGNFSTKHRDLPAWTSCGLLVRHEASLHVLE ATGAGIRLTPLKHKIHTMASARQNRFCIRRLQPSHAHVIPALRRLLGIFAANAPCTWQ QAITSTQPDIQSIIGRVLELIKGSVAPLTSAELEMVRYAFNKHDVECLGWLFLEDFAK VAAEIDDMELFKHHPTWQDAVVSSLQGNDDPTKFTAVSLVTAAQAISRRHISTEYDVT LYSSTALVASLFEAVDLLDRVTSAALVPSVFAETADPTKELHIRPDKGTLGKEVHVLP PTTAHPDDQADMNFTMILRQSWRSWHAT H257_02323 MSTDATSAHLVEGVLRGRQLFQARRFSAAEATWRECLRAAFAQG NHAAMFVLSKNLGDVCANHIEAIQFFEYALEVATTCGVLRDPRLRSSIASIAVAMQSL DESIDTCDGCGAIDVRVLPPTTHFNGADSVLCFRCSELALQGKDNPDLDSSAITCATC AQSFPHHALKLDVDDQHYCHACFDAYYGDDDPTPSWDLEEDEDVSDDDGMLCAGCLRA DGTLDGGNGLVYCSDCFIAIPSHGLTSVPKEATIPATTSNGRHRDNKPTIPAAAPLQS LESTPLHHPILSTPSSVHAAGEEIPTTAVQKYTKSFLLSLRDSAQSKVVPRGVEMSPV YILGSQQRLKGGPLRGKPTASTIIPAASLATTTATNLEKEDHESNAVVDTTQRSALPM PKAEFARHVLAVDEATVDAVRSILSTRLNE H257_02324 MGRRSSSLLVFISALACVVASLHWSPFGTVLGTTDGNVDVYSCH LEASQKALDQDANYVNGTYTGVRWQCVELARRYLLVNFGVVFGDVDYAFQIFDLDTVV KVADGSNFALNKFVNGGSVRPERGSLLIWDPTGEMGITGHVAVVVDATDSYVDIVEQN VEDTIWPTGQRYSRRLKVKQNSTSYFVEKWYDEEHLLGWATVDLAKPVVLNSTTSTLP STSVVLSIVGISAAVVFVVLRKRRHDYALMR H257_02325 MTIGVLLALIMALSSITLAAAGFIESGKMILLATCPQTNHSDDG TAPTVCLRKEATGSILTVSQDDPNSLRLNHHNISSIQGFPAHPHTIDLSSNGLRSINV ASTSQVKVMSLNLRHNEINATGVVNLPPTLHFLDLGYNAISRMDATTTFNWTRLPQLT TLILTGNNLTTINRPSFPTSLTTLDLSGNNITSFIVGARTWAQLTRPGVRLLLSMTSE GMETASSWCPNSTAFAITRVVTADVNAVVCIIFRAKDRPAVTTLVPATSPPSITMFSL PLLVINVVVGLFVMLVASFVVVKVMERQHARLARAHEAESDTCTSSGADDLQAFEYRL SLSPHRTR H257_02326 MKAHSQHKLVMVPRLQEAKQVFPAVSDSHRARYSRRQCNGTGPV RGSCCLGGHDNNIDVIYNADQTGVNYEYLPTKRLNSMKNHVIWIKCSGKIKDRATVMV MADPTGKPFSIVSGVEDQGIKDQVRRAGEPGATLGITADVPWIRPMKARLRQKWMDSV RRQVLRSKAKKETFQLQAPKRPTLVQWITESRSGLD H257_02327 MEAVLPAGRNVWVRVESAYNSSSYPVRDVDALKSKFGLLRNHAQ PTGDPLCPQDVVRVKRISRHTDAEAVVLSPNELEQSVPEVSQVRDDRPSQDECPSHTG LNVIALRNPSQQLKRITAEGGMQSYVANKRRSIDKFIYGAAEVFAIQEGKDTGDKGFA LLRMACPESLGLLLWPLDAWRGSSTVHRQRG H257_02328 MKFMLLVVLLAGIASVYGDSFSLSACSGLMRQPANATSSSVACL QRLDGAIKVVQYNGTHLDLRNQNITSVMSVPRGLISIDLSGNNLATINLGDSALESIN LSGGQVTSFTVISLPATLRHLDVSRNSINQLSINWARFVKLTSLNFSSNRVSYINKPV FPPSLRSLDVSNNPIYMADFDVATYTLLSGINVSYLLDPQSAQMVASTCRDVGDLRRL GFSGSVVCVFDKSRDVIQDDMFVSLRRLTLVSLFLSVTLVLGYAGLHWYRRRMGLNEE HALDRGRETLSSSACEAYDAEPIQYIQALTPQATSAAASRSR H257_02328 MKFMLLVVLLAGIASVYGDSFSLSACSGLMRQPANATSSSVACL QRLDGAIKVVQYNGTHLDLRNQNITSVMSVPRGLISIDLSGNNLATINLGDSALESIN LSGGQVTSFTVISLPATLRHLDVSRNSINQLSINWARFVKLTSLNFSSNRVSYINKPV FPPSLRSLDVSNNPIYMADFDVATYTLLSGINVSYLLDPQSAQMVASTCRDVGDLRRL GFSGSVVCVFDKSRDVIQDDMFGSTLPLVMRQSILPHKSVVSLRRLTLVSLFLSVTLV LGYAGLHWYRRRMGLNEEHALDRGRETLSSSACEAYDAEPIQYIQALTPQATSAAASR SR H257_02328 MPYLSFGSSHVVVRSDLSGNNLATINLGDSALESINLSGGQVTS FTVISLPATLRHLDVSRNSINQLSINWARFVKLTSLNFSSNRVSYINKPVFPPSLRSL DVSNNPIYMADFDVATYTLLSGINVSYLLDPQSAQMVASTCRDVGDLRRLGFSGSVVC VFDKSRDVIQDDMFVSLRRLTLVSLFLSVTLVLGYAGLHWYRRRMGLNEEHALDRGRE TLSSSACEAYDAEPIQYIQALTPQATSAAASRSR H257_02328 MPYLSFGSSHVVVRSDLSGNNLATINLGDSALESINLSGGQVTS FTVISLPATLRHLDVSRNSINQLSINWARFVKLTSLNFSSNRVSYINKPVFPPSLRSL DVSNNPIYMADFDVATYTLLSGINVSYLLDPQSAQMVASTCRDVGDLRRLGFSGSVVC VFDKSRDVIQDDMFGSTLPLVMRQSILPHKSVVSLRRLTLVSLFLSVTLVLGYAGLHW YRRRMGLNEEHALDRGRETLSSSACEAYDAEPIQYIQALTPQATSAAASRSR H257_02329 MRHAMTSAWLVIACVSGATLGPCARNSSVMDRIHAQSTLCLTYE GNNETVMVDPTNSSIWNFSAMHIDVVEALPVTADIVDMSFNKIQALPIPTFNASIRNL NLSYNNINTTTSLAIPANVTVLDLSFNFLEGCSWLAPPLQLTSLYLRGNNLRHTALDA STLPPLLVFLDLRDNPHLVLTLDNSTLARVTGANFTLRLPNAQLTSTSTYCANGTRPV VVHNTMMCVMNESNSNSLTSTKNYTPMYMLVAVCTVFFALVAIRFSHCSAYDDLPREQ RMATPASNSMVLP H257_02329 MSFNKIQALPIPTFNASIRNLNLSYNNINTTTSLAIPANVTVLD LSFNFLEGCSWLAPPLQLTSLYLRGNNLRHTALDASTLPPLLVFLDLRDNPHLVLTLD NSTLARVTGANFTLRLPNAQLTSTSTYCANGTRPVVVHNTMMCVMNESNSNSLTSTKN YTPMYMLVAVCTVFFALVAIRFSHCSAYDDLPREQRMATPASNSMVLP H257_02330 MYAYCTEYVNDTKPAISTATTTNDESFIDNSSDVAWRFLLGCVI PNAPTPSQMSSRNSDDEMKSNAYISLSHGATLPGTVDHVCPRCPTDVASICSQNKSAS RSACRGSSLATAFFAARMLEVNRSSAKMSYFTLASGTNCTFTIACASC H257_02331 MAALLANLAAGASLSSHAAFMDINNVATSKTKAMVSDMSFNEDP DEMSATGTDCIRVTQSQRVVACFKHFITYSTVL H257_02332 MQSTYREQGADEEELDLVGVEVSYPKLSFLQRIFVVLRPQWQRE AKQYLTLQECSGSLGDLGTFLPLVTALSVTKQIAFGPTLFFAGLFTMSLSTYFEVPIP VQPMKTISAIAIAEKYSQAEIAASGLVIGLILFGLAITNAVTLVAKYVPFSLVRGVQL GVGLSLLQSGVKQAYVHSSTLSVNNATLALVVKRSATDLTWWGADSIVVSIVMVLLCL GFMHNKKVPTALVIFAYGVIVAAVRYNTEQDRLKLPALVWGPDFSHMPPFPRADDFKN GFLHMVLPQLPLTLLNSVIALEQLAADLFPTKPRPVASSKRICFSLGFGNLLFSGFGM LPMCHGAGGLASQHAFGARSNVAMMFLGLIKVTVALLLGSTLVQLLQDGIFPSCVIGV LVMFAGVHLSIVGLDLDTQKNKGDVVVLLVTAAASLAIDTGVGFLIGGVTYFVLRFVV NDATAYKMQAQG H257_02333 MKHIVASVTACIGATASHREKYVVCVTVQHKLPATLWYTYKLHG DFVQLFHDLVDLAAHTSCAQECSVGCALASLAGLLDPLATTKLDNLNAFVQKIAGMTR SSSEHADVCLLRAKVDAALKAFLEWREVDASGRGGLKRQLSLPSLLIAYNNQQPDCLV MNQPSKFGGSHSYPVKITKLDSTAVLPTAQTPLGVLRKFRVMEATSSTTTQSKFPSPT ISATTCSNQLFQRKAMLNGGQPSAVRGMQGRRRVFTEVNFDGM H257_02334 MSGPIRVLTERVMSRGFEPTVTRLLDQMQSVVRKQPGFISVETW GDIQDHHNHVTLSQWRTQHEYKAWEESVEYKTLRASLVEVLDTPTERTRIFQSPKDEM FLL H257_02335 MAHPGPVDDPRRIKRSFSKPEQVNHTDLLVGGVLFRVPAEFVII SQRHRKAIDPSTFLGPPMVSRRSRACSSGDLYTFKSPSQPTPSPHHRPSTPDDAAVSR ARSSLPTVQELRDFSSSLDAHLCPMCSALNCTCEGPAKAAAPGSPLSRRSVSASHGLN FLTPREQAMHGRYLIRESFANGQYGQVHNGEVMASHDSIVVKVIPKFILQSPDEKQSV IREQVIHKSCRHPHIIRLIDTYQDDEAHYLILERAANGSMEHRIGQSGIVETDAKQLF RQLLLALDYLHSNCIVHHDLKPHNLLLDAAGQLKVCDFGAARAYNKHDAGLPFSGIYG TVGYIGTICHYSRGHFYVCYTTYGWSLIAMAVAPELLQGVPLYTTAVDMFSVGLILFE MLFAYAAFYPPSACLQDDVEFPTPRPSQKHKPIVSADAKRLIQALLQRDPVSRVTAAA ALRHPWFHNNKTDP H257_02335 MAHPGPVDDPRRIKRSFSKPEQVNHTDLLVGGVLFRVPAEFVII SQRHRKAIDPSTFLGPPMVSRRSRACSSGDLYTFKSPSQPTPSPHHRPSTPDDAAVSR ARSSLPTVQELRDFSSSLDAHLCPMCSALNCTCEGPAKAAAPGSPLSRRSVSASHGLN FLTPREQAMHGRYLIRESFANGQYGQVHNGEVMASHDSIVVKVIPKFILQSPDEKQSV IREQVIHKSCRHPHIIRLIDTYQDDEAHYLILERAANGSMEHRIGQSGIVETDAKQLF RQLLLALDYLHSNCIVHHDLKPHNLLLDAAGQLKVCDFGAARAYNKHDAGLPFSGIYG TVGYIAPELLQGVPLYTTAVDMFSVGLILFEMLFAYAAFYPPSACLQDDVEFPTPRPS QKHKPIVSADAKRLIQALLQRDPVSRVTAAAALRHPWFHNNKTDP H257_02335 MAHPGPVDDPRRIKRSFSKPEQVNHTDLLVGGVLFRVPAEFVII SQRHRKAIDPSTFLGPPMVSRRSRACSSGDLYTFKSPSQPTPSPHHRPSTPDDAAVSR ARSSLPTVQELRDFSSSLDAHLCPMCSALNCTCEGPAKAAAPGSPLSRRSVSASHGLN FLTPREQAMHGRYLIRESFANGQYGQVHNGEVMASHDSIVVKVIPKFILQSPDEKQSV IREQVIHKSCRHPHIIRLIDTYQDDEAHYLILERAANGSMEHRIGQSGIVETDAKQLF RQLLLALDYLHSNCIVHHDLKPHNLLLDAAGQLKVCDFGAARAYNKHDAGLPFSGIYG TRRNCSRVCRCTRPPSTCLVSG H257_02336 MQIELCLVIAFTTKMQVSWRGASFVGALVLTAIAGLFMLLTPAL LVLYIFGRRGEALFHFVQQMVQGMWLGNVAILVEQWHGMSTEIYIIGDKSRISCITSA ERAVWISNHRTRIDWMLLWSLGLRTNTLHQLKIVLKDSLRAVPVFGWAMQAFQFIFLS RDWKTDEKALTRLLTHLGRARPNSTYLLFPEGTDLAPNSVIKSNQFAATRGLPPRHYT LYPRTTGWNHMFPLVRGHVDAVYDLTLCYVDHKDTKDQRPSEASLLSGHMPSAIKILL ERIPIESIPLDAASLRQWMDDRFAAKEAMLDQWYTLQTLPPAAERILDHDILRRAHLV QAYWILLCTLCFMMLYQYPLVRWCGVVTIVGHLVITRNGGTDGFLTSRTTYYND H257_02337 MNASGKNERRLPLVFMALVVIGLGNKIFQKLQTIPMHNYPNFIN LLSTFVYIPLSFAYILPMIKYGNAITVDQRRIPKRKFAVMGALDSVAGILQVFAATYL GGSLLILLGQAAIPVSMVISKVLLKTSYSWFQYVGALVVAVGILVVLGPSMRSVDGGD HNTAVWAAVMIASCVPMCLSSVYKEIALGQAELDAVYLNGWIAVFQFILSIPLSIPAA MIGSPPVPPRDLPQNLYDGMRCYMGHNTIVDGPHPDDCDKATLFVTIYILFNVGYNLL IILMLKFGSANLLWLAMTIMVPLGNVAFTFPFMPEHQPLHTTDVVGLVVIMTGLFVYR FLQDIVAARKSSAAESDLKETLMSSY H257_02338 MTTEVARKENSSPRRGREHTSKMNMSPSSPIYEIHDREDNPSPV RCAAAETMMTLFMNSSREAEERLTREQKRDHQTVKKIRAKGNHKKVFGDYNEAATAAS AQTSFKKSKSIASKPVPKLKKVQPPSVEIAGAERTNLNPTVVDVKMETFDDSDDVLPR KIKKKGDLKCVREFDPRLIQENIRMGRYTVENNGLNCEKRARSPERDHDDSAAKFLFT TSMSANLTLEEQLHMVSNPSYSRKEKSLGLLCENFLRLYCNDEIEDVSLDVAASKLGV ERRRIYDIVNILESIHIVSRKRKNLYNWHGLKSLPTTILEMKERYAQEDDESGHESSN SADDGCKGSDAARRRGKSLARLSQLFVKLFLDEENIIIPLDLAAKELIQRDEGLGEIG GNVLKTKIRRLYDIANVLVSVGLIEKVLVPHCRKPLFRWFGGRFNPDVCGIRRWGDGD EAMMKEDDEAAAGVHKVPDRSKPYGGDGSMFASDTESDSDVYSRSTPLSMLFQQGPTP QHQTAAPTTMTDLKPRGGRIKDTARLSSLLVSAQGELISINTTSPQSVAEVGLACLRP HTLDHTPPKSTTTTSSCIAPSRESHTKPSSAA H257_02339 MSKDLLFHSDTSSDEDYKKEKRKRKRLIHGRRKDSDDDVVVVIE QVESAWSCDRCTYANSVGNQTCELCSNPRRTLPATRSTMTTAPPTPRRRSQDVRKSKS KPLVTAATPWTCQICTYINDTPCIKCSLCDAIRPTRTKLPAQPRRQPSMESIDSASDD DFSHHDTSMSSQPSRPFSQGNDRSHPPSIEVDTALWNDKYRPHGMVDLVIHPKKAQEV SDWLVHSTHQRMLFLCGPPGTGKSTLVRSLATKLGMAVKEWQDTTGMSNRQHKAAAMD DFSSFLERSQRYPSLAFSSKASQTSSRHVILVEEWPSFHADHRAELQRVLQRRLDAQG DYAASPIVIVYSDVREGKVTTNMLAKEFSAQVMQSPLVHVIHCNPIAPGMLKRYLTQI ARKERLSVSAQDVAHIVESCRGDIRHAMNTLQFQRTTDSNSTSSNGGRDPFLSDFHVL GKILHRKDLTDEKCRLSQTSLDTGHVLAMVHQNCLPYFTDIDDVARAFDVFSFTDTLL HSVYHDRSNSNYFYHAQGIAQAMLERTMHVTNVHAAPSTFRPLTRSQLYSTSQHAQDT NQWKQGASYHRDVRPYERWLPQTSSAAPTLLVSADDVDDEIVDSD H257_02339 MTTAPPTPRRRSQDVRKSKSKPLVTAATPWTCQICTYINDTPCI KCSLCDAIRPTRTKLPAQPRRQPSMESIDSASDDDFSHHDTSMSSQPSRPFSQGNDRS HPPSIEVDTALWNDKYRPHGMVDLVIHPKKAQEVSDWLVHSTHQRMLFLCGPPGTGKS TLVRSLATKLGMAVKEWQDTTGMSNRQHKAAAMDDFSSFLERSQRYPSLAFSSKASQT SSRHVILVEEWPSFHADHRAELQRVLQRRLDAQGDYAASPIVIVYSDVREGKVTTNML AKEFSAQVMQSPLVHVIHCNPIAPGMLKRYLTQIARKERLSVSAQDVAHIVESCRGDI RHAMNTLQFQRTTDSNSTSSNGGRDPFLSDFHVLGKILHRKDLTDEKCRLSQTSLDTG HVLAMVHQNCLPYFTDIDDVARAFDVFSFTDTLLHSVYHDRSNSNYFYHAQGIAQAML ERTMHVTNVHAAPSTFRPLTRSQLYSTSQHAQDTNQWKQGASYHRDVRPYERWLPQTS SAAPTLLVSADDVDDEIVDSD H257_02339 MTTAPPTPRRRSQDVRKSKSKPLVTAATPWTCQICTYINDTPCI KCSLCDAIRPTRTKLPAQPRRQPSMESIDSASDDDFSHHDTSMSSQPSRPFSQGNDRS HPPSIEVDTALWNDKYRPHGMVDLVIHPKKAQEVSDWLVHSTHQRMLFLCGPPGTGKS TLVRSLATKLGMAVKEWQDTTGMSNRQHKAAAMDDFSSFLERSQRYPSLAFSSKASQT SSRHVILVEEWPSFHADHRAELQRVLQRRLDAQGDYAASPIVIVYSDVREGKVTTNML AKEFSAQVMQSPLVHVIHCNPIAPGMLKRYLTQIARKERLSVSAQDVAHIVESCRGDI RHAMNTLQFQRTTDSNSTSSNGGRDPFLSDFHVLGKILHRKDLTDEKCRLSQTSLDTG HVLAMVHQNCLPYFTDIDDVARAFDVFSFTDTLLHSVYHDRSNSNVQEGTYLCWVRTD WFDALGSTFTTPKGLPKPCWNARCT H257_02339 MTTAPPTPRRRSQDVRKSKSKPLVTAATPWTCQICTYINDTPCI KCSLCDAIRPTRTKLPAQPRRQPSMESIDSASDDDFSHHDTSMSSQPSRPFSQGNDRS HPPSIEVDTALWNDKYRPHGMVDLVIHPKKAQEVSDWLVHSTHQRMLFLCGPPGTGKS TLVRSLATKLGMAVKEWQDTTGMSNRQHKAAAMDDFSSFLERSQRYPSLAFSSKASQT SSRHVILVEEWPSFHADHRAELQRVLQRRLDAQGDYAASPIVIVYSDVREGKVTTNML AKEFSAQVMQSPLVHVIHCNPIAPGMLKRYLTQIARKERLSVSAQDVAHIVESCRGDI RHAMNTLQFQRTTDSNSTSSNGGRDPFLSDFHVLGKILHRKGILV H257_02339 MTTAPPTPRRRSQDVRKSKSKPLVTAATPWTCQICTYINDTPCI KCSLCDAIRPTRTKLPAQPRRQPSMESIDSASDDDFSHHDTSMSSQPSRPFSQGNDRS HPPSIEVDTALWNDKYRPHGMVDLVIHPKKAQEVSDWLVHSTHQRMLFLCGPPGTGKS TLVRSLATKLGMAVKEWQDTTGMSNRQHKAAAMDDFSSFLERSQRYPSLAFSSKASQT SSRHVILVEEWPSFHADHRAELQRVLQRRLDAQGDYAASPIVIVYSDVREGKVTTNML AKEFSAQVMQSPLVHVIHCNPIAPGMLKRYLTQIARKERLSVSAQDVAHIVESCRGDI RHAMNTLQFQRTTDSNSTSSNGGRDPFLSDFHVLGKILHRKGILV H257_02340 MKAEDPNAAAASALDATSAAFEVVHHLDTVNAYYNSFRVAAASL RVSHVAYIQESSSINLKKRKRKASPASLSLRLPSPDLLAKLEAVRRHALPSVPTLLPP YINLATSTTSSTADPPPTKWASSHTSLASTFMDGLQENTSDDDTLIMHANQQYILPRH SAFVLGDVFRLPPLPSIHRFIVMDPPWENKTVARGSTYTTMPHTRLVHVDIPALAHPD GCLLAIWVTNKPTYSDFIRQTLLPRWGFAYLQTWHWLKVAGNGDCVTPLSSSHKLPFE KVLLAGRGSFACTSIPDKVLVSVPLRHSWKPPLLPSVAPFGIDEKGDAKLEIFARELR PHWTCVGNEVLKFQHMTLFELNE H257_02341 MLRQATLRAIKRSTPLVHGMSKQAVASITATSTQCIIGRPAHVP LMSMMMTHQQQQSCSSYQRRMFSTDPYHESEGWLAADKKILERRLKTFGRDFEIKSMA VAAGLNDQEWGPATTQFRRSLVSHPERHFKDTREMHDFVEGLKTNAAAGALQFYPLFL TFVKENAYIADISQDTQSLRELTDLRLPHTWYPKAHAMKRKIIYHGGPTNSGKTYEAL LRLKQANDGLYCGPLRLLALEIYENLNMDGVYCSLITGEEKREIPSATHVACTVEMCN SSAVYDVAVLDEIQLMGDSERGWAWTRALSCLQAKEIHVCGSMEAVDLVKKFAELGDD DFELRTYDRRSPLSIETEALKSYSNIRKGDCIVGFSRRRIFQIKREIEISTGMRCCII YGQLPPETRSQQARLFNEPDNGYDVLVASDAIGMGLNLNIGRIIFDSILKFDGSDMVD ITPSLTKQIAGRAGRSGSDFAHGLATTFNEADLKYLQDSYHEAPALLKAAGLFPSTDQ MVEFAKQMPDTTDLGVLLEKYVSLARLDGDYFMCKFADIKEAAVLLADIPMPLAERFT FCTAPANLRNPLARRIFVDYAIGHGNQRSVPLDVYLPKMAPRNELALRDVEIKAKLID VYLWLSYRFPDTFKMQAEALEMKDRVLELVEEGLVNVTYKKSDDKPKKKVGEWNTDRT KFVRYADARQAN H257_02342 MPVVAAPTPPPPPLATATIAMATAPLPEDPQQNAVAGRDFELPV HEQGWMPKPAVLHAGKSRSCTVCFAPLQYSSVSSWDEYLKSEEYLGHLQLNLLNDTFI RGLICGENYFGDLPTEHRMHPQRRIKCRQNVDDHLSMNSAGLLDSSDKDKSLVRTLEE LLIDGLPTPTFTYVLERRFAVMFHSAKIVSSLMKSVRTQKLPRPQADATPHVELHHVA IHALSTLLGFFDFSGENARAPFVELCRMLSSFPPLSLLSFWSPPPKAPEEEVMLLKTQ VDASHTSPLHPVHSILDSSESTYWLSTVRPGVAYITLSLGSRTNITGLRLKWRSSAHQ PSTIVVQTKARGAATYTSLKEFHSKPSSPFPDRFELPPVPISTDCVRLALSGMPTANK DNTYGIVHLSVLAPMQDTAMAPQAVMADVEAWLVNAALHTNVPSNGDRDSTNETPKPN GGSLADDACLALHTWTLATGSLTALLRLVHLALALRTNDFDVTRRLVCVDSASHVLHA IEAHVCAETERIQLAVPSTESRKVRAGFDATLGSSGTTIEDGGASVRTRETSYQHAVV NSPISTGKASWRFRLDTDTVDDEMTCFGAAILPVTISGYDSSPNLWMLRGYNGNLYAR GHKLSRSIGKVHPGDVVQIDVDMNVGTMSFAINATEYGVVFTDLGGHEVYPAVSFYGS GKVITLQSLHKWGDTSVSSSSMSVRTSGHNAADPVYVSTLPEYEYAVGHGRFGRGNVL GYTGEAASSLNGAADASATSTTATTASISVRSEARQRSLSIHPPARGEAFATYDLGMG YAAVTGGVALNDDVSHEVLAQRGISVVFSLVGDGMLLWKSKPVTTSGHIESFSVAIHK VRMLEFRVSCSGSNHGAHAIWVDPQLTIVDDWVCSSCSFVNKGTAKGCVLCQHLCAST STITATTPEIKTSQATTIQPAASDVFNLSKAITTKEHPALELLSAGPALTNASHPLDE AATALLDVVDRLGQMVVANDRRQVPLEAPFCLQPHMTTLSLLAALIQSHLDTLCTPTH GDKRTDSLSVRRCQLLLQILGRQLTVLDMYTSSPPISPNSTFENVAPPAAVVANIRAL LESLAHVHVANPMSPAKTRAWTGGSGCLVTTLQITAAQTLVDGLSLLYPASWDRTTLL LTLLRSYARDRFDPSSARYLILSRLLALLAMPGDMGVLTFFPDVPDRLHSSQVHEIMT HLLTDEYHSTCVAADALACVQTFQLFLLSQAIELTNKSAVAAPRGEQDHHHAFRVVIQ DMTMRYSELLLTFARRTLDASLEATADGSLSVLRTLLPPLVSGLSLLRRQTWLVRPLL PKLTMVLRVLDVVCGQDDGVRRSERRLLALDQRLASYAVDADDVGKWQLEKNPTRVQK QLYNVFSKLYTGEKDHFEGQIGFQFEAMASFTLVALGRSVHPVRHGGKLLHKHTVRLW DEASQALLGSVTVASTSPCDGMGYAFEYLAVPLRVSHGKLYRLTTQEFANGGDPWYKK ENLPDEEYDRAFIKILRDCYASGSSGFPSSQNLTGAAYGVPTFLVEEDNPMDTLPWIV PVDGLQAVKFNAKRKAASVSIGHVGNTLTVLGESGLWRTVFCTSAIANGVHTLEFVVK SSRVGGGVSGHVCFGFECGVGGDLPVSGAFVGQSRGSIGYMPAIGCLWNQGVCVPFGR REGDISKIVVLGGDVFAMTIDYDRHMVGFAHNGCPIGTDVAIELPLAVVPAVSVYGLH DVVDVRPGGIAKSTLQLHWLLDVLNSTASLAGRFAGTLISGPPIDGVEEELQPWLQST LLSGGLTGPSSSCGSRRNSLVLDVTGTSWAAALKGEGSFLNPRYNLGMAAVPAPPSHP LVYQRKSSSLLNDVPNTAVDDTDLMLRDEAPKLLVWLDKFLPDSIMWRRQETFPHVEA AMMTALIKHAPPHLLHEAKAVLLAGHDAAVAPSADMALVWKCLLTLRHWLIKSKHEYR AKEAAVADNDDQRHSTAVDSTPDELSVWEQKLTLPRSFELFVHHVQVRAEFLCHLEPP ADTPSRWSPEALANLAEKWTAEHPPSLQPMVDRWRSLSLSDRSKWNGLVQVLQAQHKW RIRRRSTTSNSSSTTTTVVDASQPSDNDDVDAPSEDEHGYLSAMLRACDLYVRNGVGA PPDVLYALLERRYTRSESRVFGLDAMKSILNVLSFDSCRSSAVLFLRPALRGFTDDER VARETYLEQPQSLVEGGSLAFRPTVRHHYLKGLEGCTRPVLKRVQDAFMELYAVLAQM LAKASASPTSSTGASSGSIVWQQSLIGAWALDFEPRDHEFLLHVDILGLLTKLFSVAT LSSNARHDIASNDDHSFPHDLVGATLHNNKSPSKSTVVVTEWHPLAEAYVRKGLLVGN LTKRAVLQVMRQAPAYAPKNVSARTSSASSSFKRLVSKHTASSTVLAYSDFLKSLHTK LKWATKQFDLGRKVLQLNLGDDVSVVEFPMIAPAVTSTTSSSFCIELWIHPTELQGYS TLRSEVGFADGSVHVELVDNRLQLAVAGNVPREQLFDHPFACYAWHHVAVLYDHDQHV VDLVVNGRHRQQLVYANTCAKVQWRLARLGSWIPDVTATQVQRKFKGFVAELRVWLGS SRTVADVAANYQRRTAADVGASSVLFSYHLDDGDGELAVCADAAPCNALLTKCHWTRM NVPVWDVSMTTTSSQWQQACGGIQSVQRRFRRWRRVHLTRAMTRIKQAIHHEELHEDL WGLSDESGDDDDDDETAKDMMHVAAPSVQSLVGRVQLQHTAWIVFRLVGIVAISGIRG RVEVEAVEAAQSAKNRRLDKQADHRQQKSVDAASDVAKSAATSTALEVPVAQRLWFSV ELHRKVFEGIERELAAATKLIHDAEKLIRAQQPSMLRSMSTPVHQTSQEPAPLEPLEV EAYVFHLLVFLISQSDTYPAQEHLSKPAVLRELLLLLRMGSPRAQRLVQLLLRQVASV VTPAQIGALLGSDTVFLDLLLDRVSDSICGSDAEPMSQSSMRESLANPLGFNTGQIFL TLAAESVALLRLLLREPAWTSRVSDVLSAAIRKAAPVVTQEAGNPSNLRTRVVVLRAM GALCVLGAHLDCLRIGGKVEVQGVSADEAPSVATLISRTHDTARVVFDDGSKVQQVRV ADMSPIEEIPSRVVSASVAALLMPPLLHFATLQDDSLWRAQLRSRALLALESFLKHDH LLASAASLVATALTPLNFPAFVSTAALQERSRSILSRLIEASTPLGPMMFKGLADPTP IIPDASKRQLSVPPPPPPPPPPSVSAVRQGFAATLASMGFDMDLCLIALEQARDDPNA AVEWLMGDGAITYRRNLASSSTPSSHFQHLLDDTTCREDKARDLQGISGMPMRLVLAA LDICGGDANRAVEWLLEHGRRFSTPLNLHMDAFCQDLSGLHDQAALEVADQDDSLLLE DPVTLLPADMSTVSMGVGAFCSSSLSTPSHHTSLPHTASNNYTPSASVDSSLMTATLA PIVARDRQNGWGPLDPTYLPPNVVLTVSETIGPVAQLARSGTVVASNNTSAHATVLLS FLNTENGALEEEYVCAIKVKRWSKVFDQDLVAVDSIYQVALRTEQALSTCYARRALLE LLSNDSATVLTLMGGPAPFIQMVKLVVGASFAGSNNQIKPVQEAIVRILNDHPTLSSL LVDECISHFVRSTHIAREGNVSQLVPPPPLQYESLHPYYPKSDYVVHVAVPQHTPLPV RVVFDKRSSLLNQATLSFYSDVDCKHLLAVFAGPTKHPFADTWINVRSFWFKFVGNDE SDGATTAYGFRFKVQVLPSMSWANELDVLHHPSLDYACWLLEFLLSDPLLPLLVPCQM ALVYDALVQYLQSPRAPHKHKVIHLLLQLVVVAQTKAPNLTTIDVSPLQRMGDLAIAK AQADIAKGRPFVSTHLLKLVELAVVVVSSSRWFQHHDMPYIAPIAPPLAVPTEATSLL LIIAETMQLAHVLLNASTQRLSQELVVLIWLDMYGASATLEHTTAASDTLTFHGAHSL RLCFDPRFDQRAAVHVEVGTSSSSSTGLVEFVPVEVLSDVMDVVGDVLRYTFTPSTAQ PTMSVTVTAVGMSLERQLARCSVRGIEAMAAQMVEWTPAMDAQLVDWVNFHVESLGEG VHAELLPPDIRLHPTLDGLRCSLLLHLPWSEIQLRYALLRCFNTRLAGCISLLNLKDS EYSWTIAHQLRQLSHCVFLDLKLKLVEAAIEATVVLPDTTASNNGTARITLDRLRALE SREDREVEPSSSECFFAQAFRQLNAVDSKSLRRKIDSKGRLFSVKFRGEEGVDWGGVY REGTNSMVDDLFSTHFNLFLLCPNGQHNTGLNRGMYVPNSKCTSPVAIQMFEFVGKLL GISLRTKGDFPFAFCPLVWKVLLRQPVDAADLEGTDALVVQMLTGIRHCDQDGITTDG QFQSAFADLDLRFTTFDSNGHLAELVPGGGQKRVTFANRVDYCDKVEQYRVHEFDVQV TAMLRGLSTLFPVRVLTLLNWQEMEMLSCGTPKIDIALWKQHTRYDGYTDQDDTVRLF WEVMASFSDEQRSDFVRFAWGRSRLPRGKWPQPFKLTKKAGRDSVLSLPVAHTCFFSV ELPPYTTKERMHDMLLATINFGLGGILIA H257_02343 MRRSVAHALTLCRLFLPTLDAMSFEQLAASSQFHEDLVLRNVHP FGVVLVDPEALPDEPNGPDGAFCATGEYGALEYWERVRQDGHVFFYCKARHTSTWQPP CVHLVQEFAADDPRRYPFPLSIERLDLPPAVKKHHASSTGDSHEDALESGSTPSDLHD ETLSLVEFEEHIDDRFFSFHGTLDHLQGVILRPYFDVIPPDLREHALASLPIDRVHVD AAYHIALHDVVGLEVDLEIVVREALEDDVPDPMVYTVSHFSVEQRMAVADAWADVGTF ALARGNVTTAVNAFRRSVHWIHGHLPAVTQLAAVLAALGYLDDACECVGMLDPPAPVL ASALKSEFSFCPTLHINDETNKFHQLKMMLLQLATLTVILVASLWWVQRQAAVDATLS QRSADKKFTRKPQQPHRGRLKV H257_02344 MQPMAKHIKQLHPLATTSPETRLLALAITVSKTMYDGAVCSNHG QKGHLHADQDLDQTTVGDPRAISPNTSTRDCCQQIHRRK H257_02345 MLGHPQYEKWFKANLRCSQATFSRLIDWIRHELPERYRRLSHHS FKTKVVVVLYFLGSDGGYRETAAAFGMSKSWCVNVISVLVGVLSSSAATWINLPRNSA EWNDVEQVFFARQKIPGVVDAVDGTLIEIQRPKDYDGFYNRNGDLSLNIQAVVHAKTR FMSVDIRPGSYSDKKISKTSSFGYSISWKIPKGCFLLGDAGYTLMPWLITPFMAHEEH GNLSKLQKNFNYKDSSTRMSVECAFGRLKERFRILKTVMNEKSLDQTTTVVTACFVLH NMFLYYNDGLFAIPNRRRDRNDQVQPFDKSESETNPFLRKTA H257_02346 MMALLDRIFILSVAVVLFALAPVATAQERNGGINMGRNDRIVIV GGGPAGVHYATLLVKKGFTNITILEQSHEVGGKSKTVLDPQGGYPHELGTCYATALYQ PVFDLLKEYDPTNTLIPFIPTVKGHTWVNRDANQSVVDYNRYALQLAVQAVGPSPLPK LMATVDAAFASYISLHTSILGVYDYGLPPRPSNWTRLNMTGFEFLKQNKLLVLEGFFR FVFQQQGYGSLDESPAFYMLWWVHPDTIRQKQAADSKGQPWVYVLSKGYQSLWKAMVD KYPSQIDVRVNTKVIQITRTNPIVITVQTNNVVPGIIWADHLVMATDLGYMVTLPSDL NLREFRFSKNLASSAFVVTLFQSDASPIESVSQWWPSRGVGAAEGQLQLTRNSRLALF NPLPAHRFPSDPVATNWGVNATGRQSRVAYQFFNRQVRTSDSAASKKQLLADLADAAF DNATIHTQVVHSYFPRCNLTQLQQGVPWAVWENQGRIKTTWIGSSVSFESVLDVVVYN NNLINRVNMTN H257_02347 MILSETSRRPHYATSPPAPSWSGSMPTSSRQDGLDTEHLNQSSG VGWTYQEALSPTPSLDAEDDIFLKSGITPEMILQSQRNDVSMQHIYSTVFTKENIQKL GFRNLIKQIRSKLGKTTQVPTCEIRVKNVDYTAPNSISDSQIETLASAFRLPSWVGSQ KQDTKYILKNVNAIFKPGTMTLVLGPPGCGKTTLLKHLAGILHVKGNEQVSGSVTYNG CKPSQIDLSSLAAYVQQSDNHYPTLTVKETFEFSHKCLVGTVDPNDPLAVNEQHMVDI LISVFGLSECADTIIGDDMIRGVSGGQKRRVTVGEMMTGRATTLLLDEFSNGLDASTT YDIAKAVRTMAEVLEKTVVMTMLQPPPEVYDLFDNILVLDKGEVVYNGPRTTLPSYFR SIGYECPPRKDVADFLQEVTTHLGPRYATSCDRLIKNKRPATSSEFAARFRESSVFQN LMAEVSQTDKHSWNFATATMSSKVALGYTDCLKVVFGRTLKASLRDGRFNRSRMIQAL VLGTVVGTVFLDIGRNEIVENEKNFVPIKVGLLYLATMFQALATLSAIESIIARRSVL YKQSAFHFFHVSTYAISEAILELLWTIPQVLLFSTPLYFAVGLANSASGFVTFAGLLY LSSTIYSQLFKLITAVSPNAVLAKVYAMLVLFLHIVFSGYILPESKIPTGWIWLYWSN PMSWILRGLCQNEFLSPTPVYEVKVPAQLGNGTQGKFRLGDISLDLFGMSTNPDFTPG AVGVLVGIYLVLLGLTTLAYTHVRIRSRFSTTSADAKATDAVPPDAVAVVFKSSRGGG VNSLPFEPVTLSFRDLYYTIELGKGKDKTTRQLLKGIHGCFQPGSLTALMGSTGAGKT TLMDVIAGRKTAGVIEGDMFVNGHALERHSFNSVSGYCEQNDLHEETATIREAFHFSA ALRLPSNTTQDQRQSFVDDILDVLELTPRANAQYLTLSQGERKRVTIGVELLSNPSIL FLDEPTTGLDSRAATIVMECIKRIAESGRTVVCTIHQPSTVLFELFDKLLLLKSGGEL VYFGDLGSKSIHLLEYFANFGGLEPMASTENPATYMLNCIGAGTGDAKIDVDFAASYI QSNLGQANDALVSRYAEPTTGSKLSSNHMSRLSFGAQFSLLFGRQWTTYWRSPSYNIS RAVLMVFMPLIYVSCFYDMEVKTNMDVLNQMALVFMAVSMICIATMSTAIPFVARSRN VFYREKLSAMYSPAAHSLSLAAVELIYTIVLSSMFFHGFYWLCGLNTDTEAWGVFWVG LASSLVLWSYFGHFLVYSLPTMQIAVLLAGGLASLLFVFSGFMIDGENLAKGWQWLYW ISPLHYTLETVIMSQFKSQFGLVADLVVGKHVPINQYVEGYFHGAFSYDNINRSLGLL WVINAVLQVLILLCMAKVNHMKR H257_02348 MPIVAKSTAALMPKRKRSDSNVEDKPQKVAHKGTSGAQWRASHT IEVIGSNGGYACPDPILAFKDTPFSPAIIKCLEAAGYTAPTPTQSQSWPIALAKQDMI SVAKTGSGKTVGFLLPSFHHIMQQKTSCRDPRILVLAPTRELATQIEVEAKKFSRVQP ALRTACVFGGAPKYNQLNSLAAGVDCLVATPGRLNDFIQMRKIDLSKVSILVLDEADR MLDMGFEPQIRTIIDQIPTNRQTLLFSATWPKSIQKMAREFLRNPVQVNMGDINVLQA NKDIQQIIDICREDEKRDKLVTLMNQIVTMTDSANTDVTLHVKTIVFFRTKRTCERLG QEFWDAGYAVGCLHGDKEQHERTLTMNQFKAGTVKLLFATDVAARGLDVKDVGVVINY DMPGGTNGIEDYVHRIGRTGRAGAKGMSFSFFTSTDQPCAKKLVEILSTAHQDVPSQL ADMAARKGSKGGGNQGGRFRGGGGGRGGGGRGGGNRKKW H257_02349 MSHPGTVTLVLGPPGCGKTTLLKHLAGILHVKGNEQVSGSVTYN GCKPSQIDLSSLAAYVQQSDNHFPTLTVKETFEFSHKCLVGTVDPNDPLAVNEQHMVD ILISVFGLSECADTIIGDDMMMLQPPPEVYDLFDNILVLDKAKFNCLGKWTI H257_02350 MKHVDYVVPVLHSHSHIETLTSAFQLPWFNSKKQNVKYILKNVN AIFKPGTMTLVLGPPGCGKTTLLKHLAGILHVKGNEQVSGSVTYNGCKPSQIDLSSLA AYVQQSDNHYPTLTVKETFEFSHKCLVGTVDPNDPLAVNEQHMVDILISVFGLSECAD TIIGDDMIRGLPWFNSKKQNVKYILKNVNAIFKPGTMTLVLGPPGCGKTTLLKHLAGI LHVKGNEQVSGSVTYNGCKPSQIDLSSLAAYVQQSDNHYPTLTVKETFEFSHKCLVGT VDPNDPLAVNEQHMVDILISVFGLSECADTIIGDDMIRGVSGGQKRRVTVGEMMTGRA TTLLLDEFSNGLDASTTYDIAKAVRTMAEVLEKTVVMTMLQPPPEVYDLFDNILVLDK GEVVYNGPRTTLPSYFRSIGYECPPRKDVADFLQEVTTHLGPRYATTSKDDHSTSVGN RPTTASEFAAAFEKSSIFHTLLSDLDKPETLSPSMLPSSTESKVRLHYMDCLRVVFLR TWTASLRDIGFNIARLVQALVLGVIVGTTFFGIGRNTDVAKEAHLVPIKVSMFFLALT YQALTTISTIDTSMKLRHVLYKQSAYHFFHPSAYVVSDAVVELLWSVPQLVLFGTPVY FCVGLYPSVGAYFTFVLVVYLCAATYALVFKFVTMVSPDAVLAKVVAIFGIFLHIVFS GYVTPAPQIPLLWMWLYWTNPLAWALRALVLNEFLSSTPLYETMVSIGQDVWARVGSS ALEAYGFTTNAAYIPGAIVFLVGAILVLVTGTTLAIQFVRFRPSMPRSDLKSSPPLAP PSQTGSATIKIASHDLNNLPFQPVALTFQSLSYTIDIGKGKNKTSRQLLKDTHGSFQP GSLTALMGSTGAGKTTLMDVIAGRKTTGTIEGDLFVNGHPLDRRTFTQVSGYCEQNDV HEETATIREAFHFSAVLRLPSDTTQDQRQSFVDDILDVLELTPRANAQYLTLSQGERK RVTIGVELLSNPSILFLDEPTTGLDSRAATIVMECIKRIAESGRTVVCTIHQPSTVLF ELFDKLLLLKTGGELVYFGDLGPQSSVLLEYFSQFQGLPPMEPQENPATYMLNCIGAG TGKAKIDVDFAAMYSQSDLAVRNQQLVEQGQELVPLRKNAHSFGTQFVTLLQRQSATY WRSPSYNTSRLVLMVVVPLIFGSVFHGMELTTSMDVLSQLTFIFVATSFLCISMMTTS LPFVSQGRNVFYRESQSNMYAPAAHSLSLAVVELGYSVVLSSVFVHSFYWLCGLDGHY TRAWLWFWAFMTSSVLLWSYIGQLLVFWLPTPQMAELLGGGLASLSFIFSGFMIDVET LAVPSTVLFELFDKLLLLKTGGELVYFGDLGPQSSVLLEYFSQFQGLPPMEPQENPAT YMLNCIGAGTGKAKIDVDFAAMYSQSDLAVRNQQLVEQGQELVPLRKNAHSFGTQFVT LLQRQSATYWRSPSYNTSRLVLMVVVPLIFGSVFHGMELTTSMDVLSQLTFIFVATSF LCISMMTTSLPFVSQGRNVFYRESQSNMYAPAAHSLSLAVVELGYSVVLSSVFVHSFY WLCGLDGHYTRAWLWFWAFMTSSVLLWSYIGQLLVFWLPTPQMAELLGGGLASLSFIF SGFMIDVETLAVVWRWVYWISPVHYMLEGIVMAQYHDQTAPVVDVLTKTNVAIRDFVE GFFNHTFSPDMIGRNMVLLWVVIGVVQLLLLHCMTAINHTTR H257_02351 MRHLISNENAWGLTQHIHCHPSDNLWPSIMNPENTNSSAARPHP TESAANCKSDLAGRNQQLVEQGQELVPLRKNAHSIGTQFVTLLQRQSATYWRSPSYNT SRLVLMVVVPLIFGSVFHGMELTTSMDVLSQLTFIFVATSFLCISMMTTSLPFVSQSR NVFYRESQSNMYAPAAHSLSLAVVELGYSVVLSSVFVHSFYWLCGLDGHYTRAWLWFW AFMTSSVLLWSYIGQLLVFWLPTPQMAELLGGGLASLSFIFSGFMIDVETLAVVWRWV YWISPVHYMLEGIVMAQYHDQTAPVVDVLTKTNVAIRDFVEGFFNHTFSPDMIGRNMV LLWVVIGVLTTSMDVLSQLTFIFVATSFLCISMMTTSLPFVSQSRNVFYRESQSNMYA PAAHSLSLAVVELGYSVVLSSVFVHSFYWLCGLDGHYTRAWLWFWAFMTSSVLLWSYI GQLLVFWLPTPQMAELLGGGLASLSFIFSGFMIDVETLAVVWRWVYWISPVHYMLEGI VMAQYHDQTAPVVDVLTKTNVAIRDFVEGFFNHTFSPDMIGRNMVLLWVVIGVVQLLL LRCMTAINHTTR H257_02352 MGNSALKAVCVRDDSLDMYTDELVAVESYVSTLPQPHEDNDTSD KSFNDAQDDLKWDANLVSLHLRSKRDVIDGVDETRSAMRQRRRRSPLKVLRTIVSPCR PTQDATASPHDAYDEVCDQFNVMFYANTTHPSKISPMISPPMSNATQPPQGTEEAGKQ DSDLVFTTEPQPDLFLDGLQTPRPSLADWPAHTWKIEGSIAESPTDDDDMCSTACSSE YATDIDPSDDEDDPDAVPPPSTFACSSRRSVSATTTTLKSQDDIPFVFAARSQFIVAD YLCPCHDCPWYSVIEKHVRASGLSTKAKGRVVRVLQAYSTYNEVTGFRPGMVQLAQDC LFTSRGHENDAFESFVHCVESRYANGWL H257_02353 MLSRAVRRSIHTTALRAAAENAPSSAVATKVTLNLSTPHQAFYK GVQVDLVQVPGLVGEYGVTAGHTPIISQLKPGVIAVHEERDKVVKKFFTAGGFAFTHA DSTTDIAAVELIKVEDIDASAAEAGVQKYKQALEQAADGTPEKVEAQIAYETHLALVA ALASA H257_02354 MRSRVTVAVVLALTWQPTIASPTAAEFIAQGVAYQLDTHDLIQA KHMYQAALSVSPDNVEALHLLGSVAYHEGHFHEAQEYLEQAISVSSSLDKSAMTHCNL AETLRKLHRPADGLHHGDMCFNATGGSEFSLLVLAWLYKDLDEPSKAVDVLRRLVAMN DQHLEAWDTLGTIYLDNDDLAAANDVFTHMIALDASDFRGYAGRGQVLHLQGHLDAAQ ADYDVALRINGQHDPTLQNVGILYQQLGHLDRAVAIYQQLLVRQPNNVALLNNLGAAL LYTGRPDDARPYLEQSVRENPSNPQSFVNLKTYYADSGDLDMARQMLQRAYDVSHADV LRIDMATLLPQVFTSQAHLDTTRRNMHAAIDALLLLASSLHVENPEAFEIRPPFYLVY QGYNDLHLQTKLATLHSHSCPALHFTAPHIALGVGTLFEFDKTFRRLRIGFMSKFFVP NHAHGMLLRGVLAHLNRQLFHVTLVVVPDPQQQVDAGMAAAADAVKVLSMHLAHSQQE IAALELDVLVFADLMSEPMTYFAAFGRLAHVQAAFWGNPTTSGIPNVDYFISADAMES SPDPAVESHYSEQVVLLSGVGIWYDKPTVPAQLGHRRDHHLEDEWTIYMCPQSVYKLV PQFDRVLFEILRQDPQGHVVLLEARYPQWTRQLRTRLQQTINSTEMWNRVHFRPRVGG SDAFLKLLSVADVVLHPFPFGGSKTSADALLLGLPLVAMKTPLLRSRMAYSFYLHMDM LDCVASTEDEYIAIALRLGTDAVYRSDTARRIRERQHLLWENDEVVAEWERFLYNAVR SVATS H257_02354 MRSRVTVAVVLALTWQPTIASPTAAEFIAQGVAYQLDTHDLIQA KHMYQAALSVSPDNVEALHLLGSVAYHEGHFHEAQEYLEQAISVSSSLDKSAMTHCNL AETLRKLHRPADGLHHGDMCFNATGGSEFSLLVLAWLYKDLDEPSKAVDVLRRLVAMN DQHLEAWDTLGTIYLDNDDLAAANDVFTHMIALDASDFRGYAGRGQVLHLQGHLDAAQ ADYDVALRINGQHDPTLQNVGILYQQLGHLDRAVAIYQQLLVRQPNNVALLNNLGAAL LYTGRPDDARPYLEQSVRENPSNPQSFVNLKTYYADSGDLDMARQMLQRAYDVSHADV LRIDMATLLPQVFTSQAHLDTTRRNMHAAIDALLLLASSLHVENPEAFEIRPPFYLVY QGYNDLHLQTKLATLHSHSCPALHFTAPHIALGVGTLFEFDKTFRRLRIGFMSKFFVP NHAHGMLLRGVLAHLNRQLFHVTLVVVPDPQQQVDAGMAAAADAVKVLSMHLAHSQQE IAALELDVLVFADLMSEPMTYFAAFGRLAHVQAAFWGNPTTSGIPNVDYFISADAMES SPDPAVESHYSEQVVLLSGVGIWYDKPTVPAQLGHRRDHHLEDEWTIYMCPQSVYKLV PQFDRVLFEILRQDPQGHVVLLEARYPQWTRQLRTRLQQTINSTEMWNRVHFRPRVGG SDAFLKLLSVADVVLHPFPFGGSKTSAVGGSMHA H257_02354 MRSRVTVAVVLALTWQPTIASPTAAEFIAQGVAYQLDTHDLIQA KHMYQAALSVSPDNVEALHLLGSVAYHEGHFHEAQEYLEQAISVSSSLDKSAMTHCNL AETLRKLHRPADGLHHGDMCFNATGGSEFSLLVLAWLYKDLDEPSKAVDVLRRLVAMN DQHLEAWDTLGTIYLDNDDLAAANDVFTHMIALDASDFRGYAGRGQVLHLQGHLDAAQ ADYDVALRINGQHDPTLQNVGILYQQLGHLDRAVAIYQQLLVRQPNNVALLNNLGAAL LYTGRPDDARPYLEQSVRENPSNPQSFVNLKTYYADSGDLDMARQMLQRAYDVSHADV LRIDMATLLPQVFTSQAHLDTTRRNMHAAIDALLLLASSLHVENPEAFEIRPPFYLVY QGYNDLHLQTKLATLHSHSCPALHFTAPHIALGVGTLFEFDKTFRRLRIGFMSKFFVP NHAHGMLLRGVLAHLNRQLFHVTLVVVPDPQQQVDAGMAAAADAVKVLSMHLAHSQQE IAALELDVLVFADLMSEPMTYFAAFGRLAHVQAAFWGNPTTSGIPNVDYFISADAMES SPDPAVESHYSEQVVLLSGVGIWYDKPTVPAQLGHRRDHHLEDEWTIYMCPQSVYKLV PQFDRVLFEILRQDPQGHVVLLEARYPQWTRQLRTRLQQTINSTEMWNRVHFRPRVGG SDAFLKLLSVADVVLHPFPFGGSKTSAVGGSMHA H257_02354 MRSRVTVAVVLALTWQPTIASPTAAEFIAQGVAYQLDTHDLIQA KHMYQAALSVSPDNVEALHLLGSVAYHEGHFHEAQEYLEQAISVSSSLDKSAMTHCNL AETLRKLHRPADGLHHGDMCFNATGGSEFSLLVLAWLYKDLDEPSKAVDVLRRLVAMN DQHLEAWDTLGTIYLDNDDLAAANDVFTHMIALDASDFRGYAGRGQVLHLQGHLDAAQ ADYDVALRINGQHDPTLQNVGILYQQLGHLDRAVAIYQQLLVRQPNNVALLNNLGAAL LYTGRPDDARPYLEQSVRENPSNPQSFVNLKTYYADSGDLDMARQMLQRAYDVSHADV LRIDMATLLPQVFTSQAHLDTTRRNMHAAIDALLLLASSLHVENPEAFEIRPPFYLVY QGYNDLHLQTKLATLHSHSCPALHFTAPHIALGVGTLFEFDKTFRRLRIGFMSKFFVP NHAHGMLLRGVLAHLNRQLFHVTLVVVPDPQQQVDAGMAAAADAVKVLSMHLAHSQQE IAALELDVLVFADLMSEPMTYFAAFGRLAHVQAAFWGNPTTSGIPNVDYFISADAMES SPDPAVESHYSEQVVLLSGVGIWYDKPTVPAQLGHRRDHHLEDEWTIYMCPQSVYKLV PQFDRVLFEILRQDPQGHVVLLEARYPYVNSMI H257_02354 MRSRVTVAVVLALTWQPTIASPTAAEFIAQGVAYQLDTHDLIQA KHMYQAALSVSPDNVEALHLLGSVAYHEGHFHEAQEYLEQAISVSSSLDKSAMTHCNL AETLRKLHRPADGLHHGDMCFNATGGSEFSLLVLAWLYKDLDEPSKAVDVLRRLVAMN DQHLEAWDTLGTIYLDNDDLAAANDVFTHMIALDASDFRGYAGRGQVLHLQGHLDAAQ ADYDVALRINGQHDPTLQNVGILYQQLGHLDRAVAIYQQLLVRQPNNVALLNNLGAAL LYTGRPDDARPYLEQSVRENPSNPQSFVNLKTYYADSGDLDMARQMLQRAYDVSHADV LRIDMATLLPQVFTSQAHLDTTRRNMHAAIDALLLLASSLHVENPEAFEIRPPFYLVY QGYNDLHLQTKLATLHSHSCPALHFTAPHIALGVGTLFEFDKTFRRLRIGFMSKFFVP NHAHGMLLRGVLAHLNRQLFHVTLVVVPDPQQQVDAGMAAAADAVKVLSMHLAHSQQE IAALELDVLVFADLMSEPMTYFAAFGRLAHVQAAFWGNPTTSGIPNVDYFISADAMES SPDPAVESHYSEQVVLLSGVGIWYDKPTVPAQLGHRRDHHLEDEWTIYMCPQSVYKLV PQFDRVLFEILRQDPQGHVVLLEARYPYVNSMI H257_02354 MRSRVTVAVVLALTWQPTIASPTAAEFIAQGVAYQLDTHDLIQA KHMYQAALSVSPDNVEALHLLGSVAYHEGHFHEAQEYLEQAISVSSSLDKSAMTHCNL AETLRKLHRPADGLHHGDMCFNATGGSEFSLLVLAWLYKDLDEPSKAVDVLRRLVAMN DQHLEAWDTLGTIYLDNDDLAAANDVFTHMIALDASDFRGYAGRGQVLHLQGHLDAAQ ADYDVALRINGQHDPTLQNVGILYQQLGHLDRAVAIYQQLLVRQPNNVALLNNLGAAL LYTGRPDDARPYLEQSVRENPSNPQSFVNLKTYYADSGDLDMARQMLQRAYDVSHADV LRIDMATLLPQVFTSQAHLDTTRRNMHAAIDALLLLASSLHVENPEAFEIRPPFYLVY QGYNDLHLQTKLATLHSHSCPALHFTAPHIALGVGTLFEFDKTFRRLRIGFMSKFFVP NHAHGMLLRGVLAHLNRQLFHVTLVVVPDPQQQVDAGMAAAADAVKVLSMHLAHSQQE IAALELDVLVFADLMSEPMTYFAAFGRLAHVQAAFWGNPTTSGIPNVDYFISADAMES SPDPAVESHYSEQVVLLSGVGIWYDKPTVPAQLGHRRDHHLEDEWTIYMCPQSVYKLV PQFDRVLFEILRQDPQGHVVLLEARYPYVNSMI H257_02354 MRSRVTVAVVLALTWQPTIASPTAAEFIAQGVAYQLDTHDLIQA KHMYQAALSVSPDNVEALHLLGSVAYHEGHFHEAQEYLEQAISVSSSLDKSAMTHCNL AETLRKLHRPADGLHHGDMCFNATGGSEFSLLVLAWLYKDLDEPSKAVDVLRRLVAMN DQHLEAWDTLGTIYLDNDDLAAANDVFTHMIALDASDFRGYAGRGQVLHLQGHLDAAQ ADYDVALRINGQHDPTLQNVGILYQQLGHLDRAVAIYQQLLVRQPNNVALLNNLGAAL LYTGRPDDARPYLEQSVRENPSNPQSFVNLKTYYADSGDLDMARQMLQRAYDVSHADV LRIDMATLLPQVFTSQAHLDTTRRNMHAAIDALLLLASSLHVENPEAFEIRPPFYLVY QGYNDLHLQTKLATLHSHSCPALHFTAPHIALGVGTLFEFDKTFRRLRIGFMSKFFVP NHAHGMLLRGVLAHLNRQLFHVTLVVVPDPQQQVDAGMAAAADAVKVLSMHLAHSQQE IAALELDVLVFADLMSEPMTYFAAFGRLAHVQAAFWGNPTTSGIPNVDYFISADAMES SPDPAVESHYSEQVVLLSGVGIWYDKPTVPAQLGHRRDHHLEDEWTIYMCPQSVYKLV PQFDRVLFEILRQDPQGHVVLLEARYPYVNSMI H257_02354 MRSRVTVAVVLALTWQPTIASPTAAEFIAQGVAYQLDTHDLIQA KHMYQAALSVSPDNVEALHLLGSVAYHEGHFHEAQEYLEQAISVSSSLDKSAMTHCNL AETLRKLHRPADGLHHGDMCFNATGGSEFSLLVLAWLYKDLDEPSKAVDVLRRLVAMN DQHLEAWDTLGTIYLDNDDLAAANDVFTHMIALDASDFRGYAGRGQVLHLQGHLDAAQ ADYDVALRINGQHDPTLQNVGILYQQLGHLDRAVAIYQQLLVRQPNNVALLNNLGAAL LYTGRPDDARPYLEQSVRENPSNPQSFVNLKTYYADSGDLDMARQMLQRAYDVSHADV LRIDMATLLPQVFTSQAHLDTTRRNMHAAIDALLLLASSLHVENPEAFEIRPPFYLVY QGYNDLHLQTKLATLHSHSCPALHFTAPHIALGVGTLFEFDKTFRRLRIGFMSKFFVP NHAHGMLLRGVLAHLNRQLFHVTLVVVPDPQQQVDAGMAAAADAVKVLSMHLAHSQQE IAALELDVLVFADLMSEPMTYFAAFGRLAHVQAAFWGNPTTSGIPNVDYFISADAMES SPDPAVESHYSEQVVLLSGVGIW H257_02355 MATGQTWIDEQAAEYADLVNDSSFSSVTGYVEDDPTEYQLIWEG GDLGVALTTNPGGAGVSVSRITGKGFPHGIKNVVPGDVLLAVNELNTFNLTLEDVVKY LQECDLPATLRLTRPENVTRNFEAKTRESLLQGSRPSSVQQQPPRMSAYKPQRQSFTP PTMMNQGVTSPTNAGKPRDSRLSMQERGSTMKKKGIASRPSTGHLPPPAATAAKPQHQ QPPPPPVPKPQVVAGPPPQAPVPLPVTVPTPILKKPKPAMQPPPPSQPPQATPIKEIS FNKLSIASSVVDIRGSAASNTSNTTAIAVEDVSAYKAATSPDDDPPIETRQQRGISTS SEIAIMDSMHEDDIALLDDDHDDDDCNHPHDGSFNEHKVMLVEEEEDDDDDDDEDMLH ELSGLDDETKEEYNDDDSDDDSDDGKYVPLVTPAVAPPPVTAIPVPKPTPPPHRQPDD IPPPPPLNVYMPGMHDSTSHRVQKIHASEPSQPMSTVHEAAAKGDLRGVLMFVRQDKN GPECLIRREPNHGQTAFHLAVKSGNVSLIQMMLDQFTHVAPTEELLKIEDDKGNTALH FAATKTPHVVHLLLQAGAPVTSRNSRGLTPLIIAVMTNKKDDIIIVNMLLKFGANPND VHDATTLIHTAINLKLLKVAGALVRAGAKLEVEDSDGKTVFEKVNRPSLKYLVSHIYF PPTYISEKERTECMLCLKKFGFGRRKYNCTLCGRLCCADDASLFIPFVQFPQGFPGRI HKGAGVLDDKRCCKTCFNVLKGRANAAPKADKGFIARVIGIEWDEVNPDKLQAIQSAG RRRG H257_02356 MQTASPSSMAFRRALNSWASEELDPNVDDQPYFDSFNGNDPIRQ GGVRNIYARENIGLLFNYICTGWAEAILPATIYPFMTNYLNMDGYQTQAAIVLITFPY ILKTFFAILSDCLPIMGRRRIPYLIIGWSICLCFLVSCVFVPQTDAYWKHGDLNTFRD NESLRTVLNPNAPNGGFGYVLMMMGATAGFCMADSAGDAVMVEFAQREPENIRGTTQT IITLAKFVSGGVAAAIIAVCFNGVEYGGTFSWTLSFNQVMLVAAVAPVVGIATSYFIP DPPINSDDDDVQTFRETFSDLWNAAKKRAVWQLMAFNFLNAFFFDAVAAPSDVIKRTW AQVPPIVDGVFNNIFAVFLFALAMHLTRSHFLHSDWRLVIFVTTVVTVAIQWTVDFVM VFDVVRSPEFYVGVPLTYQVPVAIRNVVVTFATVEIADERFEASTYALISTMNLAAGP ISTSLFKQIDASFLAYKEDIAKDTPEVRWEVAYCLIICYCARLFSNVTLVLLPRQKKE AQELKLKGNTHSQLALVTFLVGVFALLWGVVTNVMSIYPGTSCLPIAGGPGCCSDGTP AIHRGSTTAC H257_02357 MAAPSRGRRQAVQLSQEEYKKALDDEARQKLAVKSRTNHHPDKR TDDRADDALPPTPVAPTGRRRVTQHVSQEEYTKALEEQIRFKQMRDEAEINERKGRRP PHVDAPAASGSGDSQATAATCAATSTEPSTNQRPGRRRVHQVPHNEWLESIQSQIQSK QPQQIAPSTINTGDNHPTDGRRTAKLSREEWIRKLEAEIDNDQAGHVSHQPPPPAVLL APAVVQSTELPSIEISPPPQPLHEDDSIGEVAEPCEAVEMEDAPEVNEDDHVASPVAK SCPTELPVQNSKKANTVRNNDKAKSKSDKQVIRGRQKPLMGGTSRLKREAKSKRTSDH EHTTIPPPNGRSSKPPLATATRRSRDDSKQYDQEPAATASPGKLHNNMALAYEAILEQ NEEMKRQLADQSQVLQLIRQRMEGQPLPVAPAALVTASGGAAIANESSAKLPRRTNND RRSKLVPPGTIALAHSTKPRLPSITSTAAPTPDREHRTQPHHSSALLPPLEPPPSSTV RAQVPNTINTSIVALLERQPASPPIKATRSSGLPKDDPVLNENAKATSSRHHHHPKSV MLTSHCNPITMTWHDCDELGQTEPSTFLPVLATSTVDERELVADSVDMLLESSTMLPL HIRPSVVR H257_02357 MRDEAEINERKGRRPPHVDAPAASGSGDSQATAATCAATSTEPS TNQRPGRRRVHQVPHNEWLESIQSQIQSKQPQQIAPSTINTGDNHPTDGRRTAKLSRE EWIRKLEAEIDNDQAGHVSHQPPPPAVLLAPAVVQSTELPSIEISPPPQPLHEDDSIG EVAEPCEAVEMEDAPEVNEDDHVASPVAKSCPTELPVQNSKKANTVRNNDKAKSKSDK QVIRGRQKPLMGGTSRLKREAKSKRTSDHEHTTIPPPNGRSSKPPLATATRRSRDDSK QYDQEPAATASPGKLHNNMALAYEAILEQNEEMKRQLADQSQVLQLIRQRMEGQPLPV APAALVTASGGAAIANESSAKLPRRTNNDRRSKLVPPGTIALAHSTKPRLPSITSTAA PTPDREHRTQPHHSSALLPPLEPPPSSTVRAQVPNTINTSIVALLERQPASPPIKATR SSGLPKDDPVLNENAKATSSRHHHHPKSVMLTSHCNPITMTWHDCDELGQTEPSTFLP VLATSTVDERELVADSVDMLLESSTMLPLHIRPSVVR H257_02358 MKTTLAANETDLQAFFRPFGVIRHIWIARKPPGFAFVTYSKADA AQRAINAVTGMENPCILGQSITCQLSRDGAGATTERPSASLAPRTRVRKRKGETFRQK KERKLKAIEASSSSGHSSHYH H257_02359 MAEVATGLRRRVGHAEEGGNLDATTSQLSPLPASPDAIASAASP PTSSASLWQWIFGTQARDSPEDMAAALHNSLRQEYGDRCPDFMHVPFREATTLAKTEG KFLLIYLHSEVHQDAAAFCKNSLCTDDVQSFAAAHDNVLFWAGSVLAPEGYSVSLSLG AASFPFLCMVLSTPRGLNIVDKVQGNVPKTALVTRLGVAVQRNQQHLAAARAQELFRT EAQLLREQQDEEYQQSLEADRRKVEEEALRQAQEEEQARQLSIRLQEEADAARRAAAE RESAIKVKRSRLANGPVTKGKDTAFLRLQLHNGTKLERLFWASDTFHTVRDFVDVAFF ERDIAIVRYELATNYPRKCWGWDQSHVTLQDAGLAPQALLYVQDLDS H257_02360 MLLSATPEGLTRAGESLRQGRLVAFPTETVYGLGANAFDAKALL SIFEAKGRPLTDPLIVHVPTVDDALALVELDAKGTEMFQTLATAFWPGPLTLIAPAIA SLPREVSANTGFVGLRIPNHPIAQALLHEARVPVAAPSANRFGHVSPTTAQHVIDDLG TYHGGLQVINNVGHPCCQVGIESTVAKLVPDQNQLLVFRRGGVSEAALHDVLNVQRGL DIAIVYAQKVVADHSTQNQVAPGQLLTHYAPDVPTFMVNSIPTPTTTPNPSDIHTWVI IDFNRRLRALQPMVAAYLDLSPLGSIADAAHHVFDALRWAEQVPHATRILIPDVSAVP QEHAAALHDRLFRASSGKRTSF H257_02361 MVSCALPPHFITTLAPHKAKVAMEFNYVKAFNDSLCSSHMVYLR YGPSMTGDPLQSDSACDHGLQINRREFASGQYVPGAMYMDIVHAFMWEKALDDACVGG GADFFVRPSCASRSYTVYSDANCTSPVPTIFEPSLPRISCSIPNPSANEAPNTATPPF YEVQFAHLNCSGLVQSIHPSLSPPRNTIIPSTCQQGVQTLVKNATISPNATYMTLVSS GGRTFSLLNGACVPVGPTDFAVANCLTGAVSTFADAACSKPRSSSPTTLLTAMQSFFP VYSSSCSYQQVQTLSSPPVVFAQVYFTDPECSNAHLQSVVYTARPSTGNPLPPLSDQC QNGYILSTRPPAPRSFSTDKLYMNVTLPGASATVRDATCAPLLDGYVYVNCAALSVLF FRDAACSVPQDGEAGVPLVTLYKSCFVANTDSSSYYLEIVGGFGIVVLLVAGVFAIVQ KVREWRAAFSAKRATSDATDLAVSPVTTV H257_02362 MSSKYIVSYEHADCSGGALYVRSGVNATATDLSFGRCVGGVQVT TTSVPTTSYISNEVYLDLQWDYGVTERIIQHTCVWTGIQRGYVYADCQERVFGFFQDG DCKVPLVSAEDDASPPITSCIIPNAYSATSSKSKIPPKYLKRYEHADCTGAILYADEF TGAMAAAQDVDPCTDGFQIDLAAPKPDQFSAGKTYMSVTNPYMLFKGTVLDKSCVSYA PGKFVHVECASRTSVFFNDDSCLSGDQEVLFPSKVWGIHCGILNHEGGGEWWRGHVGI LVGLVLISVAAGGIFSVLYRRNRKSYVQLPLKNPSSSQPSYHTTGRDVGA H257_02363 MGAIRIRIQGVGHGRAVNKSKQPRGEASGNARAVQHHVRGVLAT DLHVLPTLHELAGVRRRFHPRRAGPQRVVVDARPRPDMDKTPRAVTVSVHVQVLWLHN H257_02364 MKRANSPPVPPPDGDPKRTKACYEDRDDMKDLSSLSLDDMDDDG IGAFGNMDHDALLSQPYQAMMQSPEEGWPDDNDDDNDLPNDDGLLFDQGHAMGVPLSY SAMSASAFRSSSQEMMGWRLSSPPLSTASNRRISLGPVHISAAIDLPDSSCSAANADT MLDDELSAALSPEDEVQFHHVVYYLQLHPKLVNQLSRRQLNAFRAAGLLQIEVSARRR SVTITREMLTSGPMAFMFPHYKAFFSSNHVTFQWQATASGVDLTLTNTSRHPLFVGLQ VLPPQQTKALALHDEIRLLVSPAKFLLLGYVVTNHEIPPPPHPPCHNVLGVLFAHPIL NYSSLGLSLNVLPQYIPHVMLVVHTSVFSMSQNRDVHTMHTSMSTRLVKYMQMSELRV NETGLPVTFTPQPVDLAVEFASWDVLTDLVADGCQMLHVACAATSSALILEDGRGGAF SVDVDQLTRLFRGSAVQVVQISVYHNGCPTAHQLLLDAGVPYVVAVAPHTRVVPSSQL LCFSTNFYRALMSGKSIQTSFHLAKHSTRLPSDTFCLYAQSNGHHGMEVLYPLTDKPK ATPTFRSVLRKGSLSFAVCKEFTHRLNEAHDICYRLLDPLHHTRFIDIHGPPGIGKTQ LALAVTQYVSFRGTFDGGIRVLHVKDVVERKGAQHAVVWLQRIFMDIKDNSGRAKSFL VVLDGVEVFFHTPYHAVVVLDFVPAILNEMPNVTIVATTLQAVALSSSPSFKQFHVGL DSNPGGLFTVHFHALSSDSSLDDTTRLPHENYDTAHEPASPTNAVAAAAPANCCIM H257_02364 MKRANSPPVPPPDGDPKRTKACYEDRDDMKDLSSLSLDDMDDDG IGAFGNMDHDALLSQPYQAMMQSPEEGWPDDNDDDNDLPNDDGLLFDQGHAMGVPLSY SAMSASAFRSSSQEMMGWRLSSPPLSTASNRRISLGPVHISAAIDLPDSSCSAANADT MLDDELSAALSPEDEVQFHHVVYYLQLHPKLVNQLSRRQLNAFRAAGLLQIEVSARRR SVTITREMLTSGPMAFMFPHYKAFFSSNHVTFQWQATASGVDLTLTNTSRHPLFVGLQ VLPPQQTKALALHDEIRLLVSPAKFLLLGYVVTNHEIPPPPHPPCHNVLGVLFAHPIL NYSSLGLSLNNRDVHTMHTSMSTRLVKYMQMSELRVNETGLPVTFTPQPVDLAVEFAS WDVLTDLVADGCQMLHVACAATSSALILEDGRGGAFSVDVDQLTRLFRGSAVQVVQIS VYHNGCPTAHQLLLDAGVPYVVAVAPHTRVVPSSQLLCFSTNFYRALMSGKSIQTSFH LAKHSTRLPSDTFCLYAQSNGHHGMEVLYPLTDKPKATPTFRSVLRKGSLSFAVCKEF THRLNEAHDICYRLLDPLHHTRFIDIHGPPGIGKTQLALAVTQYVSFRGTFDGGIRVL HVKDVVERKGAQHAVVWLQRIFMDIKDNSGRAKSFLVVLDGVEVFFHTPYHAVVVLDF VPAILNEMPNVTIVATTLQAVALSSSPSFKQFHVGLDSNPGGLFTVHFHALSSDSSLD DTTRLPHENYDTAHEPASPTNAVAAAAPANCCIM H257_02364 MKRANSPPVPPPDGDPKRTKACYEDRDDMKDLSSLSLDDMDDDG IGAFGNMDHDALLSQPYQAMMQSPEEGWPDDNDDDNDLPNDDGLLFDQGHAMGVPLSY SAMSASAFRSSSQEMMGWRLSSPPLSTASNRRISLGPVHISAAIDLPDSSCSAANADT MLDDELSAALSPEDEVQFHHVVYYLQLHPKLVNQLSRRQLNAFRAAGLLQIEVSARRR SVTITREMLTSGPMAFMFPHYKAFFSSNHVTFQWQATASGVDLTLTNTSRHPLFVGLQ VLPPQQTKALALHDEIRLLVSPAKFLLLGYVVTNHEIPPPPHPPCHNVLGVLFAHPIL NYSSLGLSLNVLPQYIPHVMLVVHTSVFSMSQNRDVHTMHTSMSTRLVKYMQMSELRV NETGLPVTFTPQPVDLAVEFASWDVLTDLVADGCQMLHVACAATSSALILEDGRGGAF SVDVDQLTRLFRGSAVQVVQISVYHNGCPTAHQLLLDAGVPYVVAVAPHTRVVPSSQL LCFSTNFYRALMSGKSIQTSFHLAKHSTRLPSDTFCLYAQSNGHHGMEVLYPLTDKPK ATPTFRSVLRKGSLSFAVCKEFTHRLNEAHDVQNIPILFARFVAKRDFITS H257_02364 MKRANSPPVPPPDGDPKRTKACYEDRDDMKDLSSLSLDDMDDDG IGAFGNMDHDALLSQPYQAMMQSPEEGWPDDNDDDNDLPNDDGLLFDQGHAMGVPLSY SAMSASAFRSSSQEMMGWRLSSPPLSTASNRRISLGPVHISAAIDLPDSSCSAANADT MLDDELSAALSPEDEVQFHHVVYYLQLHPKLVNQLSRRQLNAFRAAGLLQIEVSARRR SVTITREMLTSGPMAFMFPHYKAFFSSNHVTFQWQATASGVDLTLTNTSRHPLFVGLQ VLPPQQTKALALHDEIRLLVSPAKFLLLGYVVTNHEIPPPPHPPCHNVLGVLFAHPIL NYSSLGLSLNNRDVHTMHTSMSTRLVKYMQMSELRVNETGLPVTFTPQPVDLAVEFAS WDVLTDLVADGCQMLHVACAATSSALILEDGRGGAFSVDVDQLTRLFRGSAVQVVQIS VYHNGCPTAHQLLLDAGVPYVVAVAPHTRVVPSSQLLCFSTNFYRALMSGKSIQTSFH LAKHSTRLPSDTFCLYAQSNGHHGMEVLYPLTDKPKATPTFRSVLRKGSLSFAVCKEF THRLNEAHDVQNIPILFARFVAKRDFITS H257_02364 MATKQPSFKHRVKPSHQFGPCAHICGHRPSGQLVLGRQRRHHAG RRTVGGLVPRGRGPVPPRRVLLAAASKAREPALSAATERVPSGGAAADRSQRPPSIRD DHARDAHVRPHGVHVSPLQGVLQLQPRDVSMAGHGQRCGSDPHQHESAPSVCRIASLT YVSLRSVVSCPIYIYIYIIPRRLVYTCIYIPGGNIYIYLCLSCEMMDVAPQQTKALAL HDEIRLLVSPAKFLLLGYVVTNHEIPPPPHPPCHNVLGVLFAHPILNYSSLGLSLNVL PQYIPHVMLVVHTSVFSMSQNRDVHTMHTSMSTRLVKYMQMSELRVNETGLPVTFTPQ PVDLAVEFASWDVLTDLVADGCQMLHVACAATSSALILEDGRGGAFSVDVDQLTRLFR GSAVQVVQISVYHNGCPTAHQLLLDAGVPYVVAVAPHTRVVPSSQLLCFSTNFYRALM SGKSIQTSFHLAKHSTRLPSDTFCLYAQSNGHHGMEVLYPLTDKPKATPTFRSVLRKG SLSFAVCKEFTHRLNEAHDICYRLLDPLHHTRFIDIHGPPGIGKTQLALAVTQYVSFR GTFDGGIRVLHVKDVVERKGAQHAVVWLQRIFMDIKDNSGRAKSFLVVLDGVEVFFHT PYHAVVVLDFVPAILNEMPNVTIVATTLQAVALSSSPSFKQFHVGLDSNPGGLFTVHF HALSSDSSLDDTTRLPHENYDTAHEPASPTNAVAAAAPANCCIM H257_02364 MATKQPSFKHRVKPSHQFGPCAHICGHRPSGQLVLGRQRRHHAG RRTVGGLVPRGRGPVPPRRVLLAAASKAREPALSAATERVPSGGAAADRSQRPPSIRD DHARDAHVRPHGVHVSPLQGVLQLQPRDVSMAGHGQRCGSDPHQHESAPSVCRIASLT YVSLRSVVSCPIYIYIYIIPRRLVYTCIYIPGGNIYIYLCLSCEMMDVAPQQTKALAL HDEIRLLVSPAKFLLLGYVVTNHEIPPPPHPPCHNVLGVLFAHPILNYSSLGLSLNNR DVHTMHTSMSTRLVKYMQMSELRVNETGLPVTFTPQPVDLAVEFASWDVLTDLVADGC QMLHVACAATSSALILEDGRGGAFSVDVDQLTRLFRGSAVQVVQISVYHNGCPTAHQL LLDAGVPYVVAVAPHTRVVPSSQLLCFSTNFYRALMSGKSIQTSFHLAKHSTRLPSDT FCLYAQSNGHHGMEVLYPLTDKPKATPTFRSVLRKGSLSFAVCKEFTHRLNEAHDICY RLLDPLHHTRFIDIHGPPGIGKTQLALAVTQYVSFRGTFDGGIRVLHVKDVVERKGAQ HAVVWLQRIFMDIKDNSGRAKSFLVVLDGVEVFFHTPYHAVVVLDFVPAILNEMPNVT IVATTLQAVALSSSPSFKQFHVGLDSNPGGLFTVHFHALSSDSSLDDTTRLPHENYDT AHEPASPTNAVAAAAPANCCIM H257_02365 MFRHVLDCIRPHEITPREHWIPDDARTTCAMCNERFSLFRHKHH CRTCGDVVCMDCVTKKVAVSPTADPANVIVCVLCLARRERQFLFQHLSVSVTSPQVAV DFNHPFQNSCFSDAEASHDGTTSRRTQPSKRPSFFRTLVSRTFSRW H257_02366 MSASIIGVQVGAKLLEIAYDMKMTIVLLAAIGYIGYRASTANQI PAKQIRAATLASERVSLELLRDEESTKLLLVSTLSKSASPLNVRRKKKKSNKQTSEAA STRVIIPATQTTTPTRTLSEIVGAEDDVEAQLDTVDIPVVPPAVVSDDVDVILVEAPV PRVDDVPEQVVKPSKAPPAKPSNKKKAKPSGGLSKDVPEIDWLDVVRLPTKSSPSSTA STTSSSSSSQARRSLSPVKSLSMSPSQFNLPNTMAALSINTTMSHHHPSFAASPVPVT TKPLLSRSRSSVADGRSWRDALGPLCPAPISLPALTKTVSLGAAITIYPPLPKDPPPP LSLAPSELAQIVQQIEFYFSDANLQRDLFLRNRMDAQGFVYLNVVLNFNRVKAITQFQ KMAVDLPSLIRALEQAPRLTVQCKRLASGAIDPEFARLAKIRPATDWAAWVPSNPLPS NHPFELHANMAQLRRSCSASGFAQTA H257_02367 MVLYKQVILTNLKSSPADTAKAFKELATFILSKGGVVRDVSHRG HRTLGYAIDDRRWGGTFERHREAKLLVKTFETSPKTLKELEAMMKLSYPILRFSTFKV QKDPLREVIKASATASAEQPDAPFNWKEFKSTLDENTKVFEEEYVVEVDDEVADDARV PSEFDLMSQEDKDDLADWLVQKTHPEQVALQKNTDDEFNLYENKTNDDDADAAEFDDK ADEALWAEEDGYGFEPTAEDVPDWKRDSSYEPERPSVKAADDDDDDEYSRPASKK H257_02368 MSSDHEPEDSADATRTAAEKRLFEIRMKMNAGRKKNKELAEEEH MKASLPAGKAKKWSADVDGAAPKREVASVPKDKAYMLDTVVDAELKAKKSHKKEKQKA AFGWEVFNQDSLYNAYKKRLHALPTEKVPGSTSTKEYDELEYGKNDGTTEEGVDRMVA ELAHRADVKKKFSRRRQHYDGEDVDYINNRNRVFNKKISRAFDKYTVEIRQNLERGTA L H257_02369 MTVLQVLQGLWRLVVVFAACVGVSYVLFSRLLERRPSLAETLSW NLGFEDIQRGDVSAALRSAMSEDFELTLACFASVFFIKQTFAIPGSALLNVIAGVLLP IHIAFPLVCVLTTCGASSCYILSSTLGSHESLVSMTDYFLPGKLDLLQVQIDAARQEE RLVYVLLFLRIFPFSPNWLLNMASPYLNIPLRLFAPSVLIGLMPYNFVTVKAGSMLSN LDSIRDIFDVQTVLGFLTLAALMLVPAIVKRRQAKANSKKMA H257_02370 MATYDDTLMDEFDQPEATSTRASIASMKHTLMDEFDVPATPATS FVSSTPMRYPSLRDTPPTVQPTPCPPPFRHSASASSSASPILTTGSSLYPSIPAPSAV PKYPSFHAYSPPTATTATYATPISDTCTAFSAALPAMAVADASVPTASSSCFPTHAPL VPPVVLDGSCSHYASPPSSPSSSRILDLPPSSQPSPRAMADALKVVHDLEVDEAIDVQ HELQTMQSVMHHIDLALRGETPHQIAQRETREAARQERERQRMERQLAQHEQHVQRLA SRLAKQPSNVVP H257_02370 MCQRHPPPHSFPPLRCGILHYVIPLRQFNRRRVLHPSDTQRRPR HRHHPFSQLVHRCTHRFRRRLPFQSTRRFMPTVLAIHPILLSSCQYLGPPTATTATYA TPISDTCTAFSAALPAMAVADASVPTASSSCFPTHAPLVPPVVLDGSCSHYASPPSSP SSSRILDLPPSSQPSPRAMADALKVVHDLEVDEAIDVQHELQTMQSVMHHIDLALRGE TPHQIAQRETREAARQERERQRMERQLAQHEQHVQRLASRLAKQPSNVVP H257_02371 MKTTGTANFNSVVVMISALAWVPRGASKRVPDKFVLSKEEVDMM TQLAQEDEEEEEEAAAAEEGEDDDDDIEGEDPEEDADMEGDNDEDNEALKEFNMDQYD EEDDEAAVKDYLQGNGAEIPIEDDDQVPDDIEDDDEDKADMEIRSTDSVVLVCNTEED FSNLEVHVYEEDTGNLYVHHEINLPAFPLALAWMDIAPLPPVNGVPVNGSFVAVGTFK AGIEIWNLDVLDVLEPTATLGGEANASFRDVAISKAMRKKHKAALKPGSHQDAVLGLD WNAGHRNMLASASADASVKIWDVTTQQCMHTMTHHTDKVQSVKWNPVESTILASASFD RSLVVLDGRAPQAFSKFALSADVESMVWHPHSPSTLVASTEDGIVVAYDVRKGSSAAP LYRFQAHDTAVSAISFSHALPGLFATAGTDKHVKIWDLEGSTPQCIASKDMQVGELLT LQFYRDSPFLLGAGGTGGVLALWDTSENDVVERKFSTRAANIGNHPVPQATGGLTLGA SFKSANDVADELLREEDQAQAAAAKAATGKKKSKKTKK H257_02372 MRLLSVVAALWAVMQVDGQGSIDTWRHIPAMFSQGVQVTSHTVS EHVRSKVVPASSVIDVFASSSTCSLGSTSTCPRPNALAYGNILYHIEPSSKEAAESAL AAALAHFRMSRQDVTLEDATVLQLDMSRDGVHPWEVVAYNYVSSGSSSIRVRVNHGVN FHLTFVTSTTRHRAVVHLNTLEHSYVVLEHSHFPAILVSPSAPPPDAPSSSFAEPKIA TYNVWNVNPPGVVYGHANRWSMYSRRMDHLVAFVRQVDADIVGLQEVRVDNSFGPVGH HAQIQHIMDRLGTAYQYVYRPAMAYPNDQNPMEHVEEGPAILSKHPILTSDYVLLSRV VDDPNDSHHRLCLHAAVDVPHWGVIDVYVTHLSLSERSREQTMVEVWEFMQQGKGVTQ VLLGDLNAEPQSHGIQFLQGKMPLHGHTTDLRDAWLQVHHEEPEPKSKDKVHVEHAFT FPSDDPVKRIDFVLSRGQGHAVSCDVFGQAPTADSAHFPKDNGMLSQAQDSPVYASDH RGVVATFRV H257_02373 MFLPFRNISTKQSVAAMGLCVGLAYLSKYLDRLIEQDAAVASKT KGTVAWSSFEK H257_02374 MAVSLQDKQKDMLLRMLEFNVDGGSDAANADRWGEQWKVLVYDH YCRDIISPILKLHELRKKGVTLHMLLENDREEIPDVPAIYFVQPTTANLERIIQDSTK ELYSAMHLNFATPIPRDKLETFAKGCVDAGCTAMISKVFDQHANFVSLEPQLFSLNQP GSYVACNDAHSSDATIDATMSSIAQGLFSVLATLGTVPVIRCPPTDGPSRLVAERLTQ TLRDHLTKRSGLFNDAASSFQRPVLIILDRNEDLTAMLHHPSTYQALVDDMLGIKLNR VAFRVPNDKGVVVDKSHDLDVQADKFFSATAGLLFPDAIASHEEELKVVLKKEKDISA KAGGGGNSTKELAAAVDTLPALLEMKKTLEVHTNVFQATMDAVTTRQVPEFSMLEQRL IEGFNVPAAEVVALLSEKGNAEDKVRLLMIYYLTTGASSREIAEFEAILRPPGSSTTG PLAAFDSAWKFIKQHTSLQKHASVGSSFSDSASNNNATAAAKLKGLANNWAGSFAGQA QGWLTQAAEQFKNFLPENKKLQVTRVADAISELRPNTEDESYLYLDPKIKAGGGGQVP RQRTPFRDVIVFVIGGGNYNEYQNLQAYAKAQPATHPRHVLYGCTELLTPNEFLAQLN QVHT H257_02374 MAVSLQDKQKDMLLRMLEFNVDGGSDAANADRWGEQWKVLVYDH YCRDIISPILKLHELRKKGVTLHMLLENDREEIPDVPAIYFVQPTTANLERIIQDSTK ELYSAMHLNFATPIPRDKLETFAKGCVDAGCTAMISKVFDQHANFVSLEPQLFSLNQP GSYVACNDAHSSDATIDATMSSIAQGLFSVLATLGTVPVIRCPPTDGPSRLVAERLTQ TLRDHLTKRSGLFNDAASSFQRPVLIILDRNEDLTAMLHHPSTYQALVDDMLGIKLNR VAFRVPNDKGVVVDKSHDLDVQADKFFSATAGLLFPDAIASHEEELKVVLKKEKDISA KAGGGGNSTKELAAAVDTLPALLEMKKTLEVHTNVFQATMDAVTTRQVPEFSMLEQRL IEGFNVPAAEVVALLSEKGNAEDKVRLLMIYYLTTGASSREIAEFEAILRPPGSSTTG PLAAFDSAWKFIKQHTSLQKHASVGSSFSDSASNNNATAAAKLKGLANNWAGSFAGQA QGWLTQAAEQFKNFLPENKKLQVTRVADAISELRPNTEDESYLYLDPKIKAGGGGQVP RQRTPFRDVIVFVIGGGNYNEYQNLQAYAKVHT H257_02375 MKGIPNGVLEDILAKHVPEGAEITNGAVDVVAACTEEFVRVLLA RTNEIARGQAKNDLVRMMPGHVLQALEAFPGLHAKMPCLEDSQSKKAGASKKRKSRAK AHNADDDADLKAEQAALFKRAAAESQHRHIVVPKIIPSTEYRDE H257_02376 MTLIVVVYAGIEYDLEVDANDTAAVLCFQLYSLLGVDVDSQVLV TSTGHKVTLDDTIASLTASAPWLLLLDSTTPSSCFNPSIDSDWKDICTRLVAPNIPLA QPAYNLHGMAICQPCATTCVSTSGSFIEAVENTADTRDHIRQSFICDLAVVVGAADVP APLGFTKLNVDLNHTASGPFVFLCYKRGGNRRPVSHVKVVHGLPTAPPQVDGYEVLPV NLNHGTISSIGIYLCVRRLAADAWRQLSGLALHDLHVSSSRDPGAFVLSQVDLNGGND GTTPLFLRYKLNPVAGFVCGKHGECLFEPRVTGGHESATSWTHLTSQQQIVAAQHIDA TLRRSWNATAAIHFEREEARLKQMLAGQLHNTLKYERQDYQAQALAAIPLARLHERAR ANPTPQPTFEIEVLRQLITWFKHEFFSWMNAPACRVCGAPDTLSIRQEGPVTPEEIAG EAGRVEVYECRLCQAITRFPRYNDPTKLLQTRTGRCGEWANCFTLCCRALGYDARYVH DFTDHVWTEVYSSHHERWLHCDPCEDQLDCPLTYEVGWGKHLTYIFATSVDEIVDVAR RYTRDYDAMLERRTMAREPSLLRILSDLNATKTHAPDRKLILATRAAAEAVELAAVKE AKTHETVGRVSGSKEWRDARVESGNASGSAEPPPTSVVSSTLTTGAASVLDVNQELRS LLQRMLQGKGCSFADSCVNPFCLHSHVKAPGFDLTSYSATSIQSIVHLQDASAQGLTA LTCSEPGSFQSSLLALPLALYWPLQDHVSALVVDASGRGRHGINVNCPVQKPFAVKFS RFNTGLQLVPLTPPRSLSSGVSTSTSWTLQWLLRWHDQAVEALRPPNAAPSTPFLHLS PSPDQPLLFHLAYTDALTLEWLGPPDTTAAGSSASDSLAVDTTYHMALVSASGVVTCF VNGVAVFETRPLTTNPIQLASFEFAVAPSPRSSVLPLLSHVALVTRALSAEELHALAR AAVPSPRLVAGGADDFVDPSVTCLESVASEDSGHRVLAVHLWSGDFFDGVQLTYANTS TGVVTPGRAWATTTAAGATKQTLQLLEDEFIVQVRGRRGAWMDQMSVTTNFGRSLTAG GTGGGPFDVFIPAGYMVRAFSFDIGDHVNQPVVFSCPAPRGPVYVTLKAAKASQGKAA VELAAQGVVRYLTNLADKPDNETFHKIKATNSYFQKNVAPLGGHLDAVFDACGFDHVE EDGGDVFFVYRKHAAPPHAVRRALYDIATFLAVEK H257_02376 MTLIVVVYAGIEYDLEVDANDTAAVLCFQLYSLLGVDVDSQVLV TSTGHKVTLDDTIASLTASAPWLLLLDSTTPSSCFNPSIDSDWKDICTRLVAPNIPLA QPAYNLHGMAICQPCATTCVSTSGSFIEAVENTADTRDHIRQSFICDLAVVVGAADVP APLGFTKLNVDLNHTASGPFVFLCYKRGGNRRPVSHVKVVHGLPTAPPQVDGYEVLPV NLNHGTISSIGIYLCVRRLAADAWRQLSGLALHDLHVSSSRDPGAFVLSQVDLNGGND GTTPLFLRYKLNPVAGFVCGKHGECLFEPRVTGGHESATSWTHLTSQQQIVAAQHIDA TLRRSWNATAAIHFEREEARLKQMLAGQLHNTLKYERQDYQAQALAAIPLARLHERAR ANPTPQPTFEIEVLRQLITWFKHEFFSWMNAPACRVCGAPDTLSIRQEGPVTPEEIAG EAGRVEVYECRLCQAITRFPRYNDPTKLLQTRTGRCGEWANCFTLCCRALGYDARYVH DFTDHVWTEVYSSHHERWLHCDPCEDQLDCPLTYEVGWGKHLTYIFATSVDEIVDVAR RYTRDYDAMLERRTMAREPSLLRILSDLNATKTHAPDRKLILATRAAAEAVELAAVKE AKTHETVGRVSGSKEWRDARVESGNASGSAEPPPTSVVSSTLTTGAASVLDVNQELRS LLQRMLQGKGCSFADSCVNPFCLHSHVKAPGFDLTSYSATSIQSIVHLQDASAQGLTA LTCSEPGSFQSSLLALPLALYWPLQDHVSALVVDASGRGRHGINVNCPVQKPFAVKFS RFNTGLQLVPLTPPRSLSSGVSTSTSWTLQWLLRWHDQAVEALRPPNAAPSTPFLHLS PSPDQPLLFHLAYTDALTLEWLGPPDTTAAGSSASDSLAVDTTYHMALVSASGVVTCF VNGVAVFETRPLTTNPIQLASFEFAVAPSPRSSVLPLLSHVALVTRALSAEELHALAR AAVPSPRLVAGGADDFVDPSVTCLESVASEDSGHRVLAVHLWSGDFFDGVQLTYANTS TGVVTPGRAWATTTAAGATKQTLQLLEDEFIVQVRGRRGAWMDQMSVTTNFGRSLTAG GTGGGPFDVFIPAGYMVRAFSFDIGDHVNQPVVFSCPAPRGPVYVTLKAAKASQGKAA VELAAQGGNASSSFAPRLTCVVVVRYLTNLADKPDNETFHKIKATNSYFQKNVAPLGG HLDAVFDACGFDHVEEDGGDVFFVYRKHAAPPHAVRRALYDIATFLAVEK H257_02376 MTLIVVVYAGIEYDLEVDANDTAAVLCFQLYSLLGVDVDSQVLV TSTGHKVTLDDTIASLTASAPWLLLLDSTTPSSCFNPSIDSDWKDICTRLVAPNIPLA QPAYNLHGMAICQPCATTCVSTSGSFIEAVENTADTRDHIRQSFICDLAVVVGAADVP APLGFTKLNVDLNHTASGPFVFLCYKRGGNRRPVSHVKVVHGLPTAPPQVDGYEVLPV NLNHGTISSIGIYLCVRRLAADAWRQLSGLALHDLHVSSSRDPGAFVLSQVDLNGGND GTTPLFLRYKLNPVAGFVCGKHGECLFEPRVTGGHESATSWTHLTSQQQIVAAQHIDA TLRRSWNATAAIHFEREEARLKQMLAGQLHNTLKYERQDYQAQALAAIPLARLHERAR ANPTPQPTFEIEVLRQLITWFKHEFFSWMNAPACRVCGAPDTLSIRQEGPVTPEEIAG EAGRVEVYECRLCQAITRFPRYNDPTKLLQTRTGRCGEWANCFTLCCRALGYDARYVH DFTDHVWTEVYSSHHERWLHCDPCEDQLDCPLTYEVGWGKHLTYIFATSVDEIVDVAR RYTRDYDAMLERRTMAREPSLLRILSDLNATKTHAPDRKLILATRAAAEAVELAAVKE AKTHETVGRVSGSKEWRDARVESGNASGSAEPPPTSVVSSTLTTGAASVLDVNQELRS LLQRMLQGKGCSFADSCVNPFCLHSHVKAPGFDLTSYSATSIQSIVHLQDASAQGLTA LTCSEPGSFQSSLLALPLALYWPLQDHVSALVVDASGRGRHGINVNCPVQKPFAVKFS RFNTGLQLVPLTPPRSLSSGVSTSTSWTLQWLLRWHDQAVEALRPPNAAPSTPFLHLS PSPDQPLLFHLAYTDALTLEWLGPPDTTAAGSSASDSLAVDTTYHMALVSASGVVTCF VNGVAVFETRPLTTNPIQLASFEFAVAPSPRSSVLPLLSHVALVTRALSAEELHALAR AAVPSPRLVAGGADDFVDPSVTCLESVASEDSGHRVLAVHLWSGDFFDGVQLTYANTS TGVVTPGRAWATTTAAGATKQTLQLLEDEFIVQVRGRRGAWMDQMSVTTNFGRSLTAG GTGGGPFDVFIPAGYMVRAFSFDIGDHVNQPVVFSCPAPRGI H257_02376 MTLIVVVYAGIEYDLEVDANDTAAVLCFQLYSLLGVDVDSQVLV TSTGHKVTLDDTIASLTASAPWLLLLDSTTPSSCFNPSIDSDWKDICTRLVAPNIPLA QPAYNLHGMAICQPCATTCVSTSGSFIEAVENTADTRDHIRQSFICDLAVVVGAADVP APLGFTKLNVDLNHTASGPFVFLCYKRGGNRRPVSHVKVVHGLPTAPPQVDGYEVLPV NLNHGTISSIGIYLCVRRLAADAWRQLSGLALHDLHVSSSRDPGAFVLSQVDLNGGND GTTPLFLRYKLNPVAGFVCGKHGECLFEPRVTGGHESATSWTHLTSQQQIVAAQHIDA TLRRSWNATAAIHFEREEARLKQMLAGQLHNTLKYERQDYQAQALAAIPLARLHERAR ANPTPQPTFEIEVLRQLITWFKHEFFSWMNAPACRVCGAPDTLSIRQEGPVTPEEIAG EAGRVEVYECRLCQAITRFPRYNDPTKLLQTRTGRCGEWANCFTLCCRALGYDARYVH DFTDHVWTEVYSSHHERWLHCDPCEDQLDCPLTYEVGWGKHLTYIFATSVDEIVDVAR RYTRDYDAMLERRTMAREPSLLRILSDLNATKTHAPDRKLILATRAAAEAVELAAVKE AKTHETVGRVSGSKEWRDARVESGNASGSAEPPPTSVVSSTLTTGAASVLDVNQELRS LLQRMLQGKGCSFADSCVNPFCLHSHVKAPGFDLTSYSATSIQSIVHLQDASAQGLTA LTCSEPGSFQSSLLALPLALYWPLQDHVSALVVDASGRGRHGINVNCPVQKPFAVKFS RFNTGLQLVPLTPPRSLSSGVSTSTSWTLQWLLRWHDQAVEALRPPNAAPSTPFLHLS PSPDQPLLFHLAYTDALTLEWLGPPDTTAAGSSASDSLAVDTTYHMALVSASGVVTCF VNGVAVFETRPLTTNPIQLASFEFAVAPSPRSSVLPLLSHVALVTRALSAEELHALAR AAVPSPRLVAGGADDFVDPSVTCLESVASEDSGHRVLAVHRTILLLLQDKASSRMLGC CSVER H257_02376 MTLIVVVYAGIEYDLEVDANDTAAVLCFQLYSLLGVDVDSQVLV TSTGHKVTLDDTIASLTASAPWLLLLDSTTPSSCFNPSIDSDWKDICTRLVAPNIPLA QPAYNLHGMAICQPCATTCVSTSGSFIEAVENTADTRDHIRQSFICDLAVVVGAADVP APLGFTKLNVDLNHTASGPFVFLCYKRGGNRRPVSHVKVVHGLPTAPPQVDGYEVLPV NLNHGTISSIGIYLCVRRLAADAWRQLSGLALHDLHVSSSRDPGAFVLSQVDLNGGND GTTPLFLRYKLNPVAGFVCGKHGECLFEPRVTGGHESATSWTHLTSQQQIVAAQHIDA TLRRSWNATAAIHFEREEARLKQMLAGQLHNTLKYERQDYQAQALAAIPLARLHERAR ANPTPQPTFEIEVLRQLITWFKHEFFSWMNAPACRVCGAPDTLSIRQEGPVTPEEIAG EAGRVEVYECRLCQAITRFPRYNDPTKLLQTRTGRCGEWANCFTLCCRALGYDARYVH DFTDHVWTEVYSSHHERWLHCDPCEDQLDCPLTYEVGWGKHLTYIFATSVDEIVDVAR RYTRDYDAMLERRTMAREPSLLRILSDLNATKTHAPDRKLILATRAAAEAVELAAVKE AKTHETVGRVSGSKEWRDARVESGNASGSAEPPPTSVVSSTLTTGAASVLDVNQELRS LLQRMLQGKGCSFADSCVNPFCLHSHVKAPGFDLTSYSATSIQSIVHLQDASAQGLTA LTCSEPGSFQSSLLALPLALYWPLQDHVSALVVDASGRGRHGINVNCPVQKPFAVKFS RFNTGLQLVPLTPPRSLSSGVSTSTSWTLQWLLRWHDQAVEALRPPNAAPSTPFLHLS PSPDQPLLFHLAYTDALTLEWLGPPDTTAAGSSASDSLAVDTTYHMALVSASGVVTCF VNGVAVFETRPLTTNPIQLASFEFAVAPSPRSSVLPLLSHVALVTRALSAEELHALAR AAVPSPRLVAGGADDFVDPSVTCLESVASEDSGHRVLAVHRTILLLLQDKASSRMLGC CSVER H257_02377 MVPSSPSPYHSLQEDPSMPSTSRRKRSFRVFQESSTLLRTRGYL IPLPVTANPLPELLEPRSTTPTFLPRPSSFAKMFHRKPKPHLSRLPSIDPNATLSNNN RPDSSSDIVLHILSEPRAPVVPAAPTTAPLPSHSVTCSIPIDLYYTNEFHTTLSTSVT LSGWKVLDADLSLLSSLQLSCANPSQHLQHIYLNECSDFTDAGLTSLGGLPSLRTLHC RSCPQLLGHGLFAFTSSASLADLDISSNPWVHDAVFAFIVRSFSKLQSLRIAHCTQVT NQGLYALADRPHSSFTPLLQLDVSGCIKLSDAGLLVVLTHCLKLEHITIAHLPALEGI TMYAALPQQRLSPCLLAHVDLSHTKSLHFSVLPNIAKGCGRRLTRLNLTHTHGVSDAG LMALGKHCPHLEVLTLNGCCDITDVGVTHLVQYVPVLSEHDADFELHPTTARCTQLRA LDLTGCVLVSTIGVVAVATQCQHLSTLVLHGVPHIDSIAHVELAARCRLLADVGVTGM LVSAGDSTNFFAAPKLPPASLRALLVDSTATSFNFTKSACEPDHVAAALRAATSRQFK DLHLGSLVTDDVCAALVLCLTACSSSLRTLDLSRSRRFSTSSLQRVLQVTPQLTSLDI EHCDQLTNDLFVTLTQSCRHLEHINVAGNVYISDIGVCCLEPEIVCPRLMSLTIRGCA NVTKACLAAVAATHPRSQVGDGGLEPRPFDIGGFLARRRAVHQAACKVITWMRLCLQR YREHQLRRRLRWTRLNFRAQCARRIQRRVRAIRSAAAEAAQVRQAAIDAAVRRDAAAR VLVRYLRVFMLRLVIRRQVDAKRAADAFDAAVRKRFVENAATIAIQRAYRYYRGRLVT IQWQDRLTAYKTCRHRRALQVQQLVRGHRGRVTALALLQTEQTTLFAYMFNGYETMLA SLALHRVARGFLGRRRAGARRAYVSDVARIRTASASVIQRAFRAYFASIALSRHLFSN ATTIQALVRGRMGRKQAASYVLQQRYASAVVLCLLATHSIFQLKLAQPWQTKRHAGTH VAMSMQRCWRGYRGRVKANAQRSHHLHQMYMEDVSARVLQRFFAHIRTRRRLARFRAY VAHRHASATKIQATFRMWLGKVRAYAVYLGRQRQWKHHVIRSLYYQGLVYPATHAWSH WRLVQEGAMHVLVQFYRQSLRSRGWMAPSYIRHRHQRATHIQAWIRGHLTRKVVQRYA IQMDAAARCVQRGWHRKVEWKKWRAVVEGLRDKKRRQDSEDRASGIAKTRMKQFANDM LTRQATSAVVLQRTYRTWKQRHVYKKVRDGRVAQWKAEGGAKLDAHMAASCSHAVFRA QVWTDTMAKAVAVSQDLYIAQDVAIAYDEIVAMTTELMPLDLDEEIDALEQELADLTR ECHSEYATYEELAAEEADVDAWVAYFDRVRVSPKLKRERESALQSLAPFVTQGKRLIM ETSQLANECSRLSHELIRLDRMRRAFYEAATDRLSFDPLLYELDIDRMLDALEPQWQP NSVHLKDMVLSQVDNLLKPSNDKS H257_02378 MYRIPSTDDKKRDRSLSMSLSSSSYVSPQRRQPKKKPMLDRRST LLDLSTSQSPRFPFDAAAAAAVDNDYPETPEYFRQQRNKRIPTVPRVSPLHAKAMPSS SSSAPSPLFKAADQQSFLQSRVHSLTQALIKSNQHHKRTQEHLTWALEEHAMVQLEKQ QLQAQLSRVMALQHGKELDRAPPPMPSFDENDDSDVDMMQDIQGDDRATEQSVGMIQS TAALAQHLRQDNDALKAAFSSHVMEMQRAMTQMTISLHQAQARNTLLAHSDADVAALR ATCASLHADRKRLHNTIQELRGNIRVYCRVRPVLKLQHGACAAIRVESDTRVVVTQHS PNGSTVSQDTVFDVDKVFDQHHSQHDVFTEVQPLITSALDGYNVCVLAYGQTGSGKTH TMLGSLESDVDRGLSPRVFGQVFAQCHDNQSDIEMHLSVLEVYNEKVMDLVHPSPVPL DVRVDKKLGVTVPHRTWVAVSSVDECMLVMEKALRHRAVASNDLNATSSRSHCITSLQ VRRTNSSKSLSGGSVVVESKINLVDLAGSERLGSSNSEGDRRSEAQHINKSLLALRHV LLQLKNKQEYVSYRDSKLTLLLQDAIGGHAKTLLVVCVNPSGACAHESKCSLAFGERA NAVELGRAKQHITSSTSLVRGNNRKP H257_02378 MYRIPSTDDKKRDRSLSMSLSSSSYVSPQRRQPKKKPMLDRRST LLDLSTSQSPRFPFDAAAAAAVDNDYPETPEYFRQQRNKRIPTVPRVSPLHAKAMPSS SSSAPSPLFKAADQQSFLQSRVHSLTQALIKSNQHHKRTQEHLTWALEEHAMVQLEKQ QLQAQLSRVMALQHGKELDRAPPPMPSFDENDDSDVDMMQDIQGDDRATEQSVGMIQS TAALAQHLRQDNDALKAAFSSHVMEMQRAMTQMTISLHQAQARNTLLAHSDADVAALR ATCASLHADRKRLHNTIQELRGNIRVYCRVRPVLKLQHGACAAIRVESDTRVVVTQHS PNGSTVSQDTVFDVDKVFDQHHSQHDVFTEVQPLITSALDGYNVCVLAYGQTGSGKTH TMLGSLESDVDRGLSPRVFGQVFAQCHDNQSDIEMHLSVLEVYNEKVMDLVHPSPVPL DVRVDKKLGVTVPHRTWVAVSSVDECMLVMEKALRHRAVASNDLNATSSRSHWYFYY H257_02379 MGVTSADDAIATRVVMYMQEVLQTPQVTEVVTKFHNFLRSIGVP PPYPDAERLAISVVTVIGTLIFYYVFFGNRHRTRRYDLQKKLEEAAQKVHELEEKLAD MELSEDEENGRKPKKEVRIWMDGAFDMMHYGHMNAFRQAKSVGTYLVVGVNDDKSITE CKGAPPVMCDEERIASVAGCKFVDAVEPHCPYIMNDEYLLRMIEKHRLDYIVHGDDPC VVDGKDVYESAQKMGKYRTIPRTEGVSTSDILGRMLILNKAHHRHDTVTQLSSKVRGA NSAQLQSRPSRFLTTNRMLRLFSVGNKAPSPTARVVYVDGAWDMFHPGHVDILKLAKS QGDYLIVGVHNDGVVNKHRGLNYPIMNLHERVLSVLGCRYVDDVLIDAPWEITKEMIA SLRLKVVVHGSRRDGHDDPAEMAVHYKAARDAGIYVEVPSPRTLDVNDIVARITENRD RFEKKFVKKMEAEKEYYDDRYAAKEQDKKTQ H257_02380 MAKFYDKATGITALVAEIRQRAVEKDEMAKAVVGHGEPFAYSGW TFVGRKSHITPLQALDVLGNSIGMTPPEMVFGENQLLLLHEASGVCISFMAVEALSCC HFKAGSDAQQLKVTMARVQSATSADEVKELEISYDWTYTSDYKGTLARVDDHGVANTT ADAVRVVTTTERIDFEKLKVREDILWMEDVSLYEDELHDHGVSIYSVRIRVMPSGFYV LARYWMRLDNVVVRLNETRIHHVFGKDFLLREYTAKEVTFDTLFAAGHPNHMSNYTNI DTFQHLVPTKSSHFEKIYLH H257_02381 MLDGECATELWWLPDACTVVVHRQTRHTLRRMAPTLNCSVHELH TTLPHSLHPYRRRNQWNFLRHRLQCDDFLGWSWTLLLRPPMSDSGGMSNVLLRGGGAK PLRKYPSMYKRRRVVWGYGSKMPDRDATSSQSRLIATCISSTLASAVSVNLITLNTTC PRGNGTNRGHSGIEIDRTMRYPNRRPVDGVTPTPTASQNTMSNCW H257_02384 MVISDPNDARKRLLDAMAHKSKQNVSCHVNTIGTFDRPSVHDIG VDRSMAMNSAAFNHGPPATAAPIGIPSNFLRNLYGFLNNNLLPDTIAWDADGRSFSIL DADKMETSLPMPNLYRGRFKTFKMQLEKHGFVKSSDGTRYFRPDFVKGQPQQLGDVDM SSSSTSPSSFDELMDDAAHMKPLSLECRIQLPKKRRLHEEGRTSDPRTQPFWNSLHDN FHTINSTSGLLCSVNLSKHHRFGAPVSVPPSASAGASNMTSSSIPSLRPPHHDTVQAR LPSINRAFPLFGLNKAEASSNTMHPGPP H257_02383 MTAPENQNPSTNDMVNQGAKPTKASGAVSAFVMKLDQVVNDPAT DDVITWKRGPDGALVEPHSLVVLDKSKFSETVMPQYFRGSKYCSFIRQLNAYDFSHVG VVNGADPPAFTHPFFRQDDRSLLSRIERRKQDKRSRTTQPDDVKTVKKVEDKIVESYA PTSARRLGDNESARYIEAVQAIRDMLASAQMFGMTHLFMERVKEFLHVERKDFSDLQD GDVLQLLDNIILGKTTDAHVVATVPASPDDPSSATSTTTSSVDDGDDIHHRRHDNIVL HHGNDLHSRVKLENDGDDDDDDGFLDFDVDELEELLADHNL H257_02383 MTAPENQNPSTNDMVNQGAKPTKASGAVSAFVMKLDQVVNDPAT DDVITWKRGPDGALVEPHSLVVLDKSKFSETVMPQYFRGSKYCSFIRQLNGTFTLKVP SLLTSHAAYDFSHVGVVNGADPPAFTHPFFRQDDRSLLSRIERRKQDKRSRTTQPDDV KTVKKVEDKIVESYAPTSARRLGDNESARYIEAVQAIRDMLASAQMFGMTHLFMERVK EFLHVERKDFSDLQDGDVLQLLDNIILGKTTDAHVVATVPASPDDPSSATSTTTSSVD DGDDIHHRRHDNIVLHHGNDLHSRVKLENDGDDDDDDGFLDFDVDELEELLADHNL H257_02383 MSPPSFPCHDYSPSTQKFSETVMPQYFRGSKYCSFIRQLNAYDF SHVGVVNGADPPAFTHPFFRQDDRSLLSRIERRKQDKRSRTTQPDDVKTVKKVEDKIV ESYAPTSARRLGDNESARYIEAVQAIRDMLASAQMFGMTHLFMERVKEFLHVERKDFS DLQDGDVLQLLDNIILGKTTDAHVVATVPASPDDPSSATSTTTSSVDDGDDIHHRRHD NIVLHHGNDLHSRVKLENDGDDDDDDGFLDFDVDELEELLADHNL H257_02385 MSMNKMLQTVKRRLSNSSEGDLAAVSQLQLASATSMGVGSSQGT ARDDGIENVARSDVSLPKRERRRSSLVRNQKVAALKDLPHLKDTNMQKREALFQQKLE LCSVLFNFEDAASDKKGKDLKRQTLLELVDYVNNAGGQKIFTEALMPDIMGMVSANIC RALPPQTEDFDPEEDEPVLEPSWPHLQVVYEFFLRFIVSGEVNAKVAKKFVDQKFCSN VIELFDSEDPRERDYLKTILHRIYGKFMSHRSFIRKAISNVFYRFVYETERHNGVGEL LEILGSIINGFAMPLKREHLQFLVKALVPLHKPKCVSLYHQQLSYCITQYVEKDPDTA IPIISGIVKFWPWACSSKQVLFLNELEEILELMGPDQLQQIHKDLFRVLSKCLGSQHF QVSERALFLWNNEHLVNNGCLSRQHAALILPVIYGPLYKNSLGHWNTTVEGLAQNVLK LYMDYDMALFDKCAKEFLAKEERVVEKGNAQADKWKKIETLAQAKTREH H257_02386 MQPNAWAFIDDILGCLQRHLTLARLTSGFRGVLAAYWRLKAGLC QLASDFAPVDYVRRLGSTTVLQRWHQIQLCAGAMSPSFSAVFAPKFLNPVDRARRCVH AAVSLPLASLAHVECLQRANWHQVDAICGTVGWPRYARRRCHSSPWSNTVAPSRLTAT CPSCSMCRISPSRHLSTIVCASERRFKVSLVLTSIPACSTRPYKRTLRYTLVVAGRRA LSLDRDFVYLSS H257_02387 MTSESTPHVAIGEAIQDDKVHVVKERDMFALVEQILMQEDLYAI ADVLSAHVRSLFLPDVAASKVTSAVFLVDHNTTSLAKIDSTNLTVHSYSLNRGLAGLA VRSSIPFVGTDFALTFDPDVDIALVGSPCMSCIPLLNSSLAVFAVLQVRSPEPGLSMC DVDFLQRVGPILNQCLRKSIEFHDIVLRQRTQAALLHLVNSSSTEETILKLMERVING STHIVHAQRVTLFLVDWARNELWSLQPTFRHTRVRLDASCLLGDAALKGCIINVRDAA TDPRFHPPVDDPLQTGIITALYVPIGIPHGTADAAPPMAVLECINKLKPDGSSLSTEG FTNDDECAFEAYASEVAVVLRRRAHDTEYLKLLGDSAALASTSLEGTAAAATNRPLSS LLLDAWSSPSSPMANSNCNNSANPPPPRRIHTPNLVIDTFHLREEGSTSASAAASSSA LPPSPLSSLPAKPLLLSPRRFCVEGDVTAVPGWDLDVFIHDTDQLLGFAEQMLRDPRL VGPHDLNVPTLQSFLQVVHDHYHPNPFHNFQHGFSVLHVSYRILTDTPARHVLHPLDR LACLVASLCHDIDHPGHSNVFEIHSNSSLALTHNDDAVLERHHAATTFRLLQDPRVNI FASFPTSDFRYVRKAIVRAILGTDMAVHNDTIDALVSRFQPLPTATTPVKSPPLHHPT LASSVSSSASTSSGDSTGSLPPAICESPDVVPFPPSSPPPPWRVFENSEDDRLFLVKA IVHAADLSAQVFPKHIALKWSNMIAKEFAYQALMESAEGLPVTHQHVDDPLLMVESQH FFAARLVAPLWVALVQFFPQLHHCMTNLHANIAHYEVEIRRLQACGTACQKAHADSND KAPVLPAPTNEGQAVPGINKNTPAKFNSFRIRAASNTTATTPLLTPSPTSLSPLSISP RKSHHQLLHISTTSEDDYTADNVITLE H257_02389 MALCAFEKGKKVSPLSENWLVPSIMDVYDGQSIIVAQQEKFRLG NYLGSGIAGVVYEATDMQHHRPVAVKILNPVGYKLFFPQALARCEVIRAGAAFDTSLS STAVEKGHVWWLLHPNQHELIPCYKDVHTGTIKEMTLDMCASLWPLDANNGDDDPLET DVDMTAPDDVIVTASKTWHVPHVPKKYATFLQNRRTIYREIAHMHRLTGNTVDSGCVG NTGHDNVLKLFDVLEFVQPSKTTIFLVLELASGGEFFDRIKFDGGLADADARMYFKQL VAGVQYCHRLGIVHRDLKPENLLLGDGDVLKIADFGLSAHCIAAAVGHSSSVESTCSS DELLGVPELPSQVRRLKSVVGSPHYVAPEVTQKCHFGYDGRKADMWSLGVILYTMLVG GLPFGKDLTQCPRFAHFTAWITDGDPLLSPSGRHKFPGFLFPPSIGPDALRLLCSLLH PEPTKRCTCADALKSSWLVQS H257_02388 MPPLSPPKMSPYGASAKSKKRKLVRNEVALTPLSPTSIDATSSH GGQHPETPTTSSTPAKQRRMTVAELEEKKTLLPYLEKIEYINAQLRSRGIDIAVPLLK PSSVTAVPPPSQERNQPHDDDLVESGDVLRLESLSSRSETDLNATSTAMSPPPPTTST GATTMTPLTTAVLHQRYPRFSASIGTSMLCRSRPIASLLRLVDEIYDGYYAHYTETTR ATSFPLFVRTHLQRTLGLMALADQESLDLLYNLEMHRETFPHLGVFASFMRELEDEEG ALFYAHCRSVVQSTFGLSLKTKEKLLPQDFARQYHVAGAIVVADHPRLRDGTQSVHLS QTACSLLVLRAAKVPKRLANHVASVMLAPYTSAVTVDGAGRSLDQTIVGLDDVLARLL RIFRAVPEYIVLKYKYNDDGESLTLLTRLQDTIHHDLDKAKLKAALDQHVRHMRSLQI EVMKLDRVNVPEVHTKLFLLKNQLRTAERDVQHLTDQLRATDGQINAVWSSVLRDASN APTTQLSPLDNILARVGEYVAACHRDVVIEAKLKKVLHAKRRHPSETSWASQLDALQE RCVIKIQRLYRQRRAWHRERKAALADVQARRQLKHKRKAEKELERKRLDALRDRDAQR HMTRMKEKHRRDSDVQAKLKQQEDAVLRKAAVAESDRRGAAATARLVARVFKRWVQFR VVSLRVKYAKKLTLLGIFGRWKLWMQTSHAQTDAAITIQAAFRGMRGRRQARVLNRAR DKKNQLATRNVRRLVHRRLFKVWGAWTGFIQQQVDIKGRFARCMARCLMETYSQWARL LPRRRSAALTIQTMYRGHVGRQRAARRRMQHVAAVQIQRMARGRQGRKVATMARLLKA RQSQGTNALLRRMLLRTLSHVFDGFKRYWQLQRHMDAIAVARVHRRHIWCFRMLQAYR VARHLKKAERFRRQFDAATVIQRYFRGFWCRQAFRRLVRRHRSALLIQQTYRRYRHKW VVYEMQRQTSAATVIQCRVRRNKAVAVVTRRRHEFLWQAARRGDYHVVLRSFNSGSAW TSYDEDGNSLLHLACLAGSKRLIKLCLRYGMDINAANTTGLLTPLHTIIATTYPHRAE LVDYMIDHGAWHECRDGRGFTPLLLAASLGHVDCIQVLLARAADRNALTTAQQDAIGL ATSLNQVAAVASLLEAGYSPNTVIDPADGATLLHECAAHGYVEVAALLIARQANVNAQ DIEGNTPAIYAVFNQHTDVLAVLLTAGAAPDIVNVATRSAMHWAVGQADAIRLLADAD GDVNLRTKDNETPLHLTCVSDSFLESTRVLLSYGASVDSKNTRGHQPAHIAARGGAAA TMDLLIQYSTNMNARNFDNKNPLGEARMFNRSAVVAVIQRHYADDMKTLDDAGIDVEL VDETGVILPTKSPDEWQTTLASSVRLSTLNEWTQCVDPTTDWLFYHDATSNMCTWHAP IEYQAALGAHWRVEEQKSLVNTTSPSTENGGHADTSTLTDQQVQPTDTTEPKVYVYVH DQTGQVRTTVPPVDPDKLQALIQGMDQYKMLRSRIHKVSSETAASVATYRAFWADFTK DAEALRRQTQAAITIQKHFRAYFYHKRFVHLQLQHAMAIQLQRAYRGKIARRAAAHER RRHRCATTIQALVRGFLVRRREANHLHAYRVQYRLERRASVNVQRCWRGMHGRFRAKK FQAMKRGPQTYFEWADARKLATILSTFQVWQEMLLANTNKSSYGIFYANHITGQCVWD KPVAWVEHDRQQFLERQQMYYYGYTTQMLEAAVTLQSLFRMRTARVHFHRLMQGVAIC RRCESDYLNDPLNLTRLGNYALYLHAIRHDYDRARPLYRRLMEYMAARGPDVAFILRC YAVFVYVTEEEDDDSVAMLFARADAIDKPKTKFQLAFLGFFRYSQIMFATNAQSNLNY AACAHWVYGQAAVAKAHYLRALDADPYNKRILRLFNTFLGRSNDPDGDDGAAHYMRYQ ATLVQSEDASRQQQWLDATATEQRHRAAVLLQTRFRARHQRKRVLRMKSVLPVPHKAL STEELQLHQAFDTVAATNRNPSVLRVDQLADVYPLLGWSVQEAADDVAYATSHMEFQY PQSITWTRFRKWIQEEAAPPSHWEVCGTDDGSVYYYNTVSGATQWEKPRIRRPILAPR VDRWESAFTDQGDVYYYNAFTGESRWDNPSSVLDDAASGWEQGVDDMGQTYYYNPTTG ESAWENPPAAAVNEVDDQWDDATDGTMSYVVHKVTGESLWVRPTDGWAAGQDAHGRVY YYHIDRNESQWASPWSCSTSSGDDQVSSVTVDESHKMIEAVNESN H257_02388 MPPLSPPKMSPYGASAKSKKRKLVRNEVALTPLSPTSIDATSSH GGQHPETPTTSSTPAKQRRMTVAELEEKKTLLPYLEKIEYINAQLRSRGIDIAVPLLK PSSVTAVPPPSQERNQPHDDDLVESGDVLRLESLSSRSETDLNATSTAMSPPPPTTST GATTMTPLTTAVLHQRYPRFSASIGTSMLCRSRPIASLLRLVDEIYDGYYAHYTETTR ATSFPLFVRTHLQRTLGLMALADQESLDLLYNLEMHRETFPHLGVFASFMRELEDEEG ALFYAHCRSVVQSTFGLSLKTKEKLLPQDFARQYHVAGAIVVADHPRLRDGTQSVHLS QTACSLLVLRAAKVPKRLANHVASVMLAPYTSAVTVDGAGRSLDQTIVGLDDVLARLL RIFRAVPEYIVLKYKYNDDGESLTLLTRLQDTIHHDLDKAKLKAALDQHVRHMRSLQI EVMKLDRVNVPEVHTKLFLLKNQLRTAERDVQHLTDQLRATDGQINAVWSSVLRDASN APTTQLSPLDNILARVGEYVAACHRDVVIEAKLKKVLHAKRRHPSETSWASQLDALQE RCVIKIQRLYRQRRAWHRERKAALADVQARRQLKHKRKAEKELERKRLDALRDRDAQR HMTRMKEKHRRDSDVQAKLKQQEDAVLRKAAVAESDRRGAAATARLVARVFKRWVQFR VVSLRVKYAKKLTLLGIFGRWKLWMQTSHAQTDAAITIQAAFRGMRGRRQARVLNRAR DKKNQLATRNVRRLVHRRLFKVWGAWTGFIQQQVDIKGRFARCMARCLMETYSQWARL LPRRRSAALTIQTMYRGHVGRQRAARRRMQHVAAVQIQRMARGRQGRKVATMARLLKA RQSQGTNALLRRMLLRTLSHVFDGFKRYWQLQRHMDAIAVARVHRRHIWCFRMLQAYR VARHLKKAERFRRQFDAATVIQRYFRGFWCRQAFRRLVRRHRSALLIQQTYRRYRHKW VVYEMQRQTSAATVIQCRVRRNKAVAVVTRRRHEFLWQAARRGDYHVVLRSFNSGSAW TSYDEDGNSLLHLACLAGSKRLIKLCLRYGMDINAANTTGLLTPLHTIIATTYPHRAE LVDYMIDHGAWHECRDGRGFTPLLLAASLGHVDCIQVLLARAADRNALTTAQQDAIGL ATSLNQVAAVASLLEAGYSPNTVIDPADGATLLHECAAHGYVEVAALLIARQANVNAQ DIEGNTPAIYAVFNQHTDVLAVLLTAGAAPDIVNVATRSAMHWAVGQADAIRLLADAD GDVNLRTKDNETPLHLTCVSDSFLESTRVLLSYGASVDSKNTRGHQPAHIAARGGAAA TMDLLIQYSTNMNARNFDNKNPLGEARMFNRSAVVAVIQRHYADDMKTLDDAGIDVEL VDETGVILPTKSPDEWQTTLASSVRLSTLNEWTQCVDPTTDWLFYHDATSNMCTWHAP IEYQAALGAHWRVEEQKSLVNTTSPSTENGGHADTSTLTDQQVQPTDTTEPKVYVYVH DQTGQVRTTVPPVDPDKLQALIQGMDQYKMLRSRIHKVSSETAASVATYRAFWADFTK DAEALRRQTQAAITIQKHFRAYFYHKRFVHLQLQHAMAIQLQRAYRGKIARRAAAHER RRHRCATTIQALVRGFLVRRREANHLHAYRVQYRLERRASVNVQRCWRGMHGRFRAKK FQAMKRGPQTYFEWADARKLATILSTFQVWQEMLLANTNKSSYGIFYANHITGQCVWD KPVAWVEHDRQQVCPRVIKPFKRSLRLYFAKNSSVSSINM H257_02390 MSAPTKADEALTVDGVVEGSELMQLQCRFYESRFPEIDELVMVN VRSIAEMGAYVSLLEYNNIEGMILLSELSRRRIRSINKLVRVGKNEVVMVLRVDKEKG YIDLSKRRVSPEDIIKCEERYNKAKTVHGVLRQVAQDTGLELNDLYEKIGWPLYKKFK MEQPLGGDDEKKVEYIHCYDIFKMGITDATVFDGLDIEPATLVSLRTHIGRRLAAQPI KIRADIEVTCFTYEGIEAIREALTAAQLKSTEDVPVKVKLIAPPMYVMTTNTLDKVKG IATLHEAIEAVRTIITSKGGQLVVKMEPKVVSVNEEREFLQMIEKLENENRQVDGDDD EED H257_02391 MVDLSNDVTCLLRAIRNGAYYGTKIRAPHAFVMVMLFQQRPLRE KLRGIIKLTYEHTKNLAYFVGVYKGVLLLLKEAGRAAGSSSCVLHQGLNPLVPWHAAL AGAVGGYVVWSKYSSVNYQIVLYLFSRVLIGLVKLGSEKGWPILKKYSFPQVYPVLAC ATWAVVMWLFEYHRHVLHPSLAKSMDFLYHDSNSWTTIQDFLPSPATVAVLALTWVNF H257_02392 MKKARKIVIKPFKQAPSVPEGFEEKAWKSLEVSLLCLQNKSESA AVSLGWEELYGLVTDLCHQKKAAWLYELLQKHLAAYVERTLKSACEEHGILLMESAVF VERLVGIWEEYCSDLLMIRNLCLYLDRTYVIQTSNVASIYDMGVGCFQATIQTLPPLE AKVTSSFLQEVERERYGETVQRNHLKSLVRMATALHMYTKHVERPFLAASEVFYAQEG QQLLESASVGSFLLHVEKRLAEEHSRVTSVLDGNVITKKGIVQVVESQLLSPHVSLLI ERGFDELASAKRVDDLHRMYDLWGRVNQLPLLRASWSKYIVLRGTSIVTDVSATSGDV DKGMVSALLSFKVDLDEMLESAFEMDQAFVHALKSAMEAAINAKAARPAELVAKFVDA KLKTGNKDGSDREVEALLDRVMILFRYIQGKDVFEAFYKKDLAKRLLLGRSASFDLEK SMIAKLKTECGSSFTNKLEGMFKDIDLSRGVMTQFQQHAPSRVALTQLVHKVDMHVHV LTTGFWPPYVPTEINLPQSLSPSKAIFESFYTSKFNGRQLQWQHSLGHCLVKANFPKG RKELAVSLFQALVLLCFNHGRDVIGFKDILGQTGIEIGELRRTLQSLACGKVRVLTKQ PKGRDVADTDEFVFNASFSNQLMRIKINSIQMKETTEENTETHEAIFRERQYQVDAAI VRIMKSRKTLSHALLMSELFVQLKFPAKPVDIKRRIESLIDREYLERDKANAQVYNYL A H257_02392 MKKARKIVIKPFKQAPSVPEGFEEKAWKSLEVSLLCLQNKSESA AVSLGWEELYGLVTDLCHQKKAAWLYELLQKHLAAYVERTLKSACEEHGILLMESAVF VERLVGIWEEYCSDLLMIRNLCLYLDRTYVIQTSNVASIYDMGVGCFQATIQTLPPLE AKVTSSFLQEVERERYGETVQRNHLKSLVRMATALHMYTKHVERPFLAASEVFYAQEG QQLLESASVGSFLLHVEKRLAEEHSRVTSVLDGNVITKKGIVQVVESQLLSPHVSLLI ERGFDELASAKRVDDLHRMYDLWGRVNQLPLLRASWSKYIVLRGTSIVTDVSATSGDV DKGMVSALLSFKVDLDEMLESAFEMDQAFVHALKSAMEAAINAKAARPAELVAKFVDA KLKTGNKDGSDREVEALLDRVMILFRYIQGKDVFEAFYKKDLAKRLLLGRSASFDLEK SMIAKLKTECGSSFTNKLEGMFKDIDLSRGVMTQFQQHAPSRVALTQLVHKVDMHVHV LTTGFWPPYVPTEINLPQSLSPSKAIFESFYTSKFNGRQLQWQHSLGHCLVKANFPKG RKELAVSLFQALVLLCFNHGRDVIGFKDILGQTGIGTTI H257_02393 MLAKGTILKNWVVGDKLGSGACSDVYAVTSKSNSAESFAMKLSP IPPPSKSKKKTHAARSADALYAEHLLYVNTLQGIPGIPALPTTGAYGEDKGYRFLVIQ RLGRTLEQVKTANGGTLPESTVARLGLEILSTLRHLHARKLLFVDVKPDNFMLSRDVE DQVYCVDFGIAERYILVTGAHKPYKTGAVVGTPTFSSVHCHGGATPSRRDDLEALLYV CLYLIRGDLPWQSASSDAEGARVKAECDPDHLCASLAGEWKRLLSTIQLCAFDQEPNY DDFETSLKRIAGPTSLRGVYQWGTSSTESKRAKLKAGSTIAPALALSTTKASSPTDDG EENKATSAKKGGVKRKDPSSSTKTPPQPDVVDVDLEKKRKDPSERRKKAASRAVGALA AASAAVTRARRTRSTTQAELQ H257_02394 MAAAAVSSERRLSKTPVHQQLLSCTIVVGSTELPCQFEYRDYVQ TLVKLAQVHFVNEHNGDASQLVGLRNRRTSRDLYYFSAAGEEIQNGDVLEGRPYTAAP PTVSESAMSIASTANRRFKKQMGRPGLFSVVLHSAHSLTEWTDRALVATVQLQPYASS VDTAESFSHEWHASMTFKHPDPSTDHVFLEITLRTAAPTPRSTPSSSRLPSSPRFDSG VVGHVRIPMSRCILTPGISVTESIPMDPPLLFTASPRSSSSFSSSLLSPTSRHVSITY AFHPTVYHGPTTTTMGLSGISPLAAKIYNSALKPRRMSKPGPPALPPTTKQPPSRGFL SVFVKGVHVCGPQCSKLDGHSTLFTPDFDPIVSMGFEQATKEMYVHATECHAVVFKKK YDVHSVNSEFEVDLLKPIAIAASPSATRLKNGKQAIRYGSVRIGMAELLQREGAAWTM APTPPTLGPGKKPPEPGFDWYILRQSDEEVGLVHIQATYRENIGAMFSTTLHPDATYV RPDEIEFNADLIKRNIERLDALVQSIQSTKVVVHELLEWKSPAQTLVTWASLTLGVWY FPTSHAPAVVLVGLLVVLLVNFQYFWRGGVQRQWTFYDPDEVKMKMFRSVATLRVVPL GAENLVQVVKDVDGKQPPPRPLDSYVRIVYEPNFNELPERLIAQTSTVMNSIHPVYGQ TTKMSSTTQDDGLSSSIVSTSRTSTTTALAKCSGGGSGATIGGGPSTDIRALNNKWFK DLFGHLSPLAKDAVLHDVVEAWKRRDGSGVDVHAFKYPLLQPVRTNPVTELEEVLDWE ATPGVLRFDVLQENPLTASQNLLGQVRLPLKMLVTSGRLGGAQIELEKAFALQNTPPS GDADPLRPGPSLTIRAQLILRDPSKRVTWKERLASEAMYSVLEMESAKSLSLVEKYHM ARNVARTIQHELGKLADLLEKIKNLFLWTHPTKTAMAAAALCVGAMITSVIPVKYFVL FSITKKFTNRFHRLCDFRLVDRDMIRVLNFVSTFPTDLEEQQMFRHANQAYLREKEHT AAQAKLQADWAGYIYKRGEGVFVGWAHRYAAVRNGKLEYWNTIADAKHGVPPKGHIVL SPTIDKCTKAELSNAPKDTFPFVVFNVDHRHLLDSTEGRRRIVAVTTEQDYQGIVRAI QDSS H257_02394 MAAAAVSSERRLSKTPVHQQLLSCTIVVGSTELPCQFEYRDYVQ TLVKLAQVHFVNEHNGDASQLVGLRNRRTSRDLYYFSAAGEEIQNGDVLEGRPYTAAP PTVSESAMSIASTANRRFKKQMGRPGLFSVVLHSAHSLTEWTDRALVATVQLQPYASS VDTAESFSHEWHASMTFKHPDPSTDHVFLEITLRTAAPTPRSTPSSSRLPSSPRFDSG VVGHVRIPMSRCILTPGISVTESIPMDPPLLFTASPRSSSSFSSSLLSPTSRHVSITY AFHPTVYHGPTTTTMGLSGISPLAAKIYNSALKPRRMSKPGPPALPPTTKQPPSRGFL SVFVKGVHVCGPQCSKLDGHSTLFTPDFDPIVSMGFEQATKEMYVHATECHAVVFKKK YDVHSVNSEFEVDLLKPIAIAASPSATRLKNGKQAIRYGSVRIGMAELLQREGAAWTM APTPPTLGPGKKPPEPGFDWYILRQSDEEVGLVHIQATYRENIGAMFSTTLHPDATYV RPDEIEFNADLIKRNIERLDALVQSIQSTKVVVHELLEWKSPAQTLVTWASLTLGVWY FPTSHAPAVVLVGLLVVLLVNFQYFWRGGVQRQWTFYDPDEVKMKMFRSVATLRVVPL GAENLVQVVKDVDGKQPPPRPLDSYVRIVYEPNFNELPERLIAQTSTVMNSIHPVYGQ TTKMSSTTQDDGLSSSIVSTSRTSTTTALAKCSGGGSGATIGGGPSTDIRALNNKWFK DLFGHLSPLAKDAVLHDVVEAWKRRDGSGVDVHAFKYPLLQPVRTNPVTELEEVLDWE ATPGVLRFDVLQENPLTASQNLLGQVRLPLKMLVTSGRLGGAQIELEKAFALQNTPPS GDADPLRPGPSLTIRAQLILRDPSKRVTWKERLASEAMYSVLEMESAKSLSLVEKYHM ARNVARTIQHELGKLADLLEKIKNLFLWTHPTKTAMAAAALCVGAMITSVIPVKYFVL FSITKKFTNRFHRLCDFRLVDRDMIRVLNFVSTFPTDLEEQQMFRHANQAYLREKEHT AAQAKLQADWAGYIYKRGEGVFVGWAVHDVPMSNNYVD H257_02395 MTSGGNGGEEKLMYLFTNNDVWDVDDADDTILREFTKAESVNIN VTKVTDDVLNTFAHMAALWDRPRVLEHLIRLGADLNATNKVGNTPADIAMHWGNADLA LQIKHYGGKHCCEQERDLAIAQRDLVQSKINDATSKMEKAMGDWLQISKDKEDLRVER DRLLLRVDDMERQMAQVKTDYATYRGLYEQRQVQLEAMRLEVDKLRLAVADEAQAKQN ALQGWQKAESYAKEIQHMREIAMECEEEAVRMRDDAVCERDAARDLMKRAQADHVVAV TLQKQAEGERDVALGEKDDAMANVRTQIELWAVKVKEAEHERAMIQVEINRQKASLEA KCKWLEKELARVNLLSTVLESQLGETTLKLTQAQTNQESSSTQLEKSLRKTKRLENEL KELIEARTDEKHMWKLKEQQALHVESQHAMQTILKAAMRLWTKLANIEWIFDFVAHPD VLEDGNGDPVRSMVSSPMWKPPPKKAASSSVRPQTTHEPSRPNHRHSTPTLLTPLTTP STVLVDTAASTKFADKLESIQGKVKTWMHWCSQSLDSDVKWDKTLLDQSAQNIDCLLT VADGLFDFLGVAMKDKVTAFRKQVDMQVKNVERKEMLLKRFTQHREESLRRPKQPAHF RECSIGPG H257_02398 MNVLVLGGTGPLGLCLLNQLVEAKTNPDFPAKLDVITAVVRPAS KDKIGQDTLAGVKVIEGNLLDETTLTQALDNQNVIIVAVGHGSVCHESQKLLNAHAAT SQAHRVVVVTSLGTNESYDECNFFTKTLVSTVLRTEIGHKKIQEDLLRSGPFSSSDTK DFVLVRPAGLTGPEVTGVYTAAEHGVAGGRITRGNVAHFIVNELLSGKKGDKYSNKSF QLA H257_02399 MQQVARRAFSSKTIPEITRVGMVGMGLMGHGIAQTAATAGYDVI AVDTNQKGLDAGLKRIEGSLEKIHARQIKKGDMTEDQAKDLFASIMGRIHGTIDKKDL APCDLVIEAIIEDMDIKKSFYKELGQIVKPSGILASNTSSLPIGDFAGSSGRADKVVG LHFFNPVQLMKLVEVVKTDLTDPNVFDVAKRWAVSVGKTPVSCKDTPGFIVNRLLVPN LVQALQLLERGDASMEDIDISMQLGAGHPMGPITLADYIGLDTILFILEGWVQKYPTE PSFVVPTILKQMVQDGKLGRKAGQGFYKWNGDKRV H257_02400 MADEAIERVLKVINQVFAFKIPPQSSAAGHRADSWPKDPVWTGR LVISSINDATQIQLRDPKTGALFAACPISKDGPPAVTKVVDSSRYFVLRLVDPKSGRH AFIGIAFEDRNDAFDFNVAIDDHQNEVKREEHAATEAVTNPTVSKDFSLKQGQTIKIK LNKKEKDSDGNPAPVQPLAAPAASKQSDNFGVFSSFGSPTATQPAAPPSTWETF H257_02401 MSDFTTLQVHAGHEGGEPGSRARAVPIVASTSFLFDNADHAGKL FGLQEFGNIYSRIMNPTNDVFEKRVTALSGGVASVAVSSGQAAQALTIFTIAQAGDNI VSTSSLYGGTYNQFKVAFPRLGINVKFANHADPASIRALIDDKTKAIYVETIGNPELD VPDFEAISAIAKEHDIPFIVDDTFGASGYLVNPIKHGADIVVQSATKWIGGHGTSIGG VVTDAGTFNWGNGKFPMMSEPSPGYHGLNFWKVFGPGGVLGANATFAIRLRVESLRDF GSCLSPFNSFLLIQGLETLSLRVDRASDNALALAQWLESHPKVDWVSYPGLPSHRSYE NAKKYLYRQKYGAVLTFGVKGGLEAGRSFINSVKLASHLANVGDSKTLVIHPASTTHQ QLVEEEQSATGVRPDMVRVSVGIEHIDDIKADLDQALAVFGKK H257_02402 MSDFTTLQVHAGHEGGEPGSRARAVPIVASTSFLFDNADHAGKL FGLQEFGNIYSRIMNPTNDVFEKRVTALSGGVASVAVSSGQAAQALTIFTIAQAGDNI VSTSSLYGGTYNQFKVAFPRLGINVKFANHADPASIRALIDDKTKAIYVETIGNPELD VPDFEAISAIAKEHDIPFIVDDTFGASGYLVNPIKHGADIVVQSATKWIGGHGTSIGG VVTDAGTFNWGNGKFPMMSEPSPGYHGLNFWKVFGPGGVLGANATFAIRLRVESLRDF GSCLSPFNSFLLIQGLETLSLRVDRASDNALALAQWLESHPKVDWVSYPGLPSHRSYE NAKKYLYRQKYGAVLTFGVKGGLEAGRSFINSVKLASHLANVGDSKTLVIHPASTTHQ QLVEEEQSATGVKPDMVRVSVGIEHIDDIKADLDQALAVFGKTEVPVQA H257_02403 MGLEGALPHQRHDLEAVLRPILFVVVVGDRDVVGIEFADEHPER VDVGCDRVSVARNQLGGGPPVRPHALGHGVVVDFFGNAEIRQFGPHFVGQEHLPRLEV AVDNVHRVQIRQPFHHVAHDVDLVRPWKQHWR H257_02403 MGLEGALPHQRHDLEAVLRPILFVVVVGDRDVVGIEFADEHPER VDVGCDRVSVARNQLGGGPPVRPHALGHGVVVDFFGNAEIRQFGPHFVGQEHLPRLEV AVDNVHRVQIRQPFHHVAHDVDLVRPWKQHWR H257_02403 MGLEGALPHQRHDLEAVLRPILFVVVVGDRDVVGIEFADEHPER VDVGCDRVSVARNQLGGGPPVRPHALGHGVVVDFFGNAEIRQFGPHFVGQEHLPRLEV AVDNVHRVQIRQPFHHVAHDVDLVRPWKQHWR H257_02403 MGLEGALPHQRHDLEAVLRPILFVVVVGDRDVVGIEFADEHPER VDVGCDRVSVARNQLGGGPPVRPHALGHGVVVDFFGNAEIRQFGPHFVGQEHLPRLEV AVDNVHRVQIRQPFHHVAHDVDLVRPWKQHWR H257_02403 MGLEGALPHQRHDLEAVLRPILFVVVVGDRDVVGIEFADEHPER VDVGCDRVSVARNQLGGGPPVRPHALGHGVVVDFFGNAEIRQFGPHFVGQEHLPRLEV AVDNVHRVQIRQPFHHVAHDVDLVRPWKQHWR H257_02403 MGLEGALPHQRHDLEAVLRPILFVVVVGDRDVVGIEFADEHPER VDVGCDRVSVARNQLGGGPPVRPHALGHGVVVDFFGNAEIRQFGPHFVGQEHLPRLEV AVDNVHRVQIRQPFHHVAHDVDLVRPWKQHWR H257_02403 MGLEGALPHQRHDLEAVLRPILFVVVVGDRDVVGIEFADEHPER VDVGCDRVSVARNQLGGGPPVRPHALGHGVVVDFFGNAEIRQFGPHFVGQEHLPRLEV AVDNVHRVQIRQPFHHVAHDVDLVRPWKQHWR H257_02404 MRVLVVLAAVGCPALSLFSQARVTCISVTRSDACNCRPPRQTLQ QPSQLLAFVSPLVPTTLYPSTESASTNVPLVVGVGGGAFVLGCLMVFFIYRNQASKLR RRLAEDMVFGDFKSDAIVSRRSGHVTLSCQTSQGTYSRMGLFNRADDVDKVVGFQLDM GHLDLWRIDENDLRSHELLAKGANGEVWVGDYRGLLVAVKKSADAGKSNQDVQKFIDE IKLFTKLDSP H257_02405 MTRNSSPVSREKCNNHAPGAADAKSSHGVDRANPASANKNVIEY LRLSMVSGSDSVGPGSVTHKMPMEDTNDTGDSMAPSLSREVAPMISAATLSKKHVGAA PEVVDDHVPNQVGNHRRVARVVFGDALLDLADEIGADLVGMNAACSSTC H257_02406 MHQHGAPQDDEVTIFRSGRTDEDRDDSLTQSERSGSITQPDAFR RASLPRESQRGSVLMARPSNVFNPMPSSPSRFSGRQSTYVHHHQFSMHDDGSTSTEDM DTLNPSKEKMKLVNIATLERPEAQRDYRGQLRRWPGMLLLGVVVATAVVAISLGARQS YNASLQRRQDVLVREQRRRAIQDGLVDDSVLVDADGKVGNPMTYDSTGRKCQLPDYQS KNGRVYAVAANGTEVTVAIKGTNWFGMETGHAIPFGLWENDQNGTTVYEVAAFLHRHK FNSIRLPLCAQSILKNTAPDKRLINLDTNRAINIKGYMELLKSVLKALAYRDITVLLS MHTLTTKGATGSWFNADVSEDDFLKAIDMLTSELCSDEYWNVIGIDLKNEPNDCGWGP SDKASAKCDWVAGAKLIGDRMHAGCKNWLAFVEGSASMGHTVGKITYFDWWGGRLQDA DTVPVTLKTQDKLVWSPHYYSTAVAPQPYFYDNVVRAADGQGYASYTELPDDTLKTNI HITMEHMFGYLREKRKYAIVVGEFGGLYTKDEHPQYTIRRTVDFTIQEMMLDGYSGGY MWCINPESAYDFPSAGRKAFTSEGLLLDDWLTPNKLFMEAMAKMNALPNLRPFPCFAP EKKKP H257_02406 MHQHGAPQDDEVTIFRSGRTDEDRDDSLTQSERSGSITQPDAFR RASLPRESQRGSVLMARPSNVFNPMPSSPSRFSGRQSTYVHHHQFSMHDDGSTSTEDM DTLNPSKEKMKLVNIATLERPEAQRDYRGQLRRWPGMLLLGVVVATAVVAISLGARQS YNASLQRRQDVLVREQRRRAIQDGLVDDSVLVDADGKVGNPMTYDSTGRKCQLPDYQS KNGRVYAVAANGTEVTVAIKGTNWFGMETGHAIPFGLWENDQNGTTVYEVAAFLHRHK FNSIRLPLCAQSILKNTAPDKRLINLDTNRAINIKGYMELLKSVLKALAYRDITVLLS MHTLTTKGATGSWFNADVSEDDFLKAIDMLTSELCSDEYWNVIGIDLKNEPNDCGWGP SDKASAKCDWVAGAKLIGDRMHAGCKNWLAFVEGSASMGHTVGKITYDYRYLHSRRRN RYIYYIPCGCRYFDWWGGRLQDADTVPVTLKTQDKLVWSPHYYSTAVAPQPYFYDNVV RAADGQGYASYTELPDDTLKTNIHITMEHMFGYLREKRKYAIVVGEFGGLYTKDEHPQ YTIRRTVDFTIQEMMLDGYSGGYMWCINPESAYDFPSAGRKAFTSEGLLLDDWLTPNK LFMEAMAKMNALPNLRPFPCFAPEKKKP H257_02407 MQVQVQDDVLPAQVEQSPLSAYSHVEEGSLGEDDRDQQLLESIR HPWYWRLFHIAEGTATIVDTDGRKTRRARSSANSLEHPIVASLVLQFPWIGLSLLYFS VFELMTSYLTSRGVHYFVPRYMRAFLTFALAPLVGAASDRCTAKAGRRNHFLMLATLK LVISVMFLGGSLSIFGDHLFLLSINIAVIIWGTVSMEVAVRARVFDEIPKEYQVHAHA CGSIWHSVGVALGMVLVGGGAKVVYGDQITEDVMLFTCGIVVTAILVTVGVSMYLKPE NMLYQERKHVVSVERFFTEVWDVITGAPMEIKLMCLLQLIVWMAWFALDNQKYKWWAE TVFRGCPVLQSNATSADGVGCDGHGVDLYMEGLDMARHAVQGLSAVAFTSTLTFFWWI PRNPTGASLKQVNLTYMTLGVVMLVVAVAVGSASHAVSFIAFVSLGGYFATVNILPFA MTGIIAKDFMDATDRFNNNGLYVGLLMQFSCCAKFAVENYNTTSISSLGSDNILALPL LLFVASTVFTYYNKYDV H257_02407 MQVQVQDDVLPAQVEQSPLSAYSHVEEGSLGEDDRDQQLLESIR HPWYWRLFHIAEGTATIVDTDGRKTRRARSSANSLEHPIVASLVLQFPWIGLSLLYFS VFELMTSYLTSRGVHYFVPRYMRAFLTFALAPLVGAASDRCTAKAGRRNHFLMLATLK LVISVMFLGGSLSIFGDHLFLLSINIAVIIWGTVSMEVAVRARVFDEIPKEYQVHAHA CGSIWHSVGVALGMVLVGGGAKVVYGDQITEDVMLFTCGIVVTAILVTVGVSMYLKPE NMLYQERKHVVSVERFFTEVWDVITGAPMEIKLMCLLQLIVWMAWFALDNQKYKWWAE TVFRGCPVLQSNATSADGVGCDGHGVDLYMEGLDMARHAVQGLSAVAFTSTLTFFWWI PRNPTGASLKQVNLTYMTLGVVMLVVAVAVGSASHAVSFIAFVSLGGYFATVNILPFA MTGIIAKDFMDATDRFNNNGLYVGLLMQFSCCAKFAVENYNTTSISSLGSDNILALPL LLFVASTVFTYYNKYDV H257_02407 MQVQVQDDVLPAQVEQSPLSAYSHVEEGSLGEDDRDQQLLESIR HPWYWRLFHIAEGTATIVDTDGRKTRRARSSANSLEHPIVASLVLQFPWIGLSLLYFS VFELMTSYLTSRGVHYFVPRYMRAFLTFALAPLVGAASDRCTAKAGRRNHFLMLATLK LVISVMFLGGSLSIFGDHLFLLSINIAVIIWGTVSMEVAVRARVFDEIPKEYQVHAHA CGSIWHSVGVALGMVLVGGGAKVVYGDQITEDVMLFTCGIVVTAILVTVGVSMYLKPE NMLYQERKHVVSVERFFTEVWDVITGAPMEIKLMCLLQLIVWMAWFALDNQKYKWWAE TVFRGCPVLQSNATSADGVGCDGHGVDLYMEGLDMARHAVQGLSAVAFTSTLTFFWWI PRNPTGASLKQVNLTYMTLGVVMLVVAVAVGSASHAVSFIAFVSLGGYFATVNILPFA MTGIIAKDFMDATDRFNNNGLYVGLLMQFSCCAKFAVENYNTTSISSLGN H257_02407 MLATLKLVISVMFLGGSLSIFGDHLFLLSINIAVIIWGTVSMEV AVRARVFDEIPKEYQVHAHACGSIWHSVGVALGMVLVGGGAKVVYGDQITEDVMLFTC GIVVTAILVTVGVSMYLKPENMLYQERKHVVSVERFFTEVWDVITGAPMEIKLMCLLQ LIVWMAWFALDNQKYKWWAETVFRGCPVLQSNATSADGVGCDGHGVDLYMEGLDMARH AVQGLSAVAFTSTLTFFWWIPRNPTGASLKQVNLTYMTLGVVMLVVAVAVGSASHAVS FIAFVSLGGYFATVNILPFAMTGIIAKDFMDATDRFNNNGLYVGLLMQFSCCAKFAVE NYNTTSISSLGSDNILALPLLLFVASTVFTYYNKYDV H257_02408 MDQPPRPRLIPSTQFQHRDVLIGYRSTYVPKPEGDASPQSYAVR CSFPPPSTPPSINMRRSLHKRYGRDFCRAQRTTRPPSPPPLSTRRGAPPAAPVAVDLA TPAPPSRRHVRSHSLTMLTLGESHPPSTSTVNEASPHPTTPAKHTRSRSFSISELTVS HLLDDAPPPPKTVGNPRVKTKWKTLGEHLWHQKSKMLLNALILTPPRHLYIERKNEVQ NPLVHDGEPPSISNTDDNTNFDTFIAGYFRNMSFTAKPLQTQLNRRRSSTNTINRRES TETKWSRWRRYPPNKRPEWPATSPTKRHLSGGRVSYADYLAHGNSYRQRAGLKEHLLQ C H257_02409 MSAKAIREYDGKRLLGKWLSDYSSGKHTLESRFVQITPDIFDAK IPTVTFDSIARENPWLLQTKLVVKPDQLIKRRGKANLLLLNATWDEVKQWIKERMSRE IQVEVVSGILDHFIVEPFVPHASTDEYYLCIQSNREGEQILFHHEGGVDVGDVDAKAL RFQVNLEHTPTEGDIVASLLKHVSSDRQTIIASFIASLLALYRDLNFVYMEINPIVVV GDKIIPLDMAAKLDETANFLCGSKWGEIEFPAPFGRAKFPEEAYVAELDSKTGASLKL TILNHRGRVWTMVAGGGASVVYADTISDLGFGHELANYGEYSGAPSEAHTYQYARTIL DLLTREHDPRGKVLIIGGGIANFTDVALTFKGIISAITDFQDKLKERNVTIWVRRGGP NYQEGLRLVREVGVSTGVPIHVFGPETHITAIVPMALGLIQAPEPLSGGSIPSPPAKS PPQPSPIASPQSTNKKTLASDTHDAATSPTAATSTTSGVKVIQDEGIVRMESNTRCIV YGMQQRAVQGMLDFDYLCKRDTPSVAAMIFPFSQNHYLKFYWGTTERLIPVFQSLEEA AKKFPDVSVLVNFSSFRSVYSSTMEGLACSDTIKTHAIIAEGVPESQTRLIIKRAKEL NVGLIGPATVGGIKPGCLRIGNTGGMLDNIVQSKLYRPGSVAYVSKSGGMSNELNNII SQTSDGVFEGVAIGGDKYPGSTFIQHLLRYQQNPQVKMMVLLGEVGGTDEFEVCRAIQ SGVLSKPVVAWCIGTCAKIFPFEVQFGHAGACANGESETAEAKNAALAHAGAIVPKNF DLFGHAIRETYDKLVASGVLVPRPEVPVPAVPMDYAWAKNLGLIRKPANFISSITDDR GEELTYAGMPISEVFEQDLGVGGVLGLLWFKRKLPPYATKFIEFVLMVTADHGPAVSG AHNAIVTARAGKDLISSLVSGLMTIGPRFGGALDQAADMFTKAHDSGLSPLDFVNKMK ATNQLIMGIGHRIKSTTNPDKRVTIIKEFALKHFPGNSILNYALEVEKITTKKRSTLI LNVDGCIAVCFVDLLRNCGAFTQEEADDQIADGCLNGLFVLGRSIGFIGHFLDQKRLK QPLYRHPWDDISYMSDSL H257_02410 MSSRAIGASHLAGQTWIHHLKSTSSDMDEAMWTSIPSSGVPKED SVWSRLPSRDVIHDKLINSIPSRETMWSGINAVPGAVAATPACIAGAFGNCFSRVRRM QFDQDQKRSLLSNLYHDDSRVNIRDAEVENATLELRRRIQKAEYEKHRRMEVDDDIKQ LKGKKKAKDVAPSNQDGLDDDESNNPVDDDPECTTALKASDIRKMSVDELLSLDGSNN QTEGTVSSLEGGRLRKWLAEIDGHKSMEYLSYASSFETQGFHSIKDLGLLDEEDVDRA LTEIGVNKFAHRARLRKAILRLSHVP H257_02411 MAAISIARDSDALENATRHAHGTDERHDNEANTSDQSRSPNSPF ADRTSVSSPATSSSTGGGVPPRLMRARTRSSSSVSIKSGGDDDMDDADDGNRSDSSIV SAPAATATTLAKKPYVMGTAESNGLRRTVKVVVQRTTDVEQRLPQMVHKIGVIVETPR HPNTWFRVRFRSGKIHTFRASGLKPLKEAQADGDNVDLSEIPDDQDLGPPSDDDHESG SAPPPPPPPPPSDDTSRFEKHDKVTIKLVDPTKRELSDLRVHNGKDGVVQEVLAQGGY KVALSGAGTRKDESTVILVKQAKHLRRSNADDGAANNVDSHDESGATAMSDDEDEVTP SKAIPANDIDEGSDGDNEEEEEPADEEPSRPRKAKKKESGSLLSDLDTDLWIGRRVRI NVGKFSGQAALVLKSGNGWVQLKMEGGHEATAKRAYELTLLETLSAIQLAPPSTAAAS DNKSEPDNDVDMEEDAEDSGSEHGRVAGSGLRRRGHYAQSWIERKVYLPGNHGTGIVK KADRDVCTVEVENASKSIKVFKKQELVLIEDDFTITQHRHHRRPNKAATKLNLPDGVV LMGITTQRYTLFQDQVKKHVTRRRDKIKMRPNLMEWQSTLDTRLHTSVAADDLADVID LFVLPSCALCGVEKQEPDGACWNVQCPRCPVFDADAANGVRGCSRLPLKPLTDRYVSL KAQIPDVVESSFSTAAPPEPETTTSNQQPDAAASRKRRRKDSLSSTVDVDDKDGVPPP PSPAPPVQAGPSAWRSEEPGLPPHVAPLKVEAPMEPPSMTPSQGSPLPSSGATMPTFR PAYESVFSKKM H257_02411 MAAISIARDSDALENATRHAHGTDERHDNEANTSDQSRSPNSPF ADRTSVSSPATSSSTGGGVPPRLMRARTRSSSSVSIKSGGDDDMDDADDGNRSDSSIV SAPAATATTLAKKPYVMGTAESNGLRRTVKVVVQRTTDVEQRLPQMVHKIGVIVETPR HPNTWFRVRFRSGKIHTFRASGLKPLKEAQADGDNVDLSEIPDDQDLGPPSDDDHESG SAPPPPPPPPPSDDTSRFEKHDKVTIKLVDPTKRELSDLRVHNGKDGVVQEVLAQGGY KVALSGAGTRKDESTVILVKQAKHLRRSNADDGAANNVDSHDESGATAMSDDEDEVTP SKAIPANDIDEGSDGDNEEEEEPADEEPSRPRKAKKKESGSLLSDLDTDLWIGRRVRI NVGKFSGQAALVLKSGNGWVQLKMEGGHEATAKRAYELTLLETLSAIQLAPPSTAAAS DNKSEPDNDVDMEEDAEDSGSEHGRVAGSGLRRRGHYAQSWIERKVYLPGNHGTGIVK KADRDVCTVEVENASKSIKVFKKQELVLIEDDFTITQHRHHRRPNKAATKLNLPDGVV LMGITTQRYTLFQDQVKKHVTRRRDKIKMRPNLMEWQSTLDTRLHTSVAADDLADVID LFVLPSCALCGVEKQEPDGACWNVQCPRCPVFDAGKCRLGRRHCMMHCSRCGQRSAWV QQTTPQTIDRPVCVVESSNPRCRRILLLDGSTPRARNHHVESTTGCCRLTQTQTKRLP VFDCRCRRQGRGAATPITCTTRPSRS H257_02411 MAAISIARDSDALENATRHAHGTDERHDNEANTSDQSRSPNSPF ADRTSVSSPATSSSTGGGVPPRLMRARTRSSSSVSIKSGGDDDMDDADDGNRSDSSIV SAPAATATTLAKKPYVMGTAESNGLRRTVKVVVQRTTDVEQRLPQMVHKIGVIVETPR HPNTWFRVRFRSGKIHTFRASGLKPLKEAQADGDNVDLSEIPDDQDLGPPSDDDHESG SAPPPPPPPPPSDDTSRFEKHDKVTIKLVDPTKRELSDLRVHNGKDGVVQEVLAQGGY KVALSGAGTRKDESTVILVKQAKHLRRSNADDGAANNVDSHDESGATAMSDDEDEVTP SKAIPANDIDEGSDGDNEEEEEPADEEPSRPRKAKKKESGSLLSDLDTDLWIGRRVRI NVGKFSGQAALVLKSGNGWVQLKMEGGHEATAKRAYELTLLETLSAIQLAPPSTAAAS DNKSEPDNDVDMEEDAEDSGSEHGRVAGSGLRRRGHYAQSWIERKVYLPGNHGTGIVK KADRDVCTVEVENASKSIKVFKKQELVLIEDDFTITQHRHHRRPNKAATKLNLPDGVV LMGITTQRYTLFQDQVKKHVTRRRDKIKMRPNLMEWQSTLDTRLHTSVAADDLADVID LFVLPSCALCGVEKQEPDGACWNVQCPRCPVFDADAANGVRGCSRLPLKPLTDRYVSL KAQIPDVVESSFSTAAPPEPETTTSNQQPVHDEL H257_02411 MAAISIARDSDALENATRHAHGTDERHDNEANTSDQSRSPNSPF ADRTSVSSPATSSSTGGGVPPRLMRARTRSSSSVSIKSGGDDDMDDADDGNRSDSSIV SAPAATATTLAKKPYVMGTAESNGLRRTVKVVVQRTTDVEQRLPQMVHKIGVIVETPR HPNTWFRVRFRSGKIHTFRASGLKPLKEAQADGDNVDLSEIPDDQDLGPPSDDDHESG SAPPPPPPPPPSDDTSRFEKHDKVTIKLVDPTKRELSDLRVHNGKDGVVQEVLAQGGY KVALSGAGTRKDESTVILVKQAKHLRRSNADDGAANNVDSHDESGATAMSDDEDEVTP SKAIPANDIDEGSDGDNEEEEEPADEEPSRPRKAKKKESGSLLSDLDTDLWIGRRVRI NVGKFSGQAALVLKSGNGWVQLKMEGGHEATAKRAYELTLLETLSAIQLAPPSTAAAS DNKSEPDNDVDMEEDAEDSGSEHGRVAGSGLRRRGHYAQSWIERKVYLPGNHGTGIVK KADRDVCTVEVENASKSIKVFKKQELVLIEDDFTITQHRHHRRPNKAATKLNLPDGVV LMGITTQRYTLFQDQVKKHVTRRRDKIKMRPNLMEWQSTLDTRLHTSVAADDLADVID LFVLPSCALCGVEKQVHRVIASARTTFT H257_02412 MVDGFREEHKPHADVLAAGRVRLRVVLELEQPREAHIHARLFLR LPDRGGFGGFAGVDATAGQPVARTCKLLDQQEMLRHGMPTHHERKAVQSGLGIAEFAG EVAAARWAVRVVKVDLERRGPAVGLALLVQAYGAGEVRSVLLVDVMEGTMDPQRVFRE TGLAAERACIVLVAPRSRRARHRKFCVFFGGKFEQNACQRVHGIGDHGRTVGEASIPP HGVVRNVRREHAQEELSTHLTQRAQKLQKSVHWKRSHDAEDHQVHLV H257_02413 MGGESPQKRRLSAAQANVKKPVPEKRGSLPNLLPPLSKRRHDMA TKVLPDDPKAAAAPITLPDVATPASAPKGDTLKLPDAPAKATKPAPSASSIKRYKSVF QVSRSKKSGDITLESQRTIDTVLKYQMGQCELRKLKEVFNYIDVDRTGQISFEEFFEF IDENRNPYSESLFRLIDTDGSGTIDYEEFVHALTSYCMFTREEILQFAFKTFDDDDSG SIDESEFAKLANMVNDGKPLFTGNFNRALKEFDKKNEGVIDFTGFDLLNRRYPMILFP CFRLQDRIQKATLGEAHWLRIHQRYYDMLKDDLFRKKHGGAAPPVSTSTKIKLIFGVG TFEVYQPV H257_02414 MPAKQATAKPVVVAKEAELPRSLLISDKGELTPAFVHALKRIFQ KFSEGTNALTSEQLNTFSKACNDGKGFTAKELNEIHMYFDCDENKGLTQRGFCDMYHT QTSAEPSETWKDLGKLGFLNHLIGKGGCFACGAEAKTSCARCLCVRYCSKECQTEDWK SGHKRACKPKAAAIVKATE H257_02415 MGKNQHSKDRMFITASEHKYLYGGKKDAVKTAYRRLPFDCCSIT LTPFKNPVCTREGHLFDIEAVIPYVQEHGINPVTGQALTVKDLIRLHFHKNPEGEYAC PVTYRVFTNSTKIAAVATSGNVYAYDALEELNIKSKNWHDLVSGDEFKRKDIIILQDP ANLGGREIDSFEHFRRAKETEKAKQNAGKQEHQTICATSATARIFQEMEAAKAVKRKR EEELRLDKAAKRTDEERIAASVSHASAEDVVKVGKDGKLQYSKFTDGKMSRSFTSTMM DPSTRSQAAIASEAEVLDTRWANVKKLKKKGYVRLVTNFGAINLELDCDFVPRTCDNF LGLCAKGYYTGVIFHRIIQGFMMQGGDPSGTGKGGESIWGAPFKDEFDSRLLHSGRGV LSMANSGSNSNNSQFFITFKECHYLDNKHSVFGRVVGGMEVLDEIEALSTDKENRPYD KVQIQNVLVFENPFAQYEEAESQGISVEEVKRKEAAPVVKGTVVKVGDDWVAYDGLVD VPVAPVLPTTSGGNGVGKYLNIPVPPKKDKKAAVVSDVPSAAKKAKAVPVKSTFSNFD NW H257_02416 MNSSTAMDAIGAVKSYIDKILGDSQLEGMKALLLDAETTKIISM VVSQSQILEKQVFLVEQLGANAHEKMVHLKAAVLIRPTLRNMELLKAELKSPKYGEYH IFFTNITSNDILERLAEADEHEVVSQVQEFYVDFMAVNDNLFHFNVNGAVGLSLKATS LVNLAPRTAAVYQRNVDGLTALLLSMKKKPIVRFVKKSDVAEKLARDVATRMQHEDGL FDFRQPSVPPVLLILDRKDDPVTPLLSQWTYQAMVHELLGLFENRVNLENAPGIRDDM KQVVLSVTSDAFFAQHMHANFGEICLAVKGLVDQYKVATKQNESIESIEDMQRFVDKY AEFRAQSVTVSKHVALMGELNRLIEVHGLMDLSTLEQDIACNDDSSTHWRELAIRLRK ASIRPANKVRLALLYALRYESPSTLKSLKDLCAETNVPWEPIQAALSYGGTAARCGDL FGDKGLKKFMKAVTLVTQGMQGVSCVLTQHVPPLMKTLDNLFKGTLDPAEFGGIQSTT PLPKKLKDVIVYIHGGVTFEEALKVAELNAKLASLGQRVILGGPQVHNSESFLKELVD EGGSDQRTASGSVSKFTYNGKSL H257_02417 MEALTIEVPYVKNAVRFVVEPSLAKEIQTTTIALRKACTLDRIK EDIKAGALLEEDEFVAWIRHCSGIQTDTAFQSTRSMVDLLYHTFAPYSVDMLDLSVGL LVLLDGSVEDKLRLALELSLDDDAFPILTEGAVVRCFTNVLLGLTCLFASGALVNNKD DSNVHSIVEVLQFSAAQTVVELTDHDPTLTFDHVWDWYLLMGSEHAPYLKLLDMSYWR HQEAAASMIHSSMPRSTDPSQASSVLLFPLAHESQSLQIGPAHAMELEELLLASRFTV LQPQAMYDTFLQHTIDGRLDEATFDDALNELTCMACTNHLVDDDKFTASMRMLFRHFQ TRTVDAFELAAGFALFCAGSKSDKLAAGFHFFDTDDTGTLTRDQLWRFLRSSLVIMVG LVPPTLSSSSSVLDEIDAAAVEIMQHVDDATYSFDEFGHWYNDGGFHTMSWLELLDLK KWSFVWPGFSHFADEQHQQPAVSASAYYTAKNIRATPYDDRQVDSDDASLTFASHNED DNGTVSGTRSRQRYATSTCQLDSIPPVCFKNEVVLEFELPLQTTSNDTDAVDDVPAPL DSLCFDNGDLLRYMRLQHCTALHDVDFRAAFCMFESYFSKEGEEEGGGGRGGGRRHPS LDKSDFDLCVGQLLAGLRVPTSVTSTSPPPNHVQLRSNELARHDDDQHHMSHPDDDQT RQEALDMLEALFFAYNRTGTGEIDATEFVSGFVVLCAGSKSDKLSFCFGLFDEDGDGC LTRRDMWKFLRSFLTMLLALGNGADSCAETIGAVCDSACIGIVRSLFDDPPTTLTSGT GPATNDSNPVLKVSFETFAQWYSHHGFQLIPWIELLDTKKWPMVDPTIQLAVQTAPSS EPRRAALVVVHQAEHETVMSFASNESFESVMSASTSTAAVAPPPTTSLSSSSVVFEFK LTSYDDTTLRIRLRDVAVVYTIAEKLRLKSIGVDALYACFDRFAKGKSLTKSGFLHAI RGLAPKGALSSEDQEFLSYHLLRMFTLYESERATSDENDADADNENGGGGMAVDKLHL ITGMSVFCHGSKSTKLGVLFSLVEDGGHVSRRALFELFRSILSVLFSFSLGDGSTISS TTSSSSTSNTSSVRANMFAADRAAGALVSKVFCDTQSQGSLSLDTFADWYTSHGGYAM CPWLELLDLSKWPSKRAMEAATREKPLAYAFDMHNEGGLLQYTEKDIATYLAVLEATQ FPQLSVHVIHDAIFLASLDGAVVTRSSFYSCIRKLIPRTNVDVHGQQVASRTLARLFS AYDRKKVGKVNALELACGMSLLGRGSKSQKLSTAFELIVQLSQHTSSNWRTHTTTRSP SIPHAMLFLYLRSFLIGLMVLCDARYRRGIELMYIEADELVGDVTTKLLHEISTAAHK SRHRISFEQFGEWYNSGGYEMLSWVELLDVSKWEQQQSPPPLPEDGGGANDTDVTGLL VPVTGSPPSPQVVLEYKVASSVLVFTQTHVDALRECLAHVELHLYSPLQLITVLKTFV QKRQAPSTDLLSPTVKVELTRMQCQRSVLQLCPTHAHCTNRYVGSFVSHLVAVLARSS SDYVPLVHLLCGLLVFTDGNLLEILVHGTALLSYYTLPNASFSEQQGQSDQHVVSWAV LRQCVEIFLRALFACSQSLDPTAAEDDEGDAAAHRVHASAALGAEETVSFFQDVYADD AATVSCEAFHEWFEAEGVKSLPWLSLVVLENWPRSLHLDLTNRRTKTHHL H257_02418 MTTEKQRLLALTERASYTLSDERIAKSPTALEDGALVDGGALPL LSREAMGLFSQYFAIGIVYGMIPALKYPLFNVYLSMEPYQVSSYGVMVTLGWSYKVLF GMLSDCCPIFGYRRKSWMLIGWVTTMICLTIMAFSTWGDPYCNRDTTDSCDKPLSAVN ATDFAANYNPRAPDNGSAFIILSMFVSFGYVTAACAADAMVVQYAQREPLSIRGRVQT AIYTIRNIAGMLALSVTAFGLNGTQYNGSFSFSMAPNIPYAICLIPCVLAVLTTVFVV VEDKHIGISFSAWWGSFWELLQTRVMWQICAFRFINSMFFSIATTADNGMATHWAKVE PVNDSLASLIGKAIFASTLTAMGKWGLRWNWRYLIALGSVGMVVVDGIVNFVTIWDVV RNQWFYTGLALVNNVPDGIRFIVGMYCTVEVADVGTEGATYGLITTMGNLAGPFASVV YKYVNSYFLLSNDDFKKDTTAVRWDVTYVYLISFGCKLFSLVFLVMLPPQKSEMQQLK RKGGKSKVAGVLLIVTFITCLAFSMASSVMSIYPTTKCYRLAGGNGKLDLATGGCPNS H257_02419 MGSHAEGNAMSIFYARKTARNSLLVHRHKPLESLSSDEYLERTG VKLFAEDAIKQLVLDRSDNAVRSFERYFSSVVMQSHVEGRKFEFVNANVRNRVAFISY LEQNFSSVDDNTELTVEDMHQLLALRCPGFPRHVMSQATAHIDITRGPKIHFRRLFTC FKACFVYNEFLRYTHDIYGQVLQGDTKKALDSVLTEIQTSDRRRSSVIAAKFGEKIVL QLQAAHTSTSEQFFMPPMSLLEVCVYTSVSFKQFCGAFLEHHVVEAGIHDLQLAYEKM ANVAFRSANGIHDDMEQQKEMLKKRRSSKSKKGFA H257_02419 MGSHAEGNAMSIFYARKTARNSLLVHRHKPLESLSSDEYLERTG VKLFAEDAIKQLVLDRSDNAVRSFERYFSSVVMQSHVEGRKFEFVNANVRNRVAFISY LEQNFSSVDDNTELTVEDMHQLLALRCPGFPRHVMSQATAHIDITRGPKIHFRRLFTC FKACFVYNEFLRYTHDIYGQVLQGDTKKALDSVLTEIQTSDRRRSSVIAAKFGEKIVL QLQAAHTSTSEQFVVPPIEIAL H257_02420 MVDEQHHPSLRKARADSRLHNYTTMEHYVEEMQGRRPVKKMLIA NNGISAVKAIRSIRKWSYEMFANDRMVKFVVMATPEDLRANAEYIRMAEQVVEVPGGS NNNNYANVHLIIEIAERFEVDAVWAGWGHASENPLLPETLAKTARQILFVGPSGKPMR ALGDKIGSTIIAQSAKVPTISWNGDGIIVDYKELQDIPEEVYKAASIRDGEHCLEECE RIGYPVMIKASEGGGGKGIRKVTRKEDVVAGYTAVQGEIPGSPIFVMKLAPKSRHLEV QLLADEYGNAIALSGRDCSVQRRHQKIIEEGPVLAPTEEVWDQMMLAATRLAKEVDYA NAGTVEYLFTEQPDETGNKFFFLELNPRLQVEHPVTEMITGVNLPACQLQVSMGIPLH LIPDVRRLYQKDSFGKTPINFDTEKQAPPHGHVIAARITAEDPNAGFQPTSGQIEELN FRSTPDVWGYFSVDSSGRVHEFADSQIGHLFSWSTSRERARKNLILALKELSIRGEIN TTVEYLVKMMESDDFKNNRISTSWLDERIARHKEVSVQGRPDTLMVVLVGAMCLAYQA SNTRQEKYVAQLERGQIPGNELLQQEDELELIYEGIKYKIRAARSGEITFTLYCNDSY VQANIRTLSDGGYLVLLNGKSHVAYATKEAQGLRLIVDGNTCVFTNEYDPTRLVTNAA GKLARYLVKDGAKLRRGTPYAEVEVMKMYMPLLTPEDGIVRHVKPEGSVLAPGDLIGN LELDDPSCVKLSDQFLGKLPDFSNEPENASTKSFYMMKKAIGTLTTVLDGFKLPDDLV QRAINDLFDSALKDPLLPLDEISETLSALASRMPGHVYDQIRDHMQAYRDSDKSAPFD VSKISAALDAFKGTLTLPSEANAYEGKIAPLREVLPKFENGLETRRERVVYDLIAKYF NVENPYAQGHNVEDIVMALRKDNVASLAAVFGIARAHVAIDNRSKWLLEVLRHIRRGD KKAISSYVSMLEAIANFKGRDYSLVSLEARHILVDRTMPSYSDRVLEMETILRAIVSD TSRPPHETEKFQELLDQSQPIFDLLISHVDHSDVAIRQVALNLYIRRVYRSYIISKTD ATAADNGILGKKFEFKSPTNEGLSVRSATMNKAESYDDLASLLKKGSGSSASLTSLSR SDSTGSDSDSKYSVEKISPTVNRHGVLVAFNTLDGLKDKFDQVLELIPKRNMLAGLTK VQYVNVLHVILLDEVDNEDATLEKLNVFVAYHKEKMQNHLVRRITFSVKPHLRNVVDA STTYHLYPGIYTFRQSTGYVEDAIVRHMEAPLAYMLELQRLANYSVKTADSKDKNVHL YFCTPKKSALAAARVDKKEHFQRFFVRAVVRQLEKLDVQSQFDAHPGPERTLVEALNA LELAMGSVKSDLPIKNNHVFMNVVPEAVVDPHYLEAVIRILASRYAARLDQLKVSQVE LKISAKFNDNAYSIPVRLVAANPTGFVLRVESYVEAHEMRNGAEVAIFSSIGDDVVGE WDNTPVSTPYPVSYPFDVKREAAKRSSDTLYAYDFLELIENASVRNWRKFASDRASKG VATKVPHHLVESKEFVLTNDDTDVELVTRPRGLNDVGMVAWLLTLFTPEVPTGRSVVL ISNDITYNAGSFGTREDKLFDLASKYARQHKLPRLYFAANSGARIGMAETVKAKYQVA WKDASDPSKGFEYLYLNKSDYDYFHSVGAIECAHKVTADGEVRYVITDIIGEEKDLGV ENLRGSGTIAGESSRAYNDIFTLTYVCGRSVGIGAYLVRLGQRTVQNVTSAPIILTGF QALNKLMGKDVYSSNDQLGGIKIMHNNGVTHLTANNHLHGIASILNWISFVPATRGAP LPIRDITGVDTIDRAVTYKPTRVAYDPRELLTGKVVDATWQSGFFDKDSFVESLSAWA KTVVVGRGRLGGIPVGVVVTEVRTVEKLTPADPASPTTQEHTVQQAGQVWFPDSAHKT ATAIRDFQGEDLPLFIFANWRGFSGGQRDMFDEVLKFGAEIVDGLVNYTQPVFVYIPP FAELRGGAWVVVDPTINSDVMEMYADPQGRGGVLEPAGLIEIKYRKPALLASAHRVDT VLLRLDATLATLAADDVTRSDVLRDIRLRESKLLPIFTQIATHFGDLHDTPGRMKAKD VIREVVPWDQARTYFYWRLKRRLSEFRVRRSILEASNEEMHTTFASTEATVAAWYKAQ QPAGDWANDQAVLAWLHSDADFLAKRLESLHQERIAREVTRLGLQDPQAAVVGILHLI NQLPDSAREDVVTALRRGSIFARTSSVSQ H257_02421 MWSSGISGTIAAVSGMLGKLSSDDNASVIQTIQAYCHEHQHAAY PWLDCAWAMRVVHVAFFAAMLLSNAVMLSFFVRGLHETDSLTATITSSAANFVVTALV GYLVFHEQLPLQWWVGASVILFGMGLLLHGAKDDITTDDSKAKKSA H257_02422 MEGLLLSVKHFPAALSAQAIETLLKHVGATQIRVLTNRTQKSKS ALASFPDETTRMSALGRLNKMRLSDHDICASLHSNTASTPSEHDETTPTAPTMRSQPV PSAPPTTAPEAAAGSAIFPPLPREDPPTYAWGHPASLAPHLGLHFPPSPLLEYKYPKA SPDVVLNIANALIALPKFYTQVLHLMNKMNLPPPFEPNSIPGPFLSFVVNDGKKKRKP DATFVQEHDDDDMPVGSMSAKQRRVLDSGPRSVAAINLPPPLPPTTAASPSVHHHTSA QVAASTELPSLPTPPVVVAAPLKILPQKPRKPDSALATIFEADTTSTATKLVPRPGVI SDAELTRTRLSSEELSQHKHMQTYQRNEPSNVLYVKNIAASVDISDLTYVFGSVFDSD DAMSAMSIRLFTAGRLKGQAFVDFPSIELATLAQSLVHGVVLDEKPLIVCFRKQPAAR PTPPTTVDESAPSSEGQPVPTGDTR H257_02422 MEGLLLSVKHFPAALSAQAIETLLKHVGATQIRVLTNRTQKSKS ALASFPDETTRMSALGRLNKMRLSDHDICASLHSNTASTPSEHDETTPTAPTMRSQPV PSAPPTTAPEAAAGSAIFPPLPREDPPTYAWGHPASLAPHLGLHFPPSPLLEYKYPKA SPDVVLNIANALIALPKFYTQVLHLMNKMNLPPPFEPNSIPGPFLSFVVNDGKKKRKP DATFVQEHDDDDMPVGSMSAKQRRVLDSGPRSVAAINLPPPLPPTTAASPSVHHHTSA QVAASTELPSLPTPPVVVAAPLKILPQKPRKPDSALATIFEADTTSTATKLVPRPGVI SDAELTRTRLSSEELSQHKHMQTYQRNEPSNVLYVKNIAASVDISDLTYVFGSVFDSD DAMSYVLGLRG H257_02422 MEGLLLSVKHFPAALSAQAIETLLKHVGATQIRVLTNRTQKSKS ALASFPDETTRMSALGRLNKMRLSDHDICASLHSNTASTPSEHDETTPTAPTMRSQPV PSAPPTTAPEAAAGSAIFPPLPREDPPTYAWGHPASLAPHLGLHFPPSPLLEYKYPKA SPDVVLNIANALIALPKFYTQVLHLMNKMNLPPPFEPNSIPGPFLSFVVNDGKKKRKP DATFVQEHDDDDMPVGSMSAKQRRVLDSGPRSVAAINLPPPLPPTTAASPSVHHHTSA QVAASTELPSLPTPPVVVAAPLKILPQKPRKPDSALATIFEADTTSTATKLVPRPGVI SDAELTRTRLSSEGTHRCCW H257_02422 MEGLLLSVKHFPAALSAQAIETLLKHVGATQIRVLTNRTQKSKS ALASFPDETTRMSALGRLNKMRLSDHDICASLHSNTASTPSEHDETTPTAPTMRSQPV PSAPPTTAPEAAAGSAIFPPLPREDPPTYAWGHPASLAPHLGLHFPPSPLLEYKYPKA SPDVVLNIANALIALPKFYTQVLHLMNKMNLPPPFEPNSIPGPFLSFVVNDGKKKRKP DATFVQEHDDDDMPVGSMSAKQRRVLDSGPRSVAAINLPPPLPPTTAASPSVHHHTSA QVAASTELPSLPTPPVVVAAPLKILPQKPRKPDSALATIFEADTTSTATKLVPRPGVI SDAELTRTRLSSEGTHRCCW H257_02424 MHPTSVHRHHRRGHATSTPTTDNASPTSSIEDLQLLQRAARKGR HDLVASLIMNGADINATDSNGHTAAHQAARNGRVQVLEVLHALGADLNKCSLRGVSIA HQAAFGGHLVFLKRLMDFDVRVDLVDASDLTPLEVAMREKQWHVVEFLECIYSRLELL PQPAAVASTLDQELMTMLGAAPLEFPSSPSAVHHDSHDDSNNEAEQDDLHRHCSADEM HTSSLRLHSLLSFSQDGDVEEKSTSPHATYGRRKRSATAAALDDDAFPTTPVHEPPSS TDVDVSWPHHPRSSYSSHMMSLPPIASPSSWKTMPLNPTRSNAVSWRGSKHDSRSPET ESGDTSEDQPVDNNEEPIVPGLVTVYHLLYDM H257_02423 MLHHTAGRVGLRRSVLYVPGINQRALDKLYGLPCDAAILDLEDA VSPFKKAEARALACEAARKGFGDHKEIAIRINSLSTDWGRDDLAQVVSSGAHAVVIPK VDSANTLQEVASIMDSLNAPHHMSIWAMIETPLGVLNLSTILATAHPRLSCLVAGTSD LSKELQCATTPCRHALVPSLAWIVLAARAHGLTALDGVHLDLDNDTDFAWQLAQGKEL GFDGKTLIHPKTILPTNAAFSPSPEEVQDAHAIIRAFQDAVEKGSGVALYKGKLVENL HVELARNVLAKAQHIDVSS H257_02423 MLHHTAGRVGLRRSVLYVPGINQRALDKLYGLPCDAAILDLEDA VSPFKKAEARALACEAARKGFGDHKEIAIRINSLSTDWGRDDLAQVVSSGAHAVVIPK VDSANTLQEVASIMDSLNAPHHMSIWAMIETPLGVLNLSTILATAHPRLSCLVAGTSD LSKELQCATTPCRHALVPSLAWIVLAARAHGLTALDGVHLDLDNDTDFAWQLAQGKEL GFDGKTLIHPKVHMYMIVCITLHTM H257_02425 MMNWFLVVVVVIMAVVFLAANFYILVYFQHPDDKNTAYMPKVLV VIGFLLAEACVLLLPLDVANNSTAIGCTAGWNAACGNLDMETLWLIVFMSIAVFLVVL LPYSIYFYESDDGFDDSSAKKTHRWLDALKLEIATVVVVGIIVAITYITSSTSDIPYN VLVYNSTVGNDTIHIADAVGGLHSFTDGAIISPNERVYAMAKGLNPLQTSMRLDVSVP IYITALVSFVGWFAFSIFVGIGLVALPLDLILAFFFRPKFIPADVYAQQKMLVQIRSL ELMEVGKEIKSSMLSHPDTKLSARERRKNGKLDTITMNKFKQAVYLLEKDVAELKLCH EDYKNYNPLVPLGKLVLGFVASIVSFLWLLQIILGMVPPIPILPFLNDYFIWFDQWFP LFGTMSVGIFSMYLLMACIKGCFKFGMRCFCCALHPMEYNGTYMNSFLFNLALILLCC IPVVQFSNQAFSDYVRLTTIQTMMGIQLRYMKGFSAFWVHNVFLYAILVIVLLTTLYL AVRPRDTSSKTDAIRKKIEKQVAMATA H257_02426 MAQELSENEIAEFREIFNLVDRDRGGSITKAELGELMDTLGINT SPEEIDLMINEIDQDSNGEIDFDEFVAVMSRKVNATYSADQVKASFRMFENPTTPGFI KVDRLHRALTLYGTDKLSAEQASELISQLEPDSNGLINYEEYVNMMMSK H257_02426 MAQELSENEIAEFREIFNLVDRDRGGSITKAELGELMDTLGINT SPEEIDLMINEIDQDSNGEIDFDEFVAVMSRKVNATYSADQVKASFRVDPCSYNLSHD MMSRRCLRTPRLPGSSK H257_02427 MAQLVCAARTDPPRQVTCGPQMKLHLNVFQGFVLPAFSSSQIPA LVDQLVGHPRMRKSTTFAYAYRILEPSTDKDTTSPTSHHDSEGTTEGSDDGLTLGAGD KLLQVLRRWQVCNAIVVVNRSDLSLTGRLIVAQIYKLVVESAKLALEQFALDSLQPSE AAKLALQDASNNQKSSGVGEVPHLTVQEMTYAGAPTHMVNGTVLGTKQGRINHFQGAQ EGDKHAMTTNRPKSLERLGISKDDLAALKVVRMPPKELHMVLVCVAVLLNVPDLSWLG CRDMLNAASFCANVLGVRPHNVTKKQATRVRAILQEPQFVPELIRRVSVVGAALLSWV MQIMDEYDALRLGFDLRGPEEDDSQAESFPPPADVSPWSLAPELPPPSQQHRPLSEDD DATAIIPTDLFMPKVAPFAVHDTGRMLLPQ H257_02428 MASWTSPAALGLTVERTLRDASSSGDLLPEGWILQLIEEGHSWD RLPAFIDAMLQAGMRVATSNTFATLPTVDAYLRDLHAQHDRAGTSSRGGVCGYMFKNN DIAWNCRTCQMDDTCVQCQPCFQNSDHTGHEVFFHRTSPGGMCDCGDVEAWKPEGFCK DHTGTSPASASNELLLPPAFDRVVQCILHDFVGFMTNVAQRSINSFDDFKVAEKGEAM KRAHTAKLADKSQPATTTNPAIFHTRISNDDVHTDDELVRCLVGKGFSEGFAAEFTHA VDKRGCGMLKSDVTLAEALNWMRQMKEHGNWFASVVDNTHLAHECAWETLFACLAPLL AASSAIQSVLFEHLFAPYRASTTSVYEIPAHKVPLAILLQATPFLKKELVKGMKQVYL ALMGSKHQKTRFASLYVTAFPRLMMQYCGGMGTERENVFGLSVQLFTTPSIVHELETR VGLWEVVLSTLQDALSLAKVPLPPPATTPPSTDVFTYDVKHMAIKYRRYFPLLQDINH LLMLPTMTTTFASTHASLFLSVLADASHMNMQTRVPEWRPHVEREDNAAWISAFTLAM HLDSLVLPNVYKSLRMSGSGHVTGVLWELFGAFSAAWRAFSGTARLSFKPHAGLGAPI VAYSVASQPVSFHYPLHQAWGRFVLEMLQRNLFPELKARVTADNLVDTLMEFPLRCLV WSSQIASNMWVRNGKDMMVRQVTSYYSLSSNHSFRDLDLVTVQTCLALCGAKKLLAVY LDRYDLVPFLTGQTADTWLHKVSTEKRAMYVSECLLKLIWIATELPPVPTQSIAAYLR RDVLHMILIKPHVFSHLRDQTNPVYCNPGLELTSDDAKNRELMALLTEIADIQPGSNQ DMAPAKFTLKPQFYHEYDPAYFHVSPVHHVEAQVARQDVVFKTWKPDRDAAIPIVDQL PPGHPDLVVCRSILMEPDVFGLIRLCLTDPRLQTDENVFARVLHILTVQLYMVQEEVE DTWATVVREQISRAGDGSDIDDDGGAKRQKTQEAASGPMTIHTSSILACLANRVDRYK SDESTQKPLWSATLFVLKGYLQMERAHMTGVVATYLTTHVFPPRDESATTPATTTLDA TARIALQKKRQHEAMAKMMARQSNFAAQLDEDDMDDMDDATNADGLVPPPECNICAHV KKDDDDPIVYVALLQESTLRGRSVGRTVNRLQWESLHVQLCRHAVHLSCMNKYSSTVR REASVSAQAQIAFEPQAGEFLCPLCKSLCNTCVPYVPSPPTLAAGMERYFHHANDMDE ITTWLTHALPEHMHTYFSVDGSVPAEGSRKMPAAIEMFLGVAGVLKPPSLLHGVVRGW LDQVTMLLRTASNMFHLGHLHGMSAAFCHLDLTSDLNSPLPPLSTRLASAFPPDIDAL VDPFTAQDDSKLHAVTMMLSHVRDVFPIYASSNHDGHGVDVLFRTDTTPATRDAYFLH MPLLEQDLFTLLLLVISGLQDTSDMLWAIRTFCSLHLVQVILQCMRPLDIPHDIAAID EDAAVPETSPYAALRAYLVALSAGHVSTSPAAPSGALLHYLVEANYTEFLRKATLLAR ALFRGWTNTDAAAQYLNFVSNLHMTTDLSQLCTQLGVPSPSSLLTEQSWWSGPIAHYI PQVDMDKLPPLSFLVKRNAVWAAHVPVVALAPLYTDLYTSTAASAVCPTTGLVQEAPA ICLVCGAVVCGGSDCCKPNLRGACSQHVVACNMGHGAFFLTKQCQMLLVSSGGRASFF ASPYVDEFGEEDHHVRRGRPLFLRPKRYIALMHLVWSHGIATEVSKNRRTSEQYIRPY FY H257_02428 MASWTSPAALGLTVERTLRDASSSGDLLPEGWILQLIEEGHSWD RLPAFIDAMLQAGMRVATSNTFATLPTVDAYLRDLHAQHDRAGTSSRGGVCGYMFKNN DIAWNCRTCQMDDTCVQCQPCFQNSDHTGHEVFFHRTSPGGMCDCGDVEAWKPEGFCK DHTGTSPASASNELLLPPAFDRVVQCILHDFVGFMTNVAQRSINSFDDFKVAEKGEAM KRAHTAKLADKSQPATTTNPAIFHTRISNDDVHTDDELVRCLVGKGFSEGFAAEFTHA VDKRGCGMLKSDVTLAEALNWMRQMKEHGNWFASVVDNTHLAHECAWETLFACLAPLL AASSAIQSVLFEHLFAPYRASTTSVYEIPAHKVPLAILLQATPFLKKELVKGMKQVYL ALMGSKHQKTRFASLYVTAFPRLMMQYCGGMGTERENVFGLSVQLFTTPSIVHELETR VGLWEVVLSTLQDALSLAKVPLPPPATTPPSTDVFTYDVKHMAIKYRRYFPLLQDINH LLMLPTMTTTFASTHASLFLSVLADASHMNMQTRVPEWRPHVEREDNAAWISAFTLAM HLDSLVLPNVYKSLRMSGSGHVTGVLWELFGAFSAAWRAFSGTARLSFKPHAGLGAPI VAYSVASQPVSFHYPLHQAWGRFVLEMLQRNLFPELKARVTADNLVDTLMEFPLRCLV WSSQIASNMWVRNGKDMMVRQVTSYYSLSSNHSFRDLDLVTVQTCLALCGAKKLLAVY LDRYDLVPFLTGQTADTWLHKVSTEKRAMYVSECLLKLIWIATELPPVPTQSIAAYLR RDVLHMILIKPHVFSHLRDQTNPVYCNPGLELTSDDAKNRELMALLTEIADIQPGSNQ DMAPAKFTLKPQFYHEYDPAYFHVSPVHHVEAQVARQDVVFKTWKPDRDAAIPIVDQL PPGHPDLVVCRSILMEPDVFGLIRLCLTDPRLQTDENVFARVLHILTVQLYMVQEEVE DTWATVVREQISRAGDGSDIDDDGGAKRQKTQEAASGYVPRPMTIHTSSILACLANRV DRYKSDESTQKPLWSATLFVLKGYLQMERAHMTGVVATYLTTHVFPPRDESATTPATT TLDATARIALQKKRQHEAMAKMMARQSNFAAQLDEDDMDDMDDATNADGLVPPPECNI CAHVKKDDDDPIVYVALLQESTLRGRSVGRTVNRLQWESLHVQLCRHAVHLSCMNKYS STVRREASVSAQAQIAFEPQAGEFLCPLCKSLCNTCVPYVPSPPTLAAGMERYFHHAN DMDEITTWLTHALPEHMHTYFSVDGSVPAEGSRKMPAAIEMFLGVAGVLKPPSLLHGV VRGWLDQVTMLLRTASNMFHLGHLHGMSAAFCHLDLTSDLNSPLPPLSTRLASAFPPD IDALVDPFTAQDDSKLHAVTMMLSHVRDVFPIYASSNHDGHGVDVLFRTDTTPATRDA YFLHMPLLEQDLFTLLLLVISGLQDTSDMLWAIRTFCSLHLVQVILQCMRPLDIPHDI AAIDEDAAVPETSPYAALRAYLVALSAGHVSTSPAAPSGALLHYLVEANYTEFLRKAT LLARALFRGWTNTDAAAQYLNFVSNLHMTTDLSQLCTQLGVPSPSSLLTEQSWWSGPI AHYIPQVDMDKLPPLSFLVKRNAVWAAHVPVVALAPLYTDLYTSTAASAVCPTTGLVQ EAPAICLVCGAVVCGGSDCCKPNLRGACSQHVVACNMGHGAFFLTKQCQMLLVSSGGR ASFFASPYVDEFGEEDHHVRRGRPLFLRPKRYIALMHLVWSHGIATEVSKNRRTSEQY IRPYFY H257_02429 MNVIKEIQRLNEQELEQGVSIEGSWHHIYRDSAWVYVGGLSYDL TEGDILCVFSQVGEIEDINLVRDKDTGKSMGFSFIKYENHLSTVLAVDNFNGSTLLER LLRVDHVHKYKLPKELRDKEENVVADHDDELRGKPGHAYEGKELENKFDIHQGVDVFK VKMSKHEKKKAKKAVKKAKKEKRRQVDIEKKQIAYFEEIKRMRAARAAHDADERARRM QIEGVENAADFVMPSETGWRGRYEPNVEPIYAKDPNAPKQGPNGYGGIHRTR H257_02430 MKTRRTGWTLGVVSGLLSGGVWSYNPVGGDLCNALNDCSGHGKC NTLTKVCTCSNGWGSPSDISHNKAADCSRRVCPSGASWNSIPTLPTTAHTAAECSDMG VCDTTSGECKCFPGFVGAACDRTSCPKDCSGHGICMSMRALAGVSAALPLSAPTTYGG QPSTTTWDQDRLFGCVCDSPWAVGLASGQVQASGWFGADCSLQHCPYGDDPVTIGTDE LNCTGVAAPGGMGVGASGNVCFIECSNRGVCDYITGLCTCFEGFYGSNCGTMSMG H257_02430 MKTRRTGWTLGVVSGLLSGGVWSYNPVGGDLCNALNDCSGHGKC NTLTKVCTCSNGWGSPSDISHNKAADCSRRVCPSGASWNSIPTLPTTAHTAAECSDMG VCDTTSGECKCFPGFVGAACDRTSCPKDCSGHGICMSMRALAGVSAALPLSAPTTYGG QPSTTTWDQDRLFGCVCDSPWAVGLASGQVQASGWFGADCSLRTS H257_02431 MDSLNPGQKVALFTSAGAFGGAAIGSVESVWRIPRLGQELPSFA KQLRGIGARSVAFGSASFVFAASEHFAHSIRSHDDVWNPFIGGLVTGIVPAVVKQNVV WGLGAGVAIGTGMAVLHYFESGDDKTSPLEKWANRYDYLKKD H257_02432 MPPKAKRLTKKEQQALARRQLLSNANAVPDFMVDFKAFDTFQTS AVDESARLRSLHAQQLTPSEQDQIERLFCDNMQKLYEDSTWGFDLAKKRVELFEDAAR YIIVERTPGQVDAFLHFRFVEDEGDAVVYVYEIQVGPGLQRQGLGKRLMQLLQLIGRK YRMKLILLTVFKTNVAAMTFYTETLGFDIDDTSPSAHGDMSESYEILSKSLV H257_02433 MVCIRNATVTDLLAMQNANLWCLPENYQMKYYYYHIMSWPQLLY VAEDHHGKIVGYVLAKMEEDASVPHGHITSLAVLRTHRKCGIATKLMKAAQRAMVENF KAEYVSLHVRETNAAAFHLYRKTLEYQVYDIEKGYYADGEDAYDMRLPFTEKCNTAMS SNVAKWNAYLIEQGK H257_02434 MTADEATTSAASDETTTADVEMAAAMPEIVWYEHATGAIPVLEH SISAPFESHFTRGVKVSPDGLCVLSNSDDNILRLFDVEPGVQSATLSMHEGGTVYDFQ WYPYMNSEDPATCVFITTSHAHPVHLWDAYTGALRASYRAYDHLDELTSAYSVAFNGT GDKIFCGFDRTIRFFDASQPSRDFTTRSLSKTKKTRHGQRGIISSIHFNPDHSKMYAA GSYSGSTCIYAEDSGELFMGLEGHDGQGVTQVQFTPNGQYLLTGARKNNTINVWDIRN TMQVLHAFERAAPTNQRIAFDIHIGSRYVVTGSSDARVLMYDLYTGECVSEIATLPEC VNGVSFFPFPDTARIALSTGQRSYKLPHDMTDGDEEVATGRHCVQVYDYNPQQP H257_02434 MTADEATTSAASDETTTADVEMAAAMPEIVWYEHATGAIPVLEH SISAPFESHFTRGVKVSPDGLCVLSNSDDNILRLFDVEPGVQSATLSMHEGGTVYDFQ WYPYMNSEDPATCVFITTSHAHPVHLWDAYTGALRASYRAYDHLDELTSAYSVAFNGT GDKIFCGFDRTIRFFDASQPSRDFTTRSLSKTKKTRHGQRGIISSIHFNPDHSKMYAA GSYSGSTCIYAEDSGELFMGLEGHDGQGVTQVQFTPNGQYLLTGARKNNTINVWDIRN TMQVLHAFERAAPTNQRIAFDIHIGSRYVVTGSSVQKHIVVVESESVNFILLRMQGCS CMTCTRGNASARLRRCRVRPSNVGLHHCHGHHDAGRVREWGVLLPLPRHRADCAEHRA AFVQATA H257_02434 MTADEATTSAASDETTTADVEMAAAMPEIVWYEHATGAIPVLEH SISAPFESHFTRGVKVSPDGLCVLSNSDDNILRLFDVEPGVQSATLSMHEGGTVYDFQ WYPYMNSEDPATCVFITTSHAHPVHLWDAYTGALRASYRAYDHLDELTSAYSVAFNGT GDKIFCGFDRTIRFFDASQPSRDFTTRSLSKTKKTRHGQRGIISSIHFNPDHSKMYAA GSYSGSTCIYAEDSGELFMGLEGHDGQGVTQVQFTPNGQYLLTGARKNNTINVWDIRN TMQVLHAFERAAPTNQRIAFDIHIGSRYVVTGSSVQKHIVVVESESVNFILLRMQGCS CMTCTRGNASARLRRCRSA H257_02434 MTADEATTSAASDETTTADVEMAAAMPEIVWYEHATGAIPVLEH SISAPFESHFTRGVKVSPDGLCVLSNSDDNILRLFDVEPGVQSATLSMHEGGTVYDFQ WYPYMNSEDPATCVFITTSHAHPVHLWDAYTGALRASYRAYDHLDELTSAYSVAFNGT GDKIFCGFDRTIRFFDASQPSRDFTTRSLSKTKKTRHGQRGIISSIHFNPDHSKMYAA GSYSGSTCIYAEDSGELFMGLEGHDGQGVTQVQFTPNGQYLLTGARKNNTINVWDIRN TMQVLHAFERAAPTNQRIAFDIHIGSRYVVTGSSDARVLMYDLYTGECVSEIATLPGT SIERWVAPLPWPS H257_02434 MTADEATTSAASDETTTADVEMAAAMPEIVWYEHATGAIPVLEH SISAPFESHFTRGVKVSPDGLCVLSNSDDNILRLFDVEPGVQSATLSMHEGGTVYDFQ WYPYMNSEDPATCVFITTSHAHPVHLWDAYTGALRASYRAYDHLDELTSAYSVAFNGT GDKIFCGFDRTIRFFDASQPSRDFTTRSLSKTKKTRHGQRGIISSIHFNPDHSKMYAA GSYSGSTCIYAEDSGELFMGLEGHDGQGVTQVQFTPNGQYLLTGARKNNTINVWDIRN TMQVLHAFERAAPTNQVRRPSGDDAFIAKAYVWVYVVDLAHCI H257_02434 MTADEATTSAASDETTTADVEMAAAMPEIVWYEHATGAIPVLEH SISAPFESHFTRGVKVSPDGLCVLSNSDDNILRLFDVEPGVQSATLSMHEGGTVYDFQ WYPYMNSEDPATCVFITTSHAHPVHLWDAYTGALRASYRAYDHLDELTSAYSVAFNGT GDKIFCGFDRTIRFFDASQPSRDFTTRSLSKTKKTRHGQRGIISSIHFNPDHSKMYAA GSYSGSTCIYAEDSGELFMGLEGHDGQGVTQVQFTPNGQYLLTGARKNNTINVWDIRN TMQVLHAFERAAPTNQVRRPSGDDAFIAKAYVWVYVVDLAHCI H257_02435 MCGRAACVLQPQDVSEATRTAPKDFVDQDKYTPHYNVSPGMATP ILYRDNASKQLVVRPMKWGLIPSYMKPDEKVNHYMRFNARSESMAETPAYRQLVNRKR CVVLFNGFYEWQKLGKTEKQPYFIHLANSPIMRMAGLYDTWRSDQGEVVYTYSIITTE SPSKMKWIHTRMPMMLRDADEADRWLAMKDDESKGSNQQVWLSLVHPYPHDDLEFYPV TKQVGQATFDSAACLAKVDVHVAGKITSFYKHDADHSAGTIKNEHITAPLKHEHVDNP RTVSTSSEPLAVNRLNATNSTLPAHDPSSDSLSTPSSNKRPSGPWPCPACTFENDDEA RTCAMCGGPSRPPGNEWTCDKCTFVNTSKAPSSSICAMCQAFRRSGRSSGASPASKKP KLVASSPQKPITSFFSP H257_02435 MATPILYRDNASKQLVVRPMKWGLIPSYMKPDEKVNHYMRFNAR SESMAETPAYRQLVNRKRCVVLFNGFYEWQKLGKTEKQPYFIHLANSPIMRMAGLYDT WRSDQGEVVYTYSIITTESPSKMKWIHTRMPMMLRDADEADRWLAMKDDESKGSNQQV WLSLVHPYPHDDLEFYPVTKQVGQATFDSAACLAKVDVHVAGKITSFYKHDADHSAGT IKNEHITAPLKHEHVDNPRTVSTSSEPLAVNRLNATNSTLPAHDPSSDSLSTPSSNKR PSGPWPCPACTFENDDEARTCAMCGGPSRPPGNEWTCDKCTFVNTSKAPSSSICAMCQ AFRRSGRSSGASPASKKPKLVASSPQKPITSFFSP H257_02436 MGDFTSTAASWLQTLVVSSAALAVGLLGILYVYQEKLLYFPSMP GVSRLTTENPEGYRHPNEYGIDYEDVFIPCADGIKIHAWLMKQPQPTTVPTIVFFHGN AGNIGYRLPNAAKMYRHLECNILLVDYRGFGMSDGEPTERGLQLDAEGVVDYLHSRGA SSVVDPSKLIVFGRSLGGAVAVYVASTRPTKVAGLIVENTFLSISAMVDQVMPWLSYV KPVVLRLDWSNEGRIPTLTHPILFVAGERDELVPHTHMQKLHALATKSIRRQWLPIPR GTHNDSWMRGGLDYFFALKRFIDSAVGESTPPSSDTANTSERHQEDGASIVSSDEADD GLDHSHIPNMLDQHSLLFQRPGKIHHDEM H257_02436 MGDFTSTAASWLQTLVVSSAALAVGLLGILYVYQEKLLYFPSMP GVSRLTTENPEGYRHPNEYGIDYEDVFIPCADGIKIHAWLMKQPQPTTVPTIVFFHGN AGNIGYRLPNAAKMYRHLECNILLVDYRGFGMSDGEPTERGLQLDAEGVVDYLHSRGA SSVVDPSKLIVFGRSLGGAVAVYVASTRPTKVAGLIVENTFLSISAMVDQVMPWLSYV KPVVLRLDWSNEGRIPTLTHPILFVAGERDELVPHTHMQKLHALATKSIRRQWLPIPR GTHNDSWMRGGLDYFFALKRFIDSAVGESTPPSSDTANTSERHQEDGASIVSSDEADD GLDHSHIPNMLDQHSLLFQRPGKIHHDEM H257_02436 MHDDAGYRHPNEYGIDYEDVFIPCADGIKIHAWLMKQPQPTTVP TIVFFHGNAGNIGYRLPNAAKMYRHLECNILLVDYRGFGMSDGEPTERGLQLDAEGVV DYLHSRGASSVVDPSKLIVFGRSLGGAVAVYVASTRPTKVAGLIVENTFLSISAMVDQ VMPWLSYVKPVVLRLDWSNEGRIPTLTHPILFVAGERDELVPHTHMQKLHALATKSIR RQWLPIPRGTHNDSWMRGGLDYFFALKRFIDSAVGESTPPSSDTANTSERHQEDGASI VSSDEADDGLDHSHIPNMLDQHSLLFQRPGKIHHDEM H257_02436 MLAVRPSLSCSTSSPSSFSSTLLVDIGYRLPNAAKMYRHLECNI LLVDYRGFGMSDGEPTERGLQLDAEGVVDYLHSRGASSVVDPSKLIVFGRSLGGAVAV YVASTRPTKVAGLIVENTFLSISAMVDQVMPWLSYVKPVVLRLDWSNEGRIPTLTHPI LFVAGERDELVPHTHMQKLHALATKSIRRQWLPIPRGTHNDSWMRGGLDYFFALKRFI DSAVGESTPPSSDTANTSERHQEDGASIVSSDEADDGLDHSHIPNMLDQHSLLFQRPG KIHHDEM H257_02436 MLAVRPSLSCSTSSPSSFSSTLLVDIGYRLPNAAKMYRHLECNI LLVDYRGFGMSDGEPTERGLQLDAEGVVDYLHSRGASSVVDPSKLIVFGRSLGGAVAV YVASTRPTKVAGLIVENTFLSISAMVDQVMPWLSYVKPVVLRLDWSNEGRIPTLTHPI LFVAGERDELVPHTHMQKLHALATKSIRRQWLPIPRGTHNDSWMRGGLDYFFALKRFI DSAVGESTPPSSDTANTSERHQEDGASIVSSDEADDGLDHSHIPNMLDQHSLLFQRPG KIHHDEM H257_02436 MLAVRPSLSCSTSSPSSFSSTLLVDIGYRLPNAAKMYRHLECNI LLVDYRGFGMSDGEPTERGLQLDAEGVVDYLHSRGASSVVDPSKLIVFGRSLGGAVAV YVASTRPTKVAGLIVENTFLSISAMVDQVMPWLSYVKPVVLRLDWSNEGRIPTLTHPI LFVAGERDELVPHTHMQKLHALATKSIRRQWLPIPRGTHNDSWMRGGLDYFFALKRFI DSAVGESTPPSSDTANTSERHQEDGASIVSSDEADDGLDHSHIPNMLDQHSLLFQRPG KIHHDEM H257_02437 MVRNKAGIAARGRAGRTREDLQEVLKTYTNMVERHNQYREPNTA SNYEEYRLAAGYDVNLYDICRQPREPQDRTLHIPASSLDEELNCSICTGIIRDAMVIT ACLHRFCANCIERHIHEKGKNTVCPVCNTPFTTRRALRPDPTFDNLIKMVYGDVDAYE KAEEARIQLNNKELFPRLDLDKHKGEKPVNPIANKRQRTR H257_02438 MQITVTNAKGKEYGSLEVQPKDTLLSLKKQLQKSTRLSIHRQGL KLKTGDAFKNLNGDLETLAALGFVEGTNDLVVKDLGPQIGYRTVFLLEYLGPMVFVLL YATRPAFLYGAKASSLPFSKTATYGIIAWTLHFLKRELETLFVHRFSRPTMPLFNLFK NCMYYWSFGLIIGYPLCHPQYQGPTSDTQILVGLVIFAVSEVLNFSVHMQFRLMRTQE GSSARNIPKGPLFAFVSCPNYTFEVLGWVGFSIFTQIAFGYVFTVVGFLQMAQWALQK HKGYYKTYGTEYKKLGRKALVPFVL H257_02439 MNLIPPSVQPFCGNPTRRPSGSDLHLVEDGLLVPRGVRDLAQFY SAPHCALQGNSIEALNISKMYLELDQVKHSELYIVDPTLSETDRDARLAEIKAHTTAI QREVIAHEATKKLANQPSAAHTYVISAISTHLRRLYQATTCPYELFEHIKTRFEFNPM ENNPTVVASYLRTHEFTDDGCIGTLPVERFDLVKRYRISMNPPSFNSLHPSAISSVDY HNHIWNYYTLCAMSDTIIGEKELFKVHHDLTLAYCLWRNGTVQRMNRDILQVIRVMLR DYQLDEKEWHYLLPVVQFNFNQTPTLSLANKSPMELFTALNPATPLDVVQRGRCVKGS LRSQVATLGHPEEQREAHTLRVKMYAEVEVTDEILEHVFEQGIVLKVKSIAGHKFVPD VSDFMLEVFWEGFEDIESSWEPLKKLMREWPAMVKAYVAAKKNAEDHEILTKAMKRAI VAK H257_02440 MFGLPSATSSKVLLNELLLWVTSALPPLPKPALSTRPLRMSLRP PHRSPRRSASPASTMALAIMLVVVTVALVIMTVTPAVLLMMPSPVTLATVILQLLRST PSYHHTSSLNRILEKPHPLSVLPIFFTTGSAPLIDVFASPPRPSLPSTSRSFLLSLPR LCHMHQLLSSRTRCQPTTRLLRLLRLCHSRQLPLSRPRCQPTTGLALMQEISTSLKSH PTRFSSPIAYHSTHRDHYFTSQPTQPKWIFHCSHKPIKVVLQLPPQCVDGIRPHPPFQ VIKGNGFFALHADLRYCEHDVGSPPLPKAVTYGPTNFPALREHWIVDSGASTSCTPNR YYFLRYVPCALSLTVGNGTTLPVVDYGAISMLVDMSSRDQVDDIRPYTLRLDFGLHI H257_02441 MQVFFVLSPPSSMVLFFPRPLHRLLASILPTSALFFVGTPFDES WPLANAIWMTDFLPLLGDRSFGATGRDQVHTSAIMAKAIKHESAKVLPLSAHLFGVR H257_02443 MLVHRILVAAAGLLAACHFVPQATAQVFNMRRHERIVIVGGGPA GVHYATLLAKKGFTNITILEATNEVGGKSKTVVDPLGIPHELGTCYATALYQPVFDLL KEYDPTNTLVPFVPTIKGHTYVNRDAMPIMDYNAYVVQVVRQHLGPVQMHTLLRGMES AFASYISIHTSIFGVYDYGLPPQPSNWSRIDMSGLEFLRQNKLLALEAFFRFAFQQQG YGTLDTAPAFYMLWWIHPDVIRKRQEADAKRQPFAFILSKGFQSLWKAMVAKYEGQID VQLDTKVVQISRTLSPIYITVSRRNVLDVVYADHLVMATDLSYLVTLPSDLVANQLSF TKGLTSSAFVVSLFQSDARKDESVSQWWPNRGVGETEGRLQLTRNSRLSLYNPLPPHG SQSDPAPTNWGVNATGRQTRVAYQFYDRRVPVSATATKTQLLADLESASFTNAVVQKQ IIHSYFPRYNLTQLQQGLPWTVWDSQGSKRTTWIGSSVSFESVLDVVVYNNKLIDRVN MTT H257_02444 MEAVVFTYTAFTYYELDQKYPLLIDTWLKANGFNVHKQCSTDWN TTLDDLRTIVEYKLIHASSNHLTPEFRTANWNFFGKIIDGEKVKPTREQFCIAEVDKT VGELLGQYYLDEVWSAETAKAVDELVKALESSTSTGIATTDWLDDSTRANEAVQNPQL YPTLTLDSKSYFNNLWKVSQVNIDTNLKLAGQPVDKRKFDVPPQTFDAAQNFGATGVH IGHEITHGFDNIGRNYDGDGKLNPRWSNATDTTTFKQKAQCFSDQNDKFVVKSEVTGD VWGNVNGELSLVETIADNGGLKTSFREYLKKFPSQYTENAGDKLFYLLFAQARCSKNT DARLNTHLTDPHPPDRFRVTGGCKTTLSLPVCSNAPPTRT H257_02445 MFRRDDEVKKGGDGARSKSSVVEEARKKREEREKKRHTERHAVA LQAMVRGHHSRMFLLRQLREDVDRKVRDIATLQQMLQKAFVVPTPVLLSIVHAALFAT KLGGSSKATLDTEDASRLAQVIALLHSNWTLSGDSYPAAFGSMSIATLKQVFACCFQI GPTHGAALEFVASLVGCASKEETPSPSSSAEISIQHVAMMKILIHETFTPVHRPWWTQ PLGQSFTMHMRGVLTSNNSPAENTISWWRLLFHLLEHATSNEDKPPIMTALLHHILTV PALVLPSPWSEQLHRFWPEILTTPLDLLANCPPSPIAGIPPAVFLLANGLTLRPPSTS PCHMLQHDLAWYRHLLAVLPAESYSAEPLAWLHVSASHSVPVVYPSAVVAQLRTMYAQ MRPWCGVCFAVTVPGLRKPLSTAPPAPMFPQAATTEDQFGFGYIAQQGSFSILRRLWA KSTSSKWMQRLANPFKSSSSHRHPTPPPHHQAPPHSQQVCAPPPFDAAAFVSLVQLVA LFLFRWNPSDKKNTPDLLSFLTFYKIDGLSLVHTLWLFFQETYDVDAIAQSLALGQAT PEDPVACVLVVFAVTYHNLLIVLDDHEMHDTGFPVPLGQVERVIVLFKGVLYRHYWLA KDRHNHPFGEYAVESATRLLQSLYNRCARRPFCNVTSWVVGDLDGDDVVERVLHDDQK AVALLQHMPYLLPYADRVRLFQALVKLDRDRYQENAPPCRMTIRRGYVLEDGLTKLNA LRRDLKKKVQVHFINEAGTEEVGIDAGGLFKEFWTELSQLAFDPHYGLFQCSDVDHLL FPNPSSSLIHSNDVLLFEFLGRILGKALFENIVVQPKFSRFFLTKLLGNHNHIHDLPS LDPQLYKNLMFLKTYDGDVSDLGLTFSIGQDCFGVHKEVELVPGGSSVGVTGDNRYRY IHLAAHYYLNGQIARQSAAFVAGLRDVVDVKMLHMFNEPELQVLISGTTSAFDMEDLK SATRYAGGYFSQDKRIGWLWKALESFSPSERGLFLRFVTSCQRAPVLGFHSLHPPFCV QKISIRSDDVKLPSAATCFNTLKLPTYSSYKVLREKLLTAITSGAGFEMT H257_02445 MFRRDDEVKKGGDGARSKSSVVEEARKKREEREKKRHTERHAVA LQAMVRGHHSRMFLLRQLREDVDRKVRDIATLQQMLQKAFVVPTPVLLSIVHAALFAT KLGGSSKATLDTEDASRLAQVIALLHSNWTLSGDSYPAAFGSMSIATLKQVFACCFQI GPTHGAALEFVASLVGCASKEETPSPSSSAEISIQHVAMMKILIHETFTPVHRPWWTQ PLGQSFTMHMRGVLTSNNSPAENTISWWRLLFHLLEHATSNEDKPPIMTALLHHILTV PALVLPSPWSEQLHRFWPEILTTPLDLLANCPPSPIAGIPPAVFLLANGLTLRPPSTS PCHMLQHDLAWYRHLLAVLPAESYSAEPLAWLHVSASHSVPVVYPSAVVAQLRTMYAQ MRPWCGVCFAVTVPGLRKPLSTAPPAPMFPQAATTEDQFGFGYIAQQGSFSILRRLWA KSTSSKWMQRLANPFKSSSSHRHPTPPPHHQAPPHSQQVCAPPPFDAAAFVSLVQLVA LFLFRWNPSDKKNTPDLLSFLTFYKIDGLSLVHTLWLFFQETYDVDAIAQSLALGQAT PEDPVACVLVVFAVTYHNLLIVLDDHEMHDTGFPVPLGQVERVIVLFKGVLYRHYWLA KDRHNHPFGEYAVESATRLLQSLYNRCARRPFCNVTSWVVGDLDGDDVVERVLHDDQK AVALLQHMPYLLPYADRVRLFQALVKLDRDRYQENAPPCRMTIRRGYVLEDGLTKLNA LRRDLKKKVQVHFINEAGTEEVGIDAGGLFKEFWTELSQLAFDPHYGLFQCSDVDHLL FPNPSSSLIHSNDVLLFEFLGRILGKALFENIVVQPKFSRFFLTKLLGNHNHIHDLPS LDPQLYKNLMFLKTYDGDVSDLGLTFSIGQDCFGVHKEVELVPGGSSVGVTGDNRYRY IHLAAHYYLNGQIARQSAAFVAGLRDVVDVKMLHMFNEPELQVLISGTTSAFDMEVRL SCPS H257_02446 MAPFRVVVVALLCYAIHAHVQVLVVGGTGNLATKYLWPAFEQVR ESSPVVLQFWAGGVADITSGETTLKSVPSASRLGVRYALLRDGADYKTLAADPSWATD DITGVIVYLAVPPQFFDAICHHVHAHLRHPKRWIRIVVEKPFGHDIFSAEALATSLRG IFADDELFLIDHYMGKRGVHGLRSFLTLNQVEYAKWWPHLSHIQIAMHEAETVAQRTA FFDNVGIVRDVMANHLTLLWGLLNPPQDTLAHRRLDLVHRFAFESHLGVSLGQYDGYL QDVHNELNKPTTTTATAAAARFRHQERRDMTVSVAAGKALQHREVRVTLHFGTACVLE FVIQVGVSLKYDTKLRQGPKGESIQVCDALVESVVPPLGWVADNNTTSRQQLAPHKSM EVLGAYTFLLGKLVQGDATHFMHLDDILAAWRLWQPILELSDAAHERDLWIYPLGDGS FLDFHGQEQTNHDEF H257_02446 MAPFRVVVVALLCYAIHAHVQVLVVGGTGNLATKYLWPAFEQVR ESSPVVLQFWAGGVADITSGETTLKSVPSASRLGVRYALLRDGADYKTLAADPSWATD DITGVIVYLAVPPQFFDAICHHVHAHLRHPKRWIRIVVEKPFGHDIFSAEALATSLRG IFADDELFLIDHYMGKRGVHGLRSFLTLNQVEYAKWWPHLSHIQIAMHEAETVAQRTA FFDNVGIVRDVMANHLTLLWGLLNPPQDTLAHRRLDLVHRFAFESHLGVSLGQYDGYL QDVHNELNKPTTTTATAAAARFRHQERRDMTVSVAAGKALQHREVRVTLHFGTACVLE FVIQGPKGESIQVCDALVESVVPPLGWVADNNTTSRQQLAPHKSMEVLGAYTFLLGKL VQGDATHFMHLDDILAAWRLWQPILELSDAAHERDLWIYPLGDGSFLDFHGQEQTNHD EF H257_02446 MAPFRVVVVALLCYAIHAHVQVLVVGGTGNLATKYLWPAFEQVR ESSPVVLQFWAGGVADITSGETTLKSVPSASRLGVRYALLRDGADYKTLAADPSWATD DITGVIVYLAVPPQFFDAICHHVHAHLRHPKRWIRIVVEKPFGHDIFSAEALATSLRG IFADDELFLIDHYMGKRGVHGLRSFLTLNQVEYAKWWPHLSHIQIAMHEAETVAQRTA FFDNVGIVRDVMANHLTLLWGLLNPPQDTLAHRRLDLVHRFAFESHLGVSLGQYDGYL QDVHNELNKPTTTTATAAAARFRHQERRDMTVSVAAGKALQHREVRVTLHFGTACVLE FVIQVGVSLKYDTKLRQGPKGESIQVCDALVESVVPPLGWVADNNTTSRQQLAPHKSM EVLGAYTFLLGKLVQGDATHFMHLDDILAAWRLWQPILELSDAAHERDLWIYPLGDGS FLDFHGNEIDFQSRRT H257_02446 MAPFRVVVVALLCYAIHAHVQVLVVGGTGNLATKYLWPAFEQVR ESSPVVLQFWAGGVADITSGETTLKSVPSASRLGVRYALLRDGADYKTLAADPSWATD DITGVIVYLAVPPQFFDAICHHVHAHLRHPKRWIRIVVEKPFGHDIFSAEALATSLRG IFADDELFLIDHYMGKRGVHGLRSFLTLNQVEYAKWWPHLSHIQIAMHEAETVAQRTA FFDNVGIVRDVMANHLTLLWGLLNPPQDTLAHRRLDLVHRFAFESHLGVSLGQYDGYL QDVHNELNKPTTTTATAAAARFRHQERRDMTVSVAAGKALQHREVRVTLHFGTACVLE FVIQGPKGESIQVCDALVESVVPPLGWVADNNTTSRQQLAPHKSMEVLGAYTFLLGKL VQGDATHFMHLDDILAAWRLWQPILELSDAAHERDLWIYPLGDGSFLDFHGNEIDFQS RRT H257_02446 MAPFRVVVVALLCYAIHAHVQVLVVGGTGNLATKYLWPAFEQVR ESSPVVLQFWAGGVADITSGETTLKSVPSASRLGVRYALLRDGADYKTLAADPSWATD DITGVIVYLAVPPQFFDAICHHVHAHLRHPKRWIRIVVEKPFGHDIFSAEALATSLRG IFADDELFLIDHYMGKRGVHGLRSFLTLNQVEYAKWWPHLSHIQIAMHEAETVAQRTA FFDNVGIVRDVMANHLTLLWGLLNPPQDTLAHRRLDLVHRFAFESHLGVSLGQYDGYL QDVHNELNKPTTTTATAAAARFRHQERRDMTVSVAAGKALQHREVRVTLHFGTACVLE FVIQGPKGESIQVCDALVESVVPPLGWVADNNTTSRQQLAPHKSMEVLGAYTFLLGKL VQGDAT H257_02447 MEVQRKELLEEVMTWHHRMFHELLAQHHQLVEAHIDVFGTHPFT QRECRSVNKESFEETINPNTTSDNMTISDFIVDLCDEHVGDDGSCTVDQFYRVLDTIS ARAASELKLTTGDKIRTCYVIDRTLNSKRMSEGDVRKLSEHYQSKGVHMLLQWVVEAA WNPHEHDCANLLLRLLISLPPKDSVVRREFAGILTEQLPHRHGTTRELLQQLLTAFQ H257_02448 MGFNFRNDSLRSSMRAILVGMLALSWTTSMCQACVEDWYICPNG RGVGRDPLRNCEHFPCNITSNDAIVPAPNTTCTDDPFFQVCPSGDIVLRDYATNCTFR PCPPFCVNETITCPSGDLKRANPLLNCTFDPCPVMCATDTFTCPQTLTVVRRSAALNC NFTPCPPVVACSTEARYCAATRKFQRRRVDIGCDFDPCPTIKQTST H257_02449 MRGNCGQFYPMVCLLATTPFSQFRIMPPTLQRSAHAVKSTLPWL YMVTPSIASTDVLVDTVEKALRGGVNIVQLRNKIFGADSPELKAMAVALRELTRSHNV PLIINDHVALALDVGADGAHIGQEDTAVEAAQALIGTTPSFLLGVTVRDAIQAQAACK AGADYLGVGPVYASSTKQNANNGLVIGLDGLASTVAMASQFHVPVVAIGGIHVDRVEA CMSTKAAGVAVVAALSSSSDVQGAAAALADKVRRHHTR H257_02449 MRGNCGQFYPMVCLLATTPFSQFRIMPPTLQRSAHAVKSTLPWL YMVTPSIASTDVLVDTVEKALRGGVNIVQLRNKIFGADSPELKAMAVALRELTRSHNV PLIINDHVALALDVGADGAHIGQEDTAVEAAQALIGTTPSFLLGVTVRDAIQAQAACK GACMFHSCGSNTLCVSVAGADYLGVGPVYASSTKQNANNGLVIGLDGLASTVAMASQF HVPVVAIGGIHVDRVEACMSTKAAGVAVVAALSSSSDVQGAAAALADKVRRHHTR H257_02450 MNPQSKYGGLSMRNIMIRKKLAERKRFDSADYAMQQQIPSTNDA VDSGRPLNLHISTDPAVLHRVASSHVDHVQSPVVQIGMAAFFDSMSDDTCMATAPNSP LVEAMTLSPANRQISASSSETAVANSQQQNAKYGALSARNIILRRKLAHTTTHFDSAD YQLQKYGHGNGIEGTAVNYSVSAPVNDDIEFAGAVGATVDAQVAVPAQLLVAPTNYGK LCAQNILIRKKLKERKRFDSADYSMEHSNPSTTSASAAAAAAQAARSITSDQHQPKLL KISVDSPKAAKDGSSSRDLFSPTSRVAARNVFLQRRLQERKRFDSADYFQEKQQQQQH P H257_02451 MGRSARYAGQDGATTCMWPRLGNMKQLLGGVGAGTRNDRSRGKA RVGDGDTYTVTYSVPGVVHLTTLLFDNTIEVYLADLEWLQSGLYLQQSVHLDHDIPSS HVSMKRRECLVRVKNVR H257_02452 MADVTFPTTADIVIIGGGLAGMAAALEALEANASLRIVLLEKEP KVGGNSAKASSGINAAQSKDDLDAYLQDTLKSGGGFTNPVLAQTLVDQSLDGIRFLES KGVDLSVQCQLGGHSTERTRRNKTGPNVGFAITSALKKAIDDASSQIQIVTGAKVTKL VASDNAVSGVEYHVDNRDFTVSTPAVILATGGYSANTALLKTLAPGMEAFATTNGPWA TGDGLELATGVGAALVDTDKVQLHPTGFIDPKDRHKSTRFLAPEALRGAGGILLNVHG HRFVNELATRKEVSNAMLAQPEKRSFLVLLQTDQVQAACQALGLGFYEKIGLVKVVPT LETLAQVVGVPQSVLDAEFDSYLKAARGQAADTFGRTAFANVTELRPMFVLEVEPVVH YCMGGVQINEHAEVVLGNHTTPVKGLYAAGEVSGGLHGGNRLGGNSLTECVVFGRLAG QRAAALVAVKQ H257_02452 MADVTFPTTADIVIIGGGLAGMAAALEALEANASLRIVLLEKEP KVGGNSAKASSGINAAQSKDDLDAYLQDTLKSGGGFTNPVLAQTLVDQSLDGIRFLES KGVDLSVQCQLGGHSTERTRRNKTGPNVGFAITSALKKAIDDASSQIQIVTGAKVTKL VASDNAVSGVEYHVDNRDFTVSTPAVILATGGYSANTALLKTLAPGMEAFATTNGPWA TGDGLELATGVGAALVDTDKVQLHPTGFIDPKDRHKSTRFLAPEALRGAGGILLNVHG HRFVNELATRKEVSNAMLAQPEKRSFLVLLQTDQVQAACQALGLGFYEKIGLVKVVPT LETLAQVVGVPQSVLDAEFDSYLKAARGQAADTFGRTAFANVTELRPMFVLEVEPVVH YCMGGLKDTKSV H257_02453 MPHTTHLHVKSLGLPENSKVILSTHPVLAHKLTKLRDAKTDANL FRHLLREITFYLGYEATSDLSTVPKQIKTPLGPHQGSELATTVALVPILRAGLGMVEP MLDLLPNARVHHIGMYRNSNSLLPVQYYNKLPKECHIDCAIVLEPLINTAGTIIATVA ILKVWGVSQIKIISTIASKDGLKDLFAKHPDVEVIVAAIDDTLSESGVIVPGLGDAGD RQFDTDVHHISENGGNHKRKHSEE H257_02454 MYNHIVDRCPRVEEALREAYRSRPRKTDSAVGITSDMDEEGKDP CVYGSADVGGRHGGGKSKGGRPKDPIWDEFTTTDELRKRRYCMCVWCEKEVKGDTTRM TTHLVERCTEVDASAKAKYTVKHRKLDDDTSVDHVLVALAPSTNVTMTSPQPNASREP ISPVVGMTREVVSPSREAASAVDVAALGPFPPPSPPLPHPSYPAPRPLEPPGRPDTMQ QQQHAAMLSELGLQDINPGVFGGGTWFGHGPMITVINPSTNQAIASVRSGTAKEYMHV VQSMDKAAPSWRQATAAHRSRVVRHIGDVFRSHHQRLANVLALESGIVQRDAMTLVAN MIRRCDDAAAAVIVHSVTASTIAAVHDCAESRPLNTMQIVQLERFGPLGGHVGLLTPA HSPTWTGAMALACGNAVLWKPSLSLIAIAITRLIASVLRSHGYPPACASLICGSGADV GACLARDKRMAVVCYQGSVEHGHPVGVAVAGRLGTSILELDAHNAMVVLADANVDVAL RMVLLAMGRRVLRHVYVHVDISDRFCRLLTSRYQDLVVVGDPFLAATTCGPLRNLQAV QSFSNAVNAAMSYGQILCGGNNHTMDRSGNFVAPAAVLVDDILPYVQVDTHLPITFVS KFQSLEQVLEQRLVLFTQSPMEAALCYTGANVVGVNCEFPSGGDAFGSWNDFVRRTTC TVNMTHDHQHKQQSVGDPTSPIATSNKVHIV H257_02454 MYNHIVDRCPRVEEALREAYRSRPRKTDSAVGITSDMDEEGKDP CVYGSADVGGRHGGGKSKGGRPKDPIWDEFTTTDELRKRRYCMCVWCEKEVKGDTTRM TTHLVERCTEVDASAKAKYTVKHRKLDDDTSVDHVLVALAPSTNVTMTSPQPNASREP ISPVVGMTREVVSPSREAASAVDVAALGPFPPPSPPLPHPSYPAPRPLEPPGRPDTMQ QQQHAAMLSELGLQDINPGVFGGGTWFGHGPMITVINPSTNQAIASVRSGTAKEYMHV VQSMDKAAPSWRQATAAHRSRVVRHIGDVFRSHHQRLANVLALESGIVQRDAMTLVAN MIRRCDDAAAAVIVHSVTASTIAAVHDCAESRPLNTMQIVQLERFGPLGGHVGLLTPA HSPTWTGAMALACGNAVLWKPSLSLIAIAITRLIASVLRSHGYPPACASLICGSGADV GACLARDKRMAVVCYQGSVEHGHPVGVAVAGRLGTSILELDAHNAMVVLADANVDVAL RMVLLAMGRRVLRHVYVHVDISDRFCRLLTSRYQDLVVVGDPFLAATTCGPLRNLQAV QSFSNAVNAAMSYGQILCGGNNHTMDRSGNFVAPAAVLVDDILPYVQVHEERS H257_02454 MYNHIVDRCPRVEEALREAYRSRPRKTDSAVGITSDMDEEGKDP CVYGSADVGGRHGGGKSKGGRPKDPIWDEFTTTDELRKRRYCMCVWCEKEVKGDTTRM TTHLVERCTEVDASAKAKYTVKHRKLDDDTSVDHVLVALAPSTNVTMTSPQPNASREP ISPVVGMTREVVSPSREAASAVDVAALGPFPPPSPPLPHPSYPAPRPLEPPGRPDTMQ QQQHAAMLSELGLQDINPGVFGGGTWFGHGPMITVINPSTNQAIASVRSGTAKEYMHV VQSMDKAAPSWRQATAAHRSRVVRHIGDVFRSHHQRLANVLALESGIVQRDAMTLVAN MIRRCDDAAAAVIVHSVTASTIAAVHDCAESRPLNTMQIVQLERFGPLGGHVGLLTPA HSPTWTGAMALACGNAVLWKPSLSLIAIAITRLIASVLRSHGYPPACASLICGSGADV GACLARDKRMAVVCYQGSVEHGHPVGVAVAGRLGTSILELDAHNAMVVLADANVDVAL RMVLLAMGRRVLRHVYVHVDISDRFCRLLTSRYQDLVVVGDPFLAATTCGPLRNLQAV QSFSNAVNAAMSYGQVYIL H257_02454 MYNHIVDRCPRVEEALREAYRSRPRKTDSAVGITSDMDEEGKDP CVYGSADVGGRHGGGKSKGGRPKDPIWDEFTTTDELRKRRYCMCVWCEKEVKGDTTRM TTHLVERCTEVDASAKAKYTVKHRKLDDDTSVDHVLVALAPSTNVTMTSPQPNASREP ISPVVGMTREVVSPSREAASAVDVAALGPFPPPSPPLPHPSYPAPRPLEPPGRPDTMQ QQQHAAMLSELGLQDINPGVFGGGTWFGHGPMITVINPSTNQAIASVRSGTAKEYMHV VQSMDKAAPSWRQATAAHRSRVVRHIGDVFRSHHQRLANVLALESGIVQRDAMTLVAN MIRRCDDAAAAVIVHSVTASTIAAVHDCAESRPLNTMQIVQLERFGPLGGHVGLLTPA HSPTWTGAMALACGNAVLWKPSLSLIAIAITRLIASVLRSHGYPPACASLICGSGADV GACLARDKRMAVVCYQGSVEHGHPVGVAVAGRLGTSILELDAHNAMVVLADANVDVAL RMVLLAMGRRVLRHVTWLSSAIPSLPQRHAARCAISRRCSRFRMP H257_02454 MYNHIVDRCPRVEEALREAYRSRPRKTDSAVGITSDMDEEGKDP CVYGSADVGGRHGGGKSKGGRPKDPIWDEFTTTDELRKRRYCMCVWCEKEVKGDTTRM TTHLVERCTEVDASAKAKYTVKHRKLDDDTSVDHVLVALAPSTNVTMTSPQPNASREP ISPVVGMTREVVSPSREAASAVDVAALGPFPPPSPPLPHPSYPAPRPLEPPGRPDTMQ QQQHAAMLSELGLQDINPGVFGGGTWFGHGPMITVINPSTNQAIASVRSGTAKEYMHV VQSMDKAAPSWRQATAAHRSRVVRHIGDVFRSHHQRLANVLALESGIVQRDAMTLVAN MIRRCDDAAAAVIVHSVTASTIAAVHDCAESRPLNTMQIVQLERFGPLGGHVGLLTPA HSPTWTGAMALACGNAVLWKPSLSLIAIAITRLIASVLRSHGYPPACASLICGSGADV GACLARDKRMAVVCYQGSVEHGHPVGVAVAGRLGTSILELDAHNAMVVLADANVDVAL RMVLLAMGRRVLRHVTWLSSAIPSLPQRHAARCAISRRCSRFRMP H257_02454 MYNHIVDRCPRVEEALREAYRSRPRKTDSAVGITSDMDEEGKDP CVYGSADVGGRHGGGKSKGGRPKDPIWDEFTTTDELRKRRYCMCVWCEKEVKGDTTRM TTHLVERCTEVDASAKAKYTVKHRKLDDDTSVDHVLVALAPSTNVTMTSPQPNASREP ISPVVGMTREVVSPSREAASAVDVAALGPFPPPSPPLPHPSYPAPRPLEPPGRPDTMQ QQQHAAMLSELGLQDINPGVFGGGTWFGHGPMITVINPSTNQAIASVRSGTAKEYMHV VQSMDKAAPSWRQATAAHRSRVVRHIGDVFRSHHQRLANVLALESGIVQRDAMTLVAN MIRRCDDAAAAVIVHSVTASTIAAVHDCAESRPLNTMQIVQLERFGPLGGHVGLLTPA HSPTWTGAMALACGNAVLWKPSLSLIAIAITRLIASVLRSHGYPPACASLICGSGADV GACLARDKRMAVVCYQGSVEHGHPVGVAVAGRLGTSILELDAHNAMVVLADANVDVAL RMVLLAMGRRVLRHVTWLSSAIPSLPQRHAARCAISR H257_02454 MYNHIVDRCPRVEEALREAYRSRPRKTDSAVGITSDMDEEGKDP CVYGSADVGGRHGGGKSKGGRPKDPIWDEFTTTDELRKRRYCMCVWCEKEVKGDTTRM TTHLVERCTEVDASAKAKYTVKHRKLDDDTSVDHVLVALAPSTNVTMTSPQPNASREP ISPVVGMTREVVSPSREAASAVDVAALGPFPPPSPPLPHPSYPAPRPLEPPGRPDTMQ QQQHAAMLSELGLQDINPGVFGGGTWFGHGPMITVINPSTNQAIASVRSGTAKEYMHV VQSMDKAAPSWRQATAAHRSRVVRHIGDVFRSHHQRLANVLALESGIVQRDAMTLVAN MIRRCDDAAAAVIVHSVTASTIAAVHDCAESRPLNTMQIVQLERFGPLGGHVGLLTPA HSPTWTGAMALACGNAVLWKPSLSLIAIAITRLIASVLRSHGYPPACASLICGSGADV GACLARDKRMAVVCYQGSVEHGHPVGVAVAGRLGTSILELDAHNAMVVLADANVDVAL RMVLLAMGIYIFIY H257_02454 MYNHIVDRCPRVEEALREAYRSRPRKTDSAVGITSDMDEEGKDP CVYGSADVGGRHGGGKSKGGRPKDPIWDEFTTTDELRKRRYCMCVWCEKEVKGDTTRM TTHLVERCTEVDASAKAKYTVKHRKLDDDTSVDHVLVALAPSTNVTMTSPQPNASREP ISPVVGMTREVVSPSREAASAVDVAALGPFPPPSPPLPHPSYPAPRPLEPPGRPDTMQ QQQHAAMLSELGLQDINPGVFGGGTWFGHGPMITVINPSTNQAIASVRSGTAKEYMHV VQSMDKAAPSWRQATAAHRSRVVRHIGDVFRSHHQRLANVLALESGIVQRDAMTLVAN MIRRCDDAAAAVIVHSVTASTIAAVHDCAESRPLNTMQIVQLERFGPLGGHVGLLTPA HSPTWTGAMALACGNAVLWKPSLSLIAIAITRLIASVLRSHGYPPACASLICGSGADV GACLARDKRMAVVCYQGSVEHGHPVGVAVAGRLGTSILELDAHNAMVVLADANVDVAL RMVLLAMGIYIFIY H257_02454 MTREVVSPSREAASAVDVAALGPFPPPSPPLPHPSYPAPRPLEP PGRPDTMQQQQHAAMLSELGLQDINPGVFGGGTWFGHGPMITVINPSTNQAIASVRSG TAKEYMHVVQSMDKAAPSWRQATAAHRSRVVRHIGDVFRSHHQRLANVLALESGIVQR DAMTLVANMIRRCDDAAAAVIVHSVTASTIAAVHDCAESRPLNTMQIVQLERFGPLGG HVGLLTPAHSPTWTGAMALACGNAVLWKPSLSLIAIAITRLIASVLRSHGYPPACASL ICGSGADVGACLARDKRMAVVCYQGSVEHGHPVGVAVAGRLGTSILELDAHNAMVVLA DANVDVALRMVLLAMGRRVLRHVYVHVDISDRFCRLLTSRYQDLVVVGDPFLAATTCG PLRNLQAVQSFSNAVNAAMSYGQILCGGNNHTMDRSGNFVAPAAVLVDDILPYVQVDT HLPITFVSKFQSLEQVLEQRLVLFTQSPMEAALCYTGANVVGVNCEFPSGGDAFGSWN DFVRRTTCTVNMTHDHQHKQQSVGDPTSPIATSNKVHIV H257_02455 MTKRARVMWWNNPTHGVTATRCPLVDDLASSVGRRLTPLDAAFV LEFHGHRTALQKWIAPKPALRRGCPIAFHDNAGVPMVPKRNTAAIARVCYCARGRASI RHQVVPQIQDDD H257_02456 MSSINDPHGRVGYVFEELFMWHAPWPGLSEHTQPFAPWESPETK RRFHGLLAATGLLDKLQVVRARRATQAELELNHGRAYIESIQEKSLLPNGGDAGDWAQ FSQGAYEIACLSVGGVLALVDAVMDRHVTCGYALVRPPGHHALRDIGMGFCIFNNIAI AAHYLLQTYPSSVRKVAIVDYDVHHGNGTQASFEADNRVLFISIHQANNYPLDSGHIH EIGTGDGQGYTINIPLPPGSGTGAYNSAMGRVVLPALHAFAPDFILVSSGFDASYMDP LGNMMVSSECFGQMADALRTAASDICSGRLVFCHEGGYSDFYVPFCGAAVIEALLGLQ GTVKDPLLYEARARGGQDLQPHQDAVLQQVLASSKLLEWSK H257_02457 MAAALHWEEYAKLRIPLSKRLKEHATDDKSLAELETLAENVLDR MDAIPRDHLDNPECYDDLRMMHREELGSIRAERRRSGCPSPLTQPMDFTFDFAQITWN SCNQANAVTIDDVKTACPELFVKTTRQLTQRVQRMTVSSAARHPVQQYSSIAERTPVA QPSPPSAAPRPSMRAFLPKKYDLPNPSVAKHDLPSHDMDDAADDSDRFHSMKQPSRGR PQQQHRNANPFQTAGEKLEIDKKSGQHKDSHRGATVGKAQPNRSHSYHPINPNVLMQK KQNSPPRIHKPFNPPDGSSAGRKPHDSEPPPAADDPADDVDPRLKSCDPELISKIEME IVDAGDPVSFDDIAGLSFAKKCVNELVIWPMARPDIFTGLRSLPKGLLLFGPPGTGKT LIGKAIATQSGATFFSISASSLTSKWIGEGEKLVRTLFAVAAVKQPSVIFIDEIDSLL SQRSSTENEASRRMKTEFLVQLDGAGTKSKDIILVVGATNRPQELDEAARRRFVKRLY IPLPSAEARLDLMNRLLAKNQHDLTDADKSHIVQRSKGLPHQKCLGRIRLVFAGFSGA DVRSLCTEAAMGPIRNCVDIQTMQADDVRPISRRDFDEALRGTRSSVSVKDLQFYMEW NAEFGSYQIDTTEMDDDDTGI H257_02457 MAAALHWEEYAKLRIPLSKRLKEHATDDKSLAELETLAENVLDR MDAIPRDHLDNPECYDDLRMMHREELGSIRAERRRSGCPSPLTQPMDFTFDFAQITWN SCNQANAVTIDDVKTACPELFVKTTRQLTQRVQRMTVSSAARHPVQQYSSIAERTPVA QPSPPSAAPRPSMRAFLPKKYDLPNPSVAKHDLPSHDMDDAADDSDRFHSMKQPSRGR PQQQHRNANPFQTAGEKLEIDKKSGQHKDSHRGATVGKAQPNRSHSYHPINPNVLMQK KQNSPPRIHKPFNPPDGSSAGRKPHDSEPPPAADDPADDVDPRLKSCDPELISKIEME IVDAGDPVSFDDIAGLSFAKKCVNELVIWPMARPDIFTGLRSLPKGLLLFGPPGTGKT LIGKAIATQSGATFFSISASSLTSKWIGEGEKLVRTLFAVAAVKQPSVIFIDEIDSLL SQRSSTENEASRRMKTEFLVQLDGAGTKSKDIILVVGATNRPQELDEAARRRFVKRLY IPLPSAEARLDLMNRLLAKNQHDLTDADKSHIVQRSKGFSGADVRSLCTEAAMGPIRN CVDIQTMQADDVRPISRRDFDEALRGTRSSVSVKDLQFYMEWNAEFGSYQIDTTEMDD DDTGI H257_02457 MAAALHWEEYAKLRIPLSKRLKEHATDDKSLAELETLAENVLDR MDAIPRDHLDNPECYDDLRMMHREELGSIRAERRRSGCPSPLTQPMDFTFDFAQITWN SCNQANAVTIDDVKTACPELFVKTTRQLTQRVQRMTVSSAARHPVQQYSSIAERTPVA QPSPPSAAPRPSMRAFLPKKYDLPNPSVAKHDLPSHDMDDAADDSDRFHSMKQPSRGR PQQQHRNANPFQTAGEKLEIDKKSGQHKDSHRGATVGKAQPNRSHSYHPINPNVLMQK KQNSPPRIHKPFNPPDGSSAGRKPHDSEPPPAADDPADDVDPRLKSCDPELISKIEME IVDAGDPVSFDDIAGLSFAKKCVNELVIWPMARPDIFTGLRSLPKGLLLFGPPGTGKT LIGKAIATQSGATFFSISASSLTSKWIGEGEKLVRTLFAVAAVKQPSVIFIDEIDSLL SQRSSTENEASRRMKTEFLVQLDGAGTKSKDIILVVGATNRPQELDEAARRRFVKRLY IPLPSAEARLDLMNRLLAKNQHDLTDADKSHIVQRSKGFSGADVRSLCTEAGAIVLLV QV H257_02458 MAGGSIWLTLILVSWFAALHFTDPTTADIVIIGGGLAGMAAALE ALEANASLRIVLLEKEPKVGGNSAKASSGINAAQSKDDLDAYLQDTLKSGGGFTNPVL AQTLVDQSLDGIRFLESKGVDLSVQCQLGGHSTERTRRNKTGPNVGFAITSALKKAID DASSQIQIVTGAKVTKLVASDNAVSGVEYHVDNRDFTVSTPAVILATGGYSANTALLK TLAPGMEAFATTNGPWATGDGLELATGVGAALVDTDKVQLHPTGFIDPKDRHKSTRFL APEALRGAGGILLNVHGHRFVNELATRKEVSNAMLAQPEKRSFLVLLQTDQVQAACQA LGLSFYEKIGLVKVVPTLEALAQVVGVPQSVLDAEFDSYLKAARGQAADTFGRTAFAN VTELRPMFVLEVEPVVHYCMGGVQINEHAEVVLGNHTTPVKGLYAAGEVSGGLHGGNR LGGNSLTECSVLDAEFDSYLKAARGQAADTFGRTAFANVTELRPMFVLEVEPVVHYCM GGVQINEHAEVVLGNHTTPVKGLYAAGEVSGGLHGGNRLGGNSLTECVVFGRLAGQRA AVLVASKY H257_02459 MGSLCCGDDRVNKVHVAHAGLFACCCPCVTLAQVSSRLDVFGGY TVVLWGSLVAVVGAMVSTATSIRTQSILSGASKLWFSNANTLQVLSLCCSVVLVVLVT LLRGQVRQRRGMTTNTFRDYVCAACCPCCCLAEMAIMVGTPETYKKCPFGSCEILPAY DPK H257_02460 MSSVNSSEAAPLTHSEEVQPSYQKQLPVEGVPNVVLNHALPAWK AGICGCFSSGVNSFMSAFCQCFVLAQVASRLGNVFGGYAGVLIGVLAFTVLGNLFRVY AELDWYSIHTHGRPVDIYLEAEATRFNVAATFAALVIVTFVAWLRHRVRSFFRIPGSP AEDCCCALFCHCCTVAQMSTQVEVYGPGQCCSVGPRDTLPAYYVAVPLQ H257_02461 MCGCGPDRVSPWRVRRVLRRLGGAFRDLLHPSRVCRARSKRSRQ LRTRPRPLDPSKHQPTHHVRHPKLVDGAVASAVPRGASHPRPSRASHPRQRMRRLSVL VLLQLLHHCPDGHGSASVHTWRVLVRAPQHHPCLPRRVRQHVGVNLSVMRMNAPGQVS CL H257_02462 MSFANMKQSGEFTPLATPANSSNLHSMQVPLVYVQPEPIRGDYM VDRSSSGVPTSEGPQFWKAGVFECFQNIFPNALMAWLCPCVSLAQITSRLGVYGGYKT VLLGVATIVVLENVLNGVDDWRMEHPKLDEDDGRGRRVGGYGVLSLLLCMALVCFVTA VRTKVRSFHRIQGSEWEDFACSLCCMSCTIAQMSTQVESYTPGVCAFGPRDTLQGYAQ HV H257_02463 MAYGTNLWLDDEENLVFDFEAILPSLHESTPVILVDEDGKKDFK RGTVVCRHWLRGLCMKGDNCEFLHQYDMSKMPECRWGMECQVPECPFRHVPDEDRMEC AFYKQGFCSHGAACRYRHVKLSREECPVIADFGLQSKVAEEESAKRRKAQPVNEFFKI AICKHWEKQGSCPFGDECHFAHGDKELRPFPKDGHGGPGGGGNHHMGGGSHQMMPPPP HVIPFKAPDVPPSFVMPDAEGSSTYIVLRSHSYQNLAQSVHHQKFAAAGSTLQLIQDA MTMADQVFLFLSVSPSFHFQGVARIVQVPTGDDAGVSLADSTVPFAEWRGDFGVEWLR TCEIPFESADTIPTAKDAALSRLPDGTELTKECGHALLEKMFFHPMIRLHIKSVEDEG VLPGGAFELANRRRHAAESVANFVDPARLMPSAHVAHRWQVDLPGYVFACNGATIDES FGRMLFGLAKDQEQVAVKNVQVGTPLFLLNMSDRHVLGMFEATSSVGMNIIPNAFTAG MPPGTTATPFPVQVRFRFVFDAPPMLNDLLKSVPGLERGVRIGPLTLEATQTLANVFA ERCKATNLNQDGAKVEKKANEEQFIVGIEEDKEFGVVQKILGPQGGSHVKRIILDAGG NAKVRLRGRGSGFIEANGEEAKDPLMLVVSADNDRSFRIACDASRDLLGSVHRDYQAY LHSKHRGGFPPMMGGRGMRGPPPPFRGPRGPPPGGNYRGPPPPHHR H257_02464 MRGLPKLATAQKMVDRVATQKLVHRPLFRSMAPKIEVPHKLVQI SNDPNYTHMSVDVFQNTGFAIGASHFLHSLQGAGKYEILEVYGHNDKTFTTSVIQFGV NLCGHQGVVHGGCISTVIDELFQWTAYWTTGRIGYTANLNVNYRRPLPVERPGILTAE FEKKDGRKLYMKAKLEDNDGIIYTEATTLFLLPKEVNGEA H257_02465 MWLQRAASSLRRRPSHNVILMKELSTVTTIDQEATRLSFADIIG DESLRGRWMSQGPILERMDMLGAAIACKATQGGCATVSFDQVESHVPVYHGDLFQLEG QILNINNTSTSIHISGYRVDMLTGTTTHTHDAILTFVAIDKNGRPRSGLPKLVSPLNP TFVPFMANKAAQRKELGARWRKIQEEVDAMEYIRKDMLDVNPLNASRTTFVPVKATLV EVQNLFLPKHLNMNNTIFGGEILQWMDKVAVFCARKFTKNVHMATITMNRIVFQLPIT TNDVVSMKARVVMARRHTLEVEVEVFIERLGLAEKRKSHTAYFTVINLNAKQLKDPIK TGLYVDEGDQESMRLLVKAQKRWAFQAEQNSVHDVPPLPMTSNL H257_02465 MWLQRAASSLRRRPSHNVILMKELSTVTTIDQEATRLSFADIIG DESLRGRWMSQGPILERMDMLGAAIACKATQGGCATVSFDQVESHVPVYHGDLFQLEG QILNINNTSTSIHISGYRVDMLTGTTTHTHDAILTFVAIDKNGRPRSGLPKLVSPLNP TFVPFMANKAAQRKELGARWRKIQEEVDAMEYIRKDMLDVNPLNASRTTFVPVKATLV EVQNLFLPKHLNMNNTIFGGEILQWMVRPLLDYYCILLVVLYGYCPLS H257_02466 MLTREEWSVALAPLWKEYYMTEDESDINDAEMFPALLGFKDMLR ESRKPDGFLAHYNLSDVDVVTIPCKHLFSLFGDDAHDCFVVGYDHYLRSLGLALCMLR HEDELDTSEYDIHPRPRMEMHTEKINVRIVGLEPETSIGDLKAHVVDQFVSVTGTVTR VNAIKPLVVHCEFLCEKCEGVTERYFPDGKYDPPASCGTCRSKSTLIPNRSAAKTVDF QKIKIQEVDNGDAAAGRIPRMVEVELTEDLVDSCVPGSIVTICGPVKAVNSEVHSGRF GKQAQASSLYVLYIVANSVVNHNQAETSKEDTAEFSPQDLDAIYTIAHDDQVFDRLIH SFCPGIYRNELVKAGLLLALFGGAQKKEDNAVFTRSDSHVLLVGDPGLGKSQMLRAVS MITPRGVYVGGNTATTTGLTVTMVKDSSGDYALEAGALVLADQGVCCIDEFDKMACDY QALLEAMEQQSISIAKAGIVCNLNARTSVIAAANPSGGHYNRSRSVSENLKMKAALLS RFDLIFVLLDRPDASRDELLSEHVMHNHLAHPHKRQRRHDPHPRFTQLATQAETDVTL KQRLVANSLEMQDDPISLYHIRRYIAYARKYIHPQLSQEARQYLQDKYLQMRSDAETA AGDGIPITMRQLESLIRLAQARAKIELQDVVTVQHAYDVVEIMQECLLDTYTTEEGHL DFGRSGGMSLAKKVKAYVARLRKSVAQRNSQLFSMDELLQVANSMALDVDDFRDFVEI LRNECFVLKQGVNVYKVQI H257_02466 MTLRCFLPCWDSRICFGRVASRMVSWPITTCPMWTWSRFRVSTC FRCLATTHTIALSSGTTITCAVWGWRCACCATKTNWTRPRLEPETSIGDLKAHVVDQF VSVTGTVTRVNAIKPLVVHCEFLCEKCEGVTERYFPDGKYDPPASCGTCRSKSTLIPN RSAAKTVDFQKIKIQEVDNGDAAAGRIPRMVEVELTEDLVDSCVPGSIVTICGPVKAV NSEVHSGRFGKQAQASSLYVLYIVANSVVNHNQAETSKEDTAEFSPQDLDAIYTIAHD DQVFDRLIHSFCPGIYRNELVKAGLLLALFGGAQKKEDNAVFTRSDSHVLLVGDPGLG KSQMLRAVSMITPRGVYVGGNTATTTGLTVTMVKDSSGDYALEAGALVLADQGVCCID EFDKMACDYQALLEAMEQQSISIAKAGIVCNLNARTSVIAAANPSGGHYNRSRSVSEN LKMKAALLSRFDLIFVLLDRPDASRDELLSEHVMHNHLAHPHKRQRRHDPHPRFTQLA TQAETDVTLKQRLVANSLEMQDDPISLYHIRRYIAYARKYIHPQLSQEARQYLQDKYL QMRSDAETAAGDGIPITMRQLESLIRLAQARAKIELQDVVTVQHAYDVVEIMQECLLD TYTTEEGHLDFGRSGGMSLAKKVKAYVARLRKSVAQRNSQLFSMDELLQVANSMALDV DDFRDFVEILRNECFVLKQGVNVYKVQI H257_02466 MLRHEDELDTSEYDIHPRPRMEMHTEKINVRIVGLEPETSIGDL KAHVVDQFVSVTGTVTRVNAIKPLVVHCEFLCEKCEGVTERYFPDGKYDPPASCGTCR SKSTLIPNRSAAKTVDFQKIKIQEVDNGDAAAGRIPRMVEVELTEDLVDSCVPGSIVT ICGPVKAVNSEVHSGRFGKQAQASSLYVLYIVANSVVNHNQAETSKEDTAEFSPQDLD AIYTIAHDDQVFDRLIHSFCPGIYRNELVKAGLLLALFGGAQKKEDNAVFTRSDSHVL LVGDPGLGKSQMLRAVSMITPRGVYVGGNTATTTGLTVTMVKDSSGDYALEAGALVLA DQGVCCIDEFDKMACDYQALLEAMEQQSISIAKAGIVCNLNARTSVIAAANPSGGHYN RSRSVSENLKMKAALLSRFDLIFVLLDRPDASRDELLSEHVMHNHLAHPHKRQRRHDP HPRFTQLATQAETDVTLKQRLVANSLEMQDDPISLYHIRRYIAYARKYIHPQLSQEAR QYLQDKYLQMRSDAETAAGDGIPITMRQLESLIRLAQARAKIELQDVVTVQHAYDVVE IMQECLLDTYTTEEGHLDFGRSGGMSLAKKVKAYVARLRKSVAQRNSQLFSMDELLQV ANSMALDVDDFRDFVEILRNECFVLKQGVNVYKVQI H257_02467 MSTTEGAETFAFSADISQLLSLIINTFYSNKDIFLRELISNASD ALDKIRYQSLTDASVLDSDKDLEIKIIPDKANGTLTLQDSGIGMTKTDLVNNLGTIAK SGTKAFMEALAAGADISMIGQFGVGFYSAYLVADRVTVHSKHNDDEQHVWESAAGGSF TVSADSSEPIKRGTRIVLKLKEDMLEYLEERKLKDLVKKHSEFISFPIKLYVEKTTEK EVTDDEDEEEEEKEGEDDKPKVEEITEGDDDKKKKTKKIKEVSHDWNHLNGQRPIWMR KPEDVTHEEYAAFYKSLTNDWEEHAAVKHFEVEGQLEFKACLFVPKRAPFDMFEGGAK KKVNNIKLYVRRVFIMDNCEELMPEYLSFVKGVVDSEDLPLNISRETLQQNKILRVIK KNLVKKCLDMFNELVEDAEKYKKFYEAFSKNLKLGIHEDTTNRTKIAKLLRYHSTKSG EDLTSFDDYISRMPESQPGIYYVTGESKKAVENSPFIEKLKKKGYEVLFMVDPIDEYA VQQLKDYEGKKLICATKEGMDLKETDDEKKTFEEAKAATEGLCKLMKEVLDDKVEKVQ ISSRIVESPCVLVTGEYGWSANMERIMKAQALRDSSTSSYMSSKKTMEINPLHPIIKS LREKSDVDKSDKTVKDLIWLLYDTSLLTSGFSLDEPTTFAGRIHRLIKLGLSIDDDDI AEENLDDLPPLEGDEGLEESTMEEVD H257_02468 MFNELVEDAEKYKKFYEAFSKNLKLGIHEDTTNRTKIAKLLRYH STKSGEDLTSFDDYISRMPESQPGIYYVTGESKKAVENSPFIEKLKKKGYEVLFMVDP IDEYAVQQLKDYEGKKLICATKEGMDLKETDDEKKTFEEAKAATEGLCKLMKEVLDDK VEKVQISSRIVESPCVLVTGEYGWSANMERIMKAQALRDSSTSSYMSSKKTMEINPLH PIIKSLREKSDVDKSDKTVKDLIWLLYDTSLLTSGFSLDEPTTFAGRIHRLIKLGLSI DDDDIAEENLDDLPPLEGDEGLEESTMEEVD H257_02469 MFNELVEDAEKYKKFYEAFSKNLKLGIHEDTTNRTKIAKLLRYH STKSGEDLTSFDDYISRMPESQPGIYYVTGESKKAVENSPFIEKLKKKGYEVLFMVDP IDEYAVQQLKDYEGKKLICATKEGMDLKETDDEKKTFEEAKAATEGLCKLMKEVLDDK VEKVQISSRIVESPCVLVTGEYGWSANMERIMKAQALRDSSTSSYMSSKKTMEINPLH PIIKSLREKSDVDKSDKTVKDLIWLLYDTSLLTSGFSLDEPTTFAGRIHRLIKLGLSI DDDDIAEENLDDLPPLEGDEGLEESTMEEVD H257_02470 MSTTEGAETFAFSADISQLLSLIINTFYSNKDIFLRELISNASD ALDKIRYQSLTDASVLDSDKDLEIKIIPDKANGTLTLQDSGIGMTKTDLVNNLGTIAK SGTKAFMEALAAGADISMIGQFGVGFYSAYLVADRVTVHSKHNDDEQHVWESAAGGSF TVSADSSEPIKRGTRIVLKLKEDMLEYLEERKLKDLVKKHSEFISFPIKLYVEKTTEK EVTDDEDEEEEEKEGEDDKPKVEEITEGDDDKKKKTKKIKEVSHDWNHLNGQRPIWMR KPEDVTHEEYAAFYKSLTNDWEEHAAVKHFEVEGQLEFKACLFVPKRAPFDMFEGGAK KKVNNIKLYVRRVFIMDNCEELMPEYLSFVKGVVDSEDLPLNISRETLQQNKILRVIK KNLVKKCLDMFNELVEDAEKYKKFYEAFSKNLKLGIHEDTTNRTKIAKLLRYHSTKSG EDLTSFDDYISRMPESQPGIYYVTGESKKAVENSPFIEKLKKKGYEVLFMVDPIDEYA VQQLKDYEGKKLICATKEGMDLKETDDEKKTFEEAKAATEGLCKLMKEVLDDKVEKVQ ISSRIVESPCVLVTGEYGWSANMERIMKAQALRDSSTSSYMSSKKTMEINPLHPIIKS LREKSDVDKSDKTVKDLIWLLYDTSLLTSGFSLDEPTTFAGRIHRLIKLGLSIDDDDI AEENLDDLPPLEGDEGLEESTMEEVD H257_02471 MALCVAFQSRFTQDRRPQEVNASTMEPEQHGGFNVIAAPDKKTR EHQAMELYQKGIEMAHGATCTDDHVRAIEFFSMAMSIRPNHARYFLARGNSLRAINEH ESAIHDFDMAIDLDSTCASYYATRGTCHRKLGMPADALVDFTLAIELDVKKGSHYFNR ALVLYDAGYYADAIVDFTKSLEDASSTSTGTAAAGRIEFRALHSRSNCHRRMGNLQSC VDDMLQAIQLEPRNPVGYNALAQCYMEFGDIDSAIKQFTAAVNLQDTIPAYLNNRGQA LFRKGHESFRAALIDFNAAVKLDGKDAQAYYNRGLARLAIAFLDIEKRDDVEFAAAAK ELAVDVGDSDDEAKPTSPSSRAFKAAPSKPPTLVSQPIPPSKDKSDGGDDHEYNADNS STTTGIMSIDEQLDAAIADLDMACSLEPANTRYLYGKAMVVHLTHRHDEQDGATDTLA VLQAALDVDPHHVASRYHRGLLYHQQKDYERAIEELTAVIAQVPNESRFLEARGLVFQ DIHLHDLAIEDFTRALAAHPSPPPPYYLYHRGESLLRLNQFQQAVDDLTAAMALGGET AAVLNARGLAHKALGMYDAAIADFTHCIDVNKRTAVFRLHRAQCYMDTTQFEAAHGDL KVALRLAPNDPRLLYFAGLALFQLKSYHESLAKLTLALKYTPPESYLCDLYYHIGLGH ANVNAHMLAVEAFTWAIDRTTQRQDKIKYLHERAKALQMEAYYNEAIADFTQVILHNP TNAHAHFRRAFAYKGVGLLQESAADIETAKLLDPTNPRLMVNYKTLHDTECIILCAPG HEVEY H257_02471 MALCVAFQSRFTQDRRPQEVNASTMEPEQHGGFNVIAAPDKKTR EHQAMELYQKGIEMAHGATCTDDHVRAIEFFSMAMSIRPNHARYFLARGNSLRAINEH ESAIHDFDMAIDLDSTCASYYATRGTCHRKLGMPADALVDFTLAIELDVKKGSHYFNR ALVLYDAGYYADAIVDFTKSLEDASSTSTGTAAAGRIEFRALHSRSNCHRRMGNLQSC VDDMLQAIQLEPRNPVGYNALAQCYMEFGDIDSAIKQFTAAVNLQDTIPAYLNNRGQA LFRKGHESFRAALIDFNAAVKLDGKDAQAYYNRGLARLAIAFLDIEKRDDVEFAAAAK ELAVDVGDSDDEAKPTSPSSRAFKAAPSKPPTLVSQPIPPSKDKSDGGDDHEYNADNS STTTGIMSIDEQLDAAIADLDMACSLEPANTRYLYGKAMVVHLTHRHDEQDGATDTLA VLQAALDVDPHHVASRYHRGLLYHQQKDYERAIEELTAVIAQVPNESRFLEARGLVFQ DIHLHDLAIEDFTRALAAHPSPPPPYYLYHRGESLLRLNQFQQAVDDLTAAMALGGET AAVLNARGLAHKALGMYDAAIADFTHCIDVNKRTAVFRLHRAQCYMDTTQFEAAHGDL KVALRLAPNDPRLLYFAGLALFQLKSYHESLAKLTLALKYTPPESYLCDLYYHIGLGH ANVNAHMLAVEAFTWAIDRTTQRQDKIKYLHERAKALQMEAYYNEVRQLTRLG H257_02471 MALCVAFQSRFTQDRRPQEVNASTMEPEQHGGFNVIAAPDKKTR EHQAMELYQKGIEMAHGATCTDDHVRAIEFFSMAMSIRPNHARYFLARGNSLRAINEH ESAIHDFDMAIDLDSTCASYYATRGTCHRKLGMPADALVDFTLAIELDVKKGSHYFNR ALVLYDAGYYADAIVDFTKSLEDASSTSTGTAAAGRIEFRALHSRSNCHRRMGNLQSC VDDMLQAIQLEPRNPVGYNALAQCYMEFGDIDSAIKQFTAAVNLQDTIPAYLNNRGQA LFRKGHESFRAALIDFNAAVKLDGKDAQAYYNRGLARLAIAFLDIEKRDDVEFAAAAK ELAVDVGDSDDEAKPTSPSSRAFKAAPSKPPTLVSQPIPPSKDKSDGGDDHEYNADNS STTTGIMSIDEQLDAAIADLDMACSLEPANTRYLYGKAMVVHLTHRHDEQDGATDTLA VLQAALDVDPHHVASRYHRGLLYHQQKDYERAIEELTAVIAQVPNESRFLEARGLVFQ DIHLHDLAIEDFTRALAAHPSPPPPYYLYHRGESLLRLNQFQQAVDDLTAAMALGGET AAVLNARGLAHKALGMYDAAIADFTHCIDVNKRTAVFRLHRAQCYMDTTQFEAAHGDL KVALRLAPNDPRLLYFAGLALFQLKSYHESLAKLTLALKYTPPESYLCDLYYHIGLGH ANVNAHMLAVEAFTWAIDRVRLATRIRVSSDLDYGR H257_02471 MALCVAFQSRFTQDRRPQEVNASTMEPEQHGGFNVIAAPDKKTR EHQAMELYQKGIEMAHGATCTDDHVRAIEFFSMAMSIRPNHARYFLARGNSLRAINEH ESAIHDFDMAIDLDSTCASYYATRGTCHRKLGMPADALVDFTLAIELDVKKGSHYFNR ALVLYDAGYYADAIVDFTKSLEDASSTSTGTAAAGRIEFRALHSRSNCHRRMGNLQSC VDDMLQAIQLEPRNPVGYNALAQCYMEFGDIDSAIKQFTAAVNLQDTIPAYLNNRGQA LFRKGHESFRAALIDFNAAVKLDGKDAQAYYNRGLARLAIAFLDIEKRDDVEFAAAAK ELAVDVGDSDDEAKPTSPSSRAFKAAPSKPPTLVSQPIPPSKDKSDGGDDHEYNADNS STTTGIMSIDEQLDAAIADLDMACSLEPANTRYLYGKAMVVHLTHRHDEQDGATDTLA VLQAALDVDPHHVASRYHRGLLYHQQKDYERAIEELTAVIAQVPNESRFLEARGLVFQ DIHLHDLAIEDFTRALAAHPSPPPPYYLYHRGESLLRLNQFQQAVDDLTAAMALGGET AAVLNARGLAHKALGMYDAAIADFTHCIDVNKRTAVFRLHRAQCYMDTTQFEAAHGDL KVALRLAPNDPRLLYFAGLALFQLKSYHESLAKLTLALKYTPPESYLCDLYYHIGLGH ANVNAHMLAVEAFTWAIDRVRLATRIRVSSDLDYGR H257_02471 MALCVAFQSRFTQDRRPQEVNASTMEPEQHGGFNVIAAPDKKTR EHQAMELYQKGIEMAHGATCTDDHVRAIEFFSMAMSIRPNHARYFLARGNSLRAINEH ESAIHDFDMAIDLDSTCASYYATRGTCHRKLGMPADALVDFTLAIELDVKKGSHYFNR ALVLYDAGYYADAIVDFTKSLEDASSTSTGTAAAGRIEFRALHSRSNCHRRMGNLQSC VDDMLQAIQLEPRNPVGYNALAQCYMEFGDIDSAIKQFTAAVNLQDTIPAYLNNRGQA LFRKGHESFRAALIDFNAAVKLDGKDAQAYYNRGLARLAIAFLDIEKRDDVEFAAAAK ELAVDVGDSDDEAKPTSPSSRAFKAAPSKPPTLVSQPIPPSKDKSDGGDDHEYNADNS STTTGIMSIDEQLDAAIADLDMACSLEPANTRYLYGKAMVVHLTHRHDEQDGATDTLA VLQAALDVDPHHVASRYHRGLLYHQQKDYERAIEELTAVIAQVPNESRFLEARGLVFQ DIHLHDLAIEDFTRALAAHPSPPPPYYLYHRGESLLRLNQFQQAVDDLTAAMALGGET AAVLNARGLAHKALGMYDAAIADFTHCIDVNKRTAVFRLHRAQCYMDTTQFEAAHGDL KVALRLAPNDPRYSKYMV H257_02472 MLMRAWQASKGRPFVRVSRSLTSLPDHIKQRVHVDPMSSTYDPL AVEDGWQAFWESKLVDAKKANPDAPRFTMLLPPPNITGALHIGHALTVTIQDALARYH RMCGHEVLWIPGLDHAGIATQSVVEKQLWKEHKLTRHDVGRSAFLDHVHGWNATYGSR ILNQLERLGALLNKDHTFFTLDDARSKAVVDAFCRLHAKGLVYRHRRMVNWCPTLQTA ISDIEVDAVPLTKRTMLPLPGHATPVEFGVMHRFKYKVDRSDSVVADTEFVHVDTTRP ETILGDVALAIHPDDARYLHLHGQHVVHPFTNERLPIVLDAQLVDPTLGTGVVKLTPA HDANDWACAQRHNLPHVVVMDKLAKMVTPNVPAFHGLDRFDARAAMVAKLQELDLYVE KLDHPTSVSICSRSGNVVEPYLMPQWFVQCDGMAAASAQAVRSNALALEPATHHHTWF HYLDNIQDWCVSRQLWWGHRIPAYRVHLAGHQASDDNDDNLENERWVVARNEVEARQL ALETYGDHPLLTLEQDQDVLDTWFSSALLPLSALEWPTDDTVPETIQHVYPLSVMETG ADILFFWVARMSMLCTALSGRQPFDKVWLHPMVRDKSGRKMSKSLGNVIDPLHVIAGI DLPTLVQGLHQGNLDPKELVRAEKDLKREFPSGIPSCGTDALRLTLSTYLAQGRQINM DLQRVVASRHFCNKMWNAFRYALPLLPAPHHSGRHGGGSTLEDLRPHMGLAERWILSR MADAVSKSHEGFESFRLAQSAGAAQRFFLQDLCDVYIEFSKPVLYTAKNNHDDGGGGD GDDLVVAAADRGDAARRQESAQATLRQCLDTSVRLLHPFMPFVTEELWQRLTWSADGT TTGGDSLTLAAFPCKQDVATWRDVDAEESMQAVLDVMHAMRSLKHTRKTLVPDHDDSM DTGHLTVHCTDPTLTALLERNMADIRTQCRSGVHLVSAAPSDGTYLSQSISTSCQVWM PLRATANTSERLDAELSRLMKRLAKAEKTKASLDAKVADPLYTTRVPVEIQAQDAERI QDAAVEIATLTDSTTTLCTLKVQLTTKST H257_02473 MERYQKLEKVGEGTYGVVYKAKDRVTGEVIALKKIRLEAEDEGI PSTAIREISLLKELQHCNIVRLYNIVHTERKLTLVFEYLDQDLKKYLDVCEKGLEKPI LKSFLYQLLRGIAYCHQHRVLHRDLKPQNLLINREGELKLADFGLARAFGIPVRSYTH EVVTLWYRSPDVLMGSRKYSTPVDIWSIGCIFAEMANGGPLFAGTSEADQLDRIFRLL GTPTLDIYPGLVDLPEYKTDFPVYPPPASLAHLVPTLDADGLDLMQQMLQYDPAKRIT AADALKHPYFDDLPQSSSQAS H257_02474 MTQQNYPPLIQRGHSPPRIVTSRRIIVLSCFAVSFGLLCGAAVL CSRTSIASAALTSTLVASISGPTLNEGASGSDLGDDDDESWDHDDGDLIDGKLVMSAA LAKVENCVPFDITSSVTANATAGSVTWSTQRMYKEGKDDDWVECGHGRAGLELRAVDG SDTSASYSHFRSIVLDRDAATNVTIHSVSLSNLDPAATYEYVVGSSHHGYSNLHRLGH NIEYSSDEAWRCRPVRVRSAYGSTPDAYAIQWSTPADCSAGTHTLTLEAGKMAAFTAS SITLPATSFAFGSRAQHVVSATNLRPHTFYSYFVGNDQYSRSLVFTFRTAPGPSDIAP LRFLVTGDIGYQNAATLPMMQAQVARGLVDAVISVGDYAYDLHMSKGQVGDVFLTELE PVAANVPFMVAMGNHEVKQHYSHYTNRFQLMPANAGVLVDSPTMKNNWYYSYNVGLVH FVVLCTEIYFKPNPKDPQFVDRQVQWLQADLAAANGNRTLAPWVIVIGHRPLYCTSDA NCDAPAALLRDAFEDLFYDMGVDLYLCGHQHNYERMYDVYRGATMARSTNMAATTYIL TGAAGQSKVLPVRKPFGRPPEAYTAFRNTVFGFSRLVVHNSSHVQWQQIECDPLNPAA YGVNGQAVDDVWLVQTRHGSFASS H257_02475 MSNWSVFWRNVVRRINFLDDDERRQIIKLTETKVDGDQWSIPDT FVRIHRHVYNEISTPSNKYTDHVARDVPRTFVLFELPYDTLPMQPALHRVLNAISEAE DGYCQGMNFIAAVFLVQGFSEEDAYIVFLYLLKHKHLSQVFKDSSMFLNEYLGQFQQQ FSLYLPDLADRLESCGFSVYLYGVEWFTTIFSCSSKLDLTRAVLDMMLVGIQDVMFRV GLALLKNVESQVMDLQFEDLLRHFKSIVKQADTYQVVLDALAIPPHAEAAAGGVLAKT ARRSSKPWVAWPYARHRTLGPVLARACEMGTLNQRQWETWKALHVDSEAHVVVANEVL HYAVWHGHVHVAAFAIQTAKADPNAGDDFDLRPLHFAIVRNHPDLVRLLLIQGADVHA RGGNSIAMPRSLQMKSPVELANMWIYSDIEAVGLVLEGKVCLHCNVKFPLLLPFLAIK QCPDCLYMYCRQHCVDHHRCAPSAATQGVMKPSRVEVDESDCLEADECDVERTVSRPC NSKWYCTYRDCHGVFNLFRVRYKCAICSYSMCSLHMHTRTVQGQRCWVCTTCTDDEME D H257_02475 MSNWSVFWRNVVRRINFLDDDERRQIIKLTETKVDGDQWSIPDT FVRIHRHVYNEISTPSNKYTDHVARDVPRTFVLFELPYDTLPMQPALHRVLNAISEAE DGYCQGMNFIAAVFLVQGFSEEDAYIVFLYLLKHKHLSQVFKDSSMFLNEYLGQFQQQ FSLYLPDLADRLESCGFSVYLYGVEWFTTIFSCSSKLDLTRAVLDMMLVGIQDVMFRV GLALLKNVESQVMDLQFEDLLRHFKSIVKQADTYQVVLDALAIPPHAEAAAGGVLAKT ARRSSKPWVAWPYARHRTLGPVLARACEMGTLNQRQWETWKALHVDSEAHVVVANEVL HYAVWHGHVHVAAFAIQTAKADPNAGDDFDLRPLHFAIVRNHPDLVRLLLIQGADVHA RGGNSIAMPRSLQMKSPVELANMWIYSDIEAVGLVLEGKVCLHCNVKFPLLLPFLAIK QCPDCLYMYCRQHCVDHHRCAPSVPTGYL H257_02475 MVDSRYLCQDTQISTPSNKYTDHVARDVPRTFVLFELPYDTLPM QPALHRVLNAISEAEDGYCQGMNFIAAVFLVQGFSEEDAYIVFLYLLKHKHLSQVFKD SSMFLNEYLGQFQQQFSLYLPDLADRLESCGFSVYLYGVEWFTTIFSCSSKLDLTRAV LDMMLVGIQDVMFRVGLALLKNVESQVMDLQFEDLLRHFKSIVKQADTYQVVLDALAI PPHAEAAAGGVLAKTARRSSKPWVAWPYARHRTLGPVLARACEMGTLNQRQWETWKAL HVDSEAHVVVANEVLHYAVWHGHVHVAAFAIQTAKADPNAGDDFDLRPLHFAIVRNHP DLVRLLLIQGADVHARGGNSIAMPRSLQMKSPVELANMWIYSDIEAVGLVLEGKVCLH CNVKFPLLLPFLAIKQCPDCLYMYCRQHCVDHHRCAPSAATQGVMKPSRVEVDESDCL EADECDVERTVSRPCNSKWYCTYRDCHGVFNLFRVRYKCAICSYSMCSLHMHTRTVQG QRCWVCTTCTDDEMED H257_02475 MVDSRYLCQDTQISTPSNKYTDHVARDVPRTFVLFELPYDTLPM QPALHRVLNAISEAEDGYCQGMNFIAAVFLVQGFSEEDAYIVFLYLLKHKHLSQVFKD SSMFLNEYLGQFQQQFSLYLPDLADRLESCGFSVYLYGVEWFTTIFSCSSKLDLTRAV LDMMLVGIQDVMFRVGLALLKNVESQVMDLQFEDLLRHFKSIVKQADTYQVVLDALAI PPHAEAAAGGVLAKTARRSSKPWVAWPYARHRTLGPVLARACEMGTLNQRQWETWKAL HVDSEAHVVVANEVLHYAVWHGHVHVAAFAIQTAKADPNAGDDFDLRPLHFAIVRNHP DLVRLLLIQGADVHARGGNSIAMPRSLQMKSPVELANMWIYSDIEAVGLVLEGKVCLH CNVKFPLLLPFLAIKQCPDCLYMYCRQHCVDHHRCAPSVPTGYL H257_02476 MASPRSATSKGWSFSGLLGRSFCSAEAAPHADDPTKAKSFFDLA ATDMEGNIVPMSKYRGRVCLVYRDKGLQILAFPCNQFGGQEPGTNEEIMDFVKGFGIT FPFFAKCDVNGSGTVPVFQYLKHHLGGLLGNFIKWNFTKFLVDRNGQPYQRYSPQTAP NDFEADIVELLAKQ H257_02477 MENNLAQQLEVVRAQDKTVMLQKSLNKKNIEGYLEMRGRRSGYG LQEIPDPYTRDPNAGAALGLLRTLIRTPCAPRAVIPMEDVSDNINLNVIGARVMERNK RIQIQSQAEPSSVPSTSLNSQQPSATDKNEDLTEEFDDILGSVDIDAMVATAKAKKPS EVVDLSRNSISPSPPAAVPSVNPRTNHFLESSTIPGPARYNRQVAQPATTSVPSTAPL RSTSTSTNYSTPPLSLEDNIARIRSKLRDVREACDDAALEGFVPAELEEQKERLEGEL SSKIAQLRAKNSQEQAAPTLSPSQIQESINEIRGKLRRVREDVDDASLFGDVPDALEA EKTRLEQALAQQTLLFKQARNIVPSPVVTQSSSHAKPNSASYDRPHDSNPPITTTRYS TRTGEARGDLHMVAAAPDSSLKNDHQVRCTCGQVTSTQNVSYGKNAGRVFNRCESCGF HSWVDGGVSSSASCSSATSTWNAGHSMECPPPTGPQLGDKMKRAKFLLRDVFGHSSYR PGQERIVQEALAGKDVFVLMPTGGGKSLCYQLPACVDDGVSIVISPLVSLIEDQTQQL QALDVDVALLNGDQDYDTVQRPIISQLFSNNITIKMLYVTPEKIASSGQLGKLFESLA ARKLLARFVVDEAHCISQWGHDFRKDYMNLGTLRNRYPNVPIMALTATANHQTEADIV RNLRLAKPFVTRSSFNRPNLSYDVRRKGPKFMDELVSFVKDRMDQSGIIYCLSKKDCE NTADKIIRTLGLEGTAKAKKISFYHAGLEPADRSKRHHDWSKGNIKLIVATVAFGMGI NKPDVRYVIHHTIPQSVTHYYQESGRAGRDGEHATCLLYYSFKDLSRRRNLIAQDRDN PQHQNVHFQNLRRMVEFCENQVECRRTSLLEYFGEHFSSDNCHHTCDNCKARSNGAAF EKKDVTADCLKIYSIVERSASEGESLTVVQASAVFMGTISKDQQKRRAFIEGFHEFGA GKGRYDRSEVERIIYNMILRQYLDELEKKNAMGFSSNVLVTGASGRKLVQGETVALVC KTKRQPLIVAPPSAALALSGRKEHGKASKKPAKTSKHQAHSTTTVVHLTQGGDDEVIE MFAGMTRPKPMGARVSMHHVEALHQLLMDWRASVCDNFDVMPYHILPTSGIVAISEAV PVTCAELMAIEGVGRTRVKKWGEAIIDVVKSYLTKNGIEPTPLPAGYLDQHEDHSPPK EPSKSKAKSPYFNQSKPPPRDEFDDDMDWAIVGDLEDAIIPAKRPGTVATIDLSGDSK RKKVYE H257_02477 MENNLAQQLEVVRAQDKTVMLQKSLNKKNIEGYLEMRGRRSGYG LQEIPDPYTRDPNAGAALGLLRTLIRTPCAPRAVIPMEDVSDNINLNVIGARVMERNK RIQIQSQAEPSSVPSTSLNSQQPSATDKNEDLTEEFDDILGSVDIDAMVATAKAKKPS EVVDLSRNSISPSPPAAVPSVNPRTNHFLESSTIPGPARYNRQVAQPATTSVPSTAPL RSTSTSTNYSTPPLSLEDNIARIRSKLRDVREACDDAALEGFVPAELEEQKERLEGEL SSKIAQLRAKNSQEQAAPTLSPSQIQESINEIRGKLRRVREDVDDASLFGDVPDALEA EKTRLEQALAQQTLLFKQARNIVPSPVVTQSSSHAKPNSASYDRPHDSNPPITTTRYS TRTGEARGDLHMVAAAPDSSLKNDHQVRCTCGQVTSTQNVSYGKNAGRVFNRCESCGF HSWVDGGVSSSASCSSATSTWNAGHSMECPPPTGPQLGDKMKRAKFLLRDVFGHSSYR PGQERIVQEALAGKDVFVLMPTGGGKSLCYQLPACVDDGVSIVISPLVSLIEDQTQQL QALDVDVALLNGDQDYDTVQRPIISQLFSNNITIKMLYVTPEKIASSGQLGKLFESLA ARKLLARFVVDEAHCISQWGHDFRKDYMNLGTLRNRYPNVPIMALTATANHQTEADIV RNLRLAKPFVTRSSFNRPNLSYDVRRKGPKFMDELVSFVKDRMDQSGIIYCLSKKDCE NTADKIIRTLGLEGTAKAKKISFYHAGLEPADRSKRHHDWSKGNIKLIVATVAFGMGI NKPDVRYVIHHTIPQSVTHYYQESGRAGRDGEHATCLLYYSFKDLSRRRNLIAQDRDN PQHQNVHFQNLRRMVEFCENQVECRRTSLLEYFGEHFSSDNCHHTCDNCKARSNGAAF EKKDVTADCLKIYSIVERSASEGESLTVVQASAVFMGTISKDQQKRRAFIEGFHEFGA GKGRYDRSEVERIIYNMILRQYLDELEKKNAMGFSSNVLVTGASGRKLVQGETVALVC KTKRQPLIVAPPSAALALSGRKEHGKASKKPAKTSKHQAHSTTTVVHLTQGGDDEVIE MFAGMTRPKPMGARVSMHHVEALHQLLMDWRASVCDNFDVMPYHILPTSGIVAISEAV PVTCAELMAIEGVGRTRVKKWGEAIIDVVKSYLTKNGIEPTPLPAGYLDQHEDHSPPK EPSKSKAKSPYFNQSVQRTTCTMFCLSCILICRNHPHGTNLMTTWIGRSLATWKTRSF RPSDLVQLRPST H257_02478 MSSTGAATTPSPTPSATSGPLPTTLLVIGMAGSGKTTFMHRLAA HAAEKQKESRQYVVNLDPAVKTIPYGAHIDIRDTVDYKQVMSEYGLGPNGAIMTSLNL FATRFDQVVELIQKRAATLDHVIVDTPGQIEAFTWSASGAIITESLATTFPTVVVYVV DTPRTVNPNTFMSNMLYACSILYKVKLPFIVVFNKTDVVTHDFAVEWMTDFEAFQAAL DASQEDSYMNTLSRSLSLVLEEFYNNLRHVGVSAATGAGIDEFYKVVEAATAEYYDEY LPDLEFRIEQQKQKKDKHQVTNMSKVLDDMHVADDKATPPPPAPATPTHIQGERTEL H257_02479 MALVRATIFLLPLGRSAGFPSRAKHGLTHVNSDKQPTMVDVTDK AITDRTAVARSIVRFPAGILASLRQDAELMSKKGPVLTTAILAGVMGAKKTSDLIPFC HPLALNDCKVTLHVQDDNTLHIHCAVKCTGRTGVEMEALTGASIAALCVYDMCKALSH DLVIQETRLLAKTGGKAPFLHKEPQ H257_02480 MMSGWLLLLVLGWAVAYSVIYTSSQGNAAATDSNSLHVLPAYVS KEFTGLNERVFQLGRRHPIALKAWFTAGSVVAAVLMVGSTLYLIWNVMLLVARRVVQV DVEAPLSSTSVMIPGVTMPLDAMWYLWIAIFLAASFHEFGHALAAALCEIRMLSVGIF FAVVFPGAYVRFDSYCNIGVMDQIKIQSAGIWHNAVLALICMLQLMLLPSLLSPWYAT HQGLTVTSMPEFSIFDGLVDIGNVVVSLDHAPTLTLAAWKSEIATLTGVVDQPYATWK PVGYCIPSLDLASSTADTSCCHVDNDNTDSALQCFAHGGQSNNELDPSKKLVCLDGNQ VGGVSTARCSSDDSCGSGSEDSNQLPPPYTCVLPKLQDAATLIRIGLRGNVTLMVHGY PPDLHAELHLSPYTTTHKSPSSTSLSTTVWLSLPTWIERFWQFVGNVSGTLGLFNALP IHHLDGSHLCASYLQLVVADEATRGQVLKIVLTIGDALLVAVGVLSMWP H257_02481 MSFSHLSQARDTNTSIKEVDVHHPRTLGPGSYGKLNVWEGKPET TTRPRTSFGTSVEDEHPRYSHIERDSSILQDSTLLDEHRLLLKRNERAIHSSPKKSQT KQQVEHTNFHTDKMEPYMMKLPQPLGDKLRQKRLDGTTKHDI H257_02482 MAWWKVAQDAYCEPHRAYHALEHIASMYDVFQLHHETLALSSLE SLFVLAIVFHDVVYDPLNKSNEADSIASFRLFIDDVHPSLGPADICLVESMIEATIRH QLPSSCHSDETRRIVGCFLDLDLAILSRSTVLYDEVVRLSFDRSLIEINSTSHHVSKT NGPRPAVPT H257_02483 MVRHVIKFIRATLATVALLQLVHDTTGQDFGGTAIYEARSDPLL SQVAGDVDGELAEKHRRLTVVQTPPSDPFLLDNRFLGDVTVESHPTFGSASVSIASSS LFHAGAIVASGGVAISSTTIPTSSDASELVHVGDVILINQVELRTVVAVTSSAITVDR GIQHPLAGAETILVKQAIFSTYEDTTPLVQVNALGTTLQSTDATTDTIGTHTSVRIQH FSSANAAATVRVPGTVSVTRGSDVVFTSTDLTGVLTVNQYIRIAGATYVVHPTKSFDV TSFHIDRVYAGPSYNGVPLYIEGLGASIDLRASGADLAEHATGAVEAVFVGPTSAKTV ALRLATADSTQSTTPTARLQVAATGVTLLGGANTIATEFDGLTVRAASFVNVAAGTDE LSKQGGAIVLQTGDSTSSTQQLSGGALQLRTGISAHSDSSSGAVSVASGQNSAHSGSV ELRSGTAGLNSGSVVIGTGGSTAAANVGGVTVKTGVATTGNGGDVAISTADLTTGAKA GDVSVSGGKSTLAQAGGVTIAGGDGNVGGNVQLSAGATSLGTSIGGKVAVAGGNSAGT GGDVAVSAGNALATGVGGKVTFSSGTSATGASGDVTASSADAPTTGNVVVTSGAAAAG ASGSVSILAGAATGGVSGGITVSIKTSNTGTGGEIKLRGGNSVVGGPISLFSGTSTAG ASGSVTVASSASTGGNSGSVTISTGASTGGTGGAITGTVGGGVLGGGGAVTFTAGASA VGAGGKGSVVGGAGTVGGNVELTGGAGTSTNGGNVVLTTGAAAAASTSGSVTVSSAPA TTSGSIQLKSGTGSGGPGGAIVLSVGTGSMGTGGAITATSGAGVAGGPVAISSGASTA GASGTATIATAASTGGDSGAISISSGAATGGTGGGVALTVGNGAAGVGGIIALTSGAS TASTGGKTSVAGGLGTVGGNVELTGGAGSSTTGGAIALLSGAGAASSTSGAVTITTPS GSTSGAVQLKTGSGTGGTGGSIQLSVGGGSTASLAAHPLQAYLALCRLDLVAQLEATL VPSLSPQVLPLVAQVVPLLVPSEAGFLVLVAP H257_02484 MLPPAPPVAVPEFSKIMPLVLSVAELKTTSPLTAGPVPTPLSSM TFPPPPAEPAPPVNSTFPPSVPAPPVINVLPPAATLLAPAVNEMTPPTPTIPLPTVAE ILPPVPPDVEDPLLIDTAPALPPVEALEPMVTVPDAPAVEVPLVNEMGPPTTPAPPVT VTVPPVPVVPAPTDKLIAPPGPAVPAPVFN H257_02485 AAQRVPFQPRSEQAQLEAAGPLHLPPVVLLSVEPSVFSVAKLQV ELPAQFPYPAMPRLAETLVRYHCRPARPLGVLGVALLPQLVLVPLALEAGWPCQLVGQ SLVAQCHCAAERPQLVLLVQLLWPRALLQVVLPVLFKFPLGFLREVVEVEFLEVLAVG PGASTAGASGALSLTSSAAVGGSSGAISLSTGASTGGTGGAITASVGNGATGTGGSVS IVAGLAPAGGTLTLASGASSAGDSGATALTTGASVGGNSGAITLLSGGATGGNGGKLA FSVGSGDTGTGGKMQLSAGSAPVGGDVLLASGPSSAGASGRVDIATAAASGGPSGALT LGTGVTDTATGGNVAIQVGSGVSGVGGSISVTAGENVAGGDITLLTGPSLAGVSGTIS LVTNTAIQGNSGSVLVSTGLANDGSGGAISLSVGNGGVGAGGAISFTSGKGVSGGATT IISGASTAGASGNVALKSNDAVGGNSGTIAIASGLSNSATGGDISMLIGTGSSGTGGA ITFTAGQSVTGGSIQLTSGASTGGDSGGVSISTSNSAGGNSGALSLTSGTATGGRGGS LTLAVGSSVAGIGGTVSLSSGSSVTGGAITLRTGASTAGQSGAVTVSTSSSVGSASGT VSITTGAATVGGTGGSIIGSVGSGVAGVGGPIMLTAGSSTVSTGGKASLNAGLGLAGG NVELTGGAGGINAGGQVILTGGASTTAVGGGIALSSGAGASTSTSGAISLSTVGSSTS GTISLATGTGSAGPGGSISLSVGAGAASAGGSVSIRGGTSVAGGPISLLTGSSTAGAS GAVTIGSAAATGGNSGSISLLTGASTASGQGGDIIASVGNSVVATSGGVTFTAGSTSA SFSTGGRVSLLGGQGSSGGGLVLNGGIGQTNVGGAIQLSSGTSLTSTGGDVLLTSGAG AASSFSGKISLSTTAASTSGYINLNTGASSTGAGGAIVLSVGTGTAGTGGSLSLKSGD NVIGGIVAISSGASSAGASGDVTVLTAASTGGNSGQFSVATGTSTGGKGGGIALTSGS GTVGVGGALTFTAGISSASTGGKVGLRGGAGVTGGNIEVLGGAGSAGVGGDIVLTSGV GTGPAISGDVHVATADDSTSGMLLLVTGAGSNGAGGSIHASVGVGTSGTGGSISLSSG NSVVGGDVSIISGASTAGVSGGISLSTSTSTGGNSGSVQLTSGTATGGKGGSLIGAAG DGDTGIGGAITLKAGLSSASTGGKSSLIGGSGTIGGDVALNAGAATAGIGGQVLVTSG ASTTQSGPIALMSAGASTTGGISLSTGAGTGGAGGSISLEVGSASTGTGGSATIGSGS GVNGGPISVTSGVSSAGSSGGLFFASGISTGGNSGAISLTSGAATGGTGGGISGLVGS GATGTGGAITFTAGVSAASTGGKSSILGGSGTVGGNVELMGGAGATTLGGNIVATSGA GAASSTSGGVTLSTAGSSTTGSILLRSGVATTGAGGAISVSVGTGVAGTGGAINIASG TSAIGGSVVVSSGASTAGVSGSVLISSAASVGGASGPISLTTAAATGGTGGSITATVG NGVSGNGGAISFTSGASSVATGGKSSLVGGAGTVGGNVEVISGTGSATVGGDIILTTG AAASNSVSGNIALSTPSSSSTSGGAISIRSGSSSGAVGGTITVSVGGGTAGTGGAVRL SSGNSVVGGDISATSGTSSAGSSGNVAVSSGASTGGDSGSLTLTSGAATGGRGGGISG TVGSGATGVGGSVAFTAGSSITGGEVTLTSGASSAGKSGAVTFRSSASTGGSSGSVSL LSGDATGGAGGDIVATVGTGATGVGGAITFTAGASSASTGGKSTVLGGSGSIGGDVAL TGGLATSSTGGNVVVTSGAGAASSFSGGIALSTKDSASSGAITLATGVGTGGTGGSVL ISVGTGSTGQSGALTLNSGAGVSGGDVSVASGSSTAGTSGSVSIVSAASTGGASGSLS LTTGASTSGAGGNIIATVGTGVPAASTPGGRIALTAGTSTASTGGKILLTGGTGTSGG NLELVAGDTPAGSVGGNVLVTGGVGVTGAGGNVALLSGASSTLGQSGTISVSSAGGVT SGTIQLTSGAATNGAGGSLSMVVGAGTLGVGGNIQLSSGNSVSGGAVQIGSGSSSAGS SGSVALSSAAAAGGNSGSINLASGASTGGTGGSFVAAVGNGDTGNGGSITLTAGQSSA AVGGKVALNGGAGTTGGNVEVTGGSSAAGNGGDVVIKSGVGATAALSGGISLSTQNDA TSGALSLKTGTGSAGPGGAISLSVGSGTTGAGGAINVNSGVGVSGGAVAIASGVATAG VSGSVSIASSAATGGSSGAVAIASGASTGGGTGGAISIAVGNGVLGNGGAMTLTAGAS TVATGGKSSINGGGGTVGGNVELTAGAGASGAGGNILMSSGAGATSTTSGSITLSSSS GSTSGAIAVSTGAGTGGAGGSISLSVGSGSAGTGGSVSVASGADVVGGALALTSGAST AGLSGALTMLSGASVGGNSGALTIATGSATGGTGGNVAVSVGAGVLGAGGTLTLTSGS STVAVGGASALNGGAGTAGGNVQVTAGAGSSGAGGSIVLKSGDGSASSGGIVLSSSNM GTSTGSIALTTGASAGAVGGISASAGSSSGADGTAVSLTGGASSKSGGTGGKVSITGG AAAVGGNVELVGGTGGTTIGGQITLKSGAGASSSLSGGLTLSTVAGDATSGSILLQTG SSTLGVGGNVVLSGGASTVGAGSAILLTAGSSANAVGGNVELTAAQGTEGGRISLLGG VGTQTSGGRLVLTSGAGVDTTKPSGNIDISSPSGSVSGTIALASGTATAGASGSIRAS VGTSSATGGDVAIFGGNGVTGGNVLLSSGSSSAGTSGSLTLSSNTASGGSSGQVILST GASTGGTGGNIVVTVGNGATGSGGSIALTAGTSSASTGGKVSLVGGVGTVGGNLEFTS GTGSSTNGGDVLLKSGVGAATSALYLAPLYCERELRQVALGEAFPYLWAVVVLVLEVQ SLRPVVWVSLEAPCRCLVVRPQLELQAALPWDRAQPLAEHLEPQSETESLEMVAPLPL LPVRAQLERVVSVPLAGVPEQLVARVPLVGGCQSSVGMVPNLVPLVVVAVVPSKSQSL VEVLVHLPLVRRVRLGFNQAHQLVEILLLFLAVLVLQVATSDYLAVLEPVELVDQFLF QLVRLLLRPGVLFQCRLEVQQPEPAVPAGASGVAAITTAASAGGNSGSIGFVTGVAAG GTGGAITGSVGNGAVGVGGGVIFTAGASSASTGGKSSIVGGAGTIGGNVELTAGAGST TLGGSVLLSSGSGASASSSGGVTIATPIGGATGALQLSTGAASAGSGGAISIAVGSGT TGAGGSLTLSSGNGVSGGAVTVSSGTSSAGVSATGGTGGNIVVTAGSGAVATGGSIAL TGGMSSAATGGKTSISGGSGTRGGNIELTGGAGTAGVGGDVLLTSGVGTGPATSGAIK LVTSDDGATGSILLATGAAATGTSGNIRLSVNAGTAGTGGDISLSSGNNVVGGALSLQ SGSSTAGSS H257_02486 DISVSPGSSSANTGGSITLTSGVGTAATGGKTSVVGGAGSFGGS ALVTGGAGTANTGGQVVVISGVGAATAASGAIVLSSSGGASTSGSLMLATGTATAGTG GSVALSAGGGTAGLGGAVSVVGGASTTSTGGLTSVTGGQGTLGGNVAVTGGVGTTSTG GAVLITGGAGTGSTGGNIQLVSGAGATTYASGSISVASIGGGVYPTGAVTLSTGPSSS AAAGTIGLSVGSSGSGIGSALTLTAGTSTAARGGKVTITSGTGAQGGAVELVGAVSAT TTGGAISLTSGGAPTGTTSGAITLSTAGNDYTSGAIQLTTGTSTNGNGGTISAAVGAS STGIGGQISLIGGLSTASTGGKVSVSGNTGTAGGNVELTGGTASSNTATGGSIALTSG QGGSKSGNVLLTTNGGAYTSGTITFATGTATSVGNSGNIVATAGGSAVGNGGGITLTG GLGTSSTGGKASVVGGAGGVGGNVELTAGAGSATFGGSIALASGAGTTASGAIALSST GGSFASGGVSLTTGDSASANAGAITATSGRSATGNGPDVTLTAGISSAATGGKSSVNG GSGTVGGDVQLSGGSGSNTGGSITLFGGVSSTSTGGSIRVTTGQGATSYGSGAIVLST LSGPSTSGAISLTTGTPVAGAGGNIAATVGDTSTGIGGSIAFLSGKSADAAGGKTSFT GGDGAVGGNIEMTGGTATGTIGGQVLLTSGAGATSSTSGQVQVSTTGGAISSGGISIL TGSSTAGSGGNFAVSIGDSSASIGGSSTITAGLSTTADGGKIALQSGSGTIGGNLELT AGTGSDAAGAGGKVLISSGEGSASTGGAIKLITGAGASTKASGDLTLSTLAGATTSGK INLVTGDASTGAGGAIGLTAGSSTGVAGSSVMLQSGASTDNTGGQVAINAGQGLAGGV IQLSAGTGSTGVGGHISLVSGIAATASVSGEIKLSTVGGGFTSGALSVTSGESTAGNG GNIAVTVGASTTGLGGTITLTSGSTSASTGGKLSAFGGAGTVGGNAEVTGGSAGGGTG VGGGNVILTGGAATITTGGSINLVSGSGGSAAASGKVTLSTLAGASSSGALSLTTGAA SAGAGGALTALVGSTSTGAGSAISLTAGISGDNSGGKVRATGGQGVTGGGDIEVTSGA ATTGNGGNIILTSGAAGSSSTSGTIGLSSATGAFNTGGVNIVSGAATSGSSGGIIASV GSSSTGIGSTISLTAGASGNAAGGKSSILGGAGTVGGNVELTAGVGNTLNGGAISLAG GSSTTAIGGGINVVTGTGATGQASGAVSISSAGGGPSSGQIRISSGTSTAASGGNIAL SVGGSNTSVGGTMTLTSGASAAAVGGGTTVSGGGGTGGGTVTINGGDGSTTNGGAVLL NGGAGSSGIGGALRLTSGVGSATASSGLIVLASADNGGSSGAISFTTGVASDGTGGGV SANVGSSSGTNPGGAISLVSGPSASASGGNAGITGGAGTAGGEIQLTGGAATTNTGGS IRLSGGTSSASTGGGIFITTGTSTGTAASGDIQLSTASGAFPSGGIGLSTGASSSGVG GSISALAGSSNTGLGGSISFTGGIGSASTGGKSSIVGGQGTVGGSVEVTSGVGSSSTG GQIVLTTGGGSASQGSGAVSLSTTGGAFSSGSIFVTTGTSTGGSGGAISAAVGASSTG IGGQVSLIAGSSSASTGGKVSISGNSGTVGGNIEFNGGSATSTTGGNIQLSSGLGGTR SGDVALSTSGGAFTSGSITLTTGTATSTGNSGSITGLAGTSAVGIGGAITLTAGVSAA STGGKSSVFGGQGTIGGNVEFTGGVGTSTTGGSVLLTSGGGASSSSSGSISMSTTGGA FSSGQLSLVTGGSTSASGGAIGAVVGGSTTGVGGSITLTSGSSTASTGGKTSVTAGSG TVGGRFEATGGAGSSTGGSILLTGGASTGSTGGSMTFATGAGAATYASGGITLSTSTG PSTSGVIALTTGTPTAGSGGAVTISVGGSVTGIGSAITATAGASTASTGGKNAIFGGA GTVGGNVELTSGTGSAGLGGTIALLGGAGGSTATSGGITLSTSGTSASSGAISLATGA GANGVGGGITASVGATTAGIGGVISLSAGTASASTGGKASLFSGAGTTGGNFELTSGA GSANTGGQIVVVTGTGAAAAASGALTLSTAGGTSTSGAVTLASGAVTAGSGGSVTVSS GAGTVGLGGTVSIGSGSSTAATGGAISLQAGQGSYGGNLGFYAGAGSTNAGGKVTIAS GASTGAASGLISLSTANGAGNSGALSLATGTSSAGTGGDITATVSSGALDTGGKITLT AGSSSVAAGGKISVTGGGGTVGGDVEFNGGAASAGTGGNVLLNTGTGTLSSGGITLST LGGAAQSGPIAINTGTGTSAAGSIAMAVGGGAASAAGSITLTAGLTSSASGTGGKMFL YGGSGNIGGGITLTGGAGTNLAGGSLALTGGLSTAGTGGGVQLATGSGASGFGSGAIT VSTGGASGSSGAISLTTGNAGGTGSSGAITLSVGSSSGTGRAWAATAGLSTTSSGGKA SLAAGGGVTGGNVELTSGLGTSTGGNLLLTSGGGSSSGTSGNVYIISASGSTTGGSIA LTTGTATGTSGAITMSVGAGGSSAGGALTLQSGQSTSASGGGVAITAGNGAAGGGIQL TAGTGSTGLGGFVSLSAGQGTAGNGGYVELVSGFGGGTSGSITISASGATGNSGAVTI STGTSSTASAGAITIATGGTTTGVGSAVTLTSGTSANSAGGTTLVVGGAGTVGGDVQL TGGAGSSATGTGGGNVNLNGGTGTASTGGNINLVTGAGATTKASGQITLSTLAGAITS GSISLSTGSASAGSGGVITVTAGTSSTGVGSAVTVTAGESANNKGGKSKAVGGRGTIG GDVELTGGVGTTGLGGNIVLTSGTGVGSSGGVTLSTVGGTISSGSIALSTGTSTSGNG GGISLSAGGTSTGPGTAITITPGVSSDNTGGKTTIVGGGGVVGGNVELTGGTGTTAAS IAGGNVILSGGTGTQATGGGVTLVSGAGAATMASGQVTLSTLAGAATTGSISLISGAA TAGSGGSISVTVGASSTGVGSAVTVTAGSSGDNTGGKSKLVGGQGTAGGNIELNGGVG TAGIGGNVLLTSGLGTTASGTLTFSTVGGAINSGAISLLSGTSTAGNGGNIVATVGGS TTGAGGAIALTSGATSATGATGGKTSLVGGSGAIGGNVELTGGGGASGGSVVLTGGSG VSNSGGDINLVSGAGAATAASGRITLSTVGGAATSGSIALTTGGATAGSGGVITITAG SSSSGVGSSVTTTAGATTGNTGGKASTIGGQGSVGGNVELTGGVGTTGVGGTVLLTSG SGSTTSGAVTLSTIGGAINSGDISLLSGTSSAGNGGNIVATVGGSSTGIGGAVTITAG ATSSTTAAGGKATLIGGTGAVGGNVELKAGAGTSSSVIGGGNVIVTAGAGSASTGGSI SLISGAGASTAASGQITLSTLAGTSTSGSISLSTGAATAGSGGVIRITAGASSSGVGS SVTVTAGSTTGNTGGTSNIVGGAGSVGGNVALNGGAGTAGVGGDVVLSGGIGSTTSGA VSLSTIGGAINSGAISLSTGSSTSGTGGVISLSVGATSTGVGSAISLTPGTSGDDTGG KSSIFGGTGVIGGNVELTGGTGTTASTSVGGGNVILSGGAATLGTGGAVQLISGAGAS SKASGVIVLSTLAGAATSGSISLTTGDASAGSGGTIGISAGSSSTGVGSAVTVTAGSS SDNTGGKSRLFGGEGTVGGNVELTGGVGSAGAGGNVVLKSGSGSTASGALTLSTLGGA INSGVISILSGTSTSGSGGNIVATVGDSSTGVGGSITLTSGLTSSTTLAGGKTSLVGG AGAIGGNVELTGGVGSGTATGAGGGSVVLTGGSGTSNTGGSINLISGAGSSTKASGQI TLSTLSGAAPTGSILLTTGTATAGGGGGIALTVGSSSTGVGNSVALTAGNSGDNTGGK NTLTGGQGLAGGNIELTGGLGTTGVGGNIVMVGGAGASGSTSGGITLSTVGTGMSSGA IAIVTADSTTGSGGAISLSVGSSSVGIGSAVSVTAGASSLSTGGKATITGGAGTIGGN VELKAGAGSSTVGGNLLLVSGAGSTIGGSVTVRLGAGSSSGSFSVADATASSLLTVSP TLLAGACAAITLSATASSLSLASTGVVLTGTTTKVTGGNLLVDSNGFEISNSGTPKFT VSNGGNIVTAGTMELTMDAATITHSGATSLAVTTPSFSLTVTNDITLGSAAQTAPITL NGPITAASSVAIGTSATVGSTLSVTSTTSLNGLVKMAGAFTSSAITSTTSCTQGDFKY DTTDLYICVGVTYKRVTYTAW H257_02487 MATVQLPVSVTASSATDNNGPAVAAVTTTEGGGVLPKAKNRPNA SKFTQQTLPVWTPILTLRWSVAVLLLGTIVCLTLGGLVLQRSRNMSVYRVVYDGPSSA TAVVESEQSGGTVVHVSKCHLASPHDANSFSGSKTCFVTITLQRDIAGDTLVFYELSP FYQNHRRYMTSQLPQQYMGGWQAGDTTTACDPVLTSPDSLLCNGTVCYGANVSRQHYP CGLVANTMFNDIFWLHNGTLPSGQQLYPTDLLHTGIARTFQSYNFANPSGGLDLDSFL PIWHNPNYSRIIPPPGTSLPPQITSDYTNSTAWTTLAPGTGVENEFFRVWVNLAAGNV VRKPYGRIGVRDLPAGTTLTFAVQSNFFTDGTKAIVVGEIAWFGSENVALGVVFLVVG SLCLVATVVFAYKAIRNPRRLGDVTTLKWKLH H257_02488 MQAFAAVDSTDQLVQVRIGRQQYIVKIQAKKSSGHHSTCLDCRS SDDHACECKAVLCDNVTIGSVGVAWSRGDLVTLIQSYMHTCVAAFEPWKKLMESLVVT HPNATVAVDHSMAHYLLAISVPFDSTHVIHVRVHANSRIEDACIEYALTETTSLAVHL HTPTTIQVALAVLDRQRATRAAFLDALAHHLLLLSFDATHLNTLDCAVVHDQADAVCW IDIVRVTFAPTWGLVSTDAPLSSIQDAHTLSGRPLVSVVLVDAEGHHPLSITPSDMDA FHANFIAPDAAFFHSWLRHVLYARDNRPDDAVPPTRLPST H257_02489 MSSPYVIPHRAIFSEADLRQFLRSNAYEMILRFVKHLNESVKGK KLTDDIPVSKNVESVLAVLATLNTWIDEIPPIAQPMRFGNKAFRTWYDRLVDESPRIH EAMLDPPELKEAAIELCPYLIDSFGNRVRIDYGTGHETSFIIWLCGLHKIGFLRQADF PAIVLKIFHAYLVLMRRLQKVYMLEPAGSHGVWGLDDYQCLPFYFGSSQLVGQTNLAP SCVHDDGTLQLHHGEYLYLDAVKFVRDVKSSGSFAETSPMLNDISGVHSWDKINGGML KLYEGEVLHKFPVIQHLLFGSLLPCTWTPSQSGETSYTPVSTHPGTRVHAPNWDLPVN DSAPWTPVESLAKTVADTQTEAFLAPPPRKE H257_02489 MDRRDPTDCAADAVREQGLSHVESPRIHEAMLDPPELKEAAIEL CPYLIDSFGNRVRIDYGTGHETSFIIWLCGLHKIGFLRQADFPAIVLKIFHAYLVLMR RLQKVYMLEPAGSHGVWGLDDYQCLPFYFGSSQLVGQTNLAPSCVHDDGTLQLHHGEY LYLDAVKFVRDVKSSGSFAETSPMLNDISGVHSWDKINGGMLKLYEGEVLHKFPVIQH LLFGSLLPCTWTPSQSGETSYTPVSTHPGTRVHAPNWDLPVNDSAPWTPVESLAKTVA DTQTEAFLAPPPRKE H257_02489 MLDPPELKEAAIELCPYLIDSFGNRVRIDYGTGHETSFIIWLCG LHKIGFLRQADFPAIVLKIFHAYLVLMRRLQKVYMLEPAGSHGVWGLDDYQCLPFYFG SSQLVGQTNLAPSCVHDDGTLQLHHGEYLYLDAVKFVRDVKSSGSFAETSPMLNDISG VHSWDKINGGMLKLYEGEVLHKFPVIQHLLFGSLLPCTWTPSQSGETSYTPVSTHPGT RVHAPNWDLPVNDSAPWTPVESLAKTVADTQTEAFLAPPPRKE H257_02490 MDYGRELLRRQFTELSRNPPEGVSVGLGDDENLFNWEIMLVGPP DTLYEGAFFKARLEFPKDFPNMPPKMTFVSEMWHPNVYSNGVVCISILHPPGEDRMNA QESADERWRPILGVEAILVSVISMLSDPNDESPANLDAAVEWRTNKDGFKKHCRRIVR KSQEDF H257_02491 MTTLTDGGAGHLRRVFQEWSHLARYKQQQEMKRRHAVRFHYFHL LQKGFRAFTQLVHERNSRLQLLHRMMSRKIQARSFYRWSEHVRQRQRQAIQFRLYWTK HVFRSWQESHRRAVRDARLVRTADHIHHISLLRRSFAGWREFCSIRHRRRVQVESSFE LHQAQSKHVQISETFQRWREYARSGAAHRVILHRVRVHAQVSLTKRVLDAWVQFIGMR RWDEILYFRAAKHRALVVQKQNLSTWRQNALLSKATRLQTIAALTHWKLTMQRRAFHG WILRMRTRQAKRHHLHEALEWRHANFLRQGLVHWAQAAFVLHDQRLLRVQTQAVAAAA RLWRRIARIVAHWRYVASRGRITGRVESRKEWWPTTASRHAAIEQEIQPLGMASAAVT HHRMPPRKPLELLYDADVDASSTAAFPARGLTERTKESKSIMHVGLGKYGMSVPTSPR RSHVQHVVPSDDEMENVVREMESRMRYWQDKRREWKAHKTQLDALRNHITGGGATHSS LDLLRRTLDVMEATHRDHVAAHVSSKAEIAAFAHHIDELRRRRRDVFPN H257_02491 MTTLTDGGAGHLRRVFQEWSHLARYKQQQEMKRRHAVRFHYFHL LQKGFRAFTQLVHERNSRLQLLHRMMSRKIQARSFYRWSEHVRQRQRQAIQFRLYWTK HVFRSWQESHRRAVRDARLVRTADHIHHISLLRRSFAGWREFCSIRHRRRVQVESSFE LHQAQSKHVQISETFQRWREYARSGAAHRVILYIVPFQKRIFVMDHCSHRVRVHAQVS LTKRVLDAWVQFIGMRRWDEILYFRAAKHRALVVQKQNLSTWRQNALLSKATRLQTIA ALTHWKLTMQRRAFHGWILRMRTRQAKRHHLHEALEWRHANFLRQGLVHWAQAAFVLH DQRLLRVQTQAVAAAARLWRRIARIVAHWRYVASRGRITGRVESRKEWWPTTASRHAA IEQEIQPLGMASAAVTHHRMPPRKPLELLYDADVDASSTAAFPARGLTERTKESKSIM HVGLGKYGMSVPTSPRRSHVQHVVPSDDEMENVVREMESRMRYWQDKRREWKAHKTQL DALRNHITGGGATHSSLDLLRRTLDVMEATHRDHVAAHVSSKAEIAAFAHHIDELRRR RRDVFPN H257_02492 MARAQNFENASIDELNAAIDVTGTKIRDLRNNKQNMAEIAVEVK QLVTLRRLLKEKVEGGGQQAVQPVSTTLVKSDEADDAKSSIDEQTKPVDPTPVATKST TTPTVVVPEPQPSPKVKAQTVAPIASNDSAKKFAVLESELATTSAKLSLELSRASSLQ DELNRQVQKYDIEMTTSVNMQQRLQNDMKKLVQDKLELERSLKQAKDTHAAALAAATD ALASTQESLAKESQSFLILKAAEAESQQEVSSLKVQLANTHAQLSTLTAELESVRNHS REQDSLLQQAQRELERERVRFDMELDAEKKLQSRMHSDARRYSLERQSSVDQLKATVT ESEKLQATLAATSAAKADLEDTIVRLEAERETSQGLLKTLASDVHAQKGDLERLNKAQ AKWEAEKRELEAQAASHEATKAKLTALEAHNTELQSSIDATAAETKALEDKLANLHAT QDALAAEKATLLRHVTKSQADLEALDRIQTENDALQRAINDMSKASDHTHEKLDELKL ALTTLESDKAALLHHAATNDAHEATIAQLTLENTHLRSTVTDKVAAAEAAVKRVAVAE AKLQDTQYMMTTEMLAVASLEKHVAKLQAELTAVTTQHSAALRAKEADAKQAVADAQA AQSALLQLQRTTEKASNNVAAKDEALKVAKAAATTLRAQVAQVKNELATVEVALKQAE AKAVSTKGDKDDVARLRKENGKLADVIAQLRKSSADALDAHAAVKTLESQRLVLVVLV VALLAVLAATLTA H257_02493 MIRPVAPTDSKASLVALAASTGIFQPGEAEMLLGETLDHLYANA LGDHHYAFVSVDDETINGWVYLSIMKDTDRVWNLWWIGVAPGHQGKGVGRRLMEFVQA FVRQQGARILLVETSATDMLAKTRAIYTRWGFTNCGEIPDFYADGEGKVTFWKRIL H257_02494 MIRPVAPTDSKASLVALAASTGIFQPGEAEMLLGETLDHLYANA LGDHHYAFVSVDDETINGWVYLSIMKDTDRVWNLWWIGVAPGHQGKGVGRRLMEFVQA FVRQQGARILLVETSATDMLAKTRAIYTRWGFTNCGEIPDFYADGEGKVTFWKRIL H257_02495 MIRPVAPTDSKASLVALAASTGIFQPGEAEMLLGETLDHLYANA LGDHHYAFVSVDDETINGWVYLSIMKDTDRVWNLWWIGVAPGHQGKGVGRRLMEFVQA FVRQQGARILLVETSATDMLAKTRAIYTRWGFTNCGEIPDFYADGEGKVTFWKRIL H257_02496 MIRPVAPTDSKASLVALAASTGIFQPGEAEMLLGETLDHLYANA LGDHHYAFVSVDDETINGWVYLSIMKDTDRVWNLWWIGVAPGHQGKGVGRRLMEFVQA FVRQQGARILLVETSATDMLAKTRAIYTRWGFTNCGEIPDFYADGEGKVTFWKRIL H257_02497 MIRPVAPTDSKASLVALAASTGIFQPGEAEMLLGETLDHLYANA LGDHHYAFVSVDDETINGWVYLSIMKDTDRVWNLWWIGVAPGHQGKGVGRRLMEFVQA FVRQQGARILLVETSATDMLAKTRAIYTRWGFTNCGEIPDFYADGEGKVTFWKRIL H257_02498 MIRPVAPTDSKASLVALAASTGIFQPGEAEMLLGETLDHLYANA LGDHHYAFVSVDDETINGWVYLSIMKDTDRVWNLWWIGVAPGHQGKGVGRRLMEFVQA FVRQQGARILLVETSATDMLAKTRAIYTRWGFTNCGEIPDFYADGEGKVTFWKRIL H257_02499 MADAAPVPYCVCQNTDGAGIMIECIRNTGGCNGWVHPTCCGLLL TEDELEAIDSYICPMCESPTDDYTKMKAVNTKRANKLALKAREQQQKGGAGTSTSTSS SSKKEKKRKREEKEDKDGGVYRWKCKGCSQKNDPASTACASCDEPRKKHKHKKSSKSH HTSDDDETKVSSGDKKDKKRKREKKDKKHKESRRSHRSDPSSKKAYHVPGSDDDDFSD GSEAEVQFKQPPILEPVDSPAVPGDATLVPASVNNVGMVVMTIERIMGHKPVADPSSA TSTTTPSSSFVYFIKWKGYSYIHATWESDATLLQLDPTNKHKIKRYLEKSVVAPTAGG GPPRALDSEDDVEYFNPEYLEIHRILDMRRDSPPLSSSDQVIDDGWRYYIKWRVLSYA ECTWERACDIVDDDAIKKFRKRTTLPPDSSSVDKPPIDPLARPSLREFKKLETSPLFG ENKSFSLRAYQLEGLNWLRWNWYNSRASVLADEMGLGKTIQTLAFLDDLRVSQHLPGP FLVVAPLSLIAQWQSECETWTDMDCVVYHGSSEAREIIQRFEFYHLVHGKLDKRRGFK FHILVTTYEIAIKDIGLLSKIQWQCLVVDEAHRLKNQSSRLVEQMRSLRRAYCVLLTG TPLQNKTEELWALLNFLDPIAFPSLATFLGQFGSLQDASQVADLHKLLKPYLLRRVKE DVEKSLPPKEETIIEVELTAVQKQWYRAIYERNTAFLMRGQGGANVPNLMNVMMELRK CCNHPYLNNGVEDAICERVTTDAERFEMLVKCCGKMVLLDKLLPKLKEGGHKVLIFSQ MVRVLDLLEDYIRGMGYLYERLDGNIRGNERQAAVDRFVKPEYQRFIMMLSTKAGGLG LNLTAADTVIIYDSDWNPQNDLQAQARAHRIGQTHSVKIYRLITRKTYEMHMFHKASL KLGLDRAVLTHMRQEQDKESKNAKAMVKSKAQEAQEIDELLKRGAYDVFRDDDEASEQ FCAADIDTLLQRSSQIVEYESQARGSFSKASFVSAATSDDVDIDDPDFWKKAVGLAEP DVLDEAASLVLSSQRKRTRVARFGTKEALSDDDDDDDKDVTTTKKDADKPPKPEVSRE WTVNGRDRLQRALMHFGFGRWEIIRSQSGGSRTVEEVEMFARAFVLVCGLCCAGVVQA TDAPFVQSAILAASHAKHEYMTEAEAWTDHATKTIPLVLQEEEFVLKLKQGGARRVLS RLDILSRLQVKLVASCVALEKERDGDEPPHGLVDLDARVEFLGLENVLHRLEFAIGSD RPQWSNLTPWWDYAADKSLCMGVFLHGYGRCAQIISDPRLCFHQREQSVTADNPLAAE DKPKFPQPEVQQMNRLLIWLLSIEDAQRQKQQEKKEKSISDMQKINTLDQSQKLAAQR RQEAITWQFLHLDLMRHTVSLGNANVWHAIREEGTTKMIKEWSTAERKSICYVLSTRG APLVVDSASQWSWYLLVSKAHVYKSAMRAQRYVYDRVLAKCKELIVKESSALSRPNEI QFVDPYQAAALHGAKAKQMAFLLLRRTQMYRTVSYLLQHERDEVDNYLRSGDPGLTDH MPVWWCPWIHDVALLEGMLIHGVGSYLELHRHDALDVEAVAAFVRRVFVQGDGPQHPP VIDPVKFHSAAEQAAWVRDTSVQFPPVDMLEKKVMRVCLSLTEELPTSHEAKFSMEND VATAVLPMTMMDVVVKEQRTERATANNHHSSAQPQVEDLTVFLAQTKASRDVLCEQQQ IATH H257_02499 MADAAPVPYCVCQNTDGAGIMIECIRNTGGCNGWVHPTCCGLLL TEDELEAIDSYICPMCESPTDDYTKMKAVNTKRANKLALKAREQQQKGGAGTSTSTSS SSKKEKKRKREEKEDKDGGVYRWKCKGCSQKNDPASTACASCDEPRKKHKHKKSSKSH HTSDDDETKVSSGDKKDKKRKREKKDKKHKESRRSHRSDPSSKKAYHVPGSDDDDFSD GSEAEVQFKQPPILEPVDSPAVPGDATLVPASVNNVGMVVMTIERIMGHKPVADPSSA TSTTTPSSSFVYFIKWKGYSYIHATWESDATLLQLDPTNKHKIKRYLEKSVVAPTAGG GPPRALDSEDDVEYFNPEYLEIHRILDMRRDSPPLSSSDQVIDDGWRYYIKWRVLSYA ECTWERACDIVDDDAIKKFRKRTTLPPDSSSVDKPPIDPLARPSLREFKKLETSPLFG ENKSFSLRAYQLEGLNWLRWNWYNSRASVLADEMGLGKTIQTLAFLDDLRVSQHLPGP FLVVAPLSLIAQWQSECETWTDMDCVVYHGSSEAREIIQRFEFYHLVHGKLDKRRGFK FHILVTTYEIAIKDIGLLSKIQWQCLVVDEAHRLKNQSSRLVEQMRSLRRAYCVLLTG TPLQNKTEELWALLNFLDPIAFPSLATFLGQFGSLQDASQVADLHKLLKPYLLRRVKE DVEKSLPPKEETIIEVELTAVQKQWYRAIYERNTAFLMRGQGGANVPNLMNVMMELRK CCNHPYLNNGVEDAICERVTTDAERFEMLVKCCGKMVLLDKLLPKLKEGGHKVLIFSQ MVRVLDLLEDYIRGMGYLYERLDGNIRGNERQAAVDRFVKPEYQRFIMMLSTKAGGLG LNLTAADTVIIYDSDWNPQNDLQAQARAHRIGQTHSVKIYRLITRKTYEMHMFHKASL KLGLDRAVLTHMRQEQDKESKNAKAMVKSKAQEAQEIDELLKRGAYDVFRDDDEASEQ FCAADIDTLLQRSSQIVEYESQARGSFSKASFVSAATSDDVDIDDPDFWKKAVGLAEP DVLDEAASLVLSSQRKRTRVARFGTKEALSDDDDDDDKDVTTTKKDADKPPKPEVSRE WTVNGRDRLQRALMHFGFGRWEIIRSQSGGSRTVEEVEMFARAFVLVCGLCCAGVVQA TDAPFVQSAILAASHAKHEYMTEAEAWTDHATKTIPLVLQEEEFVLKLKQGGARRVLS RLDILSRLQVKLVASCVALEKERDGDEPPHGLVDLDARVEFLGLENVLHRLEFAIGSD RPQWSNLTPWWDYAADKSLCMGVFLHGYGRCAQIISDPRLCFHQREQSVTADNPLAAE DKPKFPQPEVQQMNRLLIWLLSIEDAQRQKQQEKKEKSISDMQKINTLDQSQKLAAQR RQEAITWQFLHLDLMRHTVSLGNANVWHAIREEGTTKMIKEWSTAERKSICYVLSTRG APLVVDSASQWSWYLLVSKAHVYKSAMRAQRYVYDRVLAKCKELIVKESSALSRPNEI QFVDPYQAAALHGAKAKQMAFLLLRRTQMYRTVSYLLQHERDEVDNYLRSGMRRRNIL IHNILSLVD H257_02500 MVTSIVKVHQFSMAVSGLLCTIAAVWSFVNDSYGGSFQGSVARI SLRVFQIALSLVLLATTGLGLKRPLKWFGLLESYVGSGLYAIFLGFFTLSLGNNFGLY STVTILAVGFLSVCYGLVVKDESHDYPPLLA H257_02502 MGRAVVKMHQVGMAVSGLLCTIAAVWAFVNDTYGGFFQHTVARI SLRVFQIALSLVLLTTTGLGYKQPLKWFGLLDSYVGSGLFAIFLGFFTLSLSNDYGLY STIFIMVVGILSIIYGMFIRDERHDYPPLLA H257_02501 MGNCCSNTAVVTRHSEMPPPPPPPPPSTASYPTAVSATCNSPAS VVLSPDKPSSQQNSPPTPTLPEQPPAIYTSTFQRRSIPGPLSEQDLNQRKSAPEKTAS FKSGDYTISYAYVSQRGFYPDSLHKPNQDAFVCQPMFHNQDSFFGVFDGHGTTGELCA QYARDVVPALLQQHLAKNVNTTQALIRAHVDANTMMHSAPFDDSMSGTTAISVLFQTN EIHISNVGDSRAIVAQEITDGAASPQLVAKALSIDQTPFRKDERDRVKKTGARIMTVD QLEGYEPIHENWGLTLGDEIDESGDPPRIWHPHGDYPGTAFTRSLGDHVSEELGVFAE PEVITKTLNAHDKFIVIASDGVFEFLTSQAVVNIVKVYKDPLDACLAVVEESYNRWLQ FEVRTDDITCIVLHVEPSIAAAAASKQPPVKASKLHGRNSIIAGHDVLVAMQDSLRPV RGIGVDINKSWRSRDTIIGGYDTTARMSMASEDSLLSSSCPQGDTASLLLSPPSDYDV KQHTVPKSAADFARLERMVQHNFLFSHLTADKLHDVLSVMQRQVVADGEVVIHQHDDG DQFFLVDAGRFDVRVRAAPVEPEAAPTTDDSLDAAYGPVVHTYVASEGSHPTFGELAL MYSKPRAATVLATAGGGTLWTIDRSAFRSILVRRPLRNVVQRLRNLPLLRPLTVAQLN LLAEQMKEVTLEAGTIVFEAGASVASGQFYLVVQGSVTIAPPLPADPTTIHAHDSFGD FILSDTPSRTCPYRAVAAEDTECLCISRDAFEATVGNLSAVVEKNNARRARKSATSRA KQAAPLNGVVVLAHTVSQALASLSQIDQVRVGPTIWQDLVATCRLVQFGGRWLTLRSI SKQTVVQSHLKHQLLGEQDMYVALGRDQAGVAPLYGVAQTAHDLHALFDVAYVGVLEE LVAFPLSSEAAIQYYAAQLVVAVQLLHGEGILTRSLDPTHLMVTRQGDVAVFGLNLSK YIGASRTFTICGNPEYLAPEQINGQGQTLATDYWSLGILLYEMLVGTTPFQHLHHDEL AMFNGIVSFSPDSLEFPPTSSPALQALIKGLLDPKPASRLGAADCFKKSADECVVPQH AFFQGLQWDQLNDTNAPLLAEASAKFDRLVAAPTSQALDLGVPYTGDAKWMQEF H257_02503 MESDALIGQDRRRLLYAWRNVRAEAHAIWGMAWKISLASFCRLS VFTISTAFLGQLGTRELAASALAQSVIGALRIVTWASSTSLSTLCGQAYGARNFELVG TWLQMGLVVLSVASIPLVAAHFYAYLVLQYVVPDDPELLALAQTFANYTALSVWPNAI YVALRSYLRSQQVVAPIAVVDAANVGLYIAANYVFIYGCFGWDGLGFVGSPVASFVCA TIQPLALYLYAFVIQRHHEKTWGGWSWQCVDPTRLKQFVSLTSSFFVYLAMDEWIYNV LTIVAAQLGSLNLAANSILFNVWGLAYGVYLGFSTPIQVRVSHALGANDPLKAKQVTV VGFALGSLATLLVVIVLLAGRRWLVGVFTQDPALQDILGAVLPIFCMAASISGLHVML SSVLEAMSLAMTLVVVSGVGSWAILLPVSYYFGISQALGLAGLWWGSVVGEGAKFVLT TIALFGLYDWTTIAQRISAEAQGDVVVVDEEVEALTSADTMKSPVATATNSSF H257_02504 MESDPLLDTKRSMYTLKAVAEEAASIYAICWKISLATFCQLSVL TISTAFLGHLGTRELAASAMVMSVVGGFRIIPWAFSISISTLGGHAYGAKNYELVGVW LQIGLIMLVPVSALLMAVCLNIRPCLELMTDDLELLAMGETYAWYVSWSVWPSAIYEA LRYGKHPSRSPAFSKLIHQLARGYYKAQEIMTPTTVVDVTTLFISIGVNYVFIYGCLG WPGFGFIGSPLALVFIAVIQSVSLWSFAHLLQERHTKTWFGWKAKNCLNAARLRQYLT LTGTFLVYLALDEWVYNVLAIMAGKKILLLLNHATTTMYVARIGSLNVAAYNILFTIW TLAYGVYIGFSTPIQVRVSHALGANDPAKAKQSAVVGCAMGSFAAGFLSVAMYVGRDE MLALYTADVDLQDVIRPVLGLFCLAACLSGVHITLSAMLEAMSLAGTLVLASSVGSWL VLLPVAYELAFATSWGFGGLYIGSVIGESVKLVIMAVALVWVFDWHVVAEQVSKQVTS DNEEFDV H257_02504 MESDPLLDTKRSMYTLKAVAEEAASIYAICWKISLATFCQLSVL TISTAFLGHLGTRELAASAMVMSVVGGFRIIPWAFSISISTLGGHAYGAKNYELVGVW LQIGLIMLVPVSALLMAVCLNIRPCLELMTDDLELLAMGETYAWYVSWSVWPSAIYEA LRYGKHPSRSPAFSKLIHQLARGYYKAQEIMTPTTVVDVTTLFISIGVNYVFIYGCLG WPGFGFIGSPLALVFIAVIQSVSLWSFAHLLQERHTKTWFGWKAKNCLNAARLRQYLT LTGTFLVYLALDEWVYNVLAIMAARIGSLNVAAYNILFTIWTLAYGVYIGFSTPIQVR VSHALGANDPAKAKQSAVVGCAMGSFAAGFLSVAMYVGRDEMLALYTADVDLQDVIRP VLGLFCLAACLSGVHITLSAMLEAMSLAGTLVLASSVGSWLVLLPVAYELAFATSWGF GGLYIGSVIGESVKLVIMAVALVWVFDWHVVAEQVSKQVTSDNEEFDV H257_02504 MESDPLLDTKRSMYTLKAVAEEAASIYAICWKISLATFCQLSVL TISTAFLGHLGTRELAASAMVMSVVGGFRIIPWAFSISISTLGGHAYGAKNYELVGVW LQIGLIMLVPVSALLMAVCLNIRPCLELMTDDLELLAMGETYAWYVSWSVWPSAIYEA LRGYYKAQEIMTPTTVVDVTTLFISIGVNYVFIYGCLGWPGFGFIGSPLALVFIAVIQ SVSLWSFAHLLQERHTSVCVYRGTIRYPPSPERSLCVETWFGWKAKNCLNAARLRQYL TLTGTFLVYLALDEWVYNVLAIMAGKKILLLLNHATTTMYVARIGSLNVAAYNILFTI WTLAYGVYIGFSTPIQVRVSHALGANDPAKAKQSAVVGCAMGSFAAGFLSVAMYVGRD EMLALYTADVDLQDVIRPVLGLFCLAACLSGVHITLSAMLEAMSLAGTLVLASSVGSW LVLLPVAYELAFATSWGFGGLYIGSVIGESVKLVIMAVALVWVFDWHVVAEQVSKQVT SDNEEFDV H257_02504 MESDPLLDTKRSMYTLKAVAEEAASIYAICWKISLATFCQLSVL TISTAFLGHLGTRELAASAMVMSVVGGFRIIPWAFSISISTLGGHAYGAKNYELVGVW LQIGLIMLVPVSALLMAVCLNIRPCLELMTDDLELLAMGETYAWYVSWSVWPSAIYEA LRGYYKAQEIMTPTTVVDVTTLFISIGVNYVFIYGCLGWPGFGFIGSPLALVFIAVIQ SVSLWSFAHLLQERHTSVCVYRGTIRYPPSPERSLCVETWFGWKAKNCLNAARLRQYL TLTGTFLVYLALDEWVYNVLAIMAARIGSLNVAAYNILFTIWTLAYGVYIGFSTPIQV RVSHALGANDPAKAKQSAVVGCAMGSFAAGFLSVAMYVGRDEMLALYTADVDLQDVIR PVLGLFCLAACLSGVHITLSAMLEAMSLAGTLVLASSVGSWLVLLPVAYELAFATSWG FGGLYIGSVIGESVKLVIMAVALVWVFDWHVVAEQVSKQVTSDNEEFDV H257_02504 MESDPLLDTKRSMYTLKAVAEEAASIYAICWKISLATFCQLSVL TISTAFLGHLGTRELAASAMVMSVVGGFRIIPWAFSISISTLGGHAYGAKNYELVGVW LQIGLIMLVPVSALLMAVCLNIRPCLELMTDDLELLAMGETYAWYVSWSVWPSAIYEA LRGYYKAQEIMTPTTVVDVTTLFISIGVNYVFIYGCLGWPGFGFIGSPLALVFIAVIQ SVSLWSFAHLLQERHTKTWFGWKAKNCLNAARLRQYLTLTGTFLVYLALDEWVYNVLA IMAGKKILLLLNHATTTMYVARIGSLNVAAYNILFTIWTLAYGVYIGFSTPIQVRVSH ALGANDPAKAKQSAVVGCAMGSFAAGFLSVAMYVGRDEMLALYTADVDLQDVIRPVLG LFCLAACLSGVHITLSAMLEAMSLAGTLVLASSVGSWLVLLPVAYELAFATSWGFGGL YIGSVIGESVKLVIMAVALVWVFDWHVVAEQVSKQVTSDNEEFDV H257_02504 MESDPLLDTKRSMYTLKAVAEEAASIYAICWKISLATFCQLSVL TISTAFLGHLGTRELAASAMVMSVVGGFRIIPWAFSISISTLGGHAYGAKNYELVGVW LQIGLIMLVPVSALLMAVCLNIRPCLELMTDDLELLAMGETYAWYVSWSVWPSAIYEA LRGYYKAQEIMTPTTVVDVTTLFISIGVNYVFIYGCLGWPGFGFIGSPLALVFIAVIQ SVSLWSFAHLLQERHTKTWFGWKAKNCLNAARLRQYLTLTGTFLVYLALDEWVYNVLA IMAARIGSLNVAAYNILFTIWTLAYGVYIGFSTPIQVRVSHALGANDPAKAKQSAVVG CAMGSFAAGFLSVAMYVGRDEMLALYTADVDLQDVIRPVLGLFCLAACLSGVHITLSA MLEAMSLAGTLVLASSVGSWLVLLPVAYELAFATSWGFGGLYIGSVIGESVKLVIMAV ALVWVFDWHVVAEQVSKQVTSDNEEFDV H257_02504 MESDPLLDTKRSMYTLKAVAEEAASIYAICWKISLATFCQLSVL TISTAFLGHLGTRELAASAMVMSVVGGFRIIPWAFSISISTLGGHAYGAKNYELVGVW LQIGLIMLVPVSALLMAVCLNIRPCLELMTDDLELLAMGETYAWYVSWSVWPSAIYEA LRGYYKAQEIMTPTTVVDVTTLFISIGVNYVFIYGCLGWPGFGFIGSPLALVFIAVIQ SVSLWSFAHLLQERHTKTWFGWKAKNCLNAARLRQYLTLTARIGSLNVAAYNILFTIW TLAYGVYIGFSTPIQVRVSHALGANDPAKAKQSAVVGCAMGSFAAGFLSVAMYVGRDE MLALYTADVDLQDVIRPVLGLFCLAACLSGVHITLSAMLEAMSLAGTLVLASSVGSWL VLLPVAYELAFATSWGFGGLYIGSVIGESVKLVIMAVALVWVFDWHVVAEQVSKQVTS DNEEFDV H257_02504 MTPTTVVDVTTLFISIGVNYVFIYGCLGWPGFGFIGSPLALVFI AVIQSVSLWSFAHLLQERHTSVCVYRGTIRYPPSPERSLCVETWFGWKAKNCLNAARL RQYLTLTGTFLVYLALDEWVYNVLAIMAARIGSLNVAAYNILFTIWTLAYGVYIGFST PIQVRVSHALGANDPAKAKQSAVVGCAMGSFAAGFLSVAMYVGRDEMLALYTADVDLQ DVIRPVLGLFCLAACLSGVHITLSAMLEAMSLAGTLVLASSVGSWLVLLPVAYELAFA TSWGFGGLYIGSVIGESVKLVIMAVALVWVFDWHVVAEQVSKQVTSDNEEFDV H257_02505 MLFRRMSSIIPEYRPTTTSQASPWLSILTRCPRVSDDAIMLGLL TVELVCQNYKAFQVTRYAIDKWFVFAYVSCVVLNCVVSPWFLLGRRHSSAKKRVFLSW FNSVIGFCLSCVLPIVGILPPVLEFLLVDWTLSSDYLWYTRVLLLSRIIVASSPTDYV VKFVMDVNTLVSLRRLTGAMSRREDTAPSPPRKTVARSALWSKHSTGRETTLAKNATR VPHVRRSPLVVVYVSCSVTWGVTLWGLCIQALFFRQPCPPTCQAATTPLVDMTCHCKY LHINCHSLHNESLDVDTQLDPQDVGSTLFVLQVSRCDVRRGIPSATLAHQQSLGAMIF QFTKMRAWEGTLPATIMSLQLRQCDFDAMPAILQTKLPPLLTNLLVESTPLSTLPSNL DDIWQPLTNVFFFNTSLTTIPDAITKLSSLQTLYVTNNRLTDLATTWQTQLNGMSQFN RLDVGGNFLTQVPATLRPDIVLDLSCNPIAALPSGFDMARLTSKMVAMGETTYCNSTT SVMAGCEPATCATGCVLWAVGDRLCDLACFNAACEYDRGDCHAYGL H257_02506 MNHVPNGVRREKVEVVFSTKTKVATAPTSLRKEDVPSSYELHTS TGSVNSRQDSSEQLHQASGEPNYIQMSREGSSEVETTTDQAKSAPSSADNPIRKRGRR SSRKGSSASSSEAKAACSVSASVRRLRPSKALLQLESATVADCVKAMVDSRTEATLVT DSNGSLTGILTDKDVALRVVARGLDPISTIALDVMTPNPSCVSPRACAIEALEQMVSG QFRHLPVADNGSVVGILDIAKCLYDAISKIENAYMASSTQFSKSMQTLDATIGDKEAS LFEKMRETLFLPTLSSLIDGRADVPEIVDTATAREASLLMLYTNSSAVMVYGEAGVME GICTTKDLMRRVLAAGLDPETCVVRDIMTAKPEYAHLNTTILDALHSMHDGKFLHLPI LNDDGVVVGLADVLQVTCGVVHHMGSFQQQHTAHVNPVWNQFWNSIFNPTPPIATTPS PPPPPSSSSQPAPPIPTYNYAVASSLPTSTTPSSLTSAARDVQTGDTTTTEPRPISFA YKLTDLAHHTHRFTATATNLSDLLQQVRERTGLVHEDVNLYYVDDEHDTVLLFADQDL KDAVALAIDQAAAYVRLKVQPAATVARTEGDDHEVPLEDLPNLEPPPVERTSLSESTM LKIRQGGLMTALTMTVVGAAMIFSKRK H257_02506 MNHVPNGVRREKVEVVFSTKTKVATAPTSLRKEDVPSSYELHTS TGSVNSRQDSSEQLHQASGEPNYIQMSREGSSEVETTTDQAKSAPSSADNPIRKRGRR SSRKGSSASSSEAKAACSVSASVRRLRPSKALLQLESATVADCVKAMVDSRTEATLVT DSNGSLTGILTDKDVALRVVARGLDPISTIALDVMTPNPSCVSPRACAIEALEQMVSG QFRHLPVADNGSVVGILDIAKCLYDAISKIENAYMASSTQFSKSMQTLDATIGDKEAS LFEKMRETLFLPTLSSLIDGRADVPEIVDTATAREASLLMLYTNSSAVMVYGEAGVME GICTTKDLMRRVLAAGLDPETCVVRDIMTAKPEYAHLNTTILDALHSMHDGKFLHLPI LNDDGVVVGLADVLQVTCGVVHHMGSFQQQHTAHVNPVWNQFWNSIFNPTPPIATTPS PPPPPSSSSQPAPPIPTYNCKYIYRFFLMIHLTPLGP H257_02507 MGKRKGGGGHGSDNLRMAKKHKQQHHPRKQQNLPQTQLYTLQDK ILVLGDGDFTFSRGLATHRGGGANMYATSFDSSSQVRKKYSNANECIAALTTANAQVL HGIDGTKLDAALPSSIPALFDYIIFNFPHSGQQRVHINRVLLLDFFESARPKLAFKGE VHITLKTKPPYSNWNVEDQAKASGFVMKERRPFRIDIFPGYHHRTTDPTAKTFEPAQC ITYVYVVDRSRYPVPTIQEPSEPAKAPVSQLESPTQPASRPLTKNAPVSSNPSAHQAF KDALATAPTSWPVARKVPSSSQQLSKPKPDQPTAAATTTKAKADQPTTSTTSTTTPKK KPLSTNGAKKVPQQKRTPSTANHSETSQAVQVTNDIRQLCVDMLLKVRQRRS H257_02508 MYCGDEVGAFIGDVGSLTAKFGYAGEDTPNLVFPSCMGVRPATN ERIVGHSALSSCHDITALPRAISTMEDKINYNWDVMEKLWLHAFEESHVDPTQHAVLT SLSPFDMHESVSYMELMFEKFNVPAFYVAKDAVLNAFSFGKSTALVCDVGASSTRVVP VVEGFNLKGSSFRSTVGGEALTAQLLSVLQEKHHIEIHPGVRKYPAAGDGSGPALLRP LASVSNEYRNFRILEIVRDIKESLCQMPETTLQEDLVESVAPEHYELPDGQVVTLGSE RFRIPEKLFHPDVIAADSTTVDSASKGLHRMVYNAVQGSDVDVRKDLLNNMVLCGGGS SLSGLTERLHWEVSKLVPSATYKVRLTQVSSIEKKFSAWIGGSILASLGSFQQLWVSK REYDEYGADAMATSRFL H257_02509 METLSRRKGRPAAPIQVLTDDRLTLSFKVPSSIKLPQSTSILRV VVPPVEALDESGEGRVDDDDEDDWECKTDFKIDLNGPLLRDDDCNSDWEGEDEVESFP DDFPILLVNLSKLSVEHMGVELTTNEDASRISDTMQDIFASPKFSYVLEVLLEQETAY HATYGGYKARLAQLTKVGSDVWAAIDYPALVDDDIPLSDILSVLRRPTKWRSVNYIKD CMAQTSRDIKWKAQVIAELEKLAETEDAARNARQEALRVDIEQLAAARDAYDRKLDSL DGLHDTKSVMARRFATSRLEETNASLSRLVDAYVATSAPSTSSASERRSDVEVPSGQA MQEMNVVDMVVSMIFSRLPRHPSTSMESHYKSLMDSHAHIRMLWVDDFGRLPPKSRPV VDDDDSSQGHEEVAVASFPPVTSSDTLAEKVEPPRPDSKSENMDVKVSSADSEDPAVI SRTSQRRSKQVPKKPSSRSTKSSSTKSTFRPMACVGALSLLQASNDDDSHYLFE H257_02510 MPGAATDSELRANLDSQKDEVEALQAIYEHDFEWADHEVYAAPC KSFYMSIPGDFCLRLLIHLPSDYPSRSCPIAEVYESFGVSSSDCDAIIHNLATIFNKS QGDVCLYEWIESVREQFGSFASFEASSLEVVDMDATELLLSAAPSPRDDIPLFLRQIK TGVPITDRKSTFQANAVAVSSVEEVRAFIAYLLQDRKIARATHNMLAYRIVNDVVIKD SDDDGEGGAGSKLSHLLEMTQAENVAVVVSRWYGGILLGPDRFKHIANCARQVLETHG FIHRKTKKQA H257_02510 MPGAATDSELRANLDSQKDEVEALQAIYEHDFEWADHEVYAAPC KSFYMSIPGDFCLRLLIHLPSDYPSRSCPIAEVYESFGVSSSDCDAIIHNLATIFNKS QGDVCLYEWIESVREQFGSFASFEASSLEVVDMDATELLLSAAPSPRDDIPLFLRQIK TGVPITDRKSTFQANAVAVSSVEEVIGPCCLHRCHMCVDF H257_02510 MPGAATDSELRANLDSQKDEVEALQAIYEHDFEWADHEVYAAPC KSFYMSIPGDFCLRLLIHLPSDYPSRSCPIAEVYESFGVSSSDCDAIIHNLATIFNKS QGDVCLYEWIESVREQFGSFASFEASSLEVVDMDATELLLSAAPSPRDDIPLFLRQIK TGVPITDRKSTFQANAVAVSSVEEVIGPCCLHRCHMCVDF H257_02511 MSTKEAADAALTKPPHVSERLKRKREKAKAMKDGEWTQCMFKLE RKNRFCNVARVEGSLYCGNHVVDDEGVVSQKTKKYKQELCKRVPCTLDPTHTVYLFDL KKHLVICNKLKEAEVMRSLPFYTANINSGTHAATGTSDPSTTVQDDTSATEEHSGPSS DKQASLFQTLVGLDFNAFAAKIDAAFDKHVPVIPTQTLDHAACNTLLQQKQAAGANHS ILRHIQQQASILGHMESKRLLRSDCVYVELGAGRAMLSLALTQMYPSSPFVLIDRAGS RGKADQYIALDNKCTRAKIDIRHLNLAKMDQVAHQPLVCLSKHLCGVATDLSLRALAN TLPAGPSDDATEAPTGHPQKMSSHLVGLAIALCCHHACSWEDYVNPAFFVDMGFTAAE FKLMVPLSGWATCGMTMDGGAVETVLGFNRADRTRMGRQCKRLLDMGRVHYLRERGVQ AQLVHYCDQDESLENCLLLAWRSHHDDVE H257_02512 MYRTKNVLHCSFNGSDPVGQVVPVTIFISSEADLEWFNVTAPDP TYLEQIVDLLQGPDEWPALLAQVLALDQPPTKKADVSARTEHVLPHIKFTYEVRRNAR GYNMLLSRSKTCFAPRPMASFEVVVWCVPKRSSVSAHPIASAKLPTPPSLSNLISIDN D H257_02513 MAGLGTTAAATATTTGALQDDLLLVNVGSLRGHDLDKQVGLALL PQIEFSDEVAGLVDASKALLLAPLQFLDGEAPVTAQRTQLAKGSIQVRQDGSATHTPL AVNPTIQQQITQMSDDLHLSEASCLKYWILASQPENRTLVTQRNHLAKDLIQDNVPAA AREFVLQETSAALSALRQLCRARIDASLPADKKDFIVSFTNDLVRQDVVANLITLLTT SIPTLVQRPRVHVFALQWQKTIAEILFLLGTSTHLIQTEVKALLTLAKSFAVRLDALR ATVASTLQSAMGLGSFVDSLPLDAPEMTSLLHTLNFIQATAASVLVVQDTRRYNRNTG EFTASAPVWWTSAVVQELNPLVLQDKWLPTSSKLGKVQSVIGLYYALALAKSPTHERV DSFLADCVAANCFSFATRAMYPFAPVSDPLLSTPYFSTFQEVFTSYATHFFTGQPAAT ADAASTSREPALGAAATRPGDDIKDILDWATYLCEHCPTLAAQCWSTPATFVSKLSPE VVAKGQVAYMHFLAVSGKGNPSAAYKHIKDSPPPISWKRFFSAIESYRRLLMPDHPHT MFPTKAVASSSTPHKFSTAEVDALEAMFHIFQTMVQDDSIAPFFLDWPDVNVLLLFLG FVRCPIPSVLKGAVMDTLSSFVTSSPMGQLMWQHLESAQILLTTSSSSTHANQGILFE LEQIESMQRTYPATLGFLKLVDKLFRFDFPDDCGANYRVPGAQPYLDFALHVFLKADT REYDVELDKWQILEQCLHLFDHVLASYTPTASDFTDDYVVLNPHLGSFGKNDKYFHKP KSLGFMLLSKLLTDSPVLRKLVQLLTTELSVTHLERTNDVAQVKYTTDLCMQLVQESS TLNSTPLIRVQENLVTLALSVLHRVFELEGAFVSSVRASALESTVVEPLHRLLVRTPQ HVITLAKYIRYTPQPSIALQSAKLLHHLSTHLPPTHLVQLLHDHGDDREIRDGYVSVI MDESAAWSEAKRVVLDMLLDNVRKPVPNLSTLLLLSSSATGDESNNVLDALLLVLDNL NFVHAQPVLSERAHELVYRVLSTPTLQKIRGAGLQHLEGFLTRQIQTLPTLWSIQRHV TAHRDVLALIHIRRWLVQDVALVVFQSKQAAPLFASSAPVSVLLALLDTTSFVHAPPP MPQDDAGLKLADQCTVEQDQRLYIDVVKFQSLLLEHQSTDQSAPLVQWALSWNRFSER IAVEAQALEAWTALAQVLTVDHHVSVKELYQVWQTLLNKAQTKDAVGHLVELVAKVSV TLSFQIRADRVHQSSSGLSSYQRLELLQDTERVVMQTTLQSNPSAGRRARSWLYTSLL HLMRYGQEESKVDTGRTTATHPGTRDALSHLVLGAQEPTLFDEYVWKDVFLSTLCRDA SEAASEPLSMGLAMNVLGLILSCSSSGRVSILRLLPMPHLCNVHLQLRESNQDELADT VVSFFVQVAQSKEGALTLLHGGVVRTLMQCTTFPVQRPQWIQESDTWRAAEHAYFQKW LPVLRLLGALCTALPRNAECIQVVLIFLHKHIKLVNGALNVEVHSPSLQRLVEMSQVL LLLRTAAGHPKLLESLVGAPKVVKLTQKVVHVASYYGRTLGSFTGGWWAAIVPRTLSE QEQADVAGSVACLPSASLFDECKWDAVRFVLSQATAYCRIRMLSWDSPLKTTKSEYVA IAVLDADQDWLAALVTFVDLYRKDVDKDTLVFLIENTTTVLAHHALHGRHHATAAAIL TTLGSDFENRGLLHLLSRKLRDLVSSTPAQP H257_02514 MEVVVADLVQLVETPKESAADALAALGGIEGVAERLHVRLESGL SDTTDQGKDIAEREARFGKNYIEPEKPSSILELMWEAWQDLTIMILTGSGTASLILGL TIGHDTSVDWIEGASILFAVLIVVFVTAINDYEKEKQFQALNAVKEDEKIKVIRNGVP AEVSKFNLVVGDVVRVDLGDIIPADGLVFDENDLKLDESAMTGESLLLKKDRQTAPFL LSGTKVMEGVGKMLVICVGENSQSGIISKLIQNASSKHAKNEAKAADTPHHDDTVVDP NYIALATPRGPSKDATEVEEEEVVSPLQGKLDRLTVLIGKLGLAMAIIVFVAMSVRYS VNTFAIEKQAWSKSCAEEYLNFLIVAITVLVVAIPEGLPLAVTIALAFSVKKMLKDNN LVRHLDACETMGSATTICSDKTGTLTTNRMTVMECYLGKVEFNSASALQVQASAVTKD ILCSSISINSTAEILPPKQVGAQPEHTGNKTECALLQFAADLGAEYAALRKASPICHM LTFSSAKKRMSVVVPLTASKCRIFTKGASEIVLELCTSQLNLDGTTSAFGVAERNAVN NDIIEKYASQAYRTLCLAYRDVDVTPEVVKNWSDEEIETDLTCICIVGIEDPVREEVP ESIRQCNEAGIVVRMVTGDNIVTAKSIALKCGIISPNDGSLVMEGSVFRARVLDANGN IKQDEFDKIWPMLRVLARSSPKDKHTLVSGLIQSNVVPHGPQVVAVTGDGTNDAPALK KADVGFAMGICGTAVAKDASDIILMDDNFRSIVSAVKWGRNVYDSIAKFLQFQLTVNV VAITTAVVGAIVLNESPLTAIQLLWVNLIMDTFASLALATEPPTDALLNRKPYPRTKA LLSKKMLKHILGQSVFQLVLILLLVFRGEKFFGIDSGRRENQVLASGERNPPSQHYTI VFNTFVFLQLFNELNARKIHDEVNIFTNLWGNTLFLSISVFQVGAQALICQLGGRAFG CTMLTTEQFFICIGLGSLSLPVGLVLRLVAYKPFNSAFKGKANENHETSTRGKELWMR GLRRLRAQVRVIKAFQRGMGQEIRRPLEQQASPS H257_02515 MALSADDLIRLVESSPGAATAALAELGGTEGVAWNLNVSLEHGL NSADDDDLEDRQRRYGKNYVEPESAKTFVQLMWHSFQDLTMMVLAAAGFVSLVLGFVP FTASTPAAGRNLAAGSSHAWIEGVAILFAVFIVVSVSAMNEYQKEKQFRALNAVKEDE TIKVIRDGVPAEVSKFDLVVGEIVRVDVGDIVPADGLVFDETDLRVDESSMTGESLLV VKNSKSAPFLLSGTKVMEGVGKMLVICVGSNSQAGIIASLIRNGNHNHNATVSKTNGG SADEYTLVSSPKEGLVEQKLTTDLQNQEPGSPLQGKLDRLTIFVSKVAITVAVLVFAA LAVRYSIVKFAINGEEWSQAFIGDFVSHFILGVTILVVAIPEGLPLAVTIALAFSVKK MLEDNNLVRHLSACETMGSATTICSDKTGTLTTNRMTVVHCYMSGNEYESFPTLQLQM SPTTKAILCDSICFNSTAEILPPKQVGAQPEHTGNKTECALLQFVADLGEHYADVRKA SHICHMLTFSSAKKRMSVVVPLTASKCRIFTKGASEIVLELCTSQLNLDGTTSAFGAA ERNAVKDNIIEKYASQAYRTLSLAYRDVDASPEVVKNWSDEEIETDLTCICIVGIEDP VRDEVPESIRHCNEAGIVVRMVTGDNIATARSIALKCGIISPDDGSLVMEGSDFRARV LDANGNINQSEFDKIWPKLRVLARSSPKDKFTLVSGLQVAGQVVAVTGDGTNDAPALK KADVGFAMGICGTAVAKDASDIILMDDNFRSIVNAVKWGRNVYDSICKFLQFQLTVNV VAVTMAMTGAVVLEESPLTAVQLLWVNLIMNAFASLALATDMPTDAMLHRKPYPRNKA LLSQTMTKHIFGQAVYQLTVLMPLTFVGDSLLGIESGQKYKRGGATGPTLHYTMVFNT FVLFQLFNEINARRIHDEPNVFEGISRNRTFVVMASVQVILQACIVQFGSVAFGCVAL NATQWAICVAIGSTSLPVRFALRWFSARLMPQW H257_02516 MSPAPRSTRELTPGMKMEVVFALQDAIHNGKLARGFIQATAIRC QVGRTTVRKLWRDFMSGSMASKKKGRVGPKPRHTSAEVTEIVRSVPSRDRSTMRDIAS STGISVSTLCCHLKSGTINRRSSRLKPLLTDTNNFEWLAFCRAHVNIQFDAMNAYLSS CGRDAVDAFEFHEPAEYPGRAEFHFSDMWDVTYRDYVVNKVVPSIKERFPSRTKRVVL QHDNATPHGSIDEGTMTAVSTDGWTFVMVSRSMDDVIEATLSAFEVLSSDKLSSIFLT LQAVMRLVMEHHGDKNFKLPHLKKDTLRRAGTLMANVTCPASLLFHVNSILQQSSP H257_02517 MPQLELLGERLGLPGRITTKAGDNVTRLESLSIICRRLSESSKL LTIAKEFGRSPAAISRIVKHVARLLYGMHKSKLYFNRRLITSRIAAYCSAINENGAPL TNVWAFIDGTKRILHAQLQGLNTVIATKNLQCSVYNGHPRRHCLNWQALTTPDGRYVY GDPAYGCNRFMICPFASPAADSNERRFNARMSKVREAVEWSFGRLKILWPFVFDDKKM QSTDE H257_02518 MGICGTAVAKDASDIILMDDNFRSIVSAVKWGRNVYDSIAKFLQ FQLTVNIVAISTAVIGAVVLEESSLTAIQLLWVNLIMDTFASLALATDAPTEAMLKRK PYPRTKPLISERMLKHMVGQSIFQLAVILTMTFAGDKIFGIDSGRKYDRKPVGATGPS VHYTMIFNTFVFLQLFNEINARRIHDELNVFEGILTNHIYLGISVVQLVLQVLIIEFG SLVFGCVPLDLTQWFICIGLGSLSLPVGLFLRCITLPASFTMCQETSVVENVPSARTK TLWRRSLKRLQVQMRVVKAFQKSVTQQKGLH H257_02519 MATTTDESDTTPLPTGKFARCHDIMLLQQVSLSRPWEGEYGTVM TIWAEVATELNRMPGFSMVKKPGALKTRFEYLLAKHEKGESASLRKSGTTEEYSERDQ LLTDIKLRVDDFAENEAVRKNAAKRKQLEMSAKKTEDAEITPIKRRKKSKKPAHSLDI ASLMGIIREGIEDKERREAQRCLLVHCELSSFCRIVRATATALYKTWSEVIRFHEALL VDRVGLDGTPEFICNGGKSTELSQSSTLDCKCLERLHLIGARCTDKGWQS H257_02520 MAMRQTRNLSPRWFWLNLHSLNLRPLNLRVLNHYLPNLHPLIIR PFNSCPPNFHLLNFRPIYFLLLNLHPLKSHPLPTSSQPPAAQPPSSKQLFVARATIHP YEFLPEALWGCSDDIMGLTRALDRDIFVFAEPDHSVSFDKYTFDGHDLQRILLPID H257_02522 MSRQCVRKIKSVPAELRPNTEVDGAGLQSYVAKKRRSLDKFIDG AADADNRASADMMSVILMIDRNTAEREERRMENERQWRFEQQQRQDKAEEDRARREER MMMMFMKFLGDKNEK H257_02523 MSASLAAVMGAHWARWQRTRRLMRALRIRGSLRERNYVRTVSLV TYQEDTPWYTMYATRDSPSFISTVSLTPDAFDILLTQFKKHYVVKSLPYRSGRPPRIP HKHAVLAMILHFYTAAVEAKTLQKLFGLSKTTFSRVLRRAEVALSRALSGMRDARISW PTKATQALWARKTQEREPLVSGWLHSVFVSGVLCFGLDGTLIWGRHNCPGSWNDGEMS RRLQEILADNDKVGHGMKLASDSAFPVGGRCAGKIVTPLKEGDLERLLSGLWVQYLKC TANYCYLYPTIHSSGK H257_02524 MDIPVVDAPGWSHSGGQARSAVDGRGRRGVWCEQEGEDRTDRAR ATATTPKEARATDRANYREGWKECFEDKRLLKQFMVMRKLRGDPKELDEAVLETELRK IVDEPKNCIDADIPLLFHGIHMGMKDDDVLSRVCKFLMDCDERIEARVMKGHLKKPEM RKKIFKRLLEVVEPVRDACVIDMENGWHPMEFTWESISELVMHHAQEQQRFYSTYGAR CKKPGYEAKEATNKKKQRKDDYSRQEQRDVWPRHDDRERSRSQGRDRRHRSSDRREPR NHHPLMFGEIDMDDYDEDGNLVTAATHGGYVNPFKNRWKSQNYRVVEEQMREAKKRKT KELVETEEFIPLTNDSVDEVDEPDVIRRKGRTILKANLVGASRTVRRVYGWESKIKQR EPREFVPTGCLKCGDTSHLYDKCPGASAEDRGKVKYEWALVAKRRQNRKALKPKAKKI RDSLMTANKKWREEQKQSRTAKATEPEKKKLRRILESPAGGHHQWSFKRVILP H257_02525 MIRMSPKMSRLPRSTVPLRHGQYASTTDLVRLVETPKEHAAGAL AELGGIEGVAQALNVSVDHGLDSDNTADLAAREKTFVVLCS H257_02526 MSTFLSGKSTAPKFSPEQCSRYFFSDITDSNDETTGRWRCTLCQ RTYTQQEGRDYTNLLAHVKASHSNYATLMREAPTAAQSTNIRLWVSDRVKGRFGWISW IVEEGLPLTFCEKPSTRRFTNLPIISHVTLRDNILRLTEVVEKEISKEIPDRFGIIFD GWTHNSEHYLAVFGSYEKDGVFEQPLLSLAPIIHEPDDYHSAKTHYTAIKSVLAMFKK TIKQCVFLVGDNCSVNKKLAKLMSVPLIGCASHRLNLAVKAYTQQHEDELAKIQQLMM KLRTLNQASKLL H257_02527 MSAVLGHLGDDDDRDAVDECAIAHHDDAQDKQAIEFDAQFPEES MDVWDSDLFIMSQHTATRSWHDRGVSGVTTPGGCGMRRSIPVPPSLLRGMKKKQRANE AIDDYKRQWEAARQAILLELAPSPSMPVSVPVVVPEISVTVGQQVDSQFPAATTIGIG FGGVDLPCGTASSHNAVISTETNKCQHAVQVAVAADGLDTFDHKIILVVGR H257_02528 MRRKPTARCVWQVVKNWSDEEIETDLTCISIVGIEDPVREEVPE SIRQCNEAGIVVRMVTGDNIATAKSIALKCGIISPNDGSLVMEGSVFRARVLDANGNI KQDEFDKIWPMLRVLARSSPKDKYTLVSGLIQSNVYPHGPQVVAVTGDGTNDAPALKK ADVGFAMGICGTAVAKDASDIILMDDNFRSIVSAVKWGRNVYDSIAKFLQFQLTVNLV AISTAVIGAVVLEESSLTAIQLLWVNLIMDTFASLALATDAPTEAMLKRKPYPRTKPL ISERMLKHIVGQAIFQLTVILTMTFAGDKIFGIDSGRKYDRPVGTTGPSVHYTMVFNT FVFLQLFNEINSRRIHDELNVFEGIFANPIYLGISVVQVVFQVLIVQFGSLVFSCVPL DVTQWIICLVIGALSLPVGLLLRLITLPASFTVCQETAPVAHVPTDRTKELWIRGFKR LRTQIRVIRAFKRTLSQRKLSQFE H257_02529 MSLLTALRSVAPNADVVPSKLSCDLNLDGTTSAFGATERNAVNN DIIEKYASQAYRTLCLAYRDVDVTPEVVKNWSDEEIETDLTCISIVGIEDPVREEVPE SIRQCNEAGIVVRMVTGDNIATAKSIALKCGIISPNDGSLVMEGSVFRARVLDANGNI KQDEFDKIWPMLRVLARSSPKDKYTLVSGLIQSNVYPHGPQVVAVTGDGTNDAPALKK ADVGFAMGICGTAVAKDASDIILMDDNFRSIVSAVKWGRNVYDSIAKFLQFQLTVNLV AISTAVIGAVVLEESSLTAIQLLWVNLIMDTFASLALATDAPTEAMLKRKPYPRTKPL ISERMLKHIVGQAIFQLTVILTMTFAGDKIFGIDSGRKYDRPVGTTGPSVHYTMVFNT FVFLQLFNEINSRRIHDELNVFEGIFANPIYLGISVVQVVFQVLIVQFGSLVFSCVPL DVTQWIICLVIGALSLPVGLLLRLITLPASFTVCQETAPVTHVPTDRTKELWIRGFKR LRTQIRVIRAFKRTLSQRKLSQFE H257_02530 MALTTQALSNLVETKKEHAAAALEKLGGVEGVAHSLNVTLEQGL DTNDAADLAAREAKYGRNYIEADKPLTLFQLMWQAFNDLTIIVLTCAGTISLVLGFIP MPKSTSTAGGHDDTDSTWIEGASILFAVLIVTFVTAINDYQKEQQFRALNAVKEDEKI KVIRNGVPAEVSKFNLVVGDIVRVDLGDIIPADGLVFDESDLKLDESAMTGESFLMKK DRQTAPFLLSGTKVMEGVGKMLVICVGEHSQAGQITQLIAGPVEPATDNDEDEVVSPL QGKLDNLTVMIGSLGMYTALFVFVAMVVRFSVVKFWIQGDAWSNEYLKDYLNHFILGV TVLVVAIPEGLPLAVTIALAFSVKKMLKDNNLVRHLDACETMGSATTICSDKTGTLTT NRMTVMESFVGKSAFMSAASLRLQVSADTKDILTKSICLNSTAEILPPKQVGGQPEHT GNKTECALLQFAADLGVQYADVRKENALVRMITFSSAKKRMSVVVPLSDTKVRVFTKG ASEIVLGLCTSQLNLDGTTSSFSTAEKNKVNASVIEKYASQAYRTLSLAYRDVDATVD EIKDASQWSDDDIEQDLTCICIVGIEDPVRDEVPDAIRQCNRAGIVVRMVTGDNIATA KSIALKCGILAPGDKSIVMEGATFRARVHDDNGNFLQDEFDKIWPHLRVLARSSPKDK HTLVTGLIQSDVKTYGPQVVAVTGDGTNDAPALKKADVGFAMGICGTAVAKDASDIIL MDDNFRSIVNAVKWGRNVYDSISKFLQFQLTVNLVAITIAIVGAVFLEESPLTAIQLL WVNLIMDTFASLALATDSPTQAMLERKPYPRTKPLISETMTKHILGQGVYQLTVLLTL AFAGDWILGIPSGRKGDRMSNDPSVHYTLIFNTFVFMQVFNEINSRRIHDELNVFEGI FANKLYVGISIFQVVMQYFIVQFGSVVFGCHELDATQWLLCVGLGAVSLPLGLLLRLV PVPVALGFEPENLALPGTDVFLRGVTRVTTQIRVVNAFKRPLTKRRSSLV H257_02531 MADFGDLDDFNEHEVQGRPIKAYKNGCFENPKSLFVQLHWSWDD FLCSCSQRLEMVPMASRVFNSDGVEIDDLMCIEEGDMLFFSTGAPFKIPGNEDHSNAE DLGAGGVVGGYRVTSLLGRGGFGEVRLGVHQLTNEKVALKFILKNEMGSLGDVERTTT EIQCLTALNHPSIIKLIRVFNEPNHVVLVFELLEGGDLFHHLAQLPPGGVSEEEGALV FSQILAGVGYAHNQHICHRDLKLENILLQSKNDLNSVKIADFGLSDFYRPGAMAKTTC GSISYLPPEVFRGTSNAGPPLDVWSLGVILFAIVCGRLPFEGSDLKGSNRPRENVIRN RIMRAQYKLDDHLSADVADLIQRMLKLDPIERATIPDIFSHPWIRGKAGSVGLDSLTM MSSPIKDGDDDGDGCESFTLKKEPDTASIPLSEDVKGRGGGGAVPLVPPLANLVPQSP PSTVVSHPPNGGSSKRTVRSHDLPKHKSPRSGQLSIPPLNTSKGSDKHVEKHGAPAAS ALQSPTNAKRGSMGSSKDAVLTPKDSKTDKGRSRIPPVHPMTPDDRNLSTKAKRRPSF TDPKLTEALDPTLH H257_02532 MWMQQSMEGGGHHQGGGYGNYGVGGGSQGRTGTSNDDDWKSRIV SNGQWLGEKVMALASRSHNTIPDNQRAMNDGRANWMAEIRNNARPANNSSNFSNGSSG YNAGGYQNDFATERPGQYSDTSYRPTSTYSDNPTSSSGQTSAYTSKQQSGKSKASSNN KKYKSKSSRRAATSSSEEDESEEESSEDSDVKKKSTKVRSKVTKKPEIVVSSNDDSDD SDHAAKSKRSTKKGSKGSSSKKKAHLSDSDISTESAPEDKKAKKKPAKAPKKAYAYAL DASKLAAVAAPSTDQDAKKGKKKAGKKDKTGAAAPALVDLLGVESLPPAPPNAAAVFE TPLSTIDQLAGLSFDPLDGASQAPAYPQDQFSAPPQQPFQQPSQQFLGNQQQFQGHQH QYQPQQHQTFHPQQHQQFAAQQPFQPAGFVVPGSNLVDLRLSSEVSAAAKANAAPTDT RSLDQLKASSGPVQVQPPPQMMMHHSHAPYQQPLGHHPSFVHQPMLHQASPPAMMAPA IAFGGVQYQQPQPLHHPRRAAPGLATPGFL H257_02533 MAETAKRDSRVIFLDVDGVLNTLHGQAQLSAVLLHRLSRLVHLT RAKLVVSSTWRLHFNQLQTLVHALGVANVPCHRILGVTGPTVKPLLYAQDSVGYCRAE EILAFVRGHPRLRWVALDDLALHVHPDMPRDCFVQTSPHRGLSLEAMRRVLALFPKAS HHPIVGAITWKVSLDSCCCFITREGDKSLVLRQEQGRDWRAVHEWVHDVTGGDPFQVV FDHNFNAEHAKAAVHGNDSYAMLLLHDAIESARLHHVTTDNARALQSFDRFVLLQSRL GTNDTVTFTVVNAYTSTVERQHTWSNPTLHLTIALLRAVDEWLVQTTAGLSWILVGDD VEEWVEGCARMELPCPAYFYTWHHHVKGDVETFLVSLANGSQVAPTSSVAVDVDVTLV HQQWAVLCPSQPPQTKLESVTSLDARNLLELLPAMKSPATRTQHELMKVVALKDWTMR HLLAGYLARGDITNGTTLDMTLAMLHDGELGCFEGTSTRIDDMLW H257_02534 MHNSRHYRPPYSDSQAKQPHRMLYPFFGLLAFCLFVTTSLSMRS TVPPRSQVSLSLRQDEPRAPKTSADHVPYLPRFGNVLEKQYAGLVTVNAAEGNIFYWF FESRTNPTSRDTPVIVWLNGGPGASSMTGLLTEMGPYRINSDGSLSRHPHSWTALGHM LFFDQPVGTGYTSAKNESGYVNSQLEMAEQLHVALTAFFTLHPEYASNPVVVAGESYA GKYVPHIAHYIHDKNNQLDKSSAINLWGIAIGNGEMKPLLQTLSVPDYALALGLIDQE QFIQHRQSLDTCASLVQDGKLVEAFGVCQRTEDEIYRQAGNPFIYDIRQQGNAFSALT KTLSTYFNLPETREALNIPPHTLWTSIDGSAYGDDPAAPSIARHLLNDEMVDVPDAIL ETLMNHYHVLFYAGNMDGSSCNHLGVSRVVDQLHWDGADEYHTASRRPWTVDGVGGVG GLAKQSGNVAVVVITNSGHLVPTDQPEASLDMMRRFLTQRSFHQG H257_02535 MTPCEQAEYDLARERQQQQILARNHVRQLRRTNSTHYQMMLNMH AQDHSLVAISRRLRMAPCIVARTFLEHVEGVDKSKIYKLLLSTLTPDDDINSNNLNNL PPLSTRLRRELRECVEEDVHCSPLCDRVRRSEGDEYEHLMVLRLTQLGIPFENEHMLR ERGLAKTPDALLVVPIQVKVGDTWHVVQWIDSKAMAHEVGTENETQHIAQAHAYVNRF GPGMLVYWLGVGRDHAPAMDDVMLVDHLPPAFLFPGQTSKEVSKLHAPDVASNGTTFL FEPTHVITTRDDDGLPSTVVSF H257_02536 MMHTARTLRGVARGSSLTRWISTATPASPLVNIERREGYAIVRL SRPPVNSLNLEMFQALDKAVEELEKDKAIKGLILASANTKIFSGGLDITELYQPKNHR LREFWGSFQRFYLRLYTSPLATVAAVEGHAPAGGCFLAMCCDARVMAIGKPVMGLNET KLGIAAPFWMKDVMLNTIGHRETEKMLGLGMQVDAINAKRIGLVDEAVEVDQVLPVAE SILHQWLAIPGRRSFHSQSSFWNDVGHARKATKHLMRHATADRLRSDLKGDVDNFVDF IETDRVQNALGAYVEALKKPKAPKA H257_02536 MMHTARTLRGVARGSSLTRWISTATPASPLVNIERREGYAIVRL SRPPVNSLNLEMFQALDKAVEELEKDKAIKGLILASANTKIFSGGLDITELYQPKNHR LREFWGSFQRFYLRLYTSPLATVAAVEGHAPAGGCFLAMCCDARVMAIGKPVMGLNET KLGIAAPFWMKDVMLNTIGHRETEKMLGLGMQVDAINAKRIGLVDEAVEVDQVLPVAE SILHQWLAIPGHARKATKHLMRHATADRLRSDLKGDVDNFVDFIETDRVQNALGAYVE ALKKPKAPKA H257_02536 MMHTARTLRGVARGSSLTRWISTATPASPLVNIERREGYAIVRL SRPPVNSLNLEMFQALDKAVEELEKDKAIKGLILASANTKIFSGGLDITELYQPKNHR LREFWGSFQRFYLRLYTSPLATVAAVEGHAPAGGCFLAMCCDARVMAIGKPVMGLNET KLGIAAPFWMKDVMLNTIGHRETEKMLGLGMQVDAINAKRIGLVDEAVEVDQVLPVAE SILHQWLAIPGHARKATKHLMRHATADRLRSDLKGDVDNFVDFIETDRVQNALGAYVE ALKKPKAPKA H257_02537 MSMQLLSVDVDEQLTVNPEAVRFLNESYDALTVLSFHGTKNSGK SALLREILQASEPTEGEQSDGATHPADVAAPPADISGVWMYVQETTYAHAKRVVYLDV QCYGDNEGLDARLFGIVSFLSSNVIHGSVGHINEHVFDEMNFLQSAVHVLGDRPGLLP ALTWIVRDLSSKDVKAAVPSADPASDIDQQYLEAVLNPKSSPFTSSLSWQILTSFFPK RIGAILPPTSSPAYSKKVLRVRKSLVEPSHVKEVRGVALHGSIFCHVMALLCASPSNI TSEVWGPKWDDVLRSDMLHLVEVAFDVYKSTVDTSICHDGHTSPPHHDDRIEFPCDEG DVKTLHVAGKAAAQPFLAKAGALGDDLAALAARLFHELSAAHVAKWMDENDRASSAQC LSVLQRLHTDIEHLIKAKLQPQDDNNPLRLVDFKAILRAYQHSVQNMVVEYASEAVGP QKMAALSQFFSTVVPRFIEYLVHLGDQTMHRQTALVEQVVVDGEATLADAVQAKAAAM EAIKSSQRQVQAKLVANLHLETRIKQVLQDAVDDVTDLHDQAKVQGESLETQAFNNVR TIVACMLVETVTGTIVGRADGGARAGGEPGDPGRRTSVGRVPDQTRRRRGIWAQELEA AVLFAAQEHPVVRQDQGRLRARQDPQGVFDRRQCDQGLCRRRRRVRSVAAVHGPGSVR LQARAVWVRPDARPSQGRWRQRAHLLPARVDDGSQGAMGRTSAPRGHTGDSCKV H257_02538 MSTRQQALSFYRKIYRTAGLMPTKDRTEFVRRRLRGEYDQYRHE TNPARIEFLIKVADTQLDTLEIQVAHFSRVFSSPSYHNQ H257_02540 MQGSAELYETLFPEAYVSKCLESGVRPDARKLLQSRPVSINWTQ SGECLVKLGASCALASVKLAVGTPALATPDQGEIDLQVLFPGVCASKFSTQRTTDEAQ SLSSYLTRVLLSSKCIDLRDCSIERGKAAWKLLVEVTFLDHDGNAVDTALLAVMSVLS KLTIPAISISPDSIVSLAPDQSAKAQFPLHETLLSTTFGQYQSHVMVDPTAREEEVLA SSFTVLVTKAGAFAGVYKAGGQPLAAATLQSCIHVAKERSVAIVKLME H257_02541 MATPVLTIDTQHDDMIHDAQLDYYGKRLATCSSDRTVKVYDVTH DSQHSNEQVLTGHEGPVWQVSWAHPKFGTLLASCSYDGKVIIYKEAQLGKWSQVHVHS FHQASVNSIAWAPYEYGLTLACASADGKVSILTHTAAEGWTTGFFQDSTLGCNAVSWA PFHSSGRQGKRVVTASCDNSVKVWFLADGASDWVKEDLAQSGPHHGDWVRDVAWAPST GAPINIVASASEDRTVAIWTQLNDERWTKEVLHTFEAPVWRVSWSITGNVLAVSSGDH KVTLWKESLDKKWVQISSVDEVGALHQAKD H257_02542 MEATKKSKPRPTADELSSNDASGGGLTVEQIYQKKTQLEHILLR PDTYVGSIEPAEQTLWVYDDENSKMVQKKVTICPGLYKIFDEIIVNACDNKQRDSTMD TLKVTIDSEKGEISVWNNGNGIPVVMHKEHNVYVPELIFGHLLTGSNFDDKKKKTTGG RNGYGAKLANIFSKEFVVETASREQGKRYRQVFSDNMSVKGAPKITSWSKKDFTCITF TPDFKRFQMTGLDDDIVALFKKRVYDIAGVTDKSLNVYLNEEKIAVKSFSQYVALYGT ADVIFDKPDERWQVGLGLSDDGFQQVSFVNGICTTKGGQHVNYLADQITTKLIAVVKK RNKGEAVKPAYIKNHLCLYVSALIDNPAFDSQTKETLTTRPPSFGSTYTLSEKFMKAV EKSGLVENILSFAKLKQTAELKKTSGTKSVKLTGISKLDDANFAGSAKGKDCTLILTE GDSAKALAMSGLAVVGRDYYGVFPLKGKLLNVREASHSVIVKNEEIQNIVKILGLKYG TTYDSTKSLRYGHLMIMADQDHDGSHIKGLVINFIHHFWPSLMGLDNFVQEFITPIVK ATKGNRSEVFYTIPEYEAWRGQMNNAKGWYIKYYKGLGTSKPEEAREYFSDLNTHQIG FTYNGEPDGDAIDMAFSKKRVEDRKEWLRAFVPGTFVDYAVQDMPYTEFVNKELILFS MADNIRSIPSMVDGFKPSQRKVLFSCFKRNLKKEIKVAQLSGYISEHSAYHHGEVNLH GTIIGMAQNFVGSNNINLLAPNGQFGTRLMGGKDAASARYVFTNLEYITRLVFNPLDD AILNYLEDEGQSIEPQWYMPIIPMSLVNGSDGIGTGWSCGIPNYNPLDVIENLRRRIQ AEPMNSMVPFYRGFKGDIVQKGGTDNFLVQGKFEVIDDSTIVISELPVKTWTQSYKQY LETLLEANTIKDFKENHTDTTVLFTVILSPESLAAIQNAPGGIPKKFKLESSLAISNM HLFDSNGQIKHYSSPLDIIEEFYGLRLEHYGFRKAAILKRLEHDILVLSNKMRFILAV IEGELIVNNRKKKELLEELRKQKYDPMPKNVTAPTVAAAGEEEQPAPEDDDEDNVGVS AKDYDYLLSMALWSLTAERVQNLRNDLEAKNEERDVVFATTLETMWLKDLDELEVGLG KDEENRLAQEHSTKKQAGKATSGKSQTKRKPAAKKKSAKESDTDSGSDFELAKSKAKK AKVVDEKPKIKTQFGAEKPLSTVWKKPQAIVKKEGKAPKKERTASSEPKPAKVFKLFE KKQAIVESSEDEEVLSLAERLKRRAMAEKKVDSDEDDVFSVPTAQKVGAKTMPSIAQA DSDDEFSFAASAKKTAPALKKAPAAKKPTPKPKVAKKKAVESSPVDKPKKRPVSVDSD DDTFKFSDSEPVTKKLPAPKAKASKPASGTAAKRKTDKAPSSPKKKKQKQPTWSDDES SADDDDDVHEPVEPKERPGRAARATKQVVYKLDSSDEEQDAASDFEDDANDSE H257_02542 MEATKKSKPRPTADELSSNDASGGGLTVEQIYQKKTQLEHILLR PDTYVGSIEPAEQTLWVYDDENSKMVQKKVTICPGLYKIFDEIIVNACDNKQRDSTMD TLKVTIDSEKGEISVWNNGNGIPVVMHKEHNVYVPELIFGHLLTGSNFDDKKKKTTGG RNGYGAKLANIFSKEFVVETASREQGKRYRQVFSDNMSVKGAPKITSWSKKDFTCITF TPDFKRFQMTGLDDDIVALFKKRVYDIAGVTDKSLNVYLNEEKIAVKSFSQYVALYGT ADVIFDKPDERWQVGLGLSDDGFQQVSFVNGICTTKGGQHVNYLADQITTKLIAVVKK RNKGEAVKPAYIKNHLCLYVSALIDNPAFDSQTKETLTTRPPSFGSTYTLSEKFMKAV EKSGLVENILSFAKLKQTAELKKTSGTKSVKLTGISKLDDANFAGSAKGKDCTLILTE GDSAKALAMSGLAVVGRDYYGVFPLKGKLLNVREASHSVIVKNEEIQNIVKILGLKYG TTYDSTKSLRYGHLMIMADQDHDGSHIKGLVINFIHHFWPSLMGLDNFVQEFITPIVK ATKGNRSEVFYTIPEYEAWRGQMNNAKGWYIKYYKGLGTSKPEEAREYFSDLNTHQIG FTYNGEPDGDAIDMAFSKKRVEDRKEWLRAFVPGTFVDYAVQDMPYTEFVNKELILFS MADNIRSIPSMVDGFKPSQRKVLFSCFKRNLKKEIKVAQLSGYISEHSAYHHGEVNLH GTIIGMAQNFVGSNNINLLAPNGQFGTRLMGGKDAASARYVFTNLEYITRLVFNPLDD AILNYLEDEGQSIEPQWYMPIIPMSLVNGSDGIGTGWSCGIPNYNPLDVIENLRRRIQ AEPMNSMVPFYRGFKGDIVQKGGTDNFLVQGKFEVIDDSTIVISELPVKTWTQSYKQY LETLLEANTIKDFKENHTDTTVLFTVILSPESLAAIQNAPGGIPKKFKLESSLAISNM HLFDSNGQIKHYSSPLDIIEEFYGLRLEHYGFRKAAILKRLEHDILVLSNKMRFILAV IEGELIVNNRKKKELLEELRKQKYDPMPKNVTAPTVAAAGEEEQPAPEDDDEDNVGVS AKDYDYLLSMALWSLTAERVQNLRNDLEAKNEERDVVFATTLETMWLKDLDELEVGLG KDEENRLAQEHSTKKQAGKATSGKSQTKRKPAAKKKSAKESDTDSGSDFELAKSKAKK AKVVDEKPKIKTQFGAEKPLSTVWKKPQAIVKKEGKAPKKERTASSEPKPAKVFKLFE KKQAIVESSEDEEVLSLAERLKRRAMAEKKVDSDEDDVFSVPTAQKVGAKTMPSIAQA DSDDEFSFAASAKKTAPALKKAPAAKKPTPKPKVAKKKAVESSPVDKPKKRPVSVDSD DDTFKFSDSEPVTKKLPAPKAKASKPASGMTLHVARTPTTLFS H257_02543 MSDTTYFVLFLILAVPLGATILLAIVTALLPGCQLNYRGKHVLV TGGSEGLGRAIALQLVAAGADVTIVGRRADALQRVVDEANTSNSPTRGRIFTQTADVT SPQAIKCAVGQAQASVGPIDILFPNAGKSIVGYMVEHPIQSFRDSMELNYFGTLNTVN AVLPTMVQRQEGCICFITSAAALASYVGFSAYSPTKYAVRGLADCLRNELSSSGISIH VAYPGSMDTPGFELEQLTKPTECKAIEASETLYKPEAVASSILKDLKHGVHNMYCGDI GISLLGVLSASMSPRCNPALDVLLFPVGVVVAWFVRGGWDKHVKKGQNDV H257_02544 MSGTTFLTPFLVLAVPLGATILLAIVTALLPGRQLNYRGKHVLV TGGSEGLGRVIALQLVAAGADVTIVARRAEVLQKVVDEASMSNSPSHGRIFFQTADVT NADAIAKAVAQAVATVGPVDILIPCAGKATMGYSHKHTVEDHRRAMDLNFFGTLNTVN AVLPTMRQRQQGRICFVTTGCAMTSYVGYSAYSPSKYAVRGLADSLRNELSSSGISIH IAFPGGMDTPGYAEEQRTKPAECKAIEASDTLYKPEVVAKSILSDLKLGVYNIYCGDF GINLLGVLGAGMSPRKNPALDVLVFPIASIAAWFVRGDWDKHVKVGHDKKHQQ H257_02545 MASVDKLTTDLESLQEKLQEFQDAIKTDLATENGMGLLQVKNHA MMQYSQLSLYYALLKLESPDSVKGHAVFKELVRYRTILERIRPFDRKLKYQVDKLCKM AVSDAKDVDAALSYAPNPDAMQAANDDDDEADDDDNDETDGNAKKGIYKAPRLASVPY EEEEKEADKQAKRDERNRKRMAKSTILSEIRDEYSERPEEVYTSGKTIDKETMREERE KTDYEESRFVRVVTSRKDKVRKRQRERDAMAADSIGKMDNFAGISDALGEFGGKRFVA AAPQQKLGGKIGGIFAHVDVATPKKKQKTAGGGADPTKAASAGHSTAAAPPSSATSVR GGEKQKVRFNW H257_02546 MHARMYKPSKATNVECSVSILDSNLHLWSSTNENLSWYPKSFPH TGVRGICCLVRLVVGFHVQNRYIHTYTGGVVHQIIVVLKGCFHTNVQDDSFRTSFERQ GHMQYYVNTSNIHA H257_02547 MAEDDPYNFEIEIPGNKKAFKSRNESDNDDSKDDDDNSDAMSSP SPHKSLKGGKKPAKQPAIASKSNALDKAKNFLSKYSTKQTTPAAKSRARVQLSDDSDS DQDPKRKSVTKKPVEDSMDDLSDVASRRAPNDESLGDISGLSEFDESADNIRAKAKPM VADSIKPAAVANPVTATPSGSTSHQHSNDSDDSEPKPPVVMQTVAKAMPIVSSDNHVA PVYKTAPLGVTVQDEDYSDIEDEVESIGEVATPKLKQSLATIPTRSATFSPPLVSTPA TTPQPVQSFNYSMDFSDDDNDTKVAASPVVVAAPSPLVQTRASHSDNDEYLDESFVQS QSPHKITLPPPSSMASPSPLTTTATYNPPAAAEDEYLDESFADDHPRAEMPTLNDQST EPTDHDASSEFGDDEDVASIAPVEATTAPTLSSAEATKMVSPNADESTAFKSAPNHMA SKSHALDGSVANNHTRQAEREQQREACDSRDPTPGPPPENDHYRTTPQPSVRRRVEIV REYEHGPRTKVEMKDASTQFTGNHVLIQADLNPHRQGGACNDTTAHFQSPPPFSCHTS PKAQPPVEPTTDSTQPPPDPNAPVDSHGMMGTFMDATMASVNTTLSTSMYRQQLQHIQ TQIRRKRLESERVMREAMAYRYTSMDAAEKFVGLNRPKKLSLWQALMQVDPLMSKEQA MKIEAMSKSA H257_02547 MAEDDPYNFEIEIPGNKKAFKSRNESDNDDSKDDDDNSDAMSSP SPHKSLKGGKKPAKQPAIASKSNALDKAKNFLSKYSTKQTTPAAKSRARVQLSDDSDS DQDPKRKSVTKKPVEDSMDDLSDVASRRAPNDESLGDISGLSEFDESADNIRAKAKPM VADSIKPAAVANPVTATPSGSTSHQHSNDSDDSEPKPPVVMQTVAKAMPIVSSDNHVA PVYKTAPLGVTVQDEDYSDIEDEVESIGEVATPKLKQSLATIPTRSATFSPPLVSTPA TTPQPVQSFNYSMDFSDDDNDTKVAASPVVVAAPSPLVQTRASHSDNDEYLDESFVQS QSPHKITLPPPSSMASPSPLTTTATYNPPAAAEDEYLDESFADDHPRAEMPTLNDQST EPTDHDASSEFGDDEDVASIAPVEATTAPTLSSAEATKMVSPNADESTAFKSAPNHMA SKSHALDGSVANNHTRQAEREQQREACDSRDPTPGPPPENDHYRTTPQPSVRRRVEIV REYEHGPRTKVEMKDASTQFTGNHVLIQADLNPHRQGGACNDTTAHFQSPPPFSCHTS PKAQPPVEPTTDSTQPPPDPNAPVDSHGMMGTFMDATMASVNTTLSTSMYRQQLQHIQ TQIRRKRLESERVMREAMAYRYTSMDAAEKALIGRRSCRCGRR H257_02548 MSSDGYDPAKSRIADDTLADFLRAPLTGVLTEVPGIGPAAAKNL AKGDDPADQITNTFQLMGKFMLLKRNEDDTDEPIDCRTHCDAFWHWLKSKGISSYRSG IVMAIAEKMNTMIPGVYDACDFN H257_02549 MGLSREQQKDCVEKFSTVRMFAFCNERALQKLCAQAVPETHPKG SVLFQQGLPQAKMLVISRGTVGETKDINGQIHSWGETTPGMALGSNHALRQDGASATA KCLTPVVAYSLDSQSLSTLLKDPEIATDVMYSLNREVRRHMNLLNTPLLEQHAKPTPI FATSVAAAVESFYRSALNSYLNARLTGQAPATLFPNMGVQIPTRVAYINGFKGLRHYL EKNIDDDSYENPGYVRIAKAIAPGIIMTPVSSMLEACNAGHMNPESLATRWIRGLAPR AVREIIFGVGLNQLSDYCEERIPLDDSQPILKNALGSMFAGVVAGYFSHVPHNLSTLK LMNPQKSYARHLNDLVEHANSRVPTNIQSPQARRVLATALAFVFPKGLTIRTTQIVGS FIILNGTINSLKDFDVYNMPTAVSSVLTR H257_02550 MNDSLLDELEKADVHRHDMYDTDAAYQPLLEEHNEATTVNPIKN QRSRSAEDAEALWAELHSHPPQEPARESPSSGFKFRSQLAQLTSNIRPPTTARQFRGT GPRGSPNNPNTRGGFPPSPLSTTSHTNIDVRRHLKLPSLADIKHNWLLTSRGRHVPDE HGYVENVDAFLIALYNFYYHNGMSCYVGKEGVQLLNLLFTVCLSSFVMGCIQWSELAS CPSSPKGCKRPLSDFVSWRFEGHLTSTVVLFYFVLFFAFWVTRVLAFVSNARDAYDMH AFVVEKLHIDARQIQTMTWNDVVDRVMALLPPSPAANHPSSKPAPFQSSYQLQLDPAQ LDTPLAIARRIMRKDNFMIAFMNAPVFQNTVPSWLPLSSHAIFSRNMEFNLQLCILDP MFDDTTSSSVLSAEDLKKRLVTVGMVNLFLTPFLLLFRSIQFFLLGAQEWSVNRTTYL SSRRWSPLALWTFREYNELPHVFDARIQAAAPLAEAYLGLFPSGLVSIVASGVAFLAG SIMAVLIFVSLVEESIILEVEVEGRQLIWYFTIASGVFALARSFQSTSRFVVTESCED AMTCLAAETHHFPSAWKGQSHTFATRDALLALFPYKTVLFLEECVCVMLTPFVLSVSL PSNADEIVDFIQAHSVTVPGVGTVCRFAEFDFKAYGGQAKMESSFLNFKRHHPSWHGP IEGEDLVHNVSRFRDNELERSLRMGDSLIGPEMYMTRTMLGDSMFHSTTGGGLMSQSH QLLQSQAIHMALGGGGPHSSEYYWLQKYHDRPMNHPCGHDDINEDLEESLSE H257_02551 MHDATCALDIDPPSKVHILPGMSTADTAAWYATVVKLGTSQDDF RLFLRNAIFPTVAIRCAAPEVITSAMVQGNGFRDPIFVHGKLPGMLIPDGRINPKDVP SLVASGDSAVKVAVANATTLQPCNGDDLELHCRGDRFRRSLVEFPIADTPLERQFKAP PMVRDLDWFHQLNPTGPLNPNTFVTVRGPASFRDFRMNPWGTSAWLTLGDGLPLTVYL IPPSPVNLSHFAANEKHHVARFTLLFMGNGMHGCHRVDMAAPHDTLFIPPGWLWASHV PEQAAAASTCVVYSGYFFHGFTLQSQFQLLQFHSMLVSNTSYTTDWPIVSTMAEFKSP QPATGTLRQVLKYWIWPAMHMYSRRLKKQRSMSPWENLGLFLAMPHIRAMTGDESSMS QPHDDELPCGWFTGHTADNIQPILDAVASHLKLKQEATPQLAQVSMNHVAASAPNAEK RPPFDPPRMSLKSATEFLHSLTTNTPSATSSSASSSSSQLSSGAAPRPSADRRRGVPK HSFLTPPPTPTTTTTAATPSIPKRPCSKCKASAPCTCKLDDSDDSDEALWDEDAAVLA KGNTKPTRDLPPSAAKKPAKASKATTTPKNQRPRDRTFSPPLSKAPPHDFDAWLTSPP VALPPKPKKTSIPPPAKAHPTTSQTKQPSIHDDDFDLWETSPPVALPPKPNTAKPSKL SKEIAKPTPTRSPRVAKTPVKTSIKPPMKLVELSDSDDDEVDWFATTEPQAIPLPTRT QRKAAKPSNQVATSRPPSGSSSSKKCSACPPAYQRCASCTYCIKTHCVCATKCGCGDG VPKCPVCQHCFATHCTCGPTSQSPAPPPLTLLARRKNELRFVQAVQESTLQLVGRTAQ DLHDDLHAQKFDTHEGNFDYLLSLPVSRFLRPPSPRIFLSNDPLPPPQNASRGRNGGV ASRKRLLDKAMPDEAPSRRRSRPS H257_02552 MSLKRKDAPDDEGGAVKDVAAETSAVNWRKYLTETNLLISTDAT ASRQVGSGSFAHALLAPELPLKGPQPFKTNPDIPDPVGRLRALQSPVLHKSCKCHKKK CTTCLSCVERHCTCKKGSRSKRAKAVSSDDEPAKEEEPLAAVTKVEAAAKPRKRATTK RLAPSSIRGCTCHRKKCETCRNCLSRHCKCNGKNADLSDGGGEDNDDESTVSGDDKLE SLLPPPVPALPTSVAKKRKTCVCHRKKCDLCQNCIARHCDCPPAESDGCSCNDEPKCP TCNHCVKSHCLCVMETLNNKLMNQVLFVNAIADGKLKLGRRDRKDVVKDMKQRGFDLV DNERDFDYLLSLPLSSLLNQEMDTLQEQADTSQEEFVAASAIRAHERALEQQGIDDHS TEKLEAEE H257_02552 MSLKRKDAPDDEGGAVKDVAAETSAVNWRKYLTETNLLISTDAT ASRQVGSGSFAHALLAPELPLKGPQPFKTNPDIPDPVGRLRALQSPVLHKSCKCHKKK CTTCLSCVERHCTCKKGSRSKRAKAVSSDDEPAKEEEPLAAVTKVEAAAKPRKRATTK RLAPSSIRGCTCHRKKCETCRNCLSRHCKCNGKNADLSDGGGEDNDDESTVSGDDKLE SLLPPPVPALPTSVAKKRKTCVCHRKKCDLCQNCIARHCDCPPAESDGCSCNDEPKCP TCNHCVKSHCLCVMETLNNKLMNQVLFVNAIADGKLKLGRRDRKDVVKDMKQRGFDLV DNERDFDYLLSLPLSSLLNQEMDTLQEQADTSQEEFVAASAIRAHEREQQGIDDHSTE KLEAEE H257_02553 MAAAVHARLQSQAKSILQYWFGSTYPQSIEMRQMWFKKDAAIDD AIRDQYGALVSDVLAADSDVLFRLLRENEAQVKLAAVLCLDQFTRNIYRDQATAFHGD RLAQAFVEETVGSPVAMREIKQLHALEQGFFFMPLMHSEDKRVHVVAERVFNDLSADF AANPALNGTTAYFAKFEHDHKAIIDRFGRYPHRNAILGRESTPEEIEFLRQPNSSF H257_02554 MSGPATSTITSSSSMNTAATDPHHQLELLRAQLAQLAQDKLDMQ TRYQRRIDFLERQVETLRAPRSFINRRRSSSMSSSDDCGSGDWTFHATPMSSRHACSM VDEQGDTAVAGPLKRNWKDMLKHLLGNKRSRDADRSSIERPSLWKAQTTTNGKIVFIN PDAKPAKKRRGVSGESSWI H257_02555 MVQQPVDLDDGAIPSIAPIRQSQTLTRQKSVAGQLMHVPTTALR GPSSFMSSKHAKRRCTCRRKATEWRSHFWHARQPKHLGLTRTKHVHIKQVEGNPVLEK LLDLKVSVAQMSAKVELQRASRESLPSQDQHRDDDASPGSRPCATSGTKGGVLSRLAP RGSAFSFSACFSNSNAHSNSSNKSPL H257_02556 MADGGPNELAVTAVTIYNNKRAFVERESFDFGDAEKQFRIAVPA NRRSAIVESMTVRCDGVAASVRYGGDLLDKSPEVSVRKREFIVGASVTLGDFLGGSGV GESVYVRSLAGVETVGKILLVEKKLVVIGTTTAGHVITDHEWSTLRLLTPHHDIVAVA LSQVDVIKFEDPVTQAALVSALSSTAVAYIPTPSVPTRDAHLADIFVSTTPIATVSSP PSGRPTLSVAYVDVADAYQFQFVIAFNSDREMKTHAIASIATVELLARVRNISSDPWH NIRLSLVADDLQVVAIKDDVKPPKATPSASALPDTEIFIKTLTGKTITLTVRMGVTIF KVKTLVQDKEGIPPDQQRLIFAGKQLEDDRTLADYNIQSHSTLHLVLRLRGSSGSGDV GGAKQPRDGSYEQLTESQLKSSVATEHVVFAVPGTVSLRAGESAVVSVAKAECPCEYQ YVFDPKESAVNALVGVRLTNTSSQVFPPGIGNLVENGRLQAQVPLTPIAPDEDLVLPL GTDASLSVSATCPKDLQVATIVATHLERRRPADDAAPSLNLIVDREVARHTVYTVANA SHVPKRLVLYHYASAIHGGYVIQTMHHVVKSVVGFAAYELPLDPGQERQFTVVETATY SQTLPTEVEGGGSYHKKNKLLVQFLEKHGASHVSSADRAALAAANVTRKTTRVLKTLA SRLEQSTRSVASTSSTSHVAPESDVAKWTRVLSNHDDILHGLQRLVSIHDDLDKRRHT VDQEQLAMDTIVRNQARLRENIVALEKVTTKHDLLTRYLNDFNSDEDALAASRLATNR AADDQRSLQREGAALVRDLLGRVKASLAL H257_02557 MSGKVLAVVGGGVVGLAVARHAARRGLQVVVLERNAAIGLECSS RNSEVIHAGIYYPKNSLKATLCVRGKHLLYDFCRDFHVPHQRCGKLVVATSSNQVDTL RQIQAKAQANGVHDIEIMSKAQVARLEPDVHSEGGLLSPSTGIVDSHAFMLALQGDAE AHGASFAFHCSVDSGDWNASSNEFLLRYQMDDDGATLHELPCDFVVNCAGLGAPFVAN SFPYPIQFAVPTPSMFAKGNYFRLSNTVKPFRHLIYPVPESGGLGVHATLDMTGHVRF GPDVDWTGEMQYTVNASKAQEFASRIAAYWPAMAHHDLVPDYCGIRPKLGGPHDADLD FMLADASHHGMPGLVHCCGIESPGLTSSLAIADEVLARLGLTHVDRSSCSNFPNVAMM ALF H257_02558 MPRCVCSMPSSLFCSGGAKANLDRRCSRTGSSGGCDSTVPKVLR PLSVVPLTRRGDLVVQYLQFVEHGAPQSFLMICILVPRGGVRSQRVAPTLPCPCCRSS GTTS H257_02559 MRKRASTSKEPHLRHTVSSRATVNACPVERMEFQTLASLVRSLK EESCRHLQLETETQTEMEYLNAQATSTRKGLGKLAELLTDDLSVMRANLQHEMQAVQV DCINRVEELTAAVERLLFRFTHHIRDCQALGDEVDRLKDTLHQTKAHLHRTDAEMSQH SSRLAAVDQLATRVVSLNDKHMAALAEVEARIAHQSKWMEMQLQEAGEMHDRRWDSVE TTRIRHDTLVHSVIHDLISLRDQVGDVAALRQMLQRHMDRAATEAQHGTRDYHALESR VASLSAALDTSRTQHQYAHETLETTTSLRLDALAGALELALRAVLASTTAPISTTTTP VAKTKRVDVV H257_02559 MRKRASTSKEPHLRHTVSSRATVNACPVERMEFQTLASLVRSLK EESCRHLQLETETQTEMEYLNAQATSTRKGLGKLAELLTDDLSVMRANLQHEMQAVQV DCINRVEELTAAVERLLFRFTHHIRDCQALGDEVDRLKDTLHQTKAHLHRTDAEMSQH SSRLAAVDQLATRVVSLNDKHMAALAEVEARIAHQSKWMEMQLQEAGEMHDRRWDSVE TTRIRHDTLVHSVIHDLISLRDQVGDVAALRQMLQRHMDRAATEAQHGTRDYHALESR VASLSAALDTSRTQHQYAHETLETTTSLRLDALAGALELALRAVLASTTAPISTTTTP VAKTKRVDVV H257_02559 MRKRASTSKEPHLRHTVSSRATVNACPVERMEFQTLASLVRSLK EESCRHLQLETETQTEMEYLNAQATSTRKGLGKLAELLTDDLSVMRANLQHEMQAVQV DCINRVEELTAAVERLLFRFTHHIRDCQALGDEVDRLKDTLHQTKAHLHRTDAEMSQH SSRLAAVDQLATRVVSLNDKHMAALAEVEARIAHQSKWMEMQLQEAGEMHDRRWDSVE TTRIRHDTLVHSVIHDLISLRDQVGDVAALRQMLQRHMDRAATEAQHVRNTP H257_02559 MEFQTLASLVRSLKEESCRHLQLETETQTEMEYLNAQATSTRKG LGKLAELLTDDLSVMRANLQHEMQAVQVDCINRVEELTAAVERLLFRFTHHIRDCQAL GDEVDRLKDTLHQTKAHLHRTDAEMSQHSSRLAAVDQLATRVVSLNDKHMAALAEVEA RIAHQSKWMEMQLQEAGEMHDRRWDSVETTRIRHDTLVHSVIHDLISLRDQVGDVAAL RQMLQRHMDRAATEAQHGTRDYHALESRVASLSAALDTSRTQHQYAHETLETTTSLRL DALAGALELALRAVLASTTAPISTTTTPVAKTKRVDVV H257_02559 MEFQTLASLVRSLKEESCRHLQLETETQTEMEYLNAQATSTRKG LGKLAELLTDDLSVMRANLQHEMQAVQVDCINRVEELTAAVERLLFRFTHHIRDCQAL GDEVDRLKDTLHQTKAHLHRTDAEMSQHSSRLAAVDQLATRVVSLNDKHMAALAEVEA RIAHQSKWMEMQLQEAGEMHDRRWDSVETTRIRHDTLVHSVIHDLISLRDQVGDVAAL RQMLQRHMDRAATEAQHGTRDYHALESRVASLSAALDTSRTQHQYAHETLETTTSLRL DALAGALELALRAVLASTTAPISTTTTPVAKTKRVDVV H257_02560 MWRGSRRGVAALAHAKKESMHVVQRRSISLDAMRSSLATFPHTV IDDQLDIKALTNVSTIVSAFKPSPLPSDDNFLRWVDERVPVSDKTLTKEEFDATVADA IATISTLEASAWHDTAISEIDVAGSDDNSIQKRVAYLLLKYKCKDAARYFALLDKDNQ GVVSSGKLRDLLLAYAQATPDDWLAHNFNVLDTNGDGLVSEAEMHQLLLNILGVYKSV LKDLLEHHTKHWTAKHSKQLPKLLVEFETTLKVSEKIRCTWHFAGVPPIPEEDKFKDP PPVPPRFTMSIDELRTSLAQEFEEFHSMLPQYAQAVMDGRREFYDARNSKRTNYLRGV SFVTFCGVVDYLITIYA H257_02561 MATDSLFSYKFSDYVQGAQSQHDVAAKICKQLSLDPNMTVQALV AQHLEGLAVPPKQATIPTQTISHAQYLQRGHVVHSIVHEIVSRHLLHDANPVVDLFSI DAFVARVSSLKDAFPPSWLHALAIKANPLSGILLAAKPLGVGLETASIAEATHALNLG FAPRCVIVDSPCKTTDDLKALLQLGCYLNLDNVDEIHKVHSLLAGTVPDALLGLRINP VVGGGAIAASSTATATSKFGVPWTAATDADLIALYRDHAWLQGVHVHVGSQGCALSLL VAGAQRAVAFAKQINQALGRHQIQVVDIGGGVPTVYNGMAEEAVEFADYAALLQVSVP ELFSGEFRVMTEFGRSVFAKAGITLSRVETVKRMNGQNIAVVHCGANQFLRPVYLPTT WPHVFSVFDAHGVLKTGNLVPQDIAGPLCFSGDVLSRDVHLPQIEAGDYLVMHDTGAY NMAMYSKFNSIQAPAVYAYRNHGKLMESALTTLITSACARKQWDSVESGPHEGNGGGN AGVLGAHGAAVVVVTRRYLCPFKITFRAPLDATNYGIK H257_02561 MATDSLFSYKFSDYVQGAQSQHDVAAKICKQLSLDPNMTVQALV AQHLEGLAVPPKQATIPTQTISHAQYLQRGHVVHSIVHEIVSRHLLHDANPVVDLFSI DAFVARVSSLKDAFPPSWLHALAIKANPLSGILLAAKPLGVGLETASIAEATHALNLG FAPRCVIVDSPCKTTDDLKALLQLGCYLNLDNVDEIHKVHSLLAGTVPDALLGLRINP VVGGGAIAASSTATATSKFGVPWTAATDADLIALYRDHAWLQGVHVHVGSQGCALSLL VAGAQRAVAFAKQINQALGRHQIQVVDIGGGVPTVYNGMAEEAVEFADYAALLQVSVP ELFSGEFRVMTEFGRSVFAKAGITLSRVETVKRMNGQNIAVVHCGANQFLRPVYLPTT WPHVFSVFDAHGVLKTGNLVPQDIAGPLCFSGDVLSRDVHLPQIEAGDYLVMHDTGAY NMAMYSKFNSIQAPAVYAYRNHGNNGIQLSLVRTRETVAETLAFWGPTEPLSWS H257_02561 MATDSLFSYKFSDYVQGAQSQHDVAAKICKQLSLDPNMTVQALV AQHLEGLAVPPKQATIPTQTISHAQYLQRGHVVHSIVHEIVSRHLLHDANPVVDLFSI DAFVARVSSLKDAFPPSWLHALAIKANPLSGILLAAKPLGVGLETASIAEATHALNLG FAPRCVIVDSPCKTTDDLKALLQLGCYLNLDNVDEIHKVHSLLAGTVPDALLGLRINP VVGGGAIAASSTATATSKFGVPWTAATDADLIALYRDHAWLQGVHVHVGSQGCALSLL VAGAQRAVAFAKQINQALGRHQIQVVDIGGGVPTVYNGMAEEAVEFADYAALLQVSVP ELFSGEFRVMTEFGRSVFAKAGITLSRVETVKRMNGNTFIYRG H257_02561 MATDSLFSYKFSDYVQGAQSQHDVAAKICKQLSLDPNMTVQALV AQHLEGLAVPPKQATIPTQTISHAQYLQRGHVVHSIVHEIVSRHLLHDANPVVDLFSI DAFVARVSSLKDAFPPSWLHALAIKANPLSGILLAAKPLGVGLETASIAEATHALNLG FAPRCVIVDSPCKTTDDLKALLQLGCYLNLDNVDEIHKVHSLLAGTVPDALLGLRINP VVGGGAIAASSTATATSKFGVPWTAATDADLIALYRDHAWLQGVHVHVGSQGCALSLL VAGAQRAVAFAKQINQALGRHQIQVVDIGGGVPTVYNGMAEEAVEFADYAALLQVSVP ELFSGEFRVMTEFGRSVFAKAGITLSRVETVKRMNGNTFIYRG H257_02562 MAVKQQSQQSAASPSASGQQREARQKNMAPSNGPQHPAGGASSP QGQGGRVGCLQLIDNRKWHYVVMGLLFIDFFGNAVGVGFLSQVTYHTWALKMRLASAG CCAIYAIDMLLRLVAVRSALCRSPSSLMDVFALVLVGGAAAARFVKADSPAKVVITQK GWLDDEAPIPRHPKYVSNQIEIYIASAYCLLIAVRIILKPRARVFSKKLHNHANSDHL RISMDSLRSSLKRIPNISEAAIEMMETDLVMICGRNDGEMSRSELMQFLERALTYRPK EISASVFLSHLRDIDAQSSLFIYGASDVVTSTLRHWSNQRLALSCTVLVVLINASFVP LVAYFMSKLGDEAFPQQVIKVAITNRTQGYTIRTDIRYQNLTTDASGVDIELPYIMPD KALKLGVWGILLICVPFAIVDFLMGYFQSTMIAKATERLQASLLKTILAQPTLFFSQR TEGDLNNLFQSDVARVNALWQAVFWNLMHPIVSIMSGFSFLIYFEPSVGMVCLGFAAM IVSSGPQGYASKKSKDFGSKNAYVSAEFQNAIACQKVVRAYSIQDKLLAKFDKTTQTL KQSQFLKDFWAGVVQIYVDSAMFFFVAVMTAALATKVYRADITAGDFFSAVTLMSRIS TPVTVLGGFMRVAIGNASSLQRLDEIVREERNINDPKQDQAKKLPQVPRMTQALRIDR LSFQYDSTVDHWDLEDVNATFPIGHYVCIVGPSGCGKSTLLGCLMQFYEPSDGVIAVD DHNLHAYSKSSYMGQIAVVFQDGGILNGSILDNIRFGNALATDDECKHAAELAECGAF VHALKDGYDTIVGQHATANLSGGQTQRICLARALVRKPSILLLDEATSALDAETEASI VDCLHALAKKLHMTIISVTHRLSTTRSADSILVMQSGRIIDRGTYHELLARPMSFFAE LVHKTTTTQRKNDTSFNAIPSFTIANHDEDDQGRNTEEALQLFTHRLRTRTDSANGHS FRKNARKPSAADAYIIL H257_02562 MMETDLVMICGRNDGEMSRSELMQFLERALTYRPKEISASVFLS HLRDIDAQSSLFIYGASDVVTSTLRHWSNQRLALSCTVLVVLINASFVPLVAYFMSKL GDEAFPQQVIKVAITNRTQGYTIRTDIRYQNLTTDASGVDIELPYIMPDKALKLGVWG ILLICVPFAIVDFLMGYFQSTMIAKATERLQASLLKTILAQPTLFFSQRTEGDLNNLF QSDVARVNALWQAVFWNLMHPIVSIMSGFSFLIYFEPSVGMVCLGFAAMIVSSGPQGY ASKKSKDFGSKNAYVSAEFQNAIACQKVVRAYSIQDKLLAKFDKTTQTLKQSQFLKDF WAGVVQIYVDSAMFFFVAVMTAALATKVYRADITAGDFFSAVTLMSRISTPVTVLGGF MRVAIGNASSLQRLDEIVREERNINDPKQDQAKKLPQVPRMTQALRIDRLSFQYDSTV DHWDLEDVNATFPIGHYVCIVGPSGCGKSTLLGCLMQFYEPSDGVIAVDDHNLHAYSK SSYMGQIAVVFQDGGILNGSILDNIRFGNALATDDECKHAAELAECGAFVHALKDGYD TIVGQHATANLSGGQTQRICLARALVRKPSILLLDEATSALDAETEASIVDCLHALAK KLHMTIISVTHRLSTTRSADSILVMQSGRIIDRGTYHELLARPMSFFAELVHKTTTTQ RKNDTSFNAIPSFTIANHDEDDQGRNTEEALQLFTHRLRTRTDSANGHSFRKNARKPS AADAYIIL H257_02563 MIVAALRAVARFSAAIGGDGLLFVLDKELAHATCQSIAMIHSVE ELMVILANFPPSFVVVLKTEPTDSTWTQVWEALQANSHVPSLWLDTTTTSETALNVLA RHFHLHTPEDLPLDVQQFIVRPLQSPLLVWGPTVAAEVMQFNDDVASVPLQLALVVLR LTQFDPQVFLRYLPVLTKKLPRLTTARHFLSLMTELQQVQLWSPTDPSIAAFFNQMPT LHQPTSTNAMLFRSGPPKAIVPATLSTLSKSRVWATQQAFYKAQGMAAWSSNTVPYGV SSSMFLAAAYARVVVRFFIDCYRQHLLSPTDTVNCVVLEGGSGSCKFAAAFIPAVMAL LEDAGLQHVIRPCVVLTDLCDDVVSSRLAHPSFQSLQRQFPNAVDFAVMSCDAVIQDD PIRLRVANATLDLSTTPLFVVGNYFFDSLPTDAFVVDGDGAVCEVCTDDESADTFTLS HDVADTTQYYQDNDDSKCLNQALTALIQHIQAAYPRRKCLLLFPVDAFRFVLALRRRS SANSPFGMLVGDATVHFSDILTDIPELSPHADCFCLPVDFDVISRFLDIAFASTCKVQ VSTTTPVFSDTFQVLYATLFPLQEASSTCLTWQWSHECFAEELTGFGANDCDLILGAL EDSRGFSTLPSQVAFLALSNYDFDVFLVFKWHMVAAARHLSPTDPLRYRFVHAGVRSY KNRYSLTVQEDLNLQLSMARWFYALQAFQEAAGVLKAMMPSQDIRALYLLGLVCLKVG ATDKARLLFESCLHHKPRAKFVTRLTTAMMTITTTY H257_02564 MVKGAQTKSGMAPPMPPSLSTPGLYSLLKLADSGGWHYVVMVVL FADFFTNAVAVAFLSVTTYVKYASLCRLVSLGCSGVYALDMLVRLVGIRTALFRSPAT LMDFVGCGCMGGMLALRYIKADNLAAVIITRVGYYDEKAGAGNQKFPKFGSNQVEIYF ASGYCVLVSARIAFKPVARAFSKTLYEQPSFDLLRFSMASLRATLRRIPSITEAAVDL MEHDLSVTCGRTDGDLSRAELMQFLEKAVVHRPRHMSASAFLSHLRDIDAQSSRFVYG ALDVVTSTLRHWSNQRLALFCTVLVVLANASFVPVVAYFISLLGDEAFPQQAGHVAIN DAVKGLYLRSQVRYKNVTTDPYTGSDTALPYITPEWSLHAGVVGIVVVCVPFAIVDFL MGYFQSTMIAKATERLQASLLKTILAQPTLFFSQRTEGDLNNLFQSDVARVNALWQAV FWNLMHPLIAIVAGFAFLIYFEPSVGMVSLGFSIMVVTSGPQGHASLKSKDFGSKNAY TSADFQNAIACQQVVRAYGIQSPVLTKFHATTTTLRKAQFLKDFWAGVVQIYVDSAMF FFVAVMTAGLALKVFRADITAGAFFSAVTLMSRISTPVTVLGGFMRVAIGNASSLQRL DEIVLCDKMPERRDATPTLPSLPRMQRALQVDRLSFQYDTTTSHFDLQQVSATFPLGH YVCIVGPSGCGKSTLLGCLMQFYEPSDGVIAVDDHNLHAYSKSSYMGQIAVVFQDGGI LNGSILDNIRFGNALATDDECKHAAELAECGAFVHALKDGYDTIVGQHATANLSGGQT QRICLARALVRKPSILLLDEATSALDAETEASIVDCLHALAKKLHMTIISVTHRLSTT RSADSILVMQSGRVVDQGTHEELLGRGLLAELADIPIVPSTSNSSFASSSILCGSQDR RRHHADDEDPNARSTQRALELFTDALRTRTESQELTRSSLHRKASRGVADRSLRHMES SGNYIVL H257_02564 MVKGAQTKSGMAPPMPPSLSTPGLYSLLKLADSGGWHYVVMVVL FADFFTNAVAVAFLSVTTYVKYASLCRLVSLGCSGVYALDMLVRLVGIRTALFRSPAT LMDFVGCGCMGGMLALRYIKADNLAAVIITRVGYYDEKAGAGNQKFPKFGSNQVEIYF ASGYCVLVSARIAFKPVARAFSKTLYEQPSFDLLRFSMASLRATLRRIPSITEAAVDL MEHDLSVTCGRTDGDLSRAELMQFLEKAVVHRPRHMSASAFLSHLRDIDAQSSRFVYG ALDVVTSTLRHWSNQRLALFCTVLVVLANASFVPVVAYFISLLGDEAFPQQAGHVAIN DAVKGLYLRSQVRYKNVTTDPYTGSDTALPYITPEWSLHAGVVGIVVVCVPFAIVDFL MGYFQSTMIAKATERLQASLLKTILAQPTLFFSQRTEGDLNNLFQSDVARVNALWQAV FWNLMHPLIAIVAGFAFLIYFEPSVGMVSLGFSIMVVTSGPQGHASLKSKDFGSKNAY TSADFQNAIACQQVVRAYGIQSPVLTKFHATTTTLRKAQFLKDFWAGVVQIYVDSAMF FFVAVMTAGLALKVFRADITAGAFFSAVTLMSRISTPVTVLGGFMRVAIGNASSLQRL DEIVLCDKMPERRDATPTLPSLPRMQRALQVDRLSFQYDTTTSHFDLQQVSATFPLGH YVCIVGPSGCGKSTLLGCLMQFYEPSDGVIAVDDHNLHAYSKSSYMGQIAVVFQDGGI LNGSILDNIRYIYRLD H257_02564 MVKGAQTKSGMAPPMPPSLSTPGLYSLLKLADSGGWHYVVMVVL FADFFTNAVAVAFLSVTTYVKYASLCRLVSLGCSGVYALDMLVRLVGIRTALFRSPAT LMDFVGCGCMGGMLALRYIKADNLAAVIITRVGYYDEKAGAGNQKFPKFGSNQVEIYF ASGYCVLVSARIAFKPVARAFSKTLYEQPSFDLLRFSMASLRATLRRIPSITEAAVDL MEHDLSVTCGRTDGDLSRAELMQFLEKAVVHRPRHMSASAFLSHLRDIDAQSSRFVYG ALDVVTSTLRHWSNQRLALFCTVLVVLANASFVPVVAYFISLLGDEAFPQQAGHVAIN DAVKGLYLRSQVRYKNVTTDPYTGSDTALPYITPEWSLHAGVVGIVVVCVPFAIVDFL MGYFQSTMIAKATERLQASLLKTILAQPTLFFSQRTEGDLNNLFQSDVARVNALWQAV FWNLMHPLIAIVAGFAFLIYFEPSVGMVSLGFSIMVVTSGPQGHASLKSKDFGSKNAY TSADFQNAIACQQVVRAYGIQSPVLTKFHATTTTLRKAQFLKDFWAGVVQIYVDSAMF FFVAVMTAGLALKVFRADITAGAFFSAVTLMSRISTPVTVLGGFMRVAIGNASSLQRL DEIVLCDKMPERRDATPTLPSLPRMQRALQVDRLSFQYDTTTSHFDLQQVSATFPLVY TNGLPNHPRYNNHKLSLYEHVCNHTDCM H257_02564 MASLRATLRRIPSITEAAVDLMEHDLSVTCGRTDGDLSRAELMQ FLEKAVVHRPRHMSASAFLSHLRDIDAQSSRFVYGALDVVTSTLRHWSNQRLALFCTV LVVLANASFVPVVAYFISLLGDEAFPQQAGHVAINDAVKGLYLRSQVRYKNVTTDPYT GSDTALPYITPEWSLHAGVVGIVVVCVPFAIVDFLMGYFQSTMIAKATERLQASLLKT ILAQPTLFFSQRTEGDLNNLFQSDVARVNALWQAVFWNLMHPLIAIVAGFAFLIYFEP SVGMVSLGFSIMVVTSGPQGHASLKSKDFGSKNAYTSADFQNAIACQQVVRAYGIQSP VLTKFHATTTTLRKAQFLKDFWAGVVQIYVDSAMFFFVAVMTAGLALKVFRADITAGA FFSAVTLMSRISTPVTVLGGFMRVAIGNASSLQRLDEIVLCDKMPERRDATPTLPSLP RMQRALQVDRLSFQYDTTTSHFDLQQVSATFPLGHYVCIVGPSGCGKSTLLGCLMQFY EPSDGVIAVDDHNLHAYSKSSYMGQIAVVFQDGGILNGSILDNIRFGNALATDDECKH AAELAECGAFVHALKDGYDTIVGQHATANLSGGQTQRICLARALVRKPSILLLDEATS ALDAETEASIVDCLHALAKKLHMTIISVTHRLSTTRSADSILVMQSGRVVDQGTHEEL LGRGLLAELADIPIVPSTSNSSFASSSILCGSQDRRRHHADDEDPNARSTQRALELFT DALRTRTESQELTRSSLHRKASRGVADRSLRHMESSGNYIVL H257_02565 MEVAGSTPRQRRDSQSTAPYQATVDGANTTMKDTPAPNVSCGLL KMLDHWVWHYTVLLVHFGDYLVNSTAIAFLSADYTYVEWGETLRLLSMGCSGVYAIDV LLRMAGLRTRLCRSCASMSDVVALLCVGGALAARFVYADNVEEKRVVITEYLDKDQPL LPGETHVFPKYRSNQIEIYFAAAYCVVLAVRIVLKPMVRVYSKSLQPTSAADTLLISM DSLRSALRRIPNIAAASVEVMEHDLVIICGRTDGDMTNDELMVFLEKAVAHRPADMTA SAFLSHLRDLDAQSALRVYGALDVVSSTLRHWSNQRVALASTVLIVLVHASITPMLAY FMGLLGDEAFPNRVNKIFKQGYRNGTMQTWVETQVMAKNKFVFPNGTEGTFTYFVPAS SLAVGVCGILLICVPFAIVDFLMGYFQSTMIANATLKVQGQLLKTILAQPTLFFSQRT EGDLNNLFQSDVARVNALWQAVFWNLMHPIVSVVAGFAFLIYFEPSVGMASLGFAAVL ISTGPQGHASLKSKEFGSKNAFVAAEFQNAVQCHNVVRAYDIQPSLLAKFASSTAMLG QAQFLKDFWSGIVQIYVDSAMYVFVAIMTAGMATKVYKGDMTSGDFFSAVTLLGRVST PVTVLGGFMRVAIGNASSLQRLDEIVYDKCDVDDGDTDRLPRVPRMQQGLRIDRLSFQ YDPTSDVWNLQDVNATFPIGHYVCIVGPSGCGKSTLLGCLMQFYEPSDGVIAVDDHNL HAYSKSSYMGQIAVVFQDGGILNGSILDNIRFGNALATDDECKHAAELAECGAFVHAL KDGYDTIVGQHATANLSGGQTQRICLARALVRKPSILLLDEATSALDAETEASIVDCL HALAKKLHMTIISVTHRLSTTRSADSILVMQSGRIIDRGTYHELLARPMGFFAEVMNK PEEAAGNKCRESAATFNYGSQFFDMEDDTAVATNSQRALELFADDLRTRADSRDVLGG MKSRKNSSILSETTSDKAAPSQRQDSSENYVVL H257_02565 MEVAGSTPRQRRDSQSTAPYQATVDGANTTMKDTPAPNVSCGLL KMLDHWVWHYTVLLVHFGDYLVNSTAIAFLSADYTYVEWGETLRLLSMGCSGVYAIDV LLRMAGLRTRLCRSCASMSDVVALLCVGGALAARFVYADNVEEKRVVITEYLDKDQPL LPGETHVFPKYRSNQIEIYFAAAYCVVLAVRIVLKPMVRVYSKSLQPTSAADTLLISM DSLRSALRRIPNIAAASVEVMEHDLVIICGRTDGDMTNDELMVFLEKAVAHRPADMTA SAFLSHLRDLDAQSALRVYGALDVVSSTLRHWSNQRVALASTVLIVLVHASITPMLAY FMGLLGDEAFPNRVNKIFKQGYRNGTMQTWVETQVMAKNKFVFPNGTEGTFTYFVPAS SLAVGVCGILLICVPFAIVDFLMGYFQSTMIANATLKVQGQLLKTILAQPTLFFSQRT EGDLNNLFQSDVARVNALWQAVFWNLMHPIVSVVAGFAFLIYFEPSVGMASLGFAAVL ISTGPQGHASLKSKEFGSKNAFVAAEFQNAVQCHNVVRAYDIQPSLLAKFASSTAMLG QAQFLKDFWSGIVQIYVDSAMYVFVAIMTAGMATKVYKGDMTSGDFFSAVTLLGRVST PVTVLGGFMRVAIGNASSLQRLDEIVYDKCDVDDGDTDRLPRVPRMQQGLRIDRLSFQ YDPTSDVWNLQDVNATFPIGHYVCIVGPSGCGKSTLLGCLMQFYEPSDGVIAVDDHNL HAYSKSSYMGQIAVVFQDGGILNGSILDNIRFGNALATDDECKHAAELAECGAFVHAL KDGYDTIVGQHATANLSGGQTQRICLARALVRKPSILLLDEATSALDAETEASIVDCL HALAKKLHMTIISVTHRLSTTRSADSILVMQSGRIIDRGTYHELLARPMGFFAEVMNK PEEAAGNKCRESAATFNYGSQFFDMEDDTAVATNSQRALELFADDLRTRAVYIYIRTW PSLLGARFKAMSV H257_02565 MEVAGSTPRQRRDSQSTAPYQATVDGANTTMKDTPAPNVSCGLL KMLDHWVWHYTVLLVHFGDYLVNSTAIAFLSADYTYVEWGETLRLLSMGCSGVYAIDV LLRMAGLRTRLCRSCASMSDVVALLCVGGALAARFVYADNVEEKRVVITEYLDKDQPL LPGETHVFPKYRSNQIEIYFAAAYCVVLAVRIVLKPMVRVYSKSLQPTSAADTLLISM DSLRSALRRIPNIAAASVEVMEHDLVIICGRTDGDMTNDELMVFLEKAVAHRPADMTA SAFLSHLRDLDAQSALRVYGALDVVSSTLRHWSNQRVALASTVLIVLVHASITPMLAY FMGLLGDEAFPNRVNKIFKQGYRNGTMQTWVETQVMAKNKFVFPNGTEGTFTYFVPAS SLAVGVCGILLICVPFAIVDFLMGYFQSTMIANATLKVQGQLLKTILAQPTLFFSQRT EGDLNNLFQSDVARVNALWQAVFWNLMHPIVSVVAGFAFLIYFEPSVGMASLGFAAVL ISTGPQGHASLKSKEFGSKNAFVAAEFQNAVQCHNVVRAYDIQPSLLAKFASSTAMLG QAQFLKDFWSGIVQIYVDSAMYVFVAIMTAGMATKVYKGDMTSGDFFSAVTLLGRVST PVTVLGGFMRVAIGNASSLQRLDEIVYDKCDVDDGDTDRLPRVPRMQQGLRIDRLSFQ YDPTSDVWNLQDVNATFPIGHYVCIVGPSGCGKSTLLGCLMQFYEPSDGVIAVDDHNL HAYSKSSYMGQIAVVFQDGGILNGSILDNIRYIYRLD H257_02565 MEHDLVIICGRTDGDMTNDELMVFLEKAVAHRPADMTASAFLSH LRDLDAQSALRVYGALDVVSSTLRHWSNQRVALASTVLIVLVHASITPMLAYFMGLLG DEAFPNRVNKIFKQGYRNGTMQTWVETQVMAKNKFVFPNGTEGTFTYFVPASSLAVGV CGILLICVPFAIVDFLMGYFQSTMIANATLKVQGQLLKTILAQPTLFFSQRTEGDLNN LFQSDVARVNALWQAVFWNLMHPIVSVVAGFAFLIYFEPSVGMASLGFAAVLISTGPQ GHASLKSKEFGSKNAFVAAEFQNAVQCHNVVRAYDIQPSLLAKFASSTAMLGQAQFLK DFWSGIVQIYVDSAMYVFVAIMTAGMATKVYKGDMTSGDFFSAVTLLGRVSTPVTVLG GFMRVAIGNASSLQRLDEIVYDKCDVDDGDTDRLPRVPRMQQGLRIDRLSFQYDPTSD VWNLQDVNATFPIGHYVCIVGPSGCGKSTLLGCLMQFYEPSDGVIAVDDHNLHAYSKS SYMGQIAVVFQDGGILNGSILDNIRFGNALATDDECKHAAELAECGAFVHALKDGYDT IVGQHATANLSGGQTQRICLARALVRKPSILLLDEATSALDAETEASIVDCLHALAKK LHMTIISVTHRLSTTRSADSILVMQSGRIIDRGTYHELLARPMGFFAEVMNKPEEAAG NKCRESAATFNYGSQFFDMEDDTAVATNSQRALELFADDLRTRADSRDVLGGMKSRKN SSILSETTSDKAAPSQRQDSSENYVVL H257_02566 MWAMQVSVLLQPRLPDPGMVYASTRMHTSRRCTIAYTYSIACRN TIPTSCSQHTSFSTHVSCIRRRCRKQCSTSRGRLGGQRAFEEEQKEEEEESKATSRLV WVIIPRRKLLQQRRRASNCDVSFDTDPMRVQCQRHQGRLDSPGHCFTEIQEKEWQSHQ PDDHHLEATKPESSWKQQQIQDGAGENQVQVHVRQEGRVVGDGERAGIRAVSGWRRGR AGPGHMGPRSWKGHPGRHVWSCGRCGEPQGTRSEPTPSHPIAFEPSPPQERSTCANRP PASLERTRAKRSAHAGGKQPAAFPPRPQPQPAQARTPPSAAPFVCVFTHVNLSTVSFE YDIYRVLVFHIIHMMAFSPNDVACSVGRLRRARVCKSVPGASHGIRPHPVVPR H257_02567 MDVAALADLLPTDALVIVGISWLLFFLFAYAFFSMWLFQDYEVR DTGVFSRFLFCVTFMLSFSIFEVLIFELADILRPATRQLIWQIDLVGLAFLIAYMIPV MQFYTMAREQRLKRHQAIVVTIVLEVSFLYAFWKSGEYVPSLPLPPTSSTGAAEDTSY WVGLFSLEGFISRVGFLGVNFMAILSGFGAVNMPYESMTIFWRSVAEEDILGLERRVR QNLDMIVIKKKRIAYEVHAARRTDTGQRSSLNPILGKLWSAMFHKQNDTESYVQNLQG EVSVLETLGKELFLELHAMRELQHRAVEKRTLKGRVFNWIGMAFCGFCVYKMIMSTVN VVFRRDRDTDPITNVVVKLLYLWPSLHSWINVRFMANVSSLVFVGILVFTQTRGFLLL VLKCFRFLSSSVSSNSVVLLLANLMGMYFVSSFVMMRMNLQADHKYKYIYILYKKYIR FSYELMISFSRRHIDAVLGPIDYYGFASWFDVLFVVSATVSIGLLGLLNYSKLSRTAQ DSFSAFDKYP H257_02567 MDVAALADLLPTDALVIVGISWLLFFLFAYAFFSMWLFQDYEVR DTGVFSRFLFCVTFMLSFSIFEVLIFELADILRPATRQLIWQIDLVGLAFLIAYMIPV MQFYTMAREQRLKRHQAIVVTIVLEVSFLYAFWKSGEYVPSLPLPPTSSTGAAEDTSY WVGLFSLEGFISRVGFLGVNFMAILSGFGAVNMPYESMTIFWRSVAEEDILGLERRVR QNLDMIVIKKKRIAYEVHAARRTDTGQRSSLNPILGKLWSAMFHKQNDTESYVQNLQG EVSVLETLGKELFLELHAMRELQHRAVEKRTLKGRVFNWIGMAFCGFCVYKMIMSTVN VVFRRDRDTDPITNVVVKLLYLWPSLHSWINVRFMANVSSLVFVGILVFTQTRGFLLL VLKCFRFLSSSVSSNSVVLLLANLMGMYFVSSFVMMRMNLQADHKRHIDAVLGPIDYY GFASWFDVLFVVSATVSIGLLGLLNYSKLSRTAQDSFSAFDKYP H257_02568 MDSLTDHRRRSDDTAVAIINAKWLTSTIRPIQEPTNEAGVHENS PGGYTCPSSVASDGSNEAGAPPHAVKSEEAPGFHPIDVDQEMEAPSFQLLNFAAHVAA PVPLFDQAIPADRSGDMVEPTSMPGDSQGAPPSFSLLSSLRTGLPPSDYSLPRPAEFQ EDRGVVWVDDGGGAPRRQLMHPTASLDSDHCSSTLQRDRMPSHSSQSSSTHSDLAMRQ AGLDDSSDEEEIKPRKQLRGNRVSIQSAEWTCKWCTYANEGDGASNECDCCGQAKGSS LGLPRTTHTTSKATTKTRSTRPINMDENESNIYPSGNEQGGTGAGWLCNMCTYENCTN PVRCELCDTPKGSNMPSQSEIREFNHFDDLDDDDDFEVYASDATNNPPDVVDLTAASD GNRQPLYDNQSDSIEEISDTERRPRSPTSSWHTTTELREFTSFTPVSLLRHQADSIDY LNMFGANRSYSDRLQTRVSESRRRQAAQARGGGGGGQPKSSGKRKKGAKKGRKSPAKA PKEYASNRSSVPQFQRASAAGKRRAMPPFQKASAATSSSSSTSGAPRLVNANLQPKAR TNSMRVVAEEGDFGAFRSARHHAADSSRLAAAAWEGQGSMRYEDD H257_02568 MDSLTDHRRRSDDTAVAIINAKWLTSTIRPIQEPTNEAGVHENS PGGYTCPSSVASDGSNEAGAPPHAVKSEEAPGFHPIDVDQEMEAPSFQLLNFAAHVAA PVPLFDQAIPADRSGDMVEPTSMPGDSQGAPPSFSLLSSLRTGLPPSDYSLPRPAEFQ EDRGVVWVDDGGGAPRRQLMHPTASLDSDHCSSTLQRDRMPSHSSQSSSTHSDLAMRQ AGLDDSSDEEEIKPRKQLRGNRVSIQSAEWTCKWCTYANEGDGASNECDCCGQAKGSS LGLPRTTHTTSKATTKTRSTRPINMDENESNIYPSGNEQGGTGAGWLCNMCTYENCTN PVRCELCDTPKGSNMPSQSEIREFNHFDDLDDDDDFEVYASDATNNPPDVVDLTAASD GNRQPLYDNQSDSIEEISDTERRPRSPTSSWHTTTELREFTSFTPVSLLRHQADSIDY LNMFGANRGGRSYSDRLQTRVSESRRRQAAQARGGGGGGQPKSSGKRKKGAKKGRKSP AKAPKEYASNRSSVPQFQRASAAGKRRAMPPFQKASAATSSSSSTSGAPRLVNANLQP KARTNSMRVVAEEGDFGAFRSARHHAADSSRLAAAAWEGQGSMRYEDD H257_02569 MVDMGFVLSMLDPGVFIPSPTRSSKASFVIVVGMAWDNWLELRP RFGFNGRLSRSSSSLESRSTSCELACDDRRSRMRFLVGCVDGTVWDKRSGDTSLSVRH AAHVFRLYVFSNVHT H257_02570 MASTNLTLDEAKAYLKEERGGVNLYDHLSEVLLKLLVERPIDAT TMFEHLSCSVRQERFNRGEPPNNGEITAEAEAKSAQEAWSKSAIGLLKIQTEDGEIAQ DTPSGVSDLLDEANMFEWAGIGFSKAETFRLSLALQKLASLNGTTKLRFWGKLLGTTA DFYVAEGELPEPYEPEDAAAEEGANGLNKNTYWVLKDDGSYQWTKLPPVRRDQIVAAR ALRRFIHDDLEGKVHGHPPFPGTEKNFIRAQIARINAGTVLCPAGFFIVSEEGELEVP EEAPEPKTAAELGDPSNWVHYTKEINEKYGRSTPLPPNTNDDGEEVPWEGEEFAEPLR AISEDKPGSWRVDRLPSTTSAAVGELAIARSLTWPGAVSIGVGKKFLNVYVGYGLKAK FGVDHQIQLPRKLATDFGVAVEGDTNVLKFTNLVEQPDVLVDPSPPEEGAEE H257_02570 MFEWAGIGFSKAETFRLSLALQKLASLNGTTKLRFWGKLLGTTA DFYVAEGELPEPYEPEDAAAEEGANGLNKNTYWVLKDDGSYQWTKLPPVRRDQIVAAR ALRRFIHDDLEGKVHGHPPFPGTEKNFIRAQIARINAGTVLCPAGFFIVSEEGELEVP EEAPEPKTAAELGDPSNWVHYTKEINEKYGRSTPLPPNTNDDGEEVPWEGEEFAEPLR AISEDKPGSWRVDRLPSTTSAAVGELAIARSLTWPGAVSIGVGKKFLNVYVGYGLKAK FGVDHQIQLPRKLATDFGVAVEGDTNVLKFTNLVEQPDVLVDPSPPEEGAEE H257_02570 MFEWAGIGFSKAETFRLSLALQKLASLNGTTKLRFWGKLLGTTA DFYVAEGELPEPYEPEDAAAEEGANGLNKNTYWVLKDDGSYQWTKLPPVRRDQIVAAR ALRRFIHDDLEGKVHGHPPFPGTEKNFIRAQIARINAGTVLCPAGFFIVSEEGELEVP EEAPEPKTAAELGDPSNWVHYTKEINEKYGRSTPLPPNTNDDGEEVPWEGEEFAEPLR AISEDKPGSWRVDRLPSTTSAAVGELAIARSLTWPGAVSIGVGKKFLNVYVGYGLKAK FGVDHQIQLPRKLATDFGVAVEGDTNVLKFTNLVEQPDVLVDPSPPEEGAEE H257_02570 MFEWAGIGFSKAETFRLSLALQKLASLNGTTKLRFWGKLLGTTA DFYVAEGELPEPYEPEDAAAEEGANGLNKNTYWVLKDDGSYQWTKLPPVRRDQIVAAR ALRRFIHDDLEGKVHGHPPFPGTEKNFIRAQIARINAGTVLCPAGFFIVSEEGELEVP EEAPEPKTAAELGDPSNWVHYTKEINEKYGRSTPLPPNTNDDGEEVPWEGEEFAEPLR AISEDKPGSWRVDRLPSTTSAAVGELAIARSLTWPGAVSIGVGKKFLNVYVGYGLKAK FGVDHQIQLPRKLATDFGVAVEGDTNVLKFTNLVEQPDVLVDPSPPEEGAEE H257_02571 MTILPAPRPSAFADSLSSLPPLRRDEIEAYINYVDEAVDNALCL INGLGNIRWQPVKQKADVVISRAADDINSLSNQAAVRTVCTVNASFDEMMDHFITETT EMFRERETALHGSEFLDGAVLHVLHPRDISSEYTSQRFVCIKWHCLKAVATPTKPRDY VYIELVDSFVDDQNVRVGIRLSKSIDLFNFPSFEDSHRFVRAKTFNMHTFHSLDSTNS SSRASILSNGSSSSSPLSSFQVELRSMVLGDPNGRLPAWVVTKMSDLAALRGQSIRDF FEQQRLSTLQWVAPHHYVPASKRSFCGICTRGFSLVRKKYNCQACGEVVCNQCSLVQL IGPKRTKTRVCIGCNIQARSTALHTPNNSSLASRPSSAGSLHSPHNNSITSRQTNHNR GSLSSSIRASDNGSFLHSQSTPDWPHPHPQPSSSNNHPTLHVPVAAYRRSQSEMYDPS HRHGSFGPTTPLSASHHYNRDSNGRISDNQRFTSSTTRTSVASNASTRPSTTTIQDTS TEGDQQLGPPPREGLDLGVSHFKQQSVASSVDVVSLGLLALHDLSIVQQPEATNQPHD LGRVSEALSDMTVRNSEMSERMSELDVDAYDDDQYHRHEGAVVVETTNNSDLSDLSSF MDPSCVHVVHAVSTVPDDEVEEDEEDVDARWAYRPSHMAAEDYLEATRMTLAEIDRGM SSVQASMHVTAVKLEEQEQDQMTRISMEYVAPPTRTTSSSAMDTMPDVENLFVVLKLN AEIDRLQHKMETVQEGTIQLNHMNEAMNEKIQTLEKVESKKTTDPAAAPEQPDQTLLD AMDRINENFKQLQHQMDRVQVTSTKVMDVKLVEGLTHDDPPPPCPPLLAASSSEESSP PPFPESTRGGFVSFLEDSSFTPATSTGADDAHPEGWTSVHSKVSGKMYYYNPSCGKTS WTMPEEDDMANTSNYVVL H257_02572 MTPTPSPSSLAPLSGSRVSLQRTAATRWLRPRSHQRIVIRLPDI RTIHKCTVHVHTCRYDASIMEQPEYAISTEMVFACRQYPHMAFQSSWTTWRSFDEFRV LDYQLRQIPMHKASAPKTTPLDVVVESTAPATSLPLFSWWRKSTPTSTGTKDVVEQHP MVAIAPLPLHRRKRWLFQHKTKSFMVQRQGELEAYLQAVCATSLRLLHFLDIHAPPYM RYFCNFDAGFGTQLHVTVWNPSLGAVEKDKLDVLESHLLDDASRGILLCSTYGCKCHF QSLVEGHRNMQAYLTSKHLQIVPWDLPGIPEDDDSSCDVRTAYLCLVQELYTIEDMEL ELASLERLDKLRHKGAIHRMPATAAAEKLRRYMTNYGILHAEAIASHVGMNVIDVKKA FHVAKKPTQVRVGALALQTLATMLNLTIILVTNDHRHTVRTVVPWKSPPPLVDGPPRH LMWSYLLPTSQYIHGHYRILRSLAPLSSQMQPKLSQQDWMVMDKRFVRSMAHVVENNV ITVVDSEDMTAEVLQQAILDAVWLACEQNPKRFQRFQWTSKQYGTSRMPGATFFAFLE ELFRPTGAAYISDFLVHVLPLPKKRQALVRARWNRFHSELMIKRASQLHLAARRSLSC SFVSSGVDSSNASTASTSPTVV H257_02573 MTRIHQPLVLVAWLLLAVVAQGSRVAIFLDERESADLNSFGSYI SHLQARGHHLAIFDQASSNFTLAKYGVRQYDNVVILAPSLKTLGTNSLQQDALLSFVE QGGNVLVAGSIRVSSMLRQFAAASGVQFDKKGTVVMDHVRHVGDKTDIYHSHLTSRQW TQFPLVVGRPSKPIFFNGVGMSVDPSNVLALTVLAAEPTSYSASPTKRPIDRASSNFG TNVSLVAAIQARNNARVVFSGSVDLFKDAYYSSSYGNGDFVEAVTKWTFGERGVLRVT NVRHTKEDGTPPDAMLRPIDRPDQPLTLYPDAEVARNSLVYRIKDNVTYSFDVHERQD DGTWMPFAADDMQLEFVMLDPYVRKTLAHDDRGHFAVTFATPDVYGIFQFRVMYRRVG YSVLHWTTQVSVRPYKHDEYDRFLPAAYPYYASVFSMMTGVFLFSVLFLYGQD H257_02574 MVSDDADDLGPRTTAPPAQHPAPRYPLHTTPKQQHMLRARRLDA DFLNPERTLHFAHQVDTVVVDTGGSHTQKQSTTRLEHEIQRLIDHAPSLDSFELESVD RQDIMRHLPPPNTTGRYDASQLVPVDAPVPVKPRQVRKQVSEAWKKAHAVAPSIELHP EVNYDLESCIVTPLVATDDPFFLLGPSAPTISNDPPRYLNESVVYFSST H257_02575 MTSHGHMARRGSHIVPTTNPGSHSRKNSTNAAPRIKKRTSSIIP SDDLTTLPLLELPASIHRSDASSPPNGGARSTWITPRLNDELLKNNATDGVLGKTFGT LSLEKSLELLQKILTEGRSPPKDHQIRFTTPPVIASSPMPPPPRLGETERKASVQAIS APQRIEELYDTITILRQELDMERAQNRANDSGTTGLTAYSMDTGGDSYYSALGRNAEL HIRAKKFESAANSMREDLEASKLDQKKTLDHVNSREEKLRNVIKKNKCLMAEYEVLKD QYVEEKVKSVEVYRTMTLDKKRHDAVREEMEATQAHLHKQHAELETKIDAMATAHAAE VKGLQAALETTQAERDRLVLCVAESRHRFRAWKDREAKSDLATREQAKEGLQLEHAIR MEKFQNEIRLLREKVTQLEQSNQMLKREPHLSPLELTQRKHQLLNTITTQEADLIGMT TRVQELETMLAFAKSQQEQQGAMLRTAQEAMANMLHDREVQALEHLSWNATPPRTPST APMSPTSHLVSRGSVFPMKSPTSPASQLTPRAPSSAPSPRVQQSKRKGQYRKADSPQP LSPAPPQSITPTLSSTPPSSAPSEQNLTVSSWKQDVRGLTEQVEEYKAMIVSLSSEID KLKTERKKVAVQKQTDLQHQHQAELDATKRQLAQAKINEQELSNALEKYRLHEFNKAA QLIQIKTRGAVARYKLKAKLKATRILQAQLRGFAARKRTNLCRPSAISVRDERRMQSA PTKVTEDQDVYVEYLSVPPCVKVEMWYNGQLLTKYIPNRSLPLYVTNGAKMLDKARDE LQVALANLVEYDDTSHMLVLPLLPPEDLVAKAQDEAAEKIQARVKGFIVRRELSDERE RRRIAAETIQSHAKGYFARKTYGRKAEAVVQIQAQAKGYIIRRRYRRQKEALEKIQAT AKGSLERKAYEHKRASIAKIQAQSKGYIARRTYGAKKEAAVRIQATAKGRMRRQSYLD YQEKSKAAKSIQARTKGFLARKEITEKQDSAIKIQSHFKGHSIRRDRRRKAESATRIQ SARRAYIEKQAFIVKRQAICKIQAGIKGFYDRQRICIMPRRQRLNELDETRADHRFRR NVESNLVEFRIHCLDNPPCVKIEALLHKHVYSTFVKYQDINNFVGFGMELYHENPETL AKTIEPLLSLVPSDGPHGYRVVIKKTWDMMDSVRKLSLTLDQKNDLREFARAHVMAAK AAAEIGLLSAQLREELYVPEVIGMAKFDVEPAEDIGTPVKAKSGIGEQPQPPTISPSN VAVQLDGSPEPTSNASVAGSVLDVPPSTEYTEYFGDSISAVAVLLPLSSNPAIDSDKG AEQFDASGNQHNVPPNDDDSDEAKASSDSEAEEVAATTPVEIPMWLVNLDMEEEADFK TILCAVDEAIEHLSPHSSEANLRYSITHGYSMVESMNALPVIYGICSHDLFDETTKQA AATDQNLRKSVAESWANRILQDAMESFASQHPQQPRRQSTNLDEFVLDMDNLNSSTMK QLLDDHHFVCEVVQQVVTEAVAKVAHHTPNGERSTFPILLPKVDAHETQTTDPDVQPG DLPDTNRHVDVIDVPSSFDSDMALLEAPPSPQKALASITIRSVIDNTVRMVTKPPHRN KLPLNADIKSEFKANTGCDTRIAALTSAATSCVDKAITTGISNVTRRVSQRKPSFNLD ILRLHRNPTECAVAQATQYDEIEHTLTDSARLHDHNHHNPALSITASTADTKRNPFKI DIDNAPSLEVTSRTISTLDSSTVEIKRSPVVAPTVQPPISSQTDIGEMPFTIDVADAL ANTTTFTVESVVESIPIVAVEAALATDAEVAVDVVDTPRSSAVSEVAPTLVAEPVAVA EPVDGPVAAVDSPLTDIERSEAAPPVESPVTAQADIVETPSTIDVSDAHVDVAAVPDE AVVESTPIVAVEAALATDAEVAVDVVDTPPSSAVSEVAPTLVAEPVAVAEPVDGPVAA VDSPVADIERSKAAPPVESPVTAQADIVETPSTIDVSDAHVDVAAVPDEAVVESTPIV AVEAALATDAEVAVDVVDTPRSSAVSEVAPTLVAEPVAVAEPVDGPVAAVDSPLTDIE RSEAAPPVESPVTAQADIVETPSTIDVSDAHVDVAAVPDEAVVESTPIVAVEAALATD AEVAVDVVDTPRSSAVSEVAPTLVAEPVAVAEPVDGPVAAVDSPLTDIERSEAAPPVE SPVTAQADIVETPSTIDVSDAHVDVAAVPDEAVVESTPIVAVEAALATDAEVAVDVVD TPPSSAVSEVAPTLVAEPVAVADRVDEPVAAVDSPLTDIERSEATPPVESPVTAQADI ADIVETPSTIDVSDAHVDVAAVPDEAVVESTPIVAVEAALATDAEVAVDVVDTPPSSA VSEVAPTLVAEPVAVAEPVDGPVAAVDSPVADIERSKAAPPVESPVTAQADIVETPST IDVSDAHVDVAAVPDEAVVESTPIVAVEAALATDAEVAVDVVDTPRSSAVSEVAPTLV AEPVAVAEPVDGPVAAVDSPLTDIERSEAAPPVESPVTAQADIVQTPSTIDGSDAHVD VASFSEDSLNQAGGVERECMTAVEETIKPNGVMSSQNSAVWAALESPMNATLQAKANL GEMLNSTVGGKEVAVSPLPEFLFAMKSPDIVPQKLLRPQQSSTLESKKPLPGYAVRGL SFSSSEDSMDEAPEPVIPPTNSSQSEAIATTSQASIGLKTRLMGWWKDN H257_02576 MMSFASDGGGPAKAVPLWKEVQWISPLISIIVWAIVAGWSLRTS PSPRYYHTYNPTISETTTHPLHEDTISYPLLIGLSAAIGIVVPVVLEFTLQHSALKRH FYVTLVNLWLGVLEAVLLTIAATELIKFSVGYLRPNFAAVCQPTLVNATSYECSVASQ VFEKSMLSFPSGHSSTGTAAGWYTTIYALWTIYARESRVTPRTAALCRQLLFLPALVP FLLALAVSVTRVTDFKHHAVDVTMGTLLGLVFGSLVFIRVVQTLPTLPPCKDKTFK H257_02577 MMQATAAVHRMDIDDIQDREDMEIEMAELGLSGSPNLHSSGAKV DVELRRKLERETIMKHDSTQLKFKDAWFFIETSWMDMWMNFVLHDGPLPGPLSNQSFY TRDNTLRKDLVVTKHYRCVNPRVYAIYSEIYGTGGAFPIARYTLDIYATPILRDDVLE ILKLPELSARVAVTEMREQYEEWPEEPEVVMGWCSWMYQCCCLCDRLPTVLGRVFGGP TYAAVDTAADKTRKKSSKKQKSKRRHHDEEDGNDSDTDTEETSSLLTDDRD H257_02578 MADKSVVTPDSVVLEEASAHEDASFEPTNYEDEDDEDLELEGGD MEVELGFLGEKEAHLYGPFGEWDGGKVGGSPVWLHPNTHVDIKCSGCDKNMSFLLQIY CPLDHPEQAFHRSLYLFCCRTTDCPRLGHARTFRSQLPQDNLYYANHEDINYRPLDHV NKSLHRCALCGLKATFSCSACHVAHYCSKEHQKDHWKHGHKQDCPQCLATHELFEDVA HATALEDNGSKFLFPEYAIHIDPEPATSVAHTATEAKMMHDFEQAQTSRRVATNDDVF TDDSAIDISQKDLSSLLGSSPAVDKTYLAFLTRVAMAKDQVLRYCRWNIEQDAVLWVH STDRPTDVPVCAGCGEPRLFEFQVMPQLLYHLKLSGEDSIESLAATNAGKHELDWGTL VVYTCPNSCSQGRHDSAELIEEFVWRQTPLEQREEEQRLE H257_02579 MSSIRVRATNKLLTKLGATKPSKNVQFEQAFAGFEHMQSGIVNL DNALKGYLASLRGFHAASNVLNQAIEDVSNFKCTDIPSESPEVKQFIDVFKACSINID VTQVTELAKTFETRVQAPAQGWTHQVDLLNKECSEFTETHITFDHYNKKVLALREAHN KRAGAGKHEKGKEVDKLVRNEQKLVAITKEYNHAAETTIQHLRDFLTHRDSTLLPLVQ RVIEFRTNYSAAIFEATKKMEPLLSITSYDEHLAALESFAVNGHAASGDKPAPRGSGT HIAASDNIQVNTLSFSDFVGSSESTSPAKSPPAPATMDEPWGNFTDSPPKSSFGSNKS SSNGGFAGSFPVPTSFGSNKSSHGDFGSMPPPQARTSNSHIVNTNCSNRIVNVLDFAS SPLDTSSSNNPFEDNGGFMEFSMGDLPGYATASTHQVDSPPSPGGFNPFAPAPPAPAF QSMPLNAAQHASASKAGAASFDSFDLPGAQ H257_02580 MGTKRPAADTLTWQAELLSSFDALTANETTPLLSSVRDVLMDKL LDDDGSAGLNHVVVLVLHDTPSDALTTAIDGLGTNVVTTQLAAVRLAEGDAIPKCEAT LLYAPVSKTVKDAAKKQTKKAVRNKIKKFKKNHDGAMGLEFYLAVDADLAKIVPVVPS ATSDHTYVESKPLEDGQPLVYPLLAIDCEMCKTTKGVELTRVSIVDDQHKVVLDEFVL PSNPIVDYCTQYSGITREILDGCTNTLASIQASLLALIPAETILVGHSLENDLSALRL IHRRVIDTVLLYPHPKGPPFRSALRYLSSTYLKIQIQTGNDGHCSVEDAMSTMKLLQL KVKRGPLFPSIIAQDNHPRKLLTELAHRKKSALIIDTAAACRTLAGGTAAAIPCTSPD TVFHHVGHQLTTGCPPTFTWGQAACPAKASELVRDIAADLPPQSLLLVVCCPGVHELK ALHKLRTTRGDPRCTLQWDKSQQDKLDVVAATAQRGRVVLVAKGSSLNDDQP H257_02581 MFTYNHITGAKLCYMHVPVEVDAFSVREKLQQEHREAKEERQMA WEDYFAAQWGKAEREATTKTQQRLQHQIEQLSTITVTSRNFELWNTAIERKYYEYVSY SLQDLAIRDTLLTNVHYGLTPLARACTIKDYRLVRILLAHGADSTAKTPSSPYGYMQS VFRASVADVRVFELLVQSLPPSPELELRGLDDDGLSLMHLAAQGGFVDVLERLLALPM THDLVDTTTPKNRYTALHYAVAGGHVACVVLLLRHMAPSVAAAMTSDGRNALHLALRV EGSHFHLEQLVESFVSANCDSCLFEAIDPNGATALHLAVGQNLERIALRLINLGKTPM NVCTKGGVAALHLAVMVENMMLVRALQHHNAMIDIMDDNGQTPLLTAALLNHTECIRL LLDCGADAGCQNKEGHAPLHYLASYCTDPDTFQLFFTKDVDVNVKSAKGNMPLHFAAM KGNEVAAKILVQHGADVSILNEDKRSVIFLARQWGHLQLEEYFKLVVKDLAEVTPPSS DHNDQAIDDNSGGSHHRKTKAIGTREPLKNKKAGVTLPKVRPVTAVDPTSTREYDTDA ESDPCRHPWTAKSPTRHVCMTPYVKRQLFGRVRAPNKPADLTDEMDELFLYNQPPKPA PEHPQAKHMLRRCKTGVNIPWLATLPLASDTLERRLKPSTQHQLNGSNHVKSMPELRA EFQFARRLVWQESAVTRRSRHALRSPTIRHAPSSFVAVMTQKVFHNT H257_02582 MADDFDCDDFEKTLKLCVVGNGNVGKTSLTTRYAKGRFTDNYKK TIGVDFMERVVTVDGEDIHLMIWDTAGQEEFDSLTSRYYRGAGAVMYVFSTVDRDSFD ALPSWQQKVKHECGPSICQVLVQNKIDLVEDAVMTKDEVDDMKEDMRVRVYRTSVQDN QNVEEVFEYLCRRYLKKKAPEEAAVSDIGGGAASPTKKAKPKDKSSRHQSKSERGDGE APTTPKSKVSTKAKSNRFKGGDDHHGLSFDDIRLALPPNGEGDHVQRIDDDLQNDDQT DSQRPPKISNPHEDEEASSSMPPHSSDIPDDVGGSGAALEPSKRRTNGKKKDACIIS H257_02583 MFSFVTAQYQWYKLEFGLTLLSPWEVFTFNAIVVFVLSATAYFS VRAIYYIV H257_02584 MSCWDCCIRLLKRCLPRNDIVVELAPYLNTANGGYPNNPQANTG GGGRGHQTNATTTTQVSLASRYTNSQGGTQRGNTAGCGNNCSGGQSRSSRRRDRQAAA TGNIQTGGEDPSLATGRGKGSILNPALINSSSTKLYDHSLDDDDLGSPMNGRGNPYVA ATGGGLSSHRHRQHDGRCLDVYHPLPATASGHALLPMDVVMGHDVILQSGGTGQHTPM LCAGSTMSEDIQLDMDMDSSAVFVYVSVAAFQRLARQFQELMEEATSLYVHMIRSRLN EYGGVELRFSEGYFVVMFQTEFNAARWCLAMQLGLMYAAWNPRFLRAPEAQEEMSRHR PMPVFRGLRVRMAIHSVSPDSDDEDEDTFLDMPASSPEHNPRELVRLIGECVHGGQIA LSDGVWEKLKEQLVQLGNPVVEDLGKHVVGGHSLQLFQLLPKHLEDRHFLPLMSVTQL APAMRDAPTAAGEVTMVFTFIEGARSLMLNDAHALVSRVKTICKLSRKFLRTHRGYEC QELQGDFMLAFFRPADAIAWCGEVQKHVYKLFRQDPTGIQFRISMGIETGVPVSVSPH KSSGRADYFGNIVNQTARIAKAAHGGQIMLGGDAWKAFMTDAASYSDGQTGGGLPFYF KDHGYFQFKGIASATLLVEVVPVGLEHISHAPTTAKPYKKAVPRSVVPMPKRNRIVYV KSQHNGQHGESDVGYSIRDTEVFDVKSLYGTLSEWTPQDLRTWFNEDCKRGDLPQAVC ELRDV H257_02585 MAKHKPAGIRCARKLRVHRRSQRWHQKSYNKSHSVTAMKANPLG GSSMAKGIVLEKIGIEAKQPNSAIRKSVRVQLIKNGKKIAAFVPRDGCLNYVDENDEV LIAGFGRRGHAVGDIPGVRFKVVKVSGVSLLALYKEKKEKPRS H257_02586 MADQAAIASSPRHDPLRPLIQQESAAFETSTAAAITGKTVRRGS KERHDVEGATQVSNDTASKPTDFELLVVVGQGAFGKVIQVRHRPTGEIYAMKIVSKEY LVKKNYIGNMQTERDIMTKVDHPFLVKLKYAFQTPANVYLVMPYIPGGELFHTLHKQG LLLEHTACFYAAEMVLALEYLHGQGIIHRDLKPENILLDADGHVCLTDFGLSKELPQD DEAKTVCGTNEYMAPEMIRNKPYSHAVDWWALGALIYEMVTGYPPFRHNNRKKLLEKI CTEKLSLPKFLHADTHSILKQLLERNVDKRLGAGKSTMFKVKGVAAIKQHPFFSRIDW GLLAQRKITPPVTPLLATNTGGLGTLDTSCFAESFTKMQVAVDETQVPDDHGSLFHRF SFTAADVRLRRESISINSRINEEEQPIDEHDEGHLVSTISTPDDAATSVVESSTIDPH VAPHC H257_02586 MADQAAIASSPRHDPLRPLIQQESAAFETSTAAAITGKTVRRGS KERHDVEGATQVSNDTASKPTDFELLVVVGQGAFGKVIQVRHRPTGEIYAMKIVSKEY LVKKNYIGNMQTERDIMTKVDHPFLVKLKYAFQTPANVYLVMPYIPGGELFHTLHKQG LLLEHTACFYAAEMVLALEYLHGQGIIHRDLKPENILLDADGHVCLTDFGLSKELPQD DEAKTVCGTNEYMAPEMIRNKPYSHAVDWWALGALIYEMVTGYPPFRHNNRKKLLEKI CTEKLSLPKFLHADTHSILKQLLERNVDKRLGAGKSTMFKVKGVAAIKQHPFFSVQQH ERLAMRFIRVWCVAHRLGSLGSTKDHAARDTLVGD H257_02587 MWRQISAKSGARQSLRRQVSSNNGPSKPIGHSPVMEKPPAAPVP PPTSKLAATAKKPEWSKPLTAKPSPPKSNGGGAVYAVLLASVTATAGLGYYIHENPNF NPNLLKDNDVFLKFREFVLSSFPTARSGLSSTAITLPETSKAKPTPSPVDKVKLAKKK TVEIKNAADSKQTSDVKKASTSSDASITPSEATPPTVVVAKDDVKDDAKADVETTTAT AVTEPAAVVASDDKEDDNTHSIAEVAAAIVKQAEHVVEVAEAVVHEELHKAEKVLLSE AQKATTALQKKLDEASAKEKDAIDELNRELVAFEDKAKEVSAATKNKVVKKARAEADA LGEELDHTILAGIKELDAESLRLRVAQLATEMKNRSKWEAVRLMESLRRMEEEVHAQH AELLRQQDVLHKDLLARELRLQEELITRTARQELDAVRAQHESQLKALVESEKAVVQA AFDHQLATLQADADKKVAETIAQKVHEVQAATEKDQAGRIRELNDVRVQLKAVNELLG ATSNYEAFSHKVHKVSVAALALTNRIEAAAPLHSEINALRTAGKGDELIEAAVTTLAK FGDGAPSVAQLQDRFKVVQKAARQAALVPESSQGGGMVGHLFANALHFLLIPPGGPIQ GTDAEAVFSRAEYALRGGDIESAVAEVDKLTGLPHDVVADWVAAAKSRLAVEQTAKVV KAHISLLAASLS H257_02588 MAANVRLSVLSSKETGTTTFSRYRRLLVQTNSIPWKHAVMYIFV GGAVAVSSPPSYSNGNLTALVVSLMATTNYTTQTTHSPISTTFRPNVTTPKLVPPLAR RTTPSPHLFESTSPFYTSLIVGVSSFMFAVLLVCMAVLYRHRRRQRYKPPTTMSGLSP SAADRHEQRLFTSAAVDTVNRESHLLRIYPSTGSSSRVNMSMHATSFVSPEVDMNDGY TTNPAWASLAQALSLPVRVIPPASVKPLRKGSEVLVRQHATSSSTITSWRLTHSFAEH PTVKDSAEQWTSKDLRAPRNPR H257_02589 MVPATIQYTEPTFHAQPACLPGYTSLSPVPQAYRYTSSATCTSA WIMSRYRDVSIKSFESKLEAVLIKSRAVWCSTEISLEPDHDVPCDDGENVSEPPIDEE NADEMSSSADASSMSSNGWSVMVHVLGWSSPWDMHNSLVPIDQALDNRGVSDVWMLSS TSLRMFESIEFNVRGWLRMSEANRTDLVRVSV H257_02590 MDHHDEPEARASESLMDGSQTERTRGTFGGRQRWLLTGGANIDS NSVSSPKKSTSKSKVTAPSVQSFLGSLGIGLGEELQMVDKEANEVAAYRREYDTVRSN NMKKEHEVKKLQESLQEVTSLLSSRKVDGMTIQSKAMNLENRSASIENKLEDETADKG VYTHMIQRLSIEVQAGKKQSTRKEKELQSLDNDLAAGNATLHAARQEKCAAEALQKKL AKGLSDTRDENARALDDLHLAIDTTRKKHELHEQRETSRRKIAQNVTGEQSAREMKRL RQESQTQAVQFTQIQNELMTTDAKLLTLEADLRRLSEAAGTNDIDKIIAKYLSREETL RNLKEEHAITDAKMRKLRDNYKQLQELLATLRGATMNTRGIYQEMDDTAEKLKDIEKN ASALQERCNRANVLLDAFRSCMIKCMAKLSTVRGSLELDTDVDFHRANETPTTELVHL VEQKLGRILDVINREKAEHELHRSEAMNASSHGGGMAGGGDDKRNSSLAQPSPSETEE HFILRVSTADNSQGNIRVRPKSQPKGSKVAKILRHSSQRDSIVIPKDKGRDEDDVAAT VAAVATEDDPIIDRSMRKKLVGLVLNRGKRVKKGTTNNDKV H257_02590 MDHHDEPEARASESLMDGSQTERTRGTFGGRQRWLLTGGANIDS NSVSSPKKSTSKSKVTAPSVQSFLGSLGIGLGEELQMVDKEANEVAAYRREYDTVRSN NMKKEHEVKKLQESLQEVTSLLSSRKVDGMTIQSKAMNLENRSASIENKLEDETADKG VYTHMIQRLSIEVQAGKKQSTRKEKELQSLDNDLAAGNATLHAARQEKCAAEALQKKL AKGLSDTRDENARALDDLHLAIDTTRKKHELHEQRETSRRKIAQNVTGEQSAREMKRL RQESQTQAVQFTQIQNELMTTDAKLLTLEADLRRLSEAAGTNDIDKIIAKYLSREETL RNLKEEHAITDAKMRKLRDNYKQLQELLATLRGATMNTRGIYQEMDDTAEKLKDIEKN ASALQERCNRANVLLDAFRSCMIKCMAKLSTVRGSLELDTDVDFHRANETPTTELVHL VLPRHSHTNSKQLRHRWSKNSVAFWT H257_02590 MKKEHEVKKLQESLQEVTSLLSSRKVDGMTIQSKAMNLENRSAS IENKLEDETADKGVYTHMIQRLSIEVQAGKKQSTRKEKELQSLDNDLAAGNATLHAAR QEKCAAEALQKKLAKGLSDTRDENARALDDLHLAIDTTRKKHELHEQRETSRRKIAQN VTGEQSAREMKRLRQESQTQAVQFTQIQNELMTTDAKLLTLEADLRRLSEAAGTNDID KIIAKYLSREETLRNLKEEHAITDAKMRKLRDNYKQLQELLATLRGATMNTRGIYQEM DDTAEKLKDIEKNASALQERCNRANVLLDAFRSCMIKCMAKLSTVRGSLELDTDVDFH RANETPTTELVHLVEQKLGRILDVINREKAEHELHRSEAMNASSHGGGMAGGGDDKRN SSLAQPSPSETEEHFILRVSTADNSQGNIRVRPKSQPKGSKVAKILRHSSQRDSIVIP KDKGRDEDDVAATVAAVATEDDPIIDRSMRKKLVGLVLNRGKRVKKGTTNNDKV H257_02591 MVCTTPAAIACVASTLASLAIVVTQDLPLWAVYDSAFTSKHTAV VGGGNLSTLVHVGIYTICLETQSNQSVADPRHPNAVYTCTELSSSTVYQLNCTTTKRG GLPSHCSAIETIDSVLPLCATKGHNDGVAYNWHALTLYQSLDRSSVAAVQLSRFLVSA CGATGLVVQFMGTAVMYSSCAFTGVLVLEAICLPFRIMRHVLQVAMVIGWIMVLTSVV LFISWGFEASHLGSFKFAEATYVAFGGVVLSIVALAATHMHANIVYQKHRPTSLSRGS VYVDPKTRLHVLVDTDEATIVLDIDDADNDGVDDGADNDGVDDGADDDSCLPL H257_02591 MGTAVMYSSCAFTGVLVLEAICLPFRIMRHVLQVAMVIGWIMVL TSVVLFISWGFEASHLGSFKFAEATYVAFGGVVLSIVALAATHMHANIVYQKHRPTSL SRGSVYVDPKTRLHVLVDTDEATIVLDIDDADNDGVDDGADNDGVDDGADDDSCLPL H257_02592 MKAIKRRREGGYDGVWRRECIEHIVPYIHSSITLFSFLQALPLD TLGLPLQSLLALFQSSFNIHHLWPRLVLEPMDTVDTNQIRLLRQAAVLFPYVDVSGLW DLGILRQCVSPSTRIHVVPEEGVTRPMRWYHDLSTFCVTSIIVDDFYGGFDVPGNFVL SLERFTSLCHLELKCMTWLTEFEQDVLFASLATCPIETLHIEQPRWELTASNVARLAD WLLDSTRAMELTLDSCSVNPLDSAALVSAVMSSPTLQALRIFNGTLGQAFCTMTHLPA RLHTIVLNDSLLSASCMRLKSVWLSSESLVKIRLDSNPLRDEGITLLAKALFVVASSA RLKELSVTSAGIGPDGGAALAAVLPFACLTSLVLSFNAMGDVGGIALASALPRCHHLT TLALRRLALTDEGLVALVSALPMCPSLRSVDLSDNLATYVGATQLVDVLPRCHHVTDL SLGDNPLEARGVQTILRALPTCVKRMKIDLSVEMAFSDRRPCMELANVLGVANQVYLG YSMY H257_02593 MTVPVDANLIPLLRQASAPFSYVDFSGVWDLDILRQCLPPSKPI QAFPKVDISRPVHWFKDLSTLCYVTSTFGDDFYGGYEEPGSFLLQLEHLTSLCHIELK CKAAPTNFEHDTLFATLATCPIETLI H257_02594 MPRLPPRLHTVLLNNSPLTTDITQLANTLVASADMPRLQELDVA SAGIGSDGGDALAAVSGGCLSLYRHHTTLNLRKSTVTDEGILASYLHSSYVRAIDPSI SATTGP H257_02595 MTTISIAHDDAAAPVPSGAMDTPNQATISLDNEPPVETPSKEIE TGLSLVRMKSGPKRTSIWDGMVLMVTPATTEETKAQELTLQAKAVRRRLFLMGFLTVA AVAVTIGLSIGLAGGTVGELSTSSGNDVPLTNSITDVSTERSRTDPPSPDPTPPAHNS TESSGDHSTSSGGTSASTPGLSTTTRSPTTTTTTTAAPTTTSRPPTTTTTTTPPPLAL GHMLNFVNRCTFPVYLYKVDRLLCTLQTNGQCGDTLVDREHTMYRHTNAADATLVELT LADRKLWYDISAIPPGCGNGMSYADCVRNSGGAKGYNIPVSVLPTKYDGNAQKGNCHK VTCTRAECPDAYLYPFDDLKMKDCPDDEVFVVTFCP H257_02596 MNLRQRSVLQLYQNLVKDKALRPDTAQQVIAVQLDKLQRRLENY DLPTNVKEPTKVPRGLYIHGQVGTGKSMLMDMFYSNLDNKKRRVHFHAFLLDVHRRIH KRKQEHLETYGRSMHIELQPERDIIGTIAKEIATESPVLCFDEFQVIDIADAMIMRKF FSVLFQQGTVMVATSNTHPQNLYPDGVNREYFVPFLELLQQHTKPMDDAYFYPITPQV QTQVLAIVDELLQGGPPLVLETIPVMMGRSLQVMGQGDVCVVEFAALCNTDKGAADYK ALSERFRVVVLTNIPQLTLAMHNQARRFITLLDELYEHKVRLISTAAVAPDALFQFDA HVKVPVPLSLASKQAALHDLQANHIKPFTSWDGPVAHDPATASDGEEVKNMSSMTDLM YACKRAVSRLHEMQTFKYQTLAASSNVQNTKVHK H257_02596 MNLRQRSVLQLYQNLVKDKALRPDTAQQVIAVQLDKLQRRLENY DLPTNVKEPTKVPRGLYIHGQVGTGKSMLMDMFYSNLDNKKRRVHFHAFLLDVHRRIH KRKQEHLETYGRSMHIELQPERDIIGTIAKEIATESPVLCFDEFQVIDIADAMIMRKF FSVLFQQGTVMVATSNTHPQNLYPDGVNREYFVPFLELLQQHTKVLSVDSTTDHRRHD APMDDAYFYPITPQVQTQVLAIVDELLQGGPPLVLETIPVMMGRSLQVMGQGDVCVVE FAALCNTDKGAADYKALSERFRVVVLTNIPQLTLAMHNQARRFITLLDELYEHKVRLI STAAVAPDALFQFDAHVKVPVPLSLASKQAALHDLQANHIKPFTSWDGPVAHDPATAS DGEEVKNMSSMTDLMYACKRAVSRLHEMQTFKYQTLAASSNVQNTKVHK H257_02596 MLMDMFYSNLDNKKRRVHFHAFLLDVHRRIHKRKQEHLETYGRS MHIELQPERDIIGTIAKEIATESPVLCFDEFQVIDIADAMIMRKFFSVLFQQGTVMVA TSNTHPQNLYPDGVNREYFVPFLELLQQHTKPMDDAYFYPITPQVQTQVLAIVDELLQ GGPPLVLETIPVMMGRSLQVMGQGDVCVVEFAALCNTDKGAADYKALSERFRVVVLTN IPQLTLAMHNQARRFITLLDELYEHKVRLISTAAVAPDALFQFDAHVKVPVPLSLASK QAALHDLQANHIKPFTSWDGPVAHDPATASDGEEVKNMSSMTDLMYACKRAVSRLHEM QTFKYQTLAASSNVQNTKVHK H257_02596 MLMDMFYSNLDNKKRRVHFHAFLLDVHRRIHKRKQEHLETYGRS MHIELQPERDIIGTIAKEIATESPVLCFDEFQVIDIADAMIMRKFFSVLFQQGTVMVA TSNTHPQNLYPDGVNREYFVPFLELLQQHTKVLSVDSTTDHRRHDAPMDDAYFYPITP QVQTQVLAIVDELLQGGPPLVLETIPVMMGRSLQVMGQGDVCVVEFAALCNTDKGAAD YKALSERFRVVVLTNIPQLTLAMHNQARRFITLLDELYEHKVRLISTAAVAPDALFQF DAHVKVPVPLSLASKQAALHDLQANHIKPFTSWDGPVAHDPATASDGEEVKNMSSMTD LMYACKRAVSRLHEMQTFKYQTLAASSNVQNTKVHK H257_02596 MNLRQRSVLQLYQNLVKDKALRPDTAQQVIAVQLDKLQRRLENY DLPTNVKEPTKVPRGLYIHGQVGTGKSMLMDMFYSNLDNKKRRVHFHAFLLDVHRRIH KRKQEHLETYGRSMHIELQPERDIIGTIAKEIATESPVLCFDEFQVIDIADAMIMRKF FSVLFQQGTVMVATSNTHPQNLYPDGVNREYFVPFLELLQQHTKVLSVDSTTDHRRHD APMDDAYFYPITPQVQTQVLAIVDELLQGGPPLVLETIPVMMGRSLQVMGQGDVCVVE FAALCNTDKGAADYKALSERFRVVVLTNIPQLTLAMHNQVSLLLVHVLRWKLL H257_02597 MKISFFSVRKYDKESIIAMHETLGLKHELQFFSHRLKPETALLA DGSDAVVIFVNDTANEAVIRKLASLGVKALLLRCAGFDMVDLPTAKEVGLPVLRVPAY SPYAVAEHAAGLMMTLNRKYHRAYNRTREFNFNLQGLLGFDLHGKTVGVIGTGKIGVL FGKICLGFGCNVIAHDVYESDEAKALGIKYVTLDQLLETSDIISLHCPLFPTTKYMIN EYTIRQMKKGVMLINTSRGGLLNTTAILQGLKTGHIGSLALDVFEGENDIFYEDHSGE ILADEQFTKLFTFPNVLITGHQAYFTKEALDNIAKTTFDNAEAVLTNGPYVNEVKK H257_02598 MKRGADQTRSAPVLPPPLKNGFPIESPLHAALNKMLQHDHSKAT NKQHKPEKATTNFLNESNTTSDNHSSLPENPQLFAYTNALLHRVTGRYLPASVVGDGA TQVAEIAHIQTGRELLLAVQSIQELSKQFHQVAQLVLAHRKELGKVLLKLETTYLAVF EKVLEESLRFYYKYRQEHAEERHHQRKTTLALNTKVEELEETIRVLTHHIEAKEILLK SHRVQIHDLEFQNLSLKQDESTWRAMQDEYRELKMDRLDYEKRELHLRKREQDLWKKQ VQMDVQNRLLEHHHHAEQARVVEQSRVLKEQLLKQLEEARRENDEAQEDDRLEHLLLS SNAAFGQSSTPSATSVATTQTQVDDDGVWDIQDGVPTCVSKLARVRMAWRRFDAFVQC KLCHGRPKPHSDTDKAYAEVWDVKAMRKRWQAAVASEWQLPLVLQQFLTHLPRTVMAF KYYTLPATMDRVEAIYDAKLLADCHDEGDGVGYEPLHEFVTGHFLRICSGRQKAEVEL YRLLISVKALYRGSSMLCMFARFMQFLHPPSTSSESYPTSPSPCKGSKPIDPHDDVKA SMSKVEMGQIGLPKRCTLNQNYLRVYLHARHRAFHHPTEGTSHVICVDGIKRWMPLEY AVDVLKWYLGHLPEDKLRMYCRQLEYNSAIYAGRAISDATGQRLTVRASMRQSMLALS ADSTASPDKQSSDDGVSRREIPVVVVNVYLVLELIMDVLQLRNKELDDDLTAMFVSGD ANHDGVLSFPEFCAILRPRVPPQFSDRRLLRMFREALIDSDHHTTKAFSISIQAFVNV CTHHGLVSLVASDRLQSPFEVGATFEPHKPKHNELIMSSSGTSSSSHSPVKKKKSKAS SNKPPPLNVLDSISEDPPSTLEANQPTTTTTTKVRLSKTQATDGQPQPPSPPRTNNDP SCDSTLTRGDRSTDSVDKKPNTTDTSDVIEEHIQEIVSPRSTLLEASYDDDTEEIQEE INLLLDFV H257_02599 MECATPEVYPKTSVEDEAERRRTKQGTVQAILTAKAAETRGRAP AEAMRLAKHIDMFREDLGDDHTKTNVLFVSVMLLSCGGTDVVWSSLWTSGAVFYDMLC SPAQIGILHCDGLQSYPGCATNRFVMVLFVANIATKLWIAISIWKAVAVAKPEVVPTA EAMHLSPPPGTNIPDAPTAIPVE H257_02600 MATPGPPVPNLSLPTVTLPSPTDESMDDRPSSKEHHVDHEKQQH QLQHHHHHDNHIAAEPKGYWAEWQTRAGTVSLLFSLLMQLSYVAFPAYNFSLAIWATV HPFSNRHTKTNVLFVSVMLLSCGTDVVWSSLWTSGAVFYDMLCSPAQIGILHCDGLQS YPGCATNRFVMVLFVANIATKLWIAISIWKAVAVAKPEVVPTAEAMHLPPPPGTNISD APTAIPVE H257_02601 MLGVDAIADSGLRQCKSWTDVQQVLQRMHENVLYAPRSSGIFIE VWAATAKNAVLWAFNQAPPALTVIQAQAMLHGVLRDMHQHLLGGEYLRKGQPEPHHAT TYYVGVLEKLVQVAPTSSVEAFGIVLAYCYVHGSLLDEATSVTNVLSSMASVQRDRLK VVKGWIALRQNDLGSAVSLLQANGAISTTTPLQGFWLAYSWVHQRTRHNIPLHTPSYP STAVSSDEIWTLLETAMAGDIRPIVCLNMQAWLLTQHRIVVGAAEDVTAGDCLAKAIA LDYDQGEKSTNLSLFNYAMLLGRLGKWTDMQQVLQYCLDDIHVGPSTTQTTSPAPQES AWSISVRPVASPLTLATVQAYMARTCIQSQDYSTARVMFQRLELAATDRVSIGPFQLS SLVRDHVYVLLEVNAHREALGVCDAALQRYQGDPVLLLYKADALFCLEQVQECDWTLQ QLDTALSGEDDDEAPNDLYAQVLNNQALVLACHGRTDDALRKLYDCRRRFPTCAHALL NLTVLLWRQGKQVAACTTWLAGRQIVHSSRTSADATTPPTSHVPAGQQGQICPQQIAA LDRMVDMFWADDGRMRAIKQSLQVVEHYTSICGHESSSGNAPLNTPN H257_02602 MAAQQQVNVTDLERAVLYAFQYAGASLNDAESQKIKEEAELYCL VAKQRSYQLFLQLFEVSSHDEVKFYSLQALQEYLTEGSALHTQLTYNMSLHIRTKLLA WLQVQDSLPSFVKTKLAVVIALLIRRDYPDAWGSAFHDLLALLPRGPFMVEMYFCILN ATYEEIVEFDSTRYGAEYASHNMKIKDAMRDGPTSCIAQSFDVIYNVLTAYDQSDGHL LALSLAGLETLQKYIQWVDIALVMRFVPLLYHTLSHFDALRCRAANCLNQVVAKGMQP DKKLALYTSLDLVPVLTALRQSVLHDDDDVCEEIGEVVNTVGLELIMCIDSFRQTNDQ DRYQAASAMLASLMPITWFLFAHDSTDVSQEVLEVVNALTGLLRSERPQDVFQPSQYL SPWLHGIYRQMRYPDEADQVDDAEFEDYRRQLRSIYVNLTRMRPDVILQYIATLLQDA LQNLRTMDHRDLEACLALVYHFKEGLTGVEFPQQYDDPQGPFMQLVVAIHTAFLAPHL NLPAFHYRTLCMYYEITTRYSTLLRIDSNLLLLLLQRIFGSAGVGHLHPTVRSRSCYL VLRLLKSLGSAVHPHMSQLLQAIEPHLVVPGTDASAAAAKADGLTLEDQLYLFELTGF LIGSMPAADNQLKWQYVEIVLTPQLAQLDQCLRQPPSAEISVHLASVLNAMTHILKGF KSRQTQAIFSTTLSAAASVLLAYRTSDIVRSKVIITLHRLVILLDPAVFLSRADVLAV LMQCCEANDVVEVVQLMNQLIIQYKTVPDFYNVLDRNALPFLQRMVQLILSDQTNATE KATAQKYLYSFLMNVVQHRLTGVLGSPTNAASLPQVFQLILDGFSMELHIIRAVSTFC QNLVEHVFKENANLLADHRDHVRLFLLQDVLPLLFQVVHTKEFNARDAQSLIVLRDVA KLQVAIYGSALREDLIHALRAYFATISMPVQLVDEYCDAVRSENVSNVVSKYAAFVQS H257_02603 MEADMDTGNQTPQLQWRKIECSGEAPSRRSGHTLTIVGSNGFLF GGCDYAEPPGPTNDLFQLRIHTNGSCDWSRVAFRKGPLPRWKHSATLVDNKIFLFGGF HNATTRFQDVWIFNPITMEWSQPVPQATPRASMAQVSKASLGWPGCPAPRGGHTASLI NREIFIFGGYGGQGYSRRDFDDLYALNVDTMAWGKVSTKGKGPERRSGHQACAVDTTL FVFGGWNCTTQFNDLHIFDTETSCWSSVDGSHMNHTSPRWNHSSCAVLAIPNAKIFCF GGVLGQMNEYGAPGMFANDISVLDTGTFAWTVPEINGTPPAPRADTTLAYDDKGSRLM ICGGWANLWFNDVFSLDVSCVVGPPYAITGVRPSFGAITGGLLLILEGIDFTPKPVIV RFSCRKGTIDVPGTYVTDQTLTVTTPDFSMFPPGDVQIRVALQGDSFTTTFQLFNFFA VTCAAKTLAFGAGVLSGGASAEPITFFLQACDANGHHRTRGGDEFQASVRSLADDKEL QTSIQDMDDGTYAITYTAPIRGEYEITVQFCGTFGGVVGTVYGFPLVVTFDDAMARDM NRMTGKLVMDGLSSDLVALTQLIEECGKGLELDVGLSGTPAEETAALIQLKEHLFTVD KKGDQTRWLLEKTKSLLAFLATAVDVEKERNQLTLLETSWTDLVQKVPVVAARIAPRL AAQSSRTKGDITVYHEKLLVYAAKMKHKGFWEFETGMANALHMLDEAAVDFAKEDSAF KRMRHVAEIFECLALMEPSEKIVQHVARTLERLRTVWTVVGDISRKLQMAMELLWVDL DGAVLEDEAKGMMNLVKSAGKEKEIKDSNVYQGLEALAHDFLVSCPLYQALRHPSIQS RHWVELMQLVQQTFPNPIDHAELKFADIMELKLHRFQKDIEDLTERAQKESQVELALQ EVDARWSTISFDVTVYKDTQVPILRVRDEDIEVLEADQVMLQSMLSSRVQYFKGLSEA WSQKLTCIGDVTVALGDIQRTWSYLEPLFIGSEEVKRELPDDAAQFIAIDTTVKEILT SFAEAKVILTACTARPHQLQELATLTTSLQKCQNSLIEFLDGKRRLFPRFYFTSEADL LDILSNGGTPDAITKHLSKVFLATQTFKFDTPSTITHFVSNVGKETIRFVAPVTLSGK VEGYLTDALNGMKLTLKENIKSTIRRYPQLSRTDWLMSRTNDGALLDAAQVVLLVSGM EYVKSVEAALVGVGSGHVSALSELLEKVTIQLNDLIKLTRGSLHDEERQRVMCMITMD AHSRDVIQSLISQHVTSLASFVWQAQLKPRLLVTDHTSLDICDASFEYGLEYLGNGAR LVITPLTDRMYVTATQALNLHLGCAPSGPAGTGKTETTKDLASSLGKPCYVFNCSPEM DFKSLGNIFKGLASSGAWGCFDEFNRLIPEVLSVCCLQFKAVCDGIKGDLASIVLEGD TVVLDPTCGTFITMNPGYLGRSELPEGLKALFRPITVMLPDLCLICENMLMAQGFTEA KSLASKFYHLYHLCKELLSQQEHYDWGLRAIKSILLITGSLKRNEPTLSESQLLLRAL RDFNVPKLVMQDQPIFHGLLHDLFPEQPPPRKVNVNLEKHIQSACETLGLWPEESFRL KVVQLEELLVLRHCVFVMGPAGSGKSECILALQTANGLQGTKVKLVDINPKVVSTDEL YGSMHVSTREWKDGLLSKVMRDLANDDSEQAKWLVLDGDLDANWIESMNSVMDDNKTL TLASNERIPLKKYMRLIFEIRDLAYATPATVSRAGILYLSADEGTQHESFLASWLSDT SPDVEVRARLGESVMRYVGPCLAYVKKLKTIVPVQDISLVQGFLHFLDATLNTAVVDD PKKIEIVCGFASIWAFGAALCVSDDGTDYRKLFSEWWRAEFKGIKVPIRDTVFDYYLN PTTLQFDSWRLSPFFTAVKFDGKDAMHAVTVPTTETVSMLLWTSKMISEAHGVMLCGH AGTGKTQTIKGFLNSALQQNLVNAQGKNYMSSTINFNYYTNAAVLQSACESKLVKRMG STFGPVVNGAQWIYFLDDLNLPMVDPYHTQSALALLRQLMDYNHWYDRIKFTVKQVVD CQFVSCMNPTGGSFYINPRLQRHFVTFAVALPSATSLLSIYQTFLDGHLNDFNDDIRK VAVNILKGALNLHAQVSTAFRKTAANFHYEFNIRHLSNVVQGILMSKPAYVEESSKFV LLWLHESARVYGDRLVSHSDLNKYNALAQHNAKKLFPSVPVQKYFAQDNADSLVFCPQ PMSAAALDLDYDQVTSLPDMKVRLEEALADYNMLNAKMDLVLFKDAIEHVARIMRIIS IASGHAMLVGVGGSGRKSLARLSAHLVHYAVVDITITQYYSLTDFKTDLQAIFGRAGV KGEKLVFLLSDSDIKNERMLVYINDLLSSGAIADLYTAEEQDAIVAQVVMKFKGEKDA CWAYFLHQLKENVHCVLCFSPAGSTFRGWARKFPALVNCTIIDWFQPWPVDALQSVAV KCLSTTTLHGDTLAAVEQFMPHSFDAVNVMAEKFKLEEGRIVYTTPKTYLEFLALYTT LLVTKQHDMAKAIFRLQSGLDKLESTSHIVANIEEDLSKTLEEAMKKKAIAEEMADVV AADKLIVEQETEKANVEAQKCAVIHADMAVKKADTESDLAAAQPMVDAAMAALDTLNR KDLGNCKTMSKPPAGVGDIFASVLVLFAGINPDVPVQKNGKIKEKDRAWENCKKILLA NVNGLIDELKNFKNLVDTNAVPEANWKEIRPLLELPHFNVEIIEKRNSAAAGLCAWVI NIVLYYDVLVTIEPKRKALQEITDKYTVANEKLAAVQTKVQGLQERLTKLTAAYEQTN EDVNVAIATVERGKIRMNLAKRLTAALGSENVRWQQNVDVLKKEAETIVGDVLVAAAF VSYAGPFTKPYRELLVNSHWRPFLLGLKKPLALHVDATPVSMLTPATDEAAWVGFGLP SDRVSIENGTIVQHCKRWPLMIDPQLQAIHWLRAKEALVVVRQYQPNLVLTLEAAIEN GQSLLLENIEDKIDPLLWPVISRCTMHKGRKVCLKLGDKVIEWAPSFRLYLHTTMANP HYAPEIQAETTLVNFSVTPHGLEDQLLSLVIRKEWPKKAKARTALIQQQNRFKITMQE LEDKILNSLADAEGDVTENVTLIGDLETTKATADALFIQAKNAADIELAINQLSARYQ GVARRGALLFFVLNNLHKLHAYHVFSLNAFVVMFQRGMDSSKGHDDDKKPTSALSRFK AVAKRVIVSQRFHWNVDVLLMDRILEEAHFDMKAILDSAQEEVPDESVIAPRCLNLQS SITEVVFDYVRRGLFEKDKLVVATQLCLAILKEQNKISSTEISFLTTSPAADETTTCT TAIGILNEWLPELAWSKLRKLEELVPLLTNEFKLDGDEWKDWYQSDTPESDPMPGKAR TPLVQLLLIRSLRPDRLLVALSTFIESHMGSFFIHQPPFDLESIYQEASASTPLFFIL FPGVDPTADIEQLGKRFQMTADRGNFALISMGQGQEGPAERTLEKFAAEGSWIVLQNI HLMPRWLPQLTRILDQCSHTADTNFRCFLSAEAPGLSTVTNIPETLLQVCIKVANEAP ADLKSNLSRAWASFSLKTIETSLKPSEYQGCLFALCFYHAVILGRKRFGCQGWSRPYS FNQGDLTLCADVLRRYMDKTLDDKLPWDDLRYIFGEIMYGGHITDFWDRLTNKTYLEV LFKEDVLKSKDLMPGLAAPDPYLFTYSKYAAFIDTSLPVETPSIFGLHPNTEIQTMTN ACSDLFLNLQRVGSAMLGIATSSNQHNPAISNKAAIVQSLTSQLPSDFALSSLQEQAQ PMLEQGHSTAPYVVVALQECTRMNTLLQVMRQSLNDLIKGMNGQLNMTDAMEELLEAM SLQQVPGRNPLHSCSWERYAWASRKSLQVWFADLLERVAFLTAWVTDWTLPTSMWLSG LFNPAAFLTAVKQVTARNLDFPLDNMTIETHILNTTAVTSAATKGFFVHGLYLEGARW FLSEDADELQAHSYTIDDLDHPCAGYLSDAVPKEVTSLMPVVYIRAVSIKEDWEATAT GYFRHDTSVYECPVYMTSQRGPTFVFLATLSTKVAKAKWIMAGVALLLQKEG H257_02604 MEDPTCPSTDQPSSGLVVGDNRRITPPSSAHSVSLSLDEDRQLA NERIKVLVRVRPLSAYEIALASSDLVSTSATSLRVPSSSSQSHVECAFDHIFTAESTQ ADVYASVQPLVADVLEGYNATIFAYGQTGTGKTHTILGMHDNELAAPSRSSTPDLTLF APSWGIIPRALIQLVDSTVSNRDCTISCAYLQIYNEKIFDLLTDKKRQKPLMLREALD GTTDMVVQGLSTYPITSLPDVMAFLKRGRLHRVVRETDMNTQSSRSHAILQVTLKSQS KDGCRRAKLNLVDLAGSEKWNKQAVKPGVEIEEMKNINTSLSALGNCIAALTQSGRKH IPYRDSSLTRLLQDSLGGNTRTVLIATITPRASDETLRTIQFADRTRAVMQCVVQSQN TPVSPRQLHLGLTAARAHIAKLKQKLHELAEQKEKQADAVDKVHKYETQMREKELAIE RLHVQNGLYQQQLRDGERQIQMLMSQVQALSTPPKARYVEAPPTTLSANPTTSNQISH LESTTPSSYHYRPPLQPTATFDDRVKSSDLYKAKYGAMLPSQSPQTHPDKMHNTSLSG YLAPMPPAFSRPPQQSSTVILPTVNVTPSPNAICSQHQLKNCVLCALRLKMSVPVPST LYSHPKARPSPRASMPALTNPPSYPATAAASEAGFCTTHHLNRCVLCNRIPAKQQPAV NYPPMEMSLNSTADGSNICTPHGLNNCVLCVHLQPQRSLSFLNSIPASSATIARMRPP VDVQR H257_02605 MERPSDRLAKSLTQTIVPLAGEDPPPRRIQTFIRVRPPCSTDTS NSFPVLTLTPTTLRCTPSTPALSNQIDCSFDLIFPPQATQEAVFESVQALLQAVRQGH NATIVTYGQTGTGKTHTMLGSMQESPSPATSRPGDDGRWVMLDSWGLMPRTLNHLLES CNFTNQPLSCAYVEIYNDKAFDLMADKKRQRPLALRERLDGATDLPGLTTHAITSVDD AMRFLHRGRQVRAVRETDLNATSSRSHVILQIKVGAATKLNLVDLAGSEKWTKNTRAG AESEEIKHINTSLSALGNCVAALTQPGRKHIPYRDSTLTRLLQDSLGGTTRTVIIATV HAFATDETIRTIQFADRMRAVMQSTMRQNHQLPLSCSMQWHKDLAATRATVTELAQKL EDLTSAYENQSAASRRAMESMADAVYQKLLQDEHHIQKLHEKVEDRAISTPEKSTSTA NAITVGCEHSSWKVANPTVDTLCDVESTATPHSTCIEVCVMVLYVMGVGVVIVLLFAG CDQVIHAIPVDRTVQVVAQSLWPHQQQQQQLPRGFR H257_02606 MLRRTQATLFKPTSTLRSLRNYTDDASYIGLTAEQKEFYDTNGY LHIRGALSDDVCDALRFRAIHHLAQFDPNAYQKSIFSSTHQTRFSDSYFLESGHQIRY FFEEKAFDDDGNLAVPMNQAINKIGHNLHSLDPAFRSVSFSPHVIGILKSLGYIKPVL PQSMYIFKQPSIGGEVLPHQDGTYLYTEPQSVVGFWWALEDCTTMNGCLYGVAGSHKT TPVQQRFLRTTPYDGQNLTAGKDAPLLTTSGQADFDTSQGRPILTKKGDLVLLHNAFV HYSHANTSLTSRHAYTIHAVETHETDYPATNWLQFPPGMTFPPLFPTSTSAPQNPHDN EH H257_02607 MPLNEVGTPSPAVAADDGPSLDIISVSQTLLDNLDLPANRVCMV CFDGAEYATNPLLCCSVPTCRMRVHLACYGSGTDSMPLMPYKKRSKWVCDVCTLEKKH ATELTPNSARVCVVCKMSGGVVKPTKADNTVCHLVCVRWLPELKQVPSEVQATSSVVD ADLLFGTRKSLKCHICHKRNGCLQCMSKRCTKAFHAVCALRALESKVYTGVTEANHLA CICDSHFADVRATYRSIKDVFWDQPYLGTEVDLEEDDEPTAAAPHAALSPSDLALPPL LNPMIPFSQPPTSNKVGRPRKHPVPQQDPSVPKPTLPPPPGSASNTAHPSVNPRPFMA SPSSVGRPRPPQQHAAPSQPSLPQLADVAVCTWCMQPMLSSILHVHQASLCPLKPASS RKPKDPNLPKRPRGRPPGSNSKANKDTQRPPQPKTSSAATTKKSPSPKPLQPPTVGGG VAVGPSPRPMLMLPQHHPRPMAPTFSQSAPPPPASPIKDILMENALGVLRHNAVDSLF SSWPGMPGGGLLQSRDFWAQVFQSFFTKPSLLPAKWTPLTQWLAGVNSQAFLTPLKPP PKCSDATTFAHVTNEWLAATHVRHTCDAMLQSNGLRCVQPLKPFTHIKSMTRTTESSG ILEVVLASHDHRPVQHCRFAVVCSANAPTTAHGEDDDTTTPGASNVVWSRFRPNKTLV TLPTDSSTSSMPTEPVWVSLLSIDEVTDVNASHVYTSDTSSVQVTEAPIQDDMALEAL LCYDVLQETLKGNRMRWRTLWRKAAAATASEAATAATAKQVESLYEEYNWWKSVCTSV IKGTTDMPFLDDVDGNVTTELQSFEDGTCVICMDGTSEESNPIIFCDKCDVAVHQRCY GVATIPKSDFFCSKCSVKGVVAPQCALCPHPHGALKQTVEGHWVHVFCGLWCPTTFVV KVPRMLFQLSQDDSKVRYATSSFQDNSTTISTTTALANVEGIPPALQRGGLCRVCRIA TGCTVQCRHCPASFHPLCAWFDGCYMHAEVASCGFVCAGGGQGLRFTLTCKEHTPPDL PCQDRELQRRQRAQLYTPTTRARCAVCFVTMNSMHPHHRFDDSLPTTHFFLRCTDCHV QCHAHCVHPVAKVDPHAQVVTWQCEKCRLLPPGSITSLAVKPAAVTCLLCDQPQGYML PAKVHASEAAAPSPTAAAAAGLTALSAPPPPVVAAPTSPSLHVHLYCAKAFHQPIQKS GKGGRVVTVSTPSSGTASQKCTLCHLKTPAGRLVTCWKKQCSVSFHPYCAATALYFSW KPTPKAKMAYACSEHPPDFAAFDAENQVWITRDTLLALQEIRCSLERVRMFIDLSKQR EKIKKRLFVQSDCNAYEKAVPLLHVTAPTTTMKEFYHTITNDQLVDIPKKRKIIVIDK QVPHAPTKKARKDKTTPPEDTKRRQKRRWDSPQRRRHSRRLDPDDDDEEAQQDVRAAL LASIWSHAIVATDHDTMDDVMAKLYPHHCLAEHAL H257_02607 MPLNEVGTPSPAVAADDGPSLDIISVSQTLLDNLDLPANRVCMV CFDGAEYATNPLLCCSVPTCRMRVHLACYGSGTDSMPLMPYKKRSKWVCDVCTLEKKH ATELTPNSARVCVVCKMSGGVVKPTKADNTVCHLVCVRWLPELKQVPSEVQATSSVVD ADLLFGTRKSLKCHICHKRNGCLQCMSKRCTKAFHAVCALRALESKVYTGVTEANHLA CICDSHFADVRATYRSIKDVFWDQPYLGTEVDLEEDDEPTAAAPHAALSPSDLALPPL LNPMIPFSQPPTSNKVGRPRKHPVPQQDPSVPKPTLPPPPGSASNTAHPSVNPRPFMA SPSSVGRPRPPQQHAAPSQPSLPQLADVAVCTWCMQPMLSSILHVHQASLCPLKPASS RKPKDPNLPKRPRGRPPGSNSKANKDTQRPPQPKTSSAATTKKSPSPKPLQPPTVGGG VAVGPSPRPMLMLPQHHPRPMAPTFSQSAPPPPASPIKDILMENALGVLRHNAVDSLF SSWPGMPGGGLLQSRDFWAQVFQSFFTKPSLLPAKWTPLTQWLAGVNSQAFLTPLKPP PKCSDATTFAHVTNEWLAATHVRHTCDAMLQSNGLRCVQPLKPFTHIKSMTRTTESSG ILEVVLASHDHRPVQHCRFAVVCSANAPTTAHGEDDDTTTPGASNVVWSRFRPNKTLV TLPTDSSTSSMPTEPVWVSLLSIDEVTDVNASHVYTSDTSSVQVTEAPIQDDMALEAL LCYDVLQETLKGNRMRWRTLWRKAAAATASEAATAATAKQVESLYEEYNWWKSVCTSV IKGTTDMPFLDDVDGNVTTELQSFEDGTCVICMDGTSEESNPIIFCDKCDVAVHQRCY GVATIPKSDFFCSKCSVKGVVAPQCALCPHPHGALKQTVEGHWVHVFCGLWCPTTFVV KVPRMLFQLSQDDSKVRYATSSFQDNSTTISTTTALANVEGIPPALQRGGLCRVCRIA TGCTVQCRHCPASFHPLCAWFDGCYMHAEVASCGFVCAGGGQGLRFTLTCKEHTPPDL PCQDRELQRRQRAQLYTPTTRARCAVCFVTMNSMHPHHRFDDSLPTTHFFLRCTDCHV QCHAHCVHPVAKVDPHAQVVTWQCEKCRLLPPGSITSLAVKPAAVTCLLCDQPQGYML PAKVHASEAAAPSPTAAAAAGLTALSAPPPPVVAAPTSPSLHVHLYCAKAFHQPIQKS GKGGRVVTVSTPSSGTASQKCTLCHLKTPAVSPSYICLSFYPKCGLLC H257_02608 MSDRADLTHLKVKELQEKCKALGLRIQGKKADLVQRLVEFFEST SSTTSKRSHESNGDNGQKKQKTTMDYGATDTPSASNEDGWSVELSRLFLPYADSENED VTSDDGIFLLCEHLGIDPQDPVMLALAYHMNAATMSEFTKAEFVHGLKALQCHSVADA KAKVPLLRKHLTSDPVVFAKIYAHTYNFAKEPDQRSMPVDTALELWELLLPSHFDLLP SWIDYVKAHQKNAISRDVWMQLLEFSHHVATDLSNYDENGAWPVLIDDFVAHMKQQS H257_02609 MRAIVAIVEVAVLLWATTAQHVGNLNRSVTEECQALKVQLDKLW IDQTQMTQGIVGGAVTETDCKQLHDINVMDYRTDAEQAALCSNACYNNTAYTYASMLN LDCFDGQDEYEVANQRLFAASFQFGCQQDASEKYCVPLLGLTIQNAGSNYDLCSDIVN KIGCCFESYKRYMSFGTSRSVDEMNAMASTCAAKVSNIGVPCTCHPGNGFASSVKGIV VCSHASKLGLEVTLMLVVVMFMTFMRV H257_02610 MIQLPLTWITAVLLVGPASSRLYAPPSNLVYQADTVDLVPRHVD ETRMMELPPIDSNYLQWYCETFPYDLNAAEPPTFNSPIQQAMWAKCKAYFDIP H257_02611 MPGKASSPIKRTRAEQADGSWLDLEYLPGFGNHFASETLKGALP TGMNNPQKCNYGLYAEQLSGTAFTLPRKSNQRSWLYRILPPVVHEQYKEIPAKHIVND FTNEHITPQQLRWQPMAFPKTSEKVNFIQGLHTMGGAGEPTMKAGLAIHMYAANTSMK DTAFYNSDGDFLIVPQVGALKITTEFGKLHVKPHEIVVIQRGIRFSVDLDEPSRGYVL EVYNRHFILPDLGPIGANGLANPRDFEHPVAWYEDRDVDFTVIGKFGGKLFSAHMNHS PFDVVAWHGNYVPYKYNLDLFCTMNSVNYDHPDPSIYTVLTCQTDEAGCAVADFVIFP PRWMVQEKTFRPPYYHRNCMTEFMGMVYGVYDAKKDGFVPGGASLHSCDSAHGPDAAT FENASNADLKPHYFDGGLAFMFESSYMMKLTDYALNCPQNEKDYYKCWQPLKKFFNPH QK H257_02612 MCWLNDMAKTAKPIARTTNATPSLFRNDYSVYSGAHCPSNLLDA VMRWFLTSFACMISASVVLSNFGLRRPYQTCGRAYVALFLAYVTILIFWFQSDVVYTY RVDLIINLAIDHTIVWVHIVRPIVVEFVQSPSSTTILNPAMHQGIARVLSAYLHSKEG FGLCLAGSGSDTRWPLKATPSTIWVEL H257_02612 MCWLNDMAKTAKPIARTTNATPSLFRNDYSVYSGAHCPSNLLDA VMRWFLTSFACMISASVVLSNFGLRRPYQTCGRAYVALFLAYVTILIFWFQSDVVYTY RVDLIINLAIDHTIVWVHIVRPIVVEFVQSPSSTTILNPAMHQGIARVLSAYLHSKEG FGLCLAGSGSDTRWPLKATPSTIWVEL H257_02613 MVLQSMRRDFELKTLRGTAGVLNAYLQTTDGFDAFSMFAKSEFM VEFVFGWRAIMDYRTEAPGHPSAMDIYNQFIAPNSPYPLDNVMKGTILKRYAIAFESN SKYRVRPNHC H257_02614 MFFDVLLDAIVCKILVETLPRFQRHALGGGWFNLLVLQNSQNAL DEVLNGEDGHDRPEEKPIPTIKFEAIMPSTGRLLVIESLHTSVTSEKVGDHDSIACAM NYDHTIPRTPNVTTTVVDTSERLLLPPDGIVRTIIVAVFALSFYLPFAILVYYCNRHK PAIRYRNPVEMTFTATAAFAYCFARCMGSLFVDKFSCTLRLLSFGVPLQLGLVGYLLA ELRVVLTFNLTEMMLAHAERRKVNHHKLGYLHSLLRRGLLSTYRICLHVAWNVPLVII LYSHDYSLYNGENCPNDLSHQVTTLFASEFLVVVVASLMLSFNMSKVVDNFGLRQSFQ ASGRILFIWFCIYFPLLTFFFDADIVFNYRADLFMDILISHTFIWIHIVLPLREAYVM SHHDFEGAAFKGTVGILDAYLHTPEGFQAFSTFAKSEFRLECVLAWKTLVDYRVDSPE HLSAFEIYEQHIAPTAPLSLEKDIPASILKRYGLAFEANSKYSIVPEEMVRDANYFDV LLDSVMDKILVETLPRFQQHALGATWGDFVSKYNTQMALDKMLDHEHAPFLQSNRSMP TIKGDLLRSSGRLGAIESRRESNMASSVTGDTICENDKSLEHTIKRGHSKDIQHF H257_02615 MAVVDLPLLIFSPDSWVELGYSLDLLLAIAMSHAFVVIHVVPPL IQTTRTAWGLGSHTGTFRGTMAILDPYLRTPNGYTAFSTFPKSEFAYECIMAWKTLVD FRTQAPGCVGVDEIYQQHFAPFAPFSLETIVPDNIRTRYSKAIQANDKYAVNPKSLSL TGTTTTCSWTPSSQHVLEVMLQTEAMDTFPGEALIKAKKNRLSLIESQRDSVASASQE NNQTKKRPLESIKTASRLSKLVPIPSEKDSVDTWTRNMNVPNNNIKADNPLMSVVPTP TFMHLSILEPGTIFRLWVCCLVLPLLRSPGVSDLNVSVDAISSLHNTARDIFTASSST KYATKNGVEITNDPRDMVSLTSMIYLPPWIHCFKLEFDQLAQWLVSQRHQEPHELYLK LCLKALMVALILVDTVGQRKLCTLALNASD H257_02616 MNAPPRSDAYLVPEGAAKVVYEKDTRISNAGQFTILREDHTVAN LIRMQLLRDKNVTFAGYQHPHPLVNDVKVRIQTNNNSTPIHALSNCLDDLSIEFDELA HIFRKLTGNTKDQGGFS H257_02617 MSRRSSDGGCLPAFEDDSSDNNNAFLDHVDDTDCRVVAGELVLD DPTESPPPLYSTKEASVGSIYDFLDQVELKSVAQLERASLSHTPSHAHPLPPLVRTDM WEGGSRGSSPRQGSLSSRSVRNGNSSINSSNDNQFYANDIREKIATLSIELHDKTQTI ELLHAARKKDKAKATENASLAAAEFQAKLQELQDRHEKELEKQLDFAQTLVADKGELV QRCDNVAAELKKAVDRLQQQDEGFQRQLKDAKERWSVQEKVRRDQWMVKKTDEIKKST IKALEPDVQAIMTKCKENLDKAKEAAADDKRKWMAAYEKEKEELLRRQREEYERKLVE AREKERCKLMYRLDAADAELQQQLNQQRRRLQDESEKLRSDMMTDMRVLKQQHARDLD EMRAVERQRIDHEMAALQKDKDDMARRFEADAAAYRDKVQSEMEGKHLAAVAAVRSEM DKDKAAWEVEMIKRRDDKIDMVIDKLQQETEKKVAAAEAKMAAQYDADMREVDKKRRA AAEVEAAWMDKNRELYDKCAKLDEARDMAVAAQNAQASALRAMEDKLARCVQALNEER DMHDKARFDGSNHADALRREYAMERETLRGAVEALQSKLDTMEKSHVAYVAEMRGNQD DVLEKLHARVRATVAKKDDMIETLRAELHLAQVRIAKCEDIIQDQRAQLIS H257_02618 MADDGTHHVVDAPLPAAPSILNDRPIGVRSAYGRRGGRGGRGGR GGRGGGGRSSSTGGHRPPSSRAPESVDIFSPIPNCDRVYTAYDVASVDGGAAAATTSP FRVVSFNVLADYLATSRDGNLGHSHPSQAFKFQWAFRCTRLLREILTWNAAVICLQEV DHFEDFFEPELRKYGYVGKHKQRTGAETHDGCSIFVKEDLFQIEAEIELEFHQPGHAI LDRHNVALALSLTYKPTKDTVVVATTHILFNPRRGDVKLAQMQLLLSTLHALNIPHVI LCGDFNVTPKSALYAFLSKGRLDGTTVYTGDASGQFAHRNSYFHNDVHDEEGDQGVDG GCVTRHQGNGTAAYRFDAPSSRRSRRPHPPHECLGVHAVHELPLASAYAQHPTAETSG EPFATSYHDRFLGTVDYIWYANVVCVGVVELPPVSFFRNIRSLPTRDLGSDHVSLVAD FAFGA H257_02619 MLWRLLWAVCTSSIALASNWAQISGAKETNAVVEQPTPWSRRWG HASISQMYPPEDQAKGKLSRMYILGGDDRMQLATMQDADFRAYPGGGSFRNDVWATTG IVWNTSVNLLAHNQWGDPDPQIVAKLKWSQTNAGKVPPPGVLYTDWIACLTAAWTPYP PTGCDDPTAPPGQYIADAMFSPRRHFAAVTFNLALYVFGGRARELVPMPHEDTIGGLD GAPRGDRWMEYAVLKNDVWKSQDAGVSWALVTPGCDLPNALETYHSGSKPAQCTTQND CHGDTTCVFDKTTLTGTCMCNMWSARELHTVVVFQKSLFLAGGYTAVQRNLCGPEHNQ RPSGQEFACGGLYRKFMRDVWTSNDGHTWTQLTAAAAWPPRGEHAMTTWQGQLWLVGG RTSPSTVPGADEDSQQLLNDIWTSANGITWTLADSAAPWSPRGKHAALGVEADPATNT SAYMIVLYGENDDTFLGDVWTMQAAIDGAALPMVWVPDFDPVLSLVRQYVTPTSPIER MQGMNVLHVPKLHAAGIHTITDLTNVPLDIVINLRTTQDVPVCDYIAVAKLVVAQCTI APVDYDGKEFENIKVLKGKNAEVVAALDAASASAVASSAWDGCAHRGTATLDWVTLKY VWPEVGGIPQVDTKDPFPNVQNSACKWTPKARSSFAAIQHNHLVYVFGGKVDNRVFDN SAWYRDPTIPKAKLTSVPESYSHTTTFTFESAKAGTIFQYHVIDMVEQLVVRNWTNCL HQVDFVDWLDGGLHRFRLRAIDPAGNVESTFDDGRNEYVWVYVPRPPWNLIIAMLVLF FVMCLGVFLEWRRRRKQAAMERYAMKRMRRKMRGKKKGNKDADWRETYDDAKDGKKAK KKGSGKSKVKKDKGETSKGQKIAPAKDLDKKDKPKKDKNDKKISKKKDKHEKKTEKKD KKDKKEGKKDKAKDTKPKKDKKKDDVKAEKKKDKNPSEEKKAKKKEKKK H257_02620 MCECDRRARRAGLSTPSARGFFPFVVLSPAAGRGLERGPKKEQY MESVVAVEPGIGGTAVVVVHDDDGVANPPLDVDTEQRPTPSPSQSRSQSQSPANKGTT HSDPTSQPASTLTAPTSLSTGVKAVLGGIPLELSATQSISRRLVPTDVTMQCPLLLGS YCVDENGVALWTGRWAMTEAEYATGITSLFEMKSKPLPMQQLFPNQSGSTHNNNGLHA ENATDEDENRASPLASDKSTTKGSCPIERKFDGFFQILTMKGKPATIPEKDVSLTFQK CDMDNVYLVRGTGENRFGVFTLQGLLDETSQELRLYKAYKPKDKTPVAKRTRPKAEPV VKVEAQTAHVEKTPSKKQKVKVEPAYPIPQSITSSLAPSVAVDFAYVSKALGAAPTTT SAPTISYERMSNAARHRSERKRSLPAHLREEGLAELERVPAMRKCHNILKNLITNPKS VPFLVPVDPVALAIPDYFKVIKEPMDLGTVRGNLENGVYPDPHAFAEQVRLVFNNCMR YNAAHTQVHVFAQKLLEEFEKKMKAVFKTEAAMKLGKGRGNNSDGEGSQDSYSTTSQK KKSKSKRPKDEEILVLKEEIEKMKVLVHGMYKGTPPGGTPGGSKPRPARPFRMQDLTE EQLLKPMTANEKHKLTSELKTLPEEKISRLLQLIAEIVPFHTIANGDDEVELDLNLFD TRSLRMMEGYLREHNVAKRKRPPKKAAAKESDRLQMAKESTEAIKFRQEELQRKLAAI ESGTSVANISRMIPQTVEDLRTKIDGDKSSDSSSSDSGSSDSESSDSDTEQPLQKSPL SLVSGPTSLSSDLSMVVEDQGAAAAALVPLSTSEPLKVENRGAWTKLAGRLESTDMPS VGDNSASSSPPTVIQSDENASTSLWLYARTMEQQKLQKEQMKDVAAPVLLPSVAGTED VQAKDKERDRRLEELKLMEETTERKAREARQRDLERSVAAMRKQEREKLKREVERLGG GRPEDSTLFRTDLAQFGSASFY H257_02620 MCECDRRARRAGLSTPSARGFFPFVVLSPAAGPKKEQYMESVVA VEPGIGGTAVVVVHDDDGVANPPLDVDTEQRPTPSPSQSRSQSQSPANKGTTHSDPTS QPASTLTAPTSLSTGVKAVLGGIPLELSATQSISRRLVPTDVTMQCPLLLGSYCVDEN GVALWTGRWAMTEAEYATGITSLFEMKSKPLPMQQLFPNQSGSTHNNNGLHAENATDE DENRASPLASDKSTTKGSCPIERKFDGFFQILTMKGKPATIPEKDVSLTFQKCDMDNV YLVRGTGENRFGVFTLQGLLDETSQELRLYKAYKPKDKTPVAKRTRPKAEPVVKVEAQ TAHVEKTPSKKQKVKVEPAYPIPQSITSSLAPSVAVDFAYVSKALGAAPTTTSAPTIS YERMSNAARHRSERKRSLPAHLREEGLAELERVPAMRKCHNILKNLITNPKSVPFLVP VDPVALAIPDYFKVIKEPMDLGTVRGNLENGVYPDPHAFAEQVRLVFNNCMRYNAAHT QVHVFAQKLLEEFEKKMKAVFKTEAAMKLGKGRGNNSDGEGSQDSYSTTSQKKKSKSK RPKDEEILVLKEEIEKMKVLVHGMYKGTPPGGTPGGSKPRPARPFRMQDLTEEQLLKP MTANEKHKLTSELKTLPEEKISRLLQLIAEIVPFHTIANGDDEVELDLNLFDTRSLRM MEGYLREHNVAKRKRPPKKAAAKESDRLQMAKESTEAIKFRQEELQRKLAAIESGTSV ANISRMIPQTVEDLRTKIDGDKSSDSSSSDSGSSDSESSDSDTEQPLQKSPLSLVSGP TSLSSDLSMVVEDQGAAAAALVPLSTSEPLKVENRGAWTKLAGRLESTDMPSVGDNSA SSSPPTVIQSDENASTSLWLYARTMEQQKLQKEQMKDVAAPVLLPSVAGTEDVQAKDK ERDRRLEELKLMEETTERKAREARQRDLERSVAAMRKQEREKLKREVERLGGGRPEDS TLFRTDLAQFGSASFY H257_02620 MCECDRRARRAGLSTPSARGFFPFVVLSPAAGRGLERGPKKEQY MESVVAVEPGIGGTAVVVVHDDDGVANPPLDVDTEQRPTPSPSQSRSQSQSPANKGTT HSDPTSQPASTLTAPTSLSTGVKAVLGGIPLELSATQSISRRLVPTDVTMQCPLLLGS YCVDENGVALWTGRWAMTEAEYATGITSLFEMKSKPLPMQQLFPNQSGSTHNNNGLHA ENATDEDENRASPLASDKSTTKGSCPIERKFDGFFQILTMKGKPATIPEKDVSLTFQK CDMDNVYLVRGTGENRFGVFTLQGLLDETSQELRLYKAYKPKDKTPVAKRTRPKAEPV VKVEAQTAHVEKTPSKKQKVKVEPAYPIPQSITSSLAPSVAVDFAYVSKALGAAPTTT SAPTISYERMSNAARHRSERKRSLPAHLREEGLAELERVPAMRKCHNILKNLITNPKS VPFLVPVDPVALAIPDYFKVIKEPMDLGTVRGNLENGVYPDPHAFAEQVRLVFNNCMR YNAAHTQVHVFAQKLLEEFEKKMKAVFKTEAAMKLGKGRGNNSDGEGSQDSYSTTSQK KKSKSKRPKDEEILVLKEEIEKMKVLVHGMYKGTPPGGTPGGSKPRPARPFRMQDLTE EQLLKPMTANEKHKLTSELKTLPEEKISRLLQLIAEIVPFHTIANGDDEVELDLNLFD TRSLRMMEGYLREHNVAKRKRPPKKAAAKESDRLQMAKESTEAIKFRQEELQRKLAAI ESGTSVANISRMIPQTVEDLRTKIDGDKSSDSSSSDSGSSDSESSDSDTEQPLQKSPL SLVSGPTSLSSDLSMGKTFMICVLGCYLRNPAFCPSSRRRPRRRRRRAGAVVDLGAPQ GGESWRVDQVGWAFGVDGHAQRRRQQRVVFPTHCDSIGRECVDVVVVVRADYGATKAA KGTDEGRCCPGAAAVGGGDGRRTGQGQGTGPAPGRVKADGGNDGAEGAGSPATRLGAV GGGDAETGAGKTQAGSGTSGRRPA H257_02620 MCECDRRARRAGLSTPSARGFFPFVVLSPAAGRGLERGPKKEQY MESVVAVEPGIGGTAVVVVHDDDGVANPPLDVDTEQRPTPSPSQSRSQSQSPANKGTT HSDPTSQPASTLTAPTSLSTGVKAVLGGIPLELSATQSISRRLVPTDVTMQCPLLLGS YCVDENGVALWTGRWAMTEAEYATGITSLFEMKSKPLPMQQLFPNQSGSTHNNNGLHA ENATDEDENRASPLASDKSTTKGSCPIERKFDGFFQILTMKGKPATIPEKDVSLTFQK CDMDNVYLVRGTGENRFGVFTLQGLLDETSQELRLYKAYKPKDKTPVAKRTRPKAEPV VKVEAQTAHVEKTPSKKQKVKVEPAYPIPQSITSSLAPSVAVDFAYVSKALGAAPTTT SAPTISYERMSNAARHRSERKRSLPAHLREEGLAELERVPAMRKCHNILKNLITNPKS VPFLVPVDPVALAIPDYFKVIKEPMDLGTVRGNLENGVYPDPHAFAEQVRLVFNNCMR YNAAHTQVHVFAQKLLEEFEKKMKAVFKTEAAMKLGKGRGNNSDGEGSQDSYSTTSQK KKSKSKRPKDEEILVLKEEIEKMKVLVHGMYKGTPPGGTPGGSKPRPARPFRMQDLTE EQLLKPMTANEKHKLTSELKTLPEEKISRLLQLIAEIVPFHTIANGDDEVELDLNLFD TRSLRMMEGYLREHNVAKRKRPPKKAAAKESDRLQMAKESTEAIKFRQEELQRKLAAI ESGTSVANISRMIPQTVEDLRTKIDGDKSSDSSSSDSGSSDSESSDSDTEQPLQKVSS YHF H257_02620 MCECDRRARRAGLSTPSARGFFPFVVLSPAAGPKKEQYMESVVA VEPGIGGTAVVVVHDDDGVANPPLDVDTEQRPTPSPSQSRSQSQSPANKGTTHSDPTS QPASTLTAPTSLSTGVKAVLGGIPLELSATQSISRRLVPTDVTMQCPLLLGSYCVDEN GVALWTGRWAMTEAEYATGITSLFEMKSKPLPMQQLFPNQSGSTHNNNGLHAENATDE DENRASPLASDKSTTKGSCPIERKFDGFFQILTMKGKPATIPEKDVSLTFQKCDMDNV YLVRGTGENRFGVFTLQGLLDETSQELRLYKAYKPKDKTPVAKRTRPKAEPVVKVEAQ TAHVEKTPSKKQKVKVEPAYPIPQSITSSLAPSVAVDFAYVSKALGAAPTTTSAPTIS YERMSNAARHRSERKRSLPAHLREEGLAELERVPAMRKCHNILKNLITNPKSVPFLVP VDPVALAIPDYFKVIKEPMDLGTVRGNLENGVYPDPHAFAEQVRLVFNNCMRYNAAHT QVHVFAQKLLEEFEKKMKAVFKTEAAMKLGKGRGNNSDGEGSQDSYSTTSQKKKSKSK RPKDEEILVLKEEIEKMKVLVHGMYKGTPPGGTPGGSKPRPARPFRMQDLTEEQLLKP MTANEKHKLTSELKTLPEEKISRLLQLIAEIVPFHTIANGDDEVELDLNLFDTRSLRM MEGYLREHNVAKRKRPPKKAAAKESDRLQMAKESTEAIKFRQEELQRKLAAIESGTSV ANISRMIPQTVEDLRTKIDGDKSSDSSSSDSGSSDSESSDSDTEQPLQKVSSYHF H257_02620 MESVVAVEPGIGGTAVVVVHDDDGVANPPLDVDTEQRPTPSPSQ SRSQSQSPANKGTTHSDPTSQPASTLTAPTSLSTGVKAVLGGIPLELSATQSISRRLV PTDVTMQCPLLLGSYCVDENGVALWTGRWAMTEAEYATGITSLFEMKSKPLPMQQLFP NQSGSTHNNNGLHAENATDEDENRASPLASDKSTTKGSCPIERKFDGFFQILTMKGKP ATIPEKDVSLTFQKCDMDNVYLVRGTGENRFGVFTLQGLLDETSQELRLYKAYKPKDK TPVAKRTRPKAEPVVKVEAQTAHVEKTPSKKQKVKVEPAYPIPQSITSSLAPSVAVDF AYVSKALGAAPTTTSAPTISYERMSNAARHRSERKRSLPAHLREEGLAELERVPAMRK CHNILKNLITNPKSVPFLVPVDPVALAIPDYFKVIKEPMDLGTVRGNLENGVYPDPHA FAEQVRLVFNNCMRYNAAHTQVHVFAQKLLEEFEKKMKAVFKTEAAMKLGKGRGNNSD GEGSQDSYSTTSQKKKSKSKRPKDEEILVLKEEIEKMKVLVHGMYKGTPPGGTPGGSK PRPARPFRMQDLTEEQLLKPMTANEKHKLTSELKTLPEEKISRLLQLIAEIVPFHTIA NGDDEVELDLNLFDTRSLRMMEGYLREHNVAKRKRPPKKAAAKESDRLQMAKESTEAI KFRQEELQRKLAAIESGTSVANISRMIPQTVEDLRTKIDGDKSSDSSSSDSGSSDSES SDSDTEQPLQKSPLSLVSGPTSLSSDLSMVVEDQGAAAAALVPLSTSEPLKVENRGAW TKLAGRLESTDMPSVGDNSASSSPPTVIQSDENASTSLWLYARTMEQQKLQKEQMKDV AAPVLLPSVAGTEDVQAKDKERDRRLEELKLMEETTERKAREARQRDLERSVAAMRKQ EREKLKREVERLGGGRPEDSTLFRTDLAQFGSASFY H257_02620 MESVVAVEPGIGGTAVVVVHDDDGVANPPLDVDTEQRPTPSPSQ SRSQSQSPANKGTTHSDPTSQPASTLTAPTSLSTGVKAVLGGIPLELSATQSISRRLV PTDVTMQCPLLLGSYCVDENGVALWTGRWAMTEAEYATGITSLFEMKSKPLPMQQLFP NQSGSTHNNNGLHAENATDEDENRASPLASDKSTTKGSCPIERKFDGFFQILTMKGKP ATIPEKDVSLTFQKCDMDNVYLVRGTGENRFGVFTLQGLLDETSQELRLYKAYKPKDK TPVAKRTRPKAEPVVKVEAQTAHVEKTPSKKQKVKVEPAYPIPQSITSSLAPSVAVDF AYVSKALGAAPTTTSAPTISYERMSNAARHRSERKRSLPAHLREEGLAELERVPAMRK CHNILKNLITNPKSVPFLVPVDPVALAIPDYFKVIKEPMDLGTVRGNLENGVYPDPHA FAEQVRLVFNNCMRYNAAHTQVHVFAQKLLEEFEKKMKAVFKTEAAMKLGKGRGNNSD GEGSQDSYSTTSQKKKSKSKRPKDEEILVLKEEIEKMKVLVHGMYKGTPPGGTPGGSK PRPARPFRMQDLTEEQLLKPMTANEKHKLTSELKTLPEEKISRLLQLIAEIVPFHTIA NGDDEVELDLNLFDTRSLRMMEGYLREHNVAKRKRPPKKAAAKESDRLQMAKESTEAI KFRQEELQRKLAAIESGTSVANISRMIPQTVEDLRTKIDGDKSSDSSSSDSGSSDSES SDSDTEQPLQKVSSYHF H257_02621 MQPFLDLVGHLEGRDKLTKLCQYSSRGLAFVILTADPKSVLGQR LSALYKATQAARKCFRIGKSIMYFPKIDATLNNKVLSTRSQVLSIVQDVGMGSFFLFD NMQFFANAKIFPFDAVQAGKQGGYLWFCANIAGFILAYEALQKEADKEVALIQANITG DIQPQIDALREVRSKKALALLKVTCDLVVSANTAGVRIPERLLGKKLNDGIIGVLGCI SASVFLHSLWQAQSLQRGPKNKQVLKS H257_02622 MMGTWQLDIMQATWRRAAVRLFSDSSRPTNLMADVHGTTHGKKA WRNKPLFRREGDKKFRTGTEAADMLYEEAHRRDPYQTEFLTAVKSFTESVVPVFDRYP KYAWVMKQLMEPERVIQFRVPWVDDSGSRRVNRGFRVQFSSCCGPYVGGLRFHPETRH GTVKFLAFENVFRNAVYGPLGGAAGGADFNPLDKSEAEIMRFCQSFTTELANYIGPTT DIPTAGVNVGPRELGFMFGQFKRLRQLHPLGVDGVLGGSAYFPQVPGHGLAHMAKLMV EANGQSLVGKRCLISGSGTVALNAADKLLDLGAIPIGFSDVWGHVIEPAGFTREQLHR LKQIKAEHKAHLGGYIMTSTSATYCPTEDGSLWDVPCDYAFPCAMQHDLDEEKAKKLI KNGCKGLFEGAHLPLSQEAIKYIQQSNAIYGPCKATNGAALALITRTSGLSALRPADI DRIVQECMQDVFSAISTTAVEFNLARGDYHAATNITGFLKVAQAMFRQGAV H257_02622 MMGTWQLDIMQATWRRAAVRLFSDSSRPTNLMADVHGTTHGKKA WRNKPLFRREGDKKFRTGTEAADMLYEEAHRRDPYQTEFLTAVKSFTESVVPVFDRYP KYAWVMKQLMEPERVIQFRVPWVDDSGSRRVNRGFRVQFSSCCGPYVGGLRFHPETRH GTVKFLAFENVFRNAVYGPLGGAAGGADFNPLDKSEAEIMRFCQSFTTELANYIGPTT DIPTAGVNVGPRELGFMFGQFKRLRQLHPLGVDGVLGGSAYFPQVPGHGLAHMAKLMV EANGQSLVGKRCLISGSGTVALNAADKLLDLGAIPIGFSDVWGHVIEPAGFTREQLHR LKQIKAEHKAHLGGYIMTSTSATYCPTEDGSLWDVPCDYAFPCAMQHDLDGTVVFVVV YAIE H257_02623 MPRGKTITDHEKGQIQAYLEVNKSLRWIANALGRSDKLVRTYVA KLKQSPNPKRPGRRTKLTRRDVRRIFRLATRKGMSSRQISAAISGKVTQRTVIRVLKM SKFAKYIKRRSGPRLTSKHKKDRVEFASNYLNKLAELKTTMFSDEKKFNLDGPDGCQY YWHDLREDVETYSKRVAGGGSVMVWGGMSFYNKTELAFLEGRPDSARYQETLEAYLLP AMRELSELTSKAMPGDTMPWPANSPDLNPIENVWGDLQKLKELLHPETSPYAFQPLRP VKARKRPKPPASLLPLPANRSYDPALVHELATCEHLVVKTILHREDTLERAKMLLHTT RLLPRQDKHTLRLQCCVATVDVLLAIRDWRQRLAAIQSFGRVFLWNGVSYIRKLATDV PALKQTLVITTSPTSMPNPFFLPCTLESLLAPSYDMINWMDDMDATQRLRDAASVLFH DQEFIQLWKDSALVCSNRFLRYNARHHATTNPFLDRIRASQLEFVQKSSLFDTSPGLR LLCHALLHHLHVDCRWDPKHLLSSLLEFQPADMASSAEVALTDLFANHPSAHPSKLFG DHAVCASLSLFVLHILVQLVGQKHRCPMVRLDNSTPTLPLSPASTFHIPIRFALHRAL VHCRASSTQRVDITVATPVQVLTQRMTIDDLLQMCSSSSTTTNNEPNANPLLQDSQTL SAWIKATFLHQCHLTALPLQTATFTPTLLVAVVRANPEGMRPVRLGSLDVLPSTTLAQ ARAIVDVNYFQECPQPFRFLYRGSPCPVAQEAFRCVAGAIRMDNPTLVVCSMESPSSI RSMPHPQRKVKAQRMVAHLMYIPIALAAEEKRFREERERLEALARLPRPPTSISMFVQ VDVPALELSSFHIPNDRLWTVAQLFDEVAKQFECRVSSATHDVVVDGNILLHTVVAES SWDKCTLNFVHHRVNIDVPCGAVFYVQQHSHIVVLRQRACANLPPPFDHWGLTPGRKV YIPSSRDDMYTVTSTSITSKGVVSFLLDRPYAGPTDWFANITMHMPRLLADPRLEWEV QGKLTSYVVRHRTKVRGRWFGKAMPVSDALCRLQTSDILPHVRALTVDWFVDFLYDTI CTAFPLSYGITTVKFATFLKAYRLAASLHMVTPNECHVYFSRFLNGHDVLLKPQFRVA LNDIAHQVLQSTSPPRALDKAAVNCDQNHFCEVVPPSPLTLCRFLFSHVLSYAPLPVQ DAVFGHCTELALTTFLQAQCASLALQSMARMRCRRQQYLRRRRQTVLVQATWRRFVCR RKYLYDQATRARHARLCLEMQSAVRLLMYLRRVVLQRRIDARDAANRLADRTMLAFAN DHRWCRRSLHDYHHLAQPQQHDEPSADMTTSACGARKGNRRHQGRVVALYNRHSHTFT RLILQIHSHPRLSSLRWLTAYDPRHSSVQVLPLDTSALQRMIPSTDWTTINDNLVRRL EVHRSSAIRLSRKEHATQPGRRLIQLAMALPVANIPRRQHRRPTRFVLKAVEYSNEVI VQVYNPRDSKTWRFPSTSNDERHLLTVIQSLRVVSLEVPGEYTVMLEMAVDAILRSHI VLELQRHVRRKLAQRRAQDLAVLQWLRVQVKNRYQYVHAPSGLWRHSSKPAMLRDANP VNVMREWYYVGNYTQTKVPYAYYILPRRSTISRKSKVHAAIHIQTWYRNRGHNRHLFD CVRTMITLHQFHVQWQVQSQTTPFIHIPRLVLYKAMWRHLFHENLDGAAPLYEDAVRL LVGNIPIPPSDVALVVCCSAVFWLSASSNDRRQLALSYLSRYRTKLLESDVEFVHQRF YHWAIVNHPLSDVATHAYAVFLHLVRGHVTQADTLYNASIDRNCPRELVEANRRLAHV NGDLIYEVSNLDDFALALRPQDRRSRTNPVVILQRHFRRTRRQYLRMPSLFATMRAIS VNALAIEKFQTLSPISSPTTMESVENYILHMHLVQHTPHVVASLYASYYLTSPAVRCG YALWLLSESSPGSTTKAVHLLADMTVEMKTKGVLRALEVTFLRFVVMCNPPDVQGLLN YALYAQFVLQQYDVAERLFAAAVAFPQDDHFPLALKLSFRFRQHRLPCNVSSGLGPTR AVYYDKTTQTVVTLGCWSRLCFYVRQIYPVYFWCHALTFQRVWEDRIMLGLLNVSHDV REYVAQLRQSQAISKLQASSRQWLDRRHFLRLREFPMHGGSMEAALTLHFVEGNWVKA ESAYIQLLYVEPWNAVATGGLVMVQRLLQTLQPRDATSSHNHRGKWTQAYKRFHCSIN SNRRADGVRVLLQWSRLALTTQPFPSLPYLPSQTHASTPWWRWLNYALCLHYIAQRYD VAESVYQKYSCNGPSTSDYDVFAMMHRDFLRQRGRNELHMGDFPPREGRRDAVPVPPH PSWTLIDPSWQRIRAHRHVYWRHQTTGMCRWSVKLSICPDAAHLAARTIQKWAKRHWN PFAMLSAWTSGRCEVDMLEIEIHDSWANDPALCPLGLLVCCFENTPAERGHSIFGSQW NTRLHAVSKPTWETKVLPCFQFALARSPLSPRVWLLVALIAHYIQPTNAVLAHRLYHH SLALAASDKRVADVVHYHWRELERQFPHVCSRRTVYHKDTVTVATVHPFLRLRVWHGQ YLWWNTLTERILVQPTRLLFQVPIALCNRDDVVCRIQRFLRQHGRPCLHRVVYQSGVF RRVRWLYEEARPPSPLDLSDSLSPNIQSSEAWLRRGLYRWCKSNDLQEGLKVWMEGCE HCPLDSPLVQVTTLATMVSHRNLHHPTALQQLLVTKPHPCFSNGGIVWDCLYWTLLTT QSQETLTQVYLILALGALHVSKQVADAHWWCLQAFGAAGSTNNPCYREMLENFFTYYH DEIQLLGPSMAMRQGATLTAPSLSSSVVAEWLQYSMTSWQHHPKGFYVHTRSGKAYWA KPVALSITPRSHQYIHAAHVLQAFWRFYGSLWRQLRRRYLPQLTSAVNFHNKIKAQDT TPIERALMVHALHGDVATASAMYMTILSNQPRDSVAMRGLALCYLASDAPLDQISRRA DVLLQRAALVDATSTGTKSLDQGMIASYYFVFGLLGAPDNPCMVLNVALWHEYVVRND AVARKCYHWATHRSMHSLALPRIRHQLQCLPTGLHPQGPLRRPKHTMAIRQHPLFPEW MQCLDLSVDAPVLSLYWFNSKTTRTAWSVPQHAYVVVKYSERQRHSIAILQRFYRRHV TCTTPVAFGVKSLVRALKYHHSVRVKYEADPTRSAAVANYALHVHTFENDPKRAKLLY KEALTVSKHHVVSCCYALFLLAVCQPPRLHSTREAATRIAEAKRASPTWQADFAVAHH CFFRYALVAHPSESWAWLNYALLMECIYEDAEVADHMYRRGLALEEEIKAKRQTRNRR ASSSSSNSSSSVVFLATGFLDENYTAFRLKCAKGGSLGLQGPLQRVYKASTCVHSWGH WKYMQMPSSEVQAAVESEVGLMATSDNVTSRMFWYNMITCQTFWDRPDSLCPSIDAVT PAFVRTHAQWSSRCHNVIVRLQRWVRTCICRRVGLVLVTFDAPRAWNRLILSTDKFDV ALTTHAIHCDANKAVAMYRAVLDATPKHPHASWCLGLALLSLGRHDEALPLLHPKMPP NRGLLSAASATFRSLSPLSIAAASDRGRQFHRRGTTTSGSSSPTIPAVRRVREDGDPS RPPSATRPSPIHTPSKLSKASAQIALVFQFQAVRQPTDPTSLLQFALVLQHNPHRPDA FLAVARFFMQRAVRCAQADDSFPSTHLSTMTSVQHALWRQLWETEDNGGRCCGPGQAA YRSSTLVAVHGDGWQRREWTDGDVPHPCRRFWVHVPTCRTQWSDPSDTLVNNDIPTY H257_02624 MLSAMTRSVMTYLVIWTVAARKVRGLEHSCTRLVKDRNNRRRGR LRRRGRLRRIPQIRLALGKVKWKELDQQRPIDGVDGVLQEHRRGLRARNRWSCLWVAF VFHHLHLGSRVVARGKHTTSHKVVDHVWGRIPAHSRAHASPYQALKYIRRS H257_02625 MSSFSHLDLQPIAKCAAATTSAATFLAYVHQIHRDKLKDKKHSS IWIGDPSLPYFKLHVWSDDCTVVGRRLQAGDIVCFQDIAIRCFRGNNEAHLTSHSMYT VLVRQNQFQDVSSQHLYVPFTSIMPVVEWIKELHAKGVGFGQHGSVSTVTLKDLRENM LAHVVCRLRPLLRHDPFQSTTTTSTSDDDPKFSAQLRQLVMVDGPDDGMILNVWHDHF DVRTIAFHTLVEVRHVVITFNTLRHSLMANTTGESSLAPFAHSPCANPHDAAPALLPP LVTCDSFAEAADSHVHGRLVVRDVIVESLELSFPSPSLSSCWQVGLLVEGYCAWCECS LPEQPTEVVPRLYGPCVNRCQGTKTMRWRYRPAFLHIRDRLGHRMRLRVPDAAMQGLV GHIPAAAVADKHAMHTPLLMTVNDVRDTVRMLLQALVDDPEHELDIQVYSHFVGGDVG FTRDSAYSFVALHA H257_02626 MFADDEFAARCCVCGTSLEDDSNVLNTTMKMRISKCGHRYCDRC VKLEFQNHREITCAKPGCGKLVKKSQLQDKTKEEQDFNKDVTIRKKVLKTYNKTGEDF NSLDEYNAYLEAVEDLSTSINMSCCFVDPCFCMATFMNIHVVFDLLSEDDAVKDAANA KWKQYKQENTLLINANEAKKAEEERRILHVIEEQRRVTDDRRRVQQQEDNKFQLDVQR QKAQLMEVALGERDEKDVEKIVNPTTAIPIDQPLSAEMEAAMMGFQPGFFGGGPQPVP VHGGKKGHGAADSKQLRALQQRAGGYDPQTSTNRNVEEAWSGVFIKLGISH H257_02626 MFADDEFAARCCVCGTSLEDDSNVLNTTMKMRISKCGHRYCDRC VKLEFQNHREITCAKPGCGKLVKKSQLQDKTKEEQDFNKDVTIRKKVLKTYNKTGEDF NSLDEYNAYLEAVEDLIFDLLSEDDAVKDAANAKWKQYKQENTLLINANEAKKAEEER RILHVIEEQRRVTDDRRRVQQQEDNKFQLDVQRQKAQLMEVALGERDEKDVEKIVNPT TAIPIDQPLSAEMEAAMMGFQPGFFGGGPQPVPVHGGKKGHGAADSKQLRALQQRAGG YDPQTSTNRNVEEAWSGVFIKLGISH H257_02626 MFADDEFAARCCVCGTSLEDDSNVLNTTMKMRISKCGHRYCDRC VKLEFQNHREITCAKPGCGKLVKKSQLQDKTKEEQDFNKDVTIRKKVLKTYNKTGEDF NSLDEYNAYLEAVEDLIFDLLSEDDAVKDAANAKWKQYKQENTLLINANEAKKAEEER RILHVIEEQRRVTDDRRRVQQQEDNKFQLDVQRQKAQLMEVALGERDEKDVEKIVNPT TAIPIDQPLSAEMEAAMMGFQPGFFGGGPQPVPVHGGKKGHGAADSYDFMFLNVTSHA H257_02627 MIDDVDDDSLEKELKESAIFSPQVDELHLQAHDFSHFDGPALSL WHLRQLLLAPRGSSRTAATTSTMSPSTLHTLATQFMHSMSVEEEVDMVHVSFVPEPDS TSTALPTTTSVGPSPSDLADFRLHIAPQSNLYLSYRKYRVAVCLDASPSTLSIDPISG TLILDMAFQAIDLLLQGLVEPPPSSTSAFVFPEIYLSVIVQGAMVDSLCVLVQGVTLH AANVREVLALVKHRLQLLEDDWAKKLPSPSFSDPTSLATSLQNSIFALNSLPHDASPM LFLVTDGVVDLPNMYAYDDLMMQLARHNIQCHAIQISGGYQPQCAFGYVPDTDLLRFL ADATGGSLFDVPTLHLLVQSRSHPLCANGLQPVLFFNPSLVVPRAHSWDMPQVVDAEP LAALNAFRPLRLFREKIHEYKMRGSVLKIVQARLQEGFFVSKVTVDSAVVVSCLLQWK SDIWLEYTVTANFMSNDMTLRVDVLAPAKFLDEFATKQRNGGGLVFDGGPPGSSSTAG ANASTLGFAAAPPPSTAVSSMLDRSNASTNSVISSPTTKALHTFLKDLHERDRILLHL MSAIQMQATLDTETKPILNLFSRTATAVTSAHPVFTLLGDLSPLLWHRWFHVERFELI HVEWTAQHSADTAFVAADALHKWATMQLSPNNYLKFLADDMRRSLSKPSVSSPALAGH TSSAKSTVNRKQRGSLISLAAAAATTAPAQKKAVCFVRLERSSTSAIVVVHVAFYAAK TAIRKAVLADLKRLLHSSATNSPGEPRSPGLVVSHRLIQRLLLEPPALTWLIAPEQPV AAFTHPPSCCGGSGVSMPVFAASMWHVVWLWHIPPPHQVEAMRRLQLNRQRQGGLVTL QATPTFVLLAQEVVVWNSGRPTAALYQCAISVVSDTTVMTSFWMEPVTGYIDATSSCL TENSRHQPPAMFGTPPPALTASPSTKHDTAATRLSDTQWFEQMQQQLYQADLHVLSCL LTFYRIVQAAKDGIRVVQPPDDVVLPCPLNGFPVRNSPFSAARLMTTTPPSTERFLLY MANDNTANQHLHAMLVDSFVRLADCEVAWTEFNPPPHTACGPLWISRSSATSKSDTPA APPPPGRCFAKVVGDNTLLLAFVPTLDTMVAHASSCTLSSLEGGASPSVRLDSDEETE RLKWLAGLSSSTVVAPPMEHMTRQDLVWFDQRRHWMANDPKPTSENEDSSPPFDVLPI QFYECSLPFKSQPVVTAQSTPDLDAFVGQVRAAHKHNFSHGVYHALRSGATIEPCDLL QALWSCVHVPLDVDVTRLHAMLPLESPCSSSASEDDTSSSDEEEIGRGRRGGNLVVPS ASLNEALSALISASLTSIPHTQWYYYTGTAAADNNDDDDDEGHQDAPEEDVAAAATDG NESSHRNHATFFVRFECWQDEDASWMSEATSGGRPRSKSVRVLSASNHLTDVLNNMAQ PYRLVSDDHPTTSLIDRVLFLSNLLKDPRHPRVYLRLVVMTLAPEHDAANQPQTKVPI VLRRLRNAIQELCAQHVLAILQCLPPRSLESPPLGALVRLLFDELPAASVRQVEYPLA FLPLELPDWPTLHLFHDHLLSNNPWLRLVRCDQVYFVFEGDVEPILYWAYFTVVAGGD SVSLQLHIPTSRGMSTGLDELGLLTRLHLGIAATVTQVNQFLLLQQMHETRSCSPLLL PSPHNTSSSSSIMLASARSSSHNNLFDMATGAPSSTSVSSSPFFWPGQFECPLKFQTT FVLKSRLVPHLALNMLCSSALEQFQVHNRHHLFVYRDKRGHVFYMTLVDKSQGSSSAA IELQVFGIREPSDEITVELCRVLEQKLDDTLLRILMKAMPTMKAAPRTVAGAPGSSSS LSSSSRQNKLTTSDFDFLVPDIAVPTFTTTQVIPPVDGLLFLHFVKEKLVETPYIRLA PPVDPADEAKGADPLLSGIAPSSAPSSASSGTAALGDEEPTTLSFVFNVNPELSGRSG FVASLGKGLAWVTLEVVDDTPIQSPSVASPPVWSKVLATWPQCPQSHQSVRWRVYVRG QLSTDLMQEVLTTAVHQALYEYAIESMLSRQTTPQTSYHYSVETVRELRKLMDKAGHL SATTITLMQQRDIVPSYDIEHVVKQLAVPFERLPGNVQPHVFFRADSDVPYEPYDGGD SSSGVGEEAAASYSFTHAFTFVCPMGAGSAFTFDEPDRLSKSFSASSLQSVDTLNSPM MISPRQSHPKDDTMLHSTVLSRSRHLFYQVELTHKGLVFASYNCHPHVLDILSTGFAK ALGWCSLRQTLLRSILFQKRGYALASPTTCSMLQPSAMVVRPPSVVGKAPAWTGRDFT STLIAFTPQVFHVLLDHNNPPALVTATMVRAVDGMGLVEYLRETGTPIEDIPAMRPRG TSSTVSEKLDTNNPVTPIPAPVPLPRTGVANPPPTATATSVRKANVPPNATNALMAAR ARARGVVKAAPVASTAAATTTDDAETKLPPAWTLTLNKDMLAPRSSLSKAANHVPTTT AKQPSTTALTAPPKASLPPIRRLSTSEKATSTCNEHLWRHTLRTLLPSSFSHYNQNER NELLKATDPLSYHGAKLRSALDFHDQHRMQYNQVYDLFKSLMAQECLAGRPIPSYAVD QLVGCGRLMLHRHFLVSFSGVWPSTASNVAHHVSGMLTLLSYEIQFIFNDVYAAVGRL HVDFLCHGGTAPKSTPATTSASSSPVQTLIHQVATDRPHLQIAHTAAFRQVLVKHLEK AGFRALPSQPPPCLVYVKKVTEGLVLVEVLDKGNGMTVRAYFVSERDVVSLDAGRHLP QSSYVQLTGDQVVYTMAYVQTLLQLKPMVYDFAVADLHAYLLKTLAMTKDQLKAHLVA PRDLRHVTSGMEMLMAKHPSPPTGANHCITAFEVDVNLAEDVSLLVRYIACHAKRYYV SDLLVFGTPNAIAARSVTGHFLRNVNGNVECPYSLVLTSVGPNTVKAFGLHMHHNPFQ TTELMGEVEIFILELLDTAQVDYRRDVLWSQLLCSGKSLAVQAQMHLPPNWVVEVGPE QLEECLALSVRTPFVSIDPVLGELLKLPVPWHEFASLLEAVHKETMREYHFKDTRHVL LMCVGARDIMIHIQHDSTSHVQMEICRRDAPPNGMLSPEQRKTLRDFVNHIVYWLWTQ LNS H257_02628 MSGLVGVVGSNCISSKKSRVAMLRHRKLTAKEKLLLAQGGTHTT VLDVLTKKLVAKLEELIPVENERHDRAFRLFTSTDAITPQSFHALLLKFRISANRQQS YALFHKYDVDCRGTLDRTKFLNGVFQFRRKGSAPIPTIVQQRSYDEDRQDEPPTPPKR GIAARPKQPHNVRNSTSVIMSDVITHPPNSHSEQPPPRHKHSTVTDRLAHKPMLTHHH PAREQHETPLGDNIPFEEVVKRIRDKIDQRTSKASDRFRQAFKIFAKASGITMDEFHE GLLQLGFRLSPDQNKQLFNTFDVNQSGDLDLNEFVQGISLDDSSIKYINAHVQRQKRE EARRKRYQMAVQSVERAWTIEDMERKLREKIEQHTSKSSDCFRQAFKIFKKSCGIRRQ EFHDALAELGLDLNREHTDKLFAKYDIDGSGDIDLPEFVRGVLPADYSGGTWVAEADE MHRIEAESKKLNPDSHLNRVEITNWSLDNIQFKLREKITQKTTRSSDTFRQVYKIFQK STGITLLEFRQGLLLLGFRLNDAQANGLFNRYDSDHSGTIDLTEFCRHVLPPDYNGDG DHWGHTQDEHKQRAQDAMTYVTMTKNGTVPMATPPNPMREGTPMPSRPNSAPQARPPH SATNGGPSPHHRRHSMKLTPEANVWSADATSPDKATAHAAPSSSRPATARPASARPAS ARSRQSAENDPQHYLRPSSARSCSSSSSVSYRANPFKSKRRHFFHHHSLWQRKVKFHR AQQQLELQKLQNNPPGSTTAPAPPATQPNELDEYEDIAVIEDDDEDDADEEGGNAFDD DDSPYKELRTPAKPKPRPASARPSSAKATKPPTARPPSASKAPANSRPQTSASTATSS STASRTSQSPRARRQQQHGSDTTSQSSHETTSTTAEGVHPAKFKPRIYGPGFKKMFLQ LAKERLVVHER H257_02629 MFRFNFDNDGTAAAANASPTADAALSQSDLVQKRPAVLHRAAPL THAFDADQFIVVPVGPSVSMAVVNQHHPRFLSRTGELANLLITSDIQTGVYEGGFKLW EGAVDLIQYMTANAARFPVHAHGGWRVLELGCGHGLPGLHALQQGAAQVVFSDYNHEV IELATIPNTQRNATTDKATFYSGDWHLMSEHMAQANHGTDVEFDLILSAETIYTESVS VELFKTIRRHLKRRGGVALVAAKSYYFGTGGSVHHFKSLVSQDATMVAHTVWESNDAR SNVREILQLAFV H257_02629 MFRFNFDNDGTAAAANASPTADAALSQSDLVQKRPAVLHRAAPL THAFDADQFIVVPVGPSVSMAVVNQHHPRFLSRTGELANLLITSDIQTGVYEGGFKLW EGAVDLIQYMTANAARFPVHAHGGWRVLELGCGHGLPGLHALQQGAAQVVFSDYNHEV IELATIPNTQRNATTDKATFYSGDWHLMSEHMAQANHGTDVEFDLILSAETIYTESVS VELFKVHERSTRC H257_02630 MLLLQRSFARASTLVPHCRHVVNAAHVDVIRGTEPKTPGPFTVK QVTPEWNTAIAARDIEPNEVIGTARGTMYDAPTRFTIQMTHDKHVEIFGGLEYANHSC NPNASFVMSETDPVVQVVAIQPIAKGTDITFDYNTTEWDMDEKFDCQCGDAACRGHVH GAKHLHDADVLKLLPHLSASNLRHLLKRKLVQG H257_02631 MQGHIARYRTAAAVEICVKQDTRRVDGMGGEVWPGAHVLCAHLE THVVALDILRASVLELGAGCGLCGLVTSALGAQHVVLSDEYPDLLQLNIDLNKDWLQR PVEVRELEWGQRHHVPVDMTFDIILGSEITQVGRKAHPPLLQTISWVFHAKSVALLSM DACRSSCEGECDPLKCTASHFVSVSREFGFDVTKHPSVCLTSMASVNACVGALGRKWP LDGTELSAVFELRRRSDHHE H257_02632 MKVVVVGSGIGGISAAYHLVKDGHEVVLLEKEDYFGGHTYAIEV DGEPVDLGFMMFGDSNPNIKAWFKQFGITKEDGTTKTRIPMSLSVDSDIPGDIQFSSR KPFSSFWELFSIKKWQVIYDIFKFTVDLLTMPVTSNISTEEWAASGRYSKAFFRHYYL PFVSILWTVPKHDVMTLPASQFLRCLKTHSNSLYIPLWQVILGALGRRVDRPKHLWWY IGSAYKAPFLEYFESKGGVLKTNATATLVEEGGKAVVLASGERIECDHVVLASHADES AALLPWSKKNKLMDYYFHKSHMYVHRDESFLSKDKGAWSSWNVRIMDDDQYILTYWMN RIQHLKSTDNVFVTITPGDYKGRKPSNIVLDFPWDHPRRLVDCLPQDDIIQEEGITLS GAWLGRGFHEDGFVAGRRAAAIVNDKQHTKTVLYEDPGNIAVPAVPPFGMPLSVSTFF ASVAAAAAFGVYKAAEHYTK H257_02633 MVQIHTTAVTIRCDNAITYSRSSCRSTSWNRSLVRSGLDALTES AAEQTTPDTMMIHMYQYLTIDRRIHRFMAAVCHVSYAILKCICKHCHGRLTREIISSS GNLAMPMRHAAYNVCSRAPTPMVNLLLRCIVDDGSAQVELHCEGEVAWNLLQMPQRTV FETLAMEQAGELAYYASNDVAEGTREALWRKAVLDALTYLGQVSLCARRFFAKATNEA TAAQGPPMSVLRCGDFSVRTPVQPMVHMEATSVDVVYAKAELRRLLY H257_02634 MHRCLLRPLLLWPTRQTRVRHDVPSEWTQPLHVKSDLFFVGAIL VSGLTAYGATKQYWSHGGEPAVPISTTDDAEEPTTIEPEVDAINSPILTKETLMAVVK ELEASIHLLLDHVLMGVADVRGPEGAVDVDSLTESFLVQLEQAKTEILAKHGLAPEVL ASAIESHADDDDIRLALAQCRAQLQVQRNVKLTQEQVLHIIQDGLELVATTVDEAQGD DASQDGSGQENDPTYQEKLNAKLSAKHGQVNDEELARAVALFAAGDITFQRKLQALYA AHRSRMAQESCRHPSLVAPSHRSRIIHFTGVY H257_02634 MHRCLLRPLLLWPTRQTRVRHDVPSEWTQPLHVKSDLFFVGAIL VSGLTAYGATKQYWSHGGEPAVPISTTDDAEEPTTIEPEVDAINSPILTKETLMAVVK ELEASIHLLLDHVLMGVADVRGPEGAVDVDSLTESFLVQLEQAKTEILAKHGLAPEVL ASAIESHADDDDIRLALAQCRAQLQVQRNVKLTQEQVLHIIQDGLELVATTVDEAQGD DASQDGSGQENDPTYQEKLNAKLSAKHGQVNDEELARAVALFAAGDITFQRKLQALYA AHRSR H257_02634 MHRCLLRPLLLWPTRQTRVRHDVPSEWTQPLHVKSDLFFVGAIL VSGLTAYGATKQYWSHGGEPAVPISTTDDAEEPTTIEPEVDAINSPILTKETLMAVVK ELEASIHLLLDHVLMGVADVRGPEGAVDVDSLTESFLVQLEQAKTEILAKHGLAPEVL ASAIESHADDDDIRLALAQCRAQLQVQRNVKLTQEQVLHIIQDGLELVATTVDEAQGD DASQDGSGQENDPTWVDTM H257_02634 MHRCLLRPLLLWPTRQTRVRHDVPSEWTQPLHVKSDLFFVGAIL VSGLTAYGATKQYWSHGGEPAVPISTTDDAEEPTTIEPEVDAINSPILTKETLMAVVK ELEASIHLLLDHVLMGVADVRGPEGAVDVDSLTESFLVQLEQAKTEILAKHGLAPEVL ASAIESHADDDDIRLALAQCRAQLQVQRNVKLTQEQVLHIIQDGLELVATTVDEAQGD DASQDGSGQENDPTWVDTM H257_02634 MHRCLLRPLLLWPTRQTRVRHDVPSEWTQPLHVKSDLFFVGAIL VSGLTAYGATKQYWSHGGEPAVPISTTDDAEEPTTIEPEVDAINSPILTKETLMAVVK ELEASIHLLLDHVLMGVADVRGPEGAVDVDSLTESFLVQLEQAKTEILAKHGLAPEVL ASAIESHADDDDIRLALAQCRAQLQVQRNVKLTQEQVLHIIQDGLELVATTVDEAQGD DASQDGSGQENDPTWVDTM H257_02635 MTSCLARPRVGMDCRQCWPSSAAAAEARLAAYSCHCREKTARCS ATVGAAACAAAAGQTSWPWHWSERCQRRRWVAVRLPCWRPMGGCCWPLQQHLNGVGCC SSVGSCRCGPSRSGT H257_02636 MKDNTGAATSPTTANATAVLSMSSRKAKRSRALALALVDNNNRK TLLLDEASTPTVCSSTDCMLSSSDAALVATAEAQSKRGLDSNNNDEFGSHVLGKRAFV HGVMSNNTTTATASTSCSSYKEALLLHTTASSNLHSSAWSTSSTTAAAMANIMSPTPS ECGTVSAPMSPIDNNYQSPLNSNDNQHVSSTSSPVPPEKERETKTAWATDRALPPPPL PPSSPPSELSSRQDKTSAARLHPRAIRSAIAHAASQGVLTKSSKREMRQSMLRGATHV VLEGLRQRCHLQPLVAPPTSSGDLPPLPPPTEFERRHTNGLHKCLNSLAPSPQGDTLK AKHRVLVALDRLVDKWMVQLHHTCTASLFVGGSFYLKVDDADSDLDVVVLCPVEVTAA DFFTTLPSLLSTSASVDHVVCMEEAYVPTISCTFHGDIHVDLLFSRFSQSVVPKHLPL HSDHILVGMDLTSVRSLSVPRVASLVLDLVPNPTAFRGCLRAVRAWAKARGLYSNKVG FLGGISWTVLVALVCQMFPHAVAGSLLHHFFNVLSTWQWPMPVMLAKPYDAGHGFAQW SPALHVHDRAHVMPILTPGYPTMNSAANVTHSTLRVLKEELTRGKLVLDDMAGQGLTS PVAWGPLFAPSDFFVRYDHYIHVRVAPSSDERSSAAGDAAQVGFVASRLRKLVDALQL THHVLTVHPFPSYFGAPDHAFFVGFELATSQTGVGMAEGIVAPVLTYFKATELHTTSR STSSQPPAALSYMTWQDLPSSVFPHGRAQAAGDRAKYKLSRAHTLHVAGSVSSISPPP SRLAPPMRMMTNDHRIPYHHHERWHANGGRQPPTPPLRLHPTVQTLVLHSN H257_02637 MSRLGKKERVDRSQINYSLVEGAAMNDIAKLDAALEQGAEVDCR YEGFTPLYLAVMKGYTKAARRLLLHGAGTETLCNKHRTAIMIAASRGQKRSVKLLLEH HADVHRTDECGATAKQLALRNGHADVAALLLEAESANATRDSRLRAHLLP H257_02637 MSRLGKKERVDRSQINYSLVEGAAMNDIAKLDAALEQGAEVDCR YEGFTPLYLAVMKGYTKAARRLLLHGAGTETLCNKHRTAIMIAASRGQKRSVKLLLEH HADVHRTDEVRPTST H257_02638 MDASSAWKTSWVLVTIDGHMAVRYRGRRWWIHFDTSGRPSCFLL CRIRLDLCSSRQLHGLFPNRIASLRLSLDRERWHALDFIVLEELEVFIQVPKPMGVYA N H257_02639 MSEAAAPQEKPAAITPLAKYKLVFLGDQGVGKTSMITRFMYDTF DNAYQATIGIDFLSKTMYLENRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDIS NRASFLNTSKWIEDVRAERGQDVVIMLVGNKTDIADRRQVSIDEGADKAKEDNVMFIE TSAKAGHNIKALFRKLATVLPGLEDTAAPGESNLVDIKLVAAPSPKDTATAESCGC H257_02639 MSEAAAPQEKPAAITPLAKYKLVFLGDQGVGKTSMITRFMYDTF DNAYQATIGIDFLSKTMYLENRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDIS NRASFLNTSKWIEDVRAERGQDVVIMLVGNKTDIADRRQVSIDEGADKAKEDNVMFIE TSAKAGHNIKALFRKLATVLPGLEDTAAPGESNRTTIPISRVSHVHLSTRV H257_02640 MRFQHAQATVNQHTEIVTACCWTPENDVITCSDDNTIVRWRMDG EVVGKVTSVDTFVTSVDWVPSVGKQSADMFVIACTDGTFRIMSKNGREEKKVQASDAG AIISLKWNYDGTALVTAGEDGSVKVYSRSGNLRSTLANTGTAVYAVCWGPDNDQILFT NSKNLVIKTIQVGRKDIQWKAHDGPILCIDWNPINNRVISGGEDRVFRVWDGFGRQLY QSPVCEHVITSIAWSPKGDMFAVGAFNMLRLCDKTGWSYCRERPKAGSLMDIAWASDG TQLVAAGGNGSTLFAQVVDRHLQWNKIEVTLKDPRKIHVHDVLNETVEELDFVRDRVI EMSLGYGFLIVCTATQCFIYNFQNWNTPHIFDLRAAVNFILQSECHFLTVDNFHGIQV YSYEGRAISNPKFNGMHVEFLHRHTVSLSIDTVSILDHSDRKTIRSFDINTGKALPIT FTHSLDVVELALSHYGPSTDRKLLFIDNNRDLHLTRLSHKGSFKLQAQVDSAAWNDSS EMLVALSDAKVLCWTYPNMVYVDRTLLPDVIESKDGADFQKLASITSFVGPRFTVRRT DGALLAGAVSPYPTVLYEFTSANDWDKAVRLCRFVKTKGLWTCLAGMALHKRHLDTAE VALAAVESVDKLHFVLYVKNLVSEERRMAELALYAGGAVDEAEAILLQAHPTPLVYRA IKMNIRLFRWDRALDLAIKYTTAGGTHVDTVLAYRQRFLAANKLDETDKKFLQYMQQF PVDWDKISAKKVAEREKEVAGGRRK H257_02641 MILTAEKLKITMVQQSARRPTRHILHGTRTASVAAIFACPSTKA TDPLELLFIRRAINPRDRWSGHIAFPGGRVEAGESALDAARRETMEEVGVDLTHAEVL GQLDDRLAARNGLVVHTFVFLLATKPSTCVLQPLEVSDVLWVSSLALADAPLRTLFYP IGPYLQRAVPRCLHPILANLPLGSVTFPCLYLPHPSTDVVRIDRQAHEYVLWGVTYSM YLDIRVIVSRRQYPPDAKSAAPSYVALYYRLLVSVVLVVVALAFVSWWRY H257_02641 MILTAEKLKITMVQQSARRPTRHILHGTRTASVAAIFACPSTKA TDPLELLFIRRAINPRDRWSGHIAFPGGRVEAGESALDAARRETMEEVGVDLTHAEVL GQLDDRLAARNGLVVHTFVFLLATKPSTCVLQPLEVSDVLWVSSLALADAPLRTLFYP IGPYLQRAVPRCLHVHTSHVGCNVDDCKPVCTAHLGEPAIRVSDVSMPVPPAPVHRCR SHRSSSP H257_02642 MESAATIPATEAAASSSATDRRLEQLPIQWEGYLRKRGDWLPRW DYYLVVLDGLRVSYYDRLDGPPAKPHAPSLLVANHTTSSHPSSLPNKVRGSGSIAKPR GVYTIQSFHQDTEQAKVFKFSLTMVEKQRVMHFQVDSSLELVLWKQMIKAAVDQDVVR RNCHKPTTESPSPALNDSPLPPYAVDLAALYDAYGDVCRQVGNFAMLLPCLHPNVVVT SNYPPCVPMSGTFHGLGWHTGFLKYMTTLHDAVDMSHFRVTSMAREGDLAVVSGKETL VNKANKRRFRQVWRHELRFEVDGRVSHINIMGDSVASSVAFSSGATGPALLAIKDKSA AAVPTSVSSPPGELRVVCVSAEGLKAKKTAAPTVKFALTGFPHLMVKGGADKQQQQQP LAFQPTVYSTRLPATIVPLKDRQGHATAYAVYWAETVDLNFSGAVPGTVSHLFVEVWV DGFLGDELVGVTRINLASVLALSHDATSDEELALGQIPQWYDLVKPEVYSKAENPKTA TTVGRLQLSLAFFSREDSVADVVASPSRGPRKSLDRLAQLHLVATSSSSLKARSSSSN LMDPRRLSSSDEMDLHAMHNFTVSGTKFRIYSRYQLIRAIGHGAYGVVIAASDQVTGN AVAIKNIPKTFDDLVDAKRIVREIRLMRHLTHPNIVHVLDVMRPPSLAKFEDTYIVTD LMETDLHRVVQSPEPLECDHIAYMTYQLLAAVRYMHSANVLHRDIKPSNVLVNRDCLV KVCDFGLARGISSSSSVNASTPSSSTSSTSSSTHGELLDVTPDAALTEYVVTRWYRAP ELLLSSKYSYPVDTWSVGCIVAEMFNRTALFPGHDHVHQLQLVTSVLGSPSVADLGFV TNEKAKRWLSKQATQVPRPWTSVVPSAPPDAIDLLQNLLKFDPRQRISIDDALRHPFV APYMDDDAEVLAPMPFDFSFEQLDPLDKPALQRLIFQDVCHFHPDALDELTAATSTTS TTS H257_02643 MLSRAAGTVLVRVASTTRTFHSTPMLSARKSKSLSRLTIKRSVL DTQNTMKNFDMSSFQDDEDDNKDAPPSVQITNMKAALKQLNDPDSFDLDKIPLMKRGA TANEDMMTEEEFEAFLDEDEDDEDEEHDRELEALEREDDKKRVTKMLSPARAMQALQG GDKKRVTTKNAKPLQRDEKADNRFNPELVNHRQQRVGLLLEGFIQDVILRETDLCKGS TQVWITSVNMSPDLRRADLYWDVTTVSHGKVSKTVEAKVVRRLTNMTKWLRVRVTQEL GLKYTPKLEFKRQDNTGLERQKLFDALMAQQGY H257_02644 MDDDDAIMHKSAAALSSPDVFTAFQAMKTLLGTVRFTVQSDCAI WIRSIAMQVPHHDSLGFNFQLVRRWIEDTHTAPDYASSSSSDDDDEETSNVSTWTFDT LLELQLPFLHLCKSVCRDAASYQDCCVYELVRLAHAFLHHAQSYSDHKHVVTLSWALP WVPIMNSSSPSTATHVEILSLLVTITDEPSAHNNRAYAAMILSVLVASYPALLSTAPP PIVGHCVGASSFTGQDLSLPFAHQWLRLLFHAMLHLPSLHRHAWPLPSCDPSTFRRHA MAILAEEDDVLVDVLYHALRLPIPSPSIARDSTTTHTRNTSSLLASLWTEHSPAQWFA DFCGLLDHDHLVLIDLVTSNETNALAYIVDMFRYVCTHWDLTRATWLDMGRLDDIVSM LTHCRVELTRLERHAVVGFNVGPLVRRLVVMEQLFDGDDDQSGGDVHE H257_02645 MVSWAEIGIVIVAGGYLIGRKELPRMAKMGGRYVGRTVGAVLRA KNEYFEATKNSDLVKMQSELQKGLDELNQIRSEMTTISSMKRPYRPVSTPANRPPATA SPLVAASDAAAALSSTYTPPASSPSSISSPSSIQAATISQDYLAHQSFEDLIQSAEDQ EQARLAMAEINMAHEQKFASRIESVEGGADYVASSLMDSILLQRRV H257_02646 MLLHFRPTLRHRPSLRSVSSWAGRSLTDASAALANGSVTSVALT QACIDQIEATKRFNMFVATTFDSALARASESDARRRDGRACGPLDGIPVGVKDIFCMQ NGVPTTASSKILQGFVAPYESTATQRLLDAGAIPLGKLNMDEFAMGSGTTYSTFGPTI NPWSSDLGDRALVAGGSSGASAAAVASGCCFAALGSDTGGSVRQPAAYCGVTGLKPNY GRISRHGMIAFASSLDTPGILAKTIRDAAIVLHAIAGPDGHDSTALPDKLPSQWEPAS ETDATNTKQLTVGVPKEYYVKELPESILKVWDQGIEWLLQSGVRVVEASLPTTKYALP TYYILACAEASSNLSRYDGVRYGFRAELNNEAAAAAVADASNNQANALHDLYCRTRSE GFGDEVQRRILSGTFVLSAGALADYYERAVLVRQTIRDDFASTFESGIDVLLTPTTPS GPFAVHGKANPVDMFMNDIMTIPASLAGLPSVSVPAAVLDQMPLGLQLIGAPHQEHTL LHVAHLLEQRADFSRHIPLRVLTSAR H257_02646 MLSVHQTSPLVAIREQLGRPIADGRIGSPCEWVGHVCGSHASVH RPDRSHKALQHVCRDDVRFGTGTGLGIGRTATRWARVWTAGRHSCRRQGHLLHAEWRA HDRELQDPARYVRLDRCLIRPSRRRDDAGFVAPYESTATQRLLDAGAIPLGKLNMDEF AMGSGTTYSTFGPTINPWSSDLGDRALVAGGSSGASAAAVASGCCFAALGSDTGGSVR QPAAYCGVTGLKPNYGRISRHGMIAFASSLDTPGILAKTIRDAAIVLHAIAGPDGHDS TALPDKLPSQWEPASETDATNTKQLTVGVPKEYYVKELPESILKVWDQGIEWLLQSGV RVVEASLPTTKYALPTYYILACAEASSNLSRYDGVRYGFRAELNNEAAAAAVADASNN QANALHDLYCRTRSEGFGDEVQRRILSGTFVLSAGALADYYERAVLVRQTIRDDFAST FESGIDVLLTPTTPSGPFAVHGKANPVDMFMNDIMTIPASLAGLPSVSVPAAVLDQMP LGLQLIGAPHQEHTLLHVAHLLEQRADFSRHIPLRVLTSAR H257_02646 MFVATTFDSALARASESDARRRDGRACGPLDGIPVGVKDIFCMQ NGVPTTASSKILQGFVAPYESTATQRLLDAGAIPLGKLNMDEFAMGSGTTYSTFGPTI NPWSSDLGDRALVAGGSSGASAAAVASGCCFAALGSDTGGSVRQPAAYCGVTGLKPNY GRISRHGMIAFASSLDTPGILAKTIRDAAIVLHAIAGPDGHDSTALPDKLPSQWEPAS ETDATNTKQLTVGVPKEYYVKELPESILKVWDQGIEWLLQSGVRVVEASLPTTKYALP TYYILACAEASSNLSRYDGVRYGFRAELNNEAAAAAVADASNNQANALHDLYCRTRSE GFGDEVQRRILSGTFVLSAGALADYYERAVLVRQTIRDDFASTFESGIDVLLTPTTPS GPFAVHGKANPVDMFMNDIMTIPASLAGLPSVSVPAAVLDQMPLGLQLIGAPHQEHTL LHVAHLLEQRADFSRHIPLRVLTSAR H257_02646 MDEFAMGSGTTYSTFGPTINPWSSDLGDRALVAGGSSGASAAAV ASGCCFAALGSDTGGSVRQPAAYCGVTGLKPNYGRISRHGMIAFASSLDTPGILAKTI RDAAIVLHAIAGPDGHDSTALPDKLPSQWEPASETDATNTKQLTVGVPKEYYVKELPE SILKVWDQGIEWLLQSGVRVVEASLPTTKYALPTYYILACAEASSNLSRYDGVRYGFR AELNNEAAAAAVADASNNQANALHDLYCRTRSEGFGDEVQRRILSGTFVLSAGALADY YERAVLVRQTIRDDFASTFESGIDVLLTPTTPSGPFAVHGKANPVDMFMNDIMTIPAS LAGLPSVSVPAAVLDQMPLGLQLIGAPHQEHTLLHVAHLLEQRADFSRHIPLRVLTSA R H257_02646 MLLHFRPTLRHRPSLRSVSSWAGRSLTDASAALANGSVTSVALT QACIDQIEATKRFNMFVATTFDSALARASESDARRRDGRACGPLDGIPVGVKDIFCMQ NGVPTTASSKILQGFVAPYESTATQRLLDAGAIPLGKLNMDEFAMGSGTTYSTFGPTI NPWSSDLGDRALVAGGSSGASAAAVASGCCFAALGSDTGGSVRQPAAYCGVTGLKPNY GRISRHGMIAFASSLDTPGILAKTIRDAAIVLHAIAGPDGHDSTALPDKLPSQWEPAS ETDATNTKQLTVGVPKEYYVKELPESILKVWDQGIEWLLQSGVRVVEASLPTTKYALP TYYILACAEASSNLSRYDGVRYGFRAELNNEAAAAAVADASNNQANALHDLYCRTRSE GFGDEVQRRILSGTFVLSAGALADYYERAVLVRQTIRDDFASTFESGVR H257_02646 MFVATTFDSALARASESDARRRDGRACGPLDGIPVGVKDIFCMQ NGVPTTASSKILQGFVAPYESTATQRLLDAGAIPLGKLNMDEFAMGSGTTYSTFGPTI NPWSSDLGDRALVAGGSSGASAAAVASGCCFAALGSDTGGSVRQPAAYCGVTGLKPNY GRISRHGMIAFASSLDTPGILAKTIRDAAIVLHAIAGPDGHDSTALPDKLPSQWEPAS ETDATNTKQLTVGVPKEYYVKELPESILKVWDQGIEWLLQSGVRVVEASLPTTKYALP TYYILACAEASSNLSRYDGVRYGFRAELNNEAAAAAVADASNNQANALHDLYCRTRSE GFGDEVQRRILSGTFVLSAGALADYYERAVLVRQTIRDDFASTFESGVR H257_02646 MLLHFRPTLRHRPSLRSVSSWAGRSLTDASAALANGSVTSVALT QACIDQIEATKRFNMFVATTFDSALARASESDARRRDGRACGPLDGIPVGVKDIFCMQ NGVPTTASSKILQGFVAPYESTATQRLLDAGAIPLGKLNMDEFAMGSGTTYSTFGPTI NPWSSDLGDRALVAGGSSGASAAAVASGCCFAALGSDTGGSVRQPAAYCGVTGLKPNY GRISRHGMIAFASSLDTPGILAKTIRDAAIVLHAIAGPDGHDSTALPDKLPSQWEPAS ETDATNTKQLTVGVPKEYYVKELPESILKVWDQGIEWLLQSGVRVVEASLPTTKYALP TYYILACAEASSNLSRYDGVRYGFRAELNNEAAAAAVADASNNQANALHDLYCRTRSE GFGDEVQVRTYVAHLYLCFPHVTHVHYMVLVYTHHLPTYLNIH H257_02646 MFVATTFDSALARASESDARRRDGRACGPLDGIPVGVKDIFCMQ NGVPTTASSKILQGFVAPYESTATQRLLDAGAIPLGKLNMDEFAMGSGTTYSTFGPTI NPWSSDLGDRALVAGGSSGASAAAVASGCCFAALGSDTGGSVRQPAAYCGVTGLKPNY GRISRHGMIAFASSLDTPGILAKTIRDAAIVLHAIAGPDGHDSTALPDKLPSQWEPAS ETDATNTKQLTVGVPKEYYVKELPESILKVWDQGIEWLLQSGVRVVEASLPTTKYALP TYYILACAEASSNLSRYDGVRYGFRAELNNEAAAAAVADASNNQANALHDLYCRTRSE GFGDEVQVRTYVAHLYLCFPHVTHVHYMVLVYTHHLPTYLNIH H257_02647 MAYTPRDTTHWTTDSFLAYLTSTTPVFVADVLAQLHALPVKFDD AWQIDHVCYRCDSDDEYTHLTKTVLPQLGHELVESMVGGRQIATFKLSMPIGLSHRPN ASVDVLEVPSPKRGSPYDSGLEHFEVVVPYNLDTFLADNSATHTAWDLKGMTKPINRD VRVPLGPYSVKFHEQTLERVIQLESADGIAQS H257_02648 MAKQGDEDYKAVATPKDEVVDIQDKPVAVGSTTALTNANADIEH DFPFSAMYRYADGKDKLLMGVGLIMSCANGAAFPLMAIMFGDSINGFTPPINFKVINK AAMEFLLLALGLLISGYASYTCFAISAERQMKRLRSECLKHIMYQEMSWYDQRDASEL ASRISGDTIKIKEGMGEKLGEALRFICQFFVGYIIGFSRGWNLSLVMSCVMPLMAVSL TFLIKRLRDSTARSQKVYAAAGAVAEENIGAIRTVASLNGEQRAIEKYGVNVQNAEDE TVGVAKFVAFALGWFFMFMWLTYAIGLWYGGWLVSKQSGPITDPGSVFSAFYGILLGT MSLAQISPNISAVASAKGAATALYKILARPSQIDASILDGEVPTDCDGDIEARDLHFT YPSRPDDPVLKGYSLSIKKGETVAFVGASGSGKSTLVGLLERFYEPTSGALYLDGRDI STLQIKWLRSQIGLVSQEPVLFATTILENIAAGGANISREEVVAAAKLANAHDFISKL PQGYDTMCGEKGATLSGGQKQRVAIARALVRQPKILILDEATSALDNESERVVQAALN NLMEQTKMTTIVIAHRLSTIRTADKIAVISKGVVAEIGRHDELMQLDNGFYRTLVELQ TNRPEDDEVIDVQEARVSLVLDQGGEQAELVRKYSNLSQISVDHVSDYEGLKEVDVPL SRIFDLTKPQRLHLVFGVLACSIQGFAMPGVSLIITQVITDMAKYYGLYIESGKKNTQ ALTNLYDAVAAQAQIFIIVAVVIFCVAFIQTYSFRVIAEKLTTRLRNLHFQALMRQDI GFFDLDGHTTGALTTDLSTHATKVVVIAGENQARIIQSLFTILSAFFIAFFWGSWQLT LVMAAVFPLLLVGSWARAAQFKGKKLSDNLADSGSLATEAITNARTVTAFGLQQDIIS RYDVLLEKPLKEGAKEAHVNGIMNGFSTASMFAVYALVFWYGAKLVESKSIDFGELMR TLMAIMMASQGVGQTAGFMGDTDAAKKSASKIFSIVDRKSAVDSSSTDGAVPTNVTGR IEFKDVSFNYPSRPDVKVLKHYDLTIEAGQTVAFCGPSGGGKSTCVALLERFYNPIAG TIEIDGQDISTLNLKWLRSQMGLVGQEPVLFVGTIAENIASGLSDYADLPDLQERVEA AAKMANAHNFITQFPDGYNTQVGLKGEQLSGGQKQRIAIARAIMKNPSILLLDEATSA LDSESERVVQEALDNLLAEKGRTTIVIAHRLSTIRNADKICVVSGGRVAEQGTHDELM KLNGIYTHLVQTTTKN H257_02649 MADYNAIVVGSTGSVGRELIKLLASSTRCKKVVAIARRPIDPST YATAFPGLPETHAAKVLVHVVDFDQLKIEDFAAHEADACFCCLGTTRADAGSADAFIK VDLHYVTKAAELSKEAGIPYFGLLTASNSNKSSWFLYPRTKGLAQEAVAKLGFIRTGF FQPGLLRRGNLARTVERVASYVLPSVSATAVAKAMLANYESSPSTGLTVVSMADILAI DKAAIN H257_02649 MADYNAIVVGSTGSVGRELIKLLASSTRCKKVVAIARRPIDPST YATAFPGLPETHAAKVLVHVVDFDQLKIEDFAAHEADACFCCLGTTRADAGSADAFIK VDLHYVTKAAELSKEAGIPYFGLLTASNSNKSSWFLYPRTKGLAQEAVAKLGFIRTGF FQPGLLRRGNLARTVERVASYVLPSVRT H257_02650 MADNSWREHSSFQNIAEGGGKGEPKGRAGSGPQFNRVIPKFLQK YHTPESLDHEANLALKRPTERGAEDDDDDDELDDVQKEALEAYKVEQDKDIKQVEVEK DAVDAAVEPEQSRPEKRKAMTFSSASKKAASTTKDAHADHPAKKRKAVNNKKLLSFSM DDD H257_02651 MPTANTWTPSSWRKFPIKHQPPYPDEKHLNDVVDKLKGLPPLVS VQEVDRLRLQLAEVAEGKRFVLQGGDCAESFSDCQSDIIEKKLRIMMQMSLVLVWGAR MPTTRVARMAGQFSKPRSQATEVIDGDEVCTFRGENVNGFHKNERTPDPNRLLEGYFH SAATLNYGRLLLDNGFADIHDAAKWELGFVQNSVRREEYSHMVEAIQDSLQFVHTCGV GADNSLKTMDLFVSHEGLGLGYEEAMTREVNGQYYNLGTDFLWIGDRTRQLDHAHVEY FRGIANPIGVKVGPSTPPDDLVELVRTLWPHPELTPGKITLITRYGDDKVESLLPLHI AAIQAAGLKVVWSCDPCHGNTITTPNGYKTRPFARILSEVQQTVMIHQRMGSYLGGVH IELTGENVTECTGGPEGLSAANLPERYTTMCDPRLNYSQSMEVAFLLSKYLKNQHKKP QETK H257_02651 MPTANTWTPSSWRKFPIKHQPPYPDEKHLNDVVDKLKGLPPLVS VQEVDRLRLQLAEVAEGKRFVLQGGDCAESFSDCQSDIIEKKLRIMMQMSLVLVWGAR MPTTRVARMAGQFSKPRSQATEVIDGDEVCTFRGENVNGFHKNERTPDPNRLLEGYFH SAATLNYGRLLLDNGFADIHDAAKWELGFVQNSVRREEYSHMVEAIQDSLQFVHTCGV GADNSLKTMDLFVSHEGLGLGYEEAMTREVNGQYYNLGTDFLWIGDRTRQLDHAHVEY FRGIANPIGVKVGPSTPPDDLVELVRTLWPHPELTPGKITLITRYGDDKVESLLPLHI AAIQAAGLKVVWSCDPCHGNTITTPNGYKTRPFARVRHCLERYLQKDIYIYISLWRYR YIYIYIYVLLGHAFFLHFI H257_02652 MASVDIKPLSVDEFEAHAKAYLPKATLDYYVSGADDMITLKENR VAFQRLKLMPRVLRDVRHIDTSTTVLGQRIKTPVCIAPSAMQRMAHPDGELASTRAAS AAGACYILSTISTTSLEDVAAANGPDGLRWFQLYIFKDRDLTRSLVERAERAGFKALV LTVDTPILGNRQADLRNGFKLPSHLHLGNFAGGRHATGINEAGLSAYATELFDTNLTW QDVAWLKSISSLPVVVKGVLTPEDAVLACDVGCAGILVSNHGARQLDTVPATIEALPA IVAAVQGRAEIYLDGGVRRGTDVFKALAMGARCVFLGRPVLWGLAHSGQAGVQHVLEI LTNELAHAMMFSATTTIPAITPAYVKHESSFRQPTSHL H257_02652 MASVDIKPLSVDEFEAHAKAYLPKATLDYYVSGADDMITLKENR VAFQRLKLMPRVLRDVRHIDTSTTVLGQRIKTPVCIAPSAMQRMAHPDGELASTRAAS AAGACYILSTISTTSLEDVAAANGPDGLRWFQLYIFKDRDLTRSLVERAERAGFKALV LTVDTPILGNRQADLRNGFKLPSHLHLGNFAGGRHATGINEAGLSAYATELFDTNLTW QDVAWLKSISSLPVVVKGVLTPEDAVLACDVGCAGILVSNHGARQLDTVPATIEALPA IVAAVQGRAEIYLDGGVRRGTDVFKALAMGARCVFLGRPVLWGLAHSVRTLYCLHLDT YYY H257_02653 MSMIFDEYGRPYIILRDQETTERIKGIEAIKSNILAARTVSSLL RTSLGPKGMDKMLVSQDGDVTISNDGATILEQMHVEHRVAHLLVELSQSQDNEIGDGT TGVVVLAGALLEQAEKLLARGLHPMRIADGFEEACSVAVKNLEAVSDRIEFSKDNTAP LIETAMTTLSSKIINKYKRQMADIAVSAVTKVCNWETRDVNFDLIKVEGKPGGTLEET KLIHGIVIDKDFSHPQMAKEIRDAKLCILTCAFEPPKPKTKHKLDITSVEAYESLYAQ EQEYFHTMIQQVKDSGANVVICQWGFDDEANHLLLQNHLPAVRWVGGVELELIAIATG GRIVPRFSELTADKLGHAGVVREVAFGTTKERMLVIEDCAKSDAITVLVRGGNKMIVE EAKRALHDAMCVTRNLIKNNRVVYGGGSAEISCAIAIRNHANQTTGIEQYAIRSFAEA LEDIPMALAENAGLSPIDSLSAVRAQQIADNNPRLGIDCNQTGTFDMKEQHVFETLIG KQQQIQLATQVVRMILKIDDVMLEGSYA H257_02654 MCVQMLEANRGDELSIFLSYSTFANVVRQRYVTKWRPPMLKLFE AYQNLMLEFVNRAIESKKSKRSLEGNHDAARVSMGAVKALLKNHAGIGASTNEDQQAK ELHLAIIAYMKVASKRFVDVIPMLLQTNFTQPILLAVFNETAETSDGVLDRVLMDNIA DVERYQNVSNQLKSLEAAKNIINAY H257_02655 MTSTTTKSEHGSSLLSKVDQVMARWKKATAPLTAKTSHEFIPHA VQAPKDVFTQCHPNNRADFQARVATFSSINWFAKPTELNLLTCARFGWINSGPDELAC KCCGQTLSCRIDSRLGPEGAKKVAEGLRGYLTSHHLDTCPWKHNPSPTSFAQVSFWTH DSALIEVHAAIQQTIATWPHLFLPSSLVLDDSFRDDLQRQLGVSCDQELESTLMALTR QAIDATAATSDHSINVDTFHAAGVVVPISLALCGWKPVGHADGAKGGSDVAFACAQCN RTWHLSTTTADKALPSKDASEPAPKRLKATAAHVTLHPLDEHRWYCPWVRTVLPSERS DDNIDVPGWLQCAQAVKATYVTKTDVSTEELPTDENDQVDSQCGISRMVEGGIAPHPS TVLSAVQALLDFA H257_02656 MESPPPSFRSKRQRITVDTSGSLHCIKHENQEDESEFQRHTRLR RLRYLDHLEEFLESQAYFKAVDFPPEPNTNAYLQR H257_02658 MSCNSFPIDGYYDESRSPSVEQMANVRSGFEGTNQGESGAGVRN SLDHRRDSNLGVFDVHQVSPAFQRIGKLRDAVGNIRRHQVRHVGDDGSCFAINSIAHP IRRIDCVGF H257_02659 MQLRGTSSRMFAAAAPVLSIRQGSMLDIETPRANWTDPFFTVEE DLCIVRQKCFAPPRWSTDAEFLHAFCSTFGVERSTAQINKRLSNLQLSNPDHGILRMY ISTLLQTPVASKHDIVTKETLRPEFAAFVDISSNLQSILQPHLDDTDSGGSLVDDWLH VQVHSKHFPTYDMDVSKLQLRKCSAVIDRFLARAAISDVTSGPRQYTNGTMTYYPAVG TASERLVITDDVAAYDGIACYQHLSEPVLARLVLFAKDQHTVERRLTIADEYEAVQRT NRLCSDEINGLYASEATRYVSVLDNARQREVAKTTSFLDQALQNDLAALHAMHAATLK SEIEKIHAKYADRRDSLVVRIENERLRALELYRNSMDLTILQLEHAMAVNTQQVEAVF GSSECLLQLLILAEDLDCKRLRKACIDYLTEPKRFIQFALRRELTCPLLAEHTILALF QRLSNQDVKDLKDECRKGFAFSDLLMREIHTRLIALTKELEALPNETLRDVMRVAFAA STTSEDKEATTAMTTTTSAAVVKLGLATRAYPQVLTKEVDRRREFSRVKLDASLVTNH LSLTEDDLVVELESARRYCAAMATKPRRAGEFGRWMFEVTLERMDTAGSVAIGWDVPR HSALQWGPLDNPTTDSTRISETMALPPSPRGRMTRFGTKNGYGMIIPGLSPGEDGNDF GIMWVSDSSVSTGISSVAPVDPSIGTAPNGGSGGMGILYINGKQHSGMPCFRQGDVIG CAIDQDATEPFVAFYLNGALVSVPSHPHSSSNACSNACSNACVNSAGSHHHTKPTSGL KKLLLHSANYALYPTVTLFSSHDPTARVRFNFRGNFDFAIPGYDPYGAELLDLIEMVR EGASSASPPRRKSGIDFAST H257_02660 MLATAQLPSGAVALSSPGGMPVPGHSSGDVFNKDTFLTSLRDAT GDGGPAIVAAAYEPKGMTSVREKYTQLHDVVGSLSEKITAVLVRQEKDFLAAYRAHMY NVQKELHDTKEKILRNETLENKSEKIKLLEEERDWYRKEALRLDTFTTNMKKDLKHMK EKLECIEEDRDWLEKQLKASKKQNKLLRAELEIRLANLTSQAEDKMDTRPASPAVDDI PPPGLHLPLAAVEREEQFRKQIRQLKRDLQASKREVNRLRETTSKQQSNELEEFFVQS IDAVKKDIVRRRGHSRDAKTRPMPALKVEPVPDCGPEMKEFTSVDRVRVIERLLSHDE VLSILYDNLFPSHDKKPPDGSAKMPLASAGDSVKKPQPVGSGAAAVVASREMTTQGGG IIPLDESTLEYLKLTGASLR H257_02660 MPVPGHSSGDVFNKDTFLTSLRDATGDGGPAIVAAAYEPKGMTS VREKYTQLHDVVGSLSEKITAVLVRQEKDFLAAYRAHMYNVQKELHDTKEKILRNETL ENKSEKIKLLEEERDWYRKEALRLDTFTTNMKKDLKHMKEKLECIEEDRDWLEKQLKA SKKQNKLLRAELEIRLANLTSQAEDKMDTRPASPAVDDIPPPGLHLPLAAVEREEQFR KQIRQLKRDLQASKREVNRLRETTSKQQSNELEEFFVQSIDAVKKDIVRRRGHSRDAK TRPMPALKVEPVPDCGPEMKEFTSVDRVRVIERLLSHDEVLSILYDNLFPSHDKKPPD GSAKMPLASAGDSVKKPQPVGSGAAAVVASREMTTQGGGIIPLDESTLEYLKLTGASL R H257_02661 MASTRLNHDNRPPVDVINDMMLYQGGSGGRCTFACQASYNQSLV PSTRPPRSYPSSAASRLPDDPTIFRTTFKTPLQLDLKIESASKNVAGQGPYAPPSSHD FRPTGGLNITDDDFRAKFTLPSTQDVRCQIAQNQEGYLKGASLKNITCDRYDPREDGK PAFRLQLPHTSSKTGNLNLRLQVTLDQAGLFQGGQSIRDHQYRHTHDVNVASGIDDAP RFELEFKPSGKGYGCVDIGTKQRPQDITK H257_02661 MASTRLNHDNRPPVDVINDMMLYQGGSGGRCTFACQASYNQSLV PSTRPPRSYPSSAASRLPDDPTIFRTTFKTPLQLDLKIESASKNVAGQGPYAPPSSHD FRPTGGLNITDDDFRAKFTLPSTQDVRCQIAQNQEGYLKGASLKNITCDRYDPREDGK PAFRLQLPHTSSKTGNLNLRLQVTLDQAGLFQGGQSIRDHQYRHTHDVNVAVTLWGP H257_02662 MQRSVQKPWQFYFVMAFPNSAKIMHDELKNMVESTYHIQEKEIS YLAHLAAKHPRNNLPKPVSPFIAVFMTFLRRTNGLSLVLLLGLAGAITYTKTATEYGM FLNAPVLAVSYSLVGLLGITPYLGWKGATSQSWSYLRAYDAGIICFLALLGLGVLGLE FFQADIHNALAIHETSTNHSMTIQGRSILFPRNASTIDTPHAVTSFIQAEADKAFVLF LQARFDAWFPQTIITTNTVSNVTATNLMASSSWDPRPWLARTFNVSAVFYPEPLKETP QWMTQFIATTCTNTSDVDDTLLTGFVPLPVHPTFHLCLRPILQFSVDTAYVVEELLLA LASLLAIQYATLLLLKFVDPASSSASTTADAANKKATRKTSSSVMHFFDAALFVLGLV FLASSGTGLYVVLSRPDDVAVDTEIQIIEASGIGFGFVYGILLCLSAALGCSPKLMKV QSFVLLVVVGLQLALATTLYMVKVNIVALATHSFEATTWDESIVEMGLSHALAKLERA RATNVTTRTLTGLLHDFVEQECHAFTAKPSQNTTNNHALETLPPPPSMTTTLFPSPSN ATGASVQSLRLLLSKAATGAPPSPLNDPCRIHLAMKLAAFVGWIVNAAGVVVTLQTIL MMRNLWTLVLLVRLRKLMGLKTRKPKRTGGPPSVPYTDDDPTTNEVTLPFHVAMDRYW ESYPRGSSSNEATVAHARHQFTLEWLKVTGASSVHEKDALLTMSQFQSIVRVLVLKRL VTKCGLEVSVNISRDGKQLYFKLTAPRKVVAEEAEARKYKLQVRNAVDPGVSFWTPRQ VTIENTVYDVQTAKQKLCALYGRHALTVVENQFFPDETMSQISRRINVHSRHALFIED DKTKKMPPPYRYTPYVSVNISRDGKQLYFKLTAPRKVVAEEAEARKYKLQVRNAVDPG VSFWTPRQVTIENTVYDVQTAKQKLCALYGRHALTVVENQFFPDETMSQISRRINVHS RHALFIEDDKTKKMPPPYRYTPYVPYFRKNAMQYLYHRHSTQLDLPTTDVAPSVFQVT DCLKLLHLVIHDEMDTARMLSNGLLDGFSCLHSASRFEWTNLVSLSSSWLTYWRPRHL PGEPDPDKRYFANFWCRISPFRQPLADVRGYFGEQIALYFLWLGFYAQCLLFPVVASI VYIVVRRGNFHSYDSPSSLSSVEEDDDGGVYLHDMPVGLVVLVWAFVYAKCWQRKNYM CAIAWGMHGIEDNEQDRADYYGTEQVNPITNQVERVFSTIMRMQLQATSAAAIGALML GFYTVVVLLFSLLQPALVHAAGPVVGTTLTSILQVLFIQANASYTSKLAHWLNDRENY RTQSDYEHYLIMKMFGLQSLYHFSALLFVSFVKRTTLGCVNLSALEPNGTHFAAISYA HNCIPELENLLLVMFSWRVLSNVLGMVIPITQLMVGTRTSSTTTNLARYDLEYELSLA TYENTYEDYSEIVVQFGLFTLFIFPLPTGPIFAFAETAINLRMDAYKLCYCTRRPLPR DAQDIGAWFIYLGMLSRLCVVINLGVLFFTASNFDQYTSQDRWTFYLLSVAGALLAYE ALWFVVPRRPDAAANILERHDFLKQKYLFAYDKRSSTTTSSRTSQAIGSDASTTTASH HHHPSCQDMLDTLDTFSADALTTLNTRVELLTRFNALFAQKSQDATPQTGTIELTHAG CAPTTLTGIDAIREAVRRSSGLFDDDEAASEWYFSPQEMPPPPMSPPANDHHHVRTHQ SSHRATRTFMDDAEDEEYSLRETDQDIADVL H257_02663 MAIVQVKVLYFAAAREMVGRREEMLELSKTINEDAHVTTDTLRQ ALCAKYPRAAQFLKDITLAVNLEYVLEGDVRDLAHGDEVALIPPISGG H257_02664 MTCGTNCCNIIRKSWRKWSNPRRQASPHQPGTRQALTLRTTRCS GKRTNKNYKNVFRNHSEETNNLRWKWRNVVSSRSSPVLKSFTNHCRDAQLKRSLDQLK HRQVITETQLQHQQAAQDAQRKQLQLKDQLLTNYRTSIRNLENKLISQSKR H257_02665 MKKKTTIDMQRWASVLQESLQQHVQEGSYESAMECKLALDHVGS IMSSMSSTNKEESLQILRCIVLESVVPMLRQDPPIKLATLVVGAIYRMAQCCSQRCAR SFRSVATLLAPFSVRDCSHPSVALAAQDCLHSMSVHCVYDLDTVVAHCRDENDCFHVT RQIPHMTKSWNPEHVLPYYDAVVQVLHTCLQSLGYVTRWYGGHALCALYAFQLEHQTE PVPVDSYQAGLTELNIHEITSHFPWSILALDLQRRSTLAPSDSISTAHTTDLSESESS SESHDTNYSPPGMSPTLKPPGAMLSTFLDQISDQHAWTATQAALAADATLRDQHPPLL HAARLRADALNATVKHNRRTPHDE H257_02666 MQVVRFDVLACHGMARVHSHLLFNHDSVCVNEGGVLVVVARLTK YMGTPIFREQLVPFSSNQSVEFIVQTLKLLAIDHAVPANLTFDELSLIKSTPFGALCR RFLDSKYLCGKRGGVAGGGAHEGADRFRAQVIQVHV H257_02667 MSLELVKCLQTCATLISCLDTCVAHNMPCDTWWLLLLVSAIAFH DVIASPSPMDPSSPSQKVHRALGWISGTYSIGRDAATSTFRLSLVDGYVKAVAPEKKN VKQSRQSWRVFRAHEADDRNMLQHVVEGKCLKAWTHKWLRAPIVHAFGCAANESHQHW VMEPSYIGHTRIQPQNMSNLCLTVAPPNRTSGTPLFSDPTLEPCAKGRQDQLYYFYP H257_02668 MTGVQEGDLASPSVLRVGLEIENIGERVPSSKKRFSWRFVFADS EEVHTVTLEHSRVSSKKRVKLDGKRIGASESYTAGPWTFEFSVPCYPTVPFQVVIKDL PSLGLLERARANLNPDILYSFWAHGKNWEALTDRPTKYESAGFANRWSSMSYCRRVQF TVDSNQQKDVRVSWLFTFGQDGDVHQLLLEDCADGSKILVLDRMSLRHDQPTDSALTL DLVDEINQDGWVAQHAIGDTEHEMMVRVLHDAPSLEAKYVLHINGCPWADMAATDYVL QPGYYPVHSKSAGKVYYRDEVNKVTVWEKPIMPRSTSIVDAPTIPTDSNEEAPAKCVD LDELLVQPMADLMNFSDDGDHQDDGATSGSSGSSSPKDPTTSETTPAVAAVDLLA H257_02669 MADENLDVWYRTITAAVQLAVARSMGQHDDVLVPSGSSTTLYRD KSVEEAPLEGPSMGIPSTLMHAI H257_02670 MNIFQRNKTFRPKKTYNKGTKRHDLHKRAKATLGGGDMRAAVKL PDNEDVNEWLAVNTVDFFNEISIVYGTVLEFCNKESCPIMCAGPKFEYLWKDSKEYKT PAKLPAPDYIDMLMSWVEEQLNDQTLFPCNEETPYPRGFIAAVKNIFRRLFRVYAHVY YSHFDKIVNLGAEAHLNSCFKHFIYFVTEFDLVDVREQEPLKDLIANLAG H257_02671 MLLRAVRARPLLPSIKQSRYLNAPLPGTPSTRQWACMLRSFVTF SGPQHPALLDCTIGDMLDSVAAKHPDQLALVAVEEGVRMTYAQVHDKVNRYAQALHWL GLRRGDRFGIWLPNTADYYLLQWATAKLGVIMVNVNPAYRAHEFEFAMNLVQCKAVLV TPQVQSTHYFDMLHTLVPTLKASHHHPNHDILAPLNLPELPHLRHIIHDRHGHTEPGM VGLDALVASTPADHSFLECSTSVTPDQVVNIQFTSGTTGSPKGAALTHHNLVNNGYLV GHRCGYSPADRVCVPVPLYHCFGVVLGNLACLAHAATVVYPSKSFHATKALRAVEAEQ CTALYGVPTMFIRMLNDSTFDVRKMASLRTGIMAGAQCPVDVMAKVMAFAPEMTIAYG MTETSPISFQTARDDALVDRVETIGTLMPFTQAKVVDMENPDVEVDVGKPGELLTKGY CVMKEYWNQPDQTRKAIVDGWMHTGDIVVMDDRGFCRVVGRSKDVIIRGGENIYPAEI EEVLFAHDAVANVSVVGVPDWEYGEVICAWVSLRDDAAVDKMDDVLREHVRANLAHFK IPAYFVFRHDFPTTITGKIQKFKMREIAIAELGLSMDPPPKVSNL H257_02671 MLLRAVRARPLLPSIKQSRYLNAPLPGTPSTRQWACMLRSFVTF SGPQHPALLDCTIGDMLDSVAAKHPDQLALVAVEEGVRMTYAQVHDKVNRYAQALHWL GLRRGDRFGIWLPNTADYYLLQWATAKLGVIMVNVNPAYRAHEFEFAMNLVQCKAVLV TPQVQSTHYFDMLHTLVPTLKASHHHPNHDILAPLNLPELPHLRHIIHDRHGHTEPGM VGLDALVASTPADHSFLECSTSVTPDQVVNIQFTSGTTGSPKGAALTHHNLVNNGYLV GHRCGYSPADRVCVPVPLYHCFGVVLGNLACLAHAATVVYPSKSFHATKALRAVEAEQ CTALYGVPTMFIRMLNDSTFDVRKMASLRTGIMAGAQCPVDVMAKVMAFAPEMTIAYG MTETSPISFQTARDDALVDRVETIGTLMPFTQAKVVDMENPDVEVDVGKPGELLTKGY CVMKEYWNQPDQTRKAIVDGWMHTGDIVVMDDRGFCRVVGRSKDVIIRGGENIYPAEI EEVLFAHDAVANVSVVGVPDWEYGEVICAWVSLRDDAAVDKMDDVLREHVRANLAHFK IPAYFVFRHDFPTTITGKIQKFKSTSTAPPIVHSFPLSSSFLITVVVVVVVREIAIAE LGLSMDPPPKVSNL H257_02671 MLLRAVRARPLLPSIKQSRYLNAPLPGTPSTRQWACMLRSFVTF SGPQHPALLDCTIGDMLDSVAAKHPDQLALVAVEEGVRMTYAQVHDKVNRYAQALHWL GLRRGDRFGIWLPNTADYYLLQWATAKLGVIMVNVNPAYRAHEFEFAMNLVQCKAVLV TPQVQSTHYFDMLHTLVPTLKASHHHPNHDILAPLNLPELPHLRHIIHDRHGHTEPGM VGLDALVASTPADHSFLECSTSVTPDQVVNIQFTSGTTGSPKGAALTHHNLVNNGYLV GHRCGYSPADRVCVPVPLYHCFGVVLGNLACLAHAATVVYPSKSFHATKALRAVEAEQ CTALYGVPTMFIRMLNDSTFDVRKMASLRTGIMAGAQCPVDVMAKVMAFAPEMTIAYG MTETSPISFQTARDDALVDRVETIGTLMPFTQAKVVDMENPDVEVDVGKPGELLTKGY CVMKGT H257_02672 MMWRNSAAEMKPLPSLSNTLNASRISSSESVSFILRAIMVKNSG KSIVPLPSASTSLIMSWSSASVGFWPNERITVPSSLVVMVPSPSLSNKEKASLNSAIC SSVS H257_02673 MANNAPNEVEETIKALKAKPGFFSYIVMNNDGIVIKYENMEYKS AVMHAYHILNLYARSKKHLSKLFDASECDIECLRLRTKLHEMIIAQYTRFTLVVLQVS EAQVIKADVKVEEVVKEEVTAPA H257_02674 MSSSTNRRLAAWSGQGYLPLNTIHDLSSKDASRCSRLSNGTSRL LCLSLCVDKEDAILEPALNVPPWMLSALNVGNGGSVTCESIDDSSLSSISHLEVELVS PFPRILVQSKKRTAVPQVVRDRKLNFDKAVLRQLTHAAPDHLFSIAIMGQLYIGRVVA ALDDSRQPLPIGAITPSTTVFHHIDAPSSSSSSSLTSTLDWKAAWDAFPWHERMLQAG LAGYDHLVDQLVLHIRLALSQDHPAITARGILVQGVGGVGKSLLLQALRQQLTDLHVP VVLTDGHTLRLEADMSTAFPSPSAFLAHHLRLLDDDAAGCGVFLVDNVDALVEDDGHL TPLGRSLLQVLDTWTERGRALAIVATSSMKPLPTSMTRTGRLERLYRMEVPTEAMRLA IADRFLADTALAAALAGATGGYVGKDLCKIVRHATAMAKLNHLNAPTWLDLLKAQGNT PPSQLQDLNVQRPHVETSWDEFAGYDALKSRLVELLSYRFDQKGMFEVRNDQRGCI H257_02675 MLVRGLAAVSNANFVQVQSSKLVSKYFGETEKSIRDLFARARSS APCILFFDELDSIAAKRGFSSDGNDAGGSSGVYARVLSTLLNEMDGVGGQGDIVVVAA TNRADSLDAALVRPGRMDQMLEVGYPSPADRLAIFRQYTKAMPLAADVDLAAVSASMH DDATVTGAMIHAICKDAALRALRESEAGTSVAQRHFSQAAVSAPSRR H257_02676 MAPSSLSSALGLIHGVTLMENRFLYRRDLGSGTSSIVILALDTF TKKNVAIKIWNESLSAAGEREVDILELVSTHGPRLGLPIVQLVGSFYYHERLCIVMEL LDAPIHLHSTKDMPDGRYLPAAEMALDADIDCISRPQMSLHKLRLMAFHTCAALAFVH NAGIIHGDLKPDNILSDNSVVDGGVKLVDFGNSIYLDEATNQISAHGFDVQAMLYRAP EVAIGSSLSTAADMWSLGCIVLEGLIGQPVFAARSRAHLLTQIDQVVPLTLASGMFHR EYMAFRRQVEVKPISLDAILKRFRVAHADVASFLHTCFVVEPDLRLTAAQV H257_02677 MTTQVALAPSMGSQHLPSSSSASNPTLATRLMSSNSPSGAWRAR LAKEARLNIQYKIMNVLRQMKPNAPDVVIAKLPGMSIRLEECLLLMARTEDEFLNEST LHHRIIDLQHKSESRLLKRSSPSGPSATHGLNDELRRRLFVYLQAWRNKTVQEEGVGP WDILSTQVLAQIANLAPINLQELDQTCGMGPRWIAEYGMSLMRHIDHCLKFLKLGSIH QTTDTKRHKPSKSPTSPSKATNRMTPIAPAKPLQKPPTVRASYVVPQPAFVPSGYTSS SSPLFDPEQPMHHGLTTFLRGQHAVAATAPLLPRLSESVMSPSIEAYEEELARLRMAL HQSQQDNAQLQAEVHFLRQQVHQQQAADAAIAACEALVACQTASVKPPKKKGPTANYR K H257_02678 MLYFRGFGTDTCNSVSVEYPITVISGIDGSTCGGPGPSPPTPGT ATAGAPTLPNAAVTCNLARGSVIDGVCVCASDWIGPPECVGTPIWKWLVTVGGGVAAM CSIAVSIRAFLMSRKAKKKSAAADPTSPKKGTAVVVEMESMRMQTPERRESTQPDDVP YIQRESGDQDPSSTGVAVPPSPRSKEYTI H257_02679 MAAAALLASSAMLRRLVFTSMMLLLQQYAKAEDCCAKCLNNVPD FVYNSMDFKQCIAQNYCCFHCFNKDIGSPTVLPVAGTVFNGPTTTATTGSFLQIK H257_02680 MFLVRWVVAGLAAASVTTADVCCGQCLNTFAQTIYDPSVWAECS TAPKPNPGCCFCDQVQTISQPAYKLTMKAGTTQFLTFPGAEKVTYSVVVDPTKAFQHP KPSGTQFTKNNAGNYVVCIDTPGKLLFRGWGKEVNNYPTECTQMTSELSITVTEGDKG VTCAGSTPDQGGVSPSPTGADGKEVQCNLQRGSVIGGVCVCASDYSGAPECTGSSSWK WIVSICGGIAALLSIGISVRQILLFRKKKAEDKEREDAMTKMESVEVMNVSREPNYFD ADQAGAKAPPSRRSPVPNNPRKPDALQPYPVARGGHANMSPRQSREYTL H257_02681 MSRVLTVAGGLVVLAAAVAAVSAALNQTADAGARVSWEEALGRI DRLEFLLPYWQYMLDNYSSFTINTGLTFALHQICYFGTWVPYLLLDFFPIFHKYKIQP KQDNTWPGTYKCLKLLAFNHVFVQLPMMVSSDNSLKMLGFGMEAPLPSLTTIVWQVLV SAVLEDFYNYWAHRLLHWKRIYKYIHKVHHEYAAPFGITAEYAHPAETLILGIGTFLG PFLLTRHLLTLWVWMCVRVIQSVDSHCGYELPWSPTRFLPFWGGAVHHDYHHEKFDVN YASFFTVWDYVFGTDGAFRDAQHAKVLAGTSQWSDIFTKLGLVKYNTVGAKKTNAASS KTTGKAKVA H257_02682 MDVVNATLLEHGISLAVLESTFHDLNSLAFLEPYWQHMITSYSP FTIVSVFTFVLHEALYFSIWLPYLALDFVPYFRKYKIQEAKPNTWAETWRCFKHLVFS HVVVQLPMILSSDWGLRQLGFTFELPLPTASTIAWQCLVSFILEDFYFYWVHRLMHHK SVYKYVHKVHHEYAAPFGIAAEYAHPIETMLLGVGTFLGPLLLTRHLLTLWVWLAVRL FETIDDHSGYELPWAWSNFLPFWAGPVHHDFHHEKFDGNYASVFTVWDYVFGTDGAFR QSQADRRASGKSSWADIFDLVTPTAPSSKSTSAAKKPKAKLA H257_02683 MVLCRNAHCRSYGKIDGLCLVHAKAAAADTASAAASMATTSSSS SSPLLPPSPSRPAFTPPPHGMLPPTITAIQQPAAMMIRPAKRLDDESSLMMMTQLPPV LPNGRLRCFAPSCGASATGNTPFCPHHQHCVLLVDTQPRQPQPHVLRKRRRVSSNDLA QTTSGSASCYSSRGSSSIESTLAPPSRKRQTSPPPVVQVPAALSPEHEHAQILANLGR SM H257_02684 MSAPQEALGQDDAVRPPPIVSTTRHRSQHPPQTSLPPRARSTSE SGTSMSIVDLLNPSSTHAPNSCSDEDEPSCDENKFKQEDLLARPSYNDNHNDNHTFPS YEDNVDEFVNSDDTDDSAFQKRGLSCSIKTCPNKAVSKGRCISHGGGCRCKVTGCKNG AKMYGLCHLHGGRKKCKHPGGCGKFAKSLGLCWAHGGSKTCGQEGCEKGALKGGFCWA HGGGKRCRAPSCQKPVKSGDVCSLHYKDPNT H257_02685 MGSRNLAARESALLQQNDLINVASFKAHEEARGALRAMSKAVIQ VNRLVKSGPTSDEGGRVASRAMSKAAVHVNALGVSSSGSDIGSATNASANIAAEVLQR SPSKVHLQGGDAAQASTPPDSKYHGKRNQSVSVDSKQYSGSRSNLLQSQNSTLNRSSN SLAVDQARREQAATLQHLEEQVAAITESNKATERATGKLVDQMDQMKADHVALVRKLE QIDMQLTKQKTTQNALEANYKGTEGELVNAHTALDEARRHEKHAIQSAKAVQIRLDRV VGEVDKVKNELKELKEQKGGAAVPRVDFERVGKEVATLEKQKMELVTLYKKQAKLIDI LKRQKLHLEAAKNIAFTEEEFKKTLDWGM H257_02685 MGSRNLAARESALLQQNDLINVASFKAHEEARGALRAMSKAVIQ VNRLVKSGPTSDEGGRVASRAMSKAAVHVNALGVSSSGSDIGSATNASANIAAEVLQR SPSKVHLQGGDAAQASTPPDSKYHGKRNQSVSVDSKQYSGSRSNLLQSQNSTLNRSSN SLAVDQARREQAATLQHLEEQVAAITESNKATERATGKLVDQMDQMKADHVALVRKLE QIDMQLTKQKTTQNALEANYKGTEGELVNAHTALDEARRHEKHAIQSAKAVQVQYSRH DVTQL H257_02685 MGSRNLAARESALLQQNDLINVASFKAHEEARGALRAMSKAVIQ VNRLVKSGPTSDEGGRVASRAMSKAAVHVNALGVSSSGSDIGSATNASANIAAEVLQR SPSKVHLQGGDAAQASTPPDSKYHGKRNQSVSVDSKQYSGSRSNLLQSQNSTLNRSSN SLAVDQARREQAATLQHLEEQVAAITESNKATERATGKLVDQMDQMKADHVALVRKLE QIDMQLTKQKTTQNALEANYKGTEGEVGGIQWTSVC H257_02686 MTESLSRPASLPPLQQVTPQWLFNRLQTQGGLTLVDTRGFKCYE HSHIWSFMNLPQPLVTSTSMVTVITNAGASPSPLFLSSVDDVCPLTDTARRSWNKRKL TDVVLYDHFGMYTPSSWPMQLAVLLLHERAVTSVKFLRGGMQAFQRDYPFMITGRKDP YPVSSLSTPVKTTVAIDSLPRNIHTHHGHSSTTTHHALTFPNDVVPGFLYLGNVWQAS QPEVLRKMGITHVMSICENGPSHPMPRITYMNVPPASLHDAFDGAYAFLKKARKAGGK VLINCVSGVSASPTLAVYYLMRSERISLVQAYNDVLACRPLMFPSVTCMLMLVEAELY RCGVASIQSKEAMDALQDGSLRDDTPCHPQMKLSAQLSMFFLGRCNDRYVRDNMHQYF GDSTRRSSSLVR H257_02687 MSTRHIMELWLFVGNRRQFHHHKGHRFIRSTPQTPPRAACVLYS RKGSVMFTSPASGGGGERNNSVITEEVGDDDSPSRKASVVGQVKQPVGIPASPTQPHD NSSSTLTRQFTPSSVRRPTGANADVDNNHVDDSQGTMVLQRDATFRYHKAQIRILQDE LASLLEMRTKVQAKHDAAADELERRRTDLAAFSEHVQQMQALLDKNKSLQDIQEAKQR MLETDIASAKAQVATTRKNEKLLLRTAKVTEVRLHEGTEALQGLKKELEDERSNQGGM AVPRHEHERLLNHHQRLEKQKADLIVAFKQQIHLIDVLKRQKIHLESAKMLSFIEDEF SATLELGV H257_02687 MSTRHIMELWLFVGNRRQFHHHKGHRFIRSTPQTPPRAACVLYS RKGSVMFTSPASGGGGERNNSVITEEVGDDDSPSRKASVVGQVKQPVGIPASPTQPHD NSSSTLTRQFTPSSVRRPTGANADVDNNHVDDSQGTMVLQRDATFRYHKAQIRILQDE LASLLEMRTKVQAKHDAAADELERRRTDLAAFSEHVQQMQALLDKNKSLQDIQEAKQR MLETDIASAKAQVATTRKNEKLLLRTAKVTEVRLHEGTEALQGLKKELEDERSNQGGM AVPRHEHERLLNHHQRLEKQKT H257_02688 MTMKVLRRIEQAATTFLEDLMMDEMTSLQTIRRSSSALEFDSES QVLSHGGNMRTIRLNSQGARKYTGIWLVLQTAHQLLLEDKSITQRELYYLHPFFESQK EADESILDTGGFLGVPRECLNIVGGVKGCFTGRMSIQVDHYYENGQWVDCAKDGPSGR PITRDILRLHHDQIDARFILVIEKDGIMSAEDLFFDAMPCILVTGKGFPDLATRCFVK KLSDFLQVPVLGLCDCNSFGLSILLTYKFGSARMPLDSIHYAVDIQWLGLRPSQLEAL ELPNVVKKKCTNQDKRRMKSLMLHPFVQMQPQYRHEIDLWLADPYKVELEALHTKGFG FLGQFVQDSILHVDYI H257_02689 MMRFDMSESSSSSTSSASLTQYTPSTTPSWFDASMLHDFNISLS HVTGPSRSPEFILNASLVAFGQGSRYYVSKSYSSLRRFRRAILRAIATTARRDGSCTC QGSACAFAGVGDAYLRPHALRCLDVLGFGVYGSSERRQDEVTAFVAAVVATMQGVDKA RWSDQCLFLQLIAYFFDTAAQASCKSLKMARSQRMNLSLHGWHLNRTQTYGAGITYD H257_02690 MMMLAGRRSFWSSAKSALTKGKETISRISKINDTADIVDGLSSI GLNQLHVFPEPLRQRVSMLLKERTQVQLEKLKETITLGTSKSRFPWDSHNEQIGWEMD KKAKIPEFLYGPQETMAYMAFEMDGVYSSVHHVLRQMTDADGEATPFQPKSMLDFGSG PGTASWVAKEFFDESLQEYRLVEPSQSMADAANVIMEGFRGLSFRKSLGEMKREIAKG KQYDLIMASFVLSDITNDIERIAIVSTLWSLLAENGRLVLVDRGNSWGSLQVRSARQF ILDSLTTNADEVVVSDDATPRIQGGKVLGPCPHQKECPMKEGEWCHFVQRTPRVTQPR LPTTQRWAGYTSMKFSYVTIEKKASHNSETDVATRTELPPARMTRGPLLSSRHVTLDL CHPEGELERRPVTKGRAIRDVYRAARKAHWGAQWPASKDSYELPKAVHPPKRRKRKPS AKKLAARAGKLVQDS H257_02690 MMMLAGRRSFWSSAKSALTKGKETISRISKINDTADIVDGLSSI GLNQLHVFPEPLRQRVSMLLKERTQVQLEKLKETITLGTSKSRFPWDSHNEQIGWEMD KKAKIPEFLYGPQETMAYMAFEMDGVYSSVHHVLRQMTDADGEATPFQPKSMLDFGSG PGTASWVAKEFFDESLQEYRLVEPSQSMADAANVIMEGFRGLSFRKSLGEMKREIAKG KQYDLIMASFVLSDITNDIERIAIVSTLWSLLAENGRLVLVDRGNSWGSLQVRSARQF ILDSLTTNADEVVVSDDATPRIQGGKVLGPCPHQKECPMKEGEWCHFVQRTPRVTQPR LPTTQRWAGYTSMKFSYVTIEKKASHNSETDVATRTELPPARMTRGPLLSSRHVTLDL CHPEV H257_02691 MSFSRVLRSSASSVGVRHASSLSEVFSSVPTGTPASLSSEVTVG KTTKGLGLASSSPAYATTATLGVVFNTGSRHETAKDAGISLLASKMAFRATKDQSDLA VFRDIEAIGGSVSSRAGRDYVSWNITVAPEYVNDAAAILAESLFAPRHADWDINTQKE KVAVDEELFHANAVELLVQGVHAAAFYDNRTLGRSIYAKDNLSKLNSKHLEAFYAHHA TASNLVLVGRNIAQASLIDVANTYFADIAAGQVTATTPAAYVGGEHRTAASSSHAYVA VGFSAGGALVGKDLHTAHVLEHLLTQRSGPFSTGFAANYADASLVGLSGVAKAGDASA LTFALLKDIQSLASQSVAAAELEGAKKSSGLGYSSWVESKDGSLTQLARSAKTQKAIT ERDVQQGLAAVTAADVQALAQKLVASKPLLASVGNVAAVPRYDDIVKKL H257_02692 MKARTALSSTAVATIEPTMVDDAGSAADFRKILDTFQDKQTADL YSRQVALLQVLVQKFPSGFKMQDLPEVEAILRVAYEKIEAGVDVLIEPVCGLVAHCSK PYLRVKSNEEFTSPQLLHTLMCLLGEFLLSFEPQIQVVAADTLRALATGACLGHPPRQ SGNSDDHSDDQRPLPRDYSQQLVERCGIVEASAAALQRLLDDQEASDDANRNKRMDLL LFPIVDLLQEISSWGPNAQILTAHGSHDRILDILDQIDDLRDEFLPLCLEILWNVLEI SATTTATIQSCRSRAVMLHALRTTNAIHAMGSLRTFQVLHKLLQRLLVHGYRKQDKEL RNTTLMILDILASKARNLPLFAESGLLGTLLKYATAADLPSTTTSTLAKSSHFASSCD EDFEFKHMLWVVLADVAKDNMEAARLVSDSPFIHVLLLYVSPQSHHGGQHFTPAQRHV LQVMALHVLSTLAPRMPDLFVQLLGHVALLEFVQTSHDEDASALALQLFHELISTSND MQTDVGNIGGVEVMLTLFADVARACAVRRTAIAVCGAMCHHHDANQTRFRRAQGVAVL SLHLHFEPAHAVSQDNLIVSVVGCVWDSIIGNAESELCLIQSEGVDNLLDLLEVCPQL MHGQVLGVLAELCENVKAVAYFQAWKSKTQCSATQMLLRMYADEETRLGVQRPATGLL QNIARPLAMQVTPPSPSSGSDKQPVVAFVRLKQALVHSKGTRHTDPSRRLVTATAKVD LRSKIFAVLASVGFSCVPDDLSFNEQMTLAVAKEFPVFLVGEEWLNVKLALYAQNIRP IYADALLMEMKLEHVYSVVTKVRCCQEDIYARKESLKAKDEALFFAGVRHQKEQERQT SSKKTVSNSSMKSHLEAKKRKADMLRKSTMVDQATDECIDLPTKYVFTDPPPVYNDL H257_02693 MRLSLSLSIIYAANAAQALNYDPKSVLGVNDPVLIAKIEGYMPP ICSSLYGDAQHWTDWSICSAKCGKGEQVRFLTNDAKKNLQSNGCEISIDKRECNGNEC PQDCQYSDWPSQWSTCDKATGIQVQSREEITPVKNGGKTCAELWGGVTRTRQCDVDCE GSFGEWSECQGKSGSRSRVFNKTVQALNGGKKCPAPVETERCNPECQAIAWAPFSECN PVTGLHTRTRDIPLDSNFIHLKSALKANNCPLTDTQPCDLDCKVSEWNDNGTCDLSTG TRKLTRHVVQKAVNCGKPCNSLDHDTNLESTETCPVHCQLSDWGPYFCDKVSGVSTST RTIVRQPLNGGNTCGDLQRTKDCGPSTCETGDWNTQECSYDTCTAVRTREQLYPKRDQ GKPCNLYDKTPCTLDAQMSEWSEWSTCDATGHKKRSREILNSACHGGAPAGHTHEKTT DGCGNIICDSVNNPWPSNEDGDVWGPCDQVSGIQTRHRAIITKPTDGTVCETQQSRTC AVNCALDAWRPWSKCNECTGLQNRTRSVLQPDLNGGNKCGDTKEVRNCDVVCEATEWT TWSEPDDSCTCTRTRTELSPALNGGQCVLEDTDKHCPRSCEVSEWSAPGECHTEGKHA GLRQFKRTVLKKPCNAGAACPELEKWETCNVDCEVGDLTDWGKCNLKTQLQERHRPVL QQPYNEGKQCGATTEVRACGTCSDLVGPFKYTKCDKETGTRTGVASWIAEPKQGQECH LQVTEPCDVSCDVSEWNAGTCDVHTAQQVFTRQIVVDAKNGGVACPLADELVRHEPCK VDCVASDLWGPWSKCNGQGFSSRKKIVDIVAQNGGSNADCLVEEVKVCAVDCAIDTDT GDVLQPALNGGKSCKKIAAENNLPGDYSDVTTTTNFMAMVSANKEYAMAALATGGVGL MFVAGLISTRRQRRGGYDSISRQIPN H257_02694 MYKHTSTVEVKTPATNPDADDFTVVTGTAAVVAVGTAAVVLLGL TAVDLALIHATGSLVTRTDKSLFHKEHDANLSPLASPTNAKKFLDANEAVACLSVGRA SVVEKVSQSNPASVVHPPKFLDPLTAATIVQLCKPQTAVKPSNTTAAVPTATTAAVPV TTVKSSASGLVAGVLTSTVAALIQ H257_02695 MRLSLSLSIIYAANAAQALNYDPKSVLGVNDPVLIAKIEGYMPP ICSSLYGDAQHWTDWSICSAKCGKGEQVRFLTNDAKKNLQSNGCEISIDKRECNGNEC PQDCQYSDWPSQWSTCDKATGIQVQSREEITPVKNGGKTCAELWGGVTRTRQCDVDCE GSFGEWSECQGKSGSRSRVFNKTVQALNGGKKCPAPVETERCNPECQAIAWAPFSECN PVTGLHTRTRDIPLDSNFIHLKSALKANNCPLTDTQPCDLDCKVSEWNDNGTCDLSTG TRKLTRHVVQKAVNCGKPCNSLDHDTNLESTETCPVHCQLSDWGPYFCDKVSGVSTST RTIVRQPLNGGNTCGDLQRTKDCGPSTCETGDWNTQECSYDTCTAVRTREQLYPKRDQ GKPCNLYDKTPCTLDAQMSEWSEWSTCDATGHKKRSREILNSACHGGAPAGHTHEKTT DGCGNIICDSVNNPWPSNEDGDVWGPCDQVSGIQTRHRAIITKPTDGTVCETQQSRTC AVNCALDAWRPWSKCNECTGLQNRTRSVLQPDLNGGNKCGDTKEVRNCDVVCEATEWT TWSEPDDSCTCTRTRTELSPALNGGQCVLEDTDKHCPRSCEVSEWSAPGECHTEGKHA GLRQFKRTVLKKPCNAGAACPELEKWETCNVDCEVGDLTDWGKCNLKTQLQERHRPVL QQPYNEGKQCGATTEVRACGTCSDLVGPFKYTKCDKETGTRTGVASWIAEPKQGQECH LQVTEPCDVSCDVSEWNAGTCDVHTAQQVFTRQIVVDAKNGGVACPLADELVRHEPCK VDCVASDLWGPWSKCNGQGFSSRKKIVDIVAQNGGSNADCLVEEVKVCAVDCAIDTDT GDVLQPALNGGKSCKKIAAENNLPGDYSDVTTTTNFMAMVSANKEYAMAALATGGVGL MFVAGLISTRRQRRGGYDSISRQIPN H257_02696 MLRVAVLASSSFGLALAGYRPWDVAGVMDPVLIARIEGYMPPIC SSLYGDSEHWTDWSICSAKCGAGQQVRYLSNAGVQNLQSNGCEISIDKRNCTGTVCPR DCQYSDWLGNTNNGWSVCDKGTGTQTRTRVELISAENGGKSCSDLWGPPIQTKNCAVD CEGSYGAWGNTCNGKTGTVARQFNMAVTPLNGGAACPAEVDSKDCNPACADIPWGEYS ACSPVTGNRTRTRDVPVDANWFKLTTILKANKCPTIDVQPCNVHCQVGPWLDAEAATC DLNTGTKTLTRPIVQPARFCGTPCDSAAHDTLLTKTVPCSVSCVVDKWGPWTCDESTG VATRRRSVLQAPLNNGTACGDTSQTQDCRTVSCPICAATCEPTEWVADIACNMTTCTS KKVRSRRYPDRDADKPCQLEAFDPCTADAVMGPWSDWSECDDSAKRTRTRAIVSPACN WGAVAGHTTETQSCQSICLPRHNPWPVDEDGQDVWGPCNQATGLQTRRRGIVKPPTAG QEPCRTSETRSCAVNCVLDSWSAWSNCDNGLRNRTRSILQPMLNGGSQCGPTQETDDC AETCVTGAWSPWSAPDAECVCYSTRDQLSPARNGGACVLTQSNPSCVQNCIVGSWNVT GDCLLTGPHAGYRRLTRAVITPPCNGGASCGATESWESCDVHCVMSDWGPFGKCDLAT QTSTAERAIVQQSYGNGDSCGATTKTEPCGTCADLLTPFNYESCDPESGTRTGVAKWK YTPKQGQKCTLQVTEPCDVDCKLTPWTAGKCNVRGTLAGKQSFTRKVLVHPLNGGDAC GDLEKLEKCSVDCKPTDKWGEWGDCQPNGLMNRTLGIDYPAQHGGSNDACTVSESKAC AVDCAIDTATGKVKRGSLNGGLSCQEVATAAGLHGDYSDVSNASQFATLARQHKEVVM SMFAAGGVGVMLLVGVMKRRFQERSHGYSSVSHT H257_02697 MPSGTATAAAPATSSNATGQRLIFLFTYAAYIAVYFTRKPFSVA KSTLMAENVHTESELGLIDTAFLVSYAVGQFASGPVASFLGSNLGLALAFLGTGVCSW VFGSSPSKDMRSVAWLANGLFQAFFFPFIMDVLRAWFPPSSRGQVLGLWTTCQQLGGF ATSAFGAYILGTSTWHNVFVLPAFVSFLFAFMCLFVLQTSPSSAVAPSLANNLPTSSQ PWSVPHLTNVGSAYFCVKLVRYTFLGWLPFYLTAMLGYSAAESVLLSTAFDLAGTVGS VVCGYASDAWCGGYSARIVAPMCVLCGVTTVLYPHVAASGQIANIAIMAAVGLFVAGP DSMLGGAACAEICERAHAPSASTGATGIVNGMGSLGAIASGMLPILVKESYGWNVLFY TMGGLSVMGGLLLLPLARDTTHKKVD H257_02698 MLPLYKKGMNYITVKRRHRNVTILAAMASIIVCLLIVGMYSMYL VLDDIVVPTPPPLQPVSAAPSGAIAITTQIVPTPELLVASPSSPVIPGVAVTSAAPVP NPTTGPDLWLLSYVLPIVAGFCFGFVGSIPIAGPTSAMVLKMGIQGQYWDAQTVALGG AVAEATYAGVAYWGFGSFLVGVGWLLPVSKILGACMLLVVGVVFLRLEVQPQIESDTN SPSKRPFGASTARAIFVKHLLLGFTMSGFNPALLATYTGALASVYHTGYLQFTLGLAV LFSIGVACGISTWFYVLLTLLKTYKQRLKNQTIGAILRCMGVVLITLGLYCTKSAVDY FFP H257_02698 MLPLYKKGMNYITVKRRHRNVTILAAMASIIVCLLIVGMYSMYL VLDDIVVPTPPPLQPVSAAPSGAIAITTQIVPTPELLVASPSSPVIPGVAVTSAAPVP NPTTGPDLWLLSYVLPIVAGFCFGFVGSIPIAGPTSAMVLKMGIQGQYWDAQTVALGG AVAEATYAGVAYWGFGSFLVGVGWLLPVSKILGACMLLVVGVVFLRLEVQPQIESDTN SPSKRPFGASTARAIFVKHLLLGFTMSGFNPALLATYTGALASVYHTGYLQFTLGLAV LFSIGVACGISTWFYVLLTLLKTYKQVQELVY H257_02699 MASIYEGAFDTAALQKELVIALEEDRKYKLTDDMKKRAIHTAAS YDDFRNFVLCADLKPVSSKELQNLSKSERKRNRGYQTKSLLHVDTSKAKTLVGKQDAA VPPATSVEFIRTWRRSCLTQRDKYKYLQVTTPPRVAKLFQAELDSDLMLQIVACLSAQ FVNLNPNNDVDDYQLELAHAWFTLSLLEAVTKTGRFLLTMSFLTADQAASVIHLVARV HEVLGVHDDDDTNGDVTARLDALHRQFAR H257_02700 MDRGRKALPTLNKHTDSKFYNRCQLIHKQKLNTIKSTIDNSEPT RPAHLRKNLKKEQMKEERYATIERENRILLEKMSFIMQHDTLDNKNDALKHGHSLNKE QRKRELQRITAENQSILRRIQTRQPTYDHVQWEEEARLHEKYAQNIREYPEGGMADES GEYGEEEGSPTSRLRYTTSDGAI H257_02701 MQHGGRGALDDDSASRMSESSSPPPSVSSSSRETRTADTTYHPP ISIDPHDDAEAGSPTDDDMNRCDGDEGGLVLKSCLQEKGTRTGGFFHRLVGGKQHKYQ VGNAAIVGNLNSIPVDTSTKEEARPPLTRVTSDVLEDIPLHEDHGGASATIPPTRSSH IDHTSPHSSFIAPEVVIHDSPSKPSTTATIGDNPHITKASYRHPILHKQQPSSSPSSV GDPTTHPTTGGGSSHKEEPQPLLVLLTIVSASGLLKVHKFGVQAPYLEMKVSSDDSSP FRTVECKKGGTEAVWNQTFTRRLQSLDDSLYVAAKASTTVIGELVVSFRTLDLSPSLT NHTLQLTRGKAAEPVGHICMQCRILDSTASTPTTSPTPAPVAPLPQQQSVALPMPSCV DKPVEPKVSVAKVKYNDVGSDTGLWDAAIRHGALMFKIPYHESSGAAPKRKWVALQDL PKKGLCITWTDPQHSVESTSSQHLLPLHDVVEVKTGIKTLAFRKQHAAQGKANTFFHE DVCFSLISASRSLDLAAASKEEATLWVASLRRMLQHQTTTPTSTSCSIVGGGGRLRTS AKIMQDFKTSATRDKSTHAMWMQDLFRYAKGQQLSEIAHFLMDGCPVDLLEPQTGDTI LFLACRAGHVALLELCLKWGAKNDPHPTFGDTALQIAVKASQPECVRQLLSIAAKSDM DTEIVNHVDHANQAPLHVAASQGDVVCLQLLLHHGADICVVQANGHTPLHCAVLGGHE SCVAYILDVGGDAIINTGDCHGNTPLHCAVTLGHEGLVKLLLESAADVTLLNNEHLTP YKLARRNPKSRAIQALLAIYEPEPPPTPSKEQPRNLWQSTPHSQSARLQVRDAIIKQK SQMLSSPAKSLSARSASEGEFDGYTSSSSATSCSVGTLSSSSISTSGPSSFCFQDYRH QPAASQYYHHSHNTQPHHLSTHHQVPTTAQPQLHQHHHVGVPPSQQYPAYAAYDWSHD WEVKYTSEGHAYYVDLYTGVSQWDAPPALQGYDPYISHTPYDQQLPAVPAAYDSTSQY IRPQVETEAVFHHHNNTIGSSHVVPPPPAVYTSTPTLPVYPPLATSSATSSPSASPRS KTSFSERRKLEGLSIEPLKVVTTLPDQGLNLKLDKVNDDGDMFQKSRSRSPKGKSKTV KVLDIKRANNIVMTLSDFEFHTQFNTITQAIVDMDDQALTLEKVRCLKGLFPTDDEKD SLLARQADKGEFGKAEKFMMACLDVDDINIRADCFLFKLKFAKTMSQLQTRVQLVITI CQVILDTPGLCRLLHQMLDDKKQKVIWEDDKYTQLLDAGQMSGDIPLGQLNKLRRIES VPDISCLLRSELQDVLDSLINGCRACQNLLSQVKCNRLKAAEALPSGSTRTLMGIPAA AEFERFIKASVGDINDATDEFRQAKAWENKLVSEFGVVLEDFSPLEILCAVKQLLLAA H257_02701 MQHGGRGALDDDSASRMSESSSPPPSVSSSSRETRTADTTYHPP ISIDPHDDAEAGSPTDDDMNRCDGDEGGLVLKSCLQEKGTRTGGFFHRLVGGKQHKYQ VGNAAIVGNLNSIPVDTSTKEEARPPLTRVTSDVLEDIPLHEDHGGASATIPPTRSSH IDHTSPHSSFIAPEVVIHDSPSKPSTTATIGDNPHITKASYRHPILHKQQPSSSPSSV GDPTTHPTTGGGSSHKEEPQPLLVLLTIVSASGLLKVHKFGVQAPYLEMKVSSDDSSP FRTVECKKGGTEAVWNQTFTRRLQSLDDSLYVAAKASTTVIGELVVSFRTLDLSPSLT NHTLQLTRGKAAEPVGHICMQCRILDSTASTPTTSPTPAPVAPLPQQQSVALPMPSCV DKPVEPKVSVAKVKYNDVGSDTGLWDAAIRHGALMFKIPYHESSGAAPKRKWVALQDL PKKGLCITWTDPQHSVESTSSQHLLPLHDVVEVKTGIKTLAFRKQHAAQGKANTFFHE DVCFSLISASRSLDLAAASKEEATLWVASLRRMLQHQTTTPTSTSCSIVGGGGRLRTS AKIMQDFKTSATRDKSTHAMWMQDLFRYAKGQQLSEIAHFLMDGCPVDLLEPQTGDTI LFLACRAGHVALLELCLKWGAKNDPHPTFGDTALQIAVKASQPECVRQLLSIAAKSDM DTEIVNHVDHANQAPLHVAASQGDVVCLQLLLHHGADICVVQANGHTPLHCAVLGGHE SCVAYILDVGGDAIINTGDCHGNTPLHCAVTLGHEGLVKLLLESAADVTLLNNEHLTP YKLARRNPKSRAIQALLAIYEPEPPPTPSKEQPRNLWQSTPHSQSARLQVRDAIIKQK SQMLSSPAKSLSARSASEGEFDGYTSSSSATSCSVGTLSSSSISTSGPSSFCFQDYRH QPAASQYYHHSHNTQPHHLSTHHQVPTTAQPQLHQHHHVGVPPSQQYPAYAAYDWSHD WEVKYTSEGHAYYVDLYTGVSQWDAPPALQGYDPYISHTPYDQQLPAVPAAYDSTSQY IRPQVETEAVFHHHNNTIGSSHVVPPPPAVYTSTPTLPVYPPLATSSATSSPSASPRS KTSFSERRKLEGLSIEPLKVVTTLPDQGLNLKLDKVNDDGDMFQKSRSRSPKGKSKTV KVLDIKRANNIVMTLSDFEFHTQFNTITQAIVDMDDQALTLEKVRCLKGLFPTDDEKD SLLARQADKGEFGKAEKFMMACLDVDDINIRADCFLFKLKVLRDLTTP H257_02702 MKLYVQGMAVVAAVASLVSPVDALSEDWIGDVMKQTFPDMPSVM SVVLTKPDPCEVAVTSLTKPRKDEDMFDKIFESLFPAHRACRGQLMFSQVEEEECMAI QSSPSIEDMLAHGITSPPLVCGWRQFWVEAADDTAPFNSCELCERSVAMIENTFDKQE LALEVVEEALKLLCEYLPSSSKCNVILTRLNDIVKWLKEGLSPKHICQKISMCKQTLP RLTAAAITSTPSSHLRASPERDELCAICRDNTNAMHSLIGMPHGLKLYKDGLDAVCAH AAESKACQFMSSHFASLAKQLKQGDDVLTTCQKVRACVSETAIAAAAPTFLGCVYCEF VGQVVTSALHQGGADTLPVVKEGLDGLCSNLPPQAQCSAMDQHFDELADLMGQGKTPK DGCEAVRMCAPVEKGALVVGRPPSAEAKPAHFLAILDQLVEQLGSPTYDVAHYVGCST CEHVAYAIQRVEKSHKASLPKLKKAISTLCRFLPPCTKCRDIAAKFDELDALLNKGDQ AKPACIELGFCIPEVEPAESSSSSSHLVDEALKQLEARVNDKAMMVAQSGHADILGCV MCQSTGEVIAQVAKYSKDAMPLLKIGMETLCTRLPAEAKCAKVLGAFDQLAQLIEVGS SPLDACVHVQLCDKVAASSETVVEQALHKIESTVSTWTKGDDVTCEMCEAVTATIMSI EKVNKQYLMAFKLGLGVLCDRFQTPQCIHVMPEFDHLVELVENGKDFVEACHVAKLCE ATKTGPGPLGHLKDVNGLESQVQSWLTHTPSHESSDVLSCVFCEYTGLVIAKVVAYDK SVVPFVKQGIDTLCSRLPMEAQCEAVVAQFDPLAKLIEDGVAAPDACAKVHLCGPPTK QIATTSNGFVQSQLGDFAVKLHAREEATTRRPNDILTCLLCEDVSQLIKVVTNYDKDV VPLLKTGLQALCSQVQQLQAQCNTVVGKFDALAALVEGGTDPSEACFKTHLCALGKLA TLDLEAQVASLVNHVADVGSDEGCLFCQYASESIGTVIKMDKHQLPLVREAIGAMCSI LPPSVHCDDVNEHFEDLAKWLEGGSTALDVCHRIAVCKVATHQQQPAVLQMQQVIDDL VADE H257_02703 MEYASLTDHDDTSHKCNVLFAVLGFAYLFPFWALVQPVDYWHEL FPTFNVEFNISLAYTVTSVATLAWVVFISGVRGHNLRIVGGLLTQVVVLAVLPLASLL QSPTARHVMVLTSTAAIAVATAVLDSSVFGLAALFPKGAIEYVQFGMGVSGLVTVVFR VVSKACFPPTMLTVATTAYFLAGAVAVGSAVVAYFVLINLPRTQHYLRAASTQHVDWS LLSKVWRNEALVVVNYATTLAVYPGIVSGLYSIQYPSLNANEWWPLILLTLYAAFEVV GRYGAAWSHCGVTPDTVWRPVVARLALIPALVCTAQHVWHDVISVALVTTLALSNGYV GTLAVVVVNDCVDKPERAAAGMISSLCINIGLIVGAAIAFCLALLFHL H257_02704 MVVNPLTRCLEDYSLPPFATLRVSDIVPAVRAAIAEMALDVNAI EDDLSDPDADISWATVMDRLKIIDDPVNRLWRIVIHLSSVADSPELRLAQSEVQAEVL TIQSRRAQSVPVFRAMQRLRASRGFHEDLTAEQQRILDRAILDATLNGVALNDTDKAT FNAIHVKLKELSNRFDSNVLDSTNAFSYLVHDKAEMDGLPDAIVALTANNAVAAGHDL ATATQGPWKFTLDWSVYWAVQRYATSRRLRETIYLANQAIASAAPFDNAPIMQQMIQL RRDRAQLLGFESYAAMGLEDKMAPSVSAVQDLIDGMRNKFRPLGEAEVADVSAYAASQ GAVLPLQKWDFSFWAVKLRKQRYDLHDDLVQSYFPLPRVLDGLFEFVARLFGLRIEAA DTPQETWHPDVQYYQIRAQDQPGTPVISQFYLDLYERGDEKKAGAWIEVMVGRSSVLR TDDKAHVRIPVFALMFNFAAPAPLPSTTPTLLSFSNVTLLFHCLGYGLRIALTSAEHT AASRPHGVEWDAVEVPSQLMANFCYHRPTIQMVSGHVVTGEPLPDSIFDKLVASRRFV AAVKMLPQLQKASLDLSLHHSFDSTASSESMFALSFTLAKQFDVLPSLESDKSLCSLS HIFSGLYAAGYYSYTWSEVMSSDAYGRFKEANDEDEWKAVGRDCRDTLFALLGKAHPL DAFKSFRRRPPNADALLKDYGLI H257_02704 MDGLPDAIVALTANNAVAAGHDLATATQGPWKFTLDWSVYWAVQ RYATSRRLRETIYLANQAIASAAPFDNAPIMQQMIQLRRDRAQLLGFESYAAMGLEDK MAPSVSAVQDLIDGMRNKFRPLGEAEVADVSAYAASQGAVLPLQKWDFSFWAVKLRKQ RYDLHDDLVQSYFPLPRVLDGLFEFVARLFGLRIEAADTPQETWHPDVQYYQIRAQDQ PGTPVISQFYLDLYERGDEKKAGAWIEVMVGRSSVLRTDDKAHVRIPVFALMFNFAAP APLPSTTPTLLSFSNVTLLFHCLGYGLRIALTSAEHTAASRPHGVEWDAVEVPSQLMA NFCYHRPTIQMVSGHVVTGEPLPDSIFDKLVASRRFVAAVKMLPQLQKASLDLSLHHS FDSTASSESMFALSFTLAKQFDVLPSLESDKSLCSLSHIFSGLYAAGYYSYTWSEVMS SDAYGRFKEANDEDEWKAVGRDCRDTLFALLGKAHPLDAFKSFRRRPPNADALLKDYG LI H257_02705 MVTLKPQVWTALILNPHFTAEPHTPTKPPLLTFPEVYNIFHCFG YSLRIALTCAEHTAVSRSHGIEWDAIEVPSKLLSKFCYHRETIQMVSGHVDTGAPLPD CMFDKLVASTRIMAATNLLKQLEFSALDMALHHQYDPYSTTETIFDVKDRVAERYLWS EAMSADAFGRFEEATTPEEWQAVGRDSLLAMLGSAHPLDAFKRFRRRPPNMDALLRSY GLD H257_02706 MSADAFGRFEEATTPEEWQAVGRDSLLAMLGSAHPLDAFKRFRR RPPNMDALLRSYGLD H257_02707 MVRPSANVVQLLSPSVLPSHATLTSVNMRVASKLFLVMGFGYIF PYCAMMQPVDYWTTLFPNFNLVFALSCVYNVANILTFVVILWRSRTPQYSLQIVGGFA VQVVVLILVPLSYYFLSGESQHLVMVLTSTGVLAIASSFLDSAVFSLASLFPKGALEN VQLGIGFSNLITATYRLVTKAMFPSHLVVESSMMYFGVGALTVVGGVVAYLMLKRLAI ARETLKTAPQQQQSFQRSLWTKILLNEVLLALSYLCSLLLWPGVVSNIPSYNFPSLNA NGWWPLLLMALFALSDVVGRFAASKCRLGITRFTVWKVVLPRFALVPLMVCAATGHSF THDGVSVLFVTLLGLSGGFACTLAVVVVSDCVDDSEKGATGVASAFFINLGIVLGATL SVGLANCMGLAPL H257_02708 MVADPERAEFLKKVGGHYEPLDDNVDEKHQAFRLFTVIGFAYLF SCFALLQPVDYWHHLFPTFNAEFEIAWVYNLASVSTLLLLLWFGAAPSYNWRIVGGFS VILLFMVALPASHYVFTTSMQHLVMVLGSTAIISVSVSTVDSTVLSLASLFPVGAMEA TQVGMGWALFVSALYRVATKAFFYSTDSVVPATMLYFAVTVATVAGGLFAYVQLHRLS ITQRCIHQAHASQVVDLSMWPKLWFNECMVALSFGITYVVYPGVLTTIPSYNFPASNA NGWWPLVLMTTFAGCEAVGRSCVRWRLATHDTIWKLVVPRLLLIPLIVCAAKGVLTHD AISVLSVALVGWSNGYVGTLAVVVTNDCVDDHEQSVTGMFASLGINVGILAGATVSVI AARVVGL H257_02709 MGSEHDACLPKVYFSNNQPITAADTARANRLFAVVGFSYIIALD ALLQPVDYWRLLFPTFNAEFEISWVYTGTCVGTLLVLLLQHGTPVLDQRIRRGFMVLL LFMSMLPLSHFVLSTTTQHLVMVLASAAALGASIAAIDSSVFALASLFSSTGQTVEHV QLGLGVALLVSALYRVASKACFESDMVVAASMVYFGVAFGTIAMGLVAFSILVRLPMA QQRLHERAKGQTMNVSIWRKIWPHEAMVALTYLTTFSVYPGVITSIPCFHDESGWWNA TGWWPLVLMTSYAVCEPLGRYCVRWRCGFTHKTVWKLVVPRVVLVLLIVCCAEGSLWF TQYDVFSLGVVMALGFTNGFVGTLALVVVNDVVDDHERTFTGMCATLTINTGIFVGTT VGLALASWLNL H257_02710 MFDERVAVAKYGTAAERDPMIKRGKCSSPDKDLSKASFLFMVLG FGYLFPYHALAQPVDYWHLLFPTFDVDFEISWAYNIASVTTLFFIAWVGGKQWYTGRI VGGFVTQVLVLTILPVSYLVLTSERENLVMVLGCTVIVSVATSFLDSSVFSMANLFPP GAGIESVQLGMGFSMVVTSFYRMTTKAFFPANAIVEATMLYFLVGAVTVGCGIVAYFA LLRLPLTRTSLTRATQDVVRLSLVRKIWRQELLVTITYASSLAAWPGVVSVIPSYQFP ALNTSGWWSLYLLATYAVCELAGRYLVRFRGGVNAKTMYRLVLPRVLFVPLAMCCAKG VFSHDAVSIAVTVGAGVTSGYVGTLAIVVVNEIVDLEEQSATGMLSSLFLNVGLILGS SIGVVLATVFHM H257_02711 MEPLEIQAASPRTKTVASSPAERRLLNVLFALIGVGYLFPFSAL TQPVDYWTFLFPDFNIEFSITCVFLYTNLIALALIVSFGSTPWFTGRIVGGFAGQLAV LVFVPAVYEYVSGESAHVWSILGATAFAAIVTAFLDSCVISLASKYPLHAQESLQFGI GLSTLIGSIYRDVTKVVFPPDAVIASSSLYFYTGAVTVGLCIGAYYMLRRLPISKFML STTTSTPPTHESLPLIQPPKKQQDHKGDYDSSSQNLTTTKAPIDRWAVLGKCVHNQMQ VALLFMTTLTLWPPLVTEMQSHNFPSLQASGWWPLILLTVFSISDCIGRLLVSYRCGL TKANVWKPVLLRMLLVPCIVMSVQGIAFNHDALSVLFVLVLGATNGYHGSLAILFVSE CVGDDEKAIAGSFTGFFLNFGLVLGSSFGLILSQFIHTPQ H257_02712 MVTKVCPSCTAALTNDVLANAVHCDACKTIYCWWCEQVISKESI AQHYNVKGKGCRVVQLPQSPTASTSLKDHHGNSGHPAKSTLVCIWLNHLWRVLLTPVA MLCAFVTAMCRGFTQCPCRRHEPLDDMTKEEPPTAAAPDVVKHYKAEVHPMQSLPQPP PPSHPPTNTHIIHSSAYAMYANL H257_02713 MAFSPTVMSPRRSGQAQSTLLPPLLTRLIRFTEGDRVKTSFGTG IFRHKIKGVNGRPVYVVDMDAGGVLHSQTCWMMPRLPSLTGNEIPPGLPVQTPSNRPA IVVGYNVLDKVYEVQYDDDGSRESLESKYVRLAARTRCRTKFGLGVVTQYRHDDGFYV VLLDSNATAFLKASSVSAVDLRLLGKIPKPLSADQILAEFNGRLTQQQADALAAAGEN AYLTVRAYCEKNASSLSSIASTFNYGADYTAALGSFVNPEFHDATEKVRQAGERELQR LMELSDLVKTRVGTKLATNAELVELTAHSKKILNCVGSCIEMRQVAEQLAKQLQAGAQ SEDGAALIAHFKTMLQARVEQQQRRMRMLKPDQFLNDLEQTLSPRGLKSKGKALMNEL ATKDMALACMDPLEMLERVESFLPCVTEQATVFINESEVMLAKFQASKQGRSILAKAK ELAQVTDDNPALLREKVTEAVSKLKVNELAKWGRTLAVDAAARQEFVDQVKDRCLDFL MSVLPSIEVDPIIGTKDDIEYTLSHLDLSKFKVRKEKVSVKLGVATDDDVLTMKATHL SAIIPGLNWTFVQKKFPYLNGGGTADAEVLGGCVSLGFRAEKVAMADGTWQPTLAVSS IEIEIKEDLTLQINGSWFSTVYNVLASVFKDLIKDYIASTLEGSLIDHVVSLVTVLNA FMKEYWPMLLQLLHVTVEELPLASAWRGAKPLAPPMPHEEDLTFTSSNLPIVLAKRTR DRMALVSGVTLPNLKTSTLTDTQRHELYRIPLQATIVGVNGLSCAKLTSKEVATLLET LAAPVQLRFATAVPDDNFQVKPRRMLRTFDVSFGDGPLGLKLRARPLATHGVIVAGFI PDRSGGHDEAEASSSLPAMGQGEASGQIRPGQLLLRANGIDVRAMAFPVVLETLKSLP RPAVLTFSTSPDGIVSFKTWPPLVDLDLKDSADPCRSYVVVTGFSRLPSFGKNSKQIA VDDRVVAVNGTSVTSLPYDKVMDVLRHAMASPPYRVDFSRGGGVATTTVTFPKGPMGI LFRSDKDHGVYVKKFVAGLGPAERSGLVYRGCAILQVCGKNVDGLDVEGVQSMIDHAQ PPYHLTVRDMDMENTLSLLYS H257_02713 MAFSPTVMSPRRSGQAQSTLLPPLLTRLIRFTEGDRVKTSFGTG IFRHKIKGVNGRPVYVVDMDAGGVLHSQTCWMMPRLPSLTGNEIPPGLPVQTPSNRPA IVVGYNVLDKVYEVQYDDDGSRESLESKYVRLAARTRCRTKFGLGVVTQYRHDDGFYV VLLDSNATAFLKASSVSAVDLRLLGKIPKPLSADQILAEFNGRLTQQQADALAAAGEN AYLTVRAYCEKNASSLSSIASTFNYGADYTAALGSFVNPEFHDATEKVRQAGERELQR LMELSDLVKTRVGTKLATNAELVELTAHSKKILNCVGSCIEMRQVAEQLAKQLQAGAQ SEDGAALIAHFKTMLQARVEQQQRRMRMLKPDQFLNDLEQTLSPRGLKSKGKALMNEL ATKDMALACMDPLEMLERVESFLPCVTEQATVFINESEVMLAKFQASKQGRSILAKAK ELAQVTDDNPALLREKVTEAVSKLKVNELAKWGRTLAVDAAARQEFVDQVKDRCLDFL MSVLPSIEVDPIIGTKDDIEYTLSHLDLSKFKVRKEKVSVKLGVATDDDVLTMKATHL SAIIPGLNWTFVQKKFPYLNGGGTADAEVLGGCVSLGFRAEKVAMADGTWQPTLAVSS IEIEIKEDLTLQINGSWFSTVYNVLASVFKDLIKDYIASTLEGSLIDHVVSLVTVLNA FMKEYWPMLLQLLHVTVEELPLASAWRGAKPLAPPMPHEEDLTFTSSNLPIVLAKRTR DRMALVSGVTLPNLKTSTLTDTQRHELYRIPLQATIVGVNGLSCAKLTSKEVATLLET LAAPVQLRFATAVPDDNFQVKPRRMLRTFDVSFGDGPLGLKLRARPLATHGVIVAGFI PDRSGGHDEAEASSSLPAMGQGEASGQIRPGQLLLRANGIDVRAMAFPVVLETLKSLP RPAVLTFSTSPDGIVSFKTWPPLVDLDLKDSADPCRSYVVVTGFSRLPSFGKNSKQIA VDDRVVAVNGTSVTSLPYDKVMDVLRHAMASPPYRVDFSRGGGVATTTVTFPKGPMGI LFRSDKVTSSSTVVSWGTTDQRLCDIVGPWRVRQEVCGWPGPGRAEWTRVPRVCHPPS MWEERGWVGCGRGAEHD H257_02713 MAFSPTVMSPRRSGQAQSTLLPPLLTRLIRFTEGDRVKTSFGTG IFRHKIKGVNGRPVYVVDMDAGGVLHSQTCWMMPRLPSLTGNEIPPGLPVQTPSNRPA IVVGYNVLDKVYEVQYDDDGSRESLESKYVRLAARTRCRTKFGLGVVTQYRHDDGFYV VLLDSNATAFLKASSVSAVDLRLLGKIPKPLSADQILAEFNGRLTQQQADALAAAGEN AYLTVRAYCEKNASSLSSIASTFNYGADYTAALGSFVNPEFHDATEKVRQAGERELQR LMELSDLVKTRVGTKLATNAELVELTAHSKKILNCVGSCIEMRQVAEQLAKQLQAGAQ SEDGAALIAHFKTMLQARVEQQQRRMRMLKPDQFLNDLEQTLSPRGLKSKGKALMNEL ATKDMALACMDPLEMLERVESFLPCVTEQATVFINESEVMLAKFQASKQGRSILAKAK ELAQVTDDNPALLREKVTEAVSKLKVNELAKWGRTLAVDAAARQEFVDQVKDRCLDFL MSVLPSIEVDPIIGTKDDIEYTLSHLDLSKFKVRKEKVSVKLGVATDDDVLTMKATHL SAIIPGLNWTFVQKKFPYLNGGGTADAEVLGGCVSLGFRAEKVAMADGTWQPTLAVSS IEIEIKEDLTLQINGSWFSTVYNVLASVFKDLIKDYIASTLEGSLIDHVVSLVTVLNA FMKEYWPMLLQLLHVTVEELPLASAWRGAKPLAPPMPHEEDLTFTSSNLPIVLAKRTR DRMALVSGVTLPNLKTSTLTDTQRHELYRIPLQATIVGVNGLSCAKLTSKEVATLLET LAAPVQLRFATAVPDDNFQVKPRRMLRTFDVSFGDGPLGLKLRARPLATHGVIVAGFI PDRSGGHDEAEASSSLPAMGQGEASGQIRPGQLLLRANGIDVRAMAFPVVRTV H257_02713 MAFSPTVMSPRRSGQAQSTLLPPLLTRLIRFTEGDRVKTSFGTG IFRHKIKGVNGRPVYVVDMDAGGVLHSQTCWMMPRLPSLTGNEIPPGLPVQTPSNRPA IVVGYNVLDKVYEVQYDDDGSRESLESKYVRLAARTRCRTKFGLGVVTQYRHDDGFYV VLLDSNATAFLKASSVSAVDLRLLGKIPKPLSADQILAEFNGRLTQQQADALAAAGEN AYLTVRAYCEKNASSLSSIASTFNYGADYTAALGSFVNPEFHDATEKVRQAGERELQR LMELSDLVKTRVGTKLATNAELVELTAHSKKILNCVGSCIEMRQVAEQLAKQLQAGAQ SEDGAALIAHFKTMLQARVEQQQRRMRMLKPDQFLNDLEQTLSPRGLKSKGKALMNEL ATKDMALACMDPLEMLERVESFLPCVTEQATVFINESEVMLAKFQASKQGRSILAKAK ELAQVTDDNPALLREKVTEAVSKLKVNELAKWGRTLAVDAAARQEFVDQVKDRCLDFL MSVLPSIEVDPIIGTKDDIEYTLSHLDLSKFKVRKEKVSVKLGVATDDDVLTMKATHL SAIIPGLNWTFVQKKFPYLNGGGTADAEVLGGCVSLGFRAEKVAMADGTWQPTLAVSS IEIEIKEDLTLQINGSWFSTVYNVLASVFKDLIKDYIASTLEGSLIDHVVSLVTVLNA FMKEYWPMLLQLLHVTVEELPLASAWRGAKPLAPPMPHEEDLTFTSSNLPIVLAKRTR DRMALVSGVTLPNLKTSTLTDTQRHELYRIPLQATIVGVNGLSCAKLTSKEVATLLET LAAPVQLRFATAVPDDNFQVKPRRMLRTFDVSFGDGPLGLKLRARPLATHGVIVAGFI PDRSGGHDEAEASSSLPAMGQGEASGQIRPGQLLLRANGIDVRAMAFPVVRTV H257_02713 MAFSPTVMSPRRSGQAQSTLLPPLLTRLIRFTEGDRVKTSFGTG IFRHKIKGVNGRPVYVVDMDAGGVLHSQTCWMMPRLPSLTGNEIPPGLPVQTPSNRPA IVVGYNVLDKVYEVQYDDDGSRESLESKYVRLAARTRCRTKFGLGVVTQYRHDDGFYV VLLDSNATAFLKASSVSAVDLRLLGKIPKPLSADQILAEFNGRLTQQQADALAAAGEN AYLTVRAYCEKNASSLSSIASTFNYGADYTAALGSFVNPEFHDATEKVRQAGERELQR LMELSDLVKTRVGTKLATNAELVELTAHSKKILNCVGSCIEMRQVAEQLAKQLQAGAQ SEDGAALIAHFKTMLQARVEQQQRRMRMLKPDQFLNDLEQTLSPRGLKSKGKALMNEL ATKDMALACMDPLEMLERVESFLPCVTEQATVFINESEVMLAKFQASKQGRSILAKAK ELAQVTDDNPALLREKVTEAVSKLKVNELAKWGRTLAVDAAARQEFVDQVKDRCLDFL MSVLPSIEVDPIIGTKDDIEYTLSHLDLSKFKVRKEKVSVKLGVATDDDVLTMKATHL SAIIPGLNWTFVQKKFPYLNGGGTADAEVLGGCVSLGFRAEKVAMADGTWQPTLAVSS IEIEIKEDLTLQINGSWFSTVYNVLASVFKDLIKDYIASTLEGSLIDHVVSLVTVLNA FMKEYWPMLLQLLHVTVEELPLASAWRGAKPLAPPMPHEEDLTFTSSNLPIVLAKRTR DRMALVSGVTLPNLKTSTLTDTQRHELYRIPLQATIVGVNGLSCAKLTSKEVATLLET LAAPVQLRFATAVPDDNFQVKPRRMLRTFDVSFGDGPLGLKLRARPLATHGVIVAGFI PDRSGGHDEAEASSSLPAMGQGEASGQIRPGQLLLRANGIDVRAMAFPVVRTV H257_02713 MAFSPTVMSPRRSGQAQSTLLPPLLTRLIRFTEGDRVKTSFGTG IFRHKIKGVNGRPVYVVDMDAGGVLHSQTCWMMPRLPSLTGNEIPPGLPVQTPSNRPA IVVGYNVLDKVYEVQYDDDGSRESLESKYVRLAARTRCRTKFGLGVVTQYRHDDGFYV VLLDSNATAFLKASSVSAVDLRLLGKIPKPLSADQILAEFNGRLTQQQADALAAAGEN AYLTVRAYCEKNASSLSSIASTFNYGADYTAALGSFVNPEFHDATEKVRQAGERELQR LMELSDLVKTRVGTKLATNAELVELTAHSKKILNCVGSCIEMRQVAEQLAKQLQAGAQ SEDGAALIAHFKTMLQARVEQQQRRMRMLKPDQFLNDLEQTLSPRGLKSKGKALMNEL ATKDMALACMDPLEMLERVESFLPCVTEQATVFINESEVMLAKFQASKQGRSILAKAK ELAQVTDDNPALLREKVTEAVSKLKVNELAKWGRTLAVDAAARQEFVDQVKDRCLDFL MSVLPSIEVDPIIGTKDDIEYTLSHLDLSKFKVRKEKVSVKLGVATDDDVLTMKATHL SAIIPGLNWTFVQKKFPYLNGGGTADAEVLGGCVSLGFRAEKVAMADGTWQPTLAVSS IEIEIKEDLTLQINGSWFSTVYNVLASVFKDLIKDYIASTLEGSLIDHVVSLVTVLNA FMKEYWPMLLQLLHVTVEELPLASAWRGAKPLAPPMPHEEDLTFTSSNLPIVLAKRTR DRMALVSGVTLPNLKTSTLTDTQRHELYRIPLQATIVGVNGLSCAKLTSKEVATLLET LAAPVQLRFATAVPDDNFQVKPRRMLRTFDVSFGDGPLGLKLRARPLATHGVIVAGFI PDRSGGHDEAEASSSLPAMGQGEASGQIRPGQLLLRANGIDVRAMAFPVVRTV H257_02713 MTWQSEDGAALIAHFKTMLQARVEQQQRRMRMLKPDQFLNDLEQ TLSPRGLKSKGKALMNELATKDMALACMDPLEMLERVESFLPCVTEQATVFINESEVM LAKFQASKQGRSILAKAKELAQVTDDNPALLREKVTEAVSKLKVNELAKWGRTLAVDA AARQEFVDQVKDRCLDFLMSVLPSIEVDPIIGTKDDIEYTLSHLDLSKFKVRKEKVSV KLGVATDDDVLTMKATHLSAIIPGLNWTFVQKKFPYLNGGGTADAEVLGGCVSLGFRA EKVAMADGTWQPTLAVSSIEIEIKEDLTLQINGSWFSTVYNVLASVFKDLIKDYIAST LEGSLIDHVVSLVTVLNAFMKEYWPMLLQLLHVTVEELPLASAWRGAKPLAPPMPHEE DLTFTSSNLPIVLAKRTRDRMALVSGVTLPNLKTSTLTDTQRHELYRIPLQATIVGVN GLSCAKLTSKEVATLLETLAAPVQLRFATAVPDDNFQVKPRRMLRTFDVSFGDGPLGL KLRARPLATHGVIVAGFIPDRSGGHDEAEASSSLPAMGQGEASGQIRPGQLLLRANGI DVRAMAFPVVLETLKSLPRPAVLTFSTSPDGIVSFKTWPPLVDLDLKDSADPCRSYVV VTGFSRLPSFGKNSKQIAVDDRVVAVNGTSVTSLPYDKVMDVLRHAMASPPYRVDFSR GGGVATTTVTFPKGPMGILFRSDKDHGVYVKKFVAGLGPAERSGLVYRGCAILQVCGK NVDGLDVEGVQSMIDHAQPPYHLTVRDMDMENTLSLLYS H257_02714 MWTSSSFWNLTSTSHNSSSSMVVRRSVSIIMAAATTVSAVEFRF TNKCEYTINLHGSGSKFICDIAPGAKADNNGGAPLGTIGLFKHSPSNEANLLEYSLIN SGYGLNQVWYDVSNIPPGPGWCSSYEDCKAFTGRKGYNVPMLVIPTKFKGKANCKQLY VTRPDAPDAYLFPADNTKTHDCAMDEVFDVIFCPDNNAPAPTTAAAPVVTTKAPSPPV TTKAPSPPVTTVTPPTTSTYSPPPVTPSPPTPSSPPLPKAPSSTTDVPATTSTSSPAT PASPTVVPTSVSPVATTSRVSSPPKNDDGYIKPWFQCGGKGYSGSSLCGTGHSCVAIS DWYSQCIPDAPQFGELATWAQCGGTGFQGKAACLPRDTCVKRNDYFYQCEPKDHHN H257_02715 MPKGYALTLEQRGSIVAFRKAKLSIRRIADELGVSKGAVCSYLR SPETYGTPIRAGRPAKYDARDKRRVLRLASEGRSSSSRIKHDLNLTFSTRTVRRILSN APHLKYKKRKATPRLTSAHKKARVEWAKSHVDLGLGWNQVVFSDEKKFNLDGPDGLQY YWHDLRKDEQTFLSRQNGGGGVMIWAGFSSQGRTEVAVLQGRQDSYAYCDTVANYLLP FVHAHHPDGFVFQQDNASIHASQETTAFLAEQNIPLLSWPALSPDLNPIENVWGCLAR KVYANGRQFGSVQELQSEILRQWDAIDEELFHKLIASMKSRLIAGDSRSHAAADAGLS KRRNRIKLCGRASRTRVRSNNYTL H257_02716 MFKAVQGGHVDAVQGLLRSSDLKDMQQMDVVVGGKPVVEECTPL MLASWLGHDGIVDLLVAYDSSLVNLANHNKTNALMLACMNNHWSAVQTLLSVASLDVN AINMDSHSALLIAVNNKNAELVHLLLTRADLQVNLHGYRGNTALILACASSSKNVVDA LLSHHDIDVNMVDNSGQTALHRAAAAPGGSLIVQHLLQHPNLLVNKPSKTEETALHVA ADVGEGESVIALLNHSAVDATCKDVQGRSPLMRALKQGHADIALALLATQSVTKEVNY QDKTGITALMVACQAGLLPVVDVMLHIPCVDITILTKSGCTALSYAATKGDARVVQAL LGHPNGSDIVNKAYPSALFRACRLGFEDIVQLLLSRDDLDVHADINTMIDTPLTIAAE EGHANVVAILVQRPNLFPVNQPARKLKKTPLHCAATHGRVEVVQVLLRQGDVDVNAVD DKGSTAFLYAASRGSLPILQLLWQCPTLHSINFQDKGQCTALAWACEYHDADVVQFLL SLPDIDVNLPDENGHSPLLKATVNGSAGVVAVLLQHPQIQVNRPSHNMNTPLHAAANL RGPAIAELLLAHPSIDKSVVNRENCGPVQVAAKGGNYVTMGVLLANGLPFESVYKGTL LVVAMAPCMTPAAGVALLLRDLPVIVSATTPTPTIVATDKHHYSWTTFLDSSTPIKPE IRLETVQLVLDHPQFKALDRHSVVKELAFAADINGRTALQITDAATRTFLNEQLFFCG RYELFDGPPIHISSTAVVVNAFDYGMFKQVFDMHAAALDHHLDRDGFAKCSHALGQPS SQTTKGTLGQSDFDVWDKNHNGTLSEVEFMRYCDQAYGGKLKVAMKFMRNADEHAREI HMRRGLTKDDEENAGSPCVLRLLPMASQEAFECHVTQLTLHHDLHMAAYPNVLVMPAA DRSLEDIYLKERPNDNQIRSMLQEVATMLGQLHSHDVVHGDVKKLNVLRVDHCMRLID MDAATPVNHPIGAKFSSGSLPPEMFYKLKSEDEVAQYSSYWQQRNHPEKTKNEADDDD ERRRHDPDWWAKVQPRHHWVVKTFHDKDHHLLPYTLVKATPAVDMWAFGVLMYQMYSG VELVPTDRNQDVDDSSIERAATWTPADLATRLQNKVANPVARDLLLKLLAVDPNDRIS VHAMLSHAYFEIKQVDSTTKQVLSAIEAKLDTLNDHVVSGFQSMNDRLDLVVELTQDT LKQLGQAKEDLMRGIFQATEVRVPTSFVLLPFNILEKLADDDDDNDVEGALDEAASFI QKGLDMGAKFVKAVKTNKAINVVVKLVTPGAPLYLYLIDEVQGAPVVPPLDKASPPVY PIKIETKSDEYVAFMVTAMPYIQTGFKLLKGVNTVACMAKALGVPSLDADVLQGVQDK IECAKKTSSVFDFGVLQTAVEANDPGAPVHRIRGAALRQLERFFELHDPEKDFAGLGR TYAASGQVLWTAKSTIEAFERSKAQPKSANISFAGDIPMLLESGNKKTLTAQDVYAKL LRQQPPETTRKSLRTPGAVAVTRKCATGSEEA H257_02716 MFKAVQGGHVDAVQGLLRSSDLKDMQQMDVVVGGKPVVEECTPL MLASWLGHDGIVDLLVAYDSSLVNLANHNKTNALMLACMNNHWSAVQTLLSVASLDVN AINMDSHSALLIAVNNKNAELVHLLLTRADLQVNLHGYRGNTALILACASSSKNVVDA LLSHHDIDVNMVDNSGQTALHRAAAAPGGSLIVQHLLQHPNLLVNKPSKTEETALHVA ADVGEGESVIALLNHSAVDATCKDVQGRSPLMRALKQGHADIALALLATQSVTKEVNY QDKTGITALMVACQAGLLPVVDVMLHIPCVDITILTKSGCTALSYAATKGDARVVQAL LGHPNGSDIVNKAYPSALFRACRLGFEDIVQLLLSRDDLDVHADINTMIDTPLTIAAE EGHANVVAILVQRPNLFPVNQPARKLKKTPLHCAATHGRVEVVQVLLRQGDVDVNAVD DKGSTAFLYAASRGSLPILQLLWQCPTLHSINFQDKGQCTALAWACEYHDADVVQFLL SLPDIDVNLPDENGHSPLLKATVNGSAGVVAVLLQHPQIQVNRPSHNMNTPLHAAANL RGPAIAELLLAHPSIDKSVVNRENCGPVQVAAKGGNYVTMGVLLANGLPFESVYKGTL LVVAMAPCMTPAAGVALLLRDLPVIVSATTPTPTIVATDKHHYSWTTFLDSSTPIKPE IRLETVQLVLDHPQFKALDRHSVVKELAFAADINGRTALQITDAATRTFLNEQLFFCG RYELFDGPPIHISSTAVVVNAFDYGMFKQVFDMHAAALDHHLDRDGFAKCSHALGQPS SQTTKGTLGQSDFDVWDKNHNGTLSEVEFMRYCDQAYGGKLKVAMKFMRNADEHAREI HMRRGLTKDDEENAGSPCVLRLLPMASQEAFECHVTQLTLHHDLHMAAYPNVLVMPAA DRSLEDIYLKERPNDNQIRSMLQEVATMLGQLHSHDVVHGDVKKLNVLRVDHCMRLID MDAATPVNHPIGAKFSSGSLPPEMFYKLKSEDEVAQYSSYWQQRNHPEKTKNEADDDD ERRRHDPDWWAKVQPRHHWVVKTFHDKDHHLLPYTLVKATPAVDMWAFGVLMYQMYSG VELVPTDRNQDVDDSSIERAATWTPADLATRLQNKVANPVARDLLLKLLAVDPNDRIS VHAMLSHAYFEIKQVDSTTKQVLSAIEAKLDTLNDHVVSGFQSMNDRLDLVVELTQDT LKQLGQAKEDLMRGIFQATEVRVPTSFVLLPFNILEKLADDDDDNDVEGALDEAASFI QKGLDMGAKFVKAVKTNKAINVVVKLVTPGAPLYLYLIDEVQGAPVVPPLDKASPPVY PIKIETKSDEYVAFMVTAMPYIQTGFKLLKGVNTVACMAKALGVPSLDADVLQGVQDK IECAKKTSSVFDFGVLQTAVEANDPGAPVHRIRGAALRQLERFFELHDPEKDFAGLGR TYAASGQVLWTAKSTIEAFERSKAQPKSANISFAGDIPMLLESGNKKTLTAQDVYAKL LRQQPPETTRKSLRTPGAVAVTRKCATGSEEA H257_02716 MFKAVQGGHVDAVQGLLRSSDLKDMQQMDVVVGGKPVVEECTPL MLASWLGHDGIVDLLVAYDSSLVNLANHNKTNALMLACMNNHWSAVQTLLSVASLDVN AINMDSHSALLIAVNNKNAELVHLLLTRADLQVNLHGYRGNTALILACASSSKNVVDA LLSHHDIDVNMVDNSGQTALHRAAAAPGGSLIVQHLLQHPNLLVNKPSKTEETALHVA ADVGEGESVIALLNHSAVDATCKDVQGRSPLMRALKQGHADIALALLATQSVTKEVNY QDKTGITALMVACQAGLLPVVDVMLHIPCVDITILTKSGCTALSYAATKGDARVVQAL LGHPNGSDIVNKAYPSALFRACRLGFEDIVQLLLSRDDLDVHADINTMIDTPLTIAAE EGHANVVAILVQRPNLFPVNQPARKLKKTPLHCAATHGRVEVVQVLLRQGDVDVNAVD DKGSTAFLYAASRGSLPILQLLWQCPTLHSINFQDKGQCTALAWACEYHDADVVQFLL SLPDIDVNLPDENGHSPLLKATVNGSAGVVAVLLQHPQIQVNRPSHNMNTPLHAAANL RGPAIAELLLAHPSIDKSVVNRENCGPVQVAAKGGNYVTMGVLLANGLPFESVYKGTL LVVAMAPCMTPAAGVALLLRDLPVIVSATTPTPTIVATDKHHYSWTTFLDSSTPIKPE IRLETVQLVLDHPQFKALDRHSVVKELAFAADINGRTALQITDAATRTFLNEQLFFCG RYELFDGPPIHISSTAVVVNAFDYGMFKQVFDMHAAALDHHLDRDGFAKCSHALGQPS SQTTKGTLGQSDFDVWDKNHNGTLSEVEFMRYCDQAYGGKLKVAMKFMRNADEHAREI HMRRGLTKDDEENAGSPCVLRLLPMASQEAFECHVTQLTLHHDLHMAAYPNVLVMPAA DRSLEDIYLKERPNDNQIRSMLQEVATMLGQLHSHDVVHGDVKKLNVLRVDHCMRLID MDAATPVNHPIGAKFSSGSLPPEMFYKLKSEDEVAQYSSYWQQRNHPEKTKNEADDDD ERRRHDPDWWAKVQPRHHWVVKTFHDKDHHLLPYTLVKATPAVDMWAFGVLMYQMYSG VELVPTDRNQDVDDSSIERAATWTPADLATRLQNKVANPVARDLLLKLLAVDPNDRIS VHAMLSHAYFEIKQVDSTTKQVLSAIEAKLDTLNDHVVSGFQSMNDRLDLVVELTQDT LKQLGQAKEDLMRGIFQATEVRVPTSFVLLPFNILEKLADDDDDNDVEGALDEAASFI QKGLDMGAKFVKAVKTNKAINVVVKLVTPGAPLYLYLIDEVQGAPVVPPLDKASPPVY PIKIETKSDEYVAFMVTAMPYIQTGFKLLKGVNTVACMAKALGVPSLDADVLQGVQDK IECAKKTSSVFDFGVLQTAVEANDPGAPVHRIRGAALRQLERFFELHDPEKDFAGLGR TYAASGQVLWTAKSTIEAFERSKAQPKSANISFAGDIPMLLESGNKKTLTAQDVYAKL LRQQPPETTRK H257_02717 MFRSVASHSLLRRKTTPVSSMLLRLSHTATAVEASDRFRVVIVG TGWAGYKLLINGKERRDDIERALGKPVDFVVISERNHFLYTPLLASTTVGTLEFRSIT EPVRESSFRHEQDFVLASVQSIDTESKQVQCQSTLSTDLNYNIDYDMLVVACGSVPST FGLPGVTEHAFFLKEVYHAREIRRRILENFELATQPGVSEATQRQLLHFIVVGGGPTG IEFCAELYDFVNEDLTRLYPQVSNYLQVSLIDAGEILSMFNASLRERAMRKIENRQSM RIIKHNCTEVKSNAVILDTGEEIPCGLVVWTAGVGPNTLTKTLPWAKSKRGNILTNQF CQVLGAQPSPHDAAVSAQLKLQLPSAVFAIGDCADIENYPLPATAQKAQGQALYLLEL LQRTKPSVEPYRFESMGMMAYLGSYEGLFQAKEVTINKQHQPLATFDGWKAWLVWRSA YLTKLGSWRLRLQVPLDWFKAMVVGRDVSRF H257_02717 MHSTLEFRSITEPVRESSFRHEQDFVLASVQSIDTESKQVQCQS TLSTDLNYNIDYDMLVVACGSVPSTFGLPGVTEHAFFLKEVYHAREIRRRILENFELA TQPGVSEATQRQLLHFIVVGGGPTGIEFCAELYDFVNEDLTRLYPQVSNYLQVSLIDA GEILSMFNASLRERAMRKIENRQSMRIIKHNCTEVKSNAVILDTGEEIPCGLVVWTAG VGPNTLTKTLPWAKSKRGNILTNQFCQVLGAQPSPHDAAVSAQLKLQLPSAVFAIGDC ADIENYPLPATAQKAQGQALYLLELLQRTKPSVEPYRFESMGMMAYLGSYEGLFQAKE VTINKQHQPLATFDGWKAWLVWRSAYLTKLGSWRLRLQVPLDWFKAMVVGRDVSRF H257_02717 MPEHVIHGSQLQHRLRHVSRGLWLCSIHVWAPRGHRARVFPQGS VPRPYVLCRFGLVNRSSYGTYSKYVGEIRRRILENFELATQPGVSEATQRQLLHFIVV GGGPTGIEFCAELYDFVNEDLTRLYPQVSNYLQVSLIDAGEILSMFNASLRERAMRKI ENRQSMRIIKHNCTEVKSNAVILDTGEEIPCGLVVWTAGVGPNTLTKTLPWAKSKRGN ILTNQFCQVLGAQPSPHDAAVSAQLKLQLPSAVFAIGDCADIENYPLPATAQKAQGQA LYLLELLQRTKPSVEPYRFESMGMMAYLGSYEGLFQAKEVTINKQHQPLATFDGWKAW LVWRSAYLTKLGSWRLRLQVPLDWFKAMVVGRDVSRF H257_02718 MSKAPGLPVAPPLLAPQVPYASDGTNPMSMHASFSHPPVHVAPK PLVLPLPKRDVLAPLSLEREVRGTKHASSNAVLFHVKSGAGVLYSLLNPSSPAYEPPD VTWLKLLEAIALLPSGKPQGMVEIIGEVYRIMPMGEFRNRFQSDFRHELYVKSKMTPR EGLVLKDKVNRFIRAYMADPIHGYVFSKTHIHHDYHADDVVQTPVGNGRVRAYRAQDD YYIVVFPWGHGYIHSASLSSASATSVNDSADTTPHSLKRSLAAAAGIPHSSSKSMKTA TTVLDDIMHRPPDSIVPKAYLVALAQQLNQV H257_02718 MSKAPGLPVAPPLLAPQVPYASDGTNPMSMHASFSHPPVHVAPK PLVLPLPKRDVLAPLSLEREVRGTKHASSNAVLFHVKSGAGVLYSLLNPSSPAYEPPD VTWLKLLEAIALLPSGKPQGMVEIIGEVYRIMPMGEFRNRFQSDFRHELYVKSKMTPR EGLVLKDKVNRFIRAYMADPIHGYVFSKTHIHHDYHADDVVQTPVGNGRVRAYRAQDD YYIVVFPWGHGYIHSASLSSASATSVNDSADTTPHSLKRSLAAAAGIPHSSSKSMKTA TTVLDDIMHRPPDSIVPKVVV H257_02719 MRTTIFFAALIAAVAAQTTKPVTTVLATTTPKPNVTTTVKPVNT TTTAKPVTTTAAKPTTTARRFNVSVVGDATYNIAGPICSGSGLVPAGTNCPVKGDKAV ASCFPHLKTYSNRTCTAGINSVCRKIPSGAWGCVWNVTVPTGTTWKPTSVVPTTTKAT TVAPKPNTTKATTAAPTTTKATTTAPTTTKPVVKAVTAAPTTTVKVNVTTAKPNTTIV KPNATTAKPNTTTVKPVTTTAAKPTTTARRFDVSVVGDATYNIAGPICSGSGLVPAGT KCPVKGDKAVASCHKGLKTFANSTCVAPVNSVCQKIPSGAWGCVWNSTATNATIVKPT TTGTIVKPTTTKATTTTAKPTTTAAVTTKKP H257_02720 MRTTIFLAALIAAVAAQTIKPVTSASDTITAKPATPTTTARWFN VSVVGDATYAIPGPICSGSGLVPAGTKCPVKGDKAVASCHKGLKTFANSTCVAPVNSV CQKIPSGAWGCVWNSTGTNTTIVKPTTAAPTTAKATTAAPTTTKATTSVVTTTKKP H257_02721 MPLPPSIGDEDAIIKHIFHVYADVPTHRLSNSNFRRLTLDAPNL LTPWFGMVDVDLAYAQARGHSCRRLDVTQFVEALVLLAWRKYPEEAQQDAFLRLLNES IYLLPVASKLAHARAAEFATARLGRSANQVDAFDSLLPLTSSSPCFAWKQQHDVDILG DFLAGDYGAVDNTDDSDPEVAPLESLMTWHADGDAALTLDDATSSQPVGHTRVAAAAY LLGTMRAIIKSNQRAKRRRAFQIWHDRNDCISCRIAWLAMILKGRCHRKVHLAWTLWQ RNYKHSKVTQQQRLQSLEWTRARQTQLLQAIMTQWYQTVAATAVVRAQFRRMWKQLTF RRLRSRWLRWRLCTVHRRTCVLSVRRMQRMRGKKQLQLVWSVWQRHCAKLNALSFVMW KTWQRTSRSICMALLTEAASKTAKRASAHNLIRMVATWKLMSAWMTWTHQISVQTHLV AWNRRGNQRMIHNILRMWQEWMVQWKLQKRSTRRLVQMVGRRVLSRTLYTLRKASWSR KVHLGQSILIHERTMAMASFLRTTLRHLRRQRLGRAYTNWTLHTLQHRFQTRRRLENA LSKVFLLVGHGALQYRALQTRFHQWRTLSTVLRDTSAHNAQRHNDDVSHRHALGLQLL GHTSRRHHHSRVTKLFRRWMQYSCHVAASAAAIQRATQLWTKVHVLRPAWRLWRATCR RFTLIARCFEHFHRYQRRRTLQGLYTWHAHTQVAHHEDTRLVLRSQLVRHVLGPIVKR MVRRHQQISWIKWWEHISHAIDAATRQALFVRWWRQHRRHQHAKSLQSALVKWTMHIA DRRHQWRMEGLLEIYASQKVRSSRRLAFLTWTLAVSRHQANRRSLCRLKRLWVREQLV RHGFRWWRRRLALQRLNIMCFHHHLSFAVNKWRGVTREAAATALKSTTLGHLVEIKRR LWMRQRWTQWCHVTHASCLWQVGWSREHSAHFYWNALTGRSQWEPPQHSAISRGGSHA AEQGAALVHSILTHRNHQQLEAATRRALTRWRMETLHERLRHQLAQQLHTHVAATHAL MQAQVTSCYDTSWTLLQHVKTMAAVFGQWKLVWKHTKYHADVVVRTTLRMQLAKIFRR WKQLSFDSQRQQQKTVDNQIRAGKYLLSIMARKATRRQRRAFHLLQRQTSRIKLVYTL LRTNLARTQTRRWWHCWTSRVTVTRTMAMQCRQWQHQRLVQSSWMTWRHQVHFRQRHR VGCTRLERVLNRRELRQHLRQWLRRSDQWRQLHRVLHCGRKRNIQRAWGTWQRASGAH QTSQARNVLQAMYTWRVHVLQRQRARTLLRTCIGLLSRRLAVVALAQWKWHTVTLQSV ARAAATSTTSHLRLAWRSWSVAIRQHRLQVHALRRVILRRRSRSLQLSYSRWNFYLVG SRHCQQVQTALRKYMCALQTYQGKVHALSSMLARRAIGSAIRHFHQVLQRYVAQAFHT WRLHNRAFSFQAKLDVAHETTRRQIQVAACWRKWSNFRWRRVQMTWLHSQRPLQRALV SATFSRWSSQGLARRASWKATVSTMETCRWQRNLRSLWNTWRATIETTKCDRISLCRL CFNGWSTAVVRCHVIQLRSRNERQAQRLELFQAHRCLCTWQAWTSYRRVARQRGIATL SRLQCHVYWRNWTTFVDQQRRFHLLGNYKVANYHQFKQRCRKLASIVTTLGRAWLRRQ FDLWNRHSHQVQIDGLTGALAWLDDSHEQRLRVYAVWHRWRRFSCSSRTNRLASLRQC LWSWKHWYQRHHRRRVQLAATVQRIAHSHRMTRWRQCAVFRTWQQRIVPPCQRPGMPM AHSKPLWTTTKPPLLSAAWRKNLSKASLLLLVRRKSHYMGALILHLTLLKRRAHHLTQ AFHSWHERSVGTKWVWCLMPVRLASAMVQIKYHIFVAKREVCQLYDQLQEVESTGSSE VYR H257_02721 MPLPPSIGDEDAIIKHIFHVYADVPTHRLSNSNFRRLTLDAPNL LTPWFGMVDVDLAYAQARGHSCRRLDVTQFVEALVLLAWRKYPEEAQQDAFLRLLNES IYLLPVASKLAHARAAEFATARLGRSANQVDAFDSLLPLTSSSPCFAWKQQHDVDILG DFLAGDYGAVDNTDDSDPEVAPLESLMTWHADGDAALTLDDATSSQPVGHTRVAAAAY LLGTMRAIIKSNQRAKRRRAFQIWHDRNDCISCRIAWLAMILKGRCHRKVHLAWTLWQ RNYKHSKVTQQQRLQSLEWTRARQTQLLQAIMTQWYQTVAATAVVRAQFRRMWKQLTF RRLRSRWLRWRLCTVHRRTCVLSVRRMQRMRGKKQLQLVWSVWQRHCAKLNALSFVMW KTWQRTSRSICMALLTEAASKTAKRASAHNLIRMVATWKLMSAWMTWTHQISVQTHLV AWNRRGNQRMIHNILRMWQEWMVQWKLQKRSTRRLVQMVGRRVLSRTLYTLRKASWSR KVHLGQSILIHERTMAMASFLRTTLRHLRRQRLGRAYTNWTLHTLQHRFQTRRRLENA LSKVFLLVGHGALQYRALQTRFHQWRTLSTVLRDTSAHNAQRHNDDVSHRHALGLQLL GHTSRRHHHSRVTKLFRRWMQYSCHVAASAAAIQRATQLWTKVHVLRPAWRLWRATCR RFTLIARCFEHFHRYQRRRTLQGLYTWHAHTQVAHHEDTRLVLRSQLVRHVLGPIVKR MVRRHQQISWIKWWEHISHAIDAATRQALFVRWWRQHRRHQHAKSLQSALVKWTMHIA DRRHQWRMEGLLEIYASQKVRSSRRLAFLTWTLAVSRHQANRRSLCRLKRLWVREQLV RHGFRWWRRRLALQRLNIMCFHHHLSFAVNKWRGVTREAAATALKSTTLGHLVEIKRR LWMRQRWTQWCHVTHASCLWQVGWSREHSAHFYWNALTGRSQWEPPQHSAISRGGSHA AEQGAALVHSILTHRNHQQLEAATRRALTRWRMETLHERLRHQLAQQLHTHVAATHAL MQAQVTSCYDTSWTLLQHVKTMAAVFGQWKLVWKHTKYHADVVVRTTLRMQLAKIFRR WKQLSFDSQRQQQKTVDNQIRAGKYLLSIMARKATRRQRRAFHLLQRQTSRIKLVYTL LRTNLARTQTRRWWHCWTSRVTVTRTMAMQCRQWQHQRLVQSSWMTWRHQVHFRQRHR VGCTRLERVLNRRELRQHLRQWLRRSDQWRQLHRVLHCGRKRNIQRAWGTWQRASGAH QTSQARNVLQAMYTWRVHVLQRQRARTLLRTCIGLLSRRLAVVALAQWKWHTVTLQSV ARAAATSTTSHLRLAWRSWSVAIRQHRLQVHALRRVILRRRSRSLQLSYSRWNFYLVG SRHCQQVQTALRKYMCALQTYQGKVHALSSMLARRAIGSAIRHFHQVLQRYVAQAFHT WRLHNRAFSFQAKLDVAHETTRRQIQVAACWRKWSNFRWRRVQMTWLHSQRPLQRALV SATFSRWSSQGLARRASWKATVSTMETCRWQRNLRSLWNTWRATIETTKCDRISLCRL CFNGWSTAVVRCHVIQLRSRNERQAQRLELFQAHRCLCTWQAWTSYRRVARQRGIATL SRLQCHVYWRNWTTFVDQQRRFHLLGNYKVANYHQFKQRCRKLASIVTTLGRAWLRRQ FDLWNRHSHQVQIDGLTGALAWLDDSHEQRLRVYAVWHRYVIECCVIRAFLSEFTWQN GRWRRFSCSSRTNRLASLRQCLWSWKHWYQRHHRRRVQLAATVQRIAHSHRMTRWRQC AVFRTWQQRIVPPCQRPGMPMAHSKPLWTTTKPPLLSAAWRKNLSKASLLLLVRRKSH YMGALILHLTLLKRRAHHLTQAFHSWHERSVGTKWVWCLMPVRLASAMVQIKYHIFVA KREVCQLYDQLQEVESTGSSEVYR H257_02724 MLYAEVDPRLQRYCIVDGSRLHLKIHGVPMSDELLDAAIRAVRM NANITALTLSHLGCGPKMAVVVADMLEHKSSLTSCDLSGNSIGSHGSKCLSLSLHANA RLRRLSLRQCNLGSDGIADWAMFIQRGRNTVLDMLDLSRNRIDDDGAAMLASALQQLK FTPTPTRKWRINLLGNAITDAGVAHLASAMKKCTVVTSIDVANSKAYGIEQPERVVFI EHCARRNTRQVAHQVAEEVVRAMAQSERKAEITRLEHVQLTEVDCVSLGHALRRSHAT THLVLRNNTLTLAGLRLFAPSLSVSMSLFSITLVDNNVGGDGLFALLMAIRDNSTEWP LRELHIINSTATCPTWPRRLNAHIYHTFVQGTVRLTALTLANCGLQDVDVAALVAGIA WGCSFERLNLARNHMTDHVLSVFQVLFHRCLTLQSLDVGGNQCTLNGVVDLVKAAVNH PRLHALHLGRFPCLDNAILRIDAVVQTSSQLRILEVSASHEHSKYAPVMMALRAKVQA NHHQHKLNISSTTTINSPSEQNHANNADNGGGKDQHVRWCRMQYVARQVARDAIAWHL RPVTVLYVAEQLCMQRQDMNATVVLDTHAMTVNDWNVSLVWDLMVEVLCMHRCDLNVH IVYECLSMAGEDSRR H257_02724 MAVVVADMLEHKSSLTSCDLSGNSIGSHGSKCLSLSLHANARLR RLSLRQCNLGSDGIADWAMFIQRGRNTVLDMLDLSRNRIDDDGAAMLASALQQLKFTP TPTRKWRINLLGNAITDAGVAHLASAMKKCTVVTSIDVANSKAYGIEQPERVVFIEHC ARRNTRQVAHQVAEEVVRAMAQSERKAEITRLEHVQLTEVDCVSLGHALRRSHATTHL VLRNNTLTLAGLRLFAPSLSVSMSLFSITLVDNNVGGDGLFALLMAIRDNSTEWPLRE LHIINSTATCPTWPRRLNAHIYHTFVQGTVRLTALTLANCGLQDVDVAALVAGIAWGC SFERLNLARNHMTDHVLSVFQVLFHRCLTLQSLDVGGNQCTLNGVVDLVKAAVNHPRL HALHLGRFPCLDNAILRIDAVVQTSSQLRILEVSASHEHSKYAPVMMALRAKVQANHH QHKLNISSTTTINSPSEQNHANNADNGGGKDQHVRWCRMQYVARQVARDAIAWHLRPV TVLYVAEQLCMQRQDMNATVVLDTHAMTVNDWNVSLVWDLMVEVLCMHRCDLNVHIVY ECLSMAGEDSRR H257_02725 MSIDEYEVPKTTSGGLRILAVPSLSCQSEGADYSNVLDTLHANF FATCRSLEDPDRSTIMEHDRGSMAFMTWETTGLLVQYALSGFAIGALDLFVHHRAPAL APLLSILSWTCSLLVGGLSDACHRRRRPFLVLGWLLCGTASVVVLALDDTISTNQPNN STLSGIVGVAALVATAGMTTVTTAIEAWVVQLAQRESFVERGRLQSSAFAARYMLTAV SVQAFGWVSSSSPPSITPKSQLQPWDGRTVQTGYVIVAVVCVLAIVAGLRMRDQPFEQ PNRLSLPFWHLARQSLFHLPLTWQVTAFWFCHSLCWPLVETPQVATIDTTSSTSYGVA TGYHLCQALMVFGVGFAARQKSWRWTLALATGWLVVVTTVPELFSVWNYVLRSTDFDG IMVVCSGLAHGVPPLLRGFVAVEMAGRGTEGLTVAMLAASATLSHPFHIAIRAAVATA FPNDQHSPESILVLGGIPMASLLLLVLLPAQKEHVCVLKQFVQAGCGAGGIAFTVLTT FVCAANVVLNVQTSIRLPNGR H257_02726 MLPYCSSDASYTWMCLRGVVLGTLSLGTVVFCLYAIYIMLPACI HVSQHRPSMALVVLAALEMTCVLLRCVWVDEPKLMIAAKYCRGIQVSISCWLYGLMAC DITGKRSLMYGLLMPLLVAVAVLMTADVLIMLNDPYVDCHHASWLVMSLASSTLAVSF AAAGGVVLKEIKLASAMQRHLHQTLISHHKELDHTYHQLWWLVVINMISSVLQLSYDL YITYVVGDEPCAKIFYDDDDGAIEQIVRLGLALATFVYPEWVTVYVFFWSSRHNYATH HMDVPDIESTTDDEEGSRRGLLVNDDSPSDAMYHHILDFDALSSHRQDQLPLRHGK H257_02726 MLPYCSSDASYTWMCLRGVVLGTLSLGTVVFCLYAIYIMLPACI HVSQHRPSMALVVLAALEMTCVLLRCVWVDEPKLMIAAKYCRGIQVSISCWLYGLMAC DITGKRSLMYGLLMPLLVAVAVLMTADVLIMLNDPYVDCHHASWLVMSLASSTLAVSF AAAGGVVLKEIKLASAMQRHLHQTLISHHKELDHTYHQLWWLVVINMISSVLQLSYDL YITYVVGTNYTYTSAT H257_02727 MQDGEIAAANDESTRVILHLDLDCFYAQVEHERLGIPHSEPLAV QQWGSLLAVNYAARPFGVVRSENIGDARKKCPSIHLPHVETLGDGSDVAPNRSKQKAI LRRYRIASRQVFEIVARHAPIYEKASIDEVYMDVSQQCQERFKSNVSDGLGYGATHVF GMADAPGAFPTTYQEKLLCIGAEIAQEIRDDIRRTLNFTTSVGIATNKLLSKLAAPLH KPDGQTMVPPRSVQRFMQSFPVDKIRGLGGKLGVRLVGLASSQHHTEEGKATPQQGAT NAETGPPARVTAGAIVDELGLQGLQKHFGDDTGRYIYTLCMGEDGQDPVNVKKVLLAQ LNSVKSFDPNKGGLVTTESLFQYWLRILCDEMAWRFEEERDENHRLPTQFTLTCERYD SGQKKLVRHFAVPNTVDASVFYAAAIGQIHDISAAVLPCSHMVLSAKEFVSTLHMSVK ITHFFKESTVKQPSIDENNSMAPQDDGVTTTTDGRIDRSMPTKPSLSAAWKPPRPKAA AAPSTIAHFFVKGPTAAGVDRQGDDVRGYSNDKDASEEMHYCHKCHMYIATTAAEHAD HHVALALSSKWNDDDNKARPTKQQKKTKTGPMDFFFSKSHDQQPQG H257_02728 MKIRVKRIHGVATWSWGVKNEGCCIICQNPFEACCPECTVPGDG CPPVFGRCEHALHMHCLVKWLESLKDAQHKCPLCREEWKFREA H257_02729 MSWFGKPTSKPAPAANTSAVSELQRLRGRQISSLVRAGGQAINH QQSIFDVVVRLVDSRTLTLRITLPDEFPMQAPIIQTTSRVQHSWLDSQCRVTGHMDLS TWSAHADIGRIVTDIVTEFQRSPPVVIGRGGMSSPSMPPPPITTSASATPMYPGPTSA SPLTPSYLQSFPPHATSHQHSQQPPVPSLYPPYPSASTASPSTYGYPAPYASSSAGAS YGQGSHQQQPPKPQRDVVRQTQSPAIPTVFPELESLSVNQLEKLVTDRATLKAYIKSM DNVVNFMKLYDDLVKGNRDMAESNLGYEAQLAPLQSDVQSLKQQLHQAQESLHAKQTQ QRQALVHFQAEPLVARVAQAADEADEASEEIAARFTNGEIDVSQFVTEFLPTRKLYHL RTTKVDRFTKP H257_02729 MDLSTWSAHADIGRIVTDIVTEFQRSPPVVIGRGGMSSPSMPPP PITTSASATPMYPGPTSASPLTPSYLQSFPPHATSHQHSQQPPVPSLYPPYPSASTAS PSTYGYPAPYASSSAGASYGQGSHQQQPPKPQRDVVRQTQSPAIPTVFPELESLSVNQ LEKLVTDRATLKAYIKSMDNVVNFMKLYDDLVKGNRDMAESNLGYEAQLAPLQSDVQS LKQQLHQAQESLHAKQTQQRQALVHFQAEPLVARVAQAADEADEASEEIAARFTNGEI DVSQFVTEFLPTRKLYHLRTTKVDRFTKP H257_02729 MSWFGKPTSKPAPAANTSAVSELQRLRGRQISSLVRAGGQAINH QQSIFDVVVRLVDSRTLTLRITLPDEFPMQAPIIQTTSRVQHSWLDSQCRVTGHMDLS TWSAHADIGRIVTDIVTEFQRSPPVVIGRGGMSSPSMPPPPITTSASATPMYPGPTSA SPLTPSYLQSFPPHATSHQHSQQPPVPSLYPPYPSASTASPSTYGYPAPYASSSAGAS YGQGSHQQQPPKPQRDVVRQTQSPAIPTVFPELESLSVNQLEKLVTDRATLKAYIKSM DNVVNFMKLYDDLVKGNRDMAESNLGYEAQLAPLQSDVQSLKQQLHQAQESLHAKQTQ QRQALVVCSAIYLVFVEVQAALCVNIAFSSGALGGASRASRRRSGRSVRGNRSAVHEW H257_02729 MDLSTWSAHADIGRIVTDIVTEFQRSPPVVIGRGGMSSPSMPPP PITTSASATPMYPGPTSASPLTPSYLQSFPPHATSHQHSQQPPVPSLYPPYPSASTAS PSTYGYPAPYASSSAGASYGQGSHQQQPPKPQRDVVRQTQSPAIPTVFPELESLSVNQ LEKLVTDRATLKAYIKSMDNVVNFMKLYDDLVKGNRDMAESNLGYEAQLAPLQSDVQS LKQQLHQAQESLHAKQTQQRQALVVCSAIYLVFVEVQAALCVNIAFSSGALGGASRAS RRRSGRSVRGNRSAVHEW H257_02730 MRWAAGVVVAAIACSLSLSNADNNVLGRFQDAIEIMQAGDTARA EQLLLRLSMVAPDDVDVHVALGAMNHLEGNVDIATKYYNTVLTLDPTNDAVHGNLAFL NFKAGQYARAIDHFKASVATNPTKAQGMAHMIALAHHAMGDEVTAIMFFDLAQPLHDN DPHFHFDFAVSLQDEGLVTRANAEYNRALALDPNFPEAWLNIATLHLRYGSISTALRN FDRARRCTPLTPHLSLQIAINYGVALETNGHAMEALVQFETAQTLLEWMDHSDREALD LFEHKTRTRRAIVSWVEYEETWDAYLDATITRQLNRDAASSLVPFSSLMVDIPPHLRR RIAEAYAGATTPIRPPQSVDLNKNNRRVHVGYLSHDFNNHPTAHLMEGLFVHHDTLSF EISTWSYGKDDGSAYRKSIPTLSEHFMDVVLLGTNEAAAAIEAARVDILVDAQGHTLG QRHAIVAKQPAAIIVNFLVYPGTLGAAYVDYMVSDRHVTPAEHADQYTEHLMMLPQSY QVNYYASYYQFSVQERHATAVTSSTSFVFANFNKLDKVEPRVFNVWMAILRRVPHSEL WLLHPTSSNTHDLIVANLVREAAQHGVAAARLRFLPRVSKAEHLTRQRHADLFLDTFV YGAHSTATDALAGSLPVLTLAGGSFASRVGVSLLANAHLTWLIVYSCKEFEDVAGRKV ETNKENSTSWYTLCRDTMHDVCVVRLATSPQILQRMVDTLDMFGPSAATTDAAPLPLF QTHAYTINLERMYRMAIEARIHLPTRHHLVLPSST H257_02730 MRWAAGVVVAAIACSLSLSNADNNVLGRFQDAIEIMQAGDTARA EQLLLRLSMVAPDDVDVHVALGAMNHLEGNVDIATKYYNTVLTLDPTNDAVHGNLAFL NFKAGQYARAIDHFKASVATNPTKAQGMAHMIALAHHAMGDEVTAIMFFDLAQPLHDN DPHFHFDFAVSLQDEGLVTRANAEYNRALALDPNFPEAWLNIATLHLRYGSISTALRN FDRARRCTPLTPHLSLQIAINYGVALETNGHAMEALVQFETAQTLLEWMDHSDREALD LFEHKTRTRRAIVSWVEYEETWDAYLDATITRQLNRDAASSLVPFSSLMVDIPPHLRR RIAEAYAGATTPIRPPQSVDLNKNNRRVHVGYLSHDFNNHPTAHLMEGLFVHHDTLSF EISTWSYGKDDGSAYRKSIPTLSEHFMDVVLLGTNEAAAAIEAARVDILVDAQGHTLG QRHAIVAKQPAAIIVNFLVYPGTLGAAYVDYMVSDRHVTPAEHADQYTEHLMMLPQSY QVNYYASYYQFSVQERHATAVTSSTSFVFANFNKLDKVEPRVFNVWMAILRRVPHSEL WLLHPTSSNTHDLIVANLVREAAQHGVAAARLRFLPRVSKAEHLTRQRHADLFLDTFV YGAHSTATDALAGSLPVLTLAGGSFASRVGVSLLANAHLTWLIVYSCKEFEDVAVRLA TSPQILQRMVDTLDMFGPSAATTDAAPLPLFQTHAYTINLERMYRMAIEARIHLPTRH HLVLPSST H257_02730 MRWAAGVVVAAIACSLSLSNADNNVLGRFQDAIEIMQAGDTARA EQLLLRLSMVAPDDVDVHVALGAMNHLEGNVDIATKYYNTVLTLDPTNDAVHGNLAFL NFKAGQYARAIDHFKASVATNPTKAQGMAHMIALAHHAMGDEVTAIMFFDLAQPLHDN DPHFHFDFAVSLQDEGLVTRANAEYNRALALDPNFPEAWLNIATLHLRYGSISTALRN FDRARRCTPLTPHLSLQIAINYGVALETNGHAMEALVQFETAQTLLEWMDHSDREALD LFEHKTRTRRAIVSWVEYEETWDAYLDATITRQLNRDAASSLVPFSSLMVDIPPHLRR RIAEAYAGATTPIRPPQSVDLNKNNRRVHVGYLSHDFNNHPTAHLMEGLFVHHDTLSF EISTWSYGKDDGSAYRKSIPTLSEHFMDVVLLGTNEAAAAIEAARVDILVDAQGHTLG QRHAIVAKQPAAIIVNFLVYPGTLGAAYVDYMVSDRHVTPAEHADQYTEHLMMLPQSY QVNYYASYYQFSVQERHATAVTSSTSFVFANFNKLDKVEPRVFNVWMAILRRVPHSEL WLLHPTSSNTHDLIVANLVREAAQHGVAAARLRFLPRVSKAEHLTRQRHADLFLDTFV YGAHSTATDALAGSLPVLTLAGGSFARCALDVGTCWPNAAMFVVGSECRCLRTPT H257_02730 MRWAAGVVVAAIACSLSLSNADNNVLGRFQDAIEIMQAGDTARA EQLLLRLSMVAPDDVDVHVALGAMNHLEGNVDIATKYYNTVLTLDPTNDAVHGNLAFL NFKAGQYARAIDHFKASVATNPTKAQGMAHMIALAHHAMGDEVTAIMFFDLAQPLHDN DPHFHFDFAVSLQDEGLVTRANAEYNRALALDPNFPEAWLNIATLHLRYGSISTALRN FDRARRCTPLTPHLSLQIAINYGVALETNGHAMEALVQFETAQTLLEWMDHSDREALD LFEHKTRTRRAIVSWVEYEETWDAYLDATITRQLNRDAASSLVPFSSLMVDIPPHLRR RIAEAYAGATTPIRPPQSVDLNKNNRRVHVGYLSHDFNNHPTAHLMEGLFVHHDTLSF EISTWSYGKDDGSAYRKSIPTLSEHFMDVVLLGTNEAAAAIEAARVDILVDAQGHTLG QRHAIVAKQPAAIIVNFLVYPGTLGAAYVDYMVSDRHVTPAEHADQYTEHLMMLPQSY QVNYYASYYQFSVQERHATAVTSSTSFVFANFNKLDKVEPRVFNVWMAILRRVPHSEL WLLHPTSSNTHDLIVANLVREAAQHGVAAARLRFLPRYNVTHSF H257_02730 MRWAAGVVVAAIACSLSLSNADNNVLGRFQDAIEIMQAGDTARA EQLLLRLSMVAPDDVDVHVALGAMNHLEGNVDIATKYYNTVLTLDPTNDAVHGNLAFL NFKAGQYARAIDHFKASVATNPTKAQGMAHMIALAHHAMGDEVTAIMFFDLAQPLHDN DPHFHFDFAVSLQDEGLVTRANAEYNRALALDPNFPEAWLNIATLHLRYGSISTALRN FDRARRCTPLTPHLSLQIAINYGVALETNGHAMEALVQFETAQTLLEWMDHSDREALD LFEHKTRTRRAIVSWVEYEETWDAYLDATITRQLNRDAASSLVPFSSLMVDIPPHLRR RIAEAYAGATTPIRPPQSVDLNKNNRRVHVGYLSHDFNNHPTAHLMEGLFVHHDTLSF EISTWSYGKDDGSAYRKSIPTLSEHFMDVVLLGTNEAAAAIEAARVDILVDAQGHTLG QRHAIVAKQPAAIIVNFLVYPGTLGAAYVDYMVSDRHVTPAEHADQYTEHLMMLPQSY QVNYYASYYQFSVQERHATAVTSSTSFVFANFNKLDKVEPRVFNVWMAILRRVPHSEL WLLHPTSSNTHDLIVANLVREAAQHGVAAARLRFLPRYNVTHSF H257_02730 MRWAAGVVVAAIACSLSLSNADNNVLGRFQDAIEIMQAGDTARA EQLLLRLSMVAPDDVDVHVALGAMNHLEGNVDIATKYYNTVLTLDPTNDAVHGNLAFL NFKAGQYARAIDHFKASVATNPTKAQGMAHMIALAHHAMGDEVTAIMFFDLAQPLHDN DPHFHFDFAVSLQDEGLVTRANAEYNRALALDPNFPEAWLNIATLHLRYGSISTALRN FDRARRCTPLTPHLSLQIAINYGVALETNGHAMEALVQFETAQTLLEWMDHSDREALD LFEHKTRTRRAIVSWVEYEETWDAYLDATITRQLNRDAASSLVPFSSLMVDIPPHLRR RIAEAYAGATTPIRPPQSVDLNKNNRRVHVGYLSHDFNNHPTAHLMEGLFVHHDTLSF EISTWSYGKDDGSAYRKSIPTLSEHFMDVVLLGTNEAAAAIEAARVDILVDAQGHTLG QRHAIVAKQPAAIIVNFLVYPGTLGAAYVDYMVSDRHVTPAEHADQYTEHLMMLPQSY QVNYYASYYQFSVQERHATAVTSSTSFVFANFNKLDKVEPRVFNVWMAILRRVPHSEL WLLHPTSSNTHDLIVANLVREAAQHGVAAARLRFLPRYNVTHSF H257_02730 MRWAAGVVVAAIACSLSLSNADNNVLGRFQDAIEIMQAGDTARA EQLLLRLSMVAPDDVDVHVALGAMNHLEGNVDIATKYYNTVLTLDPTNDAVHGNLAFL NFKAGQYARAIDHFKASVATNPTKAQGMAHMIALAHHAMGDEVTAIMFFDLAQPLHDN DPHFHFDFAVSLQDEGLVTRANAEYNRALALDPNFPEAWLNIATLHLRYGSISTALRN FDRARRCTPLTPHLSLQIAINYGVALETNGHAMEALVQFETAQTLLEWMDHSDREALD LFEHKTRTRRAIVSWVEYEETWDAYLDATITRQLNRDAASSLVPFSSLMVDIPPHLRR RIAEAYAGATTPIRPPQSVDLNKNNRRVHVGYLSHDFNNHPTAHLMEGLFVHHDTLSF EISTWSYGKDDGSAYRKSIPTLSEHFMDVVLLGTNEAAAAIEAARVDILVDAQGHTLG QRHAIVAKQPAAIIVNFLVYPGTLGAAYVDYMVSDRHVTPAEHADQYTEHLMMLPQSY QVNYYASYYQFSVQERHATAVTSSTSFVFANFNKLDKVEPRVFNVWMAILRRVCR H257_02730 MRWAAGVVVAAIACSLSLSNADNNVLGRFQDAIEIMQAGDTARA EQLLLRLSMVAPDDVDVHVALGAMNHLEGNVDIATKYYNTVLTLDPTNDAVHGNLAFL NFKAGQYARAIDHFKASVATNPTKAQGMAHMIALAHHAMGDEVTAIMFFDLAQPLHDN DPHFHFDFAVSLQDEGLVTRANAEYNRALALDPNFPEAWLNIATLHLRYGSISTALRN FDRARRCTPLTPHLSLQIAINYGVALETNGHAMEALVQFETAQTLLEWMDHSDREALD LFEHKTRTRRAIVSWVEYEETWDAYLDATITRQLNRDAASSLVPFSSLMVDIPPHLRR RIAEAYAGATTPIRPPQSVDLNKNNRRVHVGYLSHDFNNHPTAHLMEGLFVHHDTLSF EISTWSYGKDDGSAYRKSIPTLSEHFMDVVLLGTNEAAAAIEAARVDILVDAQGHTLG QRHAIVAKQPAAIIVNFLVYPGTLGAAYVDYMVSDRHVTPAEHADQYTEHLMMLPQSY QVNYYASYYQFSVQERHATAVTSSTSFVFANFNKLDKVEPRVFNVWMAILRRVCR H257_02730 MRWAAGVVVAAIACSLSLSNADNNVLGRFQDAIEIMQAGDTARA EQLLLRLSMVAPDDVDVHVALGAMNHLEGNVDIATKYYNTVLTLDPTNDAVHGNLAFL NFKAGQYARAIDHFKASVATNPTKAQGMAHMIALAHHAMGDEVTAIMFFDLAQPLHDN DPHFHFDFAVSLQDEGLVTRANAEYNRALALDPNFPEAWLNIATLHLRYGSISTALRN FDRARRCTPLTPHLSLQIAINYGVALETNGHAMEALVQFETAQTLLEWMDHSDREALD LFEHKTRTRRAIVSWVEYEETWDAYLDATITRQLNRDAASSLVPFSSLMVDIPPHLRR RIAEAYAGATTPIRPPQSVDLNKNNRRVHVGYLSHDFNNHPTAHLMEGLFVHHDTLSF EISTWSYGKDDGSAYRKSIPTLSEHFMDVVLLGTNEAAAAIEAARVDILVDAQGHTLG QRHAIVAKQPAAIIVNFLVYPGTLGAAYVDYMVSDRHVTPAEHADQYTEHLMMLPQSY QVNYYASYYQFSVQERHATAVTSSTSFVFANFNKLDKVEPRVFNVWMAILRRVCR H257_02730 MRWAAGVVVAAIACSLSLSNADNNVLGRFQDAIEIMQAGDTARA EQLLLRLSMVAPDDVDVHVALGAMNHLEGNVDIATKYYNTVLTLDPTNDAVHGNLAFL NFKAGQYARAIDHFKASVATNPTKAQGMAHMIALAHHAMGDEVTAIMFFDLAQPLHDN DPHFHFDFAVSLQDEGLVTRANAEYNRALALDPNFPEAWLNIATLHLRYGSISTALRN FDRARRCTPLTPHLSLQIAINYGVALETNGHAMEALVQFETAQTLLEWMDHSDREALD LFEHKTRTRRAIVSWVEYEETWDAYLDATITRQLNRDAASSLVPFSSLMVDIPPHLRR RIAEAYAGATTPIRPPQSVDLNKNNRRVHVGYLSHDFNNHPTAHLMEGLFVHHDTLSF EISTWSYGKDDGSAYRKSIPTLSEHFMDVVLLGTNEAAAAIEAARVDILVDAQGHTLG QRHAIVAKQPAAIIVNFLVYPGTLGAAYVDYMVSDRHVTPAEHADQYTEHLMMLPQSY QVNYYASYYQFSVQERHATAVTSSTSFVFANFNKLDKVEPRVFNVWMAILRRVCR H257_02731 MSSAAEVFVTMDVAVREAGAALVSQVKGTIKFDVTGAGQWLIQV KASPSGVSKASADDKADVTITISEPNFLLLIAEKLNPQTAFMQGKIKIKGNMGLASKL TAVIAAYKKEIASAPSTPAAAPATPPSAAKPTLRSAAIFAAIGEAITAKGPELVSKVK GTIQFVITPGGAWYVDLKNGAGSIEAATKPANLTITASDDDFMAIADGKLNAQQAFMK GKLKVKGNMGLAMKLPVVIEAAKAPRSKL H257_02732 MSDILTQPAVILAGVAVAAGVGAYFAMAPGSSSASKKEDAKVVK KKAPELPKKLKKKKEKEEAAAINPKPVSPVVVAQEATSTNSEPLDLNEFVGDFPDTDD EDAARAEANRKRNAKKKASKKKKSASNLLEDSEEDKKASKGKKSVATTDLSSKLDGSS SSTKKDKKKAAANAAAVAANIAAGHEAAPSVVDTSAHDDGWETVQYKKRGSKANVTST H257_02733 MQLDVLLLCVLLVGLPWIPGSDASCANMCSGHGTCGASNKCTCD AEWNVVPDCSRHVCPTGIAWVDKASTPNGAHATATECSNRGICDYSKGSCICTQGFTG DACQRMRCPNDCSGRGQCLTLATLARSYGPQTMATGLGPAYSNWEKDSITTCYCDMGF TGPDCSMRMCPKNDDPLTPGQAFRSIALTVSGATSALAGVVTVTFNGYSFTMPANANS NSDAICAAAVLTLGNVRTATCVMSAVDSTTQGATYTIEFQEWSHWDSENNVFFHTGNP PLASFSCHVAGVTSANSPTCSFADVVSTNLIEHEYCSRRGICDFTVGLCTCFLDYKTS DCSQLSNIPDNIDDHDGFLIQPVGPSYVGIALHIQTIKAMQTDFQMIKIEAASQPLFY MLGNGDTFWTKGNVRVDTGTLFVQAGETIATGGLAIQDGGSTTTLTTVAGTVADALAP NTGFTGTVMTARATQAAAITFYLFKASTENSLVPMFDIRGDGRTTVRSGGLEVVLGGA TITDNVGTTSVLTVQASSGGYSSSVVSIAATASSQFPLTDFNLLTASAGGTTAITVEA SGKTTLTNGGLYVNGIGGGNFVNQDLAASALTALSGLGTFTGTVATIGASRAANVTFK LLEAKSNGVVTFSVRGDGFTTVHSGGFQVLLGGGTITAGGFYVYAGGATVNAGGLMVT NGGATIQAQGLVVVDGGADITSTAQLLPSFSVHASHLAFQSTVAVIETTLVAASSSFY LLKINAAATTEIFDVRGDGLTTIHQGGLVVTLGGATVTAGGLTVTDGGETIRTLSATA DVSTITAASTGYSGSVLTAISATTAGSSFYLFSALSGTSTAIFDIRGDGLTTIHEGGL AVTLGGATVTAGGLTVTDGGETIRTLSATADVSTITAASTGYSGSVLTAISATTAGSS FYLFSALSGTSTAIFDIRGDGLTTIHQGGLSITTGGATVTDGGETIRTLSATADVSTI TAASTGYSGSVLTAISATTAGSSFYLFSALSGTSTAIFDIRGDGLTTIHEGGLAVTLG GATVTAGGLTVTDGAITLGGATVSAGGLVVSTVGATVTAGGLTVTDGGETIRTTSTSA SVSTLTASSASYTGSVLTAISATTAASTFYLFSALSGTSTAIFDIRGDGLTTIHQGGL AIALGGATVTAGGLTVTDGGAAITTTSTTLSASTLTASSTSYTGTVLKAVSATAVGST FFLFKALSGTTTSVFDIQGDGLTTIRQGGLAITLGGATVSAGGLVVSTVGATVTAGGL TVTDGGETIRTTSTSASVSTLTASSASYTGSVLTAISATTAASTFYLFSALSGTSTAI FDIRGDGLTTIHQGGLAIALGGATVTAGGLTVTDGGAVVTTTSTTLSASTLTASSTSY TGTVLKAVSATAVGSTFFLFKALSGTSTSVFDIQGDGLTTIRQGGLSIVTGGATIAAG GLVVSTIGATVTAGGLTVTAGGATVTAGGLVITDGGGSVTQSAAAGPGLSVTASSSAL TGTVLKATTATAVGTGFYLFQAMVSSTTSVFDIRGDGLTTLSQGSLVLTTGGLSLTAG GITAAGSIVFSSTTAATTATTGALQVAGGIGVGGDIYCAATAHVQTLDQYSDVRLKQA IRDIGVTRAEFDALRPVEYEWKRRSKELGVQAGFVAQEVQRVWPHLVHADGDGTLSLN YNGITPYVVARVQALERELDDVNAEKDSLLHDVELLKSEAELAKAEMERVKLEVANMQ ARMERWETKLEVHEGNS H257_02734 MMFLARRSVAVAVAAAAVALAACPTAPASGRICNGRGNCTPLGS CQCDASAFGFDCSQLRCPSGLAWSGNAVGINQIHVPAECSNRGTCSRDSGECRCDPGF TGAACDKLACPNQCSNNGRCVSMSQLALLRSPFDYTYATVWDAEMIQGCLCDRGSAGV DCVMATCPNGDDPMTLAQVNEVQILKCTATAGFFFLKLRGETTSALAISTTAAQLKTI LQNVAPFGAVRTTYSFGTTLCDATGRNVVSIEFTSNFGPQPAMLAVTLLRGQATLTGN VVTGAGGAAIGTTVSTRGTKEYAPCSNRGYCNPKIGQCACYTYPMPGFRSSDGYGKVG LRADCGAPDNTNFYGGPIKGCPGYLPCSGHGACSGPPAYTCACAVGWVTGDCSMRDCP KGLSWFSLPLRTNEAHTTRTTCSEGGTCDPITGECECVPPFEGPSCNLMQCPKGDSIY ECSGHGNCLNLMALAQATRTRDWLPAGFSYGLDPNNPTTWDALKIQGCQCDRGYTGYD CNQRKCPTGDDPLTLGQVNDVQSVQCAASDAGTFQLSFRGENSPPIPFNAAPTTLQAA IVSMVTVTDVAVSYSQPGNGACVGGNVITVTFMQEFGNLPRLQVLDQNLRLNGVTRAG LTPIATKVQNGTKENAVCSNHGTCDGATGVCTCGFGFASSNGYGDPGQRGDCGFVVPW QVVVS H257_02735 MNAAQALRYLRKRCFGKRYCTSDDGVLPSFYEIDATYNAMFEEW STDEYVYDLPAAQVVVSNPAHVFQDVRDLGRLRAEYQQQPLPPRHSSVKDPSGGSCVD DYDLKHLSASHLAVVLTHPLDMLHDLVKGYFHLRSLPPLTPTEQSYCNHVEQVLLAYL IQMTLHGGDFLGDVHAFFLELILRQSDDPPTLAFTLLHNLHVAVDGNDAAQVELFFIL LDMVDRLVHLSRPIGISTLPLITGTLVGMVRPSSSSRGEYLPDRLVSIPPSTLIALLQ DTAGHVAVVEMLVLNLYLRHMLHAEHHQDTYGARKGKEKFGKGLPLCPVDPSSGTPAF GLDSFDTNDPSNTSMLDVLLHHFFTTPSIAVHRLVFMVLVDLAKPNVQLENGLVERLL VSSLERGLASVVASFPTVLLPGHLSAFLGRLGEGVDGGNMAVVLSEVAQVARVHEYFV QCSTLAHIVHKRRWLDVSVGSNATSGGGGQHLDPVQRAMYLIGSAVPVERFKGQRWLA ELLSDPALKKPSTDDDELTARTVGVDARQPLRLQARSTFWQAVRSKSRALRLAMVQVL ALVAKRRLLLSSLKGATLPAILREVHAVAKEWVHDVVVPLDSGAWEALMELVLTLCCR QLNFVHRRARNRQKGVLDTSEPASVLRAVTCGAVALDRDLLHELSSDCYLAALQALQS TDSRPSSSSGVAGIVAMVVKATYDDGQLFARAGGIVKFRSFLEAPYPAVVSIHVADLI VSMIRDANKDVYMHALQNLHLQAQDASDERVLDHPYVHCRQLLARLYHTAKIPID H257_02735 MNAAQALRYLRKRCFGKRYCTSDDGVLPSFYEIDATYNAMFEEW STDEYVYDLPAAQVVVSNPAHVFQDVRDLGRLRAEYQQQPLPPRHSSVKDPSGGSCVD DYDLKHLSASHLAVVLTHPLDMLHDLVKGYFHLRSLPPLTPTEQSYCNHVEQVLLAYL IQMTLHGGDFLGDVHAFFLELILRQSDDPPTLAFTLLHNLHVAVDGNDAAQVELFFIL LDMVDRLVHLSRPIGISTLPLITGTLVGMVRPSSSSRGEYLPDRLVSIPPSTLIALLQ DTAGHVAVVEMLVLNLYLRHMLHAEHHQDTYGARKGKEKFGKGLPLCPVDPSSGTPAF GLDSFDTNDPSNTSMLDVLLHHFFTTPSIAVHRLVFMVLVDLAKPNVQLENGLVERLL VSSLERGLASVVASFPTVLLPGHLSAFLGRLGEGVDGGNMAVVLSEVAQVARVHEYFV QCSTLAHIVHKRRWLDVSVGSNATSGGGGQHLDPVQRAMYLIGSAVPVERFKGQRWLA ELLSDPALKKPSTDDDELTARTVGVDARQPLRLQARSTFWQAVRSKSRALRLAMVQVL ALVAKRRLLLSSLKGATLPAILREVHAVAKEWVHDVVVPLDSGAWEALMELVLTLCCR QLNFVHRRARNRQKGVLDTSEPASVLRAVTCGAVALDRDLLHELSSDCYLAALQALQS TDSRPSSSSGVAGIVAMVVKATYDDGQLFARAGGIVKFRSFLEAPYPAVVSMYEMKKD LC H257_02736 MVVICRALSQELSLPGLEACAVDVIRILQTSDSYGAVPPIVSNL VLCLVIATVSFLLQASTGNYSHVDRLWSITPVLYSWNYLFVAWSRGLAADVRLVVLVL LITQWGCRLTFNFYRKGGYQWTAEDYRWAYTRTWFPHAVLWHAFSLTFIAFYQHILLF LITCPLQVVFNVWENKYKSDILDNWTMWDSGLALLFAGLLVLETIADQQQWNFQQVKW GLLKRTKKPLPQLPHPYNVGFCTTGVFAYSRHPNFFAEQGLWWTVYLFSVSARGHHNW TVLGTFLLTLLFQGSTRLTEHLTRQKYPSYALYQAQVSMLLPLWPFSFQAPKQD H257_02737 MPLPTSSEELELAWGSAGLVIRHAFVCEVSMRPGKGSSKTKVSF WDAKKMLEKLGVQFDHARTVTKSSQDTVLVVRLYFFTAHAFNDAYSIVSGQPNNTVFA QGNAVEIQIATVVNDNATVSSSLAVTAPPDFDQHMKGCRIDTIVVKGLPAKWFDVDTK SFLDDDDHPPPSIQSAYMHDQHALFQLFSTFGALSAIDVVPPSATTSTTAGADDGTTA SSTVSTSHFDAYVQFKTYDGVRAALSTLCDGGSRVLCHTSHVKTFVPLVAHVDTTEYL SDAHIRQRRFAREQRLHDQQAKAAAAIAAERQAKASMEQATALVGSLGDELDKAAADA IQLSSSLAELKAAAAAYGALKAEPTMERVAIVRQALDVVGTCLAKAVRDKEEHEAAIQ RAAWTKQVNKAAAAARDLKLARLQKKLSAAKHSFASIVGHVAVVADMAAADEALAVAV QSATTSHGSATSSSSSVNNAEDVQTYLTKLDEDVDEAVHSVDAVVARLEVVDRFYQVE RAVKSWSIAPFHVQSLLQTIENEAWGDRTEDVAKRLDDLDEVVQAGGHLDKLVARHVA LGEQIGSATPRHEDGENDLRQRHTDIGLLLHRVADVGDVAGLQTQMDALETQVSAFLA DQAQRWKEANSHAGQMSRIQAARKRLALWRREVGAPTTPVYATERVTALPDRYGGTSA LKKPRLVQYVAASSGIPRLASSVWIVDNDTGAVRPPKTHDERLQQDMEALRVQVVESQ RRELAKERQLRALVLQSMKQPKPATTTNDGDATS H257_02738 MSTFGRFFRVTTFGESHCKGVGAIIDGVPPGLELDERDIQPQLT RRRPGQSSLTTPRDEKDLVTIQSGTEHGLTLGTPVSIFVPNENVRPQDYKEMSNVPRP GHADYTYQVKYGVRASSGGGRASARETIGRVAAGAIAEKWLRLKYNTKIVSWVSSIGH VDMPLHDLNNPNAPLYSRDDIDNLGRLRILLRPGSNEADFIAGSANLTEPAYADLNGA IYNRHGHALSIPDGFDVEQWGAAGDLVPVRCPHIPSACAMATTIRECKAASDSIGGVV TCVIRNAPVGLGEPCFDKMQAMLAHAMLSLPAVKGFEYGSGFAGTRMRGSQHNDPFCA SSASGALGVAKNDAGGVLGGISSGADIYFRIAVKPVSTIGQAQSTVDYDGQDTVLEAK GRHDPCVLPRVAPLAEAMAALVLADLALAQLARQGSVVLH H257_02738 MTCFRFGESHCKGVGAIIDGVPPGLELDERDIQPQLTRRRPGQS SLTTPRDEKDLVTIQSGTEHGLTLGTPVSIFVPNENVRPQDYKEMSNVPRPGHADYTY QVKYGVRASSGGGRASARETIGRVAAGAIAEKWLRLKYNTKIVSWVSSIGHVDMPLHD LNNPNAPLYSRDDIDNLGRLRILLRPGSNEADFIAGSANLTEPAYADLNGAIYNRHGH ALSIPDGFDVEQWGAAGDLVPVRCPHIPSACAMATTIRECKAASDSIGGVVTCVIRNA PVGLGEPCFDKMQAMLAHAMLSLPAVKGFEYGSGFAGTRMRGSQHNDPFCASSASGAL GVAKNDAGGVLGGISSGADIYFRIAVKPVSTIGQAQSTVDYDGQDTVLEAKGRHDPCV LPRVAPLAEAMAALVLADLALAQLARQGSVVLH H257_02739 MSVEAANMDSVRDQARGCFHALEMMGDWKLQVQELLDLQEVLDE LHRNDQLNDDNIDLVLEYVLPLAPFIDGLLPSIRSEVVKTTCRVIGRFATLCGPKFEP FSNQVLLSLLHTARIKTKVFSRAGEDCLAVLSTRSRYSVVELCHLFDTLRAEESRCLL VKQVPLILTSWPKPELDPHFGRLKTLLHNALHDKNHLVRAAARDAFCVFADTWDEHME TLVDIPSPRHRSSFIHEHATARLTSHLVHKFGSPVPAVRTPLRTPTATRMTPTSIETP PGRRLHLDASTAESTSSFSSMSSSSDDDMDDDGRHHDLLHRSNQPINDADGSTSPPPP SSSLVAPLLTHDQDEIPLSNSTYSVLRSVVGTVVTWLTFASVLFALYTVTGAVLSALA LQQRGGLLVELEQLDMTVARTFHDLQAKEGAMEAAMADLMASVAAQRLDAQRAMATLR ESSAAWNKSMRDDMDAFKAEFLSMLVVDMS H257_02740 MDWYESSGAHVLAARSTVTYHELCTRATSPGAVLEADSRQIDLD VPRTGVSLYRFVLHEDVNEDVDLDLCPLAPHFDALKRILLAYSLRNMRVGYVQGHADV VSFLMGLTSSTADDKAGQYDDEQVFWIYAVLMERIFPSDFFARMPKLQGFHVDMDVFA RLIQSKVPALASVLAPDDLHVLSSLLASKWFMTVWVGEIPLPALTGYWHYMLVGNVET SSVAHFIMALSLLELATDAILASVRENDGDASFGYKVALEHAAHVDADTISSLLRFNK YMLTDAVVESMRADVRSNPHFIEQEVCALHGITHFDRSQLEHLQVEFQFLVATSHHPS SSSSTTATTTTLAMGPTSVARRSQTIAADGINKDVLRQILVRVIPEWSHASADQLFRV LQPDARGNIDFQRLMLALSVLCRGSTEEKMRLCFDLYDVDKSGYLCVEKMINMAASLC EIYQNKVAPVPLVVLAPPNAPAPRRASASAHGVNQGGESDAPASGPTRRKGRSCSSDA THRWNSPSFGSLCDGVDHRHDGDPDEYEASLLDRFDRVLPVAFLHKLLRMDVDGDHKL SFKEWSQGARTEPLILRCFEDDVTSVQDPLLAPSAHRHRRSSRGSDMHHRRPRRRSNS SDCLPLRSTPPSPSDNLALLSSTPSKRKDGGRISLLSPTCEFHQFSSAIQRASQQPVD KSATESRPSPPPASPQTPPTKRSMCEGCVIL H257_02740 MDWYESSGAHVLAARSTVTYHELCTRATSPGAVLEADSRQIDLD VPRTGVSLYRFVLHEDVNEDVDLDLCPLAPHFDALKRILLAYSLRNMRVGYVQGHADV VSFLMGLTSSTADDKAGQYDDEQVFWIYAVLMERIFPSDFFARMPKLQGFHVDMDVFA RLIQSKVPALASVLAPDDLHVLSSLLASKWFMTVWVGEIPLPALTGYWHYMLVGNVET SSVAHFIMALSLLELATDAILASVRENDGDASFGYKVALEHAAHVDADTISSLLRFNK YMLTDAVVESMRADVRSNPHFIEQEVCALHGITHFDRSQLEHLQVEFQFLVATSHHPS SSSSTTATTTTLAMGPTSVARRSQTIAADGINKDVLRQILVRVIPEWSHASADQLFRV LQPDARGNIDFQRLMLALSVLCRGSTEEKMRLCFDLYDVDKSGYLCVEKMINMAASLC EIYQNKVAPVPLVVLAPPNAPAPRRASASAHGVNQGGESDAPASGPTRRKGRSCSSDA THRWNSPSFGSLCDGVDHRHDGDPDEYEASLLDRYGMLKRWHE H257_02741 MEERRAMLFAVSSICEEVATRDSVSAEYASSSTSRSLRPTLSPD ALQVLTEVAMKQLELVAHDLQHFAHHANRRTISPEDVMLTARRQAGLVQNLVAYQRQH CLTNSTGGGSTKSKRKRKDSLD H257_02742 MGRMLSSVTAAAALWVVAAFLLAGVNANGGAELLTEDSFDARTS SGGVWLVKFYAPWCGHCKSLAPIFDKLVSDEALKGQDVHVGKVDCTVQKTVCQRFDVK SYPTLKVITNSLSFDYAGRRDRDALVEFALGGYKSSLGEDVLSLAQFQEKARREEQEL VDAEKASLVVTLSSSSFDDHVHETSEPWLLKFYAPWCGHCKRLAPVWDKLSADLHAKH DSTRVGKVDCTKHRRVCSRFNVNGYPSLVYVRNGQVYPYEGARSLEGFHEFVTTGYSK VESTGPIPNESFVGSIVDTILEWAVEHTIWAVLAGILALALIVALLVALLDYCMGDEE YQVPHHRLQEAEALLQQKTDDQKVVDGENKTD H257_02743 MTPHPRPVTINHRSAWLSGFYLVNLVCMPFLVYLTEVSPLAIVH RQNQPPFPLAPAMTHALAQQYVAQFQILYNVTTLPRNTGYVYDRDRVVDVMRTVVSPS DCANPSTLLNGILGVAYFTPDAKSAVLSCVCGNTTTVDVRRAWRLNLIVAPSSTNALW IVPGNDLNGHMGASYTVYYLFVPDKLSAPWLIAKLVYRGLLSVAILGLALRGYYRHIR QLRTDLTRFPLQSPTTNGNAIARYDVVVGEPSCLIMSNPWVCLAFVADIIASTEYMGQ SCLRLCQTQSLIYFGMAMVYLGRLVWCAYGTLVAHNAVRKRWKWTALSSPVDSTQVVV LVYFVGGFLTFVQALWPALISVYTWLFCLDSIEAFDAPDRRLVAMNIALVISVYLLTI CGTPYIVTAGTQLTRFLFPRGVGYSCRKVRHSVSQQRVGGSRRLSPARSPDSTNSATL AVLRPPALLTPNDTKGYIRQWFCPIQGSVVCVGGSMYQLFHAHPGMFQVQGTINQTAS DCFVCGYDKSDVLVEVVCVSLVSQVDLTPHKHDGRDVVTYLSRSIDSIGCGTTTVRNN PVTEFAVGRLVVGERRDDDGSCAPSIELRRGANNSAWIM H257_02744 MMRLQWLLLLSLSLYIVHVQAIILPDETDSASASSSSTTTPPTV GFTPQARPTPTPLASNSRTTTPTTVIKDTSTDIAENIAELDVDLLDRGHSSKEVQDSD GGQRQNYASKDSGATVLDHAPGTKGSVNLLVPDKDRYMLIPCATEKKWIVISLSEDIH ADEIALANYEKFSSTTREFLVLGSINYPSDTWVVLGNFTAANHNGEQLFEFHEKHHVR YIKLRLMSHYGSEYYCTMSQIRVFGRTFTQVISQLEKNIEAAESQTLPTPQPATSSPN VPLELPACYADPSETRALFLDVISSSRGPHDLDIHNKNMVCLLQSDARLHGNGSNAST DVASTSTSAATTSSGASVTPFTSNESQTTSTPPRTTPSPSSSTVAGSQSNSAAGTDLS KALEIHRDGPKEGGAMLSAVDEGGKLAPTPSVVATNGGGLDNFYIRMSKKLQALENNV TQLEKVVLDLQRTHAVDVQYHRAQASAAVDALATLKGQVRETLSGQANRTDDLARQVH LLVQANRALQEQVDLLWDVIQTMKAGIMITLVLSVALLVFSLCRWIFRCLTACHRRAA RREWFRRLDVADTTESSLDKRPSMMADDTDEDINPLTHSMHHRLDRSMRFGSSYDDNA IQRNTMYRRIVNGFRQHHVQTKGQCPRRGVGDPPPSISSIPPSLSSSSYGARRGSIVL AKPVGGT H257_02744 MMRLQWLLLLSLSLYIVHVQAIILPDETDSASASSSSTTTPPTV GFTPQARPTPTPLASNSRTTTPTTVIKDTSTDIAENIAELDVDLLDRGHSSKEVQDSD GGQRQNYASKDSGATVLDHAPGTKGSVNLLVPDKDRYMLIPCATEKKWIVISLSEDIH ADEIALANYEKFSSTTREFLVLGSINYPSDTWVVLGNFTAANHNGEQLFEFHEKHHVR YIKLRLMSHYGSEYYCTMSQIRVFGRTFTQVISQLEKNIEAAESQTLPTPQPATSSPN VPLELPACYADPSETRALFLDVISSSRGPHDLDIHNKNMVCLLQSDARLHGNGSNAST DVASTSTSAATTSSGASVTPFTSNESQTTSTPPRTTPSPSSSTVAGSQSNSAAGTDLS KALEIHRDGPKEGGAMLSAVDEGGKLAPTPSVVATNGGGLDNFYIRMSKKLQALENNV TQLEKVVLDLQRTHAVDVQYHRAQASAAVDALATLKGQVRETLSGQANRTDDLARQVH LLVQANRALQEQVDLLWDVIQTMKAGIMITLVLSVALLVFSLCRWIFRCLTACHRRAA RREWFRRLDVADTTESSLDKRPSMMADDTDEDINPLTHSMHHRLDRSMRFGSSYDDNA IQRNTMYRRYDDKQLLVLVLVVVLGGIVIWWSCVGS H257_02745 MPVTWQQAVRKTSALLAPQRPTSPSNDALAKISEQAARLLTDLV AFVDMQCGNVAYLYRTDGNSSEVEELYLAFHRHLTTSTATFVNLHVFSPHAITSTIKK ILRRYQPLMSYSVSQTLVDESPITVASIASQFGHVRATSRDVLRVLLCHWNRISQAKH MRMDVSMLAQCVGLLVVRPCEDTRNQRTVLKARQKVARKLIRGADTWQYTEASPIPSD LHSADKPLQYAVKVMWRPQDGVLYDEERLRAQLGAYGTVSSMALHPTEPKARVSMYWK KGSQLDKVVVKLQTKASLHVLRLQVMIPALKAVENTDDDDSSNHVTHDAPTTTTQPSS SHELPPIVEALDTIDGISTTTTAKDTIAPIEDDTGSVDVLSSQLPPKIAQVGEKVGSM IRDNQPPTPSHNNVVEFTGKRVASTQTNRLDGVDRQIQTDDVAAPETAVMATQTDTWY PDDNAKMVTHVRRVIEVLRTTTMAAADDMSSETIRAMHQAQVLVLRMLEGSVADQSRP EDTSMVLEYQRAMEAHFRSKTNVLEAEVVASQELLRMHKAQTAHQMQAARRPWHVEMT ACRVVALEADKQSADLREDLRACRSDLTCHLAMLSHLKRDNAALQEKLLVVEAKAQSL ERDSEDQKDQVRTLHDVATKAQLRVEAYKRNASPCICDTGCVKQAGECTEKITSPSTA VDHLAQLKHEMSQRLQSQIDYTKATIDAMQQHDPTTVHLSQQHRTLSTLVATLVEGDK KTTTAASELAMEASRQKLNSLMGSFRQAQPTRTEVPPWVAHAAKAVQGTPPKLSKANS DSLNAARLALSNYHHVVGGHKRISTGLA H257_02745 MPVTWQQAVRKTSALLAPQRPTSPSNDALAKISEQAARLLTDLV AFVDMQCGNVAYLYRTDGNSSEVEELYLAFHRHLTTSTATFVNLHVFSPHAITSTIKK ILRRYQPLMSYSVSQTLVDESPITVASIASQFGHVRATSRDVLRVLLCHWNRISQAKH MRMDVSMLAQCVGLLVVRPCEDTRNQRTVLKARQKVARKLIRGADTWQYTEASPIPSD LHSADKPLQYAVKVMWRPQDGVLYDEERLRAQLGAYGTVSSMALHPTEPKARVSMYWK KGSQLDKVVVKLQTKASLHVLRLQVMIPALKAVENTDDDDSSNHVTHDAPTTTTQPSS SHELPPIVEALDTIDGISTTTTAKDTIAPIEDDTGSVDVLSSQLPPKIAQVGEKVGSM IRDNQPPTPSHNNVVEFTGKRVASTQTNRLDGVDRQIQTDDVAAPETAVMATQTDTWY PDDNAKMVTHVRRVIEVLRTTTMAAADDMSSETIRAMHQAQVLVLRMLEGSVADQSRP EDTSMVLEYQRAMEAHFRSKTNVLEAEVVASQELLRMHKAQTAHQMQAWHVEMTACRV VALEADKQSADLREDLRACRSDLTCHLAMLSHLKRDNAALQEKLLVVEAKAQSLERDS EDQKDQVRTLHDVATKAQLRVEAYKRNASPCICDTGCVKQAGECTEKITSPSTAVDHL AQLKHEMSQRLQSQIDYTKATIDAMQQHDPTTVHLSQQHRTLSTLVATLVEGDKKTTT AASELAMEASRQKLNSLMGSFRQAQPTRTEVPPWVAHAAKAVQGTPPKLSKANSDSLN AARLALSNYHHVVGGHKRISTGLA H257_02745 MPVTWQQAVRKTSALLAPQRPTSPSNDALAKISEQAARLLTDLV AFVDMQCGNVAYLYRTDGNSSEVEELYLAFHRHLTTSTATFVNLHVFSPHAITSTIKK ILRRYQPLMSYSVSQTLVDESPITVASIASQFGHVRATSRDVLRVLLCHWNRISQAKH MRMDVSMLAQCVGLLVVRPCEDTRNQRTVLKARQKVARKLIRGADTWQYTEASPIPSD LHSADKPLQYAVKVMWRPQDGVLYDEERLRAQLGAYGTVSSMALHPTEPKARVSMYWK KGSQLDKVVVKLQTKASLHVLRLQVMIPALKAVENTDDDDSSNHVTHDAPTTTTQPSS SHELPPIVEALDTIDGISTTTTAKDTIAPIEDDTGSVDVLSSQLPPKIAQVGEKVGSM IRDNQPPTPSHNNVVEFTGKRVASTQTNRLDGVDRQIQTDDVAAPETAVMATQTDTWY PDDNAKMVTHVRRVIEVLRTTTMAAADDMSSETIRAMHQAQVLVLRMLEGSVADQSRP EDTSMVLEYQRAMEAHFRSKTNVLEAEVVASQELLRMHKAQTAHQMQAWHVEMTACRV VALEADKQSADLREDLRACRSDLTCHLAMLSHLKRDNAALQEKLLVVEAKAQSLERDS EDQKDQVRTLHDVATKAQLRVEAYKRNGTLYNGGNDGIIYWSVCI H257_02745 MSYSVSQTLVDESPITVASIASQFGHVRATSRDVLRVLLCHWNR ISQAKHMRMDVSMLAQCVGLLVVRPCEDTRNQRTVLKARQKVARKLIRGADTWQYTEA SPIPSDLHSADKPLQYAVKVMWRPQDGVLYDEERLRAQLGAYGTVSSMALHPTEPKAR VSMYWKKGSQLDKVVVKLQTKASLHVLRLQVMIPALKAVENTDDDDSSNHVTHDAPTT TTQPSSSHELPPIVEALDTIDGISTTTTAKDTIAPIEDDTGSVDVLSSQLPPKIAQVG EKVGSMIRDNQPPTPSHNNVVEFTGKRVASTQTNRLDGVDRQIQTDDVAAPETAVMAT QTDTWYPDDNAKMVTHVRRVIEVLRTTTMAAADDMSSETIRAMHQAQVLVLRMLEGSV ADQSRPEDTSMVLEYQRAMEAHFRSKTNVLEAEVVASQELLRMHKAQTAHQMQAWHVE MTACRVVALEADKQSADLREDLRACRSDLTCHLAMLSHLKRDNAALQEKLLVVEAKAQ SLERDSEDQKDQVRTLHDVATKAQLRVEAYKRNASPCICDTGCVKQAGECTEKITSPS TAVDHLAQLKHEMSQRLQSQIDYTKATIDAMQQHDPTTVHLSQQHRTLSTLVATLVEG DKKTTTAASELAMEASRQKLNSLMGSFRQAQPTRTEVPPWVAHAAKAVQGTPPKLSKA NSDSLNAARLALSNYHHVVGGHKRISTGLA H257_02746 MDDDHGRKRKLPPGLAPPTYRSDEVGASSGSGKATPSFSIGMSK KSRFDREKELREQKQKEADEETKKAYASFVASFDQDDSGPRFVPSDDHAAATTSKPRP FSLTPPPLSRPQAGGPPRHQPFPPPLVSRPQPPPPTHIPAAPKDVAAKKPAPFAFAMD DDDDDVPQVIPKRKAREMDRFLEEIKDSGFEPVAASPYDTGADEDSTNLYVNNLAPSV TETQLRALFSAHGPIYSIKVMWPRTDEERARGRNCGFVCFCKRLHADSARIHLHETKL EGLEISVSWGKAVKMDPALVAGITYLGSTPSTYLGSTSSTAFGPAPSTTRVPPSTVIV EIPADKELTALINYVARCVARDGPKFEDLMRRSQLDAKYDFLRAPPTSSLFIYYKWKV YSLQMGDTDTTWRTMGFQMVPNGSMWIPPPNPDGDAPPPSTNHPPSDTSSYQRSPTNN PNIIMTGQQLAAAKDREKGHRARFELSGREYDTLCSYLEDVTIDRQSICDVMAFALDH SECAVDISSTIVRSFHVGDSRESKRVHRHVPAMAYVARLFVVSDILHNSSAPLKNASL YRTQFEETLPDIMDTLNAVGHAIVGRMSYNAMRDKVLSVLHAWGQWSLFPPPYLIGLN ATFLQKSREVEEDMDVVCAAMDADTLALNDERLKRKCRHAGLVAAGSKHDMYRRLYML KKFTSVGDGRRQDEIDDEDGDVDGEPMEEDEDDIDGVPLDDDIDGVPLDGDDDVDGEP MDMADD H257_02747 MAAAAAGFVEFHRSMSLEHQAIPPPPPAKAHRKCVAASVSYHAS PHVTAELSTVDQVLECRNELWEHVDEILESVTGEMSSAMAAAVDKTKQLKKLHAICHA REQHVHTIKLGLMHERNEYLAKLIAIQALLRSRTTPDPSTLTTLQNDVTDKHHETCQL LLQVLSNRRSPHSTSVTPSISS H257_02747 MAAAAAGFVEFHRSMSLEHQAIPPPPPAKAHRKCVAASVSYHAS PHVTAELSTVDQVLECRNELWEHVDEILESVTGEMSSAMAAAVDKTKQLKKLHAICHA REQHVHTIKLGLMHERNEYLAKLIAIQA H257_02748 MSILAYNGAAVIAMAGKNCVAIASDTRLGVQGQTIATDFQKVFR LNDKTFLGLAGLATDVQSVSQLLRFKLNMYKMREEREIKAKTLSALISNLMYEKRFGP WFVEPLVAGLTEDNQPFLSSMDCLGCEMFTKDYVCAGTMEEALHGMCESLFRPDMEPE DLFETISQCLLSACNRDALAGWGGVVHILTPQGVTTKVLKTRKD H257_02749 MSQPPPQPPSIPVSFNRMSIGVSLLFCINIVCMPLKCYFTELLW TNPETFRPPAIFPEVASEFNRSTAKRYVTQLQLVYNNTTIPAHRAYHYDATHDVDVMR TVMTSSDCDRPPLQLLNDILGIVYFSTDLKLDLVDRLCTNASQDVARLWRVNFIDSPA FISALWVVSGQNDLDSNNSTIPTDSNVTTVYVLFIPDVRTMSWRYTKLAWRLLLCLSL AVLIVTSYICPLWQLKGNLERYAVVAPLHGSQKGSKERRRRVVRYSVVVGEPSCFVLT KPWVCIAFAADLLASTLYVAQACLRVCQTTSLLHFALGTLYLGRTVWFSYTALASVNV ALKRWQKCHWIRPANTTVLAIAAGVVGGTITNVQGQWPPILQVYTWLFMLHSRQDTNQ TMQMDSIFVNLVFAMTMCYLPAGVVGGRAVCRRLCTVYRRPHVVMAETRCHPGNCNDM NSMENKADHPPTIDVVQHHAYHHLDFRTRWYLWLCCGRSVCDPDLVFHGGSIATLFAL HPSFQAHCTLDQRGGDCYVCGFDATDVMVTITRVACIRGQLDMTRVTIDSDAPANRVA VGSLTISSANGPLVVTFRRGVDDCLWMA H257_02750 MTKLMAPSRQATASPLRYHKQNGRVFVSDRQKLTERSRLSSILL GNEHWDLFHGIPKGFTLRTRVRIKLETSHVGYAWDMFQTLVSLVACAVCVVQSYHDEF VVPSMDLSFGVIFAADYCLRFYCAKNRLWFPFTFSAIIDVLAVAPTIYDYVTNDSTGQ RPTFAFLRFVRILRIMKAIQMSRHSSANHFTAVQQQLLSLGLLVTSIVFISACLFQLV ENSYRVPILSDTGAWINNNVTLGDSFYFILVTISTVGYGDIAYVRPTNIYLLYCGFRL LLHRLKFTTIRDLIGFHKNDMDLWCRLLLHRLVYITINRNGIRNLDCGEILEICITDS TIYIYILEWNKVMYIKGSILNDEDLHRVAVDTAQAAFLLADKNSNDSVAEDANTVLRS LVLENYNPDLQIFMQVISPSYTDFITHNDLHHILCIDQHKLSLLAKNCLCPGLSTLVC NLFRSTVLPDTLSHIEWKREYVEGSTMEIYTTATPSYMVDLSFTKAADMLYDIFDGEV ILVGIHEGKGGASSKSATASSSSTLSHMWKGMSSTFLSERSPAKNPSRTQTHQHNPAP CCLHPRPWEQLAPHCTTYVNPGANYMLQPHHILYVLCESRNVAQLVSCPEYYSAWVRR GHHHVTPVPPSTTTTTSSTSTHQARYAQPYDLLQANSRLVSTRIPLSRKRNDVVIAAV SSDEEEEESGRGSIRDHIIVVSDLVDVPIETFIKPLRLAHYTDGSTHYRPIVFVSTCD TAIQVAYDVARHYHGVYLMQVANDSKETFFKAGIMNAKCCVLLAEKSGQRVMDGESLD NRVIFRYLTVQKILEKHSAAMSPDFAVFVEMAASSTMKVMDTTLTKRLTSVAAHLHSF PRPPRGSPLSPSDNRPVVERMSIFLDSLAETHKMARKRKQHMKSFKQKRPCEAKAAVV LPFYAAGYGVPTDFFDSLLCQSYFTPELLRFAQELLCMDQRSPTSDGANVSSSLSQIP LPESFAGKLFGDLFTYLLTYESVIAIGLYRNSASRATLPYVYTVPKRNSVLRADDFVF ILAQPHTQIALENAIDFEADVVESRIKNMHITKCTIQAALHQIKLKKQIREGAAAVVV PQYEEGQVVDKTTAGDHRL H257_02750 MAPSRQATASPLRYHKQNGRVFVSDRQKLTERSRLSSILLGNEH WDLFHGIPKGFTLRTRVRIKLETSHVGYAWDMFQTLVSLVACAVCVVQSYHDEFVVPS MDLSFGVIFAADYCLRFYCAKNRLWFPFTFSAIIDVLAVAPTIYDYVTNDSTGQRPTF AFLRFVRILRIMKAIQMSRHSSANHFTAVQQQLLSLGLLVTSIVFISACLFQLVENSY RVPILSDTGAWINNNVTLGDSFYFILVTISTVGYGDIAYVRPTNIYLLYCGFRLLLHR LKFTTIRDLIGFHKNDMDLWCRLLLHRLVYITINRNGIRNLDCGEILEICITDSTIYI YILEWNKVMYIKGSILNDEDLHRVAVDTAQAAFLLADKNSNDSVAEDANTVLRSLVLE NYNPDLQIFMQVISPSYTDFITHNDLHHILCIDQHKLSLLAKNCLCPGLSTLVCNLFR STVLPDTLSHIEWKREYVEGSTMEIYTTATPSYMVDLSFTKAADMLYDIFDGEVILVG IHEGKGGASSKSATASSSSTLSHMWKGMSSTFLSERSPAKNPSRTQTHQHNPAPCCLH PRPWEQLAPHCTTYVNPGANYMLQPHHILYVLCESRNVAQLVSCPEYYSAWVRRGHHH VTPVPPSTTTTTSSTSTHQARYAQPYDLLQANSRLVSTRIPLSRKRNDVVIAAVSSDE EEEESGRGSIRDHIIVVSDLVDVPIETFIKPLRLAHYTDGSTHYRPIVFVSTCDTAIQ VAYDVARHYHGVYLMQAKCCVLLAEKSGQRVMDGESLDNRVIFRYLTVQKILEKHSAA MSPDFAVFVEMAASSTMKVMDTTLTKRLTSVAAHLHSFPRPPRGSPLSPSDNRPVVER MSIFLDSLAETHKMARKRKQHMKSFKQKRPCEAKAAVVLPFYAAGYGVPTDFFDSLLC QSYFTPELLRFAQELLCMDQRSPTSDGANVSSSLSQIPLPESFAGKLFGDLFTYLLTY ESVIAIGLYRNSASRATLPYVYTVPKRNSVLRADDFVFILAQPHTQIALENAIDFEAD VVESRIKNMHITKCTIQAALHQIKLKKQIREGAAAVVVPQYEEGQVVDKTTAGDHRL H257_02750 MAPSRQATASPLRYHKQNGRVFVSDRQKLTERSRLSSILLGNEH WDLFHGIPKGFTLRTRVRIKLETSHVGYAWDMFQTLVSLVACAVCVVQSYHDEFVVPS MDLSFGVIFAADYCLRFYCAKNRLWFPFTFSAIIDVLAVAPTIYDYVTNDSTGQRPTF AFLRFVRILRIMKAIQMSRHSSANHFTAVQQQLLSLGLLVTSIVFISACLFQLVENSY RVPILSDTGAWINNNVTLGDSFYFILVTISTVGYGDIAYVRPTNIYLLYCGFRLLLHR LKFTTIRDLIGFHKNDMDLWCRLLLHRLVYITINRNGIRNLDCGEILEICITDSTIYI YILEWNKVMYIKGSILNDEDLHRVAVDTAQAAFLLADKNSNDSVAEDANTVLRSLVLE NYNPDLQIFMQVISPSYTDFITHNDLHHILCIDQHKLSLLAKNCLCPGLSTLVCNLFR STVLPDTLSHIEWKREYVEGSTMEIYTTATPSYMVDLSFTKAADMLYDIFDGEVILVG IHEGKGGASSKSATASSSSTLSHMWKGMSSTFLSERSPAKNPSRTQTHQHNPAPCCLH PRPWEQLAPHCTTYVNPGANYMLQPHHILYVLCESRNVAQLVSCPEYYSAWVRRGHHH VTPVPPSTTTTTSSTSTHQARYAQPYDLLQANSRLVSTRIPLSRKRNDVVIAAVSSDE EEEESGRGSIRDHIIVVSDLVDVPIETFIKPLRLAHYTDGSTHYRPIVFVSTCDTAIQ VAYDVARHYHGVYLMQVANDSKETFFKAGIMNAKCCVLLAEKSGQRVMDGESLDNRVI FRYLTVQKILEKHSAAMSPDFAVFVEMAASRYILVAVLGFPLSQICIYVLPVAP H257_02750 MAPSRQATASPLRYHKQNGRVFVSDRQKLTERSRLSSILLGNEH WDLFHGIPKGFTLRTRVRIKLETSHVGYAWDMFQTLVSLVACAVCVVQSYHDEFVVPS MDLSFGVIFAADYCLRFYCAKNRLWFPFTFSAIIDVLAVAPTIYDYVTNDSTGQRPTF AFLRFVRILRIMKAIQMSRHSSANHFTAVQQQLLSLGLLVTSIVFISACLFQLVENSY RVPILSDTGAWINNNVTLGDSFYFILVTISTVGYGDIAYVRPTNIYLLYCGFRLLLHR LKFTTIRDLIGFHKNDMDLWCRLLLHRLVYITINRNGIRNLDCGEILEICITDSTIYI YILEWNKVMYIKGSILNDEDLHRVAVDTAQAAFLLADKNSNDSVAEDANTVLRSLVLE NYNPDLQIFMQVISPSYTDFITHNDLHHILCIDQHKLSLLAKNCLCPGLSTLVCNLFR STVLPDTLSHIEWKREYVEGSTMEIYTTATPSYMVDLSFTKAADMLYDIFDGEVILVG IHEGKGGASSKSATASSSSTLSHMWKGMSSTFLSERSPAKNPSRTQTHQHNPAPCCLH PRPWEQLAPHCTTYVNPGANYMLQPHHILYVLCESRNVAQLVSCPEYYSAWVRRGHHH VTPVPPSTTTTTSSTSTHQARYAQPYDLLQANSRLVSTRIPLSRKRNDVVIAAVSSDE EEEESGRGSIRDHIIVVSDLVDVPIETFIKPLRLAHYTDGSTHYRPIVFVSTCDTAIQ VAYDVARHYHGVYLMQAKCCVLLAEKSGQRVMDGESLDNRVIFRYLTVQKILEKHSAA MSPDFAVFVEMAASRYILVAVLGFPLSQICIYVLPVAP H257_02751 MPSSSLAYIRTAAAEDVRVAIVLGTSLLLAMWFLARRMSKGGGV GRVFLSDLARVECLSTDRLMHKVVLPARQPNASKRLVLLVPGNPGVPGFYEPFMQRLH ALGGRDCEIVGLSHTGHSLPWINDNAAFDLETQVVDKVAYVRKRIEKDPTVSLVLIGH SIGCHIALRLLDQFPTHVEKLVLIQPAVMHIRDTPRGQQMMPLFVHHQWVAYLAWPIA QLPTLVKKVLVALAVPPPEFHKAALGMCDHVVVLNCLKMAWHEMHELKDINHALVADH QHKIQFVFSQHDGWCPPAHVELLRRRYVHANHVVVSLPHAFMMAANGSDVMADLAHAW LNETQLAAKPPVGHVVAPPPRA H257_02752 MTNATLAQAILGPLADIAAEEELKQCIVVTDDGSVEALRWSGGI TFLMNTVHVHSVVSLDAAVAAVCRSADDDSMEPTAQELFDPWMTQQKRKILLFTSRPL VELQAVLAALLHADVASTLIVASTIPERAHGPTFSFDTFGQSLLRGTSWKNSHLRVRY VPLLYAALLEQSDPRRDNAHPGLFVLTHPLCAPVFPLMRCHLNKTRRHHHDDGDASSW THVQDISPQDIPESSRKAFKCLAHVLGSIMIQWQFDVKERIFALGATSLKIGHTLQHF LHELEQECTVQEVKSFQPATLVLVDRTCDLATPSSHQHTLLDRILQLLPRSSAVGSVL TRDHITEVAPLYATPSPSPSTPSHADSPSGFLSSVQTWTGGVGVCHPHPGASNRVFQS LAVVPPLLALRHLDKELREVAMDLLKQKLTPVPDKKADNQRGRDVILRWVKCVVDCSD GAVTWQHQELIQVALAVLETLERMEAGAEVAKLLTGLERDLRGRAGASMVSDLIEWLN GATNQLDVASLVSLCMYAMALAGPTANLDDETTTALKRAIMSTIRQNPTHPLLPHGLI AQLLDKEEDNVVTTGDDNWDDWGDDDDDASSPPPPSSHSQQPSDQLHSFLDTLVDALQ ECGQLFKAMPGGHSGEQPSGLLARLMALLIDPPSAPTIPKLQHVTDATEQLTRAGMDL LKSGLSVFGFGSAAPAHAPATSATAHCQLHDTIVIFVVGGITLHEVQAIAQVVKTRPD LRVLVGSTTVTSPDKLQHHVVWQQTTTT H257_02752 MTNATLAQAILGPLADIAAEEELKQCIVVTDDGSVEALRWSGGI TFLMNTVHVHSVVSLDAAVAAVCRSADDDSMEPTAQELFDPWMTQQKRKILLFTSRPL VELQAVLAALLHADVASTLIVASTIPERAHGPTFSFDTFGQSLLRGTSWKNSHLRVRY VPLLYAALLEQSDPRRDNAHPGLFVLTHPLCAPVFPLMRCHLNKTRRHHHDDGDASSW THVQDISPQDIPESSRKAFKCLAHVLGSIMIQWQFDVKERIFALGATSLKIGHTLQHF LHELEQECTVQEVKSFQPATLVLVDRTCDLATPSSHQHTLLDRILQLLPRSSAVGSVL TRDHITEVAPLYATPSPSPSTPSHADSPSGFLSSVQTWTGGVGVCHPHPGASNRVFQS LAVVPPLLALRHLDKELREVAMDLLKQKLTPVPDKKADNQRGRDVILRWVKCVVDCSD GAVTWQHQELIQVALAVLETLERMEAGAEVAKLLTGLERDLRGRAGASMVSDLIEWLN GATNQLDVASLVSLCMYAMALAGPTANLDDETTTALKRAIMSTIRQNPTHPLLPHGLI AQLLDKEEDNVVTTGDDNWDDWGDDDDDASSPPPPSSHSQQPSDQLHSFLDTLVDALQ ECGQLFKAMPGGHSGEQPSGLLARLMALLIDPPSAPTIPKLQHVRTSSHISFACQYGV NVIAYWMWRRR H257_02753 MPGRSTVPRGRPLAVQVQDAELHHHVELYVPLPLLLRLDVLPFL MLYSTLSMCNCQAREGQGFVVAIALVFFLHALAFFSSEWSVAIKVWNAYTKISMSTDV LKTCAFPHGVVVMIDPVSSSLAKELCLLHWNSDTAHVDAKASVAIPRVWFSYQKLRFC VYATMDDDEDGATMVFHRLSYPTTHALQYYTQHTGYHSLAELNAASARWQDNEFDIPM PEFWELLKQHLVAPFFVFQFFCMLLWCLDEYMYYSLLTLGMLVLFECTLVKQRQQNMG LLRQMRRPPVRMLVYRQKTWIEIQSRSLVPGDICSIGRPKPIHRGQDMSEHVIPCDML VLHGGCVVNEAMLSGESIPLRKEMLDVSQYDADTVFNMDENVATVKKHILYGGTKVLQ FTAANSAIHASSIPDPPDKGCIAFVLRTGFGTTQGNLMRTILFSAQRVTANNMESMLF ILCLLCFALVASGMVLHQGLQDPTRNTFKLFLHCVMIITSVVPPELPMELSLAVTNSL LSLSKRNIFCTEPFRIPFAGKVDVVCFDKTGTLTSDALEMHGVAGLLATSVVPLDNPV QLVAPTALPTSVQLILAGCHSLMVVDGKVLGDPLETTILSHVKWNLRPGDVLVPHWSF SPGPKSMKIVHRYAFSSELKRMSSIVVVEAPTTSSTGMSSASSSVKLLSKGAPEVMES LFETIPHYYTKVYTHYAMKGCRVLALGSKSLSTRDLQRFKTIARSKMETDLVFGGFVV LDCPLKPDTADVILHLNKSNHKLVMITGDNALTACDVATQIQLTAAHPRTLVLQRTLP SSSTLAWVDLLTPPRVQEASPPPIAFTSETVASLAISATLCVPGDVLSILTLSQLQVV ACHARVFARTSPAQKEMIVDALNQSGLITAMCGDGTNDVGALKRAHVGISIINTPDDD HRPSPSSSSPHPHVVKFGDASIASPFTSKQPSIHATAQILCQGRCTLVTTVQMYKILG INCLISAYVLSSLYMHGVKQGDAQMTVVGVVIALFFLFLSYATPLDRLSARRPLTRVF CASVLVSISGQFAVHLMTLAAALHVVALPYVDLDDPAMHPEAKFRPNVLNSIVFVVSL HMQINTFVANYHGAPFMQSFAQNRLLARWTYLAYSLVFVAVWEIFPPLNVMLELVFLP SFEVQATLTLILLLDTAAVLGFETVVQWLTARYPALMA H257_02753 MLLWCLDEYMYYSLLTLGMLVLFECTLVKQRQQNMGLLRQMRRP PVRMLVYRQKTWIEIQSRSLVPGDICSIGRPKPIHRGQDMSEHVIPCDMLVLHGGCVV NEAMLSGESIPLRKEMLDVSQYDADTVFNMDENVATVKKHILYGGTKVLQFTAANSAI HASSIPDPPDKGCIAFVLRTGFGTTQGNLMRTILFSAQRVTANNMESMLFILCLLCFA LVASGMVLHQGLQDPTRNTFKLFLHCVMIITSVVPPELPMELSLAVTNSLLSLSKRNI FCTEPFRIPFAGKVDVVCFDKTGTLTSDALEMHGVAGLLATSVVPLDNPVQLVAPTAL PTSVQLILAGCHSLMVVDGKVLGDPLETTILSHVKWNLRPGDVLVPHWSFSPGPKSMK IVHRYAFSSELKRMSSIVVVEAPTTSSTGMSSASSSVKLLSKGAPEVMESLFETIPHY YTKVYTHYAMKGCRVLALGSKSLSTRDLQRFKTIARSKMETDLVFGGFVVLDCPLKPD TADVILHLNKSNHKLVMITGDNALTACDVATQIQLTAAHPRTLVLQRTLPSSSTLAWV DLLTPPRVQEASPPPIAFTSETVASLAISATLCVPGDVLSILTLSQLQVVACHARVFA RTSPAQKEMIVDALNQSGLITAMCGDGTNDVGALKRAHVGISIINTPDDDHRPSPSSS SPHPHVVKFGDASIASPFTSKQPSIHATAQILCQGRCTLVTTVQMYKILGINCLISAY VLSSLYMHGVKQGDAQMTVVGVVIALFFLFLSYATPLDRLSARRPLTRVFCASVLVSI SGQFAVHLMTLAAALHVVALPYVDLDDPAMHPEAKFRPNVLNSIVFVVSLHMQINTFV ANYHGAPFMQSFAQNRLLARWTYLAYSLVFVAVWEIFPPLNVMLELVFLPSFEVQATL TLILLLDTAAVLGFETVVQWLTARYPALMA H257_02753 MPGRSTVPRGRPLAVQVQDAELHHHVELYVPLPLLLRLDVLPFL MLYSTLSMCNCQAREGQGFVVAIALVFFLHALAFFSSEWSVAIKVWNAYTKISMSTDV LKTCAFPHGVVVMIDPVSSSLAKELCLLHWNSDTAHVDAKASVAIPRVWFSYQKLRFC VYATMDDDEDGATMVFHRLSYPTTHALQYYTQHTGYHSLAELNAASARWQDNEFDIPM PEFWELLKQHLVAPFFVFQFFCMLLWCLDEYMYYSLLTLGMLVLFECTLVKQRQQNMG LLRQMRRPPVRMLVYRQKTWIEIQSRSLVPGDICSIGRPKPIHRGQDMSEHVIPCDML VLHGGCVVNEAMLSGESIPLRKEMLDVSQYDADTVFNMDENVATVKKHILYGGTKVLQ FTAANSAIHASSIPDPPDKGCIAFVLRTGFGTTQGNLMRTILFSAQRVTANNMESMLF ILCLLCFALVASGMVLHQGLQDPTRNTFKLFLHCVMIITSVVPPELPMELSLAVTNSL LSLSKRNIFCTEPFRIPFAGKVDVVCFDKTGTLTSDALEMHGVAGLLATSVVPLDNPV QLVAPTALPTSVQLILAGCHSLMVVDGKVLGDPLETTILSHVKWNLRPGDVLVPHWSF SPGPKSMKIVHRYAFSSELKRMSSIVVVEAPTTSSTGMSSASSSVKLLSKGAPEVMES LFETIPHYYTKVYTHYAMKGCRVLALGSKSLSTRDLQRFKTIARSKMETDLVFGGFVV LDCPLKPDTADVILHLNKSNHKLVMITGDNALTACDVATQIQLTAAHPRTLVLQRTLP SSSTLAWVDLLTPPRVQEASPPPIAFTSETVASLAISATLCVPGDVLSILTLSQLQVV ACHARVFARTSPAQKEMIVDALNQSGLITAMCGDGTNDVGALKRAHVGISIINTPDDD HRPSPSSSSPHPHVVKFGDASIASPFTSKQPSIHATAQILCQGRCTLVTTVQMYKILG INCLISAYVLSSLYMHGVKQGDAQMTVVGVVIALFFLFLSYATPLDRLSARRPLTRVF CASVLVSISGQFAVHLMTLAAALHVVALPYVDLDDPAMHPEAKFRPNVLNSIVFVVSL HMQINTFVANYHVCSLPPQ H257_02753 MPGRSTVPRGRPLAVQVQDAELHHHVELYVPLPLLLRLDVLPFL MLYSTLSMCNCQAREGQGFVVAIALVFFLHALAFFSSEWSVAIKVWNAYTKISMSTDV LKTCAFPHGVVVMIDPVSSSLAKELCLLHWNSDTAHVDAKASVAIPRVWFSYQKLRFC VYATMDDDEDGATMVFHRLSYPTTHALQYYTQHTGYHSLAELNAASARWQDNEFDIPM PEFWELLKQHLVAPFFVFQFFCMLLWCLDEYMYYSLLTLGMLVLFECTLVKQRQQNMG LLRQMRRPPVRMLVYRQKTWIEIQSRSLVPGDICSIGRPKPIHRGQDMSEHVIPCDML VLHGGCVVNEAMLSGESIPLRKEMLDVSQYDADTVFNMDENVATVKKHILYGGTKVLQ FTAANSAIHASSIPDPPDKGCIAFVLRTGFGTTQGNLMRTILFSAQRVTANNMESMLF ILCLLCFALVASGMVLHQGLQDPTRNTFKLFLHCVMIITSVVPPELPMELSLAVTNSL LSLSKRNIFCTEPFRIPFAGKVDVVCFDKTGTLTSDALEMHGVAGLLATSVVPLDNPV QLVAPTALPTSVQLILAGCHSLMVVDGKVLGDPLETTILSHVKWNLRPGDVLVPHWSF SPGPKSMKIVHRYAFSSELKRMSSIVVVEAPTTSSTGMSSASSSVKLLSKGAPEVMES LFETIPHYYTKVYTHYAMKGCRVLALGSKSLSTRDLQRFKTIARSKMETDLVFGGFVV LDCPLKPDTADVILHLNKSNHKLVMITGDNALTACDVATQIQLTAAHPRTLVLQRTLP SSSTLAWVDLLTPPRVQEASPPPIAFTSETVASLAISATLCVPGDVLSILTLSQLQVV ACHARVFARTSPAQKEMIVDALNQYVSPCDTSASSFHV H257_02754 MATSDSSKAASLADLPPLNEAPSADRTKLFRQKLRLCCVVMDFG EPRKHLREKDAKRQALLELVQFLSDGKVTWSPQVVEDLMACVEANIIRPLGGKSRGNT KDGGRIEGVEEDEPVLEEAWPHLQLIYELLYRFVLSKEVDTKSAKEYFSKRFMLQLLN LFDSEDPRERDYLKTILHRLYGKSMALRSFIRRSINNMFFTFVYETEHFNGVVELLEI LGSIINGFALPLKAEHQKFLEKALIPLHKAANVAQYHQQLAYCTTQFVEKDPATAKPI ILGLLRFWPVTQSTKEILFLNELEEIMELIQPEQFAEVMRPLFLQICRSITSPHFQVS QRALYLWNNESLVNLVAVHRVEILPLIFGSLYRNCDKHWNTTVQSLTFNVLKLLMEMD SQLFDQCSAQLDEREGKQQHTCEERRRKWLQLETRASLVL H257_02755 MVAQFGTVIAGRPVITDYIEISPTQFVIDIPYPEQVTDITFFML PQSPVPPGFAAVLYFAVPHLQNWQLLGGVFAEKPSAIFRTAWPTHPDVVGQPVIQLGV SIESVESVRNLGIEASGLEERKSFALKIAQDLFNFMTSFSTSTNSSMMVVPTNLLDRW MERFESKYRRDPNFMMKNN H257_02756 MGIAARCLCWLVVCSLASWAQGFAVVKEPLYGISTGVSYTLAFT VGGTPTKPSSSYQLLVDTGSSNVALATSTCCSNSRALGSLPVFSCAASLSCAATTEPA TNISVKYIASSWTGHVVKDVLATPRLGAISDFEFVAIDSQQEFIKGGFSGILGIAFDA LAQPKDKPLTTLVQTLVDTRQMDNVFGVQLCGILQPYARGVTPADISGAIVFGGLVPP TGRSLYRGPLVYTPLVQAKWYVVLVSDVAYNGTSLPLPCTAFNAPKAIVDTGTTNMVL PPAVYRPLMAMLRDATLQAIPDFPSTYFSDRSVCCEAYCDPADVNSTLMSLPSLTVSF ALQGNVRDQVTITIPPAYYWRPISVHSAVGTTTCRMMGLSEGSSMILGNVFMDGLYTV HDRANGQIGFAVADNCENLAISMKTVFSSALPPSTSSWCDCLSAAELNDNLVTSKIPG QRACFIWYWWTYVFLVSIVVIIICCVILLWMWMTKRRRSQQRRAMHAAPPPSFLQHQL LDGEGPMTPKEAPPLSLSSECLSDDDLPAASHHHTEYSL H257_02757 MWQVAVVCCVAAAAIAAPSGEHSALSKEDLCAIDIDHSKYALNL INVAKNVSSAPRILCLVNTMSVHHNTRVQAIRDTWGQRCAKLLFFSNATDATTPDIVQ LDTQADHNHLWQKHKHTLQYAWDHHRFDGFDWFYKSDDDAYVIVENLQAFLRLPEVVM RQDVVPLTFGHRYKLTPDLVDYYVVNKTLLAQFKQLTHNRWVFNSGGPGYAMNPLYVQ TVVESLHRTTCLSDKYCEMLPDDAAISFCMVWHNVYPSNTRDLSHRERWHADKPRGIS RTERTKVVRWRELRECMVGVYFTDVTTPSYWLVQYHDGIGGAARHDACCSPDSVAFHY VLPPLMYHMERQLYYCRSDGDKDLAAFNTRTGLAISSEILKPTRLAVEYGPVPDV H257_02757 MWQVAVVCCVAAAAIAAPSGEHSALSKEDLCAIDIDHSKYALNL INVAKNVSSAPRILCLVNTMSVHHNTRVQAIRDTWGQRCAKLLFFSNATDATTPDIVQ LDTQADHNHLWQKHKHTLQYAWDHHRFDGFDWFYKSDDDAYVIVENLQAFLRLPEVVM RQDVVPLTFGHRYKLTPDLVDYYVVNKTLLAQFKQLTHNRWVFNSGGPGYAMNPLYVQ TVVESLHRTTCLSDKYCEMLPDDAAISFCMVWHNVYPSNTRDLSHRERWHADKPRGVY FTDVTTPSYWLVQYHDGIGGAARHDACCSPDSVAFHYVLPPLMYHMERQLYYCRSDGD KDLAAFNTRTGLAISSEILKPTRLAVEYGPVPDV H257_02758 MAAAAAFPSRRQRLPSLNAHLPSPTSSSSYHNMSSALFPLSPLQ VTSPSCISHAFPLEVSPIHIHHQRLPPLNAFVRSSSKTFHQQQRRRDNAMPFFQEFMA SSQQTIGSSFTFPTLPSLKGTLHISPVLSADSPSNKSSTGVKLCGVSGCEKRAKAGGV CIAHGGGIRCSKDGCSKHAVSLGYCISHGGGKRCTAEGCQNASRKFGVCWSHGGKRMC LVQGCTKGPKTGGYCWAHGGKVAATSKK H257_02759 MPSPQRMGLSRQYSKEKLLEASADVISGTLLSKQASVKYAIPQR TIQKYVAILRLGQSAPERQRPGPKPVMPAASSSDTPVATVYEAHPVAASPVAASPLLV L H257_02760 MGRISTSPRRATDEHLLDVAALEMRFQTDLVYGLTSAHVAELQA QPTYRPNLLPTDVETGHGAIHELVAVLREGTWIHTRAENLVPGDVISLKTGQCVPADV RLVEAEDMVVTHLTLTGEKTPLPRSATTVSVFDSPGVNLPYMQAANMLFYGTTITQGV GKGVVCRIGADTVLGVISNTVLQSQRTRDPSPNTKLYDDVRTLGVACKNEQVPACLSK ITALVVEHSCVVQRTVVTASFGADLPVTVTAADAGLHPAEASTDDVELAIATTIMDTY KANSDANALMRAFSACHSHPSLNSRDQAAISRFCDLFTGTSRYAASLCGQLSGCDVYV HFDPECSAHVVVLQGPAREVLSRCGQVRKGQGVVVLDTADFTNIERMLESLEARGEDV VGVAELYLDPVEFPPVGNIALDMAQFNFPTSNMIYLGALGLQDKASPDLVHLVTHCHA ADVSVFVLAEESEFVVDGSSFVSTVLAAPSRQYHRRRSSSVDATPTSSDFPVDSHAST RFVPLSNVQLGVQDATAAMMDLSPLVVAASAISISNTFGDWKHILMEHPVVVFEGTSP AHIDLLVETLQDLGEVVGLIASGNANALSHLNADVGFAIPTGNIIDLSEEAADVVLGY SESPRVDAVRIIELAKRAATATSTDGLGGDGAARARDSGPSLGTFVPGPHGEAKHLIE NLLRDTIAVGRALQLSPDEMEECFHVAMGPGAFPPLPSSFMSSMMAAFMMSSSCRPAS SPQSPTSSAPPSPGLSP H257_02760 MGRISTSPRRATDEHLLDVAALEMRFQTDLVYGLTSAHVAELQA QPTYRPNLLPTDVETGHGAIHELVAVLREGTWIHTRAENLVPGDVISLKTGQCVPADV RLVEAEDMVVTHLTLTGEKTPLPRSATTVSVFDSPGVNLPYMQAANMLFYGTTITQGV GKGVVCRIGADTVLGVISNTVLQSQRTRDPSPNTKLYDDVRTLGVACKNEQVPACLSK ITALVVEHSCVVQRTVVTASFGADLPVTVTAADAGLHPAEASTDDVELAIATTIMDTY KANSDANALMRAFSACHSHPSLNSRDQAAISRFCDLFTGTSRYAASLCGQLSGCDVYV HFDPECSAHVVVLQGPAREVLSRCGQVRKGQGVVVLDTADFTNIERMLESLEARGEDV VGVAELYLDPVEFPPVGNIALDMAQFNFPTSNMIYLGALGLQDKASPDLVHLVTHCHA ADVSVFVLAEESEFVVDGSSFVSTVLAAPSRQYHRRRSSSVDATPTSSDFPVDSHAST RFVPLSNVQLGVQDATAAMMDLSPLVVAASAISISNTFGDWKHILMEHPVVVFEGTSP AHIDLLVETLQDLGEVVGLIASGNANALSHLNADVGFAIPTGNIIDLSEEAADVVLGY SESPRVDAVRIIELAKRAATATSTDGLGGDGAARARDSGPSLGTFVPEGPHGEAKHLI ENLLRDTIAVGRALQLSPDEMEECFHVAMGPGAFPPLPSSFMSSMMAAFMMSSSCRPA SSPQSPTSSAPPSPGLSP H257_02760 MGRISTSPRRATDEHLLDVAALEMRFQTDLVYGLTSAHVAELQA QPTYRPNLLPTDVETGHGAIHELVAVLREGTWIHTRAENLVPGDVISLKTGQCVPADV RLVEAEDMVVTHLTLTGEKTPLPRSATTVSVFDSPGVNLPYMQAANMLFYGTTITQGV GKGVVCRIGADTVLGVISNTVLQSQRTRDPSPNTKLYDDVRTLGVACKNEQVPACLSK ITALVVEHSCVVQRTVVTASFGADLPVTVTAADAGLHPAEASTDDVELAIATTIMDTY KANSDANALMRAFSACHSHPSLNSRDQAAISRFCDLFTGTSRYAASLCGQLSGCDVYV HFDPECSAHVVVLQGPAREVLSRCGQVRKGQGVVVLDTADFTNIERMLESLEARGEDV VGVAELYLDPVEFPPVGNIALDMAQFNFPTSNMIYLGALGLQDKASPDLVHLVTHCHA ADVSVFVLAEESEFVVDGSSFVSTVLAAPSRQYHRRRSSSVDATPTSSDFPVDSHAST RFVPLSNVQLGVQDATAAMMDLSPLVVAASAISISNTFGDWKHILMEHPVVVFEGTSP AHIDLLVETLQDLGEVVGLIASGNANALSHLNADVGFAIPTGNIIDLSEEAADVVLGY SESPRVDAVRIIELAKRAATATSTDGLGGDGAARARDSGPSLGTFVPGPHGEAKHLIE NLLRDTIAVGRALQLSPDEMEECFHVAMGNMVDGTHEYV H257_02760 MGRISTSPRRATDEHLLDVAALEMRFQTDLVYGLTSAHVAELQA QPTYRPNLLPTDVETGHGAIHELVAVLREGTWIHTRAENLVPGDVISLKTGQCVPADV RLVEAEDMVVTHLTLTGEKTPLPRSATTVSVFDSPGVNLPYMQAANMLFYGTTITQGV GKGVVCRIGADTVLGVISNTVLQSQRTRDPSPNTKLYDDVRTLGVACKNEQVPACLSK ITALVVEHSCVVQRTVVTASFGADLPVTVTAADAGLHPAEASTDDVELAIATTIMDTY KANSDANALMRAFSACHSHPSLNSRDQAAISRFCDLFTGTSRYAASLCGQLSGCDVYV HFDPECSAHVVVLQGPAREVLSRCGQVRKGQGVVVLDTADFTNIERMLESLEARGEDV VGVAELYLDPVEFPPVGNIALDMAQFNFPTSNMIYLGALGLQDKASPDLVHLVTHCHA ADVSVFVLAEESEFVVDGSSFVSTVLAAPSRQYHRRRSSSVDATPTSSDFPVDSHAST RFVPLSNVQLGVQDATAAMMDLSPLVVAASAISISNTFGDWKHILMEHPVVVFEGTSP AHIDLLVETLQDLGEVVGLIASGNANALSHLNADVGFAIPTGNIIDLSEEAADVVLGY SESPRVDAVRIIELAKRAATATSTDGLGGDGAARARDSGPSLGTFVPGPHGEAKHLIE NLLRDTIAVGRALQLSPDEMEECFHVAMGNMVDGTHEYV H257_02760 MGRISTSPRRATDEHLLDVAALEMRFQTDLVYGLTSAHVAELQA QPTYRPNLLPTDVETGHGAIHELVAVLREGTWIHTRAENLVPGDVISLKTGQCVPADV RLVEAEDMVVTHLTLTGEKTPLPRSATTVSVFDSPGVNLPYMQAANMLFYGTTITQGV GKGVVCRIGADTVLGVISNTVLQSQRTRDPSPNTKLYDDVRTLGVACKNEQVPACLSK ITALVVEHSCVVQRTVVTASFGADLPVTVTAADAGLHPAEASTDDVELAIATTIMDTY KANSDANALMRAFSACHSHPSLNSRDQAAISRFCDLFTGTSRYAASLCGQLSGCDVYV HFDPECSAHVVVLQGPAREVLSRCGQVRKGQGVVVLDTADFTNIERMLESLEARGEDV VGVAELYLDPVEFPPVGNIALDMAQFNFPTSNMIYLGALGLQDKASPDLVHLVTHCHA ADVSVFVLAEESEFVVDGSSFVSTVLAAPSRQYHRRRSSSVDATPTSSDFPVDSHAST RFVPLSNVQLGVQDATAAMMDLSPLVVAASAISISNTFGDWKHILMEHPVVVFEGTSP AHIDLLVETLQDLGEVVGLIASGNANALSHLNADVGFAIPTGNIIDLSEEAADVVLGY SESPRVDAVRIIELAKRAATATSTDGLGGDGAARARDSGPSLGTFVPEGPHGEAKHLI ENLLRDTIAVGRALQLSPDEMEECFHVAMGNMVDGTHEYV H257_02761 MGIQDHRCKAHAKPSTPPLLATLTSAAASKSYRHRTLTNQDLEH LRADLEAILQEFEPDNADATTATDLLKQYEGLELELVECYRYFYTKDGRPLQLVVDAV ERTALCTPEDGADNNNSPAIGRHSLAQALRKRLSLPKPAIATYLNAKLIAKFRKSQGK PSGSKMEGIRGPEVPPPPPPPSSSSSSSSQQQSHQRFRMAQTTKAMATLMNRVRGHSS TTTTTTDNSFNPYEVKPRHSDGGCRRSGGAEAASSMSSPMEVRCLDTGEALHADHVNQ QVLEHKTKELPSKGKLSFRDKVIVFLQKYDMAAVDGVDDLLAYGGKTNEDIWEELQIK YKVNQRSRLVHLFQKYDHDRVASVDVLLEDYAGEVEDMIAYYKAKYADQRRADLIRSD PCTWNPGQANTYQLLPGGQ H257_02762 MTRQAMSTLAGVAIATVAGGYLLYRAVRRQHHTRTHVLEAMAHQ VGGHSCDKTSLKVYQGRVLKPFQNKNRGETELAFYERLASSSPRIPYFPSFHGVIELP SSSSDLRRYLQLENLVGGMTHPCIMDIKIGTQSYEPSASPDKIALEAAKCPLQATLGF RLQGIKVFWDATQRYHEYDKHYFRALDTIEAIEESLLRFFEGGERPSIPNQSQQPPRR TGSRSPHVAARADRVHHFLVKLDAIRAWIAVQTEFHFIASSLLFVYDASNAGAVDVRL IDFAHVQFDQSTVDVGVLTGIDAVIAMFRRLLESIELPASLRDAMPVDGESPTN H257_02763 MYQQAKEGGSYTSTVYGHIRDQKYDEAVRILQIELQNHPCSRAA LSLLGYCFYHMQSFHNAVSMYEQLCKLFPDIEDYHLYYAQALYKAGQYDAASRKASQL ESEQYSHRVALLRAAVYYEQNDIKATQSILDQCLRDDPTTIVFDGAIDFKEGRFEEAK KKFGDAMNVLGYQSDLCYNIALCFFRLKQYGNAMRQIAEIIEKGVRDHPELSVGSKSE SNSDVKSVRNSTVLRETALVEAFNLKAAIEYDMKNFKGSRDALLDMPPRAEEELDSVS LHNFGLMNMDVDPNSGFKKLNFLLQNPPFPVETFSNLLILYMKHGFHDLMADVLAENT HLTFQLLSKDFFEFVDASVTLQTSPEEAYRKYDDLATKHVDVLRKLTQKIQAARIAQS NDEIKLSLKAYDDALEDFMPVLMAQANIYWERANYAQVEKIFRQTAEFCSEHESWKLN VAHVFFLQGNKYEQAIQYYEPFVKKHQENLLEVQAIILANLCVCYVMNTDNEKAEELL RSIEREEEEEATRDPDKRLFHLCIVNLVIGTLYCSKNNYDFGICRVMKSMEPYHRKLG TDTWYYAKRCFLGLALTLAKHMTTIRDSTMADILDFLDAADTHGKDIPTTTGMEATAG NNELPPHTVSYEARVIKRMFLKLRG H257_02764 MLVYRNSLDPHCIISSTMQHHQTQHNFVTVPNNQQHTHSNMSPT SPSSSVASSEMSTTTPTAASSSVMRRCTYPQCDKKVRSKGLCKAHGGGIRCLVQGCNR SSQGKGYCIRHGGGKRCSIAGCNRSSQSNGLCKSHGGGLRCQVPHCDKSSQGGGYCRL HGGGHRCQHDQCEKGAQRGGYCAAHGGNRACQFPDCVKNDRGGGYCAEHGGGRRCTTP SCNKPARKQGKCSHHAPSSLAVIPPMMYDVAAASSGASSLDGSFGQSFLEHQSYHHQY QPPSFQAATTTHPHNIHPDGQYPRNITGYHTSHNRERVFYQESDLSSNASTTPRGFSD GAHMPVYFTKAEPHPNQMGPPPPIQTSFRYGSMQPPSYEATPTPNAFNHPGHHQGLSH QVLGMHPSVTLIPDPLPYPYPEQRSTALPPSMLMLHHHPPTSGHPPHGHNMQQQHSYL STSQHATNLTPQPNPHHLERDMMYHY H257_02765 MHDFDWLTLLNSGALTTMATEGGGNGHDMADMMKLYTEGMQAQF GAMMGKFRASMEQSVGMSRMMNDMMEHLLLQSLDVKCVVTGDGAAATTLHLDVRNTGA IPIPVVCCTVSIRRRFPASPADDTDDTDDTLLVVKTTPADLDVGSHTSTVLPLDLPSL DQYNGQVVVSCVSPGSGQRLQKSNDFSVYLLQQLAIRPLLQPVDVEASSVTSVAPLDL HGLRQALQICPTDGIVLASHGHYTIQSSAATSPSFVLAVSQIDATTCHVHVTSITATE SQPWTAHDIVFEVEALARVT H257_02766 MGILSAGSACMYDVEGNILTTTQCPVGAVACIVSAVGCDVVKSF NTPALLPSFIVADVPIAYLEMLPANASRITLKGNGISRVGDLRLDRDGKPSTTISLSL IDQTLMTLDRSTRFPPTLQSLSLARSSLTAIRNTSFPITLTSLDLSYSILHEFVVDPL SFRTLSRLTSFGTNVTINVSSSTCSSIPGASFESVQNGRFFLCVVNTTMSNGNNAATM MHDPTTSIPSHAITGDASTLPSPSSLVVTIALVSVLVVGVIVVVFCLVRRRHASSSPF FPTSRTTRRLSSSFFLNSPPKATIRGSLVGLHDVRFDPAVASARLARSDLRSVHTVHA DGLAIVSFGYWKVHVPVTIRQLQPNTPVSSIATFMDEVQTCVSIVHDHVVPCVGVAWS TSSDIAIVSEHMEGGTLQSHMAQYGHTRQFHAAKWVVAFQIADALVYLHAQSIIYRTL HAESVLLSADGHPKLSNHGLRRTNRRRNDGGGVSLVWSHLASTAPELLNRGDHTSASD VYALGVLLCELDKGTSFSSVDAKGEETLRGDVERIVLGKFQPEISSSCPEDIAALIRA CVHVNPTERPTAEAVLHALRPFNQDASGAVEV H257_02767 MFQAHVGKSRGGNVSLGRTETSVLATSTGCDFGKKGVEYTESAQ GATHEVDSVTGRVACSDDAFNHTCSFLTGAPSNEVVVTLASAIGSAATTAASSTGDTT AVALSVAATTATVEVGKSSVVISTGSPKAALASPRFHKCRPDDLDARGAFTLGVVLDA FACEG H257_02768 MVLDSDKIRASKVLASARALGLNVHDVDLHRALQSHNAPHDQVF DKNINSSARLFMRNVAKHKATPKVVKATPHSVSNSPVAPRVRTCVLLVDSDRLRRDDL TAAMSSRFKVVVASSLKDALQVLQMLKVHVVLARLRYQKDSAIDLIHACREKHMTVPV ALYTSCVVGYEAMLAECVDAGACGFFEDTLPPEILCFRLLDMTKSFHATDAEWRDFKP PPSSSTVLQHHRVSTSHPAARHHKVSARSLLSPGGFRRSSKMPSNVENGDMAARSPTT NTSDVTMTTLDMQIQDRQTFLAKQEHIQKVMTIQHSVLGLNDSRHHIRSSKPNLSVRK EPRLLNPDLVRREVPEPSHDQIVQCMYTHPHVVATLVQHHDYDVRTRPPRDPVPQEPL LTHCLIVDPSTLCDSKAVLKRINRGYLALEAGHFDRAIRWCTLSLKMEPNHLPKWCLL IRGSVHDLLGAYEAAVNDFESAISIDPTFHQAHFNKSVSLLKLGKDDAALDAVVAAQA HVVGKAARSRPVPPEYVRNHALILRRMGRYDDARVVYATLDVSTGGSTAATCSIPSNP PIETPILGASTGPVCLDETVVEKEQSLEEHMLGKAGLPGSVFDALFCQSKDDKAACRA APQTRTPAMLDLLQSRLYQYDYFAHCPEPVLRNVCQALHHVVVRSGDTFYLGHDNPHA FYVCMSGTLSVHANLTLAGQDVDTMASSSTHRLRPGDVFGCVGVSISSLMMYLADERT EIMYLTPSAFKDTLEAHWLMEQHARFNVLRRSPAFQVLSDSELGHVVSHSNLVRFHKG DVVVAQNEFPKRLYVLYKGICRVEQSFSLPADDCDNNPSRRPSVAQTSPASTGMLPST PVKPYHRYLEIPNWPLGFRTHQKAHSTNALLTGHLSPINPSLGNRPGSPCQAQVPITA LSSPPPPLPTITHDIYPPALFGESAYKTVPEKAKWFEDLCTSYSTYCPSLFPVDMPSS IVASTLVEVLAVDMHQLKSLNVAKELFAAVVANAPIYVDEAKASKMQASHAQWAAKRG KEALQVNKTRWPVNKARLRYIPNGGSIVVPDGNIVSAHHTFK H257_02768 MVLDSDKIRASKVLASARALGLNVHDVDLHRALQSHNAPHDQVF DKNINSSARLFMRNVAKHKATPKVVKATPHSVSNSPVAPRVRTCVLLVDSDRLRRDDL TAAMSSRFKVVVASSLKDALQVLQMLKVHVVLARLRYQKDSAIDLIHACREKHMTVPV ALYTSCVVGYEAMLAECVDAGACGFFEDTLPPEILCFRLLDMTKSFHATDAEWRDFKP PPSSSTVLQHHRVSTSHPAARHHKVSARSLLSPGGFRRSSKMPSNVENGDMAARSPTT NTSDVTMTTLDMQIQDRQTFLAKQEHIQKVMTIQHSVLGLNDSRHHIRSSKPNLSVRK EPRLLNPDLVRREVPEPSHDQIVQCMYTHPHVVATLVQHHDYDVRTRPPRDPVPQEPL LTHCLIVDPSTLCDSKAVLKRINRGYLALEAGHFDRAIRWCTLSLKMEPNHLPKWCLL IRGSVHDLLGAYEAAVNDFESAISIDPTFHQAHFNKSVSLLKLGKDDAALDAVVAAQA HVVGKAARSRPVPPEYVRNHALILRRMGRYDDARVVYATLDVSTGGSTAATCSIPSNP PIETPILGASTGPVCLDETVVEKEQSLEEHMLGKAGLPGSVFDALFCQSKDDKAACRA APQTRTPAMLDLLQSRLYQYDYFAHCPEPVLRNVCQALHHVVVRSGDTFYLGHDNPHA FYVCMSGTLSVHANLTLAGQDVDTMASSSTHRLRPGDVFGCVGVSISSLMMYLADERT EIMYLTPSAFKDTLEAHWLMEQHARFNVLRRSPAFQVLSDSELGHVVSHSNLVRFHKG DVVVAQNEFPKRLYVLYKGICRVEQSFSLPADDCDNNPSRRPSVAQTSPASTGMLPST PVKPYHRYLEIPNWPLGFRTHQKAHSTNALLTGHLSPINPSLGNRPGSPCQAQVPITA LSSPPPPLPTITHDIYPPALFGESAYKTVPEKAKCSIVASTLVEVLAVDMHQLKSLNV AKELFAAVVANAPIYVDEAKASKMQASHAQWAAKRGKEALQVNKTRWPVNKARLRYIP NGGSIVVPDGNIVSAHHTFK H257_02769 MENDRVDHAFATWRESIFAKKQRVHQLDVADAYYDLLGLVNAVT TWKRHWTRHRQRRRRVPRIHLTLFYRWVQYRDMRRRRRLHRIFAERHVRARYLCRSFR AWKVTMQIRCLRVHYLLRRMFLLWNSQRVAILFQIEHSTQLCPRPMRKVMPRGMFWQH MTLRRCFTSWKSYFRWSCWVRHYIDRPYTRWLVTQALLCWKRKITRIQFTRLSLCRSR HWANQRLLHRACHSWHQSCRQSRSVAAALYWFRCNHHRRLMHKWYCGWAFETRRIRLV RLWQSTKAFAVVQQTWQRWRLFLYLCLNKYTADRVCYHQRSRRTFSRWKLLPTVQRVS RTKATEVARCRLSSTFAAWKSRLDQIQSYRIAALNRHVYDHQSLLQQRCLFLWALETR RRLDKWRHWSQAKCLCRAFRRWTSRHAHEAQRTRAASDLAALAYRLMMSRRWFRVWQS RQYMRSKRRRATDYCVHKLKVTTWAMWTSYRKRIDDQLGVVLAVSKARQMHMTKCLKL LPKQTLHAVGMLVPRYGMAVTLARWKAFQTSRRAQRHVQRSCFRQWKYHYLTAKCTTM ATPRNKGAHPNATIRATPFIRPRLPWRG H257_02769 MENDRVDHAFATWRESIFAKKQRVHQLDVADAYYDLLGLVNAVT TWKRHWTRHRQRRRRVPRIHLTLFYRWVQYRDMRRRRRLHRIFAERHVRARYLCRSFR AWKVTMQIRCLRVHYLLRRMFLLWNSQRVAILFQIEHSTQLCPRPMRKVMPRGMFWQH MTLRRCFTSWKSYFRWSCWVRHYIDRPYTRWLVTQALLCWKRKITRIQFTRLSLCRSR HWANQRLLHRACHSWHQSCRQSRSVAAALYWFRCNHHRRLMHKWYCGWAFETRRIRLV RLWQSTKAFAVVQQTWQRWRLFLYLCLNKYTADRVCYHQRSRRTFSRWKLLPTVQRVS RTKATEVARCRLSSTFAAWKSRLDQIQSYRIAALNRHVYDHQSLLQQRCLFLWALETR RRLDKWRHWSQAKCLCRAFRRWTSRHAHEAQRTRAASDLAALAYRLMMSRRWFRVWQS RQYMRSKRRRATDYCVHKLKVTTWAMWTSYRKRIDDQLGVVLAVSKARQMHMTKCLKL LPKQTLHAVGMLVPRYGMAVTLARWKAFQTSRRAQRHVQRSVSGPTIT H257_02770 MPWVANNNQVGEFRLPSHAAFLKSAQASLSPMQTFRAQQYEHKV PFCVYLHPSHAPTQSSDALFVYPRTYAQYVSQVRFLDDVPEDAVWCSAEQLINLELCD SQEATWRWYNPAQDPVAASITFEIRPLVSPHNEAAPYVVDVAAVKSRLAELGHGNILT ENERVVLYVHDMPLFLRVVHLEGHDPHETEFTMPNVFRGRVDAATTSFHLVKENPVSD EYALSDTADDDPLPASFKGSVVNVYTNDDEMFPVKKKLLWPCIKLTSAVMAGHGIHKD KASVVHVDVDCLTFDRVLLYLEHAALHPDDSFQFDPHFTDGLLHAARTVGCQGLEDLC RIKMGEFESRVRKHAIKYSYVVERNVVGNEFWLIMDGMVLDITRWLPEHPGGSELIPK EALNVDCVGMFEVFHASKASFRYLKQFYIGELGEKERQLCPNGHTHKDIPIPSSGFMD EFKRYTSWRISPEEAVHVSF H257_02771 MNHATTFPDPSDEHRDGGTTIDASVATSAHAWRLEKRRSKYVKA RQFLEEQFAETGVECQVRSLEGLSFASWKDFHDELHAYMKETNQTYISRDSKTTVRYN AEQIKRKVKHFVPVPVEFTHARVRFDCKHSQSNMSKNMNLFGTKDGAAAADTSSSSAG PVDNVEPTYVPTNPKRKARDGAFYSACPVKMHVQVHKVPSTLQDNDKWRVVVTNHVHV HNHDLVLGDPMLQDTLSVPSLHHQHLPPALPGQPHPIRLEDGRMDPPKRTRAFHELFA FFTAYQASRADNDGMEFDRRYGAMYSIVYQSLCADNCLDTNERAMTHFQTLLKPANMM LDDSTSTMTRPTSTCKLPPSSFVPVATSSTEPADPPQQHYQL H257_02772 MAEHVFEKGNPILGRGNYHAKVGNGGKQQKFKLVAGMRIDSSLS QAPSILATRADKRGDPDRFTTTARDFGSELSITDESIFAPSNVNKVLRFFAFFEETVD ESPSELFRLRKSMILFYLTDDTIEIIENKVANSGLPQGTFLKRGKLQKPNHGGHYKME DIYVGMHFPIYGRVFKLYSCDAWTRSYYSERAMELHPDIDIPKDEYTLSRQEIAKLCG GEVGHFYGKKNSALKTFMEATLGNHGLQSMKKKKFLENCRKVLNFKCEYDDREKLYGD LMSYSLNYFLEDDTIEIKEVEKANSGRDPFPLLLSRCRLLKTWEDAIHDEQARGVEEP TGRDAYFNEEDLYVGAVINVFSRPVKLVDADEYTRAYYAHTWDVQLAPPSYHNEPRKE NKKPDPPPYTGYGTEEDSLGSVTNLVPKVPKKDFIKMSLNDRKVLRFSAKMVSSRREQ SARQFIVAFYLADDTVSIYEPEARNSGIVSGKFLERGPHKKPDGARYRLADFQINSNV VFNAYEFHIHDADEYTKKFLGLH H257_02773 MEPPKAGGVASAAEVPQRQERMINPSHQLHHLTKEMSKAVALNV DTTMRNRTKSSIHHAQQTNSHNDDSGSGSSSSSSSSSSAESSPNPSPTALVSTRPLPS PATDRVHSSLKPPRQKSSPAASTSSSSEALLMPGKAFLESFYMFLKQHAKSLRVRNPR LQVMLNPPCLHYLEGCFLAMLQPSWHPENGAVMQWQARRDHHHHHDAAATTNTPLSTS NNQSAAHQGMSKTNRMLKLAGYVLHVIDLNIQTLPDTMPLPSTCNLAIFSSLLYLNIV GVLFQDIQHLSTLRKQLIELHVTRTIVPSLAALLGEGGGTWDALKVLELVDCGLSKLD PSLALAPCLHTLNVAHNDLQTLAHLENCPHLTLVNVSHNHLSSVAGAHRYLAAVASLD LSHNHLTSTSGLEKMFTLQRLDLAHNVVPTTGEVAYLVSLPLLHDLSLLGNPFVDVAY RERVLELLGRGVVLDNTPWSPAELNLVGRLHPPAIDTVHVPLDAVDDRISPAKAHVPT NPFLYDLKTIWGEGDVVQDILRRTGVLCGLLVIAHVLAQWIWPTTDSMSDDRDNYMMW TVGSLVTVVVAVAGPLYGVQLYRTATSLSSIPTDDMMSTNYSSRTLLSPRMSKQLSFN TNQDDNDDLITTTEVASLDVLSTALEVLVESSADMPLHDFITMAGEIHKLLSFLGTLG GVALKPWVVDEASLLQLWAKVGNDSTVSIQRLVRDDVARHDAHSITRTVLHMVPVLLF VRTLCLEMEKSADATLLECVATAYTSTIAKQNKHAWLVQKAVLSTMQLSPVTRLDLLL MWDVEDVDQDAEFKEQRLHAIGRMLDILVVPLAKLRDVYNISD H257_02774 MDEDKFVCGVTPDNKLDCAWNGIKSKSPNWSRQNGLFKQAIPSP PSLLAQISYDGERVCGITTSNTVLCTTFDPTKPLDWYSVPGSNIAVVSVQGDNVFAIT TDSKLLLNVTTPAPTTTLAPTTTVAPTTTLAPPTTTRAQTTTDTPTVTPTTTEAPTTT RAPTEAPTEAPTEAPTEAPTEVPTEAPTEAPTEAPTDTPTEAPTDAPSEAPTDVPTTT AAPAKEKSRLKAYC H257_02775 MDVALVEYAHRQRFNAWCQKAGSPPCKSKQLFTAPTGKIATSSL KWPLIVSPTPTPLTQISYDPTKSLNRYSVAGANIAVDKALYPNRTMLPSPYTNSPLKS MSPGGTTVWWRWVRGKARSRSKRSIIGVNIEDEVWFSPKILPSQAWVQISGQIYKSVS LDNGKFVIKTSSPNWTQLNGGLRQVVVANGRLVGLDLWNQLFTASTGTIKLQLILPPP TLLSQIPYDGQRVCGITTASTILRTAFDPSKPFDWYSVPGSNIAVVSVQGDNVFVSTT DSTLLLNSTRPVTTVAPTTTLAPTTTLAPTTTWLQQQFNNYSGAISHLGSNGWRNSIE SILLIGQVCL H257_02776 MTILVNAAFLSLAVVSGQSVIDPVPPCLDAPAGWSAVLGSLTQI SVDKSVVCGLNALGKFKVYCSQKKQPASWWTLPNVAFKHVAVRDGIVFGIQTDGKLVT GPVGPTATFVEVLTPFCEASKVTVDGSTVCVVTTRNQVFCANTSATAGFPASVRWQRL DGTVTQLALAGSTLYGVNGQNQLWRGIVTAGSLASGVASWTLVPTIQLQQVSYDGVRV CGVTPVQKVVCSSADGNAINGNGSLTWTTLEGELAQVSQFGASLYGVDAAGHIFYQDL LPGTTPTTTPLTTPRSNVAAAWTVVPTPNIALVTVSSFGSNVCGTDSAGSIYCSTFDA NNPSVVAWVQLQGALQQVVVGANGVLYGVNSANAIYYAPTCRATSPSWVQQWGALNNI ATDGTVLCGVNRGSQVYCAWQNIQSSTPNWTLLDGSLKQLVVANVHLTLQ H257_02777 MDVALVEYAHRQRFNAWCQKAGSPPCKSKQLFTAPTGKIATSSL KWPLIVSPTPTPLTQISYDPTKSLNRYSVAGANIAVDKALYPNRTMLPSPYTNSPLKS MSPGGTTVWWRWVRGKARSRSKRSIIGVNIEDEVWFSPKILPSQAWVQISGQIYKSVS LDNGKFVIKTSSPNWTQLNGGLRQVVVANGRLVGLDLWNQLFTASTGTIKLQLILPPP TLLSQIPYDGQRVCGITTASTILRTAFDPSKPFDWYSVPGSNIAVVSVQGDNVPDYYA SPDYYASPNDYGGTNYYASAYHDSGSNNNSTTTAAPSPTLAPTDGEIRSKAFC H257_02778 MVFCMTILVNAAFLSLAVVSGQSVIDPVPPCLDAPAGWSAVLGS LTQISVDKSVVCGLNALGKFKVYCSQKKQPASWWTLPNVAFKHVAVRDGIVFGIQTDG KLVTGPVGPTATFVEVLTPFCEASKVTVDGSTVCVVTTRNQVFCANTSATAGFPASVR WQRLDGTVTQLALAGSTLYGVNGQNQLWRGIVTAGSLASGVASWTLVPTIQLQQVSYD GVRVCGVTPVQKVVCSSADGNAINGNGSLTWTTLEGELAQVSQFGASLYGVDAAGHIF YQDLLPGTTPTTTPLTTPRSNVAAAWTVVPTPNIALVTVSSFGSNVCGTDSAGSIYCS TFDANNPSVVAWVQLQGALQQVVVGANGVLYGVNSANAIYYAPTCRATSPSWVQQWGA LNNIATDGTVLCGVNRGSQVYCAWQNIQSSTPNWTLLDGSLKQLVVANGLLFGLDATN KLWTGTSTKLASGTACWKAIANSATYSQISFDGLRLCGVVTSGSKIQCADAGLATSPN WFDLPGASSNMAHVSVQRNNLFVLATNSTLLYRALNTGDVNC H257_02779 MDLWCVNSERFCSTVSLIRVEVDGILTTKFYQLPAATAEIRQIE QERTNNRIKFKLGFPFRGQMDGHELDVYGHGTKTRSCSQLHWVPYANPTAVEKLIPGW RMETTFPSLLLLPPNDVTPKKPAFTWRGWSNSEEVLLASCASYLSFCWPRRSQANINH KRRIGGSKS H257_02780 MAPTRSSKVVRLISTGWTTLEKSVKSPVELMEEALLSATSAIGV QLKDIGGLIAVPSLADPHFMEAHYVASHIGMLPAKNVVVRTVDTGGAGPITALLAAKR MVESEGVDCVAVVAGDAVRQLSGEEFLRRADQTCWHPNSGLSSPVIPSGYDRVANYQM KNFGVTREELAACSAVMSIMASQHPMALTKTPRSIEDVLASPPVASVTNLLECARRAD GGAALLVASARFMERKGIPTGTGAVIIGGGEASGPLYPPALEDIDEDMFSCEQATLQA YEEANISVRDIDFFGLYDCYPVCLIRAVEAVGLAPQGFGGRYMLEKYFELKQRKHKRV QDILPINTHGGLLAFGAPWEVPAMYNVIEAFHQITNCAGNRQIPNVRRALVYGNGGIF SHSAVAILGNGTY H257_02781 MSTKARGKLTLERVLGLSTCSNAGLSVNRVTGDIAYPAGCVVVM YNFQRDKQTRYYRVEKPVSSIAFSVDGALLAIAEKGQAPSITVWDVETGQLKAEFKRH KYGVIAMAFSADSRFLVSMGLVHDKMLYAWDLSTNAVVGGAVVEDKIYAVDFSADGRF FVTVGYRHVHFWPLDDDNMFRVTGKVVADPPLVELASTPATMSRLADATFVDVGCGAS SKTVALTADGFLCCFGGTVMERLVSLQASRGFAMSVSADVVAVAGAAATIRLFDPSTL EYKQTLPFPPSHGRMNDKDQFTAAFLTPPHPDEYAAAVAVRVVDATHVVAVYADHTLV VIERSTGTVRRTFFFHHGAVTDLQLVGRVLGVDARGRPHLSKTSNAPDGTFVTCSDDK TIRFWHLDRHKKSPPHTTWVNPYCPELLHVVYAQGATPSTSPLPLAVIDMHNPKDTAD TRDGLKCVAVSDRTVAVGSNDGAIHVVQLDWPAMPQRILDKAHATAVLTVAYSPSGVL LASGGRDRLTHVHDAAHIRTKTLENHSGAVVAVQFTADGKRLISAGADHNLVFTQVSE HRIFRYNSFPVQGGKLHAMAVVDNDYVLTCVNTWVDVHTLISNKHVQTHHVGEHHRVA LSPGAALVALGGSSHDKCIYIVDFHSGEVLAKAAGHGDAVTGLQFTLDGRRLVSTSND GCIFVWRLSDDLQSALKAKLRPVAQAMEPPPAPPLVLKVVAPALSIISPNSGTAAPLQ HIEPTAAGGVLPPPPPPLLPPPPPASKLPLPAKPQPIKPPVVNATVENELKEWLAARN HHTKMDEPTPNLVETDDVGSGAGPSLLNKELVPHWARTFRQPPPQASDAATSSLEDGG PRAPGGKWSVNAIPDPLKHEITVKTNDDESPPRPPTTHPPVSLGEMSKVVPNWARTIR QPKSPKTIAPTSQTKWGAHGVVDSIALCDDDNVSSSSDDDDVLEMSETLYIKQSNGEL HQVEVPLPPTAASALLPTSSWSLAHERDLLKKKKKQQETANAVADMQAKLGLLGILKP KPPTPVTLGQHNTVDNEGAAHGALELAKSPVHASIVAGYAAELEPETKYNSPDGSVEP RGSRTSNSKNGAAHSPVDVSVQQNVVSDANQQPFGHVVSEDKADAVQAKPPPGHQPRD DDANRTTASTTKAKSSVDQSVDVQSSLSHFVSGYLPPQQQPKAPTTTGNGSTLVTSFD TSSTLGVDASLSQFTSGYNRSPKARPSVDVPVDMSLSQFVSGFHPTEHEQATVVQQIS TTTTPREQDSVDRTPVDMSLSHFVSGFHPDQTRTTPTDQHTSAALPIIMEQQSIIVDE TSTPLDMSLSQFVSGYEHAATPPSPPPALVVLPPASIIQPSVIDWTPENLSLSHFVLG YSSPQEESNSSQAQSKDLGPLLLQDHNASTGPHLSVDCTTPVDASLGQFVTGYYGRQV SAEHDDDVDLHHVLVAADVHNLCPRDSLEQQSLETASEPHSASSSFDDDDNGHALSKD VSLSQYISGYRSSVELVPVDMSLSHFTCGYTSQVTRGAPRDPPILEDHNRSVESNSSV IKACVDSDDSSSPQHLEGLHHTSQMMAVESDASRDKDKLVTISVNVPAAAACSTLIDT VHVPPPIKTIVRSLRHLEVNLETTVRQLDAIRPGDDADVAEIVALAATLRSKIAHYAE KTH H257_02782 MMATSSFICEFAEQVVKAMVEHVVLFKWQDDATPEAIESVGQSI LALKDKIPGVIDLAYGEDFTKTRSQGFTHALVVRMANKDVVAFYDAHPEHVKVITQIR TIVDKILAVDFESPRHTPN H257_02783 MKPAMNMHEVYPRNTLIWKVATGTVLFGSAVLLFPLLLCALVVY IPYKKIKAALARPQPSDRFDYQASNVTTPPLYTMTSQYITTTDGTRIAIDLYLPTDSI CASPRACILTQTRYFRSISLRWPWRLLANQGRPFSFLHTRYYEGMLGAGYAVVAMDIR GTGASFGTVLHPWHVLERQDSVDVIDWITRQPWSNGRVGLWGMSYEATAAYLTVSTHH PAIKACVPMYMFYDMYSDIASPGGIAQHFFTLKWAELTAYLDRNAMSDLPAMMGLGRL FFQGVTPASRDWDDLVCAVAEHETNWKAEIHPSLNRDSHTNGNITPGDLSLSHVRHAM EMSTVPMLYYTGWYDQTVRSSLQGFSLVPQRSQVVIGPWNHSGVQFYNPVTQIHQRSA CDHVNRVVSFFRHHLNDDVTACSAATFTGSIEYFTLGDNTWRVATSWPPPHATRHDFF LSMNDRALVTDVRAIQPGSCTWNVEYQRDLGGVSRWQATIDVYEPMQYHGWHAANHVV FTSLPFDHSVTVQYYLCLRWNH H257_02783 MNMHEVYPRNTLIWKVATGTVLFGSAVLLFPLLLCALVVYIPYK KIKAALARPQPSDRFDYQASNVTTPPLYTMTSQYITTTDGTRIAIDLYLPTDSICASP RACILTQTRYFRSISLRWPWRLLANQGRPFSFLHTRYYEGMLGAGYAVVAMDIRGTGA SFGTVLHPWHVLERQDSVDVIDWITRQPWSNGRVGLWGMSYEATAAYLTVSTHHPAIK ACVPMYMFYDMYSDIASPGGIAQHFFTLKWAELTAYLDRNAMSDLPAMMGLGRLFFQG VTPASRDWDDLVCAVAEHETNWKAEIHPSLNRDSHTNGNITPGDLSLSHVRHAMEMST VPMLYYTGWYDQTVRSSLQGFSLVPQRSQVVIGPWNHSGVQFYNPVTQIHQRSACDHV NRVVSFFRHHLNDDVTACSAATFTGSIEYFTLGDNTWRVATSWPPPHATRHDFFLSMN DRALVTDVRAIQPGSCTWNVEYQRDLGGVSRWQATIDVYEPMQYHGWHAANHVVFTSL PFDHSVTIVGTPILTLWLASAAAPHSGDVFVYVTLVTQDSDVVYVTEGQCRAAHAPQD GAGGSMSRQSSPTYLLDPVPHNPDPDVPRHSFYERDRRPIATCTKVTFGLLPIAFQAP RKARLQVRICGHDAKHFTQVDPSRTMEVTADATTCVSSLSLPILETPPL H257_02783 MNMHEVYPRNTLIWKVATGTVLFGSAVLLFPLLLCALVVYIPYK KIKAALARPQPSDRFDYQASNVTTPPLYTMTSQYITTTDGTRIAIDLYLPTDSICASP RACILTQTRYFRSISLRWPWRLLANQGRPFSFLHTRYYEGMLGAGYAVVAMDIRGTGA SFGTVLHPWHVLERQDSVDVIDWITRQPWSNGRVGLWGMSYEATAAYLTVSTHHPAIK ACVPMYMFYDMYSDIASPGGIAQHFFTLKWAELTAYLDRNAMSDLPAMMGLGRLFFQG VTPASRDWDDLVCAVAEHETNWKAEIHPSLNRDSHTNGNITPGDLSLSHVRHAMEMST VPMLYYTGWYDQTVRSSLQGFSLVPQRSQVVIGPWNHSGVQFYNPVTQIHQRSACDHV NRVVSFFRHHLNDDVTACSAATFTGSIEYFTLGDNTWRVATSWPPPHATRHDFFLSMN DRALVTDVRAIQPGSCTWNVEYQRDLGGVSRWQATIDVYEPMQYHGWHAANHVVFTSL PFDHSVTRANVERHTPRKMARAAA H257_02784 MRQFKYHEKKLLKKVDLLEWRNENNLHEIKVIRRYRLPDREQYH KYNKLVGDIGRVVARLKKRPSNDPFRIKTTEKLLEKLYQMGIINGTKSLLKAEELNVS SFCRRRLPVVMVRLKMSENIKEATTFVEQGHVRVGPNTVTDPSFMITRPFEDFVTWVD SSKIKRTILKYNDKLDDYDLLGN H257_02785 MDARRPMPRSMEWTDKDSMDERFASSSKPKTGAPPSYAGDSRGP YRPYEPSAAPIAPTREEPYTSSTGYSAAPMPSTTYAMTGRTRLAPRGFQTESYEEKLR SVSPPTSTSKVLADPPMFPSRASHRTPPNPPSSEPLGRHDAYAEYKTGTNHHRTSSKL QEPQHGQSDMYSEYNSVRRPSTSKQVEFDSQPSSKGMTASSEQHQTSRYRQPPPLPHS YAGTSSTTSTPYASPMKEYNDYTAATPRSYLTTESAPPPLSHKTMIGSTTQDLHLLVE QAFASIDDTRAQHSVLFDLSASPLRYNVEVADRAVKAMDHMVRTLTAARDRLQQLSHV ETSAHYTSSPSYDSGGTTGRHVIKADTAEHACNDPSQSDRTIATDRYSVPRPACVDMP DVLRNPYEAPTTTTPSQSPSRSSKSYKSKNGLDMDTNHNEYTTSFGSAPRDNPSGRFS DESSLSRKPKDDDGLSYHRARLLSTDTSIHDEAAPVSYIPTKVVPPPSGGRSMFAEFD RKMKEIRDSLNAIASREALTLPPTSYLPQEPATSSSAKPIKTESFDEYFNAFKTDLAK FTASPLRGKHDTPSPSPDKASVNDQYNKCDLDGRHATP H257_02786 MKSPDQAAALIQARWKGCQCRVRQRRYIISIIKLQARQRGRAVR REYVPFREFRREQRAFEVESKARRARIWHHQQQLQYMESISPVQYDRLVALQKRRSAR LIQRRWKEYTLSTNYQRNFHHHHDWDTDEDDTNDLNQSSESAPSSFSPSKDVDAMTFL AKKQEIHQRIRDAVRQFSVKHPWKIPSTGSTKADGDAARRATYEKLQRETANWTARFN EHCTTLRQPKRASDASVFATLARCDHRLRTLVAPTLLSSLSNDTPSIDGAPPKPSIPR LPTDPTRRDAAVATHRSVIQSIDLASKAAWNMPVAGHVYDMRQIELPPPWAIEGQDKI WTWPHSFRVDVTTTSSPPPRQSVTDANAAVSDDSCADDDPIRQFITRSTTPHVKSGSN GTLSTNPSPSLDKHEHALDPAMSSHLWLHYAEAMSTPSAAMLANVTSVMTTHPALLAY QQPSLKATDDVFGLTFDAIVRSQTAHLVADITSQITFNTQLRSVQDHTKKATSMGGGD EDVSDVAFAGGLVDYGGLRRHHMATRIQRRVRGNKGRAEAQEIRATYFVQVKGRAVRK GLCEECGDVRAVLKCDECEETTHFCPQCWVHVHSTRRRKAHVPSPLVIGESTGQSTTG QHKARGASAGVGGSGGLSSSKPSPPQGHINSDMEDMMGKMAMQPPRVYVLPESNTFQP TTEMPGRIRRNQRPIKPPERSNSTVVVAADAMLLGLVQQVAHEQESAGQ H257_02787 MTTLRKETVQRSLSGSLDMLKLFSADFMETSQAIKDQLDVLERK EQAWRELQLRIQRNTVAAADAITLDVGGVVFKTSKQTLLRVEGTYFHAMLGAGCWQPD GPANSYFLDLDPTHFDRVMTYLRTSELSFDGLSAWECRQLRSTLDYLNILTPRDLAMS KPTPPLIQWNPHACSSSLVLLHDQRTVQKAAGAGRSVSNAVVGTTPVDVFSLRLDEFP ATGNVLGKIFVGLAPSKGFGVYSYNPDRCGYYVELRHGSVFGQDGTTGKPYATGFKTG DVVTVRRCHRQIHFEKNDQELGVAFELGHDEGQQDLLLFPVVSMYYHGATLTLVPSPP KCTNAAGQL H257_02788 MCVGDAADVMSITTDAVATLYTNPAATLHPCLQVIHVKEIQEGV SSPHCVVVLSDGAYCISGLVEPGVLMAEGSCNRIMHACCLVQLVSYTPKFVAGNLIIV VDRLVHVACASTRIGLAHPTFFNVAPSSMSALLQAQLDLLQFKQHQQDSFLASVRQNA RAAADMITLNVGGQRFQTAATNLLRHSPSYFTMMLSNDSPPPPLHEYFIDVDPIHFDR VMAHLRTGDALSYGGLSLWETLQLRKTVRYLGLELHCTTTTEFMEHMTSLKAPSPSSA A H257_02789 MSISQFYILSSRGDSILFSDYRGDVPSDSSEVFFRKVKFWDKGH AAPPSFHVDGVNYLFVKKNALYFVATTRFNISPSYILELLTRLCRVFKDYCGVLTEET LRKNFTLCLELLDETLDYGYAQDTSTEGLKAHVHNEAILVGEAAMAKAANNSMFKSNV KAAVSAHKPVANAKAGASSKDKQVNELFCDILERLNIVFSSSGAILNSSVEGRIQMKS YLAGNPELRLALNEDLIVGGNASINHSGYGQVVLDDANFHECVQLDEFERDRVLVFTP PDGEFTVINYRLTGRDFRAPFRVFPVVDEISPTKIEVTVKIRADMPDNNYGANVIVRF PVPQNTIAVSCDDVGKKASGNQLAEFRQSEHQVRWAIKRFPGGAEMTLRAKITLSAPS PHVRREIGPVSMNFEIPMYNVSTLQVRYLRIPDHARHPNYQYKRWVRYVTQSNSYVCR I H257_02790 MAWQQQEQPPSCVRGLCRYKTGKCTNERAIKTNGHPHNLCNVHR IKQNLNQRKMDSKVRRKKSRNMATTSSSSSSPRRPLPDPFTPQSRYAIEQSPLGNAMS STLMRQPLVQYTQPRHHHVHDQKTPPGSFLHHHSTSPAPSTWHTDHANDDNMITVPTP LYLKGQEREAFRSRVLQKLLHILSEEAQPTQKCPPQGGYHHSFSSYSQDHLSPTYQQH PHHELPYRRDLVEHQHYHDQPQARSPSPPYFQRDHNPWMQQTGGLKVHTSPFGGRYTS MLPPLSTLHATPGDF H257_02791 MMLPTRMQVAVRLRPRLTSESHEAEAVLATSSHRVQLLTTPRAR RPVSYKFDHVFTPHDSNQAVFDAFLAPLVLSVLEGTHATVLAYGQTGTGKTFTMLGRD LWGLCAGVDKANTNDAYWLADDEPTQRGLMYLVADALLASRRGPVTCSYLELYNEKVY DLTQGDANESGDKVPLDLREDAVHGVFLPDLRVLPLHSLQTLTDILWTGAQTRASRAT NMNERSSRSHTILQLHVDTTDGTTATMNLVDLAGSEKCKKHISTPRHHTSQDMKELKF INQSLSTLAQCISALIRRDRIPHHQWSPTAIPNSSVHIPYRNSKLTRLLQASLGGGGL CAFIVTLNPCKSSAQETLSTLQFASRAMKVTCEPCADPKLVTNQRDPKQPDDGAIVAA LRTEVQALRQALASERLQKRTLLFGFTSLLNGDAHAKAATSSHGDEKLAHDLWGKLCD LEHAMESQLHDVRASKLALSTTAKHEPQPTIDHLDVSGHPEDEVGSSDTTTTTTCWDE YVDAATGFKYFHNAATGVTTWTKPPELLRLANAEPTSSK H257_02792 MRKKSQKGKHPSGIGLVSTNLVDGADSDSSGNDEQPGDGVVEPF LLVDSVVV H257_02793 MSMDDPLGYVVEKMVQKSIEDLFNSPEFLEELRLASMPGALSAQ SSATLRDYFSADSSVGGMVHLLFEDPKVLFTYRNTPTYAECARLYHLQNLMTSSNFAQ SILDTFLAVETTSARTQLLTNLQRFEPVDAMNAEAISRVCTALFDKTTVALFFSLYDD SHVAFFQGILFQIGRECMKELTLRFCDDLFCDSPDQQAQWDVVPYQILAQVFPCTSMP SLVPKLPPGLDLAATSLDYKFPLMLYTCDFVTDLIQENRPDTVGRFIVTQLTTNPVLA AALVDGVLTDLQTLPTPLSCESYGMKILNSMLQLHHCGCISRHQEDSQTAREVDCQGT LNALWTAFVSRLPSFLDVLHIPPHQTFSLKHVQLLYLLYPVLRVSCIAVDELLMQDRT FETLLALVERYPNANILHTAICRLFITCLEDCPVMFGQELQTRRTIWDPLRNSLLRDA IFQTVIKGCHSRYVTCFKDIAMSFDELMMQTPPVAADIAAMWHEFATTDLEDIRAEWA INLPAPNGNGVENHLIQLSKQALNGSTSDLMIDENVCDDDEHDPYPTAAALVMDVPGR KMSLPTSPHSDARKLAEVGSATTTTTTLSQLKTRDNMDDNVVGGRNDDGDSSRLDTIA PASSPKKDLLSPLHALYDSEENDLIRQFSKANAEPVVR H257_02794 MEAHFEKVFEAEKQATRDGRPASDAAHGPRPSKRVKHVVRPAAA VDDKISAADDEVSAEFTLNERVVKQMVSSLEKKLHKNELMRSKYPTDPSKFMDSEIAL HEEITRIKDVATIPALFHVLIQSQAIPLFVQLLTHENMDIRMDLIALLADVTDVDDGG EMEIAQTLVRGIVDLNGLPLLCDTLVTLTSAANPINEHEDDPSRVAAIYNTLHIVENL AELLPDCCKAMATSTPMLNVLLRQIHKAADMTENRLYCSEILSIVLQSADDATCMALV ASPGTEDRLDLMLQVLAAYRKKDPASVEEEELVENVFNALCSALRVPAVQDRFRSLEG FELMLRCVKENKFCTTSALRVTDHALMNHTRNCERFIQIGGLKQLFPAFMGKLKRKKR EEGTQNEHIVSILATLCLWLPVESSRDAVLDRLHAKFLEMHFEKTDRLVDLLLAYYGR VHALPKPEKKGGDEYDPREYEEAVEGYRLHQLEVGLYPLQQLAFITCHLVQVLPSLRT HVSDKLHAYGYTVGTVRQLVVDQVVSLAAEDEEEGEEEGGDGSKSGRDKQGTTSGGGG RSIQFHRLTDLVAALAHVDPEIIPTETAE H257_02795 MGCCLTRCIPDGKMATQFEAELNEDVFVNADQLRTKSTFSGHAS LLHADEVHCVQEIGKGDSGIVYKGTYRGSTVVEKRMKMSTHKPTEAMEKSLEVVASRM SSLRHPNTVLFMGVCLRDQAFCIISEYCSRGSLYDILHTTKESHLHTMHTSGDRINED KESSEGGFHWHLRCRLALGAARGLLYLHSASPPLVHGQLTSANILVDDSWNAKLADFG TRQVSQAVQSKPRHPAKTNGRLPYWTAPEILKAVSDNVDMTAENPQGADIYSLGLILW ELLSGEVPFAECVDYIDLRKCVLAGQRPAIQGGSACPVAWTELITKCWSQNATRRPSA AQIVATLEEMLRSEPMMRKKSWRRKGKQHRSRRHKK H257_02795 MGCCLTRCIPDGKMATQFEAELNEDVFVNADQLRTKSTFSGHAS LLHADEVHCVQEIGKGDSGIVYKGTYRGSTVVEKRMKMSTHKPTEAMEKSLEVVASRM SSLRHPNTVLFMGVCLRDQAFCIISEYCSRGSLYDILHTTKESHLHTMHTSGDRINED KESSEGGFHWHLRCRLALGAARGLLYLHSASPPLVHGQLTSANILVDDSWNAKLADFG TRQVSQAVQSKPRHPAKTNGRLPYWTAPEILKAVSDNVDMTAENPQGADIYSLGYGKP TYFI H257_02796 MSTSSGESVPMDSTSSPTGSTMMAMSVRVVAEKMSRTLQLPNEL PYWLAANDAVAAASPGATPAAKLRRARLAQIARAAQRADIAATLASIQVHDVASRAKI SADMASAAVVAANAPATPDSSTPTDQRSKPTGYTIVSFIKRRRAHNDPEFTYVAKVRT DQGAIATHLFLESELPNRAETVSIFEAYDAYCNTSPPPFPTTYSAWLKSQTHRRLPAS ASPTKQCLPEAMRIAAVKLAATLNFSDGDFVDFKKSQIIEGTWGVPLNAIRTFLYHLA GFGLKLDRAALKINHVGHASGTVQDNLRRVLPSLQTHALVILADETLSHAIGIYSIEE TTGFNQVIYILTLVLQPPSLSKGAKKARKRSANQDAMTASNQTPHASRLENATDADPG LTADEESVRCHAATMAPKFGAIRDKMASPGRSKREKWPPQPNTVLMTTAAPKT H257_02797 MYATRDRSTFIAAVSLDPDAFDELLVAFFMHYEFGHANGRGGRP PKCIQKHEAPSLLLHFYCAPCEGKTLCELFRMAPATLARTLTKAERALAVALKSVPDS FIWYPSKQQQRRWAVMVNRVEPLVRGVWSFLDGKNYHVKAPTAPDLQNAYYNGWLHCT FVTGTSLFGVDGTIVWGRHSFVGSWNDADTSSPLRAKLLDEKFTLRGHGVVSDSAFPV SGSMLGKIRTPLKDGDLDRASPECRAGLSRMSAALT H257_02798 MAIRTKPSTSSLSAAMLPRIMEYAGKSQTGVTLQEFLKFGSHIS PSKLIHSAKFLHAELPIRLSHRVKDLDTLPYGLCQMPSVQLVKKWYVKSFEELVAFPR VRTSEDERAFAALVESIWERHNGTLYTMARGVYELKKSMLASRSAGKPTLSLSDMHDL HTFLDKFYLSRIGIRMLMSQHIALHERENGWVGCICESTSPAEVALAAVHTARHMCIR QYGDAPEVELHGHTDFVMPFVPSHLHHMLFEVLKNSMRAVVEFHGVDNPLPPIKLVVA DGDDNEDVSIKISDEGGGIPRSALPRIWSYMYTTANEQAFASLEGDTPMDFGGESPLA GLGYGLPISRLFARYFGGDLQIISMEGYGTDAYLHLKRVGDASEPLP H257_02799 MTADDGLRLLGGSSGFKEEDLESNRVILFIFLVVLFVATLELAL HHIHRVCRQHPKYAKMLHKTTQELMIVGLIYMLVKFCVYSKLAEKGGPVYYALDAADV FVFFVTMALVFQAIVVFIRLRKSNIEMDKLSVMSAVDLVAIARQRLDEAAASKSWWRQ FRAWRKYEDRMEMKLLGQFFLNVYELPQLFSFAKYIREVQDSQIAKFIEVDLSTWVLL LGVFALYFASTGQLNSPYRVSESSIRLVAFATFVCCLTVAMLGFFFYLKHLVTVLLQH ATDRALEGSAMTLIVPLDGNAKKRKMEFLFEAMNDVIEKESHEDADLPADEAIARMRY VADKLYHGKVRDHSWVKADLFCQLIMSGYRKCRGKSSVHQNSLRCKLMHDDKPIRLPF FSRKACHVVLQSILIANGFFYALLINCVLVVTEWNQVVAILLLLVPLFFNTLVLAPDV VRQFSILNGTWRVGRKKLSSVIEHFAQVEKMKQRMCVQIHTFFLEHQKTLADLQAALE EADAADADANDGFIDLDVCRETLKDFGFLFARHKFNTFVRLEFDTKGETIRYSDLLEI LKHVPTSEAMLKHMHSMAV H257_02800 MVFVTLKYIIIGDSEVGKSSLLLQFTEQTFAGIHDLTIGVEFGA KLLDLNNRKVKLEIWDTAGQETFLSITRSYYRGADGVLLVYDIGKRSSFEHLCRWLQE CQQNCMNPSLEIMVVGMKADITEDLRQVSYDEAASWSARHGLHFIEASAKTALNVETV FVESATTILHHFDESNQARMQETSRKVQLTNPVVPAHVPTNECCSQA H257_02801 MLGRNMTFCLTPKISSSITMTAPTALIPVANGSEEIETVCLQDV LVRGGIQVTLASVGGNAQNVVKMSRGLHIQADVAIAECVHNSYDVIVLPGGMPGASHL RDCPDLINMLRRQKAGGKWYGAICAAPAVVLHHHELLPPGPVTSYPSFQDKMPGVVYS SDRVVVTANCLTSRGPGTAMEMGLKLVEVLCGSKKAAAVADGLLYQSL H257_02802 MQGRSTALGIAQAAIALGTAVYFPRWYGSFETSHPEALEVAVVM LGVLTFAVLAITTPPNIHGNKLLGLAFGVGATAMGAVCSHVIIVFFGAPVFQLSWKTF LLALVVSILTVPHVALHFRPSSTGASPYIDLLLHAKYRNASELQLAWTSIGTVLGAYI GTVFIPLDWDRPWQQWPLSCVYGALYGHVVGIALSVVVAFTGASASVGLVQSKRE H257_02803 MSSHTLEQIRSAHEDIESYERAIVSILSEKPRNHRARVLHGHKV SGLLDSVTVRSADALKLYKDEEGTFKEEIDTMHGRTIFTSFYDQLKAMRDFHRKYTNI QVSHEPVLDHIMNPEIPFSGEERFGKYLDLHAFYTQYLNLPVFQSVNKKAAADTTNVV RGRTPEYKQVHADYITYLGRVGDLSKIPPRAKLADARYDAYLSSLVEYLLSFYRRTQP LVDVDDVIRETNAAFAKDWSLRRVRGWQVDEHESNETFCRPCHKQFASPAVFQGHLKG KKHLKAVDSGTNNGGDDTRREQLARLEAQAKTLVSLLTEVVHATISFLELKQTRTPEE LQAEIYEEEEGGLSDVDVDNDHPTGGDDDEQPFYNPLNLPLGWDGKPIPYWLYKLHGL GIEFKCEICGNHSYWGRRDFDRHFQQWRHAFGMRCLGIPNTKHFHDITHMADAIALYE KLKGQLEIETLNKANEEEFEDSEGNVFNRKTYEDLARQGLL H257_02804 MINPPIPLSSMGDRLASLKVPELKGLAKQMSLSISGRKQELIDR IVQKCSQFHTLQSAGSLSDTQSHFYTQHMVNGYREMEQLLLGTTSMQQPSSTPGNIRM MKAPVANAHPSSVSAPGGSWGPLHATNGGGMAVNGAAILHHANAFAMRGGPPPAIEIT VGYAPELNNARCLCPVKASRGSGVSTCAKCATAVHSKCHQTTQMTDSWHCEACRAVVF EPFFRVQTTFGFPTFLRFTASNRQQIQYDIPPDDLVAMKQRKGTQPGCLELQLRCFAM KDPLSEGHCWPTCCTILVNGYACALVQRAVPGQTNTSKVLREQPLNLLPMSRHGTNTI DIRTADSLPNLYVFVIQRVEKQDLDHLLQHVVAQSELITYAQAKADVIKSFGGDDDDD IVATCTMLSVRCPLGLCVIDLPARGIHCQHLQCFDLKTFLLFNRTARSRAWKCIVCHK FIALDDLRIDPYLKQLLADVRDDEELEEVEIFPDATWRKRVTEDDPSDKKPKPATDDK LLLDIIPIDVLGSPRPAAAASVPLDSIDLTLSSDDEDEVRPLADLLHPAPPSSSSSMP HVLWNAASTWTTTPAQPQWSNASAFGGMLDVTYDDPWASPPPPALAAAAAPGGFAGFS APTTSSAPRLPSLAAQAPTNPSSSLHPSSSFSSTPGFAQYYTNGGGGFDKDSTPPPLG DAWHQGAAKARKRLHRGDQVLPPASFPQPPNPQTHTARSHGFTSSSSSSSNGGGPPKS AMSMANVIYLDDDSE H257_02805 MAAIAEFILTHCKHSGGNMVNGDLPQAIAQASVEELDDTLECLN AIEYAAAMAYLLLAKGKKLHIHGGGDGGDGKVDEDDDDVDMGTPASVHDDHHVSFLLE VAAYLSQLSSLPVEPKDAITLDELVRLAVQVGIARGAAIRLVHPLRQLLLRWQSSGPA HPNSLTPLHSSFALACVHAKCYHIAVAILDQPIFDVAHPPAAVQSIHVLEYFYYGGMV YTGLKQFHSAAAFFLMTLTVPANALSAVAVEAYKKYMLVSLLAANKVAPLPKSAGLVV SRSIESHVGPYLAFVTAFASAQAATVATAAAAIPDNHGLVKQCVTAFKKQSVQRLTNV YTTVPLVKVASGILDSGSSICDAEACVLDMIEAREIAATIDKHQDMVQLLGVSSSSLE ELQATIEVAMECTARLQDVDLALAKNPKYIGRVKDKRAKFAGDDGASAWQGGPQLSG H257_02805 MAAIAEFILTHCKHSGGNMVNGDLPQAIAQASVEELDDTLECLN AIEYAAAMAYLLLAKGKKLHIHGGGDGGDGKVDEDDDDVDMGTPASVHDDHHVSFLLE VAAYLSQLSSLPVEPKDAITLDELVRLAVQVGIARGAAIRLVHPLRQLLLRWQSSGPA HPNSLTPLHSSFALACVHAKCYHIAVAILDQPIFDVAHPPAAVQSIHVLEYFYYGGMV YTGLKQFHSAAAFFLMTLTVPANALSAVAVEAYKKYMLVSLLAANKVAPLPKSAGLVV SRSIESHVGPYLAFVTAFASAQAATVATAAAAIVMLCIAIPYI H257_02805 MLIDGRLCRLAKGKKLHIHGGGDGGDGKVDEDDDDVDMGTPASV HDDHHVSFLLEVAAYLSQLSSLPVEPKDAITLDELVRLAVQVGIARGAAIRLVHPLRQ LLLRWQSSGPAHPNSLTPLHSSFALACVHAKCYHIAVAILDQPIFDVAHPPAAVQSIH VLEYFYYGGMVYTGLKQFHSAAAFFLMTLTVPANALSAVAVEAYKKYMLVSLLAANKV APLPKSAGLVVSRSIESHVGPYLAFVTAFASAQAATVATAAAAIPDNHGLVKQCVTAF KKQSVQRLTNVYTTVPLVKVASGILDSGSSICDAEACVLDMIEAREIAATIDKHQDMV QLLGVSSSSLEELQATIEVAMECTARLQDVDLALAKNPKYIGRVKDKRAKFAGDDGAS AWQGGPQLSG H257_02805 MHNPTNIFGVVDELVRLAVQVGIARGAAIRLVHPLRQLLLRWQS SGPAHPNSLTPLHSSFALACVHAKCYHIAVAILDQPIFDVAHPPAAVQSIHVLEYFYY GGMVYTGLKQFHSAAAFFLMTLTVPANALSAVAVEAYKKYMLVSLLAANKVAPLPKSA GLVVSRSIESHVGPYLAFVTAFASAQAATVATAAAAIPDNHGLVKQCVTAFKKQSVQR LTNVYTTVPLVKVASGILDSGSSICDAEACVLDMIEAREIAATIDKHQDMVQLLGVSS SSLEELQATIEVAMECTARLQDVDLALAKNPKYIGRVKDKRAKFAGDDGASAWQGGPQ LSG H257_02806 MLAVQLKQTSSIDVIKPMRAYLSNEYSEDEAKKFDPALESFAQM RKDVELVRTPSSISRQVLLRYIAQLDLINTRFPVSDTKVKLPFTWFDSFCPRQKLTQY SVQFEQAAALFLVGALDSQSAVACDRSTPEGIKAACNYFSQSAGAFAAIQSLGLTATT TVDMCPEGLGMLVNLMLAQAQACFYEKAIKDKMKDGIKSKLATQAVAFYSSALDFCNS AAMKAAVDRLWSIHIQFQVLCMKAAAQFWQGKASKEVAVAKGAGYGEEISRLATADAL CGQAIALATQSKLPPSLPASVRALQAIIVETLNKARKDNDTIYMETIPALAALPTLTA ASMVKPIVPEKERAVDLFEGLVPTWLRQKCADNDAIYQSLVTASASQVSAYNEAARVH LASLGLPASVEAFEKGAGVPAALWRRIEACQAQGLTAPISRILDENKRSKAHVEERLR NVENYLRDEAAEDADAKRTYGSQWAMRPASSALSDGFVADVDRYHKLLRDATQSDLAI QSSLTSPALAVLGQTHDELQRRIPERDPAAATVDTSLLNSLMVALGVLIQKRDELQKD LAGRAAVAPHALVLAATGDDSVFAAKQTELEQLKAQIEGTFAEQGDLITDISERNAMF KQARQADPITRQREDALMELQKAVDLYEQLLGNATEGGVFYSELTQKVAQLEQTVMDH CHARHCEKVELEMNLQSAQDSELAQRLHDTALHDQLQTTHSVTDQVAADAAFAASLAG QSSPGNHPAGRGFPPAVNAPNPPPAYGGAPPAAAPAYAPSNHHPSNPYATNYNQTHNA PPQYNQAPPHYNNQPPPQPYPHYNNQAPPPQYAQGPPPQYPQGGPPQYPQGGPPQYPQ GGHPQYSQGGYHQQQGYYNQPSYAQPAYGTHPSPPPPPPHQQHQYNSSPYGYQPPHV H257_02807 MNNSYVAGADAYVKGNFAITSTGVVMKGTGRAFTVNPSELDWGD TIGRGASGTVVKSRHRPSGTILALKLINMNDKGKREQLMREIHALFDSECLCLVTFYG AFLKDSAVALALEYMDGGSLENVVHQLGALPERILANITYQILCGLSYLKSRKRVHRD IKPSNILLNSKGEVKLTDFGIATELHNSVAMCGSFVGTFKYMSPERIQHKPYSYSSDI WSLGLVLIESATGQYPYQQPKTTIDMIQSVLESPPPSLCEAFFPPDFCAFVRYCLHQS PTDRASVDDLLQAPWFTRCGALDLDSARQNIFAWISDECRS H257_02807 MNNSYVAGADAYVKGNFAITSTGVVMKGTGRAFTVNPSELDWGD TIGRGASGTVVKSRHRPSGTILALKLINMNDKGKREQLMREIHALFDSECLCLVTFYG AFLKDSAVALALEYMDGGSLENVVHQLGALPERILANITYQILCGLSYLKSRKRVHRD IKPSNILLNSKGEVKLTDFGIATELHNSVAMCGSFVGTFKYMSPERIQHKPYSYSSDI WSLGLVLIESATGQYPYQQPKTTIDMIQSVLESPPPSLCEAFFPPDFCAFVRYCLHQS PTDRASVDDLLQAPWFTRCGALGMFLYQVACCRS H257_02807 MNNSYVAGADAYVKGNFAITSTGVVMKGTGRAFTVNPSELDWGD TIGRGASGTVVKSRHRPSGTILALKLINMNDKGKREQLMREIHALFDSECLCLVTFYG AFLKDSAVALALEYMDGGSLENVVHQLGALPERILANITYQILCGLSYLKSRKRVHRD IKPSNILLNSKGEVKLTDFGIATELHNSVAMCGSFVGTFKYMSPERIQHKPYSYSSDI WSLGLVLIESATGQYPYQQPKTTIDMIQSVLESPPPSLCEGTETYDVDLYSTLRSVLP A H257_02807 MNNSYVAGADAYVKGNFAITSTGVVMKGTGRAFTVNPSELDWGD TIGRGASGTVVKSRHRPSGTILALKLINMNDKGKREQLMREIHALFDSECLCLVTFYG AFLKDSAVALALEYMDGGSLENVVHQLGALPERILANITYQILCGLSYLKSRKRVHRD IKPSNILLNSKGEVKLTDFGIATELHNSVAMCGSFVGTFKYMSPERIQHKPYSYSSDI WSLGLVLIESATGQYPYQQPKTTIDMIQSVLESPPPSLCEGTETYDVDLYSTLRSVLP A H257_02808 MLLLWPLSRILSSPRNAKSPKAVDGDDALTARLALERTALQMST SRLNWELKFDTVNPPANSFWRRRRSDSSSSHPPFGHSLACIKLHVEVALHERAITVLS TVPATVPWHHHLRRDVLYRTLAIQAKWLAAILAHAKSELGSSAAFRRRSADDYVTSPP QLIRAWSFHDDMVPTFCSHRETVSRWHTVLQLSPQQVLPPTDQMPSSHYLSFELFLLE TCFDKSDIGQRLRHIAATAYASPQPPSMPPLHPLVDQIANHLIHEHGLVPSCRRPTLN ALVRQMVHIRLASAYYRIYAPTLASAARRYDLLKPRMHQLAVQDLAEVHVQQELALPQ TRAALEAMPTFIPDTITGSYMSAIGTLHAEVGAALQVPPSSLSADVILPCLVLLFGQA NLPHLALQVHVMEMYLMTHGEGEVAYYVALAQAALGAT H257_02809 MFKSTSTIARGVGRLSNMVLTKGSGSFVWNMEGQKLLDFTSGIA VTSLGHCHPRIVEAVQKQAAELVHGQTSVAYHEPMLKYASALLPLLPRRMDSLCFFTT GAEAVENAVKLARHATEKPNVIVFQGGFHGRSVGAMSLTSSKTIYKAGFGPLMSGVFV MPYPYTLHSPFSDPAECSAWCLEQVELALKQQTAPSETAAMLIEPILGEGGYVAPPPG FLQGLSSLCYEHDILLIADEVQCGFGRTGSMFAIDGQYDVVPDILVMAKGIANGYPLS VIASRNELTDLQPPGSMGGTYAGNAVSCAAALATLDAFEEENTLINASVRGAQLVAGL TKLQDLFPILDVRGVGLMVAVEFDKSVPPGTVSRICQACVEYGMLLLPTSVFETVRFI PPLNVSEGEIDLGIAIFAKAMSQVLAPSTPQ H257_02809 MFKSTSTIARGVGRLSNMVLTKGSGSFVWNMEGQKLLDFTSGIA VTSLGHCHPRIVEAVQKQAAELVHGQTSVAYHEPMLKYASALLPLLPRRMDSLCFFTT GAEAVENAVKLARHATEKPNVIVFQGGFHGRSVGAMSLTSSKTIYKAGFGPLMSGVFV MPYPYTLHSPFSDPAECSAWCLEQVELALKQQTAPSETAAMLIEPILGEGGYVAPPPG FLQGLSSLCYEHDILLIADEVQCGFGRTGSMFAIDGQYDVVPDILVMAKGIANGYPLS VIASRNELTDLQPPGSMGGTYAGNAVSCAAALATLDAFEEENTLINASVRGAQLVAGL TKLQDLFPILDVRGVGLMVAVEFDKSVPPGTVSRICQACVEYGMLLLPTR H257_02809 MFKSTSTIARGVGRLSNMVLTKGSGSFVWNMEGQKLLDFTSGIA VTSLGHCHPRIVEAVQKQAAELVHGQTSVAYHEPMLKYASALLPLLPRRMDSLCFFTT GAEAVENAVKLARHATEKPNVIVFQGGFHGRSVGAMSLTSSKTIYKAGFGPLMSGVFV MPYPYTLHSPFSDPAECSAWCLEQVELALKQQTAPSETAAMLIEPILGEGGYVAPPPG FLQGLSSLCYEHDILLIADEVQCGFGRTGSMFAIDGQYDVVPDILVMAKGIANGYPLS VIASRNELTDLQPPGSMGGTYAGNAVSCAAALATLDAFEEENTLINASVRGAQLVAGL TKLQDLFPILVS H257_02809 MFKSTSTIARGVGRLSNMVLTKGSGSFVWNMEGQKLLDFTSGIA VTSLGHCHPRIVEAVQKQAAELVHGQTSVAYHEPMLKYASALLPLLPRRMDSLCFFTT GAEAVENAVKLARHATEKPNVIVFQGGFHGRSVGAMSLTSSKTIYKAGFGPLMSGVFV MPYPYTLHSPFSDPAECSAWCLEQVELALKQQTAPSETAAMLIEPILGEGGYVAPPPG FLQGLSSLCYEHDILLIADEVQCGFGRTGSMFAIDGQYDVVPDILVMAKGIANGYPLS VIASRNELTDLQPPGSMGGTYAGNAVSCAAALATLDAFEEENTLINASVRGAQLVAGL TKLQDLFPILVS H257_02809 MFKSTSTIARGVGRLSNMVLTKGSGSFVWNMEGQKLLDFTSGIA VTSLGHCHPRIVEAVQKQAAELVHGQTSVAYHEPMLKYASALLPLLPRRMDSLCFFTT GAEAVENAVKLARHATEKPNVIVFQGGFHGRSVGAMSLTSSKTIYKAGFGPLMSGVFV MPYPYTLHSPFSDPAECSAWCLEQVELALKQQTAPSETAAMLIEPILGEGGYVAPPPG FLQGLSSLCYEHDILLIADEVQCGFGRTGSMFAIDGQYDVVPDILVMAKGIANGYPLS VIASRNELTDLQVPKYILSHIYYYIALFNDTLFML H257_02809 MFKSTSTIARGVGRLSNMVLTKGSGSFVWNMEGQKLLDFTSGIA VTSLGHCHPRIVEAVQKQAAELVHGQTSVAYHEPMLKYASALLPLLPRRMDSLCFFTT GAEAVENAVKLARHATEKPNVIVFQGGFHGRSVGAMSLTSSKTIYKAGFGPLMSGVFV MPYPYTLHSPFSDPAECSAWCLEQVELALKQQTAPSETAAMLIEPILGEGGYVAPPPG FLQGLSSLCYEHDILLIADEVQCGFGRTGSMFAIDGQYDVVPDILVMAKGIANGYPLS VIASRNELTDLQVPKYILSHIYYYIALFNDTLFML H257_02809 MFKSTSTIARGVGRLSNMVLTKGSGSFVWNMEGQKLLDFTSGIA VTSLGHCHPRIVEAVQKQAAELVHGQTSVAYHEPMLKYASALLPLLPRRMDSLCFFTT GAEAVENAVKLARHATEKPNVIVFQGGFHGRSVGAMSLTSSKTIYKAGFGPLMSGVFV MPYPYTLHSPFSDPAECSAWCLEQVELALKQQTAPSETAAMLIEPILGEGGYVAPPPG FLQGLSSLCYEHDILLIADEVQCGFGRTGSMFAIDGQYDVVPDILVMAKGIANGYPLS VIASRNELTDLQVPKYILSHIYYYIALFNDTLFML H257_02809 MFKSTSTIARGVGRLSNMVLTKGSGSFVWNMEGQKLLDFTSGIA VTSLGHCHPRIVEAVQKQAAELVHGQTSVAYHEPMLKYASALLPLLPRRMDSLCFFTT GAEAVENAVKLARHATEKPNVIVFQGGFHGRSVGAMSLTSSKTIYKAGFGPLMSGVFV MPYPYTLHSPFSDPAECSAWCLEQVELALKQQTAPSETAAMLIEPILGEGGYVAPPPG FLQGLSSLCYEHDILLIADEVQCGFGRTGSMFAIDGQYDVVPDILVMAKGIANGYPLS VIASRNELTDLQVPKYILSHIYYYIALFNDTLFML H257_02810 MNFSIGRTIGATFSTSAKIMTEQGAIYTSIQKKLQDAFQPTHLE VLNESYMHNVPKGSETHFKVVVVSDEFEGKPLIQRHRLVNQVLAAELDGGVHALSIQS KTPTQWAANNTVRPSPSCLGGMLHDQKK H257_02811 MTDKLASQRAIADAYFAATAAPSWTAEVKNELETFLAHQTSIGR CVVVVTSGGTTIPLERNTVRFIDNFSTGSRGASSAEYFVKLGYAVVFLHRPGCVMPFA RHFQKSMGRDMSFQLLEHLAMAKDGHHMEISSDDRVSQARCVDALKSYKQAKQLNILH PISFVSVNDYFYALQLVAAAVAPLKERAVFYLAAAVSDFYIPDAELVEHKIQSHATVD QGLSLQLQNVPKLLGLLRHVWAPDAFYVSFKLETDETILKQKAQASIDNYGMHLVVAN ELKTRFDQVWLITKDAHTRLDKPEDDLDIELALTNAVSEMHYGFLASRHVHLPTSLPP AAAGTKPWDAPLRTLNQAVDEHKHEIVAVLLGGAISMLIHLVQRQYLK H257_02812 MELHVSRTVEALVAATYLANTDQVVALTQTHLQRSQCASENAAW TSMELPDRPRNVTWNALKDVAVWSNASQTACYVVDARTLEQFHIQLPDTTSHMECVAV SRRASHVVFESLHHLHVWRRRMQTTDGTWLTLHLPLQNPSARPWHAISQSRTLGSLLL VGSVITRPSDDGNVNLICTTDYFDLDDVTCRVVAAKATSISLEHGSVVLDVCFQASRH ALAIHTSTFVVTLDLHSQTHSTQAADAVTSCTWGDRGLYLSYPDGRLQLIGGPSMLLK APLTPSQKLACFSENLLVYDQQTLHVYQLSTPPPPSTIAHAAAASAPLHTSSQPTISS MHPFLRLHSPLPSLVPLINQFVRQSPTDTPPAQWLTAFVHLAKIVCVSHREDLLPKLT ALAMHGLHLSWPHSMRQLLGLLLRHLQPLSPATVDTFAALLKETPSQTPTTKPMSSLM SLRYCTAIDSMRHAQASPLPFAHPPWAPTLASYYHHTFAASPHELSSWVPILYDMRKV FYLVDKALLRRDPQDLRYLRLVAVVMTCHFVKLTPLVPSLPYAVGGNNSPPLMALTMW ETGSSSRPHDPQWSLSTAIVLAMASDSVPFLLALCLQFSTSHTDEFVSTVCRQQPTHN VPLVVRCLMRQLRRMYASMPLLPYHPRRKHFDNRQSLNDVPNCTGSKCPPPSTSTTPT GLCMSQIYRLYTMTQPSIPLDLVASSSNDEMIAAQFAVDTLRRIKRFLLILLPSPSVM SSLGSVPPSVGQLELAHRVDPHCIWPFLDHPSTATSSQSSPLLAAFAATAWACIVREL AAQNHLHPRPRHGCWHLARLSSAAVFRKSDVEIRTAQLQHLAASVRDCPGEVVDALAF VLSVGTKAVMAKRTAALVAMCDQAGHTSQRSVEAVRAWMADRRGHATAWDPWFWAFCT ALTDLETVPVQRCRTIHTTIHEEEVAKDRGATDVSDAAARTSSLLAPSSKAAPSRQPP LQLMQARRSLSDSSMKQQTSASSSVANVLKLLQLQKAKHVTASSAVDTWSHETSVKPS TPPRMPAPVRRGAVQPLVFEDVSPPPLVALKLLRKCHSSVENPTCRVSLRPRDFFSAA PSSSGFVTDPNGVTDIAARGTQTTPPPLEVVNGEPCRMALPVESGPSTNTLDSTAEAI SSGAPKVSVTSTATMTTRTTDNASQTSAQGVSYSSEGRMQGQPNTRNVAPNFPVYVAI QPGPHSERGHGGYLHVADLDLSRSDASSSAAIVYRTRLETREDKETDGGDGIAASTLF HHNQTIVENSNEASHDADPLLEAYENVGADDAEGGVRTDGTEEHREVGSTRHVVAGGR VTSMKEQMQTMRQRLQHIESLADMIDSEFAHSHELITQMEDRRRGLSGLLDRGFASQL QRLDDATATMQHSLEVANDKCRGNLVESAAAKPTSVLAKKAADGMANAKQLLRQLEST LALPGLTDNI H257_02812 MELHVSRTVEALVAATYLANTDQVVALTQTHLQRSQCASENAAW TSMELPDRPRNVTWNALKDVAVWSNASQTACYVVDARTLEQFHIQLPDTTSHMECVAV SRRASHVVFESLHHLHVWRRRMQTTDGTWLTLHLPLQNPSARPWHAISQSRTLGSLLL VGSVITRPSDDGNVNLICTTDYFDLDDVTCRVVAAKATSISLEHGSVVLDVCFQASRH ALAIHTSTFVVTLDLHSQTHSTQAADAVTSCTWGDRGLYLSYPDGRLQLIGGPSMLLK APLTPSQKLACFSENLLVYDQQTLHVYQLSTPPPPSTIAHAAAASAPLHTSSQPTISS MHPFLRLHSPLPSLVPLINQFVRQSPTDTPPAQWLTAFVHLAKIVCVSHREDLLPKLT ALAMHGLHLSWPHSMRQLLGLLLRHLQPLSPATVDTFAALLKETPSQTPTTKPMSSLM SLRYCTAIDSMRHAQASPLPFAHPPWAPTLASYYHHTFAASPHELSSWVPILYDMRKV FYLVDKALLRRDPQDLRYLRLVAVVMTCHFVKLTPLVPSLPYAVGGNNSPPLMALTMW ETGSSSRPHDPQWSLSTAIVLAMASDSVPFLLALCLQFSTSHTDEFVSTVCRQQPTHN VPLVVRCLMRQLRRMYASMPLLPYHPRRKHFDNRQSLNDVPNCTGSKCPPPSTSTTPT GLCMSQIYRLYTMTQPSIPLDLVASSSNDEMIAAQFAVDTLRRIKRFLLILLPSPSVM SSLGSVPPSVGQLELAHRVDPHCIWPFLDHPSTATSSQSSPLLAAFAATAWACIVREL AAQNHLHPRPRHGCWHLARLSSAAVFRKSDVEIRTAQLQHLAASVRDCPGEVVDALAF VLSVGTKAVMAKRTAALVAMCDQAGHTSQRSVEAVRAWMADRRGHATAWDPWFWAFCT ALTDLETVPVQRCRTIHTTIHEEEVAKDRGATDVSDAAARTSSLLAPSSKAAPSRQPP LQLMQARRSLSDSSMKQQTSASSSVANVLKLLQLQKAKHVTASSAVDTWSHETSVKPS TPPRMPAPVRRGAVQPLVFEDVSPPPLVALKLLRKCHSSVENPTCRVSLRPRDFFSAA PSSSGFVTDPNGVTDIAARGTQTTPPPLEVVNGEPCRMALPVESGPSTNTLDSTAEAI SSGAPKVSVTSTATMTTRTTDNASQTSAQGVSYSSEGRMQGQPNTRNVAPNFPVYVAI QPGPHSERGHGGYLHVADLDLSRSDASSSAAIVYRTRLETREDKETDGGDGIAASTLF HHNQTIVENSNEASHDADPLLEAYENVGADDAEGGVRTDGTEEHREVGSTRHVVAGGR VTSMKEQMQTMRQRLQHIESLADMIDSEFAHSHELITQMEDRRRGLSGLLDRGFASQL QRLDDATATMQHSLEVAKGNLVESAAAKPTSVLAKKAADGMANAKQLLRQLESTLALP GLTDNI H257_02813 MTRRAKEQRQKRLELKRTVETAEEIERRQKWTLLLKQIDTPARP RTMSAPQMLTWHSSHAVVAAAGKFELPVRVEHAGSELSYTFNTKDMDINFSITFAGTT SEEYMVHPTRCASHESTIRGCHKVPGPGTVVLVWDNEYSWINSKELSYHVGLAQTSSP PTGVSGRSLLEGELRTRQAKHRVLNESYDNLRLQCTKRAAAIDAIERQLEELQQQLQQ EADLHAMETTEADRVGREVDVVAAELAALSWRTLSPASLAHILEFCPRDDWKQWSTLN RAWHATLAPLLRAKAT H257_02813 MTRRAKEQRQKRLELKRTVETAEEIERRQKWTLLLKQIDTPARP RTMSAPQMLTWHSSHAVVAAAGKFELPVRVEHAGSELSYTFNTKDMDINFSITFAGTT SEEYMVHPTRCASHESTIRGCHKVPGPGTVVLVWDNEYSWINSKELSYHVGLAQTSSP PTGVSGRSLLEGELRTRQAKHRVLNESYDNLRLQCTKRAAAIDAIERQLEVLCPIRHP SSFTNRPHGHRNSSNNCNKKRTCMPWKPPRQTVLAGKSTSWLQNLLRYPGAHCRRLRW RIY H257_02813 MTRRAKEQRQKRLELKRTVETAEEIERRQKWTLLLKQIDTPARP RTMSAPQMLTWHSSHAVVAAAGKFELPVRVEHAGSELSYTFNTKDMDINFSITFAGTT SEEYMVHPTRCASHESTIRGCHKVPGPGTVVLVWDNEYSWINSKELSYHVGLAQTSSP PTGVSGRSLLEGELRTRQAKHRVLNESYDNLRLQCTKRAAAIDAIERQLEELQQQLQQ EADLHAMETTEADRVGREVDVVAAELAGLCGPNCMIYNLPL H257_02814 MDAATLEAAIVAKGNEIRELKAAKADVTAQVADLKKLKADYKLA AGHEFGASAAAAAPKEKQLTKKELRILEKQKAAEAAAAIKTDSADPSKFGDAPLIQSR ELPTKTFVDVANIDKSLAGQSFWVRGYLQNCRAKPKIAFLIVRQGAFTIQAVVTESAD VSKALIKYASDIPRESVVDVFVTVIVPVNPITGTTQHDAELSVAKIFTISKALPVLPL QVEDASRSDTLVFAEGSDYVEVGLDTRLDNRVLDLRTPANQAIMRIQSGVGQLFREFL YSKGFVEIHTPKLLGGASEGGANCFSFGYFGESAVLAQSPQLHKQMACACAGLEKVFE IGPVFRAENSLTARHLCEFTGLDLEMAIKEHYSECLDVFSDLFIYVFDNLNSRFKREL DIINEQHPFEPLKYRNPTLVLKFDEAVAMLQEAGIDQDLLEDLSTPNEKALGALVKAK YDTDFYFLDKFPLSVRPFYTMPDPTDERWSNSYDFMIRGQEILSGAQRVHDPALLVKR MESLGVPETELQTYVDAFKFGALPHAGGGVGLERVVMLFLGLGNIRKASMFPRDPKRL RP H257_02815 MMLSDAVPPSMLSPTSSSNDSIDDDIVDVGDGVELAPVHDDVRD DIICAIFNLPNSTIFYQDFSCALVSTIVYHGRMYPAGDQMCFYSNLFGKETKLLIPYA TISDVSKTSSMFSHGLRIHTVSQKEYSFSSFWGNNRDHCAAIIVALRQKSLGVASPLL SFAPSPPPVSATAAPSPPVDNAPAPPASASADQPPPSSSNSVATSPLTPDEHQHPFMD VAHDTFAISSDEFVDLFLSERAIYGIAEANRRRGATDIVCGEWTPDQDGRVYTRSVTF TQPVDAPIGPKSSRVDVRQSRYHVNDTTMHVDTTTKLHDIPYGDCFKVDDRMIMSWST PSSCDVVMQLRVVFVKSTLWRSLIESRAKAECKQKSVEWLELAKAAVSPAGLPAFPAS LDSVSTDEVASPRTHKRVRAASATTSPFAKDTRQRLPAAEATGVAALEPLKPTRHRPT GGRRRRLASIVIPWAVIGLLVLYIRHIHSELVDIHETLRHVEKHLHLLAPSPPLTTTP QCPVVPPPMD H257_02815 MMLSDAVPPSMLSPTSSSNDSIDDDIVDVGDGVELAPVHDDVRD DIICAIFNLPNSTIFYQDFSCALVSTIVYHGRMYPAGDQMCFYSNLFGKETKLLIPYA TISDVSKTSSMFSHGLRIHTVSQKEYSFSSFWGNNRDHCAAIIVALRQKSLGVASPLL SFAPSPPPVSATAAPSPPVDNAPAPPASASADQPPPSSSNSVATSPLTPDEHQHPFMD VAHDTFAISSDEFVDLFLSERAIYGIAEANRRRGATDIVCGEWTPDQDGRVYTRSVTF TQPVDAPIGPKSSRVDVRQSRYHVNDTTMHVDTTTKLHDIPYGDCFKVDDRMIMSWST PSSCDVVMQLRVVFVKSTLWRSLIESRAKAECKQKSVEWLELAKAAVSPAGLPAFPAS LDSVSTDEVASPRTHKRVRAASATTSPFAKDTRQRLPAAEATGVAALEPLKPTRHRPT GGRRRRLASIGTNCCACM H257_02815 MMLSDAVPPSMLSPTSSSNDSIDDDIVDVGDGVELAPVHDDVRD DIICAIFNLPNSTIFYQDFSCALVSTIVYHGRMYPAGDQMCFYSNLFGKETKLLIPYA TISDVSKTSSMFSHGLRIHTVSQKEYSFSSFWGNNRDHCAAIIVALRQKSLGVASPLL SFAPSPPPVSATAAPSPPVDNAPAPPASASADQPPPSSSNSVATSPLTPDEHQHPFMD VAHDTFAISSDEFVDLFLSERAIYGIAEANRRRGATDIVCGEWTPDQDGRVYTRSVTF TQPVDAPIGPKSSRVDVRQSRYHVNDTTMHVDTTTKLHDIPYGDCFKVDDRMIMSWST PSSCDVVMQLRVVFVKSTLWRSLIESRAKAECKQKSVEWLELAKAAVSPAGLPAFPAS LDSVSTDEVASPRTHKRVRAASATTSPFAKDTRQRLPAAEATGVAALEPLKPTRHRPT GGRRRRLASIGTNCCACMARLEMLNGH H257_02816 MAFLNATRDGFEWHAEVSTPMHVSLGGELINALFVDDQTDGLNS LIVLTRVSATSVALLYVDVNEEEVIITRHHTHTLPLTWTDASVVAEIMDGPHVWLACP SQSESQLLTVQRNELHVLYLILPQPYAFQSAHLIANAAVDPTTTPSTSLDLHLLVHST ENEATTHHWDTVPLPRPPMSAPPRHLPSKRPSPPVPPSPPSPPKRYLPSHLAISCVYV DQAEPSSPSHRLLLGTTAPSLVELVHECVVATLLLPGTPLHIQHANVNLDAAIEHTPN NHSDVYVVTCSNHAVLLVTASHSTLSIVQHFSNVHGAILNDFACDGGDQVLLVTHKPE DERRWVLTDVMSTVVQASAANGGGITQHRSKKSRRKTAHADDNSIQVLVNKLAAPAQA DKLNTIQTALALRANEAHATVAGHQAMLNVKMNVLSMLEHQCRLLWLAHNSRHTVPDH VIDHETPRLPTLLPLVHPLDSSIPQHKPQVPPLLVHPMVLESVVPGSVQHSPSNSTLH LVVTVRNASTTLLENVSVLLAGSNSELAATTTTTMGGSDVLALLGPHARHAFKCHVAL APGLHRRPHVDLHVLGTWGGQPDCLLFDGGRVRVDIADILTLPCVRAPHLASCELLLV TRSCQLDQWLPPRAAKLGLTIHAIKAGMAAVTVSAPNAGMLECQLRQVHSELTPHDIF ALENPLHPTHIQLIQAVLSAMQTELAFESTTQPTPDGRASVQLALDRAMGDLHLAMKR RSDRLQRG H257_02817 MLDTLVSFMFVGALWGCTNPLLKQGSGSAAYQRTSDSFGQYVYE FYCTLTNWKFIIPFALNQSGSIAFVYFLGSSDISMAVPICNSFTFVFTAITSRLLGER PKHPYYTYIGMSFVLLGVAICFHSKLPVARTTDDV H257_02817 MLDTLVSFMFVGALWGCTNPLLKQGSGSAAYQRTSDSFGQYVYE FYCTLTNWKFIIPFALNQSGSIAFVYFLGSSDISMAVPICNSCIHACPYSCIVGEASQ WL H257_02818 MKHLLGKRGRGSHGFHGHGHGLHVRAVHFFLGFQDRDHVVEVAL RAQATSGVMGQHDGHLDAQHTLAHQHVADGGVDVLLVGVTSLDHESFLELHGLGTLRA QLTRHDHFATLGTGFEHEAQHTHGGAAHGEAAQKLVLEGFGLSLRTQTAVGHAFGVEF HGAVGKVETLLHDRRQFADALALFAEHFLRLGGADDDFGAERGHAHFDAGIPIFSQLA LQQLVEFGEEDTVGDELSLLGNVKGHL H257_02819 MMRALMKRVVRGVRHDVEKSQFVCEVRRNNTAQMLGCLMYNING GDMDLYFTHELRAGRGVARALCDEAFKYANDHDLVVNPIYSYVQNVYLPDRATARSAT SLLHTGVAVHC H257_02820 MNNYTAAVPALNALADPHANAIAPVCSPAVAVGNPRNINDVQAA TDDVESRKRLRKVDGNRVTDAEMGTARDRRHIVLGQNAVRMYPGAGAPAWFAPAMQVA LQPIIHAVQALRHTESRGIARMINSNVCQDNDMIEALVDNHGTIPAILAPGMTLGMLK ALPPATVDALLAAYGQVAVGNKAARRSQLCVWLGLKQGFF H257_02821 MRVLVSALVVLVCTILCAAQDLDAEARDRVERMSIDQLLGQMTQ VTINFVVTSEGGGKGLNEDKVLELAKQGIGSYLNTPFQTALGDNYGWNVQEWREAIGQ LQDVHTRTSGTPIIYGLDSLHGANYVKGAVLFPHQINVGATFDPELARRMGHYAGRDT KAAGVTWIFGPTLEPVRHKGWPRIMETFGEDPTVVADMGKAAIDGIQSQGVAACFKHF IGYSATNSGKDRDPASLSDHELLNLFMPPFKAAIDAGVMSGMDSFLSLNGIPMAADRK NSIQFLRNDLKFDGVLVSDWEEIYMMDFHHHYAANRKDAVYKAMTNSSLDMSMVPYDT SFIGYMKNLNSAGEIPLDRIKTSVTRLVKMKLKLNLFDVPVPGADVADQVGDRPSRTA AWEIAKESLVLAKNVDKVLPLDKSKKFFLTGPSIDDIGLMCGGWTLTWQGQQGSSMFP NHLRTIKGAMMDVVNDTSRAEFYQGVDINGTWSDINMAMEKAQAADYTVIALGERTYA EFKGNNYTYELPSGLTEYVKALATTGTKIILVLVEGRPRLLSGIADIAAGVLYAGLPC EMGGEAISSVLFGSTNPSGKLPLTYPKSTDQVNLATPYYGRIGDNCVVGGVTTNCPVE WHFGHGLSYTSFGYSDVRLTATNLTPSLNQTVVTVTITNEGEMTGKESVLLFVSAPGG PETRLLKKYTKVELTSGQATDVSFTLSPDDFGKYVNEIGQGLRKEATAGTYYVSLKYD TLCSEATLGPLCKAFTWSPPSTTTPTDTPASCAKLEILLPPPSLTFFILVSLYMSI H257_02822 MNNYTAAVPDSALADPHANTIASIHAPAVAAGNPRNINDVQAAT EDVESRKRLGNVHGNRETDAEMGAARVRRHVVLGENAVSMYPSAEAPAWFAPAMQVAL QPLLHAESRGIARMINSNVCQDNDMIEALVDNHGTIPALLPGGLTLGILKALPPATVD ALLSAYDQVAVGNEAARRRQLCVWLGLKQGFF H257_02823 MSSDLAAALGKPPASKDLRRALNVDVYSTLESVPPSSSSSVQSV AAFLVAKQTSHLGGDFLQRPICVTSIGSAPNTSLWTPFLNAYFDVSSFHASAISAFAA PRVRMLQCAVPGLVALNVQQVVDAASRHCPPSSSTMLVLTCEALSATSTPVVVNGKLV LIVSVVQPTSSPQPAVQALLHALGVLPCNFFTCVMNANTGFDITCDIPTFVLCPLCLR KCSLAVPQFNIVRRYESLLVVLEGGGVAEGGSWAMSFHQWCDAHTTYITNNPRPQPLK KPMAAASMAVDVSKLKLLKRRLATRTRR H257_02824 MQYTTNDTGKLDLQERLSYVNSSHDHKDTDGYAEAKTPGGLEDG ALVEGGALVYTSWEAVGLFSQYAAIGVIYGMIPSLNYPIFNVYLNLEGYQTSSYSVLV TLGWSCKVIFGMCSDCFPIFGYRRKSWILIGWTITMICLAIMAFSSLGEPFCNRKSEQ YAKYCGKPLASVPPEAIDAAFNLSAPDNGALFIMLSMLVSLGYVMAACASDAMVVEYA QREPDAFRGRILTAIYTVRTVLGMIAVSVTAFGLNGKNYGGEFSFSIAPNVPYGICLI PCVLVVLSTVFILVEHKKPASPVRVWWGQFWDLLQSRVMWQICAFRFISNVFNSIGVT ATSPIQTYWATVTPLNDSLSTLVGKAVFAATLAVVGKWGMQWNWRWIIAIGSIGVVVI DAFVIYLTIWDVVRNQWFFTGVGLSENIPDGVRFVVATFCAIEVANQGVEGATYGLIT TVSNLATPFASVIYKFVDSYFKITNNDIKSDTTIVRWDATYVYLISYGSKLFALVWLF MLPPQKKEMQELKKKGGKSKLAGYVLIIGFFTCFAFSMTSSFMSVFPSTKCYRIAGGS GAVDPKTGGCPIPPPKK H257_02825 MRLANESDLQERLSYIQQSAHHEDHDLLDEYSEAKSPRPVDVSG ALVPGGALNLCSMEAMGLFAQYAAIGVVFSMIPSLNYPIFNVYLNMEGYQTAAYTVLV TLGWSFKVVFGMLSDCCPIFGYRRKSWMLIGWGVTMICLAVMAFSDVGPPFCNRENVQ FATYCGKPLESVPPDVLEANFNLGAPDNGSKFILLSMVVSFGYVLAACASDAMVVQYA QREPEAIRGRVQTAVYTVRTVTGMAAVAVVTFGLNGANYNGSFSFALSPNVPYGICLA PCVLVFCTTIFLLVEEKSPAIPLRVWCGDFWGLLQKRVMWQICAFRFINNTFNWVGAT PSSPIQTYWAKVTPLNDSLSNMIGKAIFATTLAMVAKWGLHWNWRWIIALGSVGVVVV DAIVIYLTIWDVVRNQWFFTGVGLSENIPDGVRFIVATYCAVEVADPGVEGATYGLIT TMNNLAAPLASVIYKFVDSYYDVTNNDIKADTTHVRWDATYVYLISYGSKLFALVWLF MLPPQKREVQELKRHGGTSKVAGAVLIALFLGALSFSMVSNFMSISPSTKCYRIAGGN GKTDPTTGGCPTPKT H257_02826 MGNDIPNNSSSGDDDLTFIDDSDILLSFADDDGYLSDDETVLHL LSPSPQLASYQFPNANGPRPHQPPYGRTLALLSSEHQRWYQSRDVATAAPKPLMLFAP SQRKHVRTRASSLSSLSSTGSTFITSSNEPEPEPGATDDSMSMSSRLQESLARNLHLA NDVQRLSRFVGLFLTCLCQQHCGSDYEMLTVQFLQSQGLAHAQSQAVEREMQVNRKLT RKLDLVTERYRVANEGYAALKKRLNGVRVDLRQVVQANENLTGNGSYRNMSVAELEVL ETTLEGGLAKIREAMRQQYRDVVEGERETCVVCLHEKVSIVLLPCRHRVLCATCAVRV HQCPVDRMDITDRFSTFGM H257_02826 MGNDIPNNSSSGDDDLTFIDDSDILLSFADDDGYLSDDETVLHL LSPSPQLASYQFPNANGPRPHQPPYGRTLALLSSEHQRWYQSRDVATAAPKPLMLFAP SQRKHVRTRASSLSSLSSTGSTFITSSNEPEPEPGATDDSMSMSSRLQESLARNLHLA NDVQRLSRDYEMLTVQFLQSQGLAHAQSQAVEREMQVNRKLTRKLDLVTERYRVANEG YAALKKRLNGVRVDLRQVVQANENLTGNGSYRNMSVAELEVLETTLEGGLAKIREAMR QQYRDVVEGERETCVVCLHEKVSIVLLPCRHRVLCATCAVRVHQCPVDRMDITDRFST FGM H257_02827 MSSRDGRSVREGEERRSRSRERSRSTRRRSSSSSRASDDASSAK KANKSRKKHRRERHRDRSSSSSDEDAKKKPSKKSKKHKKDKSSTKKRKKSSSSKKHKR RHGSSEDDASSSSSDEDTVRSAISGKKIKRHIEQSDLDKRNERNRVNLLQFYNDMY H257_02828 MTCRRRTLIVAVAALLICTGNIHADNFTQTSTDRPNPVRTTNTP TTSPPTSPPTTSPPPVTSAPTTAPVTSAPTTAPVTSAPTTAPVTSAPTTAPVTSAPTT PPPAPSTPPPTTTPVAPTPPPPSSTTHEPPATTSPPAPETTSTPPRPTALNINPSTTA PPPPTSTEAPRAPETTPPPLHLPDENDVVGSYDPSLSLPSASSIAPRKSVDPSSSSQE EAHVTDDATNTKYIIILGSVIAGVVCIVLAAVLVRRRFQRASESDKSLFVDTQRGSMA FMESTRQSSVPVLQSNSRVYGKSHLLPPPTNSTPMQRSQSRRDQSSQEPMYRLSSIHH APDSFNQPTNMTRISEAENSDGSSATTTSDVPGGFATDVRGTDDLCRFTQASDQYSEG TYDDDYGNGSRFPSDMSRETDWSIGSKGAENPGPTLAATEWGAPPPSQDHRTTDDLLY SGRSLISIDDSSVRGSSDAWLDSSRFNAIVSSDVHTF H257_02829 MVTGQRNLARKDFQHAKRIVIKMGTSVVSTNGEPALGRLASLVE QVSMLKRQGKEVLLVTSGSIGIGRKKLHKQLLLSASLRMHVQGGTEQHHALDAKKGAM AAAGQVGLMALYETLFSMYDVACSQVLVTEAEFRTPEHRANIRDTLLHLLDMDVLPIV NENDAISAGLYRDEDGVFTDNDSLAALIAGETSANLLVLLTDVDGVFDKPPTEPHAKV LSVFGAGGPIVFGEKSSVGRGGMQAKIRSAQRALAQGVNAVVIASGFKYGILDSIMKG TVVGTLFVPNPSALTPVNSPEDMAVAARDGSRALLELSSAERSSIVLRLSQLLVDESP RILEANRKDLDAASSSLDPQLRSRLKLTHDKLVTLSQGIASVAGQDEPLGRVLSLMEV SSGLVLEQVTAPIGVLLVVFESRPDSLPQIAALALRSGNGLLLKGGKEAKHSNACLHA LVQDAIRLETSKVPPSVVGLVTTRDDVGALLALDHVVDLCIPRGSNSLVSHIKKNTRI PVLGHAEGVCHVYIHDNADLVKATKVAVDAKTDYPAACNALETLLIDEAIVERGAHHA ILDALVAAGVTLYEGPRAAKLHLVPGALAAPSLSHEYGSLGLTVEVVAGGVDGAMAHI NRYSSGHTECIITEDAAVAETFLNGIDSACVFHNASTRFADGFRFGLGAEVGISTGRI HARGPVGVQGLLTTKWKLRSAKVHTVAAFGQGGTDTYTHKTLHPTTSKL H257_02830 MFVCASDFERDRFLAIVQVQYVLAALVDRLPQLRVLRIHVRIHV EEARYADGDAHAGAPAGHPAQLARGSKRGGGAIVRSDGAHGRLQVGAHAGDSQLHVRP HTRHDGWLGPKLGRPRVRSPRRRCEGFRGMKGRRERRMVLVRVVKPRTRAQDGQEQGA AHAEACEHSAHNGQNGRRRHPVRRCGLNRQAR H257_02831 MGAPITPLRSIICEIDMKWILVLALASLVGAQRPVPRATPVAGP ARSNATNIPMEAAILQAQLSRPRSTPQLRGRPTPTRVRSASAHNATTAPSPNPIATPL PPTTSTASPSMTAASPPVQEAAPVVQAPSTTATESSTDPPEIQVADEAGDFPWKILAQ QLEATMLT H257_02832 MSSRKEYNLQKGLHAKQLNDDVAKPLSPRSNATRSNNNAKEVAR IRETCPPGTSDDRIALILQQTKGDAQKVQLAVSELWENHGVVQDEWATVSKKQPKKKP EEKSTSWTTTQPKNNHHGGGGDNAVQTGTAGGGRGRGSARGGGGRAQGSVPGGRDLSR GGRGGRGGGRGQSAAPDNSQRHEQQVDTEHAADNDDELVAPTPSHDKPQPKQKQQQHH QTTHKHVDSNSAPVAPAPVLVGAWAKKPNILNQAKPVTSPKKATSHTSHVAKPQSPKK QATTPKWDVSKDTKLEDTAPPASTPSTTAWPATVASSSDSKQKWPRSPKQNEDNDHPP AEPTQRVEPADTIASWGASLESSKAPPPSTASTVAAADWDAAPPAWTRTSPILTPSLQ YPPSSPSGAVFSPKTQSPKQAKTGSSSPTNEARQNKFSMGRWGDVAAPELSLQFGSFS MDIDTTASSASTWANTPPATAGWSPKKAHEQSTPVKSPRASSAPPPGLTDSPKASPRK FQNPTPNAPSPAALPKPNERQQGPASSRATTTTHQTAPYPAATTSAAAKPAATAAFSS PLYQSHPSTYNQYSVGISGRSSQPPSATTPTTQQQPTTPSSGNHVQGAIGKQHGNHRN NGQTNNPIAGGSTGGMNQGSSNAQGTVPPTNPQQAAQPSPNANQGVGHQNPPHLAPYQ QLPHAYHPQYPPPPPPGMAMPYNPYNYNPQYYPHQPGYPHGYYQNPQYPQYSPRQFPP RGNIPYGMDGAPGFSNPPNGPLSYQDPSQLAHPNEYGQGFGDLGYGQQLHPQHHHLQQ GGGAKPQAGAPINNGRPIDPSYAAGPAQGVAREHTASPPVPGGHPGAYGQQQQPHYGN WAGSYNQPPPQQQPSLAAWGGHQQQPPPHQQQQGYPQHQQQPSYRPYNNGGNNEPGNQ TSWSS H257_02833 MDPLLAEALRKGNVVVFFDIALGGAPLGRLRMELFKNDCPKTVE NFRQFCTGEYRKSELPVGYKGSTFHRIIQDFMVQGGDFLKGDGTGRMSIYGDKFADEN FRHKHTEAGLLSMANSGPGTNGCQFFITCGACDWLDGKHVVFGKLLDSASLLVMRKIE SVPTGPNNKPRTPIIITECGEL H257_02834 MARVIQVISKKLHAFFTVALKSTVPIVQTSNEVCVSNEWRCAEC FHVNKSPAPPVTENFPTCGYRNQGIGPTMLLLQPATFPVLDVPPAIEER H257_02835 MLALKCALHSVRYTYTDCTSIICFDRYWEFRTKRSLFFCVVLVI SMAPPRIVICGPPAGGKGTQCELLVDKYGIVHLSTGDMLRTSIQAGTEIGLHAKSFMD AGELVPDDVIIRVILHRLEEQDCATKGWLLDGFPRTRVQAEAMLAHQIVPTLVIVLDV ADDEVVTRISGRRVDLDTGKTYHLTFNPPPPELHDKVVQRSDDTELTIRNRLAKYHDN CGTVLATFEATSNILIVDGTKPKDEIAALEFAAVDASLSLQ H257_02836 MSKKAVLVAASSSLSPLPHDTHLAPFGHVVVVPTTTPVLRPTDS AMSRLEALESVAGFVYLVFTVVWGGMYVIMLEPSLANDMWWPHFNSTGPQTYLGDLCS RHLANAPNHVAFDLFSKHNAILKDYSPAATTMELSAVYPRMLMMQSTTDLPDVIAGMH LTSLTNNVRMYTQYCWADFDKRFELAHTALRQARCLKNDGDNAAVHLEAMLRNVEWSA MVNTFGGIDGVFDVVVASGVREFPGGAAWLVSVQNAFVDIPTEVTYWLSKGVPRWQLL WHNAWQPGVDETITVANALGMQQNVAVKKMPYLHRGSAWTSIALYYGFWNELNYGYLA KYSLVRGSSTRLAVNDSKFQGYGVASPTTASAAWQSLFGPYGSIDTKYVDVPPALLTA VTGVRDAWTLLLLADPSLLPPASVLSTYVVNPVPPSWRQPPNFVFVGGNPMCISAKPQ VVPQESFGFYDVCMPKSVALSVTLTPFSAAFALLGLGMLTRDQLPATVYAVCSLCDAT AGACRDALRDASALYHALNTLYPDLVDVVRTAADDVANISLVQVGLNTSNQTTTILRQ PLVQANDLWTFFGWVQLYDWVHQAREVVRFEGDKGTATVMSRSYDSQSFAPNSLEIPQ SAGLYFKYLTTYITVVFGLVAAIMVAFGAGTSFHVLGRNLFRFNRVVGSVWVGRIFLF VRGMTAVVLLSTSNIYFVTDHGFASLQWAPYTFVEAVVISGEATWVVYVLQDFLVVFV DDYSYYAAPVSSSLAWGLVFVQEIVSPNKASMNVERQCTTLIMAKQIVCNSGHIILGQ FHRVTTILAIQGGCTLGVYVMAWMWRWKRHVPPVMTLFISGPAESYLDFVSHSGPHDI VAKADEEGGLTSFDKVSCIMCGLLTFRVGRTPFVFDLKAWMLIRQRKSEVATSKKHVC AFRGPSMIDLRPSSSPPPTSVWNSLDKLHRVVAFAGLMYMVSSLAGSIVYIASTERNM ANDFWWANFNASGAHAYLGNWFSKQLLFHPHNFTGVLDDVKHSDYIRYNTTSTFISVS PLYAKLVQYHEVNSIEHAIHGLRIMDACEVPSIMTQYCWLDLKRQFPMANSGARQRRC DGYKRNGAVYLESALRNIPWESATACWWASSFDIAIGNDLRQSAQGMAWLDAVKSART TFTLHDEAALWRSVGIEHYTTQYQNFKKLGVVERFHIQNAFGIAYPLTIQASKPVYQM GMETSLKLYWTLAKDLATVTSASSSIFGTSLLASSANFSFMNTSLETVLTSALVLSQP LDPTLAAFRRAVGPFGSIDAIHIPCPAALAHLYQFASEATAAVIANDDGAQRAFANLP QATTWLPCPPEWLNISRYPGTVMCPKSTSTTSTSILSLWVDGGCSSLTESVYPTPMTS LVATLAANSTDVNRTCQSDMRTPATCRKLLTQVAQFQALYWNHNEDGVLGGIATWARL AKASIAALNVSFLQFKAPEGTILTLGLFDNPSFEFFAWGYAFEWLTGTREVVSFEGDN DKLVLLSSVSVMLSSPPNPLEIPANVALYFRGSVVYITAMLAFVAVLATAFIMASGGH IEGLNMLEMNRVGGIVWSGRPLLFLRSIVAICLLSTAPLELTQLGALGAMTMFISNQL TWYKLVLAAGEVGWFVFVVTDVLIIFTQAYTTTYAVMCGILVWLVAVIFTMAVPVAHS VSVHRSCIILSMDFQSECTAGTVYVGSVGRFTQLVVMSLASMALCYAVERLRRPRLKD TRRHMSMLLSTSSRYLFALDKWQYNNCYYLDKASAVITGVLCVERQGQFYVLDIKLWR LFVLQVPAEYRPPPDHPMHERSYFAFPLIGTGIDRDSNDVLSRVGR H257_02837 METGADVWIADPKDIWTAATVVGHPHDDRLIVQRPHLTTTETIE LTKDRDGVTTNVLLRNSRLDEEERNEDLITLPHLHEASILQALSSRYDNDAIYTTIGD IVLSINPFKRLPLYEDTTMAQYDASPDNKFFEDVLPPHLYTIGKRAFVDMTRHNRDQA ILISGESGAGKTEATKIIMSYLANMSNGGNSSAQNSIETQVLQTNPVLEAFGNARTVR NDNSSRFGKFIEVRFHHAKIIGAKVRTYLLEKVRVVTQACHERNFHIFYELVSTVLEL NLPPKKQVKKLPPLPVAFDLRKKATDWHVLELQSYDFVNQSGCMARRDNVLDIDQFPI TIQAMLDTGMSSGDVEDVLALVVGILHVGNIAFESTDDNFDPAMRCATVAPSSATAVA AAAKLLQVPAKQLTSALTKRSITTHGEVFVMGLSLTQAKHTRNALAMEAYRSLFEWLV RRVNTSIHPPPSPLDENPTPRSHRTDDHHEHNRMIGLLDIFGFEIMAVNSFEQLCINY ANETLQQQFNSYVFKTEQKLYDAEGIAWEFIAFPDNVACLDLFEKRPMGLFSLLDQEC RVPQGSDKALAAKYYKAFGDHQYNSPHPIFIATNADVRDGRFTVVHYAGPVVYDVDGF VDKNKDALVESVVELLQNSLSSILADMYDPAKNNTSTKHTKRRSALNSTIGSVNVADQ FKTQLTDLLATIDGTAPHYVRCLKPNDVNQPMHFDRVRMVEQLRSGGVLEAVKVARAG FPVRLTHKEFRSNYGRLVTPLTTPAVAQLVQADAFPVLSEFLHVCRALSAPHGNDLST ATTNSPPQHHPAHVSLGKTKVFFRRKAFEDVETLKRRVYTTSAVQAQRLVRGFLCFHA YRRLQHATLSVQCAFRQHVAYTRLQHLRQAKASVTLQSWTRQVLARRAFEHLRYAVVV LQAKHRQMVAKAVVHARRQHVHAVQVQRLARGFLARRQYATARRHIVAVQCHWRRRRA RRLLATLRSDFRNVKALQDDKRKLQEELKRVERELGDKAALEDANRKMQAELDLMRKT LERVRSASDFDARDDLATSPTKSLGRGGVGPPPSSRMIRARRPPISMIATSKSRSNTF GSSSSMSSTIHYVRRDSKLLTQQFQADKLHEERMMDDATSSNPQRPPPPPAHVFSELI HNVVSLFDNQRINQVLSKPPLSKSPSSGSGTPPSSSVRPPSIHKGRSRTLSVDPEVAI PENQDEYNYEDMLKEHGLLPVSDDVQEAQSIMEQRFRAMSSSSRKRSDSSFSGVTSLS GRDVARWSKDVRCKECDCEFNLFVRRHHCRQCGFSFCFEHSTRRIALPSQGYKDPVRV CDDCFEYVSNFNEQLMYLGEDEIYDA H257_02838 MMCKFAKCPGPMATSGRCVMCAKPGHRACSTEYLNNFLVSPAVV DAIVCCSRQCADQFVVDKGDWTMRASTTVSLSADPDMSIPEDEAIYNYDDMLREHGLA PPSDDVQAANALVEQRFRTMSASSGRKRSDSSFSIASSMISRGAARWKKDKHCKECNT EFHLFLRRHHCRQCGFSFCAEHSGLRIALPSQGYKDPVRVCDDCFEYVNNSNEQLTFV CEDEVLEP H257_02839 MVDTTTKMRGIPPRWSVVGSTVDGRRTLCESLALRYGVVHVTAD MLFPTSDGSDGTTIRTIQLSRLHAADCAGRGWVLDGFPQTLEQATALVTEDLVPHMVF DLFVDVASEMAAVLHVLTQHPSTTTTTPIPTVVPITLSSESSDTAASSIHRAIDTSIW TSQLHATVPTLATDHPEAVADIAQGTASLPVTDQAAAMHLLTSLLQPNSTGLADFAAD RGHSVAALLGVYTSLTKATQAVLTSRLPPEVVAMLPFVETLSPNALAAMAPLAQELLH EATSSSIQPATAVKLHTAFFDVLSDKERRQLVRMLPPKEQELVTHVVETTEGMSPRGI ETVVHMLLQSTSTPLSVAISTKSVSASSLSQSYSTDVGPDSQHPEPTYGTIPASDISP PPSRDAMMTKLALTATKAHGRRLLRWVRRAPRSLRVLLFVSSVLVALTALVSIVLNLV SGQLVLVVASGWVVFFSLLIVSLEVKISAVEKHNAVAAHFPLVGTVPGRGAFLLFVSM LAMTLAVQATWQNAVLGAAGFLSALVSLWAIALGSLASHQFNVMRTRIESAADLRRLF DTADVDNAQELDIDGLARFCVACDWPIGVVLLESVLRDLDIDNSNTVSFSDLHLWWSQ AQLDITSSIRPLPPITNIVPSSHDKPMSVLKLVNIFMGVLTVATGVVGNVAAFHDRRE STTDGKAAVYMILDLWVVVFGLVLVLIEAPRSQTSSWQVLTDCKRFVVDNIATFLDSI FGRSLLYLFTGTFTLSVYQHDSVYLPVVTGSGLVVLSVVNACVGRRAKASFLALAKTV DVSNCAFLFAAADEDGDGVWSLDELDAFCTGQHIRLSAAEWELLVADLDKHHAGVISL HEFTTWVELQHQRMDFV H257_02839 MVDTTTKMRGIPPRWSVVGSTVDGRRTLCESLALRYGVVHVTAD MLFPTSDGSDGTTIRTIQLSRLHAADCAGRGWVLDGFPQTLEQATALVTEDLVPHMVF DLFVDVASEMAAVLHVLTQHPSTTTTTPIPTVVPITLSSESSDTAASSIHRAIDTSIW TSQLHATVPTLATDHPEAVADIAQGTASLPVTDQAAAMHLLTSLLQPNSTGLADFAAD RGHSVAALLGVYTSLTKATQAVLTSRLPPEVVAMLPFVETLSPNALAAMAPLAQELLH EATSSSIQPATAVKLHTAFFDVLSDKERRQLVRMLPPKEQELVTHVVETTEGMSPRGI ETVVHMLLQSTSTPLSVAISTKSVSASSLSQSYSTDVGPDSQHPEPTYGTIPASDISP PPSRDAMMTKLALTATKAHGRRLLRWVRRAPRSLRVLLFVSSVLVALTALVSIVLNLV SGQLVLVVASGWVVFFSLLIVSLEVKISAVEKHNAVAAHFPLVGTVPGRGAFLLFVSM LAMTLAVQATWQNAVLGAAGFLSALVSLWAIALGSLASHQFNVMRTRIESAADLRRLF DTADVDNAQELDIDGLARFCVACDWPIGVVLLESVLRDLDIDNSNTVSFSDLHLWWSQ AQLDITSSIRPLPPITNIVPSSHDKPMSVLKLVNIFMGVLTVATGVVGNVAAFHDRYG RVLPQFWSCFTPILDSYGLWLLSH H257_02840 MMRATSSAAPLRRSARLLSATTPSKTPSILKEVKNATTVVRAST KKPRAAPVLSKSKTVTATQAGEVAPVTFKRGTLSREFEQRIYKKGFSCVVGVDEAGRG PLAGPVVAAACWIPLEVEIDGIHDSKQLNEAQRDLLFEKLTTHPGIQYAVHVNSHTRI DEINVLQATLESMTKSVEALTVQADYALIDGNKMPPLSIQGECVIKGDSKVYAIAAAS IIAKVTRDRLMVVYDKQWPEYGFAQHKGYGTRMHMAAIHKHGATPIHRMTFAPLNQLE H257_02840 MMRATSSAAPLRRSARLLSATTPSKTPSILKEVKNATTVVRAST KKPRAAPVLSKSKTVTATQAGEVAPVTFKRGTLSREFEQRIYKKGFSCVVGVDEAGRG PLAGPVVAAACWIPLEVEIDGIHDSKQLNEAQRDLLFEKLTTHPGIQYAVHNIPMQVN SHTRIDEINVLQATLESMTKSVEALTVQADYALIDGNKMPPLSIQGECVIKGDSKVYA IAAASIIAKVTRDRLMVVYDKQWPEYGFAQHKGYGTRMHMAAIHKHGATPIHRMTFAP LNQLE H257_02841 MSRDSTNTFPSPPSTTLASSLSKFGPLKKSTILLLLEHEHMVAH VYKMAQERGVISDADVLQAIAKHTRPHDVQTSSSQGQAHTTPTRNFDALKTSTKLLLF DNEHVLAEVYEEAKRCGSISDEKVLAIIRLRSLPSSPSP H257_02842 MRALQRSFTAGNDMPKQQPGSYRTSSSSSTHSQEMNPYQLLLDA VERSDATTVERLLHKGFQPATQDINMSILSAACLSGSYDVFEQLVTQGWLVFAAILSE TATTPILFELVNLATQGGNIQIITGLCRVGGITVDEVVEHVRGPSGVKVITPLLIAAI NGDDAMVHFLVDGGVDINMGMTKEGNSPLGMATIHGHISVVEVLLESGANSHHTNLKG ESVYALAAAYGHANILCLLLHEEGDRGDAMKLGDVDESSMKRTIHNIRKGKSHTHYSL QERGGHVDVTKLKRRITNLKKRVNGRVEADLYELRSSSSLGEESF H257_02844 MAPATILQRQDMSLEDIIDSCLADSTKERYESGLRQIIKWIHVT GGTHLLKDDGTVDLRVFQYDNFVQFIVWVYQHTPVKVGTMSGYRAALRWYCKLEDVAM PVEYETKLKTIFTGLQRLTATDAQSSSLKDSGKRPLGFSMFEALCTESLKILDSGFAH LFLVISWNLMARSKSTETIHLDHISLEEDICYGRHSPAIWSFLALGIYFACNPTMATG ALFPGARQRDRFGKALKALAHAVLGSTANGTVGTHSIRKGATTFVCPGSTSGPSVISV CIRCGWSLGNVVERAGFAQLPPHYDATDSATVTSAVRYMFPGLSKNVALLGVLKLGLA SLVFHADYLRSTLPASHAVLHTAIFRDDELRVNLRSLLRSSSATLAPTGLPPYVELYR QLEAQHETLKALSTEVVAGVRDIWDEKELSASTVTQAYIDRHFSTILERLGGGGNSAD VVPAQPPPRRREHMLFAWGGRLHKLPEHFCFPSVDVATAWALWWLCKDNEIPYRTIDP SDLSTKLQKRILSEWRTKVAVVESFDCAVHALDAVVDKILSQRQRRFGQLMVVTVGPN CTSIAKYCSQFMTSMRFSMRHLLSFPSHEASDDPAEASDFLWPTAQEPSTAHLSTPIH TSEISTERRHIATSNIKNSLRKACLEPGCNRVTRSRGLCKRHGGGKPCCIHGCTKEAH NGDYCFGHGGGKCCKITDCANAAQSQGLCKAHGGGARCKHANCGKSSQGGGYCRAHGG GKRCLEPGCSKGAQRGDRCAKHGGCRLCAVDGCGRTDRGGGVCETHRPDKMCVVDGCK RLGKTLGMCTLHNRLARE H257_02846 MSPRRNVLVSPFRESILVDSCFLPIVNVDVNDPDHYPDIPTSLL ELIFPICAILLGAQVLRSVDAEDVPVLQHLLCRLPRFGTHNLPPPALADLRWFDTILA VGHLSHVPTSLFTDTSTPKFTLKMDASDEGLAIMFPVRRLFIQLRWDSTELALIQECK LFSNKISPINQSYHFNHSPIPGHVISVRHEYTHAFQEACANTFVLPWPLLSGVFSSLI PHAVAQYTPRFLPATSARSHGPLHSPARTATSNKSIVASDYSKRFTISTHNTSRELTP TVS H257_02847 MVVAHYNSTGHRGHAELVATIRRLFYVDHLADGGGPYAPRWHAK EGNEGIHFDYLYMGEALSGAKYVLVLKDDLALYRERR H257_02848 MSTGGYIKPERSATVWSPSTQKRSGDPPATNCACEVHPRFAPGR DGGGMLCFVCQQPAHMARECPNKKDGDSGETSWKKGKNAVKRFKARKRKANMQATRMK KPPSPTMDYDGRWVRLNSVLEIPYCSDTGTDQNIVPQPMVNELQVLQPQLQVVKLAAP FLLDQSRCQASAAVKPFLCVNDGDEFLVSDDTLKTIGIDIDRLLEPVTCLQVDEDGDD LEEVGGDCME H257_02849 MAKNSKDRAQKPKATATALAAAGSENEEEVPVLEPPLVPGCWKD HGCAAGCGRANKATGSARAASMTWDESRRRYGGQTMGSNWEQLPRPPIEGAYVNEGYP TPEKSEAEEREDEKAEARAKLQEKEYQEEQRKQAERRRNNKANRPPKEKRVDHAKVAR VAYDRRMLDTTIPSSSGWGPYSSGPPTPSSGGWGTGINPSGLSLVVTDVQHPRITCMD RTFLANAQRMGGEWRRSAVRWIPSTDRSLLKATCTYVWRVPVEQLSEDDYRDHIMEIV GQPATKGSPTKHDQRLVSFMERVDDVIDENGLRQLLKDSTMLRTFVKVVAARVTPSYL RDRVEEKIEDRAGE H257_02850 MNTLEKMVIRVIDTVQPVLYDHFAMMSTMTELCGLDVVFRNYPY AKYATDVSPEKLLVDMSAHEPGSVSDITMFRDRQDIHLSTLRKLLGSTRAMHPKKRPV HCVLHRADLERNSNVSSDPIVVENFFGRVCILWKVSYTTFVWGTKCYDAIQRLTFALT NFYLA H257_02851 MFATIDLVEPIPFTSASFPFLEDADLAVFHDLGLFDFKMEQHPK NSTANLAVVNEHGQTIPLGSTWQNARRKLCNMDGCDRVVRSRGFCKKHGGGKPCAIPD CAKEAHNGNFCIGHGGGKCCKFDQCANAAQSQGLCKAHGGGARCKYAGCDKSSQGGGF CRAHGGGKRCLEVGCTKGAQRGDRCAKHGGCRACSVAGCDRTDRGGGLCEIHRQDMTC SVEGCKRLGKTMGVCTVHTRQARVKAM H257_02852 MLASSMEPLPYMITSSASHSTVASLLDDIDLFGFHDLEPLSAFT LDQVLSEVDMTASDLLKFTYWDPLDEFSQTPPTSFVQLTAYDAASGALIKYETSSSVS SPSSREGSTWSSRRKVCSTEGCGRVVRSRGYCKKHGGGKPCMMEGCHKEAQNGSFCIG HGGGKCCKVNACANAAQSQGLCKAHGGGARCKQSGCEKSSQGGGFCRAHGGGKRCLEP GCTKGAQRGDRCAKHGGCRSCTVAGCGRTDRGGGLCEIHRQDMTCSVEGCKRLGKTLG MCTVHVRQVRNSGSL H257_02853 MTSIRGARRSPSSQRKTTQQPQDPGPSTTSTSDVRLAAASLDKC IWLEKRHPNAFLPSRRWRRSSFGWVELCSNCGAKSKFTLAFATRTFCPSTPPSRMTLG CTSCCDMHRTATSSRLCALLHAAGLTNGRPRASSTSSSSRLRQVFLWSRLMEQCYLRA IMTCHQHNVVHRDIKPENILLDNDDEILLADFGWSAANVTASNRRQTLCGTLDYLSPE MLNGCQYDASVDIWAIGVLLFELLVGKPAFEAQDQSKTSELIVNARYRVPLFVSDGAR DLIKRFLRKDPQTRITLQEALQHPWLVRNCQAKGPTPRRSSANGSRVASSGTSRASSG ATSAVGGGAARRK H257_02853 MTSIRGARRSPSSQRKTTQQPQDPGPSTTSTSDVRLAAASLDKC IWLEKRHPNAFLPSRRWRRSSFGWVELCSNCGAKSKFTLAFATRTFCPSTPPSRMTLG CTSCCDMHRTATSSRLCALLHAAGLTNGRPRASSTSSSSRLRQVFLWSRLMEQCYLRA IMTCHQHNVVHRDIKPENILLDNDDEILLADFGWSAANVTASNRRQTLCGTLDYLSPE MLNVRRRMKERSTNVPISGPSFDSNFFKF H257_02854 MLSATHGWLHLSARTAPRATACYRRMHVNQSRIFPSNMTKTKLP CIAPWSQLRHAMQYSSSSVGMVEVKLLPSMSSTLTDVSFNCADSTWFRTSTPRGWKIS SQPIICSSSFLSIKTFLRALATSFPPFIPRPPDMDLLARIKVAMADAKTPIHHLPTLD DVPSNPLLPTATGDGPLPYPPPRKPVARKDKLEHGTPMLGGQPLTLRFDITGTATNQA MTRHEALKMVQDAAATTMPTAVGPPTSPQPSRADKGIVTIPNVHMRDIRKLDNAFAIG NKPSITVRRQGILVNADPVRAVITRDACVVFLQDGAHDLIPELEVNFKDHLDECSVHG FEFTALEAILATLCTALAKDAARVLPLAKAAVDKISQDATLAGELERLRSTKNTMDEL NTRVTGMRKAFVDILENEEDLRMMHLTKLHRDPLLAHDLFSFDSEDLESLIEVYLEDI YDTQTHIALMLENVQNTRTIAMLKLDAKRNYLLMVNLTLTLWTTLITVPTFVVGTFGM NLNSYVQDVDYLFYVVVSGCVLFPVGVYRLVLKYFRERGINLSWKYK H257_02855 MAEEVDYTISEHEMEQLASMPPPSQADAFPLVDLGEDTLPVLMA WVDSFETCLFGPICLITALPTSYSRTVHQHAVEMSALALRLHRERQRYQFLTCLSRHM YAITTLLAGMPTPRRAQQWILRMEARVASLAYLLHHVRPGDDFGSLGVFAPSHFVSQS AYLPHLKTFQDLWWSPL H257_02856 MFLIGRNAESLDVPKFSNSTECNLTTPRAMSILSHIASHQHAHF AKQAAQVIGLLVLTKLGLTLLSGIYAFFLRGGKKLTKYGKWAIVTGATDGIGKATALE LARKGLNIVLISRTQSKLDEVAKEITTKYNTVSVKTLSVDYSNLTDASVAAIRKVIAD VGDVGVLVNNVGQSYDFPQYFHELSDESVASLVNMNIKSTFVMSKLVLPGMVERKRGA IVNCSSGSARIACPLLSEYSAVKKCIEQYTLGLAGEYAAKNISVQCHTPMFVTSKLSK IRHASFAVPSPTTYAKAAVANIGYETVVSPYWPHALQIWLYEAVPHFIMSKVALSTHL GIRKRALKKQEQQKKD H257_02857 MSSEATASTLLIDTTDTSSIVNEPSTPDMQGQTTQNKDVAAVAA RQEVPNTTSEQQIAAAGIVTAAGSVTPPSATPAASALKRKDHPSPSSPRSANSVTFAD LKAAQRRSMKALREIALTEINSLEPQMPEASRREIAAVLQAHVNEMSSSTFTHLARVY FPTELQSTKKTESPVDPAALARIKDLEAQIHATEQRIHAHQSRLPTTIRDILHANFAA QAKALEVALSSPSKVPVADSDGTSIKYSEEDIAAVKATFARISAKIDQLNTKLPMTMQ QATDTIHVVEHAMKRPKSRFDALMEQDNVTAPSAPPSSTPPPTLRAKLAQSL H257_02858 MEGGPMLSDDSSEEDEPISVPTQHAVTHGDVDMGGGGGLDDDNE DDASAADDPTVGKHPKLRRLYAAARKEPWNIDAWLNFIYEVQQSFQHVEEARPFYVIF LEQFPTSAYWWKQYADHEWRANQLENVREIFNKALHELKLPHVDLWSFYLQFTKSTVM DVLTDASSPEDKKRARQTMAEAFETALDRVGMSIHSNGIWTQYLHFLKDDKEPTAIFS IRKVFQRALSIPIFHLDTLWKEYEQFEKAIPNNEVLAQNVFKVLRPKVDAAKAIFKDR KALVDPLDLDALPSHTNTNPQIDAWNKWIEFELANPERVERPKWKAKVRYALESCLAC RRFSAEVWFQYAMLELPDVAAASAVFRLAVAAMPQSCLVRFAFADHLETHALVDDARA VYEAALEAHPSAITYITYMRFARRAFGNKGLDASRLIFKRARRDAREGACTFHVYTAA ALLEFHGGIGDDGKQIALNIFELGLKKFIHEPEFVLSYVDFLGHTNDDNNMRSLFEKV LSVMPPAVSKPVWDRFIRFEQTMATNGGDLTSVIRLEQRRAAALPDDSTTKGLLGLVD RYVWMHLLQDSKSDALFFATYGTLAASPAGASDTSAPQPPPAPQASSGSIKSSLLKSS DMVYHGPPLPEFLKAFAAQLPLGVTWNGPVADPDMVFQALCRADFPLREDVERLDAMD GGEANAPVVTKRPTHDVFRDRQKQRLAKLS H257_02859 MDHLEREASKLRALVENPAGPASLKEAKDILRNLKIALIQLPSL PPTTTESPTAVQERKLARDVLESATIMSVKEEDIPAFERNITQLKVYYNSFGDQLPKS PLHYPLLGTRLLHLLVENRMAEFHGELEILPSEGRADPNIAFSMKLEQYLMEGSYNKV LEARTNEPNPYFKWFMSQLLQTVREAIADCAEVAYPSLAVADAAKMLIFASLPEFQAY VVANKPEWTVTGDVVWFQAPTKHLGAADIPSLRLVRETLSYATELDRIV H257_02860 MAAAELDRHVRVQRALDQVNGISISRIYNDALAQNKAKKSASEP SFEERIEAIMMKTQEIAQRLQLSQRQCLDSFDSLGVEDDCIDDDDDESVDGFTIMDDN AHASHSFKRQLSRFLLLPRSLSQREMEEITDMVLLEVNNTPDHSILMHEIGSLIDEHV LTPRDDVSSPSCDDDGQRHHRDSLSKRRQKDLPKLDIQPLCAVDMAQRHMFKSANKLH SLSFRGGFDESHAKGLTSSLTSKPTMRSLFGRSDSVASSLQRSPTYRGGSPSARGGVS KAELDAADVAAKEAAWKMQVKEDYIMWLRAKAEAAAAKAKSRKDERVTRKKKKPRWLL LYEQGRLQQQH H257_02860 MAAAELDRHVRVQRALDQVNGISISRIYNDALAQNKAKKSASEP SFEERIEAIMMKTQEIAQRLQLSQRQCLDSFDSLGVEDDCIDDDDDESVDGFTIMDDN AHASHSFKRQLSRFLLLPRSLSQREMEEITDMVLLEVNNTPDHSILMHEIGSLIDEHV LTPRDDVSSPSCDDDGQRHHRDSLSKRRQVSITTTKCPSPPSTVHSMCIRKTCPSSTF SRCVPSIWRNGICSNPPTSSTPCRFAAGLTNPMPKA H257_02861 MNRGNDANLRRASDRPLSILPASTVSLNIHATEFVPKHEAVFRH EYPSLTTSKLRRPKKPTTTTTTPLTFHTVVATPTRAPLLPPSPPPSVPAGFVLLSKVS KPSLVHANTVVEVEPPQQTLTTAPDQLVPVQSTTRRRHTKTRVSEWRAPPSQPPLPSS PSTTQDDFADLTPLSQPALTRKDAQGRSPGYFFHVAAQSKVHGRQSNYDDEIGDNAAN RCHRITAPRPQASTAVALEVPSAHSQLREAWRTMAARILTSAHLTLEDFTALSTHLTT HRALLLWDERDDDRRNAWHVAAAHGHDSVLTLLHGLGDGLDARDRKKLTPLHAAAANG HPSTVRLLLSLGASPAAVDKHGNTPFHVACREGHVAVVKVLLPKSKVDGRNRRRDTPL LLAVRSAASKPPPCSQSKAYVEWMDVVRLLLVAGASPLVANHDGHTPLYESLLSPGLA LAQKLLVYGYPTAANTSQSASPTTALLSVLYQAVCTGHVDAVELLVPYLPPSEWLVVD KVFGDSLLHAAVRHVRVTNALLRALIQSSQHGKALHAAENKALQTPLFLAIHLGNADA VARLLAHGGVPNERNRRLHSALYVAVRTHQIPCVRELIAAGCSLDADCIAFVKAHTPM ALPPLVAAPSTWLPATTTSDMQTVVCTVDGQVDAVHIALLVGHCPSLRARLCGPWAAA TSTSSLAIDATRSTWTLVKAYLYHGGCSNLLSNVEENGEELVELLVLANSLLLFDLQR LCLATLQQALTPRDFRELETHLGTLACRDSDCDDVAARVIDTWRHDRRQLLANQWLAN VALIAEGGGGSQPSNVHTCHRAVVSAASPVLRAHFNLNHTEYSLPFTTKVVDFVLEFM YTETLAELVDLPVDMLVDIAQASVALDLAGCVALCERTLVHRVMQSGCDVGLLSVADT VVAY H257_02862 MDIGATQELTRLLLLEGDPPSKSDERVVCPTPSTPPTNNVVSVE FVTRLAQRLSASQDRIAKLGRIEKAIADFHGEVVALVGHLDSSSADDKATAVAPPSIT TDGDTLALVHSLRMYMRMQLAFRDDYEATLKASLKTVSSAKHKDELSAKAHVAQLTLE RDALLVHNQTLSKQMDETEAMKALVTVQSMQALEQSRAATEHVLHQLADVRVELKTAL DTGRWQAAQLDDKEQANGLLTQKIESLMRNTHGGGGDQQSKGRVEGGGAAVGGNMKGV SSLDADMRQANLKISHLEAENHANRDQIKDLKAKLQGYLGSAHAVAFTQAQKDATRFK ERVKDVEGKLAAAEAVVMKTRGQLAEKGTRLQALQAEYDKIFTALQRESDKKQAATST PESVSADEASRLANDNQYVTGFYRTKLEHQAAEILGLRKQIKKMLTLQHQTYFDHSLH KKEHLRLLTRYAELKRLDDKNSTSPIIASPTNNQSMRHRHAVSTPSLHSGDGEARKVD RKQLVRRNQFLERFFREHCGDGDALDNHPMARSPPQSKLKHRATTTSTTSTPIIPTPP STALQSVVTTTTIPVPMTGTPPFTPPPRLNTPTTKPKPSKRPASAAVAKPKQVGDPRQ SFVVGALVAKDI H257_02862 MYMRMQLAFRDDYEATLKASLKTVSSAKHKDELSAKAHVAQLTL ERDALLVHNQTLSKQMDETEAMKALVTVQSMQALEQSRAATEHVLHQLADVRVELKTA LDTGRWQAAQLDDKEQANGLLTQKIESLMRNTHGGGGDQQSKGRVEGGGAAVGGNMKG VSSLDADMRQANLKISHLEAENHANRDQIKDLKAKLQGYLGSAHAVAFTQAQKDATRF KERVKDVEGKLAAAEAVVMKTRGQLAEKGTRLQALQAEYDKIFTALQRESDKKQAATS TPESVSADEASRLANDNQYVTGFYRTKLEHQAAEILGLRKQIKKMLTLQHQTYFDHSL HKKEHLRLLTRYAELKRLDDKNSTSPIIASPTNNQSMRHRHAVSTPSLHSGDGEARKV DRKQLVRRNQFLERFFREHCGDGDALDNHPMARSPPQSKLKHRATTTSTTSTPIIPTP PSTALQSVVTTTTIPVPMTGTPPFTPPPRLNTPTTKPKPSKRPASAAVAKPKQVGDPR QSFVVGALVAKDI H257_02863 MSTILHGNQRSVSHNMAQQRRSISYTRRSLRDHHHNDNLSSSPG SPFKAFFASQEFSADDYPSPDPQLAPPNHDDPPPPRGPRLTHSSTNSWPYPWEAPPVL PHEAERLNVLDSYEVLDTTPEHVFDMLCAMVVKALKVPIGGISFLDKSRQWCKSSIGL KQTVIPRNVAFCAHTIASPSPLVVLDASLDKRFYMNPLVTGPANLQFYAGAPLVNPAG FVLGTVFVYGHNAEEAVDVAILVKIAKMAMNHLEDRRRAAVSEVRGAPPPHRHLRQPS SIHQYQPFNHHTVHHESGVPPPPHALPLNPRQEALRTLDILDMPSELVFDRISGLAST LMQCPIAGVSLLDEDKQWFKASHLGDDDTVDLADVAEFCVHVVASTRPLVVLNAADDA RFRKHPLVKRRTNPVRFVAAVPVVTADGHVIGTVFVMDTVVRQAGHVDLRSLQRLAKV AMLHLSQRITYAVTPIVDYSSFLDERAHVYDPNDVAALQSSATAGSRKQPGGFQRLRT RFLSRFFGRQ H257_02864 MPPTAIAPPAGPPPPKGGSPIKPPSGAPPLKPPSGAPPIKPPPG SPPLKSAAAPGGNLPGPPSGPPPGPPGPPKGPPPGPPPGPPPVDPNDTPSKTALTSPD ATSLPARTLVKKGRLHFKLLEARGLTRPGSKKDDGKKTFKADVYCKLKVGATTKHIKE LKSRTWKKSGRDIGFQEEALSVNLDHPENMLTSSHDLLVTVDLWDENMIADELLGTCD VSVLRFLDGHTHMQTVPLVHIKTRLPAGEVDMEFRLDIATPGMLSIIVMEGRNLKNME LVGKQDPYCKFEHGAALSKRTKTIDKGGTHPYFGEEELCFWITSESWVTDMVVRVFDE DVGSDDFIGEGSFSVLTSMQVCGQGAADVVLPLTNGGKSAGELFCKVQFFPAGHLTLH CIAGRKLRDVDTVGRQDPYLKLTLEGSCIKSVMRTQIDTDGGKEPEWNQMFEFDVVDQ FTMVVECWDHDGMGDDDLIGEASVSLLPVFRYGYIDEWVPLTFRGKFGSSQKAGEVHF ELSFVAVHGIGYPQHQVGMDTFDEKERLTKDTAHLRVKDDAPKPIEVVPSHVLVQQAK TAAAPDNKSEFSEDDVLGAFKFIDLDKNTFIGAAEIRHILICMGELITDAEVDEMVRM VDRDGDGQVSFEEFRKLVVHPDPGSMDFGKDTDDVAVTEVYRREDIVTDDDRKREMEV KMQKKALVDRFIGDNNITLDMLRRVFGRFKKSDKAGLSFEDFYTLFEVEPVGEYRKLH ALYAGDKLADIREILLGMSNLLEIDKTMKAQFCFEIYDDDHNGFITEDELVNILKATH MTTEANVLKKAKTILKQADSDGDHKINLDEFHVISKKFPNIIFPHV H257_02865 MDEEELAGIQKALAVTLKVAAGLGKVEADHRVVAVVHPLVAVVV NLLVVEVDCQPAAVVGRQLDEVVAVLLVLVVGELQQDVEVETPTAAAVDSCELPP H257_02866 MSRSRREQASSTPSQPLCRSTGGIKFDPTEPSRQQKQRSLDHCT KYWQNSCCNATHTIPLKRRVMEPIVALFNSKCQALHDEMTCSACHPFVGTGRLERICP DLCDDWFDACKDEYYTPDGSQALSPCYGNALICSPLHSIVPSGREFCKAMGYTPGKST DTEGVTCFDGSVPDELGVAEPEEHMADALFRMFAEQSEEPTEFMLVVVLVAIVGLFVS VKFFRRWSDEHNALKMEETRRRQQEAYRQAYHLGKSPDDATSDADSSDDDDDDLDFAP AGEEAAAASTTTGRPSTPPSNSS H257_02867 MLVPWQHPDSTPYSSIDQLVYFIDKYAHTDHPSSFPALHASAST NVTFPSNAPLGLRFIQVHRPSSAQIVLVDDIIIPNAFNHTVPRHAQLMRINGVDVGHL SAAGLDLVLREIQHLKRHCVFSPPPCPISSSPLHHGSSTAIPLLDVSPSPHDDHTTPS CWLHFLGHAGESSFPHRTLAMPCHVMDVACGPRHILLRSSSGRVFSFGSGDSGRLGHG DVLSRLDPTLIQALRSQVVVGVACGRDHSAVVCASGLAYTFGWGEGGRLGVGHDAGDV LWPTQVVLPNAVRGFHLVAAGREATVLVSRCGRVFVCGLAHVGPTAHALHLAPHHLDG CDDENAGAGGIVSVKAGDAHCVVRMSDGSLYSWGDGASGALGHGDLLTKVHPTKVPGL PSVQKVVCGAWHTACLTTQGHVWVWGDTMGSSTSSPTRIGNKSTSIDEDNPVCYRDVA CGGDGAMFVQPHDHTVWSWQGDNSGEQRTATRVWPPAVTNDNEHAATTYQAAAATLKL DAGGAYAVVRQPCPLKRGKLGHE H257_02868 MHGDHGLVHDIAHVRRRHHVLDRMMQSLWKELELRFQRHESPVE LVHQIVFNQALQPVREAPDLLFYVLQSRVDVPERLVVPVRTAAAVVVAKLAADAAHCQ RDAVGKAAALRFQCFQRPVHHRQRFFGPSQHRRMVPLWVQGMHVQRRRLVVHQSTLQL TQQRIHALRQDLDLRLEGVQPTMYRRRDLVRERLGLRFQRRQTLVDIPEGVLHVVHIA HGRVV H257_02869 MSRTVSMGISHADDVAEDEGDGAGRRLGVRSSSTSSLSPPPAVV VSYPVAFESPRLSHPRGPNNRFDNVKYVSDPHSANVAVSPLDDWSESPPMTTSRNARV NAASRSSRGIASRWSTDVNSNAAVSRRDMTVRAQLHRRFDGLSRIELVMTWRNAGMEA GADGSPRNQNCHSSRHDTNGYNHVVLVVVLAIGVIAVGVLVRRKLVDADDVVGAAGGV GGWIDHLEALAGMVDAS H257_02870 MDVMYLMAPREFLERVDTTLGRLDEALDKMQRHADDLGKPKPQH QHQHPSSTSFIPFASNTSQTSPAATTSSTTTQPAATTTSRSSDKRHSDTRSMNPRAVP DTWVSLAKQRTQAPPPPPKPPTPNPPRRKAPSSALAAPTILSDVPDLHFAWQDGSFR H257_02871 MTTSRVMWEYWFQGCMEPRMGPFRLLKQRDLSTASNRVQISQAR GVMKKQKHLVASAAHAEEMPQFKSMAVFEHAYTVLSTPAALAHLSDMLAPDHLPLPPR VRAADQRQAQGGGRRACPLDVCEMGDPAHDIGPFRHLRSRDVDRFANDRRPRKLLSSD RVLMEKLVDVALDAKLVMSVKDLDDMDEGSLEGVFHEAFARLMADSGVNSSNHGANKV HKYTYTTVYHMMTPSQRKRPRVDHLDDDDEGDNNHHEGVVEDDDDAEPDTAEPALDAY DPDSMIGE H257_02872 MDTSMDQQDQQHHHDEEDHEIEDHNEHGGPDNGSPGAADGPAAP NVMDAQQLQDAFDSVHRRLDALEETVESIPERIWSALDGRVGVTPSGIGVTNVINLAI KALTDAILISAAPDRFQKNRKGAVGNAGMRGATNDLKFDGRNNGKKLKAERLKRGDHH DSSPSTLLLLSNAGNRQQVSSPITIPNTRRHPKVEVPAGMPRFAWSDGSKQFAPQGWL LPTTSSRAMWELWFGGDDNVGPFQHLTAKDLEDATSKVNLSCARGVMVTLIQIALDQN LVPSVEFISTMTPADRANLFDQVFPRLIQNEPADVVEKAPSYTYTNAYKRLIKGKRKA QRSVGFDSSGHKLPNLPVVHHNAMHGPDWEFPATNCKTMWFFWFKGDDSNGIGPFRHF RPRDIDKEVTDGPARRQFSRARGVMEKLVDIAISHGFAVSVDELDHMSPVELETVFDQ AFDVLMHDSPDGSLVGDAPGQLRPEKMAGYSYGTVYSAMSQRKRKRADDETGGQYEL H257_02873 MSTSLFMTVRARDSCLRLVVSLANRSMSQLERWRNGPMPTAESP RNQSCHMARQVVDGNSHASGAWRLVPSDQWKIGRGGDKCAFGGCQEDLRGAFGMEMAW VEGDDARGRRVVDGIGHIKLWDGCWLDGRGGDGGGDCFTRQCCSWSSSSMEALSVN H257_02874 MASTADDANAASLASIQRDLRAMSAKTELVLQAQESRIQDIDAK LDRLLRAVEEGVGSLEKRSNALPDSICRPLARRWADTSQVVDEALQRMNQRLDRIEKD LDELPDKLQSRHQASSITLPNNEGFWPTTSQSSTSTPSSQHLHTTSVPTSHLATNHHP SQRRQHLDMLDPMSFTPRPSQVPSHYTTVPSHYTTFEPHMSSSAASLHDDLHPSNHPP SSNVLASSFRATTCRTMWHLWFHGDPATPDVGPLRHVALDESAGGSTRMQQSRTKVVM ETLLQLGRVSADAVAAMSTDDSNALFDRAFHAMLFDNPDGNLAGAVGKLRPDKAESYM VATVYNVLVNERRKRKRDAVEIHML H257_02875 MTRLARDRHDLSSRSLRSCDGRKRNGHTPTVVSPKCHKSHIVRR LAFFGNDHVSGTRRWVVPSAHSNSRGGIHGGGARACGVFLGMAVAVEATGLLAGTIAV DDGGVETRWSPLTATGGAGGMAESKRDTVGADTNVDTDDGASTGCVLAMEANIVSVNA FKASWSARDMSDPGAGGARRSSSPVHKR H257_02876 MSSWPAAACWATVMEVDTVGSASGSWCRVVTDRVAIPSGGVVVL DGPLYGSSISSTFRGRPRFFLGRPTCRGTTSSRLWLCVSPSSALIGFTSGLGMSDDEL WAPKMSSNRSDGVSRIVGALGGGTSAASLLTSLSAVFCRFDRLHSSSSFAGWSVVTLL VSTPPVSINTGDVRPNDVRLGRPAPPRRPPTRGIDVSSGPAAVHPFGRPGGFFREAKS DGPPIFLGRPRRLMGTSPRGLALLSAF H257_02877 MATDDFEATLEHGFNHIDEKVELIIDAQDARFRKLEEDLNAWNR RLDSMEQEIVRLPERVCSMMLQHVDALPADFHTAMATLTESVLQSLRTLNDAGPPPPA PMAADEPTFAVRTDDHGMDMNESPPHGHPRPPADVDAVDTRPKKRRRKPRPKKPPHIP EHDYFPSHLDRVTPVHEHVWADGITRRTPEAWRFPNSQVRLLWAYWFRGDEPNQIGPY RLLNGLDFGTRLACRSSLSHTRVLMKMLVSLAIEHGFATSEDAIADMSLKDTMLTFDK AFIEFQKVHPTMTSSSHSLGVLYSRLPRRRRKQPEGLNASLHVWADGSDRMQVPDGWA FPNTTCRVMWPWWFLGSPDIQIGPYRRLRTMDFTTPESKDLLMQARMAMHELALVAVA NQWAESEDAMEELAHDTLMDIFDKCYFILMHRNPKGNLAGPGRLERSTVHSLSIRTVA KAIRRARKLPINPPTKVFEWADGTRRQTPEEWRFPKEMTARELWARWFLGEPDTQVGP FRLVATLDVKDFDSRVYFSRAKFLLQDLVEIAKRKHFADSADAIAALPEDACMAVFDQ TYHALFHDNPLGYGNIGGTAHGLLRPKRGPLYMWKTVRECIRKLKKLHQENAADDVQA AVAQDTHNNDDDHQQTRDMELE H257_02878 MFWLSGAWLGGDRARLDGGSTGGSSSNSTNLPSSASSSSGGTSF RLMPVPLVSKRWMELTTYDVGRFVATRWGPNKLPSGLWNNKMSNARSKQMPRSISSIS LRSSKLSAKPWAIATSLSLRHSTLLLDVCWRHGLIFMRPDRRHRRGPKPTSGTPRNHR SHIDLHSSDGYTHPSGVTRCVPSDHVNADGASSLGGGVSLSFKSDGRASNTARNGSSV GSLACR H257_02879 MAGPPPSDPRASSPLHRTDVLDGRLNKIESLLQSFPDQVSAAVE AMMASMNATVLRRLDAVERAVRDVPASVGSKVDTSNAAVLRRLERVEKAAAVLRRQVI SNNLVIPHALAAKVDETDTEPEVVVGIQGFNTALKAFTEAITSTPRRDASTSTAALAP SAASAPNPSTTKHRRPPANTAATTPSKKPTNQPLTTKPIQRQSATGSRIPWSNQPAHS PSDDAQTQLAASSLGTGRPSHPKRTTRPVSSTPKPPANSFLRAEVEDSRSSQQTTSTA SPSLLQAALAINNSLGRDKMVLYATEDSPPRKPRQSKGPSSMKVSDIAALKQRQLKAT ISTSSHVPEQPKQGSSAGTNFPVAHQSPPPPPRFGSATLTRTPLASQYLASSANHPVT TTATASSNAPQAADPTGIAAHAEVTTTTTHSHEASALSLDDSSTIVPDSEDAPEPNWQ RTLEMSFSHLEQVHSQPTEPLAEPTPLLAASIPLKRKRGRPRKVPLTVLEPAPKKVKI APLPPPTQPTKRPRGRPRKVSQRPHEIVILTDDSDVDDNATVSDGSMQI H257_02880 MRDLSHLDVRELVEAIYHSLDGLDDRLAKLSQQILGSYPGPNQG PDVVDVDDAIVEPMTASSLYPEADPVTGPKDVTPASFTQPSASPPCLQPSPISSEHGM NASTDQLTVLPSLHKAARTACVTSLGPIVPSTPSPPLDTLHSNNPTNSRWKAQASNRS LPPPLQPPHYSRMQPSAPTPAKRPPDSASSQLCLAPPLRARETAAASHRSPGPFPPLQ KPPPPPVEPLPHGLFMWKDSAPHRAPESWRFPSTSCAAMWTLWFQGDPVHRIGPFRHL TTSDVSDVTSKIQLYYAQVVMGDLLSVALLHALAMSPDHIAKMSVASALSVLEMAMSL RGLERMSPPTRPTTSVPSLASEQLYIHVYQRIANSRIVPQKPRHDIRFL H257_02881 MQREASTDARLASMDRRLDMVFAAIDSLPDRIYQRLHEAKDHDP VRPKADTITLTMAEFTQALMQASMKPCDRPSASSSVHSLVEQHIQTSLRKDVVTTSGN SSVHIDNTLGISKATPTTYMKSMPSPTNFDMLIESPRSSSNDVAPHDLISATESKKSL SLPLIVSTDTIRDTPLLSLSRLPSPQTKKRKIRPSSQPYPPLSNDVHSVRPLNAVLPV APHGSSGCDDGNPDDVAKTKSRRHVPPPPQWRCHATLCKTMWVHWYRGDAANHVGPFR FLKLGADTDAQSRRLFRRGRKLMHALERVVLSSHWVESADAIAALPTSKFAALFEKAF GTFLGRTPHGTLTRPGFELVRADQVAFYMYSTVVDIMTKAETCGR H257_02882 MKDVRREEHILTSMHMVTYMKTHHKQWLDQHKATKKDPYKAILG ISARPSPVDTDFPSGSSVCCGWKTLLSILPLKSVSR H257_02883 MVATGHNVGIAFALNIAAGLATCIGGSVVFSKSLVHLASPKSLS VALSLSAGVMLFISLVEIFGKSVEGFTAGLGEDNADGEYECDQTCQGNSWLAGTACFV TGFCIIYVMDWIVHKISPDFSDELDLADLQALEVAVDPTPPHFHATGTPITNCQLDDE KIIKFNAKSRHQLNRMGVLTAVAIAIHNLPEGVATYIAAMRDLRVGAVLAIGIALHNI PEGIAVATPVYFATDSRCKAFLWTFISGLAEPLGGVLAWLVVGEGLNPVVEGVMFGIV TGMMVTISIKELIPTAVKYWPQGSIVTVAIFGGMLIMATSLILFAYAGV H257_02884 MRNVLVRLKRAFEDLQAASIKGCINKADRQLYKRAEYIKEQQED DASESESDRASDSDIDESSSNSNPTLMRNVLVRLKRAFEDLQAASIKGCINKADRQLY KRAEYIKEQQEDDASESESDRASDSDIDESSSNSNPTDNEVKQKPH H257_02885 MTSRPPQPTDTDRAIAYVKKSRPRQITHHDMLDMILVNAMLRQD DTPKASRTAACLLRRKPQLVQEVWKIFLETGGTVTKPDPTMDMSTRTSLPMTPTIVSI IQEFVRLRLQDRVRTVAKDVVHFLRAQRNLCFDPESDISTKAA H257_02886 MRNVLVRLKRAFEDLQAASIKGCINKADRQLYKRAEYIKEQQED DASESESDRASDSDIDERLSVWD H257_02887 MRPRKRNQNSYKNEERKFFLNKFKATHGVSERQFCRDNKLAFST WQGWRTNEAKILASKRHGRLATLGGQGLRELIPFKNELLAFMRDRRGTERYVRVFHLM RWVKRHHRPWLVDYLSTKKNDAVGYNSFRTLLLRFSYRHRFRHRVPCKSKLSQQVLDD VWLGYAASFWNKYSEYDKSQILNVDETALLFVRKLVDCGIRDKRKRCRRSILGHLRKP ASVHESTHIVMMKVDDDSDCRVVVNSRLAMLLMRSDGVEVAGPKIPINLSPPSPA H257_02888 MLTPEDIVAIFKRLRSMSLRRRIHFMEYFIVAIERPFIPNTRLQ LGTMSDVDSTLKFRFDVAGVNRLVLLLRTPGVFITKSNDRCLGTKALCIVLHRLSYPR RYIDMVVVFGRSRESLCRFFNDMVDLLYGMWNERMSKPAASPRPSLADHPDMQRHIYS DHKRIHYLNFQGVIATDSICIHFWGHATLLKESRLVEFLNDRETSFAGAIILPMATRL KGKCISAQQRYFNSAMSLVRLSVEWSSFGRMKTLWPFITFKMQQKIMLQNYMHGLSAH IEKKVALLLPEKFALVFDGPSARVVKYLSFESAIVKILRGTETTMTAEECESVIKLRN EETIESSAAAVALPVMSLAERALKKKKVTRVTSGFMDCRFLCTTSNMCERWPSETDVA A H257_02889 MVATGHNVGIAFALNIAAGLATCIGGSVVFSKSLVHLASPKSLS VALSLSAGVMLFISLVEIYGKSVEGFTAGLGEDNADGEYECDQTCQGNSWLAGTACFV TGFCIIYVMDWIVHKISPDFSDELDVADLQALEVAVDPTLPHFHATGTPVANSKLDDE KTIKFNAKSRHQLNRTGVLTAVAIAIHNLPEGVATYIAAMRDVRVGAVLAIGIALHNI PEGIAVATPVYFATDSRCKAFLWTFISALAEPLGGVLAWLVVGEGLNPVVEGVMFGIV TGMMVTISIKELIPTAVKYWPQGSVVTVAIFGGMLIMATSLILFAYAGV H257_02890 MVATGHNVGIAFALNIAAGLATCIGGSVVFSKSLVHLASPKSLS VALSLSAGVMLFISLVEIYGKSVEGFTAGLGEDNADGEYECDQTCQGNSWLAGTACFV TGFCIIYVMDWIVHKISPDFSDELDVADLQALEVAVDPTLPHFHATGTPVANSKLDDE KTIKFNAKSRHQLNRTGVLTAVAIAIHNLPEGVATYIAAMRDVRVGAVLAIGIALHNI PEGIAVATPVYFATDSRCKAFLWTFISALAEPIGPPGGVS H257_02891 MVATGHNVGIAFALNIAAGLATCIGGSVVFSKSLVHLASPKSLS VALSLSAGVMLFISLVEIYGKSVEGFTAGLGEDNADGEYECDQTCQGNSWLAVVGEGL NPVVEGVMFGIVTGMMVTISIKELIPTAVKYWPQGSVVTVAIFGGMLIMATSLILFAY AGV H257_02892 MGKSEFRRTGDARKAEYFAQPPRYFTVLRSALLPHLPQPKACDF AICGPLVPLSTVLEGATAGRCLLDEAVAEDEQGDGVEPTVQPPRYFTVLRSALLPHLP QPKACDFAICGPLVPLSTVLEGATAGRCLLDEAVAEDEQGDGVEPTVVRGKPSASPPG IHAYVNRVLGRILPGANVITNVTSHSFRRGGAHGSRELEHVRYEQVVHIEFFKAHLFS GCTGLSNTVLNVSPQVVDVLCAYLIKALPPFQSMKPDSPLVKRVDQAISASGVDLAEM ASWSIHLAQVQTTNTSEQDVPSSKYLRMIEHQAAVIDQLIDHTKTMSKRIRDVEHQVG LKTSPTSLHLPSHCCRESDEEKPGERRKRKNTCLWECWYDWFVNHAHSTELDKQWKST MRRCVAYMHLFADNLDVDESAATYRDTVLADGHILAAKVLAFLVERGVSASSTGSVER KLRAIHKKGELGVLILQSMARPPRRQPHVALPWAQLFTVAQGWTRQSYDNKSHKQGER GTWFAA H257_02893 MSFRRQNPFQERSRGQDQEDDRSPSPHHNAVHAVGERLILRGLK TLTNEMKLRPDEWHRVLTLVQSALNHQQVDRLGGVDPVTAFQHLPSTMTLAGFVHPRT KEVLTVDWFSKVRQKHMDVLRQALECMHREVSVRSEKLRQQVRGRREKKAHMLLAKFV LGDFALLGKIIKFPNKLALNWKGQYHVSRVDWECVTMVQQLVQPFARSVHHASRLKFF SDAALHITDALTKKKVQVQRYENIAVVLRRWIVKNASDGVVKEIHDDI H257_02894 METQQTVQPYDLSLHHACRLKMYCEGGRDVTEDLADHIAFGNEG FHVAKLGNVREDNGEYQALVYWLGLDEDEASWEPVRSLNEDIPIVFRRWVHQHEDQEE VKKMAADLEKTLGHSL H257_02895 MYCEGGRDVTEDLADHIAFGNEGFHVAKLGNVREDNGEYQALVY WLGLDEDEASWEPVRSLNEDIPIVFRRWVHQHEDQEEVKKMAADLEKTLGHSL H257_02896 MTTELDAAIAFVAKARPRALTVGEKLDIIRLQAHFCKPEIKDVS NHVATILGRSNKTIQTVWSQYCNDGTFNVAKTRSNKSTHATRVPGTQAVMTLVLDLLR TKRLTNSRVVVRDVMELLCEHGHLDVEKENPTDQRPSLRATQTFFKRRGFMRRKKKGL DIYMKLVVHALQEDTPRTIIYLDESFIHHKYKLQHCFRSRRYPDGNLLRRPN H257_02897 MKKKSKYNRGTRHEDYWLFGGVDRTTKQWFGVVTFGDRTKPTLL ALIKKHIRPGTHIISDKFGSHVSTNEQHTLANNDLLAGMRYTHSWVNHSENFVNPRNG AHTQQIEGVWEVRIKQYIKAMRGVRKERIPAFLDEYLWRSWYFASGAKGKKYFKGLIL GIRHKYGQ H257_02898 MGLAHFGHIGDRSNPTFMHHLTHSSSDCVTRITSSYEKSSSPDM SYMSGWLLGAAAGASTRAGIIYTSAQTNARADNVLKSSLTESNKVCRLNWAIKHVTDI DGAKYFNPMNDTSKRHQLKVMLLSAVARPRWYEAKGEWFTGKMGTWHFTEVVPEQRSS CRHDAGTPVMKTVKVTRNTYNAMIIDNVIPAIRSKWPNDETKRVKIQ H257_02899 MVATGHHVAMAFALNIAAGLATCIGGSVVFSKSLVHLASPKSLS VALSLSAGVMLFISLVEIFGKSVEGFTVSLGKDNANGKHECGQTCQGNSWLAGTACFI MGFCIIYVMDWIVHKISPDFSDELDVADLQALEVAVDPTPLHFHATGTPITNCKLDDE KIIKFNAKSRHQLNRTGVLTAVAIAMHNLPEGVATYVAAMRDLRVGAVLAIGIALHNI PEGIAVATPVYFATDSRCKAFMWTFISALAEPLGGVLAWLVVGEGLNPIVEGVMFGIV TGMMVTISIKELIPTAIKYWPQGSAVTVAIFGGMLIMATSLILFAYVGV H257_02900 MHHPLGEIELQRAVDQMHSSGPTLTEEEQGMILALNAVGKGQRE IERLIGRSRSAIALFLSNPVAYNANKRSGRPPKVTTNDVRCLLRTASNSFLSSRELFD ECQLTIKARRAPQLLNKSKHLKFIKALASPTLTKELMKAR H257_02901 MLARASPGSCRYSLPDACSYRATSARSSMSASCTTAIPVSRTRV SMVVFAIVSSSGSSTSLGWSSRNGPMPASSSPRNQCNHRIQHVVGTKHHVGGVRRYIP VGHRKNRLTLRRPTVGSWVVSATSTSKHVAASTTSPPSRRRNASHARTATERVSWRLG AGTPSAGRSESKPKSWATNSISWTIACDMANAAASSDDVATLSRSGFRLAVGVSGL H257_02902 MGMPPTPLPPNYFLQPPLFPTQVDKYFRLAEDTTRDVIAATQLD GGLIKWSQSSAMVEKSIANGQTMQQRGGGCTIYKGFDPTAPPGVMSYLVTMELEATLA QVAAMFAAYTPHELHEYRRRVAQDVIDIHQLYALATPTPDFPHRLVSIKYFLMKGAMP AITRPRDFCCLDSNLEFEINGVRGFARAMRSIELPCVPDFEASFNIVRGYEHRGGFVF IESSTTPGVLCAAQLHQVDPKGKLPTWLVDLGMKIRCRSFHALARLVNESRMTDQAFL RDHEVVAKASRSHCALCLSRFRVLFKPPARCRKCGEVMCHQCAPLWSVRTSHGQVRRV RVCQMCCRNSQPLQSSSRGPTEMTVVDEIGPEESEDSDAAINMLTPARWSFDEAEVAA TATMMQSLASSADRF H257_02902 MVEKSIANGQTMQQRGGGCTIYKGFDPTAPPGVMSYLVTMELEA TLAQVAAMFAAYTPHELHEYRRRVAQDVIDIHQLYALATPTPDFPHRLVSIKYFLMKG AMPAITRPRDFCCLDSNLEFEINGVRGFARAMRSIELPCVPDFEASFNIVRGYEHRGG FVFIESSTTPGVLCAAQLHQVDPKGKLPTWLVDLGMKIRCRSFHALARLVNESRMTDQ AFLRDHEVVAKASRSHCALCLSRFRVLFKPPARCRKCGEVMCHQCAPLWSVRTSHGQV RRVRVCQMCCRNSQPLQSSSRGPTEMTVVDEIGPEESEDSDAAINMLTPARWSFDEAE VAATATMMQSLASSADRF H257_02902 MGMPPTPLPPNYFLQPPLFPTQVDKYFRLAEDTTRDVIAATQLD GGLIKWSQSSAMVEKSIANGQTMQQRGGGCTIYKGFDPTAPPGVMSYLVTMELEATLA QVAAMFAAYTPHELHEYRRRVAQDVIDIHQLYALATPTPDFPHRLVSIKYFLMKGAMP AITRPRDFCCLDSNLEFEINGVRGFARAMRSIELPCVPDFEASFNIVRGYEHRGGFVF IESSTTPGVLCAAQLHQVDPKGKLPTWLVDLGMKIRCRSFHALARLVNESRMTDQAFL RDHEVVAKASRSHCALCLSRFRVLFKPPARCRKCGEVPSLR H257_02903 MLLDLMDRWMWWMMACMWTCTWYGMLVTFTITTLVVSLGDYLLT LALRLGAASIVGKSISIRWSFLQGSLVVENLCFSPSLLEPQITPYLPATVDKATLHRV SLSVPIFAILYQFFARKLDAIPTWGMEVDGLDVALVIDPAMKWRWKVQENKARFAAAV ENAKSARLQLLEAHTALILQKLVALATPPPPSPSPTATVATSASSHEVLLDAIAQKVK VSFTNIAIKLFAPTTGSLTFQWSAFRLCPSTVLDPLLESRQIELLGVGMAINPLDAKT NLVTTATTSVLYPFDLRIVADHAPVLQGLLKTSKDVHVALEWPELHLSMEPTQMATLN AIVAPMADHATWLAQAAVTDQLTCVDIGDPTQYISDYKAHVAYTSQGIVPIWRDTCLR RFHSLLGSAVGSNPSEDEAHRVARLQAVEATSVVMDVLALRREALAWPIPSYGDALPH ISDTTKAAADLDKFLVTPVEHYIAKPNAPPSPPFLNDLTVALALDRVSVQCWSSHTKP VLELYMDAISTTMTATPTATSVELIVRSIGLHDLRKTAANVFPHILGRNPDCSNMVQV ACRVDSANDVTVNCVVANFSFLLVTSPLIEAMQALALDEDEDVLIWRDDVVVPPPPSS PPVVYMEQVDRIHNPTLLQSMGLDATVRLAGCELCLLADPSSLQSHILALTCDVEVRA QSNRRGFETVTIAMSDVALQPCKVQMTADGIDLEIPGLRTLLELEGDGVDVEVHYEMH LPMPPPTADPPAKTASSSVKSETKRAWGVLKKAIEEGAVPDASTSTSVVAVGGAPAQP RLSGSLAPVVDNVAQRKLRLKVSDFALNVSKEDIGLFGAIQSRLEVQLEVPAKVLEAA QGKADRVSEARKRRLQEELTSRLRHQFDALDTDGGGSLDYGELMKLVEVLVADMGLTA EETQKCHETLVRQVDRDESGDVSFDEFEAALNPVEPPYLLLHQGTVQLTAQEFANPRL RRNHVPRLHRVTGQPVHLNDAAALAVFWKKYELQTGASKTSLHQQSPRVVQEKMVRVF KSLEYAQEAWTTLVNPNLKPAEQCPWMLLPREVAGAGTAQFEQTLTKQGTLDKATSLR HVSGLDLNQFEQDPVIIRTEVHTEFGGFYFRMVDKMLPAHTPALEFALEDVQLHGSVT TKETSAKQALDAVVLTFHTALYCKYYNTSARQLEPFIEYYPLQMAVKKDPGQDLACYL VSDYHLQMNVTATFMKALTATQAAFYDAPTRPDAVERDNIKALKGLCWICNQVGVPFT YYVESRKADKHKGATEVQVSLASEKATVQSLQYAVCRLLNEEDDLKGAMAENLKEKEM RAAFRAADKDHSGELDSDEVHEVLRSVLKVYDDLSSAEIDKQVKDFMDLADSDKSQTV SWKEFQLALAKTRTVALRTLSIEIDGFEPIHGITLDGLGEDMVVELIPKISIPFDPTN IESMYNAGKAYLTQEDVSVDDLNRGVYLMHLVAEQDPRHSWTQSWLDIHEPKYCPRLL AVHVTVDNTHGMTVTIKTAEYIRNETAKLTQVLLLDAHDAPSELNPPQPDSNGLVERY VLIAPYSSFSIPLPLLEHGAFMIRQVGEVEWSHSLPLTVWPGRQTGHDVTPYLTIDDQ PTTIERFKEGAWAIVLRPQLIVQNTLPCVVEYKIVQRFDVYPEAASSSSTGRRGSIDV MDKWFDKVDQVNCRHMSIASGATMQVSGLKLDEPAYMKVRLMVTEGHPVGGWSPPFQV AIHADSYEKFSGLATTTVRNGPTVQLKYTWTKHVPRTMDLLVPYWIQNRSGLDLRYKI AHGDFCTWEQHAEYFGDGFHTVPMLVTAPLTKATMAVLPYRPTPFEFDWQASLAPQVR KFLPAFESLKYSQPIDMTAVGTFSELSCGVSGCVLGYEIAAAPSQFQQSKVLVLLPRY VVVNQVQRPLQFTALTLAIKTASADNFNVLLKPHQALIIYRFRGKDKQVPALRCRDAL VNDVWKGPGPWAPVVPLSVKQATCVWLRGPLGAAPYIEMDVQPSGGTTATTFVLVKDR TLSPAIRIENRSTQYALRYVQLGVKSAQELVVPPMRWHTFAWDSPFESDLKLKVYIGS TAAPTHVDLMQMKALEKLTTDEGRTTLYGEVYIDGNTRVLAVGDEAVFHEDRRLMGDD LVRDMVLDVGLHGVGITIVDDTEVMNVTLDGLHMTSPAHSNAVTYDLHHFQVDDMTYR PMFPVLICPADSGYNSNKKEGWLVEHGEHPWFHMVIDSVADGSMLVLNEFVVAMGSLD IKLNLDYILHVLDVFWSILYPPQTADEMELAGQVAVRQLLNQRLEVPDAASLGQLMYF KHCEIQAYRLNVVLHSAPEDSDSGLSKMLGSTAGNIIGGIAHITPEFYIRNVTRDDRF LYYDDFLWNTVIFDTIVGSCVSQWYKVVGSMEVLGDPVGLLHEFTDGLALAVRQTKRE FTGKSRHKGQGAVTLMQTLIGAPSEAIGKASNGVGDILKKATQFESQENESEPRHLPE GMLQSGMVLGKSLAYGVSGLVTKPMDGMKESGFGGFAKGVGQGAVGLVASPFIGVIGV VEKLSQSMHNTTHLMDEKHYEGTRRIARKGALKSIEDSPLLAEIEVLLDKVSGVPLKS NVKVYVTLNELLQPADPSTPVWRRIGKEVDKFKSKTRRHAMGQADLNQSRIVDVTSID MVLVFDVVHKRKPLPRKSLGKLYLTMEQVYEHFAAMPKRFKSNATIKEHLKSRKVHQG SIFAQSLEPPKVLKSKKESPPLPPLTGHAAGWEQAFQRAANILKEEHDTHSYTASGSV HQRTSQSNMSNGSASGPREDATLPPKKSIAFALVETDEGAPKLHLNIRYFNTMRS H257_02903 MLLDLMDRWMWWMMACMWTCTWYGMLVTFTITTLVVSLGDYLLT LALRLGAASIVGKSISIRWSFLQGSLVVENLCFSPSLLEPQITPYLPATVDKATLHRV SLSVPIFAILYQFFARKLDAIPTWGMEVDGLDVALVIDPAMKWRWKVQENKARFAAAV ENAKSARLQLLEAHTALILQKLVALATPPPPSPSPTATVATSASSHEVLLDAIAQKVK VSFTNIAIKLFAPTTGSLTFQWSAFRLCPSTVLDPLLESRQIELLGVGMAINPLDAKT NLVTTATTSVLYPFDLRIVADHAPVLQGLLKTSKDVHVALEWPELHLSMEPTQMATLN AIVAPMADHATWLAQAAVTDQLTCVDIGDPTQYISDYKAHVAYTSQGIVPIWRDTCLR RFHSLLGSAVGSNPSEDEAHRVARLQAVEATSVVMDVLALRREALAWPIPSYGDALPH ISDTTKAAADLDKFLVTPVEHYIAKPNAPPSPPFLNDLTVALALDRVSVQCWSSHTKP VLELYMDAISTTMTATPTATSVELIVRSIGLHDLRKTAANVFPHILGRNPDCSNMVQV ACRVDSANDVTVNCVVANFSFLLVTSPLIEAMQALALDEDEDVLIWRDDVVVPPPPSS PPVVYMEQVDRIHNPTLLQSMGLDATVRLAGCELCLLADPSSLQSHILALTCDVEVRA QSNRRGFETVTIAMSDVALQPCKVQMTADGIDLEIPGLRTLLELEGDGVDVEVHYEMH LPMPPPTADPPAKTASSSVKSETKRAWGVLKKAIEEGAVPDASTSTSVVAVGGAPAQP RLSGSLAPVVDNVAQRKLRLKVSDFALNVSKEDIGLFGAIQSRLEVQLEVPAKVLEAA QGKADRVSEARKRRLQEELTSRLRHQFDALDTDGGGSLDYGELMKLVEVLVADMGLTA EETQKCHETLVRQVDRDESGDVSFDEFEAALNPVEPPYLLLHQGTVQLTAQEFANPRL RRNHVPRLHRVTGQPVHLNDAAALAVFWKKYELQTGASKTSLHQQSPRVVQEKMVRVF KSLEYAQEAWTTLVNPNLKPAEQCPWMLLPREVAGAGTAQFEQTLTKQGTLDKATSLR HVSGLDLNQFEQDPVIIRTEVHTEFGGFYFRMVDKMLPAHTPALEFALEDVQLHGSVT TKETSAKQALDAVVLTFHTALYCKYYNTSARQLEPFIEYYPLQMAVKKDPGQDLACYL VSDYHLQMNVTATFMKALTATQAAFYDAPTRPDAVERDNIKALKGLCWICNQVGVPFT YYVESRKADKHKGATEVQVSLASEKATVQSLQYAVCRLLNEEDDLKGAMAENLKEKEM RAAFRAADKDHSGELDSDEVHEVLRSVLKVYDDLSSAEIDKQVKDFMDLADSDKSQTV SWKEFQLALAKTRTVALRTLSIEIDGFEPIHGITLDGLGEDMVVELIPKISIPFDPTN IESMYNAGKAYLTQEDVSVDDLNRGVYLMHLVAEQDPRHSWTQSWLDIHEPKYCPRLL AVHVTVDNTHGMTVTIKTAEYIRNETAKLTQVLLLDAHDAPSELNPPQPDSNGLVERY VLIAPYSSFSIPLPLLEHGAFMIRQVGEVEWSHSLPLTVWPGRQTGHDVTPYLTIDDQ PTTIERFKEGAWAIVLRPQLIVQNTLPCVVEYKIVQRFDVYPEAASSSSTGRRGSIDV MDKWFDKVDQVNCRHMSIASGATMQVSGLKLDEPAYMKVRLMVTEGHPVGGWSPPFQV AIHADSYEKFSGLATTTVRNGPTVQLKYTWTKHVPRTMDLLVPYWIQNRSGLDLRYKI AHGDFCTWEQHAEYFGDGFHTVPMLVTAPLTKATMAVLPYRPTPFEFDWQASLAPQVR KFLPAFESLKYSQPIDMTAVGTFSELSCGVSGCVLGYEIAAAPSQFQQSKVLVLLPRY VVVNQVQRPLQFTALTLAIKTASADNFNVLLKPHQALIIYRFRGKDKQVPALRCRDAL VNDVWKGPGPWAPVVPLSVKQATCVWLRGPLGAAPYIEMDVQPSGGTTATTFVLVKDR TLSPAIRIENRSTQYALRYVQLGVKSAQELVVPPMRWHTFAWDSPFESDLKLKVYIGS TAAPTHVDLMQMKALEKLTTDEGRTTLYGEVYIDGNTRVLAVGDEAVFHEDRRLMGDD LVRDMVLDVGLHGVGITIVDDTEVMNVTLDGLHMTSPAHSNAVTYDLHHFQVDDMTYR PMFPVLICPADSGYNSNKKEGTILQCD H257_02904 MDSSYRYPSSSSGSKRQYGGNSSGESHDQKRPRQSEDAGSSSSN KPSRAIAVRGLPKDCLESELLALVGPFATVEKCVLIPSKNEALVHLPDLDSATNLVTF YQSRDALVRGQKVVFAFAPPSRDSFDSSSHTSSTGPPQSSSSRSYSNAPPSSSSRAPP QQSYDRTRPSDHRTDRPPPSSSSGFDRGSNTSGPPPFSSSYDSRRGGPSSPSPYDRSR HAPPPSTSGYVRPQHGGGGPPSHRYPPVDNRHAPPSYDSRGPPPQSSSSYAAAPSYSS SGPPPSSYDQRRSFERPPVSGSSYDPPHRPTTTTYDRPSSSFDRPPPSSYPASAAPDP IAGKNTILIVSISKMDFPVNVDVLHQVFSKYGTVLKIVTFTQRGEFKALVQFQATEQA VAAQVALDGRDIYTGCNTLHIHMSTHKSLNVQCNNDKMRDYFNPNLPSVDPAEHQGEP RGMLGDMPPRRFSSPDAARGRRPAEYPPPPSSSMYPSAPFGGPPPPPYRRDDSRDRGP RRSRDRRSRSRDRDVGADRSTVLICSNLDPHYLKVHSLFTLFGCFGDVLRVKVMFRKP ETALVQFVDERHAQSARDHVDGLVLCHKKLRVDFSKHLTVVMPRPDADQFEIQNTRDY TNTPYHRYRKRPLSEVVPVTTLLHISGIPVSMQLQPGDTAASSRLLNMFADFGAIKKF HPIAKQPKMVLLEMGTVEEAFDAMIALDNYTFNDGRIRVSFSKSYR H257_02905 MFQFRPNSLTDEAKFAIGRVGEAHVYDLLRTEYPPESVEWINEV EETGRPYDICIHHQSGGTEFIEVKSTSTYDKRVFEMSVQELECATQKGSQYSIYRAFA IRPHGALPDSRVIRLRNPITLLRHKKLALSVLMTDERHLSAILPSMD H257_02906 MYSCTGCYFSFAMMLLRPSLLVKSILVVATVGSRTQASQVDLTV VAEWIQALVQWTHSVVDDGSLSFNQHNVTVGHYSEPHHTSLSLCLDSYLLQPNENALH AIHSTMLLPSSLFSVAKLANDSLPATARAIQIESPIVRDCFNPNTNSSETTAVARAVR SDIVVLCGADAVLLHVEGQVESVYYVVDKSLAVVSKHTKAWMNPMDHDISLEVSQSMQ QCVNGHGGALTVRGVVGGGWSVQRSHASNIVAGYASAFDLTFIKASQQVHLENGTAAT KWVRSAADTTATALLQAGQLCHAEGGDGCYLSPMFIRRPGVLISVAAKWKILSNYMHV VVYSGAIVMSLLALLSVATGAPTHRRLGYATITDPIQVDYDSCSSSEEESGYI H257_02908 MSSVLNCIVTPRSSNFRCEVASRTFEEGVSTLTVRDQQGQAIHN ARNLIRSAHERQTAEVLARLTARRACLLRDLDRTDAQIATKVNKIDAIDQELLALPKI L H257_02907 MDVRYGRHHVPPSSVVAPVKGSKKGVGVTAVDIGQISKLPVVPN ARSRPPQQSAGVTDAALTTVFKSDVMLLQLKCEKLERLWGTRDSQSPPTCDHDIRAIV AQTSNVEAILAREKYASMAEAVCKLSLKIELNDLVGRKMQAVETLVLQNTAMAPTPEQ WTLPSTVTLSRLPTFLALIDRLVQLHSTVARLAVAPPQSAKSYEPHNNAPPEVVVSIE PHGKEEALRAHIQQLQCELAHTATDLLTKHRVAENRWHRELEVLKHECAALNNTIMGL HEEVDVTKRALRHTTQELETASLKLELLQQECQRHDTTMKTLVGENEEMVNQLRDRHA TATAHWTAELDGIHRRFQTQLEEVHAQQRAQQQQSDESHATQLQSALDKVATLEDSTY ELKGLVTTLTEEDDALVQRIEHWLASVEGPTVSPELALDVDGISALKVAKPLARAFQV LAAVVPSVAASDARQSTTRQFERELLVALGEVAQANETISSLEALAQTRWEVIEQLRA QLDEWTVQRGMKEMALQQSITSLNQEIACQLDCIDQLQKDKRALVQSQCNDSEVQAID SYEQVVKQEIHVMKRAFELKARLAMEQMDTQDRAHFKQVQELLRKHKDERMADQLQAK KLAHECRTLQEKCKLLEAM H257_02907 MDVRYGRHHVPPSSVVAPVKGSKKGVGVTAVDIGQISKLPVVPN ARSRPPQQSAGVTDAALTTVFKSDVMLLQLKCEKLERLWGTRDSQSPPTCDHDIRAIV AQTSNVEAILAREKYASMAEAVCKLSLKIELNDLVGRKMQAVETLVLQNTAMAPTPEQ WTLPSTVTLSRLPTFLALIDRLVQLHSTVARLAVAPPQSAKSYEPHNNAPPEVVVSIE PHGKEEALRAHIQQLQCELAHTATDLLTKHRVAENRWHRELEVLKHECAALNNTIMGL HEEVDVTKRALRHTTQELETASLKLELLQQECQRHDTTMKTLVGENEEMVNQLRDRHA TATAHWTAELDGIHRRFQTQLEEVHAQQRAQQQQSDESHATQLQSALDKVATLEDSTY ELKGLVTTLTEEDDALVQRIEHWLASVEGPTVSPELALDVDGISALKVAKPLARAFQV LAAVVPSVAASDARQSTTRQFERELLVALGEVAQANETISSLEALAQTRWEVIEQLRA QLDEWTVQRGMKEMALQQSITSLNQEIACQLDCIDQLQKDKRALVQSQCNDSEVDGFT EEG H257_02909 MDINTLFGVKDQVVLITGGSRGIGKMMAEGFVRNGAKVYISARS DSVCNETAAELNAMGPGKCIPIPEDVSTVEGCQRLAKALGEHESKLHVLINNSGVAWG GGFDAHSAKAWSKVMNLNVTSPFFLTKFLLPLLQTHARVIMVGSIAGLQPQDLGTLAY DTSKAAIHHLTRVLAVQLAPRQITVNCIAPGLVPTKMSQQIATATGKGFQQMADLAIP LGRPGSPSDMAGPALFLASPAAGWITGTVLAVDGGQVFATPTGDHAKL H257_02909 MMAEGFVRNGAKVYISARSDSVCNETAAELNAMGPGKCIPIPED VSTVEGCQRLAKALGEHESKLHVLINNSGVAWGGGFDAHSAKAWSKVMNLNVTSPFFL TKFLLPLLQTHARVIMVGSIAGLQPQDLGTLAYDTSKAAIHHLTRVLAVQLAPRQITV NCIAPGLVPTKMSQQIATATGKGFQQMADLAIPLGRPGSPSDMAGPALFLASPAAGWI TGTVLAVDGGQVFATPTGDHAKL H257_02909 MDINTLFGVKDQVVLITGGSRGIGKMMAEGFVRNGAKVYISARS DSVCNETAAELNAMGPGKCIPIPEDVSTVEGCQRLAKALGEHESKLHVLINNSGVAWG GGFDAHSAKAWSKVMNLNVTSPFFLTKFLLPLLQTHARVIMVGSIAGLQPQDLGTLAY DTSKAAIHHLTRVLAVQLAPRQITVNCIAPGLVPTKMSQQIATATGKGFQQMADLAIP LGRPGSPSDMAGPALFLGTSSKG H257_02909 MMAEGFVRNGAKVYISARSDSVCNETAAELNAMGPGKCIPIPED VSTVEGCQRLAKALGEHESKLHVLINNSGVAWGGGFDAHSAKAWSKVMNLNVTSPFFL TKFLLPLLQTHARVIMVGSIAGLQPQDLGTLAYDTSKAAIHHLTRVLAVQLAPRQITV NCIAPGLVPTKMSQQIATATGKGFQQMADLAIPLGRPGSPSDMAGPALFLGTSSKG H257_02910 MSLLLGVIYATLTNTVWGCYPLYWKRLAEIPALQITAHRIVWSF VLLELLLVVTGRWKHFHKTAFTRLNIATGALSGTLVGSHWLLWVWAVNRGFIVQASLG SFMIPLATMFLGMLFLKERFRLWQWVGMALAIGGVTVVAVGNDTFPWVSFVLALTFGL YGLVKKQTKLDAIEGMTLEFAIMSIPSLTYLVVAEVHSQGVFSHVDVTTDLLLVGGGA ITAIPYVWFSTAALRLPMTILGIFGYIVPTGNLLIGVFVYHEPFSTTKLAGFVLIWIA LAVFSVESLLVPAASVDSKDQLPTSVIHSLDVTNANASTTEVGDAYVPFEEHSPVLVV VQRD H257_02911 MQTSSAVGLGAVPPSSDPQRLLSYVLNIMPQYTSMELSTMHFRL YNQHLRLELEQMRQTLEFMQERAHDEEDKRLFLEKYASEVVKERNELLHHKGKKSHKL WHNCCRKHASYDLDVTPSIASLRGEKLTEVGLQLKQNMQALQEKDRLLQTAQHLAHTK QIELDAHMSSCRKERDQLHDYIAQISGLQTAQERQLYEAQSQLAVEVEANDKSHGEID QLHRRLEQVEGQLEEQSAVLADKDSQIQHLTHLLENAADVERQLQDECRRLAHPGRHA EIEALHSQVEALQTADMTQLTRKYTKHIQVLQDQLDRQSRTIDGLEQELHRFRPMTLD DMSDKDTPPSVAASSWHDSLGSVSQYSRVSLFEASHPLPPSLVSQGRSRSRSSSSSSS SRRSRSRTSSTSSKPHAGSDIDRDGILHQLQLLVHESTHRRQVEEAQARMDQDYLGLV RRRVDAYASH H257_02912 MTFRKSKWSNDSSDLLTSHFNAMLAHHTQDVLHPYAGLLLTYER SSIDVGTTLDGDDDNERHPALDLVTDIEMAIKPSPQSTTMCPICLDPVVPNPSSPAAD AAGVSTTMTEPTSYELSCSHVYCYHCIKTYVAKKVDDRKVDADQLTCPMVECRSAISV VNILQTTTEATFLKYMRFVQQNQFERMPHGRWCPSASCDAMVDCNPKIATFQCSFCKT KGCFKCGNLSHPFRTCHQAMDAQYRDWEARQRRTSNPVKACPSCGVRIWKLDGCAHMT CSKCRHEWCWVCHFRWSAHNKRVCDVVHAWESPYWGPSWPVRFVTKMVVAPCALAVGA VGGLLFGLGLALYGLVIALPKHVYKQLKWQTRRHRAKPSVLSTEFIEQFQQGVHVYIH QEDHVDENDPNHNDWLQGLANGIGRWRNRREDQYVQYAQSVIAGVGGFVSYLSTSATR RTSLDGTPNAITVVVLHGTTTLPHDDVLRALVAVPYVAEVFLVINAQPETVWTAEVAH ALTALQRIRPHAHLLAVPNDHPEFNQAYLNAIYTALGHFFLPRRAMAAGLTAPPFATV H257_02912 MTFRKSKWSNDSSDLLTSHFNAMLAHHTQDVLHPYAGLLLTYER SSIDVGTTLDGDDDNERHPALDLVTDIEMAIKPSPQSTTMCPICLDPVVPNPSSPAAD AAGVSTTMTEPTSYELSCSHVYCYRTSSATMTCIVICMALCAICRLHQDVRGQESRRS QSRRWYANTSSVLVLTEDAIDQLTCPMVECRSAISVVNILQTTTEATFLKYMRFVQQN QFERMPHGRWCPSASCDAMVDCNPKIATFQCSFCKTKGCFKCGNLSHPFRTCHQAMDA QYRDWEARQRRTSNPVKACPSCGVRIWKLDGCAHMTCSKCRHEWCWVCHFRWSAHNKR VCDVVHAWESPYWGPSWPVRFVTKMVVAPCALAVGAVGGLLFGLGLALYGLVIALPKH VYKQLKWQTRRHRAKPSVLSTEFIEQFQQGVHVYIHQEDHVDENDPNHNDWLQGLANG IGRWRNRREDQYVQYAQSVIAGVGGFVSYLSTSATRRTSLDGTPNAITVVVLHGTTTL PHDDVLRALVAVPYVAEVFLVINAQPETVWTAEVAHALTALQRIRPHAHLLAVPNDHP EFNQAYLNAIYTALGHFFLPRRAMAAGLTAPPFATV H257_02912 MTFRKSKWSNDSSDLLTSHFNAMLAHHTQDVLHPYAGLLLTYER SSIDVGTTLDGDDDNERHPALDLVTDIEMAIKPSPQSTTMCPICLDPVVPNPSSPAAD AAGVSTTMTEPTSYELSCSHVYCYHCIKTYVAKKVDDRKVDADQLTCPMVECRSAISV VNILQTTTEATFLKYMRFVQQNQFERMPHGRWCPSASCDAMVDCNPKIATFQCSFCKT KGCFKCGNLSHPFRTCHQAMDAQYRDWEARQRRTSNPVKACPSCGVRIWKLDGCAHMT CSKCRHEWCWVCHFRWSAHNKRVCDVVHAWESPYWGPSWPVRFVTKMVVAPCALAVGA VGGLLFGLGLALYGLVIALPKHVYKQLKWQTRRHRAKPSVLSTEFIEQFQQGVHVYIH QEDHVDENDPNHNDWLQGLANGIGRWRNRREDQYVQYAQSVIAGVGGFVSYLSTSATR RTSLDGTPNAITVVVLHGTTTLPHDDVLRALVAVPYVRMEEGVLDMQGRC H257_02912 MTFRKSKWSNDSSDLLTSHFNAMLAHHTQDVLHPYAGLLLTYER SSIDVGTTLDGDDDNERHPALDLVTDIEMAIKPSPQSTTMCPICLDPVVPNPSSPAAD AAGVSTTMTEPTSYELSCSHVYCYRTSSATMTCIVICMALCAICRLHQDVRGQESRRS QSRRWYANTSSVLVLTEDAIDQLTCPMVECRSAISVVNILQTTTEATFLKYMRFVQQN QFERMPHGRWCPSASCDAMVDCNPKIATFQCSFCKTKGCFKCGNLSHPFRTCHQAMDA QYRDWEARQRRTSNPVKACPSCGVRIWKLDGCAHMTCSKCRHEWCWVCHFRWSAHNKR VCDVVHAWESPYWGPSWPVRFVTKMVVAPCALAVGAVGGLLFGLGLALYGLVIALPKH VYKQLKWQTRRHRAKPSVLSTEFIEQFQQGVHVYIHQEDHVDENDPNHNDWLQGLANG IGRWRNRREDQYVQYAQSVIAGVGGFVSYLSTSATRRTSLDGTPNAITVVVLHGTTTL PHDDVLRALVAVPYVRMEEGVLDMQGRC H257_02913 MQHLRRHSIKKARGTAPSLRRFFSSEDGNQPDRRRPVVTFEDIS TATYRVREGIQHTSCNYSAFLSKRMGYELFLKKDYRQMTGSFKERGARNALMLLTPEQ KLRGVIAASAGNHALALAYHGRMLGIPVTCIMPTIAPLAKVKGCQELGARVILYGAHI LEAKTKADEFVDSEDLVYINGFDHPNIIAGAGTMGIEILNQVPKADAIIVPVGGGGLI AGIALAVKTLNPAIQVIGVEPENCASFTAALAAGYPVDTTNKPTLADGLAVPKVGANA FEVAAPLIDRMVTVSEKAIALSVLRLCELEKVVVEGGGAASLAALIDDKLPDLRGKRI VMPLCGGNIDTSVLGRVIERGMAADGRLVRFVATVPDRPGGIAGLATMLSEVGVSVKD IYHERAWLHSSVSMVQVKCVVETSSYEHGMELKARLEQAGYPILWGNEAIRMGE H257_02914 MARAIQHRKRPSAATTASHGKQPPTPSTSPPRSELFGYLSMLAL SLQFGLQPLLNRAYAGTIRSNAVMVIVCESCKLVLAVLAISVKLRTNPTFLSSWNLID SLKFSGLPACTYAVQNVLIQVAMQHLSPLEFNLINQSKLIWTAVFVYFLLHRRFTLVQ CSTYNLLSPIVFTCNAVAMAMLMAASLLLSAGGSGSSGDHSDMSSTDRFYSGFVPVLV ASVLSGFGAALTQLSLQTHARDASLVTAELCVYGSLFLLANMLLQSPSATSLSFDGWT IYTLIPVVSTATGGLLVGAVTQFAGGVMKSYSLIGGIALTGVLESILYQKALTNDLYI ASGLVVASMYLYSSYPYVAPPTTPKTKQQ H257_02914 MARAIQHRKRPSAATTASHGKQPPTPSTSPPRSELFGYLSMLAL SLQFGLQPLLNRAYAGTIRSNAVMVIVCESCKLVLAVLAISVKLRTNPTFLSSWNLID SLKFSGLPACTYAVQNVLIQVAMQHLSPLEFNLINQSKLIWTAVFVYFLLHRRFTLVQ CIAMAMLMAASLLLSAGGSGSSGDHSDMSSTDRFYSGFVPVLVASVLSGFGAALTQLS LQTHARDASLVTAELCVYGSLFLLANMLLQSPSATSLSFDGWTIYTLIPVVSTATGGL LVGAVTQFAGGVMKSYSLIGGIALTGVLESILYQKALTNDLYIASGLVVASMYLYSSY PYVAPPTTPKTKQQ H257_02914 MARAIQHRKRPSAATTASHGKQPPTPSTSPPRSELFGYLSMLAL SLQFGLQPLLNRAYAGTIRSNAVMVIVCESCKLVLAVLAISVKLRTNPTFLSSWNLID SLKFSGLPACTYAVQNVLIQVAMQHLSPLEFNLINQSKLIWTAVFVYFLLHRRFTLVQ CIAMAMLMAASLLLSAGGSGSSGDHSDMSSTDRFYSGFVPVLVASVLSGFGAALTQLS LQTHARDASLVTAELCVYGSLFLLANMLLQSPSATSLSFDGWTIYTLIPVVSTATGGL LVGAVHFV H257_02915 MGNGSSSETKPRGSSAAAPARPSVQAQSSFQRIANNYSNLRDLK QDLRRRGLESCNLILGIDFTKSNEWTGKRTFRGQCLHDVSSMRPNLYEEVMDIVGRTL SDFDDDNIIPVFGFGDQVTGDHSVFSFMPLQNSGQPTIPGYALQGVRPRYREIAPHVC MAGPTSFAPIILQAVNTVIANRYAYHILVIIADGQVTRSVDVPDGQFGKQELDTMNAI CYASNFPLSIVLVGVGDGPWDTMAQFDDALPQRRFDNFQFVEFNQVTRDVTQRETQFA LHALMEIPDQYQAVRRLQLMQAPPRPLPPPFTPMVLPPPEVQRMPLPGALNYAPANYN PIHSTPMYYPGGGGAAIVQPHQPQFPAKKEIPVYAPPAIPVAAPVAEEMVNCSQCTYM NRASSATCDVCGGSLGLPSSSSSSTPSAPVTSSTTNPADQALMTRMREMHEAQLCFIC EDRKKDTVFQCGHETCATCSSALSDCPVCRVPIQTRIHRYGV H257_02916 MSRYTVEVKPRNALSSMPKLASFKDQAAPSWLGGRVTIYAPMVM RDPKEPHRVSSALEKLFDLTLVVALSAVSTQFATNIQYGGDMSHSFTMFLISFFTIWN TWFPYVWFATTYDVDDVLYRVGTFGEMVGILMISDGIAHNITEVVVGYIVLRFFHAVF FRLRAAYEDPARRAVNVKHSVLSTLVMVCWYFQQSFSSTYASQVVGFAVLACCDLAYP YVAQRSTAPAGRTKYHAHHVSDRYSEFTTMVFGESILSLSHATVLKSATAFNGEAIST CIASMVLLFVLWWIYVLVPFGQILHDNPTLTYVVAYGHFFIHAPLAAFASGIYVMGLA TQAGGSSHGRRLDGDDTLAISVDTAAYVISMSITMLFVSIPLILSLPHKILLRNALAS VVLNVIAATAPGHVTVSTLMWLFCIPVVAVLGIVLMTYRKTVPGLGPPSTAAVVAVDG H257_02917 MNTSSSIPATMNQSSPQPLRAIVKARSLIRRNSTGSQSQLLTRG GLIHMPMRARDPKEAHRVSSALEKLYDLTLVVGLSAVSHQFAEHIQAGHDLAHGFLMF FMSFFALWNAWLPFVWFSSTYDVDDVCYRLGAMGQMMGILMVSDGIDHNMGEILSGYI LLRLCYTFLFRFRAAYQDPPHRSVNIKHGVASVVIMVGWYAQQTIADDGNAWFVVVFA AIGLCDLAAPALVEHFSTPKMPFHPHHISERYSEFTIIVFGESLLSVSHSTVLKPKAF NVEALKTSSASVLLLFALWWLYFLVPFGKLLHDHPHKVHFVGYGHYVIHIALAGFATG LYLAGLATHEDVVVTQTRTRELAGTSSDVSIMTASWVVAISLSVYIVIMPILIAAPWF SLAKNIVVAVAFLLTAAFVTPHVTVGTLLWVYCVPVLIFLPYVIWRTRLKVPYVIPS H257_02918 MLRRSTTRTEETSHPAPSSSPPSEYKAPTPRPRLSSGMPILGPV NVMIFVVLFVVTLASRVVEENSFSNPPSSHATLQSEYAESGQFRSRRLTADAPNAGGF MAKAAAMHAMPSTAAFSSSSLGQDIASTFRQAPKSFDAAAVDRMLVWTGHIDLAVAHG VPDVWDTLQRQVKTLVNTTSSDGYIESESEHSQERYVPHDCRHAADNTTAPCPPGNYG RTVLLRSWSLSWRLPSPLFASSIEATAALVKHLPNVSWVKDKSASATDITEQFIDTKS RESMLATTYDTLEKVLVQATTTAEVMDVMRELQKVAETLEHTRQTAAYLSKSASLSTL RIGMDEAVPSGLVVMVDEPLEEEAAVPWSPAASVARAFRWLLKILEWSIDALIVAVVV GVPIAAGASAMAVAVRYVALARGSKDSYDRVE H257_02919 MADTSPTQVLDYVFLGSRQHAKNRAMLESLGITHILNVTPTRKV DPVAGVPNFFEKDNVFTYRRCALFDNQGEDILTSLDGCIAFIDQAKFHGRIFVHCKAG VSRSASIVLAYLMKANAMPFDEALSFLQHKRPMVNPNASFRTQLQAFEKRLVRQSATG RPGTAPSGPSSAAASIGPQLPPHLKRPAACDNVQNDRVDATSMSPKWKKAKVDE H257_02920 MFQQGGAVDMTDQVSDFHLSTLLHPSHVMELQSYFSRSSSQQLT LAQFTKALKKVLGPSTTIPDDQLARMFHRIDANSDGSVSWDEWCDFFLISDQRHINLY RDMHSSILHPRDGYSSKDPSIGTRNGLIVHILAMLVPMELLKPPVWLYVTCARNGTVS LWDPSSLAVLGSLPPPSSTQWVTNMIALSVPDFVAVSVVDRYIYIVQLSSLSVVVTLG PLAHAAVGMAAFRNADGEHMFACGDMGGGLNLRTVCTVSWDAVAFSNHTIRHVIHSDW VTQVTYLAAYRYVITSSMDSTIKFTNLSDGTVQRQFDCHQSGVYVFYYSSQLNLMASS GARSVLLWNPDQMDVLASLQGHASPVHQMVMDERAYKLFTLSMDKVLKVWDCYTYQCT QTIVDPTEYFPDEHIGRIFWDHTQQHLVSSTTRLRVWPTHTVLQTSTRTSHDCAITCA TYTSVLHQVATGDEHSSIHTWDATTGELVMRIPRAHGANEISVVTYDASGKRVLSGAT DGTVHIWNGSNGQLLSRVHRAEPLSGPMEISAIVYVVPQVTAKSLNQDRYFVVSGWDR HVVKYKDTKTLDVSPLELFTDSTHTHDDDVVALCYIPAPAALVVSASVDGGVLIYSFS IRFLRHRLSLAKAQAAYDFSFQVKAAPVSASSPTPDDDPCDFVECLVAYPSQSSFISG SSRGRIDFWCAETGGIKQSILPTTELRHGLTSLALDDGKLWELTNVAWYYNSTTPSWH QLHAPKPNATAALVRKHQHSMLVKTWKAHEGSAVVFLSILANNLVLSAGRDGVLAVWD FGGVQIGVFGHDRLSLSRDLAPFDRTQLGDLKASAQPPPLQRTQATKALRTTLSPRVA GRLLPSDIVRKPAPSSSSHATLFSPRERRLKLANQLTVHAMTDVPRQVHEFLHASLVD ATEAEFHRKDAACNL H257_02920 MFQQGGAVDMTDQVSDFHLSTLLHPSHVMELQSYFSRSSSQQLT LAQFTKALKKVLGPSTTIPDDQLARMFHRIDANSDGSVSWDEWCDFFLISDQRHINLY RDMHSSILHPRDGYSSKDPSIGTRNGLIVHILAMLVPMELLKPPVWLYVTCARNGTVS LWDPSSLAVLGSLPPPSSTQWVTNMIALSVPDFVAVSVVDRYIYIVQLSSLSVVVTLG PLAHAAVGMAAFRNADGEHMFACGDMGGGLNLRTVCTVSWDAVAFSNHTIRHVIHSDW VTQVTYLAAYRYVITSSMDSTIKFTNLSDGTVQRQFDCHQSGVYVFYYSSQLNLMASS GARSVLLWNPDQMDVLASLQGHASPVHQMVMDERAYKLFTLSMDKVLKVWDCYTYQCT QTIVDPTEYFPDEHIGRIFWDHTQQHLVSSTTRLRVWPTHTVLQTSTRTSHDCAITCA TYTSVLHQVATGDEHSSIHTWDATTGELVMRIPRAHGANEISVVTYDASGKRVLSGAT DGTVHIWNGSNGQLLSRVHRAEPLSGPMEISAIVYVVPQVTAKSLNQDRYFVVSGWDR HVVKYKDTKTLDVSPLELFTDSTHTHDDDVVALCYIPAPAALVVSASVDGGVLIYSFS IRFLRHRLSLAKAQAAYDFSFQVKAAPVSASSPTPDDDPCDFVECLVAYPSQSSFISG SSRGRIDFWCAETGGIKQSILPTTELRHGLTSLALDDGKVLLAAGNADGDVHLWELTN VAWYYNSTTPSWHQLHAPKPNATAALVRKHQHSMLVKTWKAHEGSAVVFLSILANNLV LSAGRDGVLAVWDFGGVQIGVFGHDRLSLSRDLAPFDRTQLGDLKASAQPPPLQRTQA TKALRTTLSPRVAGRLLPSDIVRKPAPSSSSHATLFSPRERRLKLANQLTVHAMTDVP RQVHEFLHASLVDATEAEFHRKDAACNL H257_02920 MFQQGGAVDMTDQVSDFHLSTLLHPSHVMELQSYFSRSSSQQLT LAQFTKALKKVLGPSTTIPDDQLARMFHRIDANSDGSVSWDEWCDFFLISDQRHINLY RDMHSSILHPRDGYSSKDPSIGTRNGLIVHILAMLVPMELLKPPVWLYVTCARNGTVS LWDPSSLAVLGSLPPPSSTQWVTNMIALSVPDFVAVSVVDRYIYIVQLSSLSVVVTLG PLAHAAVGMAAFRNADGEHMFACGDMGGGLNLRTVCTVSWDAVAFSNHTIRHVIHSDW VTQVTYLAAYRYVITSSMDSTIKFTNLSDGTVQRQFDCHQSGVYVFYYSSQLNLMASS GARSVLLWNPDQMDVLASLQGHASPVHQMVMDERAYKLFTLSMDKVLKVWDCYTYQCT QTIVDPTEYFPDEHIGRIFWDHTQQHLVSSTTRLRVWPTHTVLQTSTRTSHDCAITCA TYTSVLHQVATGDEHSSIHTWDATTGELVMRIPRAHGANEISVVTYDASGKRVLSGAT DGTVHIWNGSNGQLLSRVHRAEPLSGPMEISAIVYVVPQVTAKSLNQDRYFVVSGWDR HVVKYKDTKTLDVSPLELFTDSTHTHDDDVVALCYIPAPAALVVSASVDGGVLIYSFS IRFLRHRLSLAKAQAAYDFSFQVKAAPVSASSPTPDDDPCDFVECLVAYPSQSSFISG SSRGRIDFWCAETGGIKQSILPTTELRHGLTSLALDDGKLWELTNVAWYYNSTTPSWH QLHAPKPNATAALVRKHQHSMLVKTWKAHEGSAVVFLSILANNLVLSAIIIYILISIE SL H257_02920 MFQQGGAVDMTDQVSDFHLSTLLHPSHVMELQSYFSRSSSQQLT LAQFTKALKKVLGPSTTIPDDQLARMFHRIDANSDGSVSWDEWCDFFLISDQRHINLY RDMHSSILHPRDGYSSKDPSIGTRNGLIVHILAMLVPMELLKPPVWLYVTCARNGTVS LWDPSSLAVLGSLPPPSSTQWVTNMIALSVPDFVAVSVVDRYIYIVQLSSLSVVVTLG PLAHAAVGMAAFRNADGEHMFACGDMGGGLNLRTVCTVSWDAVAFSNHTIRHVIHSDW VTQVTYLAAYRYVITSSMDSTIKFTNLSDGTVQRQFDCHQSGVYVFYYSSQLNLMASS GARSVLLWNPDQMDVLASLQGHASPVHQMVMDERAYKLFTLSMDKVLKVWDCYTYQCT QTIVDPTEYFPDEHIGRIFWDHTQQHLVSSTTRLRVWPTHTVLQTSTRTSHDCAITCA TYTSVLHQVATGDEHSSIHTWDATTGELVMRIPRAHGANEISVVTYDASGKRVLSGAT DGTVHIWNGSNGQLLSRVHRAEPLSGPMEISAIVYVVPQVTAKSLNQDRYFVVSGWDR HVVKYKDTKTLDVSPLELFTDSTHTHDDDVVALCYIPAPAALVVSASVDGGVLIYSFS IRFLRHRLSLAKAQAAYDFSFQVKAAPVSASSPTPDDDPCDFVECLVAYPSQSSFISG SSRGRIDFWCAETGGIKQSILPTTELRHGLTSLALDDGKVLLAAGNADGDVHLWELTN VAWYYNSTTPSWHQLHAPKPNATAALVRKHQHSMLVKTWKAHEGSAVVFLSILANNLV LSAIIIYILISIESL H257_02921 MESTSAVRLRFELWKLMQVWRTPEIQKQQQHVIAKPIYRAATFR PQQSTVAAVVDNLLAVAPVEPPKRVAPKKSNRQITLEHLLAFKEKHEKVPFVLAWSVV YQHLIIGSSASSSSKRVDTLKKINTQEKLEEELVPVSHQFLVLYFCLGQCWGYATRHG RHRRPHCAAQSPRRSTHEAPPVDNLIYQHVHCK H257_02921 MESTSAVRLRFELWKLMQVWRTPEIQKQQQHVIAKPIYRAATFR PQQSTVAAVVDNLLAVAPVEPPKRVAPKKSNRQITLEHLLAFKEKHEKVPFVLAWSVV YQHLIIGSSASSSSKRVDTLKKINTQEKLEEELVNAGVMQHDMVDIEGLIALLNLRGD QPTKRRPSII H257_02922 MEGNDPVTEVGTTATEAKSHKRARRETSLVEKLQLIHRADSGSV SQRKLAKDFNIGLGTVNNILKKKANTLLEAHEGAPRETKVRKKPRHEVVDQLVFEWLK CVKGPHLTGNMVKDKALELAKRMPNTDHFKASNGWLESFCKRHDISFRKLRKESSSAA TTVPTLDAMVPDSGFDEWTQWFGSMSSVLGLYAADDIYSCSETQLLYQTLPDTAARLL KDLKTHNLSLHEDTEVATLLLCCNMSGSHKLPPLVVGCDHPSTLCQPQDDVGFKRAPQ AWMTADIFHEWLLALDLSLKRKVLLILPSTPCHSSDLDLEHVSLRVCPSFLPSQLSPL LQGVVGHFKDAYRGLLLRHVLAMAHLKKDALTVTVDSHRLHAWIVAAWATVTATHCQH SFGRQSAALSAPSTELQSLLALFHVLFPVSDPSLLLSSVEYVNFDDHLPTQLMFTEDF LARDVREPTTDLSDAKAYTHLEELREFAITKQLPALVTSVSQAILALEQRTTDNLIQS IGLAQTSYTWDDHDG H257_02923 MSTSYTPIEPHAQQKVLTTVEPAADDDGVSPEEEADEDDTPTPS HRERFEWFDANPDLEECCTANGLTRKQFVRRGDRVTSLEMFLGFWASMRSVRYFGLLQ HLSIVKHPTITTIDGLECCPLLESLRIIECGLTHISNLSACVKLTHINLSSNHIGKIE NLSTLTSLQVLWLNDNHIRRLSGLSECVQLKQLWLARNDLDELESGFEANKLLEDINV ASNRLHSFQSLHGLNKLPALRSLSLSDPHYGDNPVCRLCNYQTYLLCQLPALIYLDTI ELTSTNKQVADTTLIKKRMYYNMRIKTIKRNVTNCVRKARACFTDHVHYLNFNLNALM RELSDLEKELHLETLPPSAATLSSLPNLPNNALTIKRDCIAQYIQEKMTVLHSMTAAF HSLVATLALLSEKTIRRLVLELNTGGNIRLEDGTSADVWYTSCVDLVKSRAFVADLHV FGVRDVHVLRVARINNRYLRNRFQERMDQVLDGPDDHVKENVTKRGVTVKDSAAAATA KTGDGRVLENALEYLFYSQPPILDHMATPGGVTMREQEHAVECGLRAVDEYAGVADGG IKLSNSLAALDLPRLATALHVKGTNRIDPTELAPFDAFGWDTPTELTLPMRSAYRRGD WRLPPGVVLIAKVFLGHTRQVPSMSKLAQVQQEYAADGGDLQCLQVTKPADPKQKCYF VLDEALILPEYLVEYEYTSSTTMSSCCRDGMGGPDKVAIDTALKPDTEALQDMGEAFV LTDAFYNKYKLTFAEPLLEQHLLEDRSKGLIQMDPAIARRHAVMGHNAAATITPAFIL ETSRQQDLTLMVELNLTSCGLKSLHGFIACPLVHLEMLVLSFNEIRRIECLDGLVALR VLDLGYNILRGIDNVTGLAALQSLLLNNNLLYRFDDVQALSHLHLRTLDMRNNAICDA KRYRLHVLQRLPQLHTLDMAPVTKTELDTAMKLCTALTPLKIWTGSRLANTRQRGVVS TLDLFQTTYGKKKRPTSTRTQNATTFDQDAMMFDEDGAWWSEVDELHVNHELLTQLTH LDRLTQLRVASFSDNDITYIDGLGMCTRMEELELDNNQIMTIENLDTLVQLRVLDLGK NKLTSLKNLDALVNLKQLSLEDNEITSLQGLTHLVRLMELYIGNNHISNLKEILHLKS LPKLIIVDFSGNGLCADDEYQLYTIYNLRRVKVLDGVSVTAELQNKSKQAYSGKLTTD FLIEKIGHAFNRIQEMELSSCRIREIGSLHGDVFVNLKDLNLENNLIADISGLEKLPK LRVLNLNSNKIDRLDSVGPGTGVLACPKLENLQLAKNVVTDMLMLGLQHLPDLKVLNL ESNDITSIAGLSSSRELKELHLSKNKIRQFEPPPGLSLPNLVLLKVDDNSLRSLANFF SLPRLQALDLSNNRLADMEEIERLHLVLPILQEMCIQNNPVTKRHLARSTIIFRYPSL KTLDGKDITLEERERIEILFMHDRSLVNPTLPLNLQTPPMQSSSKTSVKLTAMSFDSL TGSQRRKSANPSNNGVTLLPQVPQSLQSPMSSTRDEKDKARDSVDSKEDRRKVLPDTL VSNNPMMNSGLNMALSTSFASFSQTKSLARPGDAMYSSPIAPQKTTYLAQPTGHVFSS RPPPGRNAGSK H257_02924 MYWDLNVPAAVAADQQSNYLIEVARLGIGGIALNVECDLKRAPA AVDDVRLWELKQSKKTKPQLHGLRIIDGHAPSSVPVDGFVRQLKRVTLQCDDMNALRS LNSNKSVQAYDIVAVEALSGRVFQYLCEHADIDLISFDMTCRVPFQLRKPMVDAAIAR GICFEIKYMHALGDSNGRRYFFSNASSLLRLTKGRNVVFSSGAVRQMLLRSPYDVVNI GMLVGLPYTQAREAVHGAALAVIAHGEMRRTKGSMEVRATTTTHAADGDVDMDEI H257_02925 MAPPLEYTLPLGTVNRIVKECLGNKAGMTREARETVQAAAGVFI LYLTSAANEECKAKKRQTISAQDVIKALHDVDMDSFVTPLETFLHATKSTKKPVVKAS PSIDAPPEHEDNVAPDTSIEEDDEETKDEHIPDDDMNEQDD H257_02927 MTANLLLTQPFHRVTVMLRRLLGPFGYIDARYVPCPDSLKVVMA RMTEAIVERLADNDEHPVFMSSWQTRQSPWIGLSRISGNLLCPTARRSQARSPSSRCG EIAVAAASPTRSTPRGCPTGCPTSLRPSFLQTARTHQLCVKTSTRRRPCACPSCRRRG RSRPRSCPRTLSPPNGSWLGVLRLPPTWVTSGSFSPSVRRGTCSRACCSLTTRFRSFC GGSPTTGDLSAGGGVV H257_02928 MANTSSRQLRRSARYASNGAVYLETALPNIVLSDFFAYDLQHYT THAQNYKRVGLVKALAIQNAFGMSFPMTIQSSQGLFQFVVANHIQTVLGGGSPMT H257_02926 MSWLRNVHDLTSNAYVTWKSRRPRIPLITLSKSTGVDVFETKSK YASDAPAIISDMGGGCRRHLNTTTPMYTAAAWPTPRSHVAPPGALSRFPRIHWCTPPV WPTPESQRPATGRSRWPGIAPPCTMPSSMARRSTRGCSQTRRGNSGQRTRSTWLPPSR RDAWPSIAAATQRRRGRLRWRPTGWTY H257_02929 MFTAVCLANATTRHIAQPIPEPGKPINPTPAAWSDRRLAYYSAN PLCLPNGAGTMLLVQEVFTFEDPL H257_02930 MHQHFTIKALVYEHRGSGWKTSLLYYGLWNDLTFAMQANRSLVR NTTKYSYEETVFPAYAGVSLSSVSAVP H257_02931 MQVGHAELVHGMYISPLLTRFQGLEAVAGFCTCSCRFSPKSAVS VPRQRYVLARVQLYQTFLGDLCNLRLGTSASPAFGLLAHSIALAKDYSSPSSVKRFEM ANTTARQVRCPSTDSDNTAVHLEAILRNFRWSDFNTAIASGVRELRGGIDWLASVPDA FADVASEAALWRSKNATR H257_02932 MWGWIWLGISWGFRHCSFRRLAVAMLAKTLAARRSFSSVKKIAV ANPIVDLDGDEMTRVIWDQIKAKFVHPNLDLKIEYFDLGLPHRDQTNDQVTIDAAHAI KEFNVGIKCATITPDEERVKEFKLKQMWKSPNGTIRNILNGTVFREPIVISNVPRLVP GWKKPIVVGRHAFGDQYKCTDFVAPGPGKFNMTFTPADGSKPQSWEVYDYQGAGVGLA MYNTDESIYAFAHSCLAFALTKKQDLYLSTKNTILKKYDGRFKDIFEEVYQSEYKSKY DAAGISYTHRLIDDMVAQALKSDGGFVWACKNYDGDVQSDIVAQGYGSLGLMTSVLLA PDGKTVEAEAAHGTVTRHWREYQKGKATSTNPIASMYAWTRGLAHRGKLDGNQELIQF THDWEAAVIETVEAGHMTKDLAICVHGTSNVTPDQYLTTEPFMDEVVKVLNAKRNH H257_02933 MADLATTVDHDVDRFDLLVAQGRDVDAFVEAAQKGVVSYVKAGL ETIPINATHSELEWTALHAAAANGHNEIVEWLLAHGADGSCVTTKHKTPLALAMQRGH SSIVHLLRVSRKFTADDFVEAARRGNLDDIQAMVAWGIDVDATNKYGVSALMFAVEEG HAPVVGYLLVNGANAASGTTRHGTSLWLATVDKGHPDIVRKFLHAGASVSYKDPEDGS TALHVAAGRGHAAVAAVLVDNGADKTAHTMDGDTPYRVALRNRQLHAVDPASGLSLEV ILS H257_02934 MSTTRYEVKDGDFSAYLVGTWKRTLEWRNFGSTFGHVRTTNNVV VIEEDTTAAKQPNTKFLKWSFGRGLRKNELTAAYTIQFIPDDKGTFMEWSFEGATCHG LFKPEASVAIFNFCLHDSMVTITYRMLDASTMAVCIVDVDSDHTPTIQYGNMYRIDPT KYRPDDASVDELNN H257_02935 MLSRALFRAAPLTSALRRNASTAYALPSWAKVNPETLSGASPAE IHNLVNGEWVSTKKSEGIKDPLTGEVFLQVPLTQKDELKPFIDNLRSTPKHGLHNPFK NVERYLLYGDISHKAAAMLREPHIEHYFIRLIQRVAPKSYIQAKNEVVVTRKFLENFS GDQVRFLARSFGVPGDHLGQMSTGHRWPYGPVALITPFNFPFEIPILQLLGALYMGNR VTLKLDSKVAVVMYEALRMFHACGMPLTDVDFINSDGPVMNELLLKGNPKNTLFTGSS VVAEKLATELKGRIKLEDAGFDWKILGPDVSDVDYVAWVSDQDAYACSGQKCSAQSIL FYHKNWAKAGIEDKLKTLASRRQLDDLTIGPVLTVTTKRMLDHVDDLLKIPGARLAFG GKELKNHSIPSIYGAIEPTAVFVPLSEAAKPEHFELVTKEIFGPFQVITEYDSDADVE TVLGMLERMEAHLTASVVSSDIAFQQHILANTVNGTTYNGIRARTTGAPQNHWFGPAG DPRAGAIGTPEAIKLVWSCHREIINDFGPIGADWTTPKAT H257_02936 MTPPSPHLFTTFHHPRCDRHESSCDITTSFWMIQSLLSHTSQNV ISQLMWWFHLPTSSSSASIAASFISCVSRVNFSMALYIATSHVLAIFALQVLPSCRWQ TLVFTGMLFYTGVLGVTAGAHRLWAHKSYKAHGLVRVVLMLWQSTSLQGSIFDWSRWH RLHHKFAETDLDPHNIHRGLFYAHMGWLVMRPTSRMVAAVAAVPCDDLLQDWVVAMQH RFHWVLNPIMCFAMPVAVSSFLWREDWTTALLVAGFLRQVLVMHATCVANSVTHIVGS KPYNAVLSARDSLWVALVSLGEGYQNWHHQFPQDYAAAEDECPWQFNATKAFIDGCAM AGLAGHRQRALHLWGQKKYVLRSRLLDELRPFRTCNPSSTSSTSSHVGTRLSSETMKN H257_02937 MKFSLLSAIALFAASATAQTNNAIAGIDDSARSLKVSDAEGDAD ININRACHEQNGNYIPSLKTGEYSTSAFHNCFRTVAQTYEFTDALVAQNPTLLSKFAI SKTYKGATIYGYKLSKGHSQSLYFQAQVHAREWITGSSIVFSLASILDDIANKNPTAA DEYDLYFVPIVNVDGVETSWGVRRFLRTNFFGVDLNRNWPTPFENPKPPVKGDEDWPG LWPLSESENEGINDWLKTKRNEIQGFIDFHSTGGLILYPYADNGEPIGGGFDEKFEVL GRGLQSALGAYTPKPAHKVYLAYGIFSDYAFREFKKPALTIEIIGNAFNVNASTIPIR GLEVYKGINQFAKEVTVFNG H257_02938 MDVHAAEVVFHNVEADDDRQEDVEQDYQDEQTSNQRKRKLQQVV PVAKRMQTTTGSMATICVSLQRSRQNSVANTSIDPVIASGPKRLNVKAASGRGRRRAD WVTYVYAELLSEFHRLKSAGVKFSPALLVQLARMVVDNAPAEEPWEAILLGAGFKDSS RYEWYA H257_02939 MDNEVVRTRRELSSDEKVTVIQHLHPFLRKDKLQRGAYKHVAEQ LNLDPRTVGYIWRTFRDRGTTATKKKGKVGPKRAYTAEYVQQLVQAVPVDQRSTFSDL AAATRLSPATLNRHLKKGTLERRSTRIKLLLTDSNKEERKWFNADKDRRKVYLVPGEA PPRRSWKSKRFIPKVMFLGAVARPRINGDRSVVFNGKIGMWPFVRLVPALRNSRNRPA GTMVTKLVNVDAAVYRDFVINKVVPAIKASFPSATKRVLLQHDNATPHGSITDAVLES VSTDGWTFKMRKQPSNSPDLNVLDLGFFASIQSLQYKKMSRTVDDVVRNTMEVFDELT YDKLESVFLTFQTVMRLVLEHSGDSHFALPHLKKAALRRAGLLMSNVSCPVSLIL H257_02940 MRALYFVGAVFSVVTLWAVTLTSPPSDGFVSTTGGGRTVAEKKA LAVAFVETQLRQNPTIPGLTLSVAYKGDTVIAQGFGLKQSDNPISQVTASTMFQIGSY SKTFIAVGIAKLIDDGKMTWHDPVKSHLPWFKLQDKYAEEYTALGDLLSMNSVLGAFD GDLAFVFGLFPTERALVEHLAELNTTRSIRSGYAYSNMNFEILGQVIEHATNQTWPAF LRQSIWNPLGMHETFASPAEAAAAHQGAHLADGHMSCGAKVIGPFNTVTDSLALLSTA FSDLAAGSVVSSANDLAIFSHFLLSSGRDLFKSSTLVQEITTGHTVLDNMVVVDTDAA DAFGFAYNPDGNVIAAGYGIDVVGNVMFGYDFFTKNGDTATFKQRNGFVPSERLGVVL AANTGAKSPPGSAPYFLLDRIRSYLLGIFLDVPQPQLDAMWNQAIHRANQLQPDGVGD CDAHFFQGVPWEVDGLVISPTTQAKLVGSYVAVASPGLYDYLKLTRQRDQLVLHYGMY THKLIATADPDTFIWDVEIAGRSLTVQVSDVDTLLFLGISFVRNA H257_02941 MKGLILVGGFGTRLRPLTLSYPTPLVDFCNKPLVRHQIEALASV GVDEVILAINYQPTAMLAALATMSQEIGIRITCSHETEPLGTAGPLALARAHLEVHPD DPFFVINSDVMADMTVLPEALAFHQSHGGEGTILITKVEEPSEYGVVVTDPEGSGQVD RFIEKPKEFVGNHVNAGIYILNRDVLDRIELRPTSMEQEVFPQMAAEGNLFAMLMPGY WQDVGEPKNFLSGMCRHLQFLHSHNLLQSAHGGTTVGFVLVDPTATIGEGCLIGPDVV IGAGCVIEDGVRLSRTTLLRDVTVRSNSWIHNSIIGWGSTIGRWCRVEGLTVLGEDVQ VKDEKFINGGLVLPHKAISTNIPDPGTIVM H257_02941 MKGLILVGGFGTRLRPLTLSYPTPLVDFCNKPLVRHQIEALASV GVDEVILAINYQPTAMLAALATMSQEIGIRITCSHETEPLGTAGPLALARAHLEVHPD DPFFVINSDVMADMTVLPEALAFHQSHGGEGTILITKVEEPSEYGVVVTDPEGSGQVD RFIEKPKEFVGNHVNAGIYILNRDVLDRIELRPTSMEQEVFPQMAAEGNLFAMLMPGY WQDVGEPKNFLSGMCRHLQFLHSHNLLQSAHGGTTVGFVLVDPTATIGEGCLIGPDVV IGAGCVIEDGVRLSRTTLLRDVTVRSNSWIHNSIIGWGSTIGRWVKQNEC H257_02941 MKGLILVGGFGTRLRPLTLSYPTPLVDFCNKPLVRHQIEALASV GVDEVILAINYQPTAMLAALATMSQEIGIRITCSHETEPLGTAGPLALARAHLEVHPD DPFFVINSDVMADMTVLPEALAFHQSHGGEGTILITKVEEPSEYGVVVTDPEGSGQVD RFIEKPKEFVGNHVNAGIYILNRDVLDRIELRPTSMEQEVFPQMAAEGNLFAMLMPGY WQDVGEPKNFLSGMCRHLQFLHSHNLLQSAHGGTTVGFVLVGCD H257_02941 MKGLILVGGFGTRLRPLTLSYPTPLVDFCNKPLVRHQIEALASV GVDEVILAINYQPTAMLAALATMSQEIGIRITCSHETEPLGTAGPLALARAHLEVHPD DPFFVINSDVMADMTVLPEALAFHQSHGGEGTILITKVEEPSEYGVVVTDPEGSGQVD RFIEKPKEFVGNHVNAGIYILNRDVLDRIELRPTSMEQEVFPQMAAEGNLFAMLMPGY WQDVGEPKNFLSGMCRHLQFLHSHNLLQSAHGGTTVGFVLVDPTATIGEGCLIGPDVV IGAGCVIEDGVGLFMC H257_02941 MKGLILVGGFGTRLRPLTLSYPTPLVDFCNKPLVRHQIEALASV GVDEVILAINYQPTAMLAALATMSQEIGIRITCSHETEPLGTAGPLALARAHLEVHPD DPFFVINSDVMADMTVLPEALAFHQSHGGEGTILITKVEEPSEYGVVVTDPEGSGQVD RFIEKPKEFVGNHVNAGIYILNRDVLDRIELRPTSMEQEVFPQMAAEGNLFAMLMPGY WQDVGEPKVPNPIDCFVQVIRCCRTFSQACVGICSFCTPTTCCSRPMVALQLVLYWWT PRRPLVKVA H257_02941 MKGLILVGGFGTRLRPLTLSYPTPLVDFCNKPLVRHQIEALASV GVDEVILAINYQPTAMLAALATMSQEIGIRITCSHETEPLGTAGPLALARAHLEVHPD DPFFVINSDVMADMTVLPEALAFHQSHGGEGTILITKVEEPSEYGVVVTDPEGSGQVD RFIEKPKEFVGNHVNAGIYILNRDVLDRIELRPTSMEQEVFPQMAAEGNLFAMLMPGY WQDVGEPKNFLSGMCRHLQFLHSHNLLQSAHGGTTVGFVLVVTLLQIVSYSQDIWTYI YIYIGPHGDHW H257_02941 MKGLILVGGFGTRLRPLTLSYPTPLVDFCNKPLVRHQIEALASV GVDEVILAINYQPTAMLAALATMSQEIGIRITCSHETEPLGTAGPLALARAHLEVHPD DPFFVINSDVMADMTVLPEALAFHQSHGGEGTILITKVEEPSEYGVVVTDPEGSGQVD RFIEKPKEFVGNHVNAGIYILNRDVLDRIELRPTSMEQEVFPQMAAEGNLFAMLMPGY WQDVGEPKNFLSGMCRHLQFLHSHNLLQSAHGGTTVGFVLVVTLLQIVSYSQDIWTYI YIYIGPHGDHW H257_02941 MKGLILVGGFGTRLRPLTLSYPTPLVDFCNKPLVRHQIEALASV GVDEVILAINYQPTAMLAALATMSQEIGIRITCSHETEPLGTAGPLALARAHLEVHPD DPFFVINSDVMADMTVLPEALAFHQSHGGEGTILITKVEEPSEYGVVVTDPEGSGQVD RFIEKPKEFVGNHVNAGIYILNRDVLDRIELRPTSMEQEVFPQMAAEGNLFAMLMPGY WQDVGEPKNFLSGMCRHLQFLHSHNLLQSAHGGTTVGFVLVVTLLQIVSYSQDIWTYI YIYIGPHGDHW H257_02942 MDPRANAATAWSPVKDLGNLFALGTTSSELHLVSLDFSAVDAAP HVVGLVPTPAPFRTLAWSPATKHAAAHPWGLVAGGLEDGTVSIWDPTQISTGHIDALS TVSKHKGAVTALQFNPNKDSTHLLASGGADGDVWIMSVNNVAAPGVFSPGTALASTSA PSEITCVSWNTTAPYILATGDSSGHVTVWDLKQKKPCFNVSVYGAISSLAWSPHEGFL LLTATRQSPLVHIWDLRASNSVPLVELHGHHGGGIVSAAWCPHGSGLLVTSGTDGRTL VWDLQTRQVIHEVPTSEPAAHLTFSPQYPGLVAFTTVNEHAVHVLQATAGATYPILKW TPPIIPSPVADDTTTEPPALQLSPELSSFSSTEVVPSRSKSSVELPVLHDDVAAAATS VAASPARSMHPLPVTSSSASSSSPEPVDALVFAVHQLDELTPDAFCDWKLTTLTDIDD DQARHTWSFLKVLFEPDARKQLLVHLGFDGAADLPSAILPSPSDVESGPLPTFTAASE ASITRSLLVGNFDAAVDVCLANNQLANALLLATCGGPELWHRTQEAFFSRQTRPFMQI VAAIIKNELDTLVLQSDPFEWKQTLAILSTYSKSDEFPALCDQLAWRLLKSGDAFAAS LCFICAMNMPKTVELWTAAASSHGHVAATVHLVEQIHVLAQGTGQAPDLDAPVYEAFA ALLHSWDQPVLARKFSKHDTTTGTRGGSTSITTSSSSTAKKDVTIASFRSSPPKPIKL APLDTHFDLPRHDNIALSESPVSQPAPSPPLQNSHVKADNEPRSVSDHPSLSACPQHP QATPSSNLHPPSLDQYQLDATAPSHRPVESTDDGIPSTNTFIADSSTPLTPVSQILQH DAPRPSTSSSHSADSVDRPPPFVRTAAMANISEDDLGIVETLTALLSLLSFQKLSGAE AKQLAEAVKAKDALVAKLNAADLSPTVLDSVHDMAAALQRRDFKAAQQVHVALTSSHW ALQKEWLRGLKPFYQLCTKRLK H257_02942 MDPRANAATAWSPVKDLGNLFALGTTSSELHLVSLDFSAVDAAP HVVGLVPTPAPFRTLAWSPATKHAAAHPWGLVAGGLEDGTVSIWDPTQISTGHIDALS TVSKHKGAVTALQFNPNKDSTHLLASGGADGDVWIMSVNNVAAPGVFSPGTALASTSA PSEITCVSWNTTAPYILATGDSSGHVTVWDLKQKKPCFNVSVYGAISSLAWSPHEGFL LLTATRQSPLVHIWDLRASNSVPLVELHGHHGGGIVSAAWCPHGSGLLVTSGTDGRTL VWDLQTRQVIHEVPTSEPAAHLTFSPQYPGLVAFTTVNEHAVHVLQATAGATYPILKW TPPIIPSPVADDTTTEPPALQLSPELSSFSSTEVVPSRSKSSVELPVLHDDVAAAATS VAASPARSMHPLPVTSSSASSSSPEPVDALVFAVHQLDELTPDAFCDWKLTTLTDIDD DQARHTWSFLKVLFEPDARKQLLVHLGFDGAADLPSAILPSPSDVESGPLPTFTAASE ASITRSLLVGNFDAAVDVCLANNQLANALLLATCGGPELWHRTQEAFFSRQTRPFMQI VAAIIKNELDTLVLQSDPFEWKQTLAILSTYSKSDEFPALCDQLAWRLLKSGDAFAAS LCFICAMNMPKTVELWTAAASSHGHVAATVHLVEQIHVLAQGTGQAPDLDAPVYEAFA ALLHSWDQPVLARKFSKHDTTTGTRGGSTSITTSSSSTAKKDVTIASFRSSPPKPIKL APVRLVLLLTT H257_02943 MKRVESAPQLGNSTVRRDELKPGHEWVEDTDRKWCKLCARKFNV FRRRHHCRMCGDVFCDSCTTFVTIVVREENKNSVRICGACISSHKAKYSIRCGPSTSL QDATLLERATKSVSVPSAAASIAVSAPGHRHHPRHLYAAQDAPRIRQRKSNSVHQISS SGLLVDDLLPSSPSTWRMFELVTASSFPETSPWSYAWPCPPTTTFESQRLGSVRDSLA KMMHRMPDNLCDLLCKGYDCPMSAVSVIDATTQHFVSRVGIAQDSLARHLSLCAHTIC GLDPFVVLDLAADPRFHHHPLVTEANIRYYAAAPLVADDGMVVGTVCVMDTKPRKSCF DACLTSIARMAARHLKDTPRTTTDVTPRQVENILVNLLSKTNDIHNQLLRRPPLKTTS T H257_02944 MDRLDARRDQVLAAVGRAVRVAQGHWVYQWMALVWTMYGQPWWT WLFATITGTATEGGTESAWAKDKLTTNDVYRDRLLAKEIAMFVDLGDALGMSGTQRHA LFVKFLHLDWMRRSAISIQDLCLYCTLRRSRFADCILPVPNDGGGFRQFRHRFELVQL TTALFNVCTLPPSKLVEWTLAQAKTDPVVQALVPDCDKSPSLRLEIAQVLLFVYGTLT PNEAHVKSALETLYLSFNDSVAASHGMMTLEAIVERFPVLIFPVFWLQRTLRRRVLGT KFWAALQVRRTSWGSGQIFYTPRELMDEAKAYRTATDERDYVHAKHDDDDETRHVMTV RTKVLPVDDDSGVMDEEDATGPLGFAATIQRYSNEATAWQVTADNLLAAIHIKQVQMD GNMGAEAVGVEYTRITKALRNGTLTPKEAESIRKSIVKQYGYNFASFIVGHSHLRHNQ HDAAAGQTKHKVKKQADAPENWQKLFDPSTKRAFFYNALTGESDWQLPPH H257_02945 MSGFKLHAHDVLRDPTKDELYNGPKREKLPAAVQRMDAADTACT FCGVSYFVFAEVQELTQRVRRYERQCQTFATWMQREKATNATMRNELALWTRDFHTAM GVASEKLVELHRRNTLQATSIQSLEAKLTAKETELEGLRCRVLAETATKVLELEARIV DKDQTIAQQAQHMEGTLREARVVYEAAQAAWTLERTSLLTQHSVQSAAGELERQRLEE LVVKMEQNLHASAVESQEFAAKAAESDARWRDVTHMMECQATSVTEAQVKLHQMQAQV ELAQAEAARVAANAQVERADVGALQDDVAKYKHLATALEKNKALLLAERDELKQTIAI AHDKVNQVKAQLTGLQRRLDEQGRQMEAVQATHNSAMANLKAECAKEVAALKAWNVQS ANSTTTAFEQQVAQLEDEVRRLKEDDTVVQLKQMLVVAEKRTIEADKALQEAKGTCQS YMEELQRAKLSSHQDKSAATALEKKLRDVEAALRDTKAAMEQERADWDRKHVAWERKV AERDVKLDAMAKDLQQMRSAAPTVVVKEVVTSNNNNKALEGEVERLTHIVAQKDQEIQ LLQQTVHRECMERTSMLEKMRSAKILPDMVTTHGSSPHLVDERGENDHGGNNGGGGGG LASFYEKLRKKKARPKTKA H257_02946 MSENDKDGEKTVADTSIGTTVSPKVPTSSSTAFTIGNTETEPNI NGAAGASSSSSSLAEYGATADFMDQPPSHIKLVIPVHEAAREGLTAVSSSSPLPSSSW KFSPNSVLSSPSRSGLLLSDHPATPHNNTTTTDPLTYIAHGLHSWDSSANSGPPSFIR PPTANSPDRQHSTSLQVEIQAVTLPADGGIKEVEDDKGTHIVFALEVRLMSGRQWVIE KRYSDFIDLDDRMQKANAAGVRNLPFPKELLSFRGNTHGELDQCRLDLEKYVQGLLHM QPLKPILTFLAVDAHLASLDGNDRIQQQPKQVDMKNDDLAPDGSQDQSKAARQHLNRS SPSSSKPPAAPSGSDGVAVDAAAMSSETNAMSQATCRRKTSATTSGWGADTFNYTDTT IAKLQDAINSHELSAEDIVQHYLDVIDQLNHNGPQVNGVIETSPTALAIAQDYRNGGL LHGIPVLVKANIATSGDGLTACAGSSAMEGNIAPCDAVLVQKLRAAGAIVLGHANMVE WANWRSLTGKLDWSARGGLTKNPYVLTAPTSGSSSGSALTVAANMIPIAIGTETDGSI VSPASYVSIVGLKPTVGLVSRTGVIPISARQDSPGPMGRTVADVAIVLQAIAGFDPTD PASKDIPVPEYSQTYNALTSFKNVRVAVSKQAHDMTQNEFLSQAQVDAFNRGVDTLKA LGADIVYAPYPNAAAIWTSNCELVALTTEFKVDVEAYLSTLAWKEGVTPMKTLQDIVE YNAAHPQTELHVLDQSLLLQSLNGPNQTSTAYLDALALCQDLAVTNGIEKYMKDTQAD VIFALTATWSQGPNSPGYPAIAGWPILTVPLGYDHSVPFGVSFVTPKYHEEKLLQYGY MFEQATKMRVPPQFVPDNEAPQSPS H257_02946 MSENDKDGEKTVADTSIGTTVSPKVPTSSSTAFTIGNTETEPNI NGAAGASSSSSSLAEYGATADFMDQPPSHIKLVIPVHEAAREGLTAVSSSSPLPSSSW KFSPNSVLSSPSRSGLLLSDHPATPHNNTTTTDPLTYIAHGLHSWDSSANSGPPSFIR PPTANSPDRQHSTSLQVEIQAVTLPADGGIKEVEDDKGTHIVFALEVRLMSGRQWVIE KRYSDFIDLDDRMQKANAAGVRNLPFPKELLSFRGNTHGELDQCRLDLEKYVQGLLHM QPLKPILTFLAVDAHLASLDGNDRIQQQPKQVDMKNDDLAPDGSQDQSKAARQHLNRS SPSSSKPPAAPSGSDGVAVDAAAMSSETNAMSQATCRRKTSATTSGWGADTFNYTDTT IAKLQDAINSHELSAEDIVQHYLDVIDQLNHNGPQVNGVIETSPTALAIAQDYRNGGL LHGIPVLVKANIATSGDGLTACAGSSAMEGNIAPCDAVLVQKLRAAGAIVLGHANMVE WANWRSLTGKLDWSARGGLTKNPYVLTAPTSGSSSGSALTVAANMIPIAIGTETDGSI VSPASYVSIVGLKPTVGLVSRTGVIPISARQDSPGPMGRTVADVAIVLQAIAGFDPTD PASKDIPVPEYSQTYNALTSFKNVRVAVSKQAHDMTQNEFLSQAQVDAFNRGVDTLKA LGADIVYAPYPNAAAIWTSNCEVR H257_02947 MINMDYGNGQETSASMEDLHGLHHEPSKSIGDTSMLPPLSNFIL SGISLTKKSINGRPCHRCIRIVQGQPLYIQVEGCSHIRMQPSDITIIASASCQWKAKS HRPYAITITLRFLQLGRHILRLQCARTQLAITNSPLEVHCVADLITALGELSTHRDCV KQQWHKANGHVGLFVSYLLQVTPLGPLVGHSVVLEGLTHTRHLYDLDSLGDVSDRLAL QLSNINVNTFEHVQNVEATVQHIHDDLVNHQHLTNSRRLTTSDGPIQWILKHWKVDVQ ASSTPCPLRDRRPQRASTPAHRLADLVVSTPEHAATCLALQEHSAFVLPVVWTVTDRG DAGYSTVGNGAKRRRSTTRFNDDGGGANGPSFTLRSALLGRMQQTRESMQTLFHCFTD STAFGVERFITTMGPPQPLVVSFSCEELCCCFDFLDIERTGNVTLGAFQTFCMDPTHR SFWTTFCQELAHTICAKHMENQSQMEAIFGQATQMLVAMPGYAHVQCVNGWCSWESFV HGLQVLVPTISANDLVRVCRRFDVLGNGHVDIRLFCRAVLKAWVASASEVLPLNETQR SKRASSATNTTSQQVAVNGQFKAVTKACLQSHTRPDVRLFNSSLAVRKLFESRAHAAT LIFPDNEDENDKAKLHGRANHLLTTTKAKPVSWLVKEGVWFRPKSTQLGRAQSCAELS GRLAINDTFRGELN H257_02947 MINMDYGNGQETSASMEDLHGLHHEPSKSIGDTSMLPPLSNFIL SGISLTKKSINGRPCHRCIRIVQGQPLYIQVEGCSHIRMQPSDITIIASASCQWKAKS HRPYAITITLRFLQLGRHILRLQCARTQLAITNSPLEVHCVADLITALGELSTHRDCV KQQWHKANGHVGLFVSYLLQVTPLGPLVGHSVVLEGLTHTRHLYDLDSLGDVSDRLAL QLSNINVNTFEHVQNVEATVQHIHDDLVNHQHLTNSRRLTTSDGPIQWILKHWKVDVQ ASSTPCPLRDRRPQRASTPAHRLADLVVSTPEHAATCLALQEHSAFVLPVVWTVTDRG DAGYSTVGNGAKRRRSTTRFNDDGGGANGPSFTLRSALLGRMQQTRESMQTLFHCFTD STAFGVERFITTMGPPQPLVVSFSCEELCCCFDFLDIERTGNVTLGAFQTFCMDPTHR SFWTTFCQELAHTICAKHMENQSQMEAIFGQATQMLVAMPGYAHVQCVNGWCSWESFV HGLQVLVPTISANDLVRVCRRFDVLGNGHVDIRLFCRAVLKAWVASASEVLPLNETQR SKRASSATNTTSQQVAVNGQFKAVTKACLQSHTRPDVRLFNSSLAVRKLFESRAHAAT LIFPDNEDENDKAKLHGRANHLLTTTKAKPVSWLVKYYSWRVCDSMLIYYCIGREGVW FRPKSTQLGRAQSCAELSGRLAINDTFRGELN H257_02947 MINMDYGNGQETSASMEDLHGLHHEPSKSIGDTSMLPPLSNFIL SGISLTKKSINGRPCHRCIRIVQGQPLYIQVEGCSHIRMQPSDITIIASASCQWKAKS HRPYAITITLRFLQLGRHILRLQCARTQLAITNSPLEVHCVADLITALGELSTHRDCV KQQWHKANGHVGLFVSYLLQVTPLGPLVGHSVVLEGLTHTRHLYDLDSLGDVSDRLAL QLSNINVNTFEHVQNVEATVQHIHDDLVNHQHLTNSRRLTTSDGPIQWILKHWKVDVQ ASSTPCPLRDRRPQRASTPAHRLADLVVSTPEHAATCLALQEHSAFVLPVVWTVTDRG DAGYSTVGNGAKRRRSTTRFNDDGGGANGPSFTLRSALLGRMQQTRESMQTLFHCFTD STAFGVERFITTMGPPQPLVVSFSCEELCCCFDFLDIERTGNVTLGAFQTFCMDPTHR SFWTTFCQELAHTICAKHMENQSQMEAIFGQATQMLVAMPGYAHVQCVNGWCSWESFV HGLQVLVPTISANDLVRVCRRFDVLGNGHVDIRLFCRAVLKAWVASASEVLPLNETQR SKRASSATNTTSQQVAVNGQFKAVTKACLQSHTRPDVRLFNSSLAVRKLFESRAHAAT LIFPDNEDENDKAKLHGRANHLLTTTKAKPVSWLVKEGVWFRPKSTQLGRAQSCAELS GVRPYRRIYIH H257_02948 MFHSLTKDEEMEKSELPMRWLVLFLSCTLMIGNYYCFDNPAALK SQLQQHFNNLPAERYEFLYNLLYTLYSIPNIILPFCGGFLVDRLGARVTLLIFASIIT VGQIVFAIGCSTTQFNVMLLGRVLFGLGGESLGVAQSTLVASWFKNKELALALGINLS IARLGSVFNNELSPEIASEYNVSSALWVGVLMCGVSLIAALTLVPIDKRADAAIRRAT GSSSSSLPVKATPSDQSMGLHDLRQFGYLLPVLLLLPFSVRPPYLLCSPLFWLLSISC MVVYGCVIPFNSVASSLLMERDFFKQPPIECRRCDVGAYARHNCSTLAPSCPSVPPFS WPLPSLSANCSAITTPQDQDACMHLPPYISEAAINCDAVAWKLGKYTRAFCQHKSAAA SAAATPMSVPYLMSAILSPFMGFAVDRVGCRAVLALAASFALIVVHLLLGLTDVTYWL PLALQGMAYCVFAAALWPSIPYVVEPHMVGSAYGAITSIQNLGLAGFPLLVALEFDLQ HKYIPGVEMQFAMLAVLGAVAGVALNVVDYRHGSVLNRRPHSKPTTTRHHKDDEHTEA LLDPNQTEL H257_02948 MFHSLTKDEEMEKSELPMRWLVLFLSCTLMIGNYYCFDNPAALK SQLQQHFNNLPAERYEFLYNLLYTLYSIPNIILPFCGGFLVDRLGARVTLLIFASIIT VGQIVFAIGCSTTQFNVMLLGRVLFGLGGESLGVAQSTLVASWFKNKELALALGINLS IARLGSVFNNELSPEIASEYNVSSALWVGVLMCGVSLIAALTLVPIDKRADAAIRRAT GSSSSSLPVKATPSDQSMGLHDLRQFGPLFWLLSISCMVVYGCVIPFNSVASSLLMER DFFKQPPIECRRCDVGAYARHNCSTLAPSCPSVPPFSWPLPSLSANCSAITTPQDQDA CMHLPPYISEAAINCDAVAWKLGKYTRAFCQHKSAAASAAATPMSVPYLMSAILSPFM GFAVDRVGCRAVLALAASFALIVVHLLLGLTDVTYWLPLALQGMAYCVFAAALWPSIP YVVEPHMVGSAYGAITSIQNLGLAGFPLLVALEFDLQHKYIPGVEMQFAMLAVLGAVA GVALNVVDYRHGSVLNRRPHSKPTTTRHHKDDEHTEALLDPNQTEL H257_02948 MFHSLTKDEEMEKSELPMRWLVLFLSCTLMIGNYYCFDNPAALK SQLQQHFNNLPAERYEFLYNLLYTLYSIPNIILPFCGGFLVDRLGARVTLLIFASIIT VGQIVFAIGCSTTQFNVMLLGRVLFGLGGESLGVAQSTLVASWFKNKELALALGINLS IARLGSVFNNELSPEIASEYNVSSALWVGVLMCGVSLIAALTLVPIDKRADAAIRRAT GSSSSSLPVKATPSDQSMGLHDLRQFGPLFWLLSISCMVVYGCVIPFNSVASSLLMER DFFKQPPIECRRCDVGAYARHNCSTLAPSCPSVPPFSWPLPSLSANCSAITTPQDQDA CMHLPPYISEAAINCDAVAWKLGKYTRAFCQHKSAAASAAATPMSVPYLMSAILSPFM GFAVDRVGCRAVLALAASFALIVVHLLLGLTDVTYWLPLALQGMAYCVFAAALWPSIP YVVEPHMVGSAYGAITSIQVRTHCDMALACDTGTLVCTFDIGMHAMVG H257_02948 MFHSLTKDEEMEKSELPMRWLVLFLSCTLMIGNYYCFDNPAALK SQLQQHFNNLPAERYEFLYNLLYTLYSIPNIILPFCGGFLVDRLGARVTLLIFASIIT VGQIVFAIGCSTTQFNVMLLGRVLFGLGGESLGVAQSTLVASWFKNKELALALGINLS IARLGSVFNNELSPEIASEYNVSSALWVGVLMCGVSLIAALTLVPIDKRADAAIRRAT GSSSSSLPVKATPSDQSMGLHDLRQFGYLLPVLLLLPFSVRPPYLLCSPLFWLLSISC MVVYGCVIPFNSVASSLLMERDFFKQPPIECRRCDVGAYARHNCSTLAPSCPSVPPFS WPLPSLSANCSAITTPQDQDACMHLPPYISEAAINCDAVAWKLGKYTRAFCQHKSAAA SAAATPMSVPYLMSAILSPFMGFAVDRVGCRAVLALAASFALIVVHLLLGLTDVTYWL PLALQGMAYCVFAAALWPSIPCT H257_02948 MFHSLTKDEEMEKSELPMRWLVLFLSCTLMIGNYYCFDNPAALK SQLQQHFNNLPAERYEFLYNLLYTLYSIPNIILPFCGGFLVDRLGARVTLLIFASIIT VGQIVFAIGCSTTQFNVMLLGRVLFGLGGESLGVAQSTLVASWFKNKELALALGINLS IARLGSVFNNELSPEIASEYNVSSALWVGVLMCGVSLIAALTLVPIDKRADAAIRRAT GSSSSSLPVKATPSDQSMGLHDLRQFGPLFWLLSISCMVVYGCVIPFNSVASSLLMER DFFKQPPIECRRCDVGAYARHNCSTLAPSCPSVPPFSWPLPSLSANCSAITTPQDQDA CMHLPPYISEAAINCDAVAWKLGKYTRAFCQHKSAAASAAATPMSVPYLMSAILSPFM GFAVDRVGCRAVLALAASFALIVVHLLLGLTDVTYWLPLALQGMAYCVFAAALWPSIP CT H257_02948 MFHSLTKDEEMEKSELPMRWLVLFLSCTLMIGNYYCFDNPAALK SQLQQHFNNLPAERYEFLYNLLYTLYSIPNIILPFCGGFLVDRLGARVTLLIFASIIT VGQIVFAIGCSTTQFNVMLLGRVLFGLGGESLGVAQSTLVASWFKNKELALALGINLS IARLGSVFNNELSPEIASEYNVSSALWVGVLMCGVSLIAALTLVPIDKRADAAIRRAT GSSSSSLPVKATPSDQSMGLHDLRQFGPLFWLLSISCMVVYGCVIPFNSVASSLLMER DFFKQPPIECRRCDVGAYARHNCSTLAPSCPSVPPFSWPLPSLSANCSAITTPQDQDA CMHLPPYISEAAINCDAVAWKLGKYTRAFCQHKSAAASAAATPMSVPYLMSAILSPFM GFAVDRVGCRAVLALAASFALIVVHLLLGLTDVTYWLPLALQGMAYCVFAAALWPSIP CT H257_02949 MIDAKMTTMRILALSVVASFAKAAFNASALPPVPRNFPTYTYPD DLNLAHSFPVTVKKGRDLLLPLAPLKHALFPEVDPVGSFSLGVSLSACAGSMYVSLVT TDNVVFPLSTAALQCFTFAKETPSTTNATNLCPVFYGPDDLYYTIDPYKIQGVLIMAD VDMDLQVAVSFASSLTFPHGYPRMFSADSLPNMTLDATSSAVAFELPTTVDVTSLVAS TCNDCIYTVFLSPVVAHAAPAPVLAPICLLASSMARLPLDRSGASSGGHIPRLHTSVA KGDYIVYVVASVPPAADATTRPAALFLYTPVTAYIAHSVSWTYVLVVAMAVAAGAATG LVLLRHFHRKKKDDRRHVPSSSRFPVPIASGETAGLLPKPSATFAEDDESYDDDLDGY HDIDHVTV H257_02949 MIDAKMTTMRILALSVVASFAKAAFNASALPPVPRNFPTYTYPD DLNLAHSFPVTVKKGRDLLLPLAPLKHALFPEVDPVGSFSLGVSLSACAGSMYVSLVT TDNVVFPLSTAALQCFTFAKETPSTTNATNLCPVFYGPDDLYYTIDPYKIQGVLIMAD VDMDLQVAVSFASSLTFPHGYPRMFSADSLPNMTLDATSSAVAFELPTTVDVTSLVAS TCNDCIYTVFLSPVVAHAAPAPVLAPICLLASSMARLPLDRSGASSGGHIPRLHTSVA KGDYIVYVVASVPPAADATTRPAALFLYTPVTAYIAHSVSWTCNILLLSVGKTDSSDE H257_02950 MSMDKPSSAVLLRGGTQRPTPEAPRRSSLQSNVEQFKLLQAMGA RPVVQKHVSLTTRASALSHDSLAHARRLLVPLPTPSGTPFAHPPLVRPASTSAAASLL HHHTNNFPSTSLLLDRNSTICNAVIVLWNNKRKLQALRQWRDVTTAENVRLRDDFVQR VRTATKDLLLAKEKAMHDEFAMVFTPAQVDTLVTWALQIQTKSFVGVKPSVVEDLVQH MALRTYADKTCLFMEGDVGQFYYILFTGAVGIYVGISPESKAIAQTNHGHAMRCIRSD PSFLGQFLYFIPEGDGFGEVAMFSSDARRTASAVACGPCELIEIPKQVYQRTLRAYHY ATYSKAQKIAFLPCVDIFAEWLQAKIAAFSDLLERHELSFGYRVLSVDRPIESVYFVV SGDIQVTQRWLEPVPDQPVYPQFKPSATKSIDIQVERVIRRGVLGLPLLLSDGVKAKV DATVVTASAEVYVLKAAHLTAFRSLLPTSGAAQIAKKWQLQQEQRHAAFKRAVAALNL TEPSDSFAMPTVNHPSGLGVQALPVLPIMVSTCAKKFLVEDWDLLVDRSDKPVPTVFI PSAPLQPKPVNASESRKIACQIFTDMASNTKVFQWNPQGGFQGSSRAIDTDVYMTKDT VRRTKAEVVRSPTYPSRHVPPTTTESTATIDQLFQHNIYRSRQLARAVVREHHSLAKS RAVVVPRQTSYKHAF H257_02951 MVRTASDHGWETRTGLQRAGTASHILRPAHFAELSIDMASSDPP SLSRNSSKCASPPKSLPFQTVKLSPKTSKIFPSGFSIPAAMVLAASPLPNQFAAPSPP AEVPAPCISPLLTTPTASSQGKRGSVVIPKSMDCPLPISMHRSK H257_02952 MSSDQTAVIRGCINHKLLSPGLGKLYVSYYRQKVFADILENGTM RFDGKVYVNASALTLAMKRTLNPAIKSDPGWMSLFVADTNKCLKDIREQWIEKITPPP PTSSSSTPSHFSSSTLMKSQAAIRPKQLLPSSTGHREICHTCKRDRGPLHVTCEACRR SYHNACISSTSLTPSTTPWYCEGCIEQHCAIVLKFLRDLRHVAKYYDVQLPSTAVEGT QPTAPDAVASTADGPAPATPEAAAAPSSSPSLLAQVDTLINQLETPATRLNVLANSTG ELLVHLSHLDVAAGLRTLDDQLETIAAACSRDNEPDDVSQILSPGAEGILKVLNLRHG IMSARYHAKRTTAALTTLSEKRIRASVKAQAKVDEAFEKEDKVRLEWETRVREAATDV RHQHTHITHINALVDNAVRHRKTLRATSLKNRFIPAYRMATKDLTLSSDHLLITIVAD KLKGVAASLNEWEAIQHHFDAMHALLVAQKTTGQPTTTTSSSSSITSHAAKTPVDPAE RPLKLAKLELELPRPPSLKLVDRQLKEVAANLAVVQTHKTKALETLSVIQQSFQNRIG APASNEWQALMATMAEMVRKCQPTPDAAPSTSSSSSTPATTTDAVETRRATASPTHST VEGQSAVEDEATAAPTSQTSDVEGNDDHEAAMGKDGTDDGPEVVSLDGDDDDDDVVEV LDDDDDEEDDVDDADYVEGEHLDDQDRTSGG H257_02952 MSSDQTAVIRGCINHKLLSPGLGKLYVSYYRQKVFADILENGTM RFDGKVYVNASALTLAMKRTLNPAIKSDPGWMSLFVADTNKCLKDIREQWIEKITPPP PTSSSSTPSHFSSSTLMKSQAAIRPKQLLPSSTGHREICHTCKRDRGPLHVTCEACRR SYHNACISSTSLTPSTTPWYCEGCIEQHCAIVLKFLRDLRHVAKYYDVQLPSTAVEGT QPTAPDAVASTADGPAPATPEAAAAPSSSPSLLAQVDTLINQLETPATRLNVLANSTG ELLVHLSHLDVAAGLRTLDDQLETIAAACSRDNEPDDVSQILSPGAEGILKVLNLRHG IMSARYHAKRTTAALTTLSEKRIRCVMVSCGEIRGHLERLNDVHICIRASVKAQAKVD EAFEKEDKVRLEWETRVREAATDVRHQHTHITHINALVDNAVRHRKTLRATSLKNRFI PAYRMATKDLTLSSDHLLITIVADKLKGVAASLNEWEAIQHHFDAMHALLVAQKTTGQ PTTTTSSSSSITSHAAKTPVDPAERPLKLAKLELELPRPPSLKLVDRQLKEVAANLAV VQTHKTKALETLSVIQQSFQNRIGAPASNEWQALMATMAEMVRKCQPTPDAAPSTSSS SSTPATTTDAVETRRATASPTHSTVEGQSAVEDEATAAPTSQTSDVEGNDDHEAAMGK DGTDDGPEVVSLDGDDDDDDVVEVLDDDDDEEDDVDDADYVEGEHLDDQDRTSGG H257_02953 MPPANAFHGNQQHHGGGSSAVASSSEYSQHSHLHESSQPPEEYE IDHQLLARYERLNHELEQMSRLQRSRHRNHSWDRVDSTRAGSRTMSGIFSSRGGNTST RVTSARGSSRGMSFRMLTSTTPSERSGPHTMRGGGGLFSSSRGGGLLASTRAAKVEIP TPYEEEWVALSKELEKARKMCIEKRAVQSDLLEKIEKYETSAIRRFFAVNKEKRVEKL KGKLSKQLQEAEAAEESLLKLERRSMSMSEVVHHFPPPSMSYTSMRGASSKSLHDPSR HVLADPLDVELHERQELLEQEKRDILNNVFNAFVVPDVNRLKAHIAVAKSELKAGESV KKQVDEVYAMYRAAFSLLRAALASIVGNAYQHSMKEFIQGPYPQAIEAGRLVEGAGLL IQPEAKRKYPDFAPTITGIQLPKFPTSMKDLARPGALLTMEPGVMESADMDRRLKRAE SVIVKMQQLVNRNLECLEQWRGLLEKDRHQAEATGRSLEAQLDKKMNTFVHSMAA H257_02953 MPPANAFHGNQQHHGGGSSAVASSSEYSQHSHLHESSQPPEEYE IDHQLLARYERLNHELEQMSRLQRSRHRNHSWDRVDSTRAGSRTMSGIFSSRGGNTST RVTSARGSSRGMSFRMLTSTTPSERSGPHTMRGGGGLFSSSRGGGMYPPSRCHRSADR TVVGLLASTRAAKVEIPTPYEEEWVALSKELEKARKMCIEKRAVQSDLLEKIEKYETS AIRRFFAVNKEKRVEKLKGKLSKQLQEAEAAEESLLKLERRSMSMSEVVHHFPPPSMS YTSMRGASSKSLHDPSRHVLADPLDVELHERQELLEQEKRDILNNVFNAFVVPDVNRL KAHIAVAKSELKAGESVKKQVDEVYAMYRAAFSLLRAALASIVGNAYQHSMKEFIQGP YPQAIEAGRLVEGAGLLIQPEAKRKYPDFAPTITGIQLPKFPTSMKDLARPGALLTME PGVMESADMDRRLKRAESVIVKMQQLVNRNLECLEQWRGLLEKDRHQAEATGRSLEAQ LDKKMNTFVHSMAA H257_02954 MPQGSSRSRSTSVVDASTRRNLSDDERIAAYQLFLEHSLNGELL RGAFVTTSKFFGSHWSTISRLWSRGRLSKGGGAALANVAARRKGNCGAKKVRSANNIK IAIKAVPHEGRKTLLSTAAKSGIPKSTILRHIKKSTGLKAGSSQVKPLVTDYPKAARI RLAMSFLQPQLRKDHVFDVHVDEKWFCVTKVKRTLYLYDDEVLAERAAKCITKVVFLA VVVRPRYDPHKKKMFDGKIGICPFDEKVVALCTSKNRSKGVLELKAQNVDVQAHQDMI MNEVVSAIQGKMPRHLSVRLQQDNASPPTCVTTKLIAQLSRGGI H257_02955 MPLCCLTIVLVVWSVVTLLVMVLLLPVVTSLQLFALNVILTGVA SSPGNRDTPSSAVYRTGSWSSATSSCIPYQDYFACYVPCALSLTVGNGSKLPVVGYAF ISMETLMSVTDVTLPMVRGPTASSLVSIALN H257_02956 MITPVAMTIHGVTTRTGATAMGAVSGMLVFHADEILSPSTVLLE VEAVVVMAKIALVIGVTAAMVTAAVKLLRSCMKILAHSMPMAEPLRI H257_02957 MSPHSTSAPLYNVPPLDDQNITFLEWKPLFVSQADGHEFTQFYL NKAYVPSDLILSILDDDSKSTSYSVDADLTGDDLSARHKAIATLIQSVHSTTLKKETA KALSRLRALALTALTFLNSSVNNPFACDPAVLNAQSQMIKYHDGDCLDTLLAEVKSIG LP H257_02958 MPATLSTATTTSATCLSPPGVRPARGNLTAVQGRVVYDTLLERS SHGKLPETKRLEARSSYVKPLLTQDNTKARRCFWQMLHVSVVTPRRMRISTGKLACTS SRLQKLARQSAQARTASGDLL H257_02959 MTTATTATCVVLAVAAWAWRWLRRKWQHGRRSGRGSIVGSMDAK DSLIAAATVPTAGASRPSILIKAPRTITVGRLRLESLEG H257_02960 MLVPHETHIKPLVLLLTSLLNFGGCYCYDNPSALKSQLTQHFSG SVSKEDFEMYFNLLYSVYSVPNMVLPLFGGVLTDKFGPRYVLVATTSLMLLGQIVFAT GNSLQNLPIMLGGRVIFGLGGETVSVAQSALLAVWFPASELAFANGVILSVMRLGTTV NNHVSPKVAQASSVSTAVWVGAGVCAVSVMASLLLLPIDARAEQQIHDDVLTDQENDQ ELQVKANLGLRDAATFSVSFWLIAAMYVLLYSIVGPFNNIAAGVYMERDYFQAPPLEC QRCGVGALVNDLRCVLSPPSVVSIHCGSPIDNPMPPISPVCISLASIVVHLSRDQPIQ LLQVAPLCRRNRNQLRRGWVERRSIHALVLHGQVPRPSPSRHPVDVCAASHGRPRAAV WVLY H257_02961 MCPAHEDGPWTAYWILGCMRTCAERQNRELRHDVGAGVLRQLVV HWQKHETGRPRNAGFSLLADHVAVLHGRWSASGHHTLRWQWHEASESNGAGFVLLCGQ WLSPYCIQWVGCRLGFDVGDTARLGLLHSSFGVTTITSGARFEDTLGLAFHPRSFSHQ AKLFR H257_02962 MKRQLERADGSRLNAPLTASELASFEMYGYLVLPYSLNDIQLNE LRDECDGLYGQTNADDLVELGCVLDIFASANRTPRSRVNVAEYVAFRNTLVGRPMSKD LQTLLFDELPQLMQSLFHRPSVFFFNEHFVVKPPHSKVEFRWHQDDTEQLGMCVHREA IPWYISAWCALDDVTATNGALQFRHLDGESSPPVVVPAGKILVFRSDVWHFSAANSSD AVRRAFYVQYSPTAITSRPLDHLPLCCALPVHHKATVEDSRCKKQAKLNTVDPTGNGE VSHDRGIITQD H257_02963 MAATKGFRTVFKSPGVINWFPGHMNSARNAMKAQLNSVDVIIEV RDARIPWSSANPLLDGIGNHKPRLVVLNKSDLSNSNMKERVEYRFNEQNIDCMFTSVI KGKHIRNILQWCTENGKSQFQSTAGTAHPQTPSSRILMHVLPGTVVMVVGVPNVGKSS MINAFRGISTSLKLAKGKKKAVVGPTPGVTLRTDIIKVNEAPAIYVMDTPGVMLPSVP SPSVGLKLALTGAIKDEVVGEELIADYMLFLLNQMNSTQYVKALGLAGPTDDIDELMS SITKQSGGVGKPEERQTLLAAQYLLKEFRRGSFGRFTLDPL H257_02963 MAATKGFRTVFKSPGVINWFPGHMNSARNAMKAQLNSVDVIIEV RDARIPWSSANPLLDGIGNHKPRLVVLNKSDLSNSNMKERVEYRFNEQNIDCMFTSVI KGKHIRNILQWCTENGKSQFQSTAGTVVMVVGVPNVGKSSMINAFRGISTSLKLAKGK KKAVVGPTPGVTLRTDIIKVNEAPAIYVMDTPGVMLPSVPSPSVGLKLALTGAIKDEV VGEELIADYMLFLLNQMNSTQYVKALGLAGPTDDIDELMSSITKQSGGVGKPEERQTL LAAQYLLKEFRRGSFGRFTLDPL H257_02964 MTTAAASAYKTAFRHYTQYLKAPIPGVSISQLEANKFHVNTKVL QGPYEGIVVHWELTIPESYPHTPPFGKMAAGYAFNSNHHHHVFDGSGICCDVLGNFNY MHESASGFSGWTPAANFTTLMIYLQPFFADPDGMIASGDTIKRLRVMDEEYVCNECGH STKSPLPPLDQQCDDPTTPEHERDSSKLTPEQARAHREIACPVMGLSIIDDPTMCIGY PLRLHQARTLEVELFPEFLSYTAFEQAKNARGCAMRTSTGHDYTHWLPIFLSPAHFST HQTLHKLSFAIDRNHSISLVDLLVKTMNKQVLAVMNGSSHESESAIVAYANLLRLLRH VLSMHPNLQTELDSSVRRFITSPNRRTKTHVPDLGEFYVKLCVSTVASLDDLTVRETV VRETFARQIRWIRQADPACVDVVGMPMLQRLQRLFDGSVVSNRITTFVMEMAKVFGTP AFCSNMDRHFGLPPSSVIVGFQERVKTIKAKLVNYDVLVRGWGLQTVIASPEAMLEIL MNAKAQSARAGYDVKPRRQH H257_02965 MLAITPLAQPIVQEWGKHCATCDSFVGCRGGIAYDCPYGGIPGL TVGQCIGWCAAGFYCPPRSVSATTNRCADAFYSVRGQGSCMQCPSSRSTFRCQSFRLR EGVQAIFRLTLYQ H257_02966 MLEKAASAKRCHEVAAPAALPPHSQDQSLTYSLYSVPNMVLPLF GGILIDRVGVQTMTMVVVSLVLAGQVIVALGSAMHNFNLILVGRIVFGIGGETMSVAQ VKVLVKWFDMSELAFVFGLSNSFSTFSAVLNYKWSPWIADHYDVTTALWVGAGVCGLS FVAALLLVQIDKKLHIASEKSEAASIRDLKLLGWLFWLLALQSLVNSCIGPFNNTATS VFLERDYFQQPPPLCQRCGLGYYNVYCDAVSPHCPNVPPFAWPLPLLSKNCSITSPFD QFQCSKAPPYILDEDINCDDVAWREGPFTHRYCATKSQAAETATSSLSYNPMVQTGLA PLAGWLVDLFGRRPMISVVAEIGVAVAHAAINYSQVTVTVPLLLLGVSQCFLQASMGS AFPLVVPPRAIGTAYGFLAMVGNMGAAVTPLVVAALYAHYDRYIPHVQVLFVGFGVAN ALLGVALVAMDRAHHGVLSRFSPPRDMDLDHKEPFLE H257_02966 MLEKAASAKRWVLVLSSLLVVGLNYCYDNPGAMKSQLQQHFHRI PKTKYELLFSLTYSLYSVPNMVLPLFGGILIDRVGVQTMTMVVVSLVLAGQVIVALGS AMHNFNLILVGRIVFGIGGETMSVAQVKVLVKWFDMSELADHYDVTTALWVGAGVCGL SFVAALLLVQIDKKLHIASEKSEAASIRDLKLLGWLFWLLALQSLVNSCIGPFNNTAT SVFLERDYFQQPPPLCQRCGLGYYNVYCDAVSPHCPNVPPFAWPLPLLSKNCSITSPF DQFQCSKAPPYILDEDINCDDVAWREGPFTHRYCATKSQAAETATSSLSYNPMVQTGL APLAGWLVDLFGRRPMISVVAEIGVAVAHAAINYSQVTVTVPLLLLGVSQCFLQASMG SAFPLVVPPRAIGTAYGFLAMVGNMGAAVTPLVVAALYAHYDRYIPHVQVLFVGFGVA NALLGVALVAMDRAHHGVLSRFSPPRDMDLDHKEPFLE H257_02966 MLEKAASAKRWVLVLSSLLVVGLNYCYDNPGAMKSQLQQHFHRI PKTKYELLFSLTYSLYSVPNMVLPLFGGILIDRVGVQTMTMVVVSLVLAGQVIVALGS AMHNFNLILVGRIVFGIGGETMSVAQVKVLVKWFDMSELAFVFGLSNSFSTFSAVLNY KWSPWIADHYDVTTALWVGAGVCGLSFVAALLLVQIDKKLHIASEKSEAASIRDLKLL GWLFWLLALQSLVNSCIGPFNNTATSVFLERDYFQQPPPLCQRCGLGYYNVYCDAVSP HCPNVPPFAWPLPLLSKNCSITSPFDQFQCSKAPPYILDEDINCDDVAWREGPFTHRY CATKSQAAETATSSLSYNPMVQTGLAPLAGWLVDLFGRRPMISVVAEIGVAVAHAAIN YSQVTVTVPLLLLGVSQCFLQASMGSAFPLVVPPRAIGTAYGFLAMVGNMGAAVTPLV VAALYAHYDRYIPHVQVLFVGFGVANALLGVALVAMDRAHHGVLSRFSPPRDMDLDHK EPFLE H257_02966 MKSQLQQHFHRIPKTKYELLFSLTYSLYSVPNMVLPLFGGILID RVGVQTMTMVVVSLVLAGQVIVALGSAMHNFNLILVGRIVFGIGGETMSVAQVKVLVK WFDMSELAFVFGLSNSFSTFSAVLNYKWSPWIADHYDVTTALWVGAGVCGLSFVAALL LVQIDKKLHIASEKSEAASIRDLKLLGWLFWLLALQSLVNSCIGPFNNTATSVFLERD YFQQPPPLCQRCGLGYYNVYCDAVSPHCPNVPPFAWPLPLLSKNCSITSPFDQFQCSK APPYILDEDINCDDVAWREGPFTHRYCATKSQAAETATSSLSYNPMVQTGLAPLAGWL VDLFGRRPMISVVAEIGVAVAHAAINYSQVTVTVPLLLLGVSQCFLQASMGSAFPLVV PPRAIGTAYGFLAMVGNMGAAVTPLVVAALYAHYDRYIPHVQVLFVGFGVANALLGVA LVAMDRAHHGVLSRFSPPRDMDLDHKEPFLE H257_02966 MLEKAASAKRWVLVLSSLLVVGLNYCYDNPGAMKSQLQQHFHRI PKTKYELLFSLTYSLYSVPNMVLPLFGGILIDRVGVQTMTMVVVSLVLAGQVIVALGS AMHNFNLILVGRIVFGIGGETMSVAQVKVLVKWFDMSELADHYDVTTALWVGAGVCGL SFVAALLLVQIDKKLHIASEKSEAASIRDLKLLGWLFWLLALQSLVNSCIGPFNNTAT SVFLERDYFQQPPPLCQRCGLGYYNVYCDAVSPHCPNVPPFAWPLPLLSKNCSITSPF DQFQCSKAPPYILDEDINCDDVAWREGPFTHRYCATKSQAAETATSSLSYNPMVQTGL APLAGWLVDLFGRRPMISVVAEIGVAVAHAAINYSQVTVTVPLLLLGVSQCFLQASMG SAFPRTYVFVYPVQDKAYGSLTSCSGRASKGDRDSVRLSGHGRGTTECVYILCFFHMK NE H257_02966 MLEKAASAKRWVLVLSSLLVVGLNYCYDNPGAMKSQLQQHFHRI PKTKYELLFSLTYSLYSVPNMVLPLFGGILIDRVGVQTMTMVVVSLVLAGQVIVALGS AMHNFNLILVGRIVFGIGGETMSVAQVKVLVKWFDMSELAFVFGLSNSFSTFSAVLNY KWSPWIADHYDVTTALWVGAGVCGLSFVAALLLVQIDKKLHIASEKSEAASIRDLKLL GWLFWLLALQSLVNSCIGPFNNTATSVFLERDYFQQPPPLCQRCGLGYYNVYCDAVSP HCPNVPPFAWPLPLLSKNCSITSPFDQFQCSKAPPYILDEDINCDDVAWREGPFTHRY CATKSQAAETATSSLSYNPMVQTGLAPLAGWLVDLFGRRPMISVVAEIGVAVAHAAIN YSQVTVTVPLLLLGVSQCFLQASMGSAFPRTYVFVYPVQDKAYGSLTSCSGRASKGDR DSVRLSGHGRGTTECVYILCFFHMKNE H257_02966 MLEKAASAKRWVLVLSSLLVVGLNYCYDNPGAMKSQLQQHFHRI PKTKYELLFSLTYSLYSVPNMVLPLFGGILIDRVGVQTMTMVVVSLVLAGQVIVALGS AMHNFNLILVGRIVFGIGGETMSVAQVKVLVKWFDMSELAFVFGLSNSFSTFSAVLNY KWSPWIADHYDVTTALWVGAGVCGLSFVAALLLVQIDKKLHIASEKSEAASIRDLKLL GWLFWLLALQSLVNSCIGPFNNTATSVFLERDYFQQPPPLCQRCGLGYYNVYCDAVSP HCPNVPPFAWPLPLLSKNCSITSPFDQFQCSKAPPYILDEDINCDDVAWREGPFTHRY CATKSQAAETATSSLSYNPMVQTGLAPLAGWLVDLFGRRPMISVVAEIGVAVAHAAIN YSQVTVTVPLLLLGVSQCFLQASMGSAFPLVVPPRAIGTAYGFLAMVGVRQNVCTSCV FSI H257_02967 MELTIVQDERRLEAEHEKVLQQQTSRPVTTRVRDALRRFTQRNI VGKLREETTTVFNQEEYASEKEKYLKLFEHLKGQEASLKQLGLCVSRLGDALFDVGEC NARIKMDHSDTRFRDVMRQMQGKTTTYGPTMEQHVLPQLRQHVQSMEALMVQMHQRDN LELDFHTAVHKHEKAKRKGKMHVIKETGQQMHDAQHALVVVTRVLLGEFKRVQSIKGS LTEETLLLTCTSMGQLMNQMTSIASAGTST H257_02967 MELTIVQDERRLEAEHEKVLQQQTSRPVTTRVRDALRRFTQRNI VGKLREETTTVFNQEEYASEKEKYLKLFEHLKGQEASLKQLGLCVSRLGDALFDVGEC NARIKMDHSDTRFRDVMRQMQGKTTTYGPTMEQHVLPQLRQHVQSMEALMVQMHQRDN LELDFHTAVHKVQDLSNMSSTG H257_02968 MATAKTHAIAELMQKLKAGDISKAELFMQLSKLQPTGGGGGGPE PPAPTVPFKRSGTSFAASVVESNERGAGGMSSLRPQHHSLYDTDRAGVSHDQAKLISV QQLLASRLAAEKAHQLQHTMLPPDETRQAAAPAPSAAFVATDPFAYSPMQESPTFEDG SLSGRSSQLNDYDSGASRYVHYLDEDSFHVRVSRWKQQKESLKEKLKQEQLEVELNEC TFQPTINPKSHKVASKLRHRTTDSVADRLYKDVINYKLRDEMTLRAREQEELDQQREC TFKPTLNKTSMVPSVRSKYKEPVYNKKFLGPPTLSTDMDECTFNPKINVIPKDMVSAQ LYTQQNIFDRLSRPATAIETPETPLELLDESSRCDGGPRSLDDSKTHTENEGSRPTSA GSVGDGRDRNRRFRAFVRRQAAQESDRQRKIDAARETHAFDFKPAINKKSSEIMQQGR KGDFIERVAKYALRKEHDKLKKKSIKLQDPQCTFKPKINNVSANRVARSVTELSRGDL LKRETTQRLLKLRMEQHEMAQLTFKPSVNSTSIPHVESKLKVVSNPDTYVQRLQEHTL KLYEKQRKALQEQEILEFTECTFKPQTIDVPSYISRIAKSMEIAKAVKAKHAAQYPRR AKPDWK H257_02968 MATAKTHAIAELMQKLKAGDISKAELFMQLSKLQPTGGGGGGPE PPAPTVPFKRSGTSFAASVVESNERGAGGMSSLRPQHHSLYDTDRAGVSHDQAKLISV QQLLASRLAAEKAHQLQHTMLPPDETRQAAAPAPSAAFVATDPFAYSPMQESPTFDGA SRYVHYLDEDSFHVRVSRWKQQKESLKEKLKQEQLEVELNECTFQPTINPKSHKVASK LRHRTTDSVADRLYKDVINYKLRDEMTLRAREQEELDQQRECTFKPTLNKTSMVPSVR SKYKEPVYNKKFLGPPTLSTDMDECTFNPKINVIPKDMVSAQLYTQQNIFDRLSRPAT AIETPETPLELLDESSRCDGGPRSLDDSKTHTENEGSRPTSAGSVGDGRDRNRRFRAF VRRQAAQESDRQRKIDAARETHAFDFKPAINKKSSEIMQQGRKGDFIERVAKYALRKE HDKLKKKSIKLQDPQCTFKPKINNVSANRVARSVTELSRGDLLKRETTQRLLKLRMEQ HEMAQLTFKPSVNSTSIPHVESKLKVVSNPDTYVQRLQEHTLKLYEKQRKALQEQEIL EFTECTFKPQTIDVPSYISRIAKSMEIAKAVKAKHAAQYPRRAKPDWK H257_02969 MKRLERRRTHMLVLVLSSLMVFGSAYCYDNPSALKMQLQQRFHH LPKAHYEFLFSLSYTLYSTPNMVLPFFGGMLVDKFGVRSMALALSLLLLAGQIVFAVG CTFENFYLMLAGRMLFGLGGETMWVAQSTFVTLWFDSSELAFAFGINTLFARLGTVLN DKLSPVIADTYSVTTALWVGVAFCVFSLTCTYVLVRVDAAHTSPDPIKGPAAPTPTTS PSTRRPAWLASFSASFWLIAISYVSFYAVIGPFNNVASSVLLERDYFQQPPPLCQRCG IGYYNRSFDCDAVSPHCPNVPPFAWPLPLLSQNCSITSPFDQFQCSKAPPYILDQDIN CDDVAWREGPFTHRYCATKSQAAESATQAMSVMPLIVAVVAPLSGSVVDAVGLRPMLA LAAEFLLVAAHVALAYTTVSAYKVLAALGVGACLFSSTMWPCIPYVVDPSVVGTAFGA ITAFSNCGLAIVPLVVAAVFNAYDAYIPHVEVVFIASAAWTLALGVALNALDMARGHV LNRKVLTEWHGGGTPRYFPPHDQDELDQPLLLDQEII H257_02969 MVLPFFGGMLVDKFGVRSMALALSLLLLAGQIVFAVGCTFENFY LMLAGRMLFGLGGETMWVAQSTFVTLWFDSSELAFAFGINTLFARLGTVLNDKLSPVI ADTYSVTTALWVGVAFCVFSLTCTYVLVRVDAAHTSPDPIKGPAAPTPTTSPSTRRPA WLASFSASFWLIAISYVSFYAVIGPFNNVASSVLLERDYFQQPPPLCQRCGIGYYNRS FDCDAVSPHCPNVPPFAWPLPLLSQNCSITSPFDQFQCSKAPPYILDQDINCDDVAWR EGPFTHRYCATKSQAAESATQAMSVMPLIVAVVAPLSGSVVDAVGLRPMLALAAEFLL VAAHVALAYTTVSAYKVLAALGVGACLFSSTMWPCIPYVVDPSVVGTAFGAITAFSNC GLAIVPLVVAAVFNAYDAYIPHVEVVFIASAAWTLALGVALNALDMARGHVLNRKVLT EWHGGGTPRYFPPHDQDELDQPLLLDQEII H257_02969 MKRLERRRTHMLVLVLSSLMVFGSAYCYDNPSALKMQLQQRFHH LPKAHYEFLFSLSYTLYSTPNMVLPFFGGMLVDKFGVRSMALALSLLLLAGQIVFAVG CTFENFYLMLAGRMLFGLGGETMWVAQSTFVTLWFDSSELAFAFGINTLFARLGTVLN DKLSPVIADTYSVTTALWVGVAFCVFSLTCTYVLVRVDAAHTSPDPIKGPAAPTPTTS PSTRRPAWLASFSASFWLIAISYVSFYAVIGPFNNVASSVLLERDYFQQPPPLCQRCG IGYYNRSFDCDAVSPHCPNVPPFAWPLPLLSQNCSITSPFDQFQCSKAPPYILDQDIN CDDVAWREGPFTHRYCATKSQAAESATQAMSVMPLIVAVVAPLSGSVVDAVGLRPMLA LAAEFLLVAAHVALAYTTVSAYKVLAALGVGACLFSSTMWPCIPYVVDPSVVGTAFGA ITAFSVT H257_02969 MVLPFFGGMLVDKFGVRSMALALSLLLLAGQIVFAVGCTFENFY LMLAGRMLFGLGGETMWVAQSTFVTLWFDSSELAFAFGINTLFARLGTVLNDKLSPVI ADTYSVTTALWVGVAFCVFSLTCTYVLVRVDAAHTSPDPIKGPAAPTPTTSPSTRRPA WLASFSASFWLIAISYVSFYAVIGPFNNVASSVLLERDYFQQPPPLCQRCGIGYYNRS FDCDAVSPHCPNVPPFAWPLPLLSQNCSITSPFDQFQCSKAPPYILDQDINCDDVAWR EGPFTHRYCATKSQAAESATQAMSVMPLIVAVVAPLSGSVVDAVGLRPMLALAAEFLL VAAHVALAYTTVSAYKVLAALGVGACLFSSTMWPCIPYVVDPSVVGTAFGAITAFSVT H257_02970 MATGRRPMPKVSPNATDGGDSPSKMGAGSIFFRKTASKRESKKR TEEFEINFMSLRFADNDLEGRFRLARQAKYRSRLRYGAGFTACVIPLLVVMQATLKRD TTNHWTEVPLVLIFPGIVGLCGFSVVVFSGYFQSANSSAALTLVCLVGQVCALLDTTA AATDVTEKNVWVQFIFSLGITSSTGLTFIEAGVVLICSSLCFIVMAWAHYSVEPTMSL SSPGTSMAAVFLYSIFLSFLSWNWEYEERRDFVLTERLAKENVQIQMTMEMTGWFSGG AAVSSEGGGGGVHSQGGVLHSNCHIDPKDVELGNELGSGTFGCVYAARWKETSVAVKK ITLQGDTQAIVTSFGAEASVMAQLRHPNVVMFMGVMLHPEYVGLVMEICPKGSVHGVI HSEELKIDWTLLLRMLLDSARGMNFLHSSSPPLIHRDLKSINLLIDADWRCKVSDFGL SKLKAFRDDSFSESATKRMYVGTPMWLAPEVFNGDEHTEKTDVYSFGIILYEALSGAS PFENVSADAVPFIVQSGKRPTDFTPLEPLEHAGLEPLPTLMHKCWDVNPMVRPTFTAI ISALQVALIAFCGDEAWEDHIIFPDRKLVLTNAVEPEDGFLITEKDVVCGDSIGKGVF GVVYSGTYFGTPVAIKKLPIGAVPKNTMIEFHKECSIMKGLHHPNIVLFMGSCSNSPN LLLVTELLVNGSFFDYYHKRPKPVGVADHRSLCYNIALDMARGLAYLHNHIPVVIHRD LKSQNILLDATMRTKIADFGLSKFREVGKTMSICGSPLWVAPEVLRGEKYGTPCDVFS FSIIVWEALAWSEPYPSMGSSEVMKGVACGNLRPVNPDDTPLCLDKLLKECWQRKQEL RPGFNQIVPMLEAMKDEFLNLHNVGNNVG H257_02971 MAWKVNEVEPTPRHFGDRLTFHAISFPAKHGDRVMKCLVKTTLS LRDMGYVHLKRIKKSADGTLVALVSVDADVLAVVLAALHDVDGLSPCMIDVPKHTALS KEEFHAGNAVWPMVFHVHPTTTQLSADDADVFISTMRSLVQGKIMSTADVGCAASHCL VVHPHTPSDVVASTLDEPPSTSKNPLMKHAVLRVLDAVAARQAAAPSSSSNAYLCTGL DVFVAIEPCAMCAMALVHARVGRVIYHRANPVTGALGSRYSLHGQPSLNHRYRVFHVQ PDTPTV H257_02972 MANILAFLTVFAAMASATVNQTDDHRAHIYDFEPRDPHLGIRST DISTNRSVARQALGAHNQLPFFALVLPWSIWQRWNMPLSLSESPNFHGYPTPRPYSLL RQNSPWAASPAGQIEWQSRPLRVVPTGHLS H257_02973 MLTRAAKRHAVGPTFLCATSLSTTGLMSSRGMTSDSDKAPYVLP PRPKLVSKPTDGPLKYKADKSVVENWRVAMKTMDEMMQDIDAKKPKVYMPNKFKEMKE YNDTDGKVVVSKMELTPVAQAPEVPSLKVQNLNGSDYDVKNLTGGKLTLLLTCFKNSG FDNLAGWRQAFENALGEDNSMVQIVQLNIIEEWYVRLFPGMIRKGLRTKVPESQYGLT LVYYGECNEFRAAMDMTNTFVGYVQLVDAKGRVRWTAAGNITPEESTVMTLLTSKILA EISQKPRK H257_02974 MTVVTEWTCEQVVLWLGEAGFDNFKETFSVNEITGDVLSTLTNE ILRDDLKIWAYGHRVKLLRAIHELLAKSTSIDSIASKPSHLSSGASQSPAENAASSHP VPQPIRPPPRVVVLPPTNLPEIPKVGRRRFYNSKVGRDIRLNSTYPHRVLSGPKGSCV MCFSDSTSGRRRELTTTKKCLTCQVHLCAKTFGEYTTSCFEAFHEQDTLDMRARPPPR PRVMYILPNWDPAKDSYESLKRENLRMQLQHQS H257_02975 MAWPGSTCTLRTLSTRVAHCRGWTSQFARSLQCLLRTPWPSRRR FESSFASALSRSRRLAVGGWTHQHFPNWKSLLEATRLDLSISGRPFPWLVVRRDLAPL GRTPRLLPSGEVPAPRAPSRAARDVPRRASPALPLVAVAKIGESNT H257_02976 MSSLQGIRATWTAERDEFIITALQVQVQNGRRPDSGFKKEAWAI VTSGFNDRFAVAYLVTQIKSLVQWLKKDYKDVKYLRDNSGFGWNSDQGLPTAPNDVWA PVLAAKPNCKKFRVTPFPLFDSLALLLDKAYADGRFSGLPPGVKPPPDARASEERSNS MALVSNSSSPLSSPNVTLPRCDRLRRPALSDIENESESNSEVESPPAKKMKKQPPKCD RRSAGAVIGDAISKLVDVEAAKVHGQSSPHDRVTAAIECLMDNYDELDGDDIVRLVDM MGEGFNATIFMALRGAARNAWVAKNVSINWFDYFLQ H257_02977 MPIASIFLGSAAWWMANYVVKEPCHTSALKAEEWIAELLGGNPR RFRKQLRILPSTFLSLLDVLQRQHSLKPSRFVSAREKLATFLFICGHGASNRDAQERF QRSGWTIAQSINEPEYPFQTHLHLVYALCGLHNIITELESEGRRSQEKA H257_02978 MPMNTNAVLNRLQDQAVRDQSYLESCLHDFGEVSHLRDDCEDPP ENPMMDKFLIDLGSEGIRSMTNFTVTEFESLWAMVDDAMNTAWMEGRGRRSTTSPKDA LFMALTVLKHFSTWEKHAADFGYKAPTFEKLIMRVLHAVQPVLYGELIRVPSMSDLSN SDRRFDHFTFALYAVDVKFQPAQRPTGRFAEQKHYFSGKHHLYGYKIEASVSPEGRCV AISESFPGSVHDLTILHTRTAIHETNLLKSAGEQDVPDYGELSTQYQGSWACLVDMGH IGIAHSLRGIHPKRRPVHGVLDAHDMDRNHDISSDRVVVENFFGRVYTLWKISLATYT WGEKNYNTIQRTKFALTNFHLSLMPLRAEDEGFYRSVIARYEQMANEKKRKRSEAQRR YRLNRQERLSIDSNRATRYPSPSMNRSNSNYSMMDEYY H257_02979 MGVWTTIARIAARINMLREPKLAWLAQRTANPSLSIAIPRSLSR GSRSCGRSAVSGWSPTWGQATGSTAAQAQAWSSVRATAAQVAARLSAARPVNPFAGYN SPPGPQRERQFTEAERQAAADSQAGARAIAAPLQVVAKLVRPMSRASTLGTPEEEEKS PRDPEHLGPTDHAEDGKGAVPSTAELDVGGHPDFADTESMDGNRRLVVEDLVAGSESR EVAATMFVGLSSLVLLSGSDAVMNLLHG H257_02980 MYYNNPARWASVEADYKVYIASVLQLAGYSAEQAAAAVPVIIRF ELSLAGATVRKREDTKAVVPAYTSFTFHELDQKYPLLVGSWLKGNGFNVRDKSGGATD WVGFYSLSYFDKTEALLKNTSLEDLRTIVEYKLIHASSTHLTPEFRTANWNLFGKKIG RQKTEPTRENFCMHQVHTTVGELLDKYYMDAVWPASTAKTADEMVNALRSSFSTGIAT ADWLDNSTRTNAQTKLSKFVHLLGGSEKLQVYPTLTFDSKAYLNNRWKVSQVNLDTNL KLNGQPVDTRSFGMSPQMTNAYYSTRNRVSRIAFPAGIFQNPFFDGEFDAAQNFGAIG MVIGHEITHGFDSTRRNFDDDANLNPQWSNVTSVAFNNKAQCIVDQYAKFVVKSEVNG TVLGNLNGSLTLDESIADNGGLKTSFRAYHEYLKKYPSQYTEETGDKLFYLSFAQAWC SKNSDARLTASLSASYPPSRFRVTGALQNNAEFARVFKCPTNSYLNPSNKCLLWE H257_02981 MLALVAKLRSVLTSNRVPFFWAVSAASLQISMDQAALDRSNLRL LPCVIQSVLKFPAIASFVRQTHSTLRVHFEVIDRPMSLWMSWSEFKSCLAGLQNAYTF VQLLPQGDTFHTPHDEGGGCTPQWNFSTKISVDEPKECIHRSDRPVVYVDTQPILGKP DSTGENLIPFTTKTKSTTKNQPTRLHFVVLSVRRTVPKTSDKPTLYCTAYDPITASDY EVVGTPTNWPVNFFDPDVNKNFEAEWLAMLQHLKLGRTITPKLLIRVYNKQPRSDQLI GETEVSVASMMAREGYGIESWFSIYHPVSEKCTGQIELHAHFQIRTTGQGHHADPTTV LLPSVNSKTSTLPIVVPSKQPKQPNVVEDRTTKPLQPSSIEHHHSSELVLKDRIQELE RKTLDLEATVAASDTAKWKRKYDQLKHQVAETQAQVQGLKDELVALHLKASNPLDVQD FAAPATLAAIKAILNARCPERPYNGLKKAMAAVADSPGKISIVSCEEVLDDFGLALNA TQKRNLFRSLDPDTIGILSIEDFLLKLNGDMTRSLSKPKMDTATPQPTPIAPIPRHAS MAISKSKDMLPKLNSLQSTTHDLPAEESKAKGTPSPEPSKNKATTRQEEAPRVSTSAT DAVRPQDTTKSHKEMADHALQSAKPATSKVDMPMDTAKRTGGPIQRSPVTEKPTQAKG KAVAVSNLSTPVLHFTNHTDLHKYLAATLPEKWDMQLTPKGKPYFRNFTTRSTQWNHP VADVDAVYRDYLLHKKGGSAK H257_02982 MNTLTLLVLLFATMAMCVGHQKNTMTFVYHPKTAGGVKGFIRVR YLYRHSKYVGAVIVANLDVKHAQGDALHKSDAKCVGPIKQFKWHIHTKWENPTSSGSL SACSLAKTSNHYDPDYACGPASEHVTEAKCKALTPHYKCTPHTYKANPKACEKGDLSG KLGDFHVKKGKIRGKWYDPHFPKPSEVTPSWNIILHAVCGADTPRFVCAKAVK H257_02983 MRRQVDHIKALRKQMESTYEVLEAGVRSKSSKLTDFISQVGMNQ VERGQALGDLEYQLKCLAHDLHMTEQTKMPQLHAQLHKQVSDMDGSIASAKLTALTQL QAAKHEMDEKIQSDVWASRTSMVTAVAIACPWILFCGISMSSLGYFST H257_02984 MGNVIGISPSDNQEAHRAALIDEIVPFDNLSIHEVEVFWRNFFD HASGFAMSKPEFTTMCISVACQLNKSPNQMAHDAGVLFDELTRESDAKLLDALEFLAS IVFICTAPLDDKIDLVYDSWDMSEDNGLQIDEVVISLKSTLLGLAKIIVIDDKHKTMD MLDDEPIKRLAEMAFRDMLHVSPSTPICSDDTISCDVFHAYCLSNADVKDLFVWFDAV EQDSKHNGLDPFDIPNRSLKQVLQMDPDSAVEKDLTRLTTADHGDEFLAVKPWEGAIV APSKVPPLDASAPVLSLSLHWIYGYHAQDAYARNNVRYSNAGEIVYTAASVGVVLNAG AKTQRHLLAHTDDVLSIAMHPSKGIAATGETGKTPKLIVWDIAAVKPLVTLRGYHSRG IIQLAFSTIGNELASVGADDNHSIGVYTTRDMWHTAELTWFAKGNKAVPLHVVWHPTD AMHFCILGVKYIEFWEKEKCNKGIFGKKGKLQPLLCGAWVTLGTDMTLVVGTSDGALY LFQRPDLRSIVENAHAAAVQTMYAQDKTLITGGKEGLVKLWVAEKTGLTCLSTFDCNV LLHTTGVVVQSVCLSSDGSMVLLGTQSSEIYELNVKGNTMSAALMCGHSVDELWGLAT HPTKQEAATVGDDRWLRVWDLVTRTVLRSVRLECMARAVAYSPDGKLLAVGLGGQVPG KDKANKHPKCGGVVVLVESDLSKVYERNDTKKWIADVKFSPTGRTLAIASHDSSVVLY DLTKQCAKKHAFKKHSSFVSHVDFSADGSFLQSISGAYELLYCDVKSGKQVTSASAFK DEQWATWTCILGWPVQGMWQPEADGSDINAVDRSHSGSLLATADDFGKVKVFRYPCVH KHAGFVDFLGHSSHVTNVRWSAGDRFLLSTGGQDRCLFQWRHDSAVKQPTSPLQHSSN TTNHHHVSHELSTDDDDDVEFQVAGDEFMAVKPWVGAIVPPSVLPMVDNAQPPATTLA LQHVHGYQGQNALNNVRYMEANRIVYHAAALGIVFDASNNSQQFFQEHDDDIVGLALH PNRKTVATGQMGKVPKIHVWELGSRGKYVSLACLQGFHKRAVPVLSFSTDGTTLASMG NDDDHSIAIYKWKEGVLVASSKGVRNTLMHLTHYAATNEWISLGDKTITFWAEQGRNL NGKKAILGKNTPTQVFYCAIAVPTTGKPSSKLIVGAHDGSLYVLDDKNVSRVLKAHTG PAYALFASPTKAEWISGGKDGKVIVWDANLSALHSFDIAIPLTKLFNLLPPDASKKGG AATSSSLFGVRSVCFNSEHTRILLGTSGSDVVQFDRMGTKATVVTQGHCQDELWGLAV HPAKPEYCTVGDDKTLRVWSTEHRLQLRVKSLECVARACAYSNAAPFCIAVGFGGRIG KRKKHVKEGGVVVFSADSLEIVFEDKPSKEWISEIKFSPNNATLAVGSHDNAIYLYAI RDNRFTKVTKVFRGHNSYITHLDFSTDGKYLQSNCGAYELLFSDANTGKQVTSARSLR DVQWHTWTCALGWPVQGIWPACADGTDINAVHRCHSGELVATGDDFGQVKLFRYPAVA KHSVSYSYAGHSSHVTNVRWLGNDTHVITTGGLDRTIMQWKHVQVEGQADDNRNDKTP LVSPSKPVLATRDEDPDEDDDDGKPSTYHHAGTKKDTLETVMFGADAGDEFMAVKPWI GAIAAPSNPPKENPREPELTMRLEWVFGYQTELSRNNLRYNADGQIVYHAAAVGIVYD RDTNTQKHHVGHSDDILCMAMSPSGRFVATGERGKKPSIRVWDAKSGATLCVLTGFHA RGVVSVTFSHDEKTIASVGDDDDHSLAIWEDKGGAWSNGRLVTTSKGDKNVNLFAIAL PRQAFVTGGVKHILFWTLQGKTVSHVKGQFGKLATQQPLLCACSFYDQTTLTGADNGD LYHWTGHTVTKSVKAHDGSLSALFSVLQPDKSYTVVSGGKDGKVCVWNQSLQQTSSFS IDASGNLSSVRAKTIKSVALDSTFKKILVGTLSSDAFEVEVGTGALLKTVAGGHFMGE TWGLAVHPLDPTAFVTVGDDKIARMYDRQAKTSVVLMELEDMARAIAFSPHGTMLAIG YGGDVGRGKKKGGKVGAIGFYEHPSTTIVFEDHPSKAAISDVKFNASGTMAAFGSHDS KIYLYAIDAASGGIHKHKVFDAHKSSITHVDFSRDGKYLQSNCSGYELLFCDTTTGKQ MKSARALKDVVWDTWTCVLGWPVQGIWPEFADGTDVNATCASTSRTFVATGDDSSNVK VFRYPCLTKGAKWIAGQGHCSHVTNVRFTQDDKYIVSTGGNDRTTMMWRLSD H257_02985 MFDDLLKLIKNSPRWAQSSLARRLGFSFSYGHSDDDDDNPESVE ETDWTKLQVSGTKPPVRSGHASVVGNCHFDLYAFNLVHHHWTKLDNRGGIVPDGRASH AWCGATDDTKLYLFGGSGPHWGQTNMGKLLQYSLLSQEWSIVATTGTHPPPGYGQSLV SIRHKLYLFGGTSGHVYVNDLYVFDEVQLSWALLPTHGVKPSPRYKHQAVVVGTDMYI VGGGLYDPPKGSIDMHKFDTITSTWSAVVCRGDIPCSRIAHTVSVYPSGPCPQFQLFG GRDETGMRLNELSCFDVATSTWSRQNDDSNAPDARDFHTSAISGDCMFVFGGSNGDER NSDVFRYSMRYTPSTLALLAIQTIQKHARSNRRLQRQLRHVYMPMELHAAIDTLNTHV RVSTNEEWYPLPFVTSTPPRSLTCRSRSSSAYSSTTCEEGGYSV H257_02985 MFDDLLKLIKNSPRWAQSSLARRLGFSFSYGHSDDDDDNPESVE ETDWTKLQVSGTKPPVRSGHASVVVNGVMYVFGGYNEGNCHFDLYAFNLVHHHWTKLD NRGGIVPDGRASHAWCGATDDTKLYLFGGSGPHWGQTNMGKLLQYSLLSQEWSIVATT GTHPPPGYGQSLVSIRHKLYLFGGTSGHVYVNDLYVFDEVQLSWALLPTHGVKPSPRY KHQAVVVGTDMYIVGGGLYDPPKGSIDMHKFDTITSTWSAVVCRGDIPCSRIAHTVSV YPSGPCPQFQLFGGRDETGMRLNELSCFDVATSTWSRQNDDSNAPDARDFHTSAISGD CMFVFGGSNGDERNSDVFRYSMRYTPSTLALLAIQTIQKHARSNRRLQRQLRHVYMPM ELHAAIDTLNTHVRVSTNEEWYPLPFVTSTPPRSLTCRSRSSSAYSSTTCEEGGYSV H257_02986 MNALHLADVNGTTGAPVLDADEHVLATFLNVRMYTNTYGSNDDD DASPSMESKGTGNLYVTSARVAWIFGQESEGVVGYAWDMTFLSLHAISRDTSSFPEPC LYCQLDVDDEVNEIRFVPCDVDKQLQAMFDAFSASAALNPDDDDDDEPQGGDWIYNED EVVNGAREANLAAHFDSILQVVPSLDANVAGQFDDASDDESLL H257_02987 MEEGLKKGDHAAETAALIPKARAQAASGDLAGAIEALLALEKLS RLHNDLASLTTLVVEVLTLLHAHKQFTLLIEHVTLLCKRRAQKSQAIGKIVTTAIAFI PDAPTEELRVQLIQALRTVADGRIFLEKERAQLTQWLSQIKEKNGLISEAADILQEVH VETYGAMTKLEKAEFILEQVRLTLAKKDYVRAYILSKKILRRVLEEDNFEAAKIKFYK LMIEYDTHENNPLELCRHYQSIFSTKTLTPAEKSDALQHAMLFAVISPHSNLQQDMLH RLAREPLRLDLPAFDTLMKLFTTKEVIPFPLPIPGWDVAASSPIFQDPVRGAQWLEDV HTRTTEHNIRVVAEHYQRIRLPHLADIIGLSEELTESRISALVSNGSVYAKIDRPAKI ISFHKTQSPEELLTNWSTDISELLRLVETTCHLINKENMIHKV H257_02988 MPPRVLIVPGNGCTPIEQCNWYAWLANELRERGCEVVLTSMPDP HRARESIWLPFIRDVMKCDRDTVVVGHSSGAEAAMRLAESTEFLGMLLVSACVTDLGS TPSTAFCLSPKAFLRRRQRAGFRVLQSSVEIRENEGQCKVDRPVWGARRPLHSCVGTR ASGGWVELRVPFHRWQRSLHDGGRAIDLGRARGQVAPVICDCQKFIRIGRN H257_02988 MPPRVLIVPGNGCTPIEQCNWYAWLANELRERGCEVVLTSMPDP HRARESIWLPFIRDVMKCDRDTVVVGHSSGAEAAMRLAESTEFLGMLLVSACVTDLGS TPSTAFCLSPKAFLRRRQRAGFRVLQSSVEIRENEGQCKVDRPVWGARCVEHGQVMVT THERWTDDRFIPASEQEQVADGLNSEFHFIDGKDHYMTEDEPLILDVLVDKLHL H257_02988 MPPRVLIVPGNGCTPIEQCNWYAWLANELRERGCEVVLTSMPDP HRARESIWLPFIRDVMKCDRDTVVVGHSSGAEAAMRLAESTEFLGMLLVSACVTDLGD ASERASGYYNRPWKYEKMKANVKWIVQFGAPGALNMAK H257_02989 MADSRPQARGGMVIGAVRPGLGRKTADPANATCQKCLKKGHWTF ECQGKAAYVRRPSRSKQLANPKLRQPFNTDKPPERSVYEGGDKQAEDGKPEKKVSKKR KDDDSSSSSSSSSSDSSSSSSSSSSSSSDSSSSSESEDERPRKKQKD H257_02991 MAQRTQSPIVTGTSVIAVKYRGGVLMAADTLGSYGSLARFTDNR RIVSVHNSTLVGAGGELSDFQFLTDHLDAMAVTDFNLDDKCDLTAPEIYHYLQRVLYH RRNKFDPLWNTLLIAGMDKNEPFLGQVTLIGCAFTGDFLATGFGHHLATPILRNEWHA DLTEDQARQLLEKVMKVCYYRDCRTINRIVIARVNAQGTVLSEPFAVDTKWDYASFVK PKSGTGSSW H257_02990 MASVDEVILRLSGEMKDTLANLEPIIPFDVLSADNQLHDDDCLG VMMEQFSTNLPELVTRMKQMVTLMETNTKMYDSLKVTSSSMSNQTDSTSDDEDDDSAG GGVDSRQSLWLNDTDRPSTFTAKDSSAFHFRESVNRSSSWRANVFDTTTASFHPRSTS TTVSSHDDLPGKERQSFRFSESFKKPATVPRSRQGKPMASRIRLDIVPPAGIPVPSEQ PRQSSHHHRHDYSVKWTHGDLGISLNNFTKDRRGFQISSLEQSAQCFTTGIGNARLGD MLVFINHHDVELLPCDQVKDILLTAPRPMELFFRSNPKIVTSPTSAEQFRDNQRVFEE GDVAPHLKLPEAPMHCTTDVTESVYNDELEDWLRRQDEMHSALVLLLTETLLQCESLK ADNFDELQRMMERAIVQRRRASSAAVVYRGLVNPPLGLDHST H257_02992 MQVLHQRDDGTVADLASRNSSKSAAMPPIQTLKPLFHHSSPSTC QLGSRGLFSIVVRDYDEAIAVQAMDSSSPTRRNLLHCAGSTEQKTPSQEACIGNQTGG RVWLVLETSDFWGDYEAMQVAGVRFSEVPRT H257_02993 MQPSHVMPPPATSPAHEDDDLVETSTASSFQPNHCIECCMSFDS TADFANHKAKFCIQSEYFDPVKMQQHLLATSISDESNVMATKGVCGATMSFAKVEQYL SGGGGRSSRDGNGTGQDMTIGKVSLLDLKATMQANDVEMEKLRRHVKKEREKEKADEL RGLKLKQQKALLQKKQEEDEVVALMKEIERRQVDELKARAKREQVKAELRHLDTVGMN LLEDERKRELAELVKQKEILTQKEQAALHEIQALEKRVKDQELQHRDDERKVLATIQR MDDGSKGDARVRALRATHMQRSQTYGAQAALLGHKRMELQQLQEKLKNDMTNLDVRHT RGDNQADDDNAAAGVISFNYDEEKHRVKNFDGQFGGDIKGHETSSPATDSSSSKQPHS SSVRDVGKSNSKSTADDSPSPNNWNDEPKPTPRRVHSSDLPTREEERGNTKPLKDNAK TPTAPAPLDALEREVLHRNESDHTLKQSRPSTPRIAKAPQAPPMNHPPLHGGNLPSSS YPPSINPEAPPYAVPPMASHMWPPPNYTSYGGAYPYFAPPPMSNYGMLQPPYFNGYTG FAATGAAIMGGGYPPPMMNPYGMQPTPYMQHQPMSMMPNMMMNPGMFEPPPDPETVKL QQQLEAMKQLKEQRELEMETLRFQQMIQSIQGKLPGAANGLFTNAPTGQQQSMSAQSS SSDLAPKIALAVADELESKELKALKLKHAEDMLKLKQQRDLMEEEERLQDMKEQREKR RREMDEQMAQEEWMANQKRMVMALRMKKVLAQEQPLAPSMTDDMAASGDVRPYDPELG FSVFWDYILLVPAKASFLQVTYAVYEGSILRTKHKVIRARECEPHGPTVNRCVLASTR AFDHLPANMDVRLLIEVAATTSDGKTKPLSLGWTAMDLFILSSDGSTVQLQQGKFKLP LSRSPLPSMNNGPWSVPKGASDATTTTLYLRVAHAAQVEDATMYPVNPDVTASKYQNP STTSTISPSPTLAAPTTVTSDQTKKSSQPTDPLYHAPPPPTAPPTRPPTSKPAQPITT TSYTPNATSTAASYKSKASPIRSVLPSAMAVLATIPSISGDHGTAQYTALLSALRPSD PKEWTHSSSDCVQLVLSLPSSSVPLYSSEDRSIDPSTGLVTAGPWKIPLFSVPKPLSH NQPIVITLSHKRSGGVVELYSATLALEDAGGHDVVWDEQLVELCHPATKQVKAIILVT ISPTDHDPPSHSMFPSTSLSSGEGWVECDLYSNPRNIPPQTLFGRGDGFNVYVDGLRS LPDPVTISKVTCFALNADMTSVSPLQEPSAYTTLQDNASSPSFKLCLEYRGDRFNPTL TLLCRVDTIHGVSKQPMVVGYAALPLFVEHRDNGGAAMTAPTKATVQEFCLNTGAFQL PLRLGATLGTNEIDFSATACDGYMKLPCATILVRVCPAAKTEDGLACLSRNDVATSDW IARGVSTPAPNYADKAYDSTASRPSLAEDKLYKLRLRRPPRLVGDTLLTVTDKATVDA PEKLATWLQAQLTKKPTVTLLDTLTTMFPYIPEMGFRIAIDGLVNVPSGCLYKVVTCI SPPAPFYQDPKMTDDVHMTISYNWTSAQAYPEFQDGYITFRDVPEFPNTDLLVVFDVR GVKQVKGTWVSHQVGWAYLKLLNTSHCIAAGSFQLPLFSGAMSLDLLQHDMALDALID AETAKKKGLISYLPGMSLCIRVEDGCMPNTFPKPLGNMTPVGMPPSTAAKYTYDATNV QTQKKKKPLSKLVVGKTERECEKELNTTFAKDMDISHYTF H257_02993 MQPSHVMPPPATSPAHEDDDLVETSTASSFQPNHCIECCMSFDS TADFANHKAKFCIQSEYFDPVKMQQHLLATSISDESNVMATKGVCGATMSFAKVEQYL SGGGGRSSRDGNGTGQDMTIGKVSLLDLKATMQANDVEMEKLRRHVKKEREKEKADEL RGLKLKQQKALLQKKQEEDEVVALMKEIERRQVDELKARAKREQVKAELRHLDTVGMN LLEDERKRELAELVKQKEILTQKEQAALHEIQALEKRVKDQELQHRDDERKVLATIQR MDDGSKGDARVRALRATHMQRSQTYGAQAALLGHKRMELQQLQEKLKNDMTNLDVRHT RGDNQADDDNAAAGVISFNYDEEKHRVKNFDGQFGGDIKGHETSSPATDSSSSKQPHS SSVRDVGKSNSKSTADDSPSPNNWNDEPKPTPRRVHSSDLPTREEERGNTKPLKDNAK TPTAPAPLDALEREVLHRNESDHTLKQSRPSTPRIAKAPQAPPMNHPPLHGGNLPSSS YPPSINPEAPPYAVPPMASHMWPPPNYTSYGGAYPYFAPPPMSNYGMLQPPYFNGYTG FAATGAAIMGGGYPPPMMNPYGMQPTPYMQHQPMSMMPNMMMNPGMFEPPPDPETVKL QQQLEAMKQLKEQRELEMETLRFQQMIQSIQGKLPGAANGLFTNAPTGQQQSMSAQSS SSDLAPKIALAVADELESKELKALKLKHAEDMLKLKQQRDLMEEEERLQDMKEQREKR RREMDEQMAQEEWMANQKRMVMALRMKKVLAQEQPLAPSMTDDMAASGDVRPYDPELG FSVFWDYILLVPAKASFLQVTYAVYEGSILRTKHKVIRARECEPHGPTVNRCVLASTR AFDHLPANMDVRLLIEVAATTSDGKTKPLSLGWTAMDLFILSSDGSTVQLQQGKFKLP LSRSPLPSMNNGPWSVPKGASDATTTTLYLRVAHAAQVEDATMYPVNPDVTASKYQNP STTSTISPSPTLAAPTTVTSDQTKKSSQPTDPLYHAPPPPTAPPTRPPTSKPAQPITT TSYTPNATSTAASYKSKASPIRSVLPSAMAVLATIPSISGDHGTAQYTALLSALRPSD PKEWTHSSSDCVQLVLSLPSSSVPLYSSEDRSIDPSTGLVTAGPWKIPLFSVPKPLSH NQPIVITLSHKRSGGVVELYSATLALEDAGGHDVVWDEQLVELCHPATKQVKAIILVT ISPTDHDPPSHSMFPSTSLSSGEGWVECDLYSNPRNIPPQTLFGRGDGFNVYVDGLRS LPDPVTISKVTCFALNADMTSVSPLQEPSAYTTLQDNASSPSFKLCLEYRGDRFNPTL TLLCRVDTIHGVSKQPMVVGYAALPLFVEHRDNGGAAMTAPTKATVQEFCLNTGAFQL PLRLGATLGTNEIDFSATACDGYMKLPCATILVRVCPAAKTEDGLACLSRNDVATSDW IARGVSTPAPNYADKAYDSTASRPSLAEDKLYKLRLRRPPRLVGDTLLTVTDKATVDA PEKLATWLQAQLTKKPTVTLLDTLTTMFPYIPEMGFRIAIDGLVNVPSGCLYKVVTCI SPPAPFYQDPKMTDDVHMTISYNWTSAQAYPEFQDGYITFRDVPEFPNTDLLVVFDVR GVKQVKGTWVSHQVGWAYLKLLNTSHCIAAGSFQLPLFSGAMSLDLLQHDMALDALID AETAKKKGLISYLPGMSLCIRVEDGCMPNTFPKPLVCPVHKT H257_02993 MQPSHVMPPPATSPAHEDDDLVETSTASSFQPNHCIECCMSFDS TADFANHKAKFCIQSEYFDPVKMQQHLLATSISDESNVMATKGVCGATMSFAKVEQYL SGGGGRSSRDGNGTGQDMTIGKVSLLDLKATMQANDVEMEKLRRHVKKEREKEKADEL RGLKLKQQKALLQKKQEEDEVVALMKEIERRQVDELKARAKREQVKAELRHLDTVGMN LLEDERKRELAELVKQKEILTQKEQAALHEIQALEKRVKDQELQHRDDERKVLATIQR MDDGSKGDARVRALRATHMQRSQTYGAQAALLGHKRMELQQLQEKLKNDMTNLDVRHT RGDNQADDDNAAAGVISFNYDEEKHRVKNFDGQFGGDIKGHETSSPATDSSSSKQPHS SSVRDVGKSNSKSTADDSPSPNNWNDEPKPTPRRVHSSDLPTREEERGNTKPLKDNAK TPTAPAPLDALEREVLHRNESDHTLKQSRPSTPRIAKAPQAPPMNHPPLHGGNLPSSS YPPSINPEAPPYAVPPMASHMWPPPNYTSYGGAYPYFAPPPMSNYGMLQPPYFNGYTG FAATGAAIMGGGYPPPMMNPYGMQPTPYMQHQPMSMMPNMMMNPGMFEPPPDPETVKL QQQLEAMKQLKEQRELEMETLRFQQMIQSIQGKLPGAANGLFTNAPTGQQQSMSAQSS SSDLAPKIALAVADELESKELKALKLKHAEDMLKLKQQRDLMEEEERLQDMKEQREKR RREMDEQMAQEEWMANQKRMVMALRMKKVLAQEQPLAPSMTDDMAASGDVRPYDPELG FSVFWDYILLVPAKASFLQVTYAVYEGSILRTKHKVIRARECEPHGPTVNRCVLASTR AFDHLPANMDVRLLIEVAATTSDGKTKPLSLGWTAMDLFILSSDGSTVQLQQGKFKLP LSRSPLPSMNNGPWSVPKGASDATTTTLYLRVAHAAQVEDATMYPVNPDVTASKYQNP STTSTISPSPTLAAPTTVTSDQTKKSSQPTDPLYHAPPPPTAPPTRPPTSKPAQPITT TSYTPNATSTAASYKSKASPIRSVLPSAMAVLATIPSISGDHGTAQYTALLSALRPSD PKEWTHSSSDCVQLVLSLPSSSVPLYSSEDRSIDPSTGLVTAGPWKIPLFSVPKPLSH NQPIVITLSHKRSGGVVELYSATLALEDAGGHDVVWDEQLVELCHPATKQVKAIILVT ISPTDHDPPSHSMFPSTSLSSGEGWVECDLYSNPRNIPPQTLFGRGDGFNVYVDGLRS LPDPVTISKVTCFALNADMTSVSPLQEPSAYTTLQDNASSPSFKLCLEYRGDRFNPTL TLLCRVDTIHGVSKQPMVVGYAALPLFVEHRDNGGAAMTAPTKATVQEFCLNTGAFQL PLRLGATLGTNEIDFSATACDGYMKLPCATILVRVCPAAKTEDGLACLSRNDVATSDW IARGVSTPAPNYADKAYDSTASRPSLAEDKLYKLRLRRPPRLVGDTLLTVTDKATVDA PEKLATWLQAQLTKKPTVTLLDTLTTMFPYIPEMGFRIAIDGLVNVPSGCLYKVVTCI SPPAPFYQDPKMTDDVHMTISYNWTSAQAYPEFQDGYITFRDVPEFPNTDLLVVFDVR GVKQVKGTWVSHQVGWAYLKLLNTSHCIAAGSFQLPLFSGAMSLVCVP H257_02993 MQPSHVMPPPATSPAHEDDDLVETSTASSFQPNHCIECCMSFDS TADFANHKAKFCIQSEYFDPVKMQQHLLATSISDESNVMATKGVCGATMSFAKVEQYL SGGGGRSSRDGNGTGQDMTIGKVSLLDLKATMQANDVEMEKLRRHVKKEREKEKADEL RGLKLKQQKALLQKKQEEDEVVALMKEIERRQVDELKARAKREQVKAELRHLDTVGMN LLEDERKRELAELVKQKEILTQKEQAALHEIQALEKRVKDQELQHRDDERKVLATIQR MDDGSKGDARVRALRATHMQRSQTYGAQAALLGHKRMELQQLQEKLKNDMTNLDVRHT RGDNQADDDNAAAGVISFNYDEEKHRVKNFDGQFGGDIKGHETSSPATDSSSSKQPHS SSVRDVGKSNSKSTADDSPSPNNWNDEPKPTPRRVHSSDLPTREEERGNTKPLKDNAK TPTAPAPLDALEREVLHRNESDHTLKQSRPSTPRIAKAPQAPPMNHPPLHGGNLPSSS YPPSINPEAPPYAVPPMASHMWPPPNYTSYGGAYPYFAPPPMSNYGMLQPPYFNGYTG FAATGAAIMGGGYPPPMMNPYGMQPTPYMQHQPMSMMPNMMMNPGMFEPPPDPETVKL QQQLEAMKQLKEQRELEMETLRFQQMIQSIQGKLPGAANGLFTNAPTGQQQSMSAQSS SSDLAPKIALAVADELESKELKALKLKHAEDMLKLKQQRDLMEEEERLQDMKEQREKR RREMDEQMAQEEWMANQKRMVMALRMKKVLAQEQPLAPSMTDDMAASGDVRPYDPELG FSVFWDYILLVPAKASFLQVTYAVYEGSILRTKHKVIRARECEPHGPTVNRCVLASTR AFDHLPANMDVRLLIEVAATTSDGKTKPLSLGWTAMDLFILSSDGSTVQLQQGKFKLP LSRSPLPSMNNGPWSVPKGASDATTTTLYLRVAHAAQVEDATMYPVNPDVTASKYQNP STTSTISPSPTLAAPTTVTSDQTKKSSQPTDPLYHAPPPPTAPPTRPPTSKPAQPITT TSYTPNATSTAASYKSKASPIRSVLPSAMAVLATIPSISGDHGTAQYTALLSALRPSD PKEWTHSSSDCVQLVLSLPSSSVPLYSSEDRSIDPSTGLVTAGPWKIPLFSVPKPLSH NQPIVITLSHKRSGGVVELYSATLALEDAGGHDVVWDEQLVELCHPATKQVKAIILVT ISPTDHDPPSHSMFPSTSLSSGEGWVECDLYSNPRNIPPQTLFGRGDGFNVYVDGLRS LPDPVTISKVTCFALNADMTSVSPLQEPSAYTTLQDNASSPSFKLCLEYRGDRFNPTL TLLCRVDTIHGVSKQPMVVGYAALPLFVEHRDNGGAAMTAPTKATVQEFCLNTGAFQL PLRLGATLGTNEIDFSATACDGYMKLPCATILVRVCPAAKTEDGLACLSRNDVATSDW IARGVSTPAPNYADKAYDSTASRPSLAEDKLYKLRLRRPPRLVGDTLLTVTDKATVDA PEKLATWLQAQLTKKPTVTLLDTLTTMFPYIPEMGFRIAIDGLVNVPSGCLYKVVTCI SPPAPFYQDPKMTDDVHMTISYNWTSAQAYPEFQDGYITFRDVPEFPNTDLLVVFDVR GVKQVKGTWVSHQVGWAYLKLLNTSHCIAAGSFQLPLFSGAMSLVCVP H257_02994 MSELAAILARRRAKDGTGEDAPKEGPSSVATPSAGITRSSPPKP FQPRTFSHGFPGTAALAPTASPTDPSSSSAMSSDAPSESDAPSESPANSPPPPLVSSG DMASPSGRKTSSKIAALQGNLGALNLNAFRPPPARKSHTVSAMTTGEDYDYERTMKTG VSVPGMSGGIPMVGLTRPGVALPGLASNEPAVLTSAPEPEPAAVSHATLTRATGPKRR APTKPKAAAISSSDTTTLSTDDDAPLFPTSSDASPSIPVVAAVVVSAPSPKHVAQTTT SELPRRPSIGLFGALPSQPPSALSGDASSGDTKRPTSGAIPASSLFGSAVEKRPSGGE SSSLFSTPPPPHAAAVSVPHPASLFGTDVDDEDESSDEWDDDKPATTSQAHATPPLFT AIKQPPPPPPAVPHPATSKTFSASNLFGASDSDDDDAEGLFGTGLPTK H257_02995 MASEDTVSAEELTALVQAIKFAHPQFTVKQVHTQVLSHEGKFAS VTVARVKKYLKKLGLTGNLDAPSSEDAAAAPVSLMTVGGDSKSKVEQPSPSSSSSHDD DSHWVAVPLDVPAMQLASHPHQAVIRMTEATDAGSSTGALGEIYKIQKAMAPDGSDEK LPMLMYNKDRSRKSFLHPTSTLGYDAISAWIDQLGAGGVGGGTKAYFYGRQGRKTTSK AGVVFINVHTVADTQPW H257_02996 MEMNRGEAEKCMELGKKHLRAGNYRQAVKWFDKSIRLFPLPGAE ALRGRSEESAKQQEAPSPSASSRAAPAAATPSSSNASSTVSQPEDTRPYTEDQVRIVR KIKMCKTHYDVLGVAKNADENEVKKAYRKLALKLHPDKNSAPGAEDAFKAVGKAFTIL SDDQKRADYDRFGDNAPGENNSRQRSRQQHHGGFHDEDISPEDIFNMFFGGGMPRQRQ RQRQAQPRQQADQTPRTPMQQLLQFLPLILVLCLSLFSFPGNQTAPFSLNPTQEMPVQ RTTRMRNVVNGIPYYVARDFERKYTNDWRDLMRVEQMVESWHVQKLREGCEGERLKQK RRINKARNHKNVNEREAAVKKALSVALPTCDELNRLQE H257_02997 MSFFPRLDLPVRSTCYHGGRTHENGYGYVDDSVVDRLLEEEEVR LNQYFETLRYTSAPQPLGWKYRSSVLNDGSTTCYGQAARGSVTQSNEAYADVVNEDEE EEEELIETQDDELVLDDDDDDELDEDMEMDEDETDHLHPTPTPVDQRRGSPHGGGGGG VEAGGHVHGPIQTVNLTSFLESPIDAAFVRPPQRFSVGSYDNGGGSSARQRRRFSLPR TDRPTTFSDSRRSSIMSTGSSVEYNRQTPTRRFSFSSPSIIGTPSTPANDTTDDSTVP PQPPSDVSNPLAWDFLSLR H257_02998 MGAGASFEVFAPGELEGEMQAMDAATSSSSSWEMFKAGYDTIVH TIIRPPRRHEYSEGVALGPTCFDADDGTTISRRDFTTLNAHNEALRCSVWDSEAGRVR PCFTSCVLYLHGISGSRVEGLSLLGPVLQMGHAFAALDCRGSGLSDGKYISMGLTEKD DAWTMLQALHKYRFGQFESVRIWGRCMGANAALMLLQRVSIQKLSSSVQLKDIDLGVY AMCGHDIMVVTSSRSSANDADVGMGDMLVAIDGRNVRGKSSGFVLTLLAEASLDTTVT LSGLRRTRGATPSPTSSIHLTPFISWMVLDTAFIDLDTVLHDMVTTAQSSDIGWQIPS FVVSAGIALLRSTIKRTAGFDLHAVAPRDGIAHASVPAVFVHGVQDSFVPVRHSEANL KAYGADNKTLLSFPGTHDSLRSRALIESVLVQAHVLSARPTSSTPLSDDELTSMRRQL FPSEGAYPLWTVGTSKWIDQKNPTWTSSLSPRLDEVSIAPYSAYAMSYHEKASSTGGR PHVVYTVSVFAPAHLFAQLPPAASNVFGVKEKESEGRLSQDTVPGDEQHEIKSLWQSF RAQTKRAPTQRRSSWFGGAWRQNATGGAPPKGETTDAWNDLATSKYDSDDSECAQVVV LSHGEGAPPEQGATMDEPQSPRQEEDAATFEVDRRFHDIKLLLTKLSALPGGLPNDLQ TIRSKLVYSTKLGTARLDERVQLLNATLQVVCSSWTLWNHPMVLQFLSLQDVSMMSKQ H257_02999 MNNRSLEMDIRQRRSVDHRNCFHRSSPHHRHSKMAKSMGFNPAA GLSGLLKDGHKHFEGVDEAVAKNIEAVKQLADISRTSLGPNGMRKLVINHLDKIFVTS DTATIVQELEVVHPAAKMVVMAAKMQQTEFGDATNLVVSIAGELLMQAAGLLRMGLHA SEIVTGYKKAYEKALEILNTLEVHTVRDVRIQAELEKIIRPVVGAKQYGYEDVLATLV AEAALTVFPNKPKAAKINVDNIRVTKIMGGNVFDSRVVKGMVVQRDTEGSVKKVDGAK VAVFGCGIEVSSTEAKSTVLIKTADELMNYNKGEEERLDEAIRAISESGATVVIAGGS ISEMALHFLEKYHLLSIRIQSKWELRRLCRAVNATALVRLGAPTPEEMGFCDHVSVQE VGGKKITVFRQDQEDAKMATIILRASTDNVLNDLERAIDDGVNTVKAACKDGRFVAGG GATEIEVARQIEAFGNTIPGLDQYAIKKFAEALEIVPRMLAENAGQQGTEVISSLYAA HGAGKANAGVDVECESETGVVENMLAANVVDHLETKKAALRLGADVAITVLRVDQIIM AKAAGGPKPRGA H257_03000 MAHLKTSEVAFLSTVAKQRLTTYDGNLWEFYDVGDRKLTPLVCL PGTVGGPLIFHRVIAALQEAGGYRVIALQHPVVWTHQEWVHSFDRFLDALNLPSVHIY GVCLGAFLAQRYSSVYPRRVLSLAFTNGFCNTKVFGANSPCVKMLPMLPTFYLKKYVV GNFSHDGTSDAVRATMAYMRAQLDALSQPQLASRLTLVSLSSDHLSWGISIPHTRVTL IDSYGSNNEFTTELREQMYERYPDAKKALMKTAGDFPYLSHDDEVILQLRVHLRSNGH EANA H257_03001 MWRREGMTATWIAAALGILVQDTEEYVKIRPSRTRLKTPLRHPS STKSKRQPCVQEFLLLRFQWVKGHACHTMTPSTASSFAVTGHSTAPLDNATLPPTYSI LIVNQIANARASVAKSDADFSQLRADVWNSRSGYANECDGFIRYHDLYTRDHDCRACH CNTTPRYGSAPECPGIEPCQSGLRFRRIAELNCALYLEVLICDYVEDICITFQVTVNQ HPHELYVELYVDWTTSVRSLRLRPHVRRHRLPVLLPPSDQGPRTAHVGVMGGFHSAHH PAVLAAHVESHQILLQNLMQFVRWRHRQTSIAAVRTGEELFVLGTSFGEVKCVRYVAP EMDGNSQRKHIVLDENVADWEVAATAYSISCVHVHGSLVVVGDGNGTVILLELGTGME LRRYDMEAAVISATWHGGEFILGDLVGNLMGVDEFDVRWIKRMDIDLPFHDHSAPAAT TSAHVASVASVRLRDCDDQLCSYVAVSLGRQELLLTHQGHVLATVPAPTRIACIWSST SHEEKANDAASTLLCGGDDDGVVYKLVTAISSDGVYSLQLQAVVEVLIDR H257_03002 MTTPSQQQQHDQPGLRSSSRPTMGDHSSPVVVTLQLPSTTGQIV AVAITVTIIVTVAVAAGIGLACFYLPNSHRRTQRMSMLDEDDCDVYVMEDGWRCSESS NCK H257_03003 MGNSLCCGITSYEAYQREAKLKEGDHFRRHTSLFGMLPTSDRIY LRLDATSSRLEWTLTDEPSDVARTEAIHVDHIAKIMPSGKANIILYAASGKKMLEVTA KDIPLRDLWVQTLMDVLDARGVIFTSNELESVDAQMRKQQAQDKHVYWQDRTESLQLR QALAAEKKKAFATVGMRYTAQAMANRC H257_03003 MGNSLCCGITSYEAYQREAKLKEGDHFRRHTSLFGMLPTSDRIY LRLDATSSRLEWTLTDEPSDVARTEAIHVDHIAKIMPSGKANIILYAASGKKMLEVTA KDIPLRDLWVQTLMDVLDARGVIFTSNELESVDAQMRKQQAQDKHDRTESLQLRQALA AEKKKAFATVGMRYTAQAMANRC H257_03003 MGNSLCCGITSYEAYQREAKLKEGDHFRRHTSLFGMLPTSDRIY LRLDATSSRLEWTLTDEPSDVARTEAIHVDHIAKIMPSGKANIILYAASGKKMLEVTA KDIPLRDLWVNSIVKPFQDQIHSFAGANVDGRPRRQGGHLHVQRTRVCRRSNAQATSP GQARVLAGPDREPPAAPSAGR H257_03004 MDTKAVDGDATKVLQRASKQVQTATTKKHALTRTEVFNLLQECF APEATTPCKILGFRLAGLAPSCITTEVWQLILDAAVKELAGAAAQGAAPVLVHSIPVF DVLPLTLTLGFLQQQEMEPLKKIQACVNHESIDVRCVALATFSRVSILCTKVLFARGL TRFPFDSNEARIVAQQDVTSILIDIWKLNLQAAEAEAPEVAAVAFSNLAHLFGRSHAI RSLSSQHPRRQEGGLDELVSWLFDQAYPRFGMFKANAQLLPMNSQLHAMKWLSMVAYM LMQKSGACTPGIAIAIVELDATAAVDDNKGTVRVRADLVAADLVESWCLPAYVNASLT QAYPICEAIAIVMQHPLQTYNRLQWSSVLVSRLTAIIRSSTMTRQRHDVIRVQVLLLD WTNTLDFTNVVGPALDSIAGLENPTTRLGLLYDLWHAMVARVCRKRQFALLDSICASS YFHGLPLGQVKGKSSQAYEIFRALVEALLFCSHPNHPQARLVVLQQFVAVLANKSTTD LRTATLVLFTALLTQLCQDSSPAPPVLDFLSNVVLPLAPKVPSPNVRVQLYWLGLKFA PNHTGGGGHSHTIMAWVEVELLALQTSKDGAGLPSSTTYNDGVLGGGDENVHTVDVHV LGRFYALLQCLRCLLAKDASLKQRAVQILAQVRVRNSIHRVISDTVVQTIEDMTGMGS RGHVLSLELASAFVLPELFSPASLFPCRSSGLAATTGPVVAQWTEEVETVVTGSCDPL CLKISYREPEDYPEDIALCVTCCNVSNVSWSDFSIGVGVTGPVTLVDTSNNMHIRVTG EVKPHGMFKSEKLFRFSRFSRARFYFRVELESVTSSPEVPATVMGLTNPYHMPFDALF HLPDSTLWTAAYFQMAWQTAETNKVYKIQAKATKSVTPHHRHAKVAYVADVSVATDWF VQMAFLTWTKWNECVCATISVARDDAANLWHGTMEVRSTDGVLMEVAKAPRDFLGVLV KSTFDLTEDIVVERPAACVSPRHQIVKRAVSVDVMAKESAVTTPTVTRRALMSFFGGK H257_03004 MDTKAVDGDATKVLQRASKQVQTATTKKHALTRTEVFNLLQECF APEATTPCKILGFRLAGLAPSCITTEVWQLILDAAVKELAGAAAQGAAPVLVHSIPVF DVLPLTLTLGFLQQQEMEPLKKIQACVNHESIDVRCVALATFSRVSILCTKVLFARGL TRFPFDSNEARIVAQQDVTSILIDIWKLNLQAAEAEAPEVAAVAFSNLAHLFGRSHAI RSLSSQHPRRQEGGLDELVSWLFDQAYPRFGMFKANAQLLPMNSQLHAMKWLSMVAYM LMQKSGACTPGIAIAIVELDATAAVDDNKGTVRVRADLVAADLVESWCLPAYVNASLT QAYPICEAIAIVMQHPLQTYNRLQWSSVLVSRLTAIIRSSTMTRQRHDVIRVQVLLLD WTNTLDFTNVVGPALDSIAGLENPTTRLGLLYDLWHAMVARVCRKRQFALLDSICASS YFHGLPLGQVKGKSSQAYEIFRALVEALLFCSHPNHPQARLVVLQQFVAVLANKSTTD LRTATLVLFTALLTQLCQDSSPAPPVLDFLSNVVLPLAPKVPSPNVRVQLYWLGLKFA PNHTGGGGHSHTIMAWVEVELLALQTSKDGAGLPSSTTYNDGVLGGGDENVHTVDVHV LGRFYALLQCLRCLLAKDASLKQRAVQILAQVRVRNSIHRVISDTVVQTIEDMTGMGS RGHVLSLELASAFVLPELFSPASLFPCRSSGLAATTGPVVAQWTEEVETVVTGSCDPL CLKISYREPEDYPEDIALCVTCCNVSNVSWSDFSIGVGVTGPVTLVDTSNNMHIRVTG EVKPHGMFKSEKLFRFSRFSRARFYFRVELESVTSSPEVPATVMGLTNPYHMPFDALF HLPDSTLWTAAYFQMAWQTAETNKVYKIQAKATKSVTPHHRHAKVAYVADVSVATDWF VQMAFLTWTKWNECVCATISVARDDAANLWHGTMEVRSTDGVLMEVAKAPRDFLGVLV KSTFDLTEDIVVERPAACVSPRHRTYGLSWTIIASVTAYVTR H257_03005 MPRSANMPSRDAFDPPPPSMFEQGRVLLMQLQHLAHALRHHNPQ HGALLRAVIMSMRIVRECVNSDLANEFDCDSNSSNTMANKTSIYVSKLIQRCQDEAGV TCPDAAAVHTNRSSLVDREMEAIKGLMNTPFSLQTSTLDTLRRVIQHLRQQEETRPFH VQLRACQLQLSTSYDVSSFAYGSTPLFTWIDLFRSPVLVDCIARIKESPQQHASACTV FGSSAGSLVFFTSLICGIRVQGIEILPFLVETSTDTQRRFLMEERVDFQCRDMLTADL QHVQLLVLTSQCWEAGLIKALVNKLEKELPPLALVLDYTAALSSAGGFTLVQSTTGVV SWNKSHTFNVYRNTAALHNQHDG H257_03005 MPRSANMPSRDAFDPPPPSMFEQGRVLLMQHLAHALRHHNPQHG ALLRAVIMSMRIVRECVNSDLANEFDCDSNSSNTMANKTSIYVSKLIQRCQDEAGVTC PDAAAVHTNRSSLVDREMEAIKGLMNTPFSLQTSTLDTLRRVIQHLRQQEETRPFHVQ LRACQLQLSTSYDVSSFAYGSTPLFTWIDLFRSPVLVDCIARIKESPQQHASACTVFG SSAGSLVFFTSLICGIRVQGIEILPFLVETSTDTQRRFLMEERVDFQCRDMLTADLQH VQLLVLTSQCWEAGLIKALVNKLEKELPPLALVLDYTAALSSAGGFTLVQSTTGVVSW NKSHTFNVYRNTAALHNQHDG H257_03006 MTTMECRKELEDLILEVYENLQSDGVNILLPDEIARKIHGMVHL HRACSMSGDVAADGSLIACGPGCSHDPKDGQPESNNNDDGTPKIPEEEVFRVQQMIEA YMAQIDEEMTPMVEHAISVATTHVAVPSYNDMTEPESEEDVRESVLESIPESPVAPLS PVSLPWSAQVPSSVETASQYSMDDDLNTTESLNNQYDEIFQFMKQEFPDEAARYSPGL DATDVESCDGSVMDHDPAQDVTPPPSPRPVFTSKLPVLTAASRPRKQYVAPASVSKAT MVKKTMPQPPPTTPFKRTPLPASLKNKVAMVPPTKSTRSLLRPPASVPKPTTVPLRTR KFSNASDCSDVIITSVPKQESKQDMAAKRREEKEKRELAAREEAQKLREHRRALAESK ADAEKAIRAAKVDMVKRRREERDKRVLVIK H257_03007 MQSIANERNSWTALVEEVALIESPGTASLAACDHDMVNWEDVGS IFSDALVDEASIDQAISTATTNADFDWTDLLQAFNAPVPLETQSLVFAMTTPPHSTNP RTNSKCGKATDLHPSSKKCPKKCSEPTCWNKIRSRGYCKMHGGGKRCLIPGCNTCSVG GYYCIKHGGGKKCSINGCSNAIQSRGVCKAHGGGARCTVAGCQKSSQGKGLCTSHGGG KRCEVSGCGKGAQTRGRCYAHCG H257_03008 MQQRRRLHPATTAASALGPKKKQLTPKPNVLQMKKKVVDPPADK STKKKKGKSPTIEALAAGPPTSVWGITNEPQSRQTKPEQKNMSTLPVKTPSWHCGTLS GSLDDRLHQEIVDYARYTRAIVSEMAVHIEKTIDLVRASVRKLWPDATVETYGSYSTG IWLPSSDIDLVILGTSDDASSHLKCLAASLKHEKWVESILLVESAKVPLLKLVSTDSS VPIDITFETANTHSGLLARDLIKCLVDELPELYPLAIVFKQLLRERGLNDAYTGGLSS YSIVLMIVHFAQLWRAGSNAFNAALVYASGSLPTEAISIDMSREPKLKQESPKKKPNP LSDKSAQPPLLKPVAGTSPPAAPLPKSYAAVLEPTKNSVMSYAAAAVGPVPPITYATM AAKEASLSLPSHHKTADDHHDIVSESSSQADTEDTSADEACDDETVRVVCLGAHARQL LEFFGCVFDYRKSGLSIRNGGFIYRLRDMTDSTMHGLHLRLVVEDPIHPDRNVSAASF AFQKVVALFEDAYFALEYFRPTRFTPTPLSVLLSCSGHRGTHRRYVQAAVGGDTATTG DEEDDDRDD H257_03008 MSTLPVKTPSWHCGTLSGSLDDRLHQEIVDYARYTRAIVSEMAV HIEKTIDLVRASVRKLWPDATVETYGSYSTGIWLPSSDIDLVILGTSDDASSHLKCLA ASLKHEKWVESILLVESAKVPLLKLVSTDSSVPIDITFETANTHSGLLARDLIKCLVD ELPELYPLAIVFKQLLRERGLNDAYTGGLSSYSIVLMIVHFAQLWRAGSNAFNAALVY ASGSLPTEAISIDMSREPKLKQESPKKKPNPLSDKSAQPPLLKPVAGTSPPAAPLPKS YAAVLEPTKNSVMSYAAAAVGPVPPITYATMAAKEASLSLPSHHKTADDHHDIVSESS SQADTEDTSADEACDDETVRVVCLGAHARQLLEFFGCVFDYRKSGLSIRNGGFIYRLR DMTDSTMHGLHLRLVVEDPIHPDRNVSAASFAFQKVVALFEDAYFALEYFRPTRFTPT PLSVLLSCSGHRGTHRRYVQAAVGGDTATTGDEEDDDRDD H257_03008 MRRLHQEIVDYARYTRAIVSEMAVHIEKTIDLVRASVRKLWPDA TVETYGSYSTGIWLPSSDIDLVILGTSDDASSHLKCLAASLKHEKWVESILLVESAKV PLLKLVSTDSSVPIDITFETANTHSGLLARDLIKCLVDELPELYPLAIVFKQLLRERG LNDAYTGGLSSYSIVLMIVHFAQLWRAGSNAFNAALVYASGSLPTEAISIDMSREPKL KQESPKKKPNPLSDKSAQPPLLKPVAGTSPPAAPLPKSYAAVLEPTKNSVMSYAAAAV GPVPPITYATMAAKEASLSLPSHHKTADDHHDIVSESSSQADTEDTSADEACDDETVR VVCLGAHARQLLEFFGCVFDYRKSGLSIRNGGFIYRLRDMTDSTMHGLHLRLVVEDPI HPDRNVSAASFAFQKVVALFEDAYFALEYFRPTRFTPTPLSVLLSCSGHRGTHRRYVQ AAVGGDTATTGDEEDDDRDD H257_03008 MRRLHQEIVDYARYTRAIVSEMAVHIEKTIDLVRASVRKLWPDA TVETYGSYSTGIWLPSSDIDLVILGTSDDASSHLKCLAASLKHEKWVESILLVESAKV PLLKLVSTDSSVPIDITFETANTHSGLLARDLIKCLVDELPELYPLAIVFKQLLRERG LNDAYTGGLSSYSIVLMIVHFAQLWRAGSNAFNAALVYASGSLPTEAISIDMSREPKL KQESPKKKPNPLSDKSAQPPLLKPVAGTSPPAAPLPKSYAAVLEPTKNSVMSYAAAAV GPVPPITYATMAAKEASLSLPSHHKTADDHHDIVSESSSQADTEDTSADEACDDETVR VVCLGAHARQLLEFFGCVFDYRKSGLSIRNGGFIYRLRDMTDSTMHGLHLRLVVEDPI HPDRNVSAASFAFQKVVALFEDAYFALEYFRPTRFTPTPLSVLLSCSGHRGTHRRYVQ AAVGGDTATTGDEEDDDRDD H257_03009 MAKSKQGGRNHKKPAAAAPVAEKRKAVYYSGSSDEEEDSYKHGI PLKSLENAGVRDFTTQVVADEGKSKKKNKKKGKKEPAHVANEEPEPRKKKLNNDLPLE ERKKRLLEQKAIRSEKVVWRKFGRENSAFEEYYRQLWGIDDTEWKVVTKCLETPPSVH FRINGTFRSLSDIAKGSVECDFDVDGSVLKLSTGEEKPLQLQPVEWLNDDSSSIWKIN VDSKTLRKTKQLDGINTFIRDQTAAGTLVRQEPTNMLLPIYLDVHPGHAVLDLNGSGA SRAAQIVEGLQDPTNEFEPKGVVVVNEQDAASATKATRMVAQTVANAASVIVTAHKSE EFPDIEGDGDRLFDRILCSVPCSGDGSIRKFPEKWRCWAPSTAYAFHATQLELATRSL QLLAVGGRLVYATRSFSPVENEAIVAALLRTGACELVDVSDAVPALQTRPGVESWEVV DDSLATLKSFESTSEDTRRRLKLRPTMFGPQASEKSFHLDRCVRVLPHDNDTHGMFVA VLHKTNELVSVAAGPAAAAPLPSSDKKVATADKKKLLKQRVGVYSPISSGHFKLVSSG FGIDLPSTSFMEHLNFTKTRDVHYVTEGVANVLGRYAGRLHVHKAGTTAFQMTPTGCV VADDGLRAIVRHCSERVITLDMDEFSQMVNVKHAWLKHLSEKAQAQLNDLDDGSVILA LDESEPIQTADSDIVIIANKRHSSLSVTAATGSLVRIKALMLELDVDENDEKDGYDSM EYDE H257_03010 MNTELLSDEVLMSPAHHSHLLRQYSLNIPRPGTEHAHQKIVSSA RQRWQQYHLKWRAPPDAASDAATIYHEEVFEVIFGEGLLGIEFAIDDTTDRVVIKSVA ATLSSSSSRHFKSKHTTQVVVGSQLRPGLVVDVINDQQVHTLHGDEVLRMLGTTERPM YVSFTTCDSSMVVCRLCECRVEASRLDEHMELCVFSKKHEQEASHINSMLLRIADVLD SNMRTHDMLSYFSDTDVALYKTMRAIALQAAICDIASVDSFELCVRLMKLLDQNCDEV VGDRPTSSWVVDRGAKYSARIQHLIHAKMNQMRKTHKKMLVHHTSAPVYRTKSLEHQD NNQRRHAGTPINPSSGGGHPKLPSLRVTIDDFDIIKPISKGAYGKVYLAKKKTTGDQY AIKVLAKEHVLRKNQLSNIEAERDILASVQSPFVVKLFWTFQSQFNLFLVMEYLPGGD FMSLLECIVRLEERVACIYIAEIALALNHLHSKGCVHRDLKPDNILIGSNGHIKLTDF GLSEEGVIMTDTAASMSPDRQRSSSNSDQSSTNSDLCHDYSDDEDLLEVLDVFHPSPG PDGVAASDDCHMRGEFLNAFADSPKDQDGSKHRCGTPDYLSPEILLGHEHGTPVDYWA LGVILYEMLVGFPPFNDDTVEAIFANILDRRIDWPNEDQRLSPHVEDLINRLLDPNPD TRLGWTDLTMHPFFQDHGVHWDTLLDTTPPFVPTLDDPYDTSYFNNRNLTEAFVDDSG DATSSSSNDLVVGPTKSIDIPKLSSSSSSGGARLSSSGKHSGGASAPRDRFLDGSFAM TPEAFRTFSFTNMHALVAAGREEAHGKIGGRDLLMGATSNRNLWL H257_03010 MNTELLSDEVLMSPAHHSHLLRQYSLNIPRPGTEHAHQKIVSSA RQRWQQYHLKWRAPPDAASDAATIYHEEVFEVIFGEGLLGIEFAIDDTTDRVVIKSVA ATLSSSSSRHFKSKHTTQVVVGSQLRPGLVVDVINDQQVHTLHGDEVLRMLGTTERPM YVSFTTCDSSMVVCRLCECRVEASRLDEHMELCVFSKKHEQEASHINSMLLRIADVLD SNMRTHDMLSYFSDTDVALYKTMRAIALQAAICDIASVDSFELCVRLMKLLDQNCDEV VGDRPTSSWVVDRGAKYSARIQHLIHAKMNQMRKTHKKMLVHHTSAPVYRTKSLEHQD NNQRRHAGTPINPSSGGGHPKLPSLRVTIDDFDIIKPISKGAYGKVYLAKKKTTGDQY AIKVLAKEHVLRKNQLSNIEAERDILASVQSPFVVKLFWTFQSQFNLFLVMEYLPGGD FMSLLECIVRLEERVACIYIAEIALALNHLHSKGCVHRDLKPDNILIGSNGHIKLTDF GLSEEGVIMTDTAASMSPDRQRSSSNSDQSSTNSDLCHDYSDDEDLLEVLDVFHPSPG PDGVAASDDCHMRGEFLNAFADSPKDQDGSKHRCGTPDYLSPEILLGHEHGTPVDYWA LGVILYVYVVVARELEMVCGWTVVCVCVC H257_03011 MTSHTMRRRVVLCACGMIGIAPTIADSSPWTHASARLVQQPMAR EGTVLEVIEDAAYIYGGAGDSSDAVFNDAWKFDFLGQRWLQVATAGEANPGARFDHVS TAHGTDMYIFGGTSFDPKAIAANNDGTTQTNDVWKLDTVQSKWTVVDGGGHGGSFRPA ARSQATAVSTPQSMVVFGGVVIPNVFYLSPVDLNDVWKFEYQSHSWHSVVIASNSSVP TTRFSHAATTIQLNGDLYMVVFSGRHIFDTRWTILNDAWMLPLTSGSLPSWTRLTANH PFNRILSGVVATDSGYLWFFGGFAFDTTTQREGVAFADALAAEGSLLPWVNMVEDSSP VQNEYAGPLNYDDMDGPSARFGHRMSVWNGNVVVYGGRFVQCLGDVWLRNGSVSPRGA SPSTATGPRSMHLTSAVLALSLVLLILSACLLILLVVIKSTRRRQSLVMDATSAPQAA AGRVQGLSADDIAQFKVVPFTPSATIDPTDEICPICLVEFVAEEGLRQLPCQHHFHPD CIGEWLQRNLTCPMCKRDLSPIHAAAASTTSHAPTNGVVIPLFGSIIRGAE H257_03012 MGYSARAINGVLCACVIIGSPANLPAAADSLWTEVSARLVRQPM ARERTVMEVIGDAAYIYGGVGDANDAAFNDTWKFDFIGQRWDQLTVVANPGPRFDHVS ATYGSDIYIFGGTTYDSKLVSASNDGTAPMNDMWKLDSMQVEWSVIDGGGQGGVVRPV SRSQASAVSTPKAMIVFGGVFTPNVFYLPPVDFNDVWAFDFQSHTWQSVAIATKSVLP IARFSHVASTILVNGVVNMIVFSGRHIVNTRWSILSDAWVLPLSTSGEALPIWTQLTV SRPFGRILSGMVAIDSSHMWLFGGFAFDSQMQAVAFSDTLAADVSLVPIVSLKTVSTQ TAHAEGSSVNVNNGPRARFGHRMSVWKGNVVVYGGRIVQCLGDVWLRNASLATQGATD ATTEDPWRSFTSIMLLIILFLLSLFLCLYIAMWVVKCFRPAQSSVMGVTDARRVATRQ VAPTGGISASDIAQFKVILFTPSAATAPTDEICPICLVEFEAQERLRQLPCQHHFHVA CIDEWLQRNLTCPMCKRDLTLTASPPQASVTDPVQSNAVVPPYGPSNGTVSPELRRPV AV H257_03012 MGYSARAINGVLCACVIIGSPANLPAAADSLWTEVSARLVRQPM ARERTVMEVIGDAAYIYGGVGDANDAAFNDTWKFDFIGQRWDQLTVVANPGPRFDHVS ATYGSDIYIFGGTTYDSKLVSASNDGTAPMNDMWKLDSMQVEWSVIDGGGQGGVVRPV SRSQASAVSTPKAMIVFGGVFTPNVFYLPPVDFNDVWAFDFQSHTWQSVAIATKSVLP IARFSHVASTILVNGVVNMIVFSGRHIVNTRWSILSDAWVLPLSTSGEALPIWTQLTV SRPFGRILSGMVAIDSSHMWLFGGFAFDSQMQAVAFSDTLAADVSLVPIVSLKTVSTQ TAHAEGSSVNVNNGPRARFGHRMSVWKGNVVVYGGRIVQCLGDVWLRNASLATQGATD ATTEDPWRSFTSIMLLIILFLLSLFLCLYIAMWVVKCFRPAQSSVMGVTDARRVATRQ VAPTGGISASDIAQFKVILFTPSAATAPTDEICPICLVEFEAQERLRQLPCQHHFHVA CIDEWLQRNLTCPMCKRDLTLTASPPQASVTDPVQSNAVVPPYGPSNGTVSPELRRPV AV H257_03012 MRMCDHRPANLPAAADSLWTEVSARLVRQPMARERTVMEVIGDA AYIYGGVGDANDAAFNDTWKFDFIGQRWDQLTVVANPGPRFDHVSATYGSDIYIFGGT TYDSKLVSASNDGTAPMNDMWKLDSMQVEWSVIDGGGQGGVVRPVSRSQASAVSTPKA MIVFGGVFTPNVFYLPPVDFNDVWAFDFQSHTWQSVAIATKSVLPIARFSHVASTILV NGVVNMIVFSGRHIVNTRWSILSDAWVLPLSTSGEALPIWTQLTVSRPFGRILSGMVA IDSSHMWLFGGFAFDSQMQAVAFSDTLAADVSLVPIVSLKTVSTQTAHAEGSSVNVNN GPRARFGHRMSVWKGNVVVYGGRIVQCLGDVWLRNASLATQGATDATTEDPWRSFTSI MLLIILFLLSLFLCLYIAMWVVKCFRPAQSSVMGVTDARRVATRQVAPTGGISASDIA QFKVILFTPSAATAPTDEICPICLVEFEAQERLRQLPCQHHFHVACIDEWLQRNLTCP MCKRDLTLTASPPQASVTDPVQSNAVVPPYGPSNGTVSPELRRPVAV H257_03012 MQATYGSDIYIFGGTTYDSKLVSASNDGTAPMNDMWKLDSMQVE WSVIDGGGQGGVVRPVSRSQASAVSTPKAMIVFGGVFTPNVFYLPPVDFNDVWAFDFQ SHTWQSVAIATKSVLPIARFSHVASTILVNGVVNMIVFSGRHIVNTRWSILSDAWVLP LSTSGEALPIWTQLTVSRPFGRILSGMVAIDSSHMWLFGGFAFDSQMQAVAFSDTLAA DVSLVPIVSLKTVSTQTAHAEGSSVNVNNGPRARFGHRMSVWKGNVVVYGGRIVQCLG DVWLRNASLATQGATDATTEDPWRSFTSIMLLIILFLLSLFLCLYIAMWVVKCFRPAQ SSVMGVTDARRVATRQVAPTGGISASDIAQFKVILFTPSAATAPTDEICPICLVEFEA QERLRQLPCQHHFHVACIDEWLQRNLTCPMCKRDLTLTASPPQASVTDPVQSNAVVPP YGPSNGTVSPELRRPVAV H257_03012 MGYSARAINGVLCACVIIGSPANLPAAADSLWTEVSARLVRQPM ARERTVMEVIGDAAYIYGGVGDANDAAFNDTWKFDFIGQRWDQLTVVANPGPRFDHVS ATYGSDIYIFGGTTYDSKLVSASNDGTAPMNDMWKLDSMQVEWSVIDGGGQGGVVRPV SRSQASAVSTPKAMIVFGGVFTPNVFYLPPVDFNDVWAFDFQSHTWQSVAIATKSVLP IARFSHVASTILVNGVVNMIVFSGRHIVNTRWSILSDAWVLPLSTSGEALPIWTQLTV SRPFGRILSGMVAIDSSHMWLFGGFAFDSQMQAVAFSDTLAADVSLVPIVSLKTVSTQ TAHAEGSSVNVNNGPRARFGHRMSVWKGNVVVYGGRIVQCLGDVWLRNASLATQGATD ATTEDPWRSFTSIMLLIILFLLSLFLCLYIAMWVVKCFRPAQSSVMGVTDARRVATRQ VAPTGGISASDIAQFKVILFTPSAATAPTDEICPICLVEFEAQERLRQLPCQHHFHVA CIDEWLQRNLTCPMCKRDLTLTASPPQASVTDPVQSNAVVPPYGPSNGTVVPLPGSID IQ H257_03012 MGYSARAINGVLCACVIIGSPANLPAAADSLWTEVSARLVRQPM ARERTVMEVIGDAAYIYGGVGDANDAAFNDTWKFDFIGQRWDQLTVVANPGPRFDHVS ATYGSDIYIFGGTTYDSKLVSASNDGTAPMNDMWKLDSMQVEWSVIDGGGQGGVVRPV SRSQASAVSTPKAMIVFGGVFTPNVFYLPPVDFNDVWAFDFQSHTWQSVAIATKSVLP IARFSHVASTILVNGVVNMIVFSGRHIVNTRWSILSDAWVLPLSTSGEALPIWTQLTV SRPFGRILSGMVAIDSSHMWLFGGFAFDSQMQAVAFSDTLAADVSLVPIVSLKTVSTQ TAHAEGSSVNVNNGPRARFGHRMSVWKGNVVVYGGRIVQCLGDVWLRNASLATQGATD ATTEDPWRSFTSIMLLIILFLLSLFLCLYIAMWVVKCFRPAQSSVMGVTDARRVATRQ VAPTGGISASDIAQFKVILFTPSAATAPTDEICPICLVEFEAQERLRQLPCQHHFHVA CIDEWLQRNLTCPMCKRDLTLTASPPQASVTDPVQSNAVVPPYGPSNGTVVPLPGSID IQ H257_03013 MAAHCVVWWNKHQHVLVVVACSTSIGSLESGTTGKWTKLSGPDA NSPARREGTILQVIGDIAYIYGGLTNNTMFTDTWKFDLLHLEWTRLVTTVSPGYRFDH VGTTHGTDVYVFGGSVSNTSRLQSGTLSQMNDMWKLDSLIDQWVQITPPSCIHRLPAP RTEAVAVPTGDAMVVFGGVVLPSSNESAADFNDIWQFRYDTETWREVVPKANTPLPLP RFSHTATTVTVHGVRHMIVLSGRHIIRDGWSVLADAWMLPLVLDDNDEAPTWILLSVS PVYNRLFGGAVSVSSSLWMFGGFAFYSHSERDGLAYSDTVAADIASLMDNKVTLFYDY TSSDETTRSSPHARFHHAMAVWRDQVLVFGGKFLQSYGDLWLRNTTMFPTDPNPYQPR PNALSSVVFVFVSVFWLSSVVGYLCLFAIRICFPPFNFRVRMMPLPPLRHRGLSFDEI TAFKLVPFVARKDGGGSDELCSICLADFEPRERLRELSCQHRYHPACIDTWLAKSQSC PLCKRHLASPKATTWAGRVARHRVTAAAPAQQTSTHTPR H257_03013 MAAHCVVWWNKHQHVLVVVACSTSIGSLESGYVSRWHALCELLW YTVKAARRTTGKWTKLSGPDANSPARREGTILQVIGDIAYIYGGLTNNTMFTDTWKFD LLHLEWTRLVTTVSPGYRFDHVGTTHGTDVYVFGGSVSNTSRLQSGTLSQMNDMWKLD SLIDQWVQITPPSCIHRLPAPRTEAVAVPTGDAMVVFGGVVLPSSNESAADFNDIWQF RYDTETWREVVPKANTPLPLPRFSHTATTVTVHGVRHMIVLSGRHIIRDGWSVLADAW MLPLVLDDNDEAPTWILLSVSPVYNRLFGGAVSVSSSLWMFGGFAFYSHSERDGLAYS DTVAADIASLMDNKVTLFYDYTSSDETTRSSPHARFHHAMAVWRDQVLVFGGKFLQSY GDLWLRNTTMFPTDPNPYQPRPNALSSVVFVFVSVFWLSSVVGYLCLFAIRICFPPFN FRVRMMPLPPLRHRGLSFDEITAFKLVPFVARKDGGGSDELCSICLADFEPRERLREL SCQHRYHPACIDTWLAKSQSCPLCKRHLASPKATTWAGRVARHRVTAAAPAQQTSTHT PR H257_03013 MAAHCVVWWNKHQHVLVVVACSTSIGSLESGTTGKWTKLSGPDA NSPARREGTILQVIGDIAYIYGGLTNNTMFTDTWKFDLLHLEWTRLVTTVSPGYRFDH VGTTHGTDVYVFGGSVSNTSRLQSGTLSQMNDMWKLDSLIDQWVQITPPSCIHRLPAP RTEAVAVPTGDAMVVFGGVVLPSSNESAADFNDIWQFRYDTETWREVVPKANTPLPLP RFSHTATTVTVHGVRHMIVLSGRHIIRDGWSVLADAWMLPLVLDDNDEAPTWILLSVS PVYNRLFGGAVSVSSSLWMFGGFAFYSHSERDGLAYSDTVAADIASLMDNKVTLFYDY TSSDETTRSSPHARFHHAMAVWRDQVLVFGGKFLQSYGDLWLRNTTMFPTDPNPYQPR PNALSSVVFVFVSVFWLSSVVGYLCLFAIRICFPPVQIHSPSLYTLPLLHTLAIS H257_03014 MSNNMWSMVLCPLLVLWQGSSAVSWTRLARDSFEAPGGRQGMVM EVVGDAAYIYGGVGDGDHNVYDDTWKFDLVRLKWLPVVTAVNPGLRFDHVSAVRQATQ EVYIYGGMTLNISAFNQVLGWNDDDGYTQQGDVWVLDTVAETWTKVQTVPQDGPGVPL ARSEATAVTAADTAMVVFGGVVIPSNNSLIPVDLNDLWRLDFVTKQWTELATVAGTAK PAARFSHAATTIPVGTVEHMVVLSGRHIVGDGWTILTDAWMVPLSYEGSGLTWTLLHA DPAYDRIYSGVVYAHQGLWMIGGFNYIGQDNAVAYPDTIYAATLAVPNLELKFDYTSD ASTLTARFNHRMAVYKSGILVYGGKFQRCYGDLWLRNTTTLPTDSSPYIQDLNAISPV MFLLLAFVVLFITCIILIAHLYKRLYRQQMIRHGAAVSGMVRPRGMSKEQIDHFELVK FAPASTADVSDEMCPICLVDYTPGQELRQLPCNHRYHPPCIDEWLQKNQTCPMCKRDM AFPMNAQPPRNLPQPRTPGVLIDDALLND H257_03015 MDATQVAAKRPAPANGDAQTKKKMKKLTPEQATQLEYRKKLEAC AAASNAPQALAVFREMKDNDIPLQTYLYQMILNICGQSTTQEDIVGAAFDVYEHMKTH ASSTPRSKKHPVDESSYSALIKLCSKHHITDRALALIAELEAHKVSPKLRTFAPLLAE FASTLDLKQAWWVFEKLLEHEIDATEGEYIALLTASSALNDASMFYKVLGLFCDQVLV PSPSTWDVLKAFFGKSELHKGDAWQCNIGEVNDQGICSVTGSTLQSVELSREKEDALL AKVENLVCTSDERIEQWTAFKTWLDEHGPFDVIIDAANVGYFNQNFEGGGFSYPQIQT VLKAYQDKGQKPLIVLHKRRTKDHQVPEPHRAMVQQWKHDNVMFNCQYGNNDDWYWLY AAVKLSGRTLVVSNDEMRDHHFQMIHNQDFHRWKERHLVHYEVRGHKLDLHEPSVYSM RSQHLESGSWHFPSTASSDWLVFHRRRSSVAAP H257_03015 MDATQVAAKRPAPANGDAQTKKKMKKLTPEQATQLEYRKKLEAC AAASNAPQALAVFREMKDNDIPLQTYLYQMILNICGQSTTQEDIVGAAFDVYEHMKTH ASSTPRSKKHPVDESSYSALIKLCSKHHITDRALALIAELEAHKVSPKLRTFAPLLAE FASTLDLKQAWWVFEKLLEHEIDATEGEYIALLTASSALNDASMFYKVLGLFCDQVLV PSPSTWDVLKAFFGKSELHKGDAWQCNIGEVNDQGICSVTGSTLQSVELSREKEDALL AKVENLVCTSDERIEQWTAFKTWLDEHGPFDVIIDAANVGYFNQNFEGGGFSYPQIQT VLKAYQDKGQKPLIVLHKRRTKDHQVPEPHRAMVQQWKHDNVMFNCQYGNNDDWYEHD KVVRDVYFVTYIPVMS H257_03016 MTSKTCRVPGCPRFAQCAGLCIGHGGGKACKIEGCSSTAQGMGL CSKHGGKALCSVKNCHNKRVFRGVCKAHGGSRICTSPGCTNKDVGKGLCVTHGGGTKC GMMNCEKVERGGGYCKAHGGGKKCTLIGCTSWAPNGGLCPVHKNPPPAAILPDPSPTC KTPGCTKVDAGSGMCKAHGGRKECIVDGCTKTQQAKKRCREHGGSRLCEEEGCNKTAQ YKKLCKAHGGRKQCIIDGCENVVKTRGYCIRHGGGTKCKTAGCEGIDRGGGHCKAHGG GKKCGWQGCFVWVMGGGMCPSHEHHHPSHAAAVLGLTPDDVVAANHPNVVGHAGDLVN HYTQPYGHHPGAMAGQPTPMPQAVPMQQQTQQPQQYHPSQATSFPHYQQLQQQYRSHQ AAAAAAAVAVHQHQQQQQMQYGSHPELLELRRRLFGYPEGDYQYQI H257_03017 MSSRHRGGYDEDEDDDMLLKALQMSEPCGNCGKPGAQIPCMSGC GEVFYCSRECNMLHASSHRLRCRNLRCSKFNDSDSEEESSDESYDDDSGDGSSDEELR APPPTAKGGKSTSTGSSGNGKPSMKKKESGGGGGGGSSSRLRVDSDVERRIEEKIMRR LKKQEENRIAQAIEAQMAQANANWTDADMNRIAMEVKNRLQKEMGHMFMNGSSSRSRK NSITRETLLHSRQITSISEEQQHPGSRGEHPPSDGDHPATRSESTSREVSRPAAPSRS NSTKDAMKHPGAPPPQASSSVPRTATSTNHLNGSSSSRNIVVDAAPMQHQSSPAAVGP IVPSESVVLSPTGPPSAWNNKCDAFLSLKIVVWKLDFLPQLKFGRNDVDGSWNKLVEV DADESFSHIDVGDLLMSLNGQHLSGLSAVGDDQVIQDMLAQTRGGHVILKFSTTKPVN VHVKEYSVRWGNGPLGLTLKDDGSPEALPIVHRLTRKPGSVAVKENIAIGDVLCAINN IDTVQLGCALTMSVLKKVQLPATLTFRGVGGNATKAAPSTSREVVRSVNSVPQPPAQP TTPTPGAVYTVNWTVGPLGLTIIPGLAAGELPVIKRVTGKGESVGIESAQVGDFLLSV NGKSVASLGFETIVDMMKSLPKPIVLEFQSAQKSNHVPSDKPDTTTRSDRPMRTPPAS VVLVPQVVAAVAPTDTVRSNHSAATDTGRSNHSAAATSSVRNSPPHSSKWLAPQGDEV DIDVPVSSAAATYSVVWGADGPLGLTIDAIPHATGAFIKRSNRTGAASHLSEDCIGDE MTHINDIDMTQMDYNRIVTYLRKVPRPVTLRFKKDSIVGRDSISAAAPSVSTPTNRLS SSRPATSTSGPAKATSQYDLAWTEGSLGLSLHAADDKSEFPYITRVTGVGCAAHLPSS VVGDQLRYINAMSCHSSRMTFNDIMEMLKSLPKPIQLRFQKGGSDGTTKQTSSLAVQS HTGNGAYNGAAPSSSNHHNGNKSLLSTGNGTFNSANGSYNTGGDDDNATTHNMLTPFA GAPKLSTGRRQKIVKQLKK H257_03018 MESVSGHTIVVTGGASGIGLALAIRFLQSGNTVILVGRRLSKLQ EAKAAHPGFHIVVGDRTVDLKQGVTPDWDTISSEININYAGVVHTTLLWTPFLLKKPF AAFVNVTSGLSFAPLASVPVYSSTKAALHSFTWSLRYQLANTSIKVIEVIPPAVDTDL QAPGLHTFGVNVDEFADAVYARLGAGEVEIGFGMAEAARLAFRQSFGEGFAQLNARFQ T H257_03019 MLQLIRTVLSFYCATRQPLLFPQECFESQVIAEVEMKVLKRKLM GHCKSGQRLHDVVEFGVGECLEHRCLQQYVHVVQDAATHTVLEMLSIDVIDKGGVVVS ATDSHENVLAFFRTMELIIETLPPLPCATEFSIDMAFHREKLPYVTWCPPYCGNVNLR RRSASNDFECIVLGALKATDGGLCRVSLQSYPTIILPPMERLRPSLPQRNESWFDMVK AVVLKSTKPTIKHVRRQLPKLPHDVAQWCFQRLVDERVLQRDGRSHRYRMGSSGSRPN YAADAAREGIVIASTSSLTPRNDVGSIHGGMVVCGGITQHGGSIGLA H257_03019 MLQLIRTVLSFYCATRQPLLFPQECFESQVIAEVEMKVLKRKLM GHCKSGQRLHDVVEFGVGECLEHRCLQQYVHVVQDAATHTVLEMLSIDVIDKGGVVVS ATDSHENVLAFFRTMELIIETLPPLPCATEFSIDMAFHREKLPYVTWCPPYCGNVNLR RRSASNDFECIVLGALKATDGGLCRVSLQSYPTIILPPMERLRPSLPQRNESWFDMVK AVVLKSTKPTIKHVRRQLPKLPHDVAQWCFQRLVDERVLQRDGRSHR H257_03019 MLQLIRTVLSFYCATRQPLLFPQECFESQVIAEVEMKVLKRKLM GHCKSGQRLHDVVEFGVGECLEHRCLQQYVHVVQDAATHTVLEMLSIDVIDKGGVVVS ATDSHENVLAFFRTMELIIETLPPLPCATEFSIDMAFHREKLPYVTWCPPYCGNVNLR RRSASNDFECIVLGALKATDGGLCRVSLQSYPTIILPPMERLRPSLPQVRPFVQSTRF DIS H257_03020 MAFGTPEEGLRASADCPVYAHPAFWEGLPDSKFPTFGTPASVAA DLIKDELSLDCNPKMNLASFVTTFMEAEAEAILFEGMRKNYIDLDQYPQSADIHKRCV AMLADLFHAPSDPVGTSCVGSSEAIMLAGLAMKRKWKHRRDAQGLPTDKPNMVMGANV QVCWHKMCRYFDVECREANVTPEVLVLTPQRAEPLIDENTIGVCVVLGSTFNGEFEDV QGIHDMVEAMNDEHGWEVGIHVDAASGGFIAPFLNPELVWDFRLPLVKSINVSGHKFG LVYAGIGWALWREPADLPEDLVFHVNYLGGDQAAFTLNFSKGAGTILAQYYNFIRMGR EGYRLIMDAGMNTAEYLRCRLSDTGEWLFDIVDKAHMPLVAFALKNSDKYTCFDIQDK VRSRGWILPAYTCPRGAESLVIMRVVVKQNFTRPMADMLVEDIARTIEFLESPRVQKS RLVPTTTDNSKLALAIGHSTKHRKSGLRTHGVC H257_03021 MATSSPRPFVSLRGGSARTITMSSPRQRSGIARAATAHFSADLA RTKTINDTLPPSQQPPDQRIPRASLSLRTHLAKPKVSGKQLQQLLLWLNSLKAWPEEI TLERFPSQMQNGVLLCHVLHVLVPDFSLGKVNPKAKSLRAAQLNLEAMLQCLSRFPMC TRNVPTAEAMWSGDRGALAVFVLELFHKVAVRRIPIQAVRVWSQRILQQYGHACDESM SWDVLETATNDNDAPGLWDAFRNGIRMWCLLHYYGYDNSPARHRLFQSCRMHTRPTER DERQLNVAIVCSVLQHFGIPLVWDPVGLVTHKSHPFVLAQLHHLYECLHDKVTPLTFD TNQPIHLAQGACNAVTVLGLRFADTLECGATVRDEEIGCSQEEQLRRRPSISSTIRHS AEEQGSRANDVEMRLSATTDGFQWDEYLRVKRSIASEEMNRMTAEESWGIIISSTTNR G H257_03021 MATSSPRPFVSLRGGSARTITMSSPRQRSGIARAATAHFSADLA RTKTINDTLPPSQQPPDQRIPRASLSLRTHLAKPKVSGKQLQQLLLWLNSLKAWPEEI TLERFPSQMQNGVLLCHVLHVLVPDFSLGKVNPKAKSLRAAQLNLEAMLQCLSRFPMC TRNVPTAEAMWSGDRGALAVFVLELFHKVAVRRIPIQAVRVWSQRILQQYGHACDESM SWDVLETATNDNDAPGLWDAFRNGIRMWCLLHYYGYDNSPARHRLFQSCRMHTRPTER DERQLNVAIVCSVLQHFGIPLVWDPVGLVTHKSHPFVLAQLHHLYEWLGPHMHTPRID CC H257_03021 MATSSPRPFVSLRGGSARTITMSSPRQRSGIARAATAHFSADLA RTKTINDTLPPSQQPPDQRIPRASLSLRTHLAKPKVSGKQLQQLLLWLNSLKAWPEEI TLERFPSQMQNGVLLCHVLHVLVPDFSLGKVNPKAKSLRAAQLNLEAMLQCLSRFPMC TRNVPTAEAMWSGDRGALAVFVLELFHKVAVRRIPIQAVRVWSQRILQQYGHACDESM SWDVLETATNDNDAPGLWDAFRNGIRMWCLLHYYGYDNSPARHRLFQSCRMHTRPTER DERQLNVAIVCSVLQHFGIPLVWDPVGLVTHKSHPFVLAQLHHLYEWLGPHMHTPRID CC H257_03022 MLSSLIRQAAVRSSKYGGSALKLTFPQVRSGSHHTRVMPFAIPH SKAFSTTSSQRETDLEAENTKLKAEIEKLKAQAKKKGFVAMVQENGLPFVLWWVTLYG SSGVGIYYALEAGYIGGGDAIHLIQSLGLDQYIDIEKLNPTYGNIAIAVLMNEILEAV RLPLCIATTPLIKRAFLSATGKNPASSSA H257_03023 MRRALVLLSGGLLAVHAAVQPWSNGRAALHDMAQGVDTSITTCP AWIGNDYYIVDQSGACLACNVFDDNCWDSPIPTSPGSASLFTLGTAAGVVVTSAPAVQ FPHTTNSQHTAVVTLRSTAVNAANDFTILSPESDYTWADFDTNRNNYVWSKLNPATMT PGVYVAQVEAWQPVNLRSGICQLCFSVSDHFRPRSTTPCPASTGDFTKVGWPENVGTL TAYLTSVQAFVTSFVNNACSSPVDASCQDVVQVTGSDWFGCPLNLAVAEFKSPSQFFQ KNFAATCVATKLVENPFLSAAVLASPELTLSPAKCTRTVSFEYTWKEFWVEYRCGQPS VSHCSGGADGAAGGDATSTANANSNFQCKRGPLTLAATANNLVTSVSMSANTVALSAN ALYIPGPVTTFPSLGYSSTRQIHFWSTPATAAFNGDLVPLLIPIQSLFTPQALGNAIA GLTLPTVSQLVFWRWRVGTTWRDFAQNDKVTLSSLATVVTFEAWTQCGIKAVDPAISW TVYNHRRQTIPNVDEWFQGNWKFPTASDAHCNVRDSDFAALTFRYDATELQTKVTNWT FQSMKCSWMYGTLVNNVQFAPSAQSWPLVPTGVSNEVVETTFAPKLLNRPVTQLSTYC DLTFFSTAAVNGIAVTIFVGAFLGESKSYGVGSYNLDGNFLVNVNSFVVSPGFEVVFY KQLNQQGIASAVYQFDTPLTTFVNWNPQSFVARTAVVASVLTLFADPLYKGTSAVFGI GTSDLATGLAPSSLQLATGFQVTAYDQRNQRGNSIVFTANSYILPTAWDNRILSVAVD VVASADVVTIYLDANYLGASLSFGVGDFALPTAFRNTVSSIKLAAGYKLTIFTSPAWT GTTTVTYTATSGGALWMPTLGTWDNRGQSLRIAPAVPPTSIVHTYSSITHWFETCDAP SWTGAFYSNAVCEATCMANSWKTLAARVAAPFQACAGNLIYPASPWDATALPVATVLD VGATRGCCRDCDVSLVPGFTAVTCAGTTVSAAISRCQPGGFTTSTSLRVTAPATDDPS LTLLLSLAKVATMLKGLIVGVVVVGVVAVLRGTRQLRGGNEYWAHDSTTDSLTTAFLE H257_03024 MACLSGPLVHLDDHVNTGSMTLDRHHPPTTVVSLDIERKMVKAA RVRARALVQRDVPMARIELADNIAASAAGVSLFHHLDGGKHIVKATVVLPGTTMNDMI SMLTLRTAQQYSRMMQSLLGDLVVGAITLHTADATDESDSLSLHWLTLQPPHQRDVAP PPRPQDYVYLQHTSMIMGRLVSLWHSITLPSMPPRAHVDRGTFHQSGFVVTPHANGHI TASFFLSVVPTLLSPSTPLAALCHIASTCASRLLSTVATLSLPSHLSILHLTPSTDQC HMMCQTQSKPHDGIAPSRQQAIACNTCQQRPVYLSCASTKSYNSSVNDHLCALCHTTP TPLSPPTPTHVTTPSSIAGVHSVSYRHPPSCDSVASPASSSSSSSATSSAAKSTSMWT PRHTLSLASIPSVLYPHIQKHANRLHVTPELEADMRDMGQAAVDALLGAMQTPMLALT PPSSPDSHVVHDVELYEANTSHLYTVKAVVTLPPYNMLDVMALLDMRTTSGFRQTMRT LLDGAFVDGAVLHAAPPSSPQSAESMTLNWLAVQNSKVHLPHRDYVFLKYGNCYRRCS RNRRPAVIPPESTAETPPPNDMVAVSVWESVDLAACGPLPNDLNILRLNFRRCGYVIE SVAPRSGGDFDGIRVSFFMSEEVLSSRSVSPLGKAWVVRMAQSVERLRQGLMEQYVRE GRRTDDAVPRTVPSVARSSSRCHCCAKRFSLLRRRHPCQLCCQIVCKRCLDKQFQLDL CVACCVPTSVSLIKYWTP H257_03025 MEEVNGQRVFDLLLDAVEDGNVGDMLAWMPRCDDINQRDDDGWS LLHIACQGGDDDVVLTLLLANANVEATLKDGRTALAVACRCGHMSIVQLLLKHFAAIK PVSPTSESPLCAATFPGHTDIVYELLQHLDDSNVDLLDEPLILACKLGHDDILQLFLA RGANVNYATEMGATALHMASQKGFVGIVARLLDYGADPHTPLKACGATALVLAAQFGH VSVVQMLLPTLTHDQSSCHAAIGDAMYVACHYGHYDIVQVLVQSRGDEFRNYHRPLYA ASFMGHVDIAHFLVRHGADVNATSQEDGATPLFAACQNGHVRVARFLVDKGEASVDLP RLDGTTPLHVACQEGHAEVVALLLANTTNVNVAQEDGNSPLGAASHFGHVPIVHQLLA NAANVHHSNALGKSPLLIASEMGRLDVVRVLLPVAQVDQIDHTGRSALHSAAELDYPH VVAELVAANANVQLRDMAGRTPLDLAMRCNNTTVVEVMRECLAADEALATILREGLSW NHRFQNGKSVLILAARRGRVDIAQAAIAHGCDVNLHDFAHNTALLWAARNGHLNMVDL LTTCRPDCDLNSRGYMNWTPLQWALHNKHFHVAHILVGRGCSWLLPWQGKLLLEVLAP VLSEHVALRMVLRDLPICVVDGHVVERPGHSYSWATFLDPNLPVRSTVRANVVQAVLQ HPSWTTGATHVADVYKALQNAKDRHGRRALQLSDGSTRSLFKHLLYFCARYEIFDGPP VYVGPKVVVVHAFDHGICHQVFDMNTTDLGVLDLSGFIAANQMLGQWSAERHSAHRKT ENDLAKWNHAFGHWDKDKNGQLNLNEFLGYCDHICGGQLKVAMKFMASHADYMREVRC RRLVHKPVVLELLPALDPSVFRDGVANLHLTCQGHTTIAMAPYENVLVLPAADRSLDD IWTKEHPSDHQMRSYLLDVATGLQHLHECNVVHGDLKASNVLRVHNQLKLIGLDAARS VGQMMGSKFTSGILPPELFYKLNTPEEEAMHDAYWRGGGAAMTCRSWAKIKPRGGVVV RVGHSHEKSPNAGLPYEVATAATAIDAWALGCLVYQMYSGMELVATDNYQDVVVDRML SAASWTDDALRQRVHSHVHDDLAQDMVLKLLVVTPSARATMEAVVSHPYFAGRSSSAI SCVTAVVQSQVNLMARLDKLSVALEDQATTIDRRSRHVLSKVESTTMNLVTAMFAAAD DTKDMPSAFVLLPCKLETLATPASEEIHSFVRNLCGILDQIVDALAAEAPVAPLVAAL THGEPRYLYLVDERSGQLVLPPEEDDHKHVYPILLSTESTENYMDFVAVTLPCIQRGL GLLQKGNWLAHGFDKSESVDKASLDVGKAHARTAAVRALHEVLRKFDPERTFAGLERV VLEDGAIIWTKQPKQQNAVSMA H257_03025 MGRLDVVRVLLPVAQVDQIDHTGRSALHSAAELDYPHVVAELVA ANANVQLRDMAGRTPLDLAMRCNNTTVVEVMRECLAADEALATILREGLSWNHRFQNG KSVLILAARRGRVDIAQAAIAHGCDVNLHDFAHNTALLWAARNGHLNMVDLLTTCRPD CDLNSRGYMNWTPLQWALHNKHFHVAHILVGRGCSWLLPWQGKLLLEVLAPVLSEHVA LRMVLRDLPICVVDGHVVERPGHSYSWATFLDPNLPVRSTVRANVVQAVLQHPSWTTG ATHVADVYKALQNAKDRHGRRALQLSDGSTRSLFKHLLYFCARYEIFDGPPVYVGPKV VVVHAFDHGICHQVFDMNTTDLGVLDLSGFIAANQMLGQWSAERHSAHRKTENDLAKW NHAFGHWDKDKNGQLNLNEFLGYCDHICGGQLKVAMKFMASHADYMREVRCRRLVHKP VVLELLPALDPSVFRDGVANLHLTCQGHTTIAMAPYENVLVLPAADRSLDDIWTKEHP SDHQMRSYLLDVATGLQHLHECNVVHGDLKASNVLRVHNQLKLIGLDAARSVGQMMGS KFTSGILPPELFYKLNTPEEEAMHDAYWRGGGAAMTCRSWAKIKPRGGVVVRVGHSHE KSPNAGLPYEVATAATAIDAWALGCLVYQMYSGMELVATDNYQDVVVDRMLSAASWTD DALRQRVHSHVHDDLAQDMVLKLLVVTPSARATMEAVVSHPYFAGRSSSAISCVTAVV QSQVNLMARLDKLSVALEDQATTIDRRSRHVLSKVESTTMNLVTAMFAAADDTKDMPS AFVLLPCKLETLATPASEEIHSFVRNLCGILDQIVDALAAEAPVAPLVAALTHGEPRY LYLVDERSGQLVLPPEEDDHKHVYPILLSTESTENYMDFVAVTLPCIQRGLGLLQKGN WLAHGFDKSESVDKASLDVGKAHARTAAVRALHEVLRKFDPERTFAGLERVVLEDGAI IWTKQPKQQNAVSMA H257_03026 MGRHRHNVLSDAFHCPPLSEYDRQELILQAKLACKYLVVDATAS DSLPIENVVVNVKTGRHATARCIRDQVDSTLVGTATHTRVRASLGDVVSFFRLDSPSK VHDYARVMGDTFTERVSLYTLLEAASSFDPDHTTAWQQQHSGTIHTSTLTHYVGVEWQ LSKPKGFSPRDFCYLDYQDSFFFVDQATGQERRGWARCLHSVDLDCCPDMERSTGIIR AKMIRSGHVFVESTDKQGVLDYYKIYFIVPGGTVLKHFPSLYMKKMLKTFTTSALNLE EYLIQRRLRPILDVPVSTFPLKKDVAACMHCYHRFPWMATKKQCRSCGDVTCPKCSAA WSFHLDPSSYVKVHLCHQCVLDEADLPVAFAARTSRTTSRSSRVHSAPHRSLQCVRPP YARSRVSDAHNRHTPDPRYSRAVYPMSSSQHSEPADDDDEQGRPRVITLDGASPQGNY NYHHHRHPRSRDDNNSNTSSHHRNHPQTPDDDDADGGIVVISPTDWRGSQLTSTSSVR EALLTFHSDSSSIYL H257_03026 MGDTFTERVSLYTLLEAASSFDPDHTTAWQQQHSGTIHTSTLTH YVGVEWQLSKPKGFSPRDFCYLDYQDSFFFVDQATGQERRGWARCLHSVDLDCCPDME RSTGIIRAKMIRSGHVFVESTDKQGVLDYYKIYFIVPGGTVLKHFPSLYMKKMLKTFT TSALNLEEYLIQRRLRPILDVPVSTFPLKKDVAACMHCYHRFPWMATKKQCRSCGDVT CPKCSAAWSFHLDPSSYVKVHLCHQCVLDEADLPVAFAARTSRTTSRSSRVHSAPHRS LQCVRPPYARSRVSDAHNRHTPDPRYSRAVYPMSSSQHSEPADDDDEQGRPRVITLDG ASPQGNYNYHHHRHPRSRDDNNSNTSSHHRNHPQTPDDDDADGGIVVISPTDWRGSQL TSTSSVREALLTFHSDSSSIYL H257_03026 MGRHRHNVLSDAFHCPPLSEYDRQELILQAKLACKYLVVDATAS DSLPIENVVVNVKTGRHATARCIRDQVDSTLVGTATHTRVRASLGDVVSFFRLDSPSK VHDYARVMGDTFTERVSLYTLLEAASSFDPDHTTAWQQQHSGTIHTSTLTHYVGVEWQ LSKPKGFSPRDFCYLDYQDSFFFVDQATGQERRGWARCLHSVDLDCCPDMERSTGIIR AKMIRSGHVFVESTDKQGVLDYYKIYFIVPGGTVLKHFPSLYMKKMLKTFTTSALNLE EYLIQRRLRPILDVPVSTFPLKKDVAACMHCYHRFPWMATKKQCRSCGDVTCPKCSAA WSFHLDPSSYVKVHLCHQCVLDEADLPVAFAARTSRSTSFVFCCTINHSMFTR H257_03027 MSPPPRGDMFHCPPLHAWQRDELISKAKEACKFLVVNATTSEYS PIETVVQNGRTGRHATVRRSNDITDPQYEGFSAHTKLRASIDDVAGFFELDTPHKAQA YARIMGEIVLDKRRLYTLVERPISDRESQPLHFVSVEWLMLKMPLGFNTRDVCYLECH DEFSFHDQATGDLRRGWARCTHSINLVCCPNLQRSHNVIRMDIIRSGHVFLESSDSGV LDYYRVAFGKANGTMVKHVSKALADRHLKHATAMALNLEEYFISQRVRPMLDVPVGHF QSKKAVEYCMHCYNRIAWHATKRQCRGCGDVACARCSCVWYLPLDQKKTTKVQLCHGC ITGDMDRNKRRYTHHHPPPPPPSPHQSMYSDDNQSTFAEPNNDRPSVASSIHPSIAVS SLHPHSQRYSLPQRAHSSSCVVSLVGSEYPRSSFSMDTDQATELYVLSPSQWRVSEDV SSAIRDGMLTFHSDASAIYLRR H257_03028 MDREGLTSAFASLCLNVIHVYRWVLGGICPPPIDVGTDRTAIEM SFVTRQTQRRSVMTMTDFDSTDRRSTADQSVTPLYLHPPPPHHQHPPPPGDTKPPSKP RMPMLQTYERGQKYQLKLQEKIKTWQTLAAKQRVFKATPLPKQYKYTKGRNTSNGDAA AAGSGRRSLPDEKTKIEAEKKQLSEDMLLLLNALAKSHKQIAPQVVPVERS H257_03029 MLKLSNRNTSFRAWTNLRATTMASTGFAVDDGSRIMQQSVHIHA PSDDMASSALAVKATRPDVVTYYSIHKTVEHIQAYGFRRIALQFPDAMLPDAIQVQFQ LRAALAALEHPIERIFVLGDTSYGSCCVDEVAAHHLLADCIVHYGRACLSPTSVLPVI YVFGNVPCNAAAIAAGFNDLLATTDTSATHVVLYEPCYEHQATAVASSLALSYPDRDF LCSTMRTMYHPGDAASDVAASSTVIGGLAIPLPPSQTFSASTVLLYVGKESPHLTNIL LRAGDTPCLSYDPQTDVARREGTSINRTLNRRYFLVQKAKEAQIIGILMGTLGVANCL DVVQSLQALIAKSGRKSYTFVVGKINVPKLSNFAEIDAFCLVACAENSLLDSTEFFKP IVTPYELHLALTHGDSEWTGQYKADFQEVLPSLVTAVNEMDDEGEAPDEPYFSLVTGK YHQAKGQRDGGGGSDDSDHDGDNCTALVSKAGTQQLTTFRSEAGEFLATRDYRGLDPR VGETAPHAAVQGSSGIARGYTHE H257_03030 MRILSTAVATVMATMAPSMVALADVDVGLSFGMSCSQYPASTSR GTTLRFARTVTDSTASFMAFVFQNFSLPPGDFILLRPLSLANSSTMLPPIRLDSNTYH GSFHAPPLSTTSVSIELYTNHSTPIISPPSSTAIESTTNDCRGSFTVVGYDTHLEGGG EESVCGTDESFEAACFNDINPIHRVMYLRSGAIARLVIQRGGFLYGCTGWLVGSQGHM LTNNHCIQDATDAANTRVEFLAQTTLCPDFVMTSDTCDRQMGCPGEIYASTTKLFVTT NVDLDYTLIRLDPSVVTRYGTLKLRSFASAVGEPVYSVTHPLAWGKRMQYKKNGSVAV VQATTGSELQYLLDTRKMSSGSPILSLQDHSVVALHHAGLENCPNFGVRSDLIVNDLR AKKLLPVNSTVDS H257_03031 MAGGKAKGTSLRSEKRTTRIDKDVKKVSATNKLLSYAYDLLWSY RYFHVIVAILLAVEVVLGVLIIQKIPYTEIDWKAYMQQVTQFKNGERDYLNIRGDTGP LVYPAGHVYIYSILHWVTNNGQDIRLAQYIFLGFYLITISAIFAIFYRSRVAPPWTAI LVCTSKRLHSIYMLRLFNDGIAMMFLFIAVYLCCRQQWRLGCLVYSFAVSIKMNVLLF APALFFLLLQSSGVLRTIGYLSICASLQVALALPFLKTFWWSYLTKAFEFSRVFTYTW TVNWKCIPEHIFLSKPWALFLLSGHIVVLIAFLHKYFFSGPQGLSVSALVYKPFTLRE RVPIRVERIVTSLCVVNFVGICFARTLHYQFYAWYFPTLPYLLWKTNMPLIFKAKVLI ICEFAFNTFPATDVSSTVLHLSHFMLLASLFTASDESKYQSYLDAETRQDLTHFVNEE CFDAARQFAMYYGSVTEELAEGAKVVSIDEQEIVLRLPKMNFTLAIGFGSSAPVTTEG YARRVLSGMSKEAEDGLARGVNMGQVMTRDYNEFKKNNNEAADDGELNVQLRRRK H257_03032 MTADEERLVKSRKRCAVNQRKYRAKLQIIDNQRRMNMDELSRVN QRLEGHIAASIDRRGLWCHAEEQSILEYLRLFERGYTQSERQDSFLRYFVAPDVCFNG LIGVEGVKSYWTTRSSRLTFLHVKYVRLTPVAHTSEGTTVEMHCVIEVALASPTVAAM FPHVVRRPDLVDKLLSAPLHIPLHATYVFDDNKQVSWQSCVPNLVQALYTTFGNLNDV VAATSSSAAIHPDVKGQSDSQPA H257_03033 MASPGKREREVLEGLVHGDGTREEDGGECAPPAKSPKLSIKDGG PRPGQAAPPCKMNRFGFGLLPPKPIVVAVAGVTSSRESNQDENENRTANTIVEEVKPP TSQSLTLEFDMTTAQRNIVTTKPLTSNPKGRAGSGPKSAGSIPKPATSIPKGAKRGSA LPQPRALVKPRVRRTTIPPPSSKQSAAEVVAIPTTSDMHRPSAEDSSNVGFELSPKES FVALIKDKMSVVSQIDDAIKLKRSRLKPHDWRGKFDEQKATLLDMRALLRRVVDEAKQ LEQDAFKMDQTIAMDRKVLERELDHANERNQRVDSIAHEVQSNITDMQVTIGKLKSEK DRLTSELNDARTKLQGATDVHAQLVEAQTSRDQAKLEFDRHVAALTSENHALQGRLDT LEQVHASEKATLSRKNDEVSTMFASLKQMLDFATSANVKLEADNTQLRAKTTDVDAQI KDLETKYTAASTSLRHVEAELQACRAAKDEAVALVAEKSELVRVVSAEVHEHIRQASV EKELRVHTDAQMRELRVEQLATNAQMEAVKAEMKHMSERHADKLGAATAALEAMEAQL KADAAAHAAAVDKLREVTVSLESELHTWRLKEADNTMVEMTALVDAKREADVLKLRLK ELNHHGTQSLHEKDARIAALEAKLKDGEVQRRKMHNTIQELRGNVRVFARTRPFLPSD GTGAVSALTCDGDLQCVTLKRDKETHAFTFDRVFAPVSGQDAVFEEVSEFVQSAIDGY QVCLFSYGQTGSGKTHTMQGSGNGHMRGIIPRSIEKIMAEVTKSEAQGWRYVMHASFL EIYNETVRDLLADKADKKLVLKMDPKNGQNAVMVQDLTLVTIDSLHQVEGLMEKAARV RSVACTDMNAQSSRSHSVFSLHLRGVNDAQGTVLEGKLNLVDLAGSERLSRSGATGSR LKETQAINKSLSCLTDVFAAIGNKSPHIPFRNSKLTYLLQSCLSGDGKTLMMVNLSPT VESAHESLCSLRFAQHVNQCELGKPKRQLKKKSDEDAVV H257_03033 MASPGKREREVLEGLVHGDGTREEDGGECAPPAKSPKLSIKDGG PRPGQAAPPCKMNRFGFGLLPPKPIVVAVAGVTSSRESNQDENENRTANTIVEEVKPP TSQSLTLEFDMTTAQRNIVTTKPLTSNPKGRAGSGPKSAGSIPKPATSIPKGAKRGSA LPQPRALVKPRVRRTTIPPPSSKQSAAEVVAIPTTSDMHRPSAEDSSNVGFELSPKES FVALIKDKMSVVSQIDDAIKLKRSRLKPHDWRGKFDEQKATLLDMRALLRRVVDEAKQ LEQDAFKMDQTIAMDRKVLERELDHANERNQRVDSIAHEVQSNITDMQVTIGKLKSEK DRLTSELNDARTKLQGATDVHAQLVEAQTSRDQAKLEFDRHVAALTSENHALQGRLDT LEQVHASEKATLSRKNDEVSTMFASLKQMLDFATSANVKLEADNTQLRAKTTDVDAQI KDLETKYTAASTSLRHVEAELQACRAAKDEAVALVAEKSELVRVVSAEVHEHIRQASV EKELRVHTDAQMRELRVEQLATNAQMEAVKAEMKHMSERHADKLGAATAALEAMEAQL KADAAAHAAAVDKLREVTVSLESELHTWRLKEADNTMVEMTALVDAKREADVLKLRLK ELNHHGTQSLHEKDARIAALEAKLKDGEVQRRKMHNTIQELRGNVRVFARTRPFLPSD GTGAVSALTCDGDLQCVTLKRDKETHAFTFDRVFAPVSGQDAVFEEVSEFVQSAIDGY QVCLFSYGQTGSGKTHTMQGSGNGHMRGIIPRSIEKIMAEVTKSEAQGWRYVMHASFL EIYNETVRDLLADKADKKLVLKMDPKNGQNAVMVQDLTLVTIDSLHQVEGLMEKAARV RSVACTDMNAQSSRSHSVFSLHLRGVNDAQGTVLEGKLNLVDLAGSERLSRSGATGSR LKETQAINKSLSCLTDVFAAIGNKSPHVLHTSI H257_03034 MSVEQSLESLGLSVGDPEELFEIHSREGAGAFGQVFRASYRSTR KEAALKVIQIALKPGQYGEDVDNVRREIEFLRECDHPNVVAFYGAYYKEGALWIAMEY CGGGSVGDISRQRRLCEQEISVIMRGALEGLAHLHSKKKIHRDVKGGNILLTTDGYVK IADFGVSAQLRDTLSRRGTFVGTPYWMSPEMIQDCNYDYKADIWSLGITAIELADQRP PLFDEHPMRVLIQIPRNPPPRLKQPQDWSANFSHFLQYCLTKSPTERPTATACLAHPF ITCWRDIPRVVSGGVGGVLLRSKSNLHEGPERLLTPLHADHPPSSSSMPTIPESLGQS NASTLDDLIHVAQSASIAEDDDQLEVCDILGYDNHEGSRSDCCNVPEVVLDRLLAMVP PPDDDDDDDDGYDDNEVNVLLEASSSAVSDVDAMDDLITLARASSMEPATVDTLDDDN DGVEEESTTKPIDSSDVNVNNCSTHEMVTKMGLLPPSDDAMSHDSVVYDDSYGAQHPP EPQHIETPIDDRLSVLDQPGNAMNESHVANLPDMTANDPPPSTSVPPVEEDVAKAQDA VGAILEHASDAAVLPPTSEQLQPEIEPVVHVEVKRFAVEQIVSDKPPSPPASPTHSAP TTFVGTPFQVAHDVCVRYNTFDAKYEGVPVSLDSLHKHFGIPLSQMRCSTKHPDDLVP ALLHMLRRELGDAGITAKYIYRSSPDHGQIQAAKLALNTGMFDGPSKRGDPYLLSSLI KCWFRELPTPLLAPCCSVDASVAALAALVTQPAGSTDVALVCNDLDTLDLAVRTFLAP LCDQARQIFEWLVEHWCQVVDKHTLNMMNAHSLAIVWVPNLISMPATSPQDASRVSNQ VATILQVCMLWWQLSHTSSNPTDFGRLEKQPSARTVEAAAPAPPSKPQRPLLVDGKLF HTRRCLVRVLQQDIDRAITDKWSFVDCQRQVLNGLRLYARTKQEREFADKLLQNPAAK SYTRPASLHDCGRWIDIAMDATAFAQFEAQQRDVALQLDHLLLQYPQLTLMPHLATTR PLLLPTQ H257_03035 MKDERTNARKECEILVQNIAQSHARMAPGIQVAIENQWDNDFSE CLRAFVAEKEEEIRGVCSSHYQEFVQSIEDIVQIKCDVNDLQAHIDKYHKELVDVTTP LVQGNDMVVACRNIRQNIDTSIERLQQCQRIVECTAKVDKYIHANQLYHALKVLDTIK VDVSSFRGNHFAKRVNDWIASTMTHLRALTMKNTSTWLEDIRNAASSIGAQAMKRGDE AMPPRLSSDESGGLHLPSLEELSLHAQNIRATNALHADYCQQALALLAPMLRTLHVYK YLHTTSELAKFYNTNRMPQLQFSAFISGDIGTISADKFAAQHDDMFKRLVGTFCIEKL LAVYSNESLLSKKEVNAACLSVLQSLSGLMMALTLKLQSPKVIVDIKRNATLCARALG DDVHQFPTSILLDAFRGMGDFYRKKIRVHIKVKLREFLLQDTFQQVQATKANYVDYLK LCGLDKQSDDFLRTADAKGNIYLPFTAVVRRSCEAIHEMIDMMFEFERHLDIADWGYF VREDTCEALIELNVVLNELIESHSDLQISQAVITGANSSYLSGACDVFGAVLHDKIDL WERRAVAMTTAAAAAQTAKGQSCGGARASLTIAMAKKRLESTSTRAQDMVCEIMVKKI DDLIGSFYFLEWVPAEPTKQADPCMSDLINYLQASFTQLNTLPVPIKEAVHFASCIHI NKALEQVLVGPTVKKVNVTGILNFKRNLDALVQYAGTCGVGQLKDCFMPMTQLVDLIV SDDLERLDHTTFKSGGKYTHVMPDHVVAVVEKFKETSVAAAAAASSSMFFKSNKSKAE TTVGLKKSVIDAVLKQLKTIGPMHK H257_03035 MKDERTNARKECEILVQNIAQSHARMAPGIQVAIENQWDNDFSE CLRAFVAEKEEEIRGVCSSHYQEFVQSIEDIVQIKCDVNDLQAHIDKYHKELVDVTTP LVQGNDMVVACRNIRQNIDTSIERLQQCQRIVECTAKVDKYIHANQLYHALKVLDTIK VDVSSFRGNHFAKRVNDWIASTMTHLRALTMKNTSTWLEDIRNAASSIGAQAMKRGDE AMPPRLSSDESGGLHLPSLEELSLHAQNIRATNALHADYCQQALALLAPMLRTLHVYK YLHTTSELAKFYNTNRMPQLQFSAFISGDIGTISADKFAAQHDDMFKRLVGTFCIEKL LAVYSNESLLSKKEVNAACLSVLQSLSGLMMALTLKLQSPKVIVDIKRNATLCARALG DDVHQFPTSILLDAFRGMGDFYRKKIRVHIKVKLREFLLQDTFQQVQATKANYVDYLK LCGLDKQSDDFLRTADAKGNIYLPFTAVVRRSCEAIHEMIDMMFEFERHLDIADWGYF VREDTCEALIELNVVLNELIESHSDLQISQAVITGANSSYLSGACDVFGAVLHDKIDL WERRAVAMTTAAAAAQTAKGQSCGGARASLTIAMAKKRLESTSTRAQDMVCEIMVKKI DDLIGSFYFLEWVPAEPTKQADPCMSDLINYLQASFTQLNTLPVPIKEAVHFASCIHI NKALEQVLVGPTVKKVNVTGILNFKRNLDALVQYAGTCGVGQLKDCFMPMTQLVDLIV SDDLERLDHTTFKCVGSIHFRDFIHISSHFALYFIIYGGVCVYVGRSGGKYTHVMPDH VVAVVEKFKETSVAAAAAASSSMFFKSNKSKAETTVGLKKSVIDAVLKQLKTIGPMHK H257_03035 MKDERTNARKECEILVQNIAQSHARMAPGIQVAIENQWDNDFSE CLRAFVAEKEEEIRGVCSSHYQEFVQSIEDIVQIKCDVNDLQAHIDKYHKELVDVTTP LVQGNDMVVACRNIRQNIDTSIERLQQCQRIVECTAKVDKYIHANQLYHALKVLDTIK VDVSSFRGNHFAKRVNDWIASTMTHLRALTMKNTSTWLEDIRNAASSIGAQAMKRGDE AMPPRLSSDESGGLHLPSLEELSLHAQNIRATNALHADYCQQALALLAPMLRTLHVYK YLHTTSELAKFYNTNRMPQLQFSAFISGDIGTISADKFAAQHDDMFKRLVGTFCIEKL LAVYSNESLLSKKEVNAACLSVLQSLSGLMMALTLKLQSPKVIVDIKRNATLCARALG DDVHQFPTSILLDAFRGMGDFYRKKIRVHIKVKLREFLLQDTFQQVQATKANYVDYLK LCGLDKQSDDFLRTADAKGNIYLPFTAVVRRSCEAIHEMIDMMFEFERHLDIADWGYF VREDTCEALIELNVVLNELIESHSDLQISQAVITGANSSYLSGACDVFGAVLHDKIDL WERRAVAMTTAAAAAQTAKGQSCGGARASLTIAMAKKRLESTSTRAQDMVCEIMVKKI DDLIGSFYFLEWVPAEPTKQADPCMSDLINYLQASFTQLNTLPVPIKEAVHFASCIHI NKALEQVLVGPTVKKVNVTGILNFKRNLGLYIMIAC H257_03036 MQGRGKPEKRDAHVGAADVDAGRDGQALGKEHGVGRRLELHGEH DEASFEGDLEGAAAHGPQRHDERIGFGDGAVRRVQRHHAEEEGYERQTLHGRDALAQV RAMRRTYVFPREARRRGDRSVVDVR H257_03037 MEEEKIMTSVAELYTSPHGLNAIAKDIGLGLEILTPRKKVSIMI IGNHSAGKSSFINWYVGEDIQRTGVAIETQGFTLITSGTKRTLAPIKGESTLMLYPHL TPLSKQFGKSLVENLVTHVSTSTARHFKVVDFCDTPGLVDGDISYPFDVNAAIVAMAA HADLIFVFLDPMGQALCSRTMQVVKALNQNGQYADKMKYYLTKADTVTDSKEMMKLMV QITQNIKANIQNQHGLEIPSIWITKSRPTNSHLMPSTSSTTSSPQSPSMDSLNQIDAV CESIEKAIHQKVQDNLSQVERDCQAIRTAIRRRLLTDELQRAAKRSRHVVAMGFALTA WVLPLVTFVILVGEYKKALPSAILDADVVMSFVDATHDTLRPMVLADGPLGLLSTLKL VGGSCVLFVLLNAVAEFIKTRMKRFDTQSNDVVARWKSYDHVLDDVLERRLELFKEYV RTYTSADNI H257_03038 MPTPHVYHGVDITPLEGWMTKYKSKGKFFGTSNKRWFKVNVTAV NNASETQRLTLSYFKSKKATDVRGWIYLEDVTEIINKSDAIEIVSPTRTLRLKGETAA EHRMWLDSLRQLCFPEPASPPQDSAKQADRHATSKPHLEHTDSQSKASSNALAPREAS TSVPYVPVKNDPPPEAKTAPSEAKSHAKQSPPHHDASSTTVANEPPLATKQLDSSSPP PPRAETKTVTKNVAEAKPALKPRGSVLDLKPRDESQNALEFSDSDASDDDDNEDEYGG DAKTSHAATDQEQPSASDSTNYHRGSTPNDETSPPPRAVSETNNKRSVESFSNSNNSS VDEAKQLDDGDTEVERFEPSPARPAPKQPVSDYFDDDADEFPKKPTAAVSSSPPKLAP SSSTVRADNNFVTEDWDDNDDPHVIKESKAAPAPHAGVASDANFVTEDWD H257_03038 MPTPHVYHGVDITPLEGWMTKYKSKGKFFGTSNKRWFKVNVTAV NNASETQRLTLSYFKSKKATDVRGWIYLEDVTEIINKSDAIEIVSPTRTLRLKGETAA EHRMWLDSLRQLCFPEPASPPQDSAKQADRHATSKPHLEHTDSQSKASSNALAPREAS TSVPYVPVKNDPPPEAKTAPSEAKSHAKQSPPHHDASSTTVANEPPLATKQLDSSSPP PPRAETKTVTKNVAEAKPALKPRGSVLDLKPRDESQNALEFSDSDASDDDDNEDEYGG DAKTSHAATDQEQPSASDSTNYHRGSTPNDETSPPPRAVSETNNKRSVESFSNSNNSS VDEAKQLDDGDTEVERFEPSPARPAPKQPVSDYFDDDADEFPKKPTAAVSSSPPKLAP SSSTESKAAPAPHAGVASDANFVTEDWD H257_03038 MPTPHVYHGVDITPLEGWMTKYKSKGKFFGTSNKRWFKVNVTAV NNASETQRLTLSYFKSKKATDVRGWIYLEDVTEIINKSDAIEIVSPTRTLRLKGETAA EHRMWLDSLRQLCFPEPASPPQDSAKQADRHATSKPHLEHTDSQSKASSNALAPREAS TSVPYVPVKNDPPPEAKTAPSEAKSHAKQSPPHHDASSTTVANEPPLATKQLDSSSPP PPRAETKTVTKNVAEAKPALKPRGSVLDLKPRDESQNALEFSDSDASDDDDNEDEYGG DAKTSHAATDQEQPSASDSTNYHRGSTPNDETSPPPRAVSETNNKRSVESFSNSNNSS VDEAKQLDDGDTEVERFEPSPARPAPKQPVSDYFDDDADEFPKKPTAAVSSSPPKLAP H257_03039 MSSPGPERPQLCVHPRLALLVLGAGFAQLCNAPCCSSVRFARIE TINRGWDHPSLQDDFCAAAMNVSGSTYSERIDKLILVAHSMGNLIASGALASGRCNMA KNVHWISIAAPMEGTKSSNCIEKPYP H257_03040 MKTIAILALASSAAVFAAGDINLNETTTTGLLRGPDRKLRTNAQ VQGIQDDADVNRKCHTTNGGKFHNCFRTSDQIFDYVDALVAQNPTLLTKEKISCTVRG KAIYAYKLTSGASKPRSLYFQSLLHAREWIAGSSNLFTLSSILDDIANKKPTAVDAFN LYFVPIVNIDGYDISWTKGKRLQRKNANGVDLNRNWPTPFKNAKAVPLWSELYPGKNP GSEPETRGIGAWLHAKNSELAGWVDVHSVKGLILYPYGDTTQPIGNGDDAKFERLGRN VAAATGGGYTGQTAASPPLEPAIGAFDDYLYRTYKKPVLTIEVAGTHFAAHVSTIRTR GTEIFKALTQFAKEVLIFEGNGGEIVQRGQRRWDCLSQRLINQNKSDVVEGDVAELLV SDDPTRQ H257_03041 MGNLIASGALASGRCNMAKNVHWISIAAPMEGTKSSNCIEKVCQ NEWMAPLSVAIGSMSPAPPAILSMRHMSTVAVPMKQKFKDAQHAWARHVTRLSCAVVP GDWDGFGSHAETSLHYKARANHMDAAFQNGDGWWGSDRKPMQWFQCTL H257_03042 MKKSLLPSIRDNTGCPADELEVRQMLGEVTTLLHEPTTMTRRKP SAPKDGHVDDAIRLFLEAQVASVKLRAQRPVQNTLFKQMSYGSSTSQWPLDDRFKLIG TELVQGMWTQITDVVASKTKWDIQLLVTYIKLNPTPDAWQLYLDTRRASFVTRSPDSL DNFLRHMPALLKEDLDVFCIPCDGAPLSIFPAPDIQQDAIERMNQVVDSVYGPTLRAL LGTRIKALVADSTGWMDKSSQSLQLPFVSYAPAYVVQPQSREYAYPYAPHANRSLLGS SNSLANVGALGRSFRFSIASPRGTKAGGQGDVDVLLANPSQHGVWLQFAVSSLQFSDT SAPAVLMNGIYVFFGDLETVHSRCKKKIRVDIKACIGWAVLALVSPANAPSPTWIEAT IESYRKDDNSCQVVLTPSASLFESWLPLDTHIRMAPSDPKCRIHAGKKWLALVDEINT AAHVMSGYCPDPCRRHVQSAMWATLSNPFRPADFIFSRYLRSAIHDATRREIVLESRA VGQFNADTGVYGYPVRVQEHLLRARCESVATIMYDVSDCLHMCIRSNAHMSIARLFVQ VIQEELSVFLREIAKSTMGDTTTALESLVETAVGLGLMLDTWQTHTQAKIFDRAPTPT TQWTHDTFAKVCATILATKHTVLACIYAQWRRECTQSYFPNVAQHGWSSVKPYFGDSR ISAGVQCLVFRVDSLVSRVVSACAMPFGNAVTTRPMQCMCWSMVLHGLECFSALYKAI QVSRARLWQFKMDVLYMLCGMYRSTQRIRELDTADDDMSMWATRCEDGMIEWLQILSL VFAPAGLVIEEMTSRKKAELTPPESQPETTAKEVLLDDQMQVLVGLLCIHNDQNRLKP WQSHNILLGGDVKPLKQIDLQWQPLLASLTMESYEMVGWIRKRRETCSSTFPELTKEE LAHRAKLEEVMAGVAGETTAR H257_03043 MERDDFTPLTFDRPIPIVLVNAAPGERTFDPNDPHYLYQERKKS RILQRSMSVADVESPPPPPPAVVLQGDTNDPHTLLRAVFQYYCRFGRTSTQGCSTDVT LDNVNFAKFCRDCPHLIGPSFTLVDVDLTFCKVKLKRERRITYAMFLEAMGIMALKKY PNLALEVAVPKLLQEHIATLPCLRPGSSSPNRASVVCRVTPSPPKSPLRSPLSTLNHG QQLDPPNTVITLEKTQLSLEQYQRQVHMVNTLSRVNSASQAEVQDLEDRIKFCYMLQD EVAELVSDLPRGYEAYRRICKEVEILSANLEASVKYMAKVHLHRSGVVVAEDSPRKRE NAALNKLFPHGMAPGIQETMKQIMQAEHDRVRFKDAKRPVDDKDDDGDDADSFRPSEF SDLCLGHMEDTAHSTWQPDEDSF H257_03044 MGTTLISIPFLASLPSKHKSDKSPAAMSFADFAANAPALPGTTS SNVPAVSASLSTKNIPLLDKLSLKLEQYQRQVGAVRRQAQNAPTSMEGQQDLEDRIKF ACMLQDEIARLLPQLPRSPAMEVSRRKLLKDFERISNQLESSVQDAANAQVKQSEAMR HEVERGDASSSLHATVNGQVIEFKQLDNEIAHNEALIEERAKDIDRIHKSVAQVNEIF RDLAAIVGDQQGAIDDIESHIDESLAQTQQGLAEVKKAAANQSSCLIM H257_03045 MHHHRAVVCVAAAFLAAVPLAAAQDETTAPEVLVTRPGICRGSG ASADCTKYGTGYSCVSVESNIAGMSLLSQCVRGQACGGNVNGQCPTFTSWPASTRVVQ PICAFTEVPNCENALNADGTSVVAANSNKTVTCFEANFASGTLTKTVNGIYKCVDQKM YRDKAMGFLDLTETHLKSCAGNITTDNNGVRVNLGLCNSHGTCAPKSPLSSEYGCICN AGYTPTDNCFVAVGNVCDGFGQCGSNGACSPTTGQCVCKPGARGNQCSLCDATAPAES VCTNRGSCGIDGTCLCTLGYEGLQCETVSKPVKSNSTDSTSVTPSTSSSPDGNRHTLV TKAGGLVLAFVVAALVFV H257_03046 MAPHPAHFVHSGCALPLFCDSYTRNNKSTGHKNLRCFPHCCGAH RPNSFCGSSIVVECPVAWPTPATSIVSYCRFEASDMSDQAVIQVDQVVSVGNLTAEVK STDVPLGTWMTGERLEMALGDSPPSILHFEFNRVRQSWHYGWRSNRFNCNIKHAFVVY VFEHHQGALRCLSRVMSPPFTICSTRRSGVVKPPLSPEPLGGAESDDDVKAEASPQRK RTRTTKSSTATSSSTDDDAVFLLPNHNEDDELMHTAVQEMLQLAEVYARSGTLNISAT APSTYAVLIDLLDTHVFTRTTLQFIQGCLEQGQVDLHRSFRQLLWLMWKQASEDLETR RQLTIGHVLGHIQQAFPWCDLTQGYYDPWFHHYTTLAAEVNKTTWRDSLKPVAHDARS SSKPIFGRWQRDTPVQHGQLIHQHLLEMGSRIWTCTGGSGGDTSTVQMQWECSVHAPW TAFRLDQLPQSHPHQLMGPCGLPTLGSGLHLCGTRAWEENQGDTLVVEWYFWPSQEDL PRKRVRERFTLLASTINSMMCQQFVELCWDNIHDIVVGPDVAQRVLLPANWQVHHIET YYYHRILAES H257_03047 MTLLLASNGEIGSLVFDFWNTINIKYTLRGWGQLVDGTKVNPEL LEVGVTAWDNVDCAFSVHFGDAGDALTVASANGDDHLVAVFSWSVECDAQGVPGVYTR LSKVRSFVEPFVAKVSPRYSF H257_03048 MAAAVKPSSSLEWKRIIHAMRDPGRSEYSFVPHKYIHSTPILSP TPDNMQWNMLDRRDSVHYSAVMYWFILIVLLSFMCVEWVLLYPLSPSRLYNIQVDMCD GRVNLAEFLFQQILPLGMLLGSLITFKLALGRATMLELAAIKSATTTSGAAHSPRRSS SACSAATWCTLA H257_03049 MACPHVLKYTKNWPTPMYTLRRPSMRTTLSAVAFATVQHPSRNV RRPREPRRVFLPADPSTRHVHGFVDHVQPCRSLGMGRDARARHHSVLYFVRPGLVVCR RGVWPSHTPKYYEYFSNDSFCVFGYQSTYINI H257_03051 MPAVVLYTISDGVQGTIKVVHFLSGGAKVVADLDVSVVNLTTLQ SLDPDCQSVSGYKWYIHTK H257_03052 MTAAEKDALVMLLLDPGTVAVPRSPLSYADELLAPKSPNGANSK QVIKGGRITHTWVDDHYPHVQEGSPQWNMLLQAICNSTTPRVACATGLTSHDRMYPAP MGVAAAVLVVALIACVGLRRHPILGRFYPTNVVVVASLGLLAVVVYQGIVVDVSL H257_03053 MTTKKPKDKSLGLMAAGGMAGVVAKTVVAPFERVKIVCQTGESS LGMIATAQRIVQTEGPFGFWRGNFAACVRILPHKAVLFGWTDIYKDMLASQQHVAIDR AHLGFIAGACAGLTACILTYPLDFIRTRMSGKITSQAQYRGMLHAFVTIVRDEGALSL FKGMGPTLLGSIPYEGIKFGTYDLFRELLPSDVDPGSDFAGKLVCGGGAGMVATILTY PNDTVRRRMQMQGSGGAKHLYKHTIDCYVKLYQAEGLRSYYRGLTPTLVRAMPNMGIQ FACYEVFRSWIPAYR H257_03054 MDRFLKSAAEDNTNTVKPNGRLRQTRIEHGSKVEVVEEIMQLSQ VMNTSEERELPLLLRELDGRFISLELLEQTDIGKALVQLYRRTESDTTREQARQMLRK WKRTAVDGLRRRHKRVETFALATSFLHMGAAVSDYFTTPIDISDGYLDDADPFVVIHD ACAKGKHHTASRYFKLGGDPNEKCFEEDDVYARDDTPMICAARGCPEAGIHGGTKKHL LTLQIVLLYGGDVNAFNKLHQTALYMSIARGHLNVAVWLIENGADVNIADSVGVSPLL VAARLGRVDLVALLLECKATIQAPARPNTCCVKFPSIAQELHTFQGPVQTLLLQSLPS LATPPPHPVATTIQPRHSVAAVALASALKDQLRSLPPRAPTTIAVTTTVPFRDPPFSS ESTWSIVKPKAVLPAPLPPSSTTPTASNPPPKYRSGGKWVKKRECSGRVHVAEWEFVK TDFASDAERQAHDTMQQCQDMYRVIQMKQARAPGGQRRQIHTAPDSLSRRGIRAQPRP STVPT H257_03055 MDHLTTTSGSVYTLQQQFQSRDVLLDGKSLRIHHMAKHIHPAID GDVPVKNPWNKKVSMSIVDTKTYIPYTGQAQSTSTSDTFILHNFGDYLFLYPFDNMQT PVDIMRFSHAPICHDFRVPMLSVQPTNLVLALLSNDVLVFDPLHGLDTFSHHNRNGAV CCSPITTTKWVQQSHTEFVVAHENGAIYVYDHTFPDESSEFMDVEQPEGEFTLLLQRE DRTNPVACWHVSTQAIYDVSFSPNGKYLACVGKTGALIVFQYHMQRKIAMMQSHFGAL TCLAWSPNSLYILTGGQDDVVTVWSLQHNTALARCEGHNSWVTSVAFDPWFSSHTAVR FGSVGEDGVLCLWDLTIPQDDAKLYDVAPVLCAKVAPHPLTHIVFHATSIAVTGRDGS LLMYSRPASDVLPATDLAQIVPSYAF H257_03055 MDHLTTTSGSVYTLQQQFQSRDVLLDGKSLRIHHMAKHIHPAID GDVPVKNPWNKKVSMSIVDTKTYIPYTGQAQSTSTSDTFILHNFGDYLFLYPFDNMQT PVDIMRFSHAPICHDFRVPMLSVQPTNLVLALLSNDVLVFDPLHGLDTFSHHNRNGAV CCSPITTTKWVQQSHTEFVVAHENGAIYVYDHTFPDESSEFMDVEQPEGEFTLLLQRE DRTNPVACWHVSTQAIYDVSFSPNGKYLACVGKTGALIVFQYHMQRKIAMMQSHFGAL TCLAWSPNSLYILVCLLNLL H257_03056 MATAEITTPSVIAKPVQPMSFLVGSTPFYVMLVVCIVIFALTIL AASWRKLCHRDAATSDNDDVYVELKLES H257_03057 MQPITTSTIQTNVAVGGSSSDEVFDDGQTIGGCCGGFKRVVELD ALDEAFHLFVSGARMCVALMSLTEAALAVPCCNSSDDDAVWRRTLGSCIVAEKPEANA SISATHRIMVV H257_03058 MSSGYGLLCVQAVFLFTGVFSTCAAQYVFYQGAGAQRAMLLPLC NYLGMMLVGVIPSMSSSDSHHPTASILKKKNDDDSAAAALARTESLLKRDDMELTRRQ AEKDINVDMKDISDKVPLVSTSLTTASTISSSLADLKAPLKHDGSSVGSLSVMQSMLL LNVVLDFGGCIFANIGLSMAGSGVFQVLYSSVVCWSALLSKIFLKKQPSQYEWLGIAI VTFGLAFSAMGQSNGGRNAGYVLMGCVNTLIGAAFYGGNYVTGEFILMLPERPEPKDL CLKMGICCVTIISVYQMFWVLPQWTHIVTEPVMEAHGDPLRILGALSLYTLSQLAHGL TYFMMLGSCGAVTTGIMQSLRAVCVFGLSSVLYCSRQESQCFDAKRGIATLFVVAGVL FYSWAKGHAKIRKPIDSATHSSKKPHLPLKNCIV H257_03059 MSFLRRLLPLWNRYAYTRPAQHSPPHRSRRRRARQLRQLRECLY IVLYVVQLLYYLWSIPFRLGFIFDPYYDDFTHTIDAVVWPYIVLDIAADSFGAVNFYY FLHARGFLSVIGRGATESAHNGKAYGHNQASSRHVARHGEDNVASNMAGGAPLVLPYK HGHPPHATAEGNAPEDRDATVSFRHLNFITSISARTLTHIPSLQLLLEAVALLPIEVV VYYMWGFNAVHVARVVKLLRLTNLNRAVSAFKTYLATHNLLVHLHNIAKGFLVSMALF NLVLFHWIGCGYMLMAHLECGRHLDLCTSSSAESATSSSSAHHRALSSSEAAVITGKT CWAIEKQLADLDLFPQYIRTMLIVTYGDATSYSFAERCVSIGIQLARALMSCAIIGSF ELVYGHQNTNRSAYIALLEDAREYSKSRNLPVQLRNKVLGYFDYFWRVQLGILENNIV AMLPIHFQTQCIDVLKAQVIAKVHFLSDERPNVIQNLATLLTSQVYMPLDWITKNIRI REMYFISRGRVVLVDNFDNIQFKLNQGDTFGDISLFVENGFAYKALAETFCELYQLNR DVFETVLSAFYTDQDALEAKRMAMTAAIEQREHQLLKTQKLLGQMISLQTLLTNHDRS TSKWTLPNSKFRTIWSALFLASLVYVAVEVPYKLIFFNSQDVTDFLLNKLNYAMAMGI EIFHVAHIVLMMRHFAFEDKSSIVNTAPVVDSDLIFARYKESRDFWWDLAAVVPIALV GDLIPQATGSFVQVLRTGRVLRLLRMRYFQSVLSEVLEAYHVSTSMKTVVYLSLGVLL VTHIAGCFWFLVADLQVPRAIVYGTEWVRNDFTIEKCMHDGAHYANCTWFLYDAVHYP HNAEYPRSLFWSVMTLTSVGYGVIFPFTTAECVYAFAWFYVSGLINFGVIGAISSAIA QLMANENRTQDTLLLINRFMKFKGVSPTVQTDIRRYYKNQWVREKGVSEELFLSVLPN NVQHEILTFLHANTLRHVSLFHDTGDECKQVIASIIRHESYQAGGIVLRAGDLGCDLY ILRSGNVELRTLDNTPMRILHPEDCYGEYNFVLEVPYKSTMQAMASTELSVLRRNEFE QIIKFFPDEWDDIYHRALAAQGQEESLWARMKANMRRDKLINLTRHSVTLYVTPPRET GVIPPGHPFRLAWTVLLAVAILYNLFVVVFRLAFLQYPSDATMSVLWTSNLLFDSVYF VDMYLNYWHFTVDLDGFVHLDMAESRAYYRQNHFRRNVLASLPLYYVGNTYAMALCRV PRLLRTAELPAMVTRFQLWIQENVVSAKLTAFLRLVKLLLVLIVVAHITGAIYYFLGD PTTHEHDDVNVSHEWFVIDLIIRHYNGNALVAYIRSFYWALTTVTAMDYRDIHPASNV ETYYSCLACFAGFFFVGQVIGQLTSIIVHMDKKANEFDERIENFDEYARSQNLPRFLL ERGHQYFQYQFDCTRGMEADVIFSELPHSLRMKLFYDLYGHRLRGLTLFHAMDAATLA SIAERLIPVLYLPHDNIILEGGTGAALYIMHQGRAEKYVRKHNLVVAAVHEGTMFGEL AFFLPHMTHATSVRAVTCCELLRLEKADWVSLWPETRRTAMEDAMTSDLDGKHAYLTM ATANIVKNLVASKGPNPHVKVRKQLLSRLRRMPTYGRTSAIVFKKLAAKASVITPVRD KFKPQKDKYSKANTATTRVHPVRTNEQDNDWGEKTNPTLIKPMKKPKPHRIIKSSFKP NNANNSGKSAKRMRARLNSVKMIYASDSATSNRSIQSVTERSSDNAALTLAQDLGFDD DDDDDGSDDEVLDDSNEHFQQLNLLRRAYIGVQERVGPELLEMASIWGDLQLPPEWSR PHSHFRKTWDLFMLAAVMYYSVAVPMRASFIIPELMGADGTESNFPLWLGVEFVVDMV SLIDVYFRFNYFCQVIGGEVSSELRLIRHHYRTKGGLWFDVVAMLPLELVGIMIQGYT YSVSTWRFNKLLRLYHLGSLNAKARDAVTLHFKSVAWLPRLWSFCNICGIFVLVGHWV SCLWFYISVVGGDASLVFYAHSSESFVTPHGYTPVDTLQSAYVKSFYYAMTSLTSITF GDIYSTSLAQTIATIFIIFTSLTAYGVLTGGFTEIFEVELKKRVKFEEQTGTVSMFLM HRQFPRQLTIQILEYFRNMWEHSEGVVESQALAPLSSALREDIAVYVKRDLITKVHLF SDCDQDFTRAIVSVLQAEFFVAKDVIIREGDYDRSMYFIHTGFVLVTNAAKSYEVIKR KGDFFGEMSLLHNTPRSGSCSALSNCDMFILDYDSYEYVLERFPRYRSRNLRNWCAVH LSTTEPRGDNLPATSHNHQGGDYPFEENSHPPEDGQEDPPPPGNAMQLQSLSDIEHNA DGRNNSTLDEAAAFDEEPLRIKSQILTRESVLHIVHPRTHHAASGHREGMIGSSSHIP PPLVWQSTPSKLRKSPSSHALDARRPLSASKSLKDLNDPSPSLMPNGGDLDSSIDARL ITNSGGTFTRRATYSQLTMDAVAMRRMSAMWMEAQASSPRVMHRAASLPFHGLTMPRD STTHEVATVAAKQMAVNILRHRAVHTAAATSSSSTLDGMTRRMSVDKMTRSTPR H257_03059 MAMGIEIFHVAHIVLMMRHFAFEDKSSIVNTAPVVDSDLIFARY KESRDFWWDLAAVVPIALVGDLIPQATGSFVQVLRTGRVLRLLRMRYFQSVLSEVLEA YHVSTSMKTVVYLSLGVLLVTHIAGCFWFLVADLQVPRAIVYGTEWVRNDFTIEKCMH DGAHYANCTWFLYDAVHYPHNAEYPRSLFWSVMTLTSVGYGVIFPFTTAECVYAFAWF YVSGLINFGVIGAISSAIAQLMANENRTQDTLLLINRFMKFKGVSPTVQTDIRRYYKN QWVREKGVSEELFLSVLPNNVQHEILTFLHANTLRHVSLFHDTGDECKQVIASIIRHE SYQAGGIVLRAGDLGCDLYILRSGNVELRTLDNTPMRILHPEDCYGEYNFVLEVPYKS TMQAMASTELSVLRRNEFEQIIKFFPDEWDDIYHRALAAQGQEESLWARMKANMRRDK LINLTRHSVTLYVTPPRETGVIPPGHPFRLAWTVLLAVAILYNLFVVVFRLAFLQYPS DATMSVLWTSNLLFDSVYFVDMYLNYWHFTVDLDGFVHLDMAESRAYYRQNHFRRNVL ASLPLYYVGNTYAMALCRVPRLLRTAELPAMVTRFQLWIQENVVSAKLTAFLRLVKLL LVLIVVAHITGAIYYFLGDPTTHEHDDVNVSHEWFVIDLIIRHYNGNALVAYIRSFYW ALTTVTAMDYRDIHPASNVETYYSCLACFAGFFFVGQVIGQLTSIIVHMDKKANEFDE RIENFDEYARSQNLPRFLLERGHQYFQYQFDCTRGMEADVIFSELPHSLRMKLFYDLY GHRLRGLTLFHAMDAATLASIAERLIPVLYLPHDNIILEGGTGAALYIMHQGRAEKYV RKHNLVVAAVHEGTMFGELAFFLPHMTHATSVRAVTCCELLRLEKADWVSLWPETRRT AMEDAMTSDLDGKHAYLTMATANIVKNLVASKGPNPHVKVRKQLLSRLRRMPTYGRTS AIVFKKLAAKASVITPVRDKFKPQKDKYSKANTATTRVHPVRTNEQDNDWGEKTNPTL IKPMKKPKPHRIIKSSFKPNNANNSGKSAKRMRARLNSVKMIYASDSATSNRSIQSVT ERSSDNAALTLAQDLGFDDDDDDDGSDDEVLDDSNEHFQQLNLLRRAYIGVQERVGPE LLEMASIWGDLQLPPEWSRPHSHFRKTWDLFMLAAVMYYSVAVPMRASFIIPELMGAD GTESNFPLWLGVEFVVDMVSLIDVYFRFNYFCQVIGGEVSSELRLIRHHYRTKGGLWF DVVAMLPLELVGIMIQGYTYSVSTWRFNKLLRLYHLGSLNAKARDAVTLHFKSVAWLP RLWSFCNICGIFVLVGHWVSCLWFYISVVGGDASLVFYAHSSESFVTPHGYTPVDTLQ SAYVKSFYYAMTSLTSITFGDIYSTSLAQTIATIFIIFTSLTAYGVLTGGFTEIFEVE LKKRVKFEEQTGTVSMFLMHRQFPRQLTIQILEYFRNMWEHSEGVVESQALAPLSSAL REDIAVYVKRDLITKVHLFSDCDQDFTRAIVSVLQAEFFVAKDVIIREGDYDRSMYFI HTGFVLVTNAAKSYEVIKRKGDFFGEMSLLHNTPRSGSCSALSNCDMFILDYDSYEYV LERFPRYRSRNLRNWCAVHLSTTEPRGDNLPATSHNHQGGDYPFEENSHPPEDGQEDP PPPGNAMQLQSLSDIEHNADGRNNSTLDEAAAFDEEPLRIKSQILTRESVLHIVHPRT HHAASGHREGMIGSSSHIPPPLVWQSTPSKLRKSPSSHALDARRPLSASKSLKDLNDP SPSLMPNGGDLDSSIDARLITNSGGTFTRRATYSQLTMDAVAMRRMSAMWMEAQASSP RVMHRAASLPFHGLTMPRDSTTHEVATVAAKQMAVNILRHRAVHTAAATSSSSTLDGM TRRMSVDKMTRSTPR H257_03060 MPQHFEKAVVVGVSGAGKSTLAQALATKLKLAFIDTDALLWEPN WQRAIDYDDKLTKACDGPTWVVAGADKVALSRATTVIWLDYSLWTTFWQLFWRTMKRC WTQELLWGTNRESIWVQLQLWSPNSVFHWLFKNYWARKRAYPALLAQYPHLTVHHFKS PNETSAWLRSLSPTS H257_03061 MCTMGLPQPSRIAIMGVTSSGKSTLGMVISNKLGVPFIESDALL WAPKWQKAADYVQKMTIATAASAWVMAGNTSEVRQLVLTQANVVVWLDYPFWTVLWQL VRRSVSRWWTQELLWGTNYESLWVHLKLWSKHSLVHWLFKTYWNRKREYPLLFQQFPH LVVHHFTHPTQTQAWLDSLPSTTQQHPHVE H257_03062 MTSVHVLVVGGGIGGLAAAQLLSKVPHIRVTLYERDAGPTTRDQ GLAIGLRQEAVDILTNQLGWPHLAEYFTADGAINLALMSKSSSTPLILVRNALSIHLP DGRVASAMISRSDLRNAMMDSLNATAAKPRDGLSIFYNKQVVSYVEENEQVVVTCADG SSSMFDCVVGADGARNRIRAQRCPALEPVALGYWTDAGSCMVSI H257_03063 MPLSCGRQLAKECFDDDVANIIAATPSLDMFRGYSFYSVLADTM ATNPLGIALASRVTLLGDAAHKTTTQAGLGATTALQDALRLANMLAGVRRPDDVSDAL RRYEVGMCQAAKVVVSASIGNTRRIHNSNVWIVGVFNIMARALGGLLHAYYWCADHCR MTWKSKVQ H257_03064 MFSRAQRTSSGSMPGWMSSPTGETPPTSARGRKGGGRAHTSSFG DPSQPSWLNETTPRAAPSVTSWTATGEGDPLLPNKDTYVDIGLTRRHFKGPLGKRYST DMLCFLLFGLYMVGMVALGIVAFVQDGLSDKAIYLTEGVDFQGHGCGSNGAVFYPHYQ SHPDFGICVSECPNETSRVQVTLPVLASLSSNASNVIGATNMDAANATTSSTTVMRTV TFPGYATIKQGYVCAPVGALDAVVADTTQLNDVFGLYIGAIRENWEPLLYSAGTCLGL ATLYLILLRFGGCFILGLSVVAFQAALVAAAVYIWSLSTNDTLDRHAQNILLIAAVFL VCGAMAYFLAVVVLVQRLLLAGKYLVFGTRVFSQMNKMVLIPFLYSFALVAALAWGLA VTVCLFTAGTTIDVPETIPVESTHAPVTVLVRSFERDSTLRWLFVYHAFGMYWLVSVL LALVDMTVAMAVAVWYFTPTDRQTKAKAFDVADPVQFSISTILKYHVGTAAFSALVVA PVRYIRNFFMYIDANKEVEAGGNWFSQLSSTLCCCCIWCFNQFIVFICKESYFVTAIE GTSFYSAARVAHGLITSHILRVGAINRIGNASVLLGKLIICSATSAIAWTMLVDKAAL SDVVVPMTVIVLGSYAIAHTFMTLYETTINVLLLSFAMDESMNGGRNRAEFAQVDFTK SVNDNLRPKWQTVL H257_03064 MFSRAQRTSSGSMPGWMSSPTGETPPTSARGRKGGGRAHTSSFG DPSQPSWLNETTPRAAPSVTSWTATGEGDPLLPNKDTYVDIGLTRRHFKGPLGKRYST DMLCFLLFGLYMVGMVALGIVAFVQDGLSDKAIYLTEGVDFQGHGCGSNGAVFYPHYQ SHPDFGICVSECPNETSRVQVTLPVLASLSSNASNVIGATNMDAANATTSSTTVMRTV TFPGYATIKQGYVCAPVGALDAVVADTTQLNDVFGLYIGAIRENWEPLLYSAGTCLGL ATLYLILLRFGGCFILGLSVVAFQAALVAAAVYIWSLSTNDTLDRHAQNILLIAAVFL VCGAMAYFLAVVVLVQRLLLAGKYLVFGTRVFSQMNKMVLIPFLYSFALVAALAWGLA VTVCLFTAGTTIDVPETIPVESTHAPVTVLVRSFERDSTLRWLFVYHAFGMYWLVSVL LALVDMTVAMAVAVWYFTPTDRQTKAKAFDVADPVQFSISTILKYHVGTAAFSALVVA PVRYIRNFFMYIDANKEVEAGGNWFSQLSSTLCCCCIWCFNQFIVFICKESYFVTAIE GTSFYSAARVAHGLITSHILRVGAINRIGNASVLLGKLIICSATSAIAWTMLVDKAAL SDVVVPMTVIVLGSYAIAHTFMTLYETTINVLLLSFAMDESMNGGRNRAEFAQVDFTK YVYTDSFCGGNMMVSLVGQ H257_03064 MFSRAQRTSSGSMPGWMSSPTGETPPTSARGRKGGGRAHTSSFG DPSQPSWLNETTPRAAPSVTSWTATGEGDPLLPNKDTYVDIGLTRRHFKGPLGKRYST DMLCFLLFGLYMVGMVALGIVAFVQDGLSDKAIYLTEGVDFQGHGCGSNGAVFYPHYQ SHPDFGICVSECPNETSRVQVTLPVLASLSSNASNVIGATNMDAANATTSSTTVMRTV TFPGYATIKQGYVCAPVGALDAVVADTTQLNDVFGLYIGAIRENWEPLLYSAGTCLGL ATLYLILLRFGGCFILGLSVVAFQAALVAAAVYIWSLSTNDTLDRHAQNILLIAAVFL VCGAMAYFLAVVVLVQRLLLAGKYLVFGTRVFSQMNKMVLIPFLYSFALVAALAWGLA VTVCLFTAGTTIDVPETIPVESTHAPVTVLVRSFERDSTLRWLFVYHAFGMYWLVSVL LALVDMTVAMAVAVWYFTPTDRQTKAKAFDVADPVQFSISTILKYHVGTAAFSALVVA PVRYIRNFFMYIDANKEVEAGGNWFSQLSSTLCCCCIWCFNQFIVFICKESYFVTAIE GTSFYSAARVAHGLITSHILRVGAINRIGNASVLLGKLIICSATSAIAWTMLVDKAAL SDVVVPMTVIVLGSYAIAHTFMTVQSRYIYML H257_03064 MFSRAQRTSSGSMPGWMSSPTGETPPTSARGRKGGGRAHTSSFG DPSQPSWLNETTPRAAPSVTSWTATGEGDPLLPNKDTYVDIGLTRRHFKGPLGKRYST DMLCFLLFGLYMVGMVALGIVAFVQDGLSDKAIYLTEGVDFQGHGCGSNGAVFYPHYQ SHPDFGICVSECPNETSRVQVTLPVLASLSSNASNVIGATNMDAANATTSSTTVMRTV TFPGYATIKQGYVCAPVGALDAVVADTTQLNDVFGLYIGAIRENWEPLLYSAGTCLGL ATLYLILLRFGGCFILGLSVVAFQAALVAAAVYIWSLSTNDTLDRHAQNILLIAAVFL VCGAMAYFLAVVVLVQRLLLAGKYLVFGTRVFSQMNKMVLIPFLYSFALVAALAWGLA VTVCLFTAGTTIDVPETIPVESTHAPVTVLVRSFERDSTLRWLFVYHAFGMYWLVSVL LALVDMTVAMAVAVWYFTPTDRQTKAKAFDVADPVQFSISTILKYHVGTAAFSALVVA PVRYIRNFFMYIDANKEVEAGGNWFSQLSSTLCCVRWFSML H257_03064 MFSRAQRTSSGSMPGWMSSPTGETPPTSARGRKGGGRAHTSSFG DPSQPSWLNETTPRAAPSVTSWTATGEGDPLLPNKDTYVDIGLTRRHFKGPLGKRYST DMLCFLLFGLYMVGMVALGIVAFVQDGLSDKAIYLTEGVDFQGHGCGSNGAVFYPHYQ SHPDFGICVSECPNETSRVQVTLPVLASLSSNASNVIGATNMDAANATTSSTTVMRTV TFPGYATIKQGYVCAPVGALDAVVADTTQLNDVFGLYIGAIRENWEPLLYSAGTCLGL ATLYLILLRFGGCFILGLSVVAFQAALVAAAVYIWSLSTNDTLDRHAQNILLIAAVFL VCGAMAYFLAVVVLVQRLLLAGKYLVFGTRVFSQMNKMVLIPFLYSFALVAALAWGLA VTVCLFTAGTTIDVPETIPVESTHAPVTVLVRSFERDSTLRWLFVYHAFGMYWLVSVL LALVDMTVAMAVAVWYFTPTDRQTKAKAFDVADPVQFSISTILKYHVGTAAFSALVVA PVRYIRNFFMYIDANKEVEAGGNWFSQLSSTLCCVRWFSML H257_03065 MAADDLDAAYEGLFMAAEHGRADVLKALLEHGKDVLDLPQIRNA SGLTPLHVAVIYQKADAVRALLAAGFPADAVVLGANDSKYAGMSAYALAANQAPVSTM MHVFLQYAIQEIAANHMESVACLLRAGIDPITATDGSPLDNSLLHWAACSNATDVAAL LLRHVQDDQQRAVFVNRRNADGATALHDACYGNHVSCVQLLVDHGADLSVVGTSGYVK DKMAVEVASSSDITRIVAKARFQRASALSSPRPTVSRTSTSLPKPREVVASGGEVDLE QPVPPLSPPRSTSSNPRKEDVDGPPSHAGGALSVEHTSVLLEEKQALIDELKHTIDGL VTESHDRQLLGEETVVLEFIRKLREEKQAVERHLHDAEDHIVAQEEIMMELKAHIRRH NTLVEDLKLEIRTLQQAKYRTSGVPHGEDAVVVTVTGADDSGEPRRNDPRNGSSHNTF QNTSVTITTDLEVPLPPSPTPPPTPSFWSNLLYYLWPFADEETLPSMTESPPGILDAT ILTV H257_03065 MAADDLDAAYEGLFMAAEHGRADVLKALLEHGKDVLDLPQIRNA SGLTPLHVAVIYQKADAVRALLAAGFPADAVVLGANDSKYAGMSAYALAANQAPVSTM MHVFLQYAIQEIAANHMESVACLLRAGIDPITATDGSPLDNSLLHWAACSNATDVAAL LLRHVQDDQQRAVFVNRRNADGATALHDACYGNHVSCVQLLVDHGADLSVVGTSGYVK DKMAVEVASSSDITRIVAKARFQRASALSSPRPTVSRTSTSLPKPREVVASGGEVDLE QPVPPLSPPRSTSSNPRKEDVDGPPSHAGGALSVEHTSVLLEEKQALIDELKHTIDGL VTESHDRQLLGEETVVLEFIRKLREEKQAVERHLHDAEDHIVAQEEIMMELKAHIRRH NTLVEDVKQHSFMHLLSC H257_03065 MAADDLDAAYEGLFMAAEHGRADVLKALLEHGKDVLDLPQIRNA SGLTPLHVAVIYQKADAVRALLAAGFPADAVVLGANDSKYAGMSAYALAANQAPVSTM MHVFLQYAIQEIAANHMESVACLLRAGIDPITATDGSPLDNSLLHWAACSNATDVAAL LLRHVQDDQQRAVFVNRRNADGATALHDACYGNHVSCVQLLVDHGADLSVVGTSGYVK DKMAVEVASSSDITRIVAKARFQRASALSSPRPTVSRTSTSLPKPREVVASGGEVDLE QPVPPLSPPRSTSSNPRKEDVDGPPSHAGGALSVEHTSVLLEEKQALIDELKHTIDGL VTESHDRQLLGEETVVLEFIRKLREEKQAVERHLHDAEDHIVAQEEIMMELKAHIRRH NTLVEDVKQHSFMHLLSC H257_03066 MADAEGVQQELQDYLQKKGINTLFINIVESLLLSKPENPVQHII EYLKTNFPEQATSRKPDAPAIATGHHSDESESEDEPDEDSIGEMHQTTQPTRPFGKGR RTSVSAETLDPESAKPLEAVTHPKSQEERKRISDILADNILFKSLDDKQSKILLDAMF PKEFDIGETIIKQGDDGDNFYILDTGVCEVYKDDNLVLTCTEAMSFGELALMYNAPRA ATVKVKEKSKVWALDRQTFKYIIMETTMKKRDTYKGFIQKVPLLESLSEYERLTVADA LRVDTFADGEVIIRQGDDGNLFYILSEGTAVCTKQLSPNEPIQEIGVLVQGAYFGEIA LLTTRPRQATVTAKGEVKCLTLDRKTFKRVMGPLENILKRNLAQYNLVMANTI H257_03067 MFKTLIASAARHGGIATSRHFSSGPTMHGTTILCVRKNGKVVVI GDGQVSLGHTIVKPNAQKVRRINENVIAGFAGSTADAFTLIERLESKLEEYPGQLTRA CVELAKAWRTDKYLRALEALLIVTDNKQSFTLTGNGDVLQPHDGIIGIGSGGTYALAA ARALIEEPHLSAEEVARRSMKIAADICVYTNHNVVVEVLDEEPSVAATASPPA H257_03068 MHVLLRRVTAVPRQSSMMFPMLHHRSMSSKAAYARQLKEKNRRI LYYTSGVVILFFGGAYAAVPLYKVFCQMTGFGGTTQRSDILAADKLSPVEGAHPIRIT FDGGVSGALPWTFKPVQRDLIIVPGETALAFYTAMNNTDKAITGVATYNVYPPKAGLY FNKIQCFCFEEQRLKAQEEIDMPVFFFIDPDIVDDPSMQNVSNITLSYTFFKTDDVDE EDVE H257_03069 MTTDIGDGTDSEYKAKLAELLDQLNGVTKRGTRAQVAKTHNKLA HHYVAGRDHEMAIEQFERELDIRKESGEPVAQEACFNNIGSMYYESGRMDLAIAWFTE ALTLATTRANSRAVSLAHVNLALAHQRSKQFVQSGHFASLVVDSQESDKPILVSALTI LAAAEQHRKELGKAIAHATTALEVAEDVQDAHLLECCLNNLAAYVFESKDYGHAYTIY ERCLAVASVPKHQAAALYHMGVCAAEQNHVQVADAHFTRAIDVANSCNAPALVALAQG CLGMLCFFRENLYDAHAELVMALQTARFARSPVAEANIATGLGTVSVMESKFDESATY FESDLAIATTHDDKYGQLRAHCNLGINYLLSGDSSHAMHHFRSNLKIATVLGNKKEQA LAYFGMGSAATEMKRHGIAMESTDEPLQLFLRQKKYAVDVGDKRLQAMASKAMVNLYD KQGAYEMALAECEHLMAAADAVGDVPLMAESYATMASLLSSQLALLMQRGGSRFQDTI NQLTQKRDDVCAKYKVLYDTGKLHPSLRRQDVDRVVLLPWE H257_03069 MTTDIGDGTDSEYKAKLAELLDQLNGVTKRGTRAQVAKTHNKLA HHYVAGRDHEMAIEQFERELDIRKESGEPVAQEACFNNIGSMYYESGRMDLAIAWFTE ALTLATTRANSRAVSLAHVNLALAHQRSKQFVQSGHFASLVVDSQESDKPILVSALTI LAAAEQHRKELGKAIAHATTALEVAEDVQDAHLLECCLNNLAAYVFESKDYGHAYTIY ERCLAVASVPKHQAAALYHMGVCAAEQNHVQVADAHFTRAIDVANSCNAPALVALAQG CLGMLCFFRENLYDAHAELVMALQTARFARSPVAEANIATGLGTVSVMESKFDESATY FESDLAIATTHDDKYGQLRAHCNLGINYLLSGDSSHAMHHFRSNLVLVALCVILISML LRVEDCDGARQQEGTSVGIFWHGQRGHRNETPWHCNGVDGRAVATVPSAEKVRRGRRR QAPPSYGQQSDGQLVRQARRV H257_03069 MTTDIGDGTDSEYKAKLAELLDQLNGVTKRGTRAQVAKTHNKLA HHYVAGRDHEMAIEQFERELDIRKESGEPVAQEACFNNIGSMYYESGRMDLAIAWFTE ALTLATTRANSRAVSLAHVNLALAHQRSKQFVQSGHFASLVVDSQESDKPILVSALTI LAAAEQHRKELGKAIAHATTALEVAEDVQDAHLLECCLNNLAAYVFESKDYGHAYTIY ERCLAVASVPKHQAAALYHMGVCAAEQNHVQVADAHFTRAIDVANSCNAPALVALAQG CLGMLCFFRENLYDAHAELVMALQTARFARSPVAEANIATGLGTVSVMESKFDESATY FESDLAIATTHDDKYGQLRAHCNLGINYLLSGDSSHAMHHFRSNLKIATVLGNKKEQA LAYFGMGSAATEMKRHGIVRSS H257_03069 MTTDIGDGTDSEYKAKLAELLDQLNGVTKRGTRAQVAKTHNKLA HHYVAGRDHEMAIEQFERELDIRKESGEPVAQEACFNNIGSMYYESGRMDLAIAWFTE ALTLATTRANSRAVSLAHVNLALAHQRSKQFVQSGHFASLVVDSQESDKPILVSALTI LAAAEQHRKELGKAIAHATTALEVAEDVQDAHLLECCLNNLAAYVFESKDYGHAYTIY ERCLAVASVPKHQAAALYHMGVCAAEQNHVQVADAHFTRAIDVANSCNAPALVALAQG CLGMLCFFRENLYDAHAELVMALQTARFARSPVAEANIATGLGTVSVMESKFDESATY FESDLAIATTHDDKYGQLRAHCNLGINYLLSGDSSHAMHHFRSNLVLVALCVILISML LRVEDCDGARQQEGTSVGIFWHGQRGHRNETPWHCA H257_03070 MAAASSSLIRDAAEKVKGADYLLIMTGAGFSADSGLPVYMDIAN VDAYSKMGVEYHDLCDPCWIRDDLPVFYGFWGDCFNMYRDTTPHAGYEILQRWKARVM AKSGVASHDDNSSASTTTPDPFFSFTSNVDAHFLKFFQPNEVYEIHGNTEHWQCANRT CSKAIWTLPSAFRFEVDTDTMLASHTDAAEGLACPECGGPARPNVLMFGDADWIPNTT DERRYREWIARVQDALSADSSKRLVILEIGCGTRVPSVRIQSEQVLLYMLRTTRSHSR LADTGDYQAHLIRVNPDMEDDEFEWQGCDGHQLPPMTKIEGFGLATLLAIDQEIVGSD A H257_03070 MAAASSSLIRDAAEKVKGADYLLIMTGAGFSADSGLPVYMDIAN VDAYSKMGVEYHDLCDPCWIRDDLPVFYGFWGDCFNMYRDTTPHAGYEILQRWKARVM AKSGVASHDDNSSASTTTPDPFFSFTSNVDAHFLKFFQPNEVYEIHGNTEHWQCANRT CSKAIWTLPSAFRFEVDTDTMLASHTDAAEGLACPECGGPARPNVLMFGDADWIPNTT DERRYREWIARVQDALSADSSKRLVILEIGCGTRVCSVVPTTKRRTVTLHIRCHQYAF KVSRFCCTC H257_03071 MDDDAALGAAADDILSADFLLVAVGAGFSADSGLPVYNDIANVA AYKAMDLEYHDLCDAHWAHANLPLFYGFWGDCFNMYRDSSGHAGYEILREWKLRLCRK RTDLASLLPDKSSLDVTTEPFFVYSSNVDAHFRRMFAQNEVYEIHGTTERWQCAGLSD GVPPCPGTWLLPPDYRFPVDKTSMLSHVSSPPPPFLECPKCHGPARPNVLMFGDTSWL PNHQDEARYVAWEAAVEEALMRDRTKRLVVLEIGCGLRVPSVRMECEMVARDIQCKTQ STDQVRHIRINPTDIDGDDDTASPVLRQIQSTGLAALMAIAKHMDTAIATM H257_03072 MDTLPMAAVASAAEKILRADILLVAVGAGFSADSGLPVYKDIAD VLAYHQLGVDYQDLCDPYWIYDDISIFLGFWGESFNQYRNTTPHAGYDILKRWKQRLT CQLIKDKLANNNPTNTTTSPFFVFTSNVDSHCTRVFAKNEVYDVHGNTDHWQCAGSKE KSPPCCNDTWTLPPAFRFDVDHRTMLVHDTSSPLLQCPRCKGPGRPNVLMFRDKHWIP NDAAADRYHAWEDAVEDVLQQHPSKRLVVLEIGCGTRVQDNKTANQSTRGCRWKLCAK TTKSSCRPCSKAAVKPRLSASTPKSKTKMTRSFRTPTCSPSTPRGSRRCKQSTTSFRA ASSPSPRAIRHLWL H257_03072 MDTLPMAAVASAAEKILRADILLVAVGAGFSADSGLPVYKDIAD VLAYHQLGVDYQDLCDPYWIYDDISIFLGFWGESFNQYRNTTPHAGYDILKRWKQRLT CQLIKDKLANNNPTNTTTSPFFVFTSNVDSHCTRVFAKNEVYDVHGNTDHWQCAGSKE KSPPCCNDTWTLPPAFRFDVDHRTMLVHDTSSPLLQCPRCKGPGRPNVLMFRDKHWIP NDAAADRYHAWEDAVEDVLQQHPSKRLVVLEIGCGTRVETVRENNEELVQAVLESGGQ ATLIRVNPEVEDEDDSELSYPHLLSIHATGLATLQAIDHVIQSGLVAIPPCN H257_03073 MVSKKRFQFQKSASVGAHGASLEDIPMMAARWHSSWVFDWPGVD VHHITNGTSANFFTRRALADREEHNFLPPLKTIHNPRKPYKDIIYILLNMKWVPFGVV YSFVYFAMCALFAGLLMSCGDYPDFRSDFDLSFQTMATIGFGVLYPQSRCSNYVIIAE AIVSMLLTAALSGLVFAKFAKPKAKMAFSVVCCVQPYGKDKLALVFRVANATRSTDVT RDVVMDATFTLHLMRIEKSVGAGKLVMRQYPLKLQQSNFISFRMAMALVHVIDVDSPL HGLTEETLRLSDMIIQMSMTGVDSTLQDTVIERRMYTADMVRWGQKFAEMLEFDEATM VVSVDFANLSQTVPAPIAVAVPKAKHHKPPSAASDGGGAEAFRRAQTEKLNSPTPKMT WSSSQRVIRPPRSNNHNQDMSTSGNMEPLFEPLLQPSVGTQRVSRRRRSSDSASRLGS GLLSEQLVHLHQQLAGDLMTPPTSSSSANHGDDEALNSRNRIASDEYNAVDQGQERLL SFSMSGSDHSIEITNTPRFVRIHAKNVPVSYSFLSFYYTALHMKWPRILLLILGSSLV VNLCFAVLYYATYDHLTILPDLVSANDRFEIAFYMSIHTWATIGYGIVAPNPDNNINN VWVALESMLSLVFVTIFTGIAWSKFARPRAHIHFSKNVVLSTYHGHRCLLLRAANTRH HGDIRESNFRLGVVLTNSKTGLRQMQDVPLVNAQWPSILLPVTLVHIIDENSPFYQFQ TPEDFSAYRVSVITVFTGLDSTFAENVYARKMYFWDNFVMSKHFENAVAFTPDHVTID YSKFDTLVDDDIPFHLHV H257_03073 MVSKKRFQFQKSASVGAHGASLEDIPMMAARWHSSWVFDWPGVD VHHITNGTSANFFTRRALADREEHNFLPPLKTIHNPRKPYKDIIYILLNMKWVPFGVV YSFVYFAMCALFAGLLMSCGDYPDFRSDFDLSFQTMATIGFGVLYPQSRCSNYVIIAE AIVSMLLTAALSGLVFAKFAKPKAKMAFSVVCCVQPYGKDKLALVFRVANATRSTDVT RDVVMDATFTLHLMRIEKSVGAGKLVMRQYPLKLQQSNFISFRMAMALVHVIDVDSPL HGLTEETLRLSDMIIQMSMTGVDSTLQDTVIERRMYTADMVRWGQKFAEMLEFDEATM VVSVDFANLSQTVPAPIAVAVPKAKHHKPPSAASDGGGAEAFRRAQTEKLNSPTPKMT WSSSQRVIRPPRSNNHNQDMSTSGNMEPLFEPLLQPSVGTQRVSRRRRSSDSASRLGS GLLSEQLVHLHQQLAGDLMTPPTSSSSANHGDDEALNSRNRIASDEYNAVDQGQERLL SFSMSGSDHSIEITNTPRFVRIHAKNVPVSYSFLSFYYTALHMKWPRILLLILGSSLV VNLCFAVLYYATYDHLTILPDLVSANDRFEIAFYMSIHTWATIGYGIVAPNPDNNINN VWVALESMLSLVFVTIFTGIAWSKFARPRAHIHFSKNVVLSTYHGHRCLLLRAANTRH HGDIRESNFR H257_03074 MYGGGSSYGGGGDRYGDSSSRRDYGGSSSSYGGGRSGGDRYGGG GGFGGGGGGFGGGGGFGGGGDLGSNLNTNIQWDLSKLPVFEKNFYYEHPDVSRRSDSD TEHWRAKHTISVNGKGVPKHVETFEEASFPQYVLDEVVRLGFAAPTPIQCQGWPMALS GRDMVGISATGSGKTLAFLLPAIVHINAQPYLQPGDGPIVLVIAPTRELAVQIKQECD KFGSSSKIKNTCVYGGVPKRGQIEDLSRGVEICICTPGRMIDLLNINKTNLRRVTYLV LDEADRMLDMGFEPQLRKIVSQIRPDRQTLMWSATWPKEIVALAHDFLNDFIQVTVGS LELTANKNITQVVKVMGDMDKYGALTDLLRDVYDDGRIIIFCETKRGADELCNNLKRT RYATRAIHGNKSQEERDWVLKEFKEGKAQILVATDVASRGLDIKDIRFVINFDMPKNI EDYIHRIGRTARAGMKGTAVSYFTQDNSRIAQDMIRILMEAGQEVPRELESMRGYGGG GGGRGGGRGGGRGGGRGGGFSSRW H257_03075 MARRVRPTARSNSEQSLREAILPPLAILPKRSKKNLSNTSMWSS SGKTPVHGIAPLLSPSDRRSMRFKSRRSPTIRKISSRISVMAADVGGLKRKSISVLAD GYAQFKQTLSYGSPSKNEVPTGPIFNLPMPAPNHALLRRRSFVAKLGAMYASMEKRVS SEVLLFFEAAQLIYTGFSVPFRIGLMYNPYFTTTSSSSVDFPDYVMYVMWAIDTLFDV IAFVAALRILKRSDTSHVVVPIDHSEPSFSVKEKHHRTSTFQQSLIKFAVSRQHSMRR NSTFLSKETSMLPQFLLSSTWDKHKGVRWHQIIAEWLALVPFDWAFVGNTHAMILCRV PKLLRMHKVPEIARLIKQFLAEHELFSGFHNVGMSLLVGVILLSLVCIHWATCFFLLV AHLQCGYNLDQTVNGQSCWANQVQLQGASIFRTYMYSMVVVGFGFPVPQTNLERAIGI GIQFMRFCIAGGVIGAYVFLFECQNRRENEFHDQVDGVKEYLTARRVSKQLQAKVLDF YGHFWSAQRGIDEDTIIATLPSHIQTQCLDLLRAKLLKTVPIFRSLPMQAVNRLMLCM KRQCYGRGDWIIRLETCKFIYFICRGRIAVLDMYGSVTKNIVDGQHFGLSMMEAAASS DVISARAETICDLYIIDKPSVQQVYESCASQLGDVKWDDVVTEATRIGRKPIRANSML GLGKKFVEHATNAEQWTHPDSQFRQFWERCMVVALIVCAVDIPLHICFASTSSWPAFL GRLGLALFLVVDLVLRSRRFAYFESGTLVTDSWFIWHQYKHHGFFLDAISIIPFTMVA DCLTTAFDADSTFPVTLRMFEWIRLVRIRRLLPTLSTILKQHHVNDTTYIIVNLMICV PFACHVGGCMWYWIAALHQDPHHDSTTPTSTSHGSLTVQTCLEWARDHQNCTWLDFDH DHFGHPSDYIRSFYWSVVSLVTVQFGSIFPFTDAECVYMFVFLYLSIVANYGAVGALV NAVTRINSAASKKQEQVTMAHRFMTIEGVSRNVRHNVSTYYKTHWSQTSEQHALSVLQ PLPDNLRQEIQSFLHEASVGHLKLFKAIDVDGLRFIYAIMKHQSYNRLEFVVRNGDTC DDIYILMRGVMEGLLQVKDMTVPVQLLYPGSCIGEAAFVLKRTHDVGIRVVSESADVS VLSRQDFASIAYHFQHLWPTVETLAMDLERQLSLTLNALDRNLQKPNIYRTLNHSATL YIEPRPDALLVRPDSTLYRWWELLLSVVMIYNLIQIPFRIALLPAPPDKVMLGFTIVD IACDVIFLVDMYIKYNHLIIIDKNGDEVVSLALIRSNYVHGQPFKIEACASLPLYYVG NYQVMTLCRLPRLLRSSQLSKYLRSFHTFVQEQTASAAVTEGLEFVKLFLTLVLASHL AAMGLFLISHAEHGDQHGDGASDVTDDVPSWYSHDFVIEQFHGSIGATYLRAFYWGLG VLSSFDYMDIHVSKAGETLWFCVVALSGVVFIGVIIGQVCTAIFNANKEIREVEMQLQ NFAFYAKMKKLPGFMVRRAKLFFQFQLDCNKGMDAHEIFRDLPQCLRLELFKDLYANL LAPIPIFSMLTPAQLNAVAERLHSKLYLPGDDIIMEGDVGNALYIMKQGLGEKHLRTF QLIVAPVYEGSSFGEVAFFLAKRHSHGVRAVKCCEVLCLTKADWTSAWSSEVSLNFKV KMAMAVEKEDQLMERVTTALKGNFGVTGQASIKLTSMLGVKKRASLSDKHTIAGRRDS VPNYFEYIREVSRSGARKGPLLSQFAPTPRATIWHPGPPQQPSWMPHSLFRNVWDVIM LAVTTYYVTMVPFRACFVEFPQPAPYWIVIWIAAEYVLDVLSVVDFVLRFQVFYVFAK GEMQTLPAVLRRHYRVHGPLISDIVALVPVEIFAIGLFSHTNSWKLVSLCRLNRILRL VHLPRLTHSLEHVLSRRKWFMANRTAFEYMMTFVAPFFIVCHWIACLWFYVSFASRSD GDTPSWLVTMGYLPLNMTMTSTDDIPLGFSESTKFTSMNVYLASLYYAVSSLSSQSFG DVFSRNAVETWLTLGIILFSITFYGVLVGMLAEMMQDKLHPRASFEQHMVDVSTFFNY RLLPFDFFIQTSRFSRLQWQNHMGRTEDDFLSVLSTTIREDIAMFVKQNVVKNLRFLL ECEEVFVRALVTKLRTEQFIHADVIYQFGDVGRTLYFVDVGSVSLVSATTGVQTRNHN EFFGGVALFEDVGRTVTAIANVECTMFLLYHDDFDKLVDRFPEYFDRCYKQWCVRDED PIQKMYIPPRRNIIQHNGSTKFH H257_03076 MEPKGGGSPTLRLPCRPFKMQMSPDGQHSPTRSSMIKHRPSESR RGSSAGFNHLKLTPDGVVTGSSDHLQKSPILLVAPAPPAATTPAPLMRIPVHTRPTPP SSFGPVKTQLTTAAVTGPQLPTEDPPQADYPSDPHCHPPRG H257_03077 MATPDGRTARHWRHLQAQRRQAESNCSIAQDTPTILTMWAERLR HLDALQGILPESQQPSSEAPPKESLQPNIYVLVHGNNSTPADFDKLARCLYRSHAPDE CVVLQSAGNKGYLTRRGIAVCGTVLAIEVLDFLLRYDVDPTQPRQLSIVGHSFGGLIA RYSLVLLQHILSALCITPVSFATLCTPHLGSRRPGGGMWKRVVQQVVHGVLSIDTLYG QTGRDLLLEGEPYSVLERMSHPDSLFVVALKRFRHRTLVGLIHGDHLVPHASSCLTTV VPEVPRVEPAQSWQWTLVHSGIDKGDATLGGFAQVNLVEMEASSTRQFTADCVEFDVH QRAAINRRLLSVSHLMTWRRLHVRLDCSKVHWKKAHDWPIGRNQPPDSRSLEFLQVFA SMLVRDHLGPTGIN H257_03078 MSLLRVVRVGGVLSKAATPAGARSFSQFIGGGVDSSSNHVTSRT NESVVFQSNSKWPWYAGKGAAVQVYAAAAFTAQILFTLPEGATNLEIFASAGPLVSAS AFLFYGTKYLCERVISTVAVCRTIGQRDEFLKITVDGVFAPKSFQVLPRDVKVVSKDS NGMYSIKIQRTTFWLDSGKAAKLEAKSLDIVLSGKPLLVRHVKQPKHSRR H257_03078 MSLLRVVRVGGVLSKAATPAGARSFSQFIGGGVDSSSNHVTSRT NESVVFQSNSKWPWYAGKGAAVQVYAAAAFTAQILFTLPEGATNLEIFASAGPLVSAS AFLFYGTKYLCERVISTVAVCRTIGQRDEFLKITVDGVFAPKSFQVLPRGTVKLVT H257_03079 MEQVAKRLQEATLLQRKRDELNELKAKRIEKSKGPATSFSGKQK KVQMREKKARIQQRRDDIKAFANEPFFVEGSEEPIDFNTLKGIRTSTLKLSASLGRQT KDLVDKEVSSFFVKETKEQVQLRIMEGQYPIDMSHRKKPLLWKELTRDPLLDFPQRPS WNYKQSKEKLHENESIMFDDWLANIHDKYDVDELNHFEHNLEVWRELWRVLERSSHIV IVADIRNPLLHIPPSVYDYVTERIQKPLIIVLNKIDLIPLDLALAWRASLTKRFPKAT LLYFSSRSKSIQHQTDLNGRRKVLSEKLKIGDLSAVQGAQSILIACGIDPEAASVIIH SVLQADDEKGDENGDENGDEDGDNADVAHPTTTPATKKSRRKNKEKVKLQLAEARELR DVCDYCGDDAPVVSCQTCATSFRDLRLCGRCNRDIHKNQRHKVVPLEGCVEAAAAAAA EADELKRLTITIGLIGHPNVGKSSVLNALAGKKLVSVSHTPGHTKRLQSIFIAPHICI CDCPGLVFPFAGVPKHMQELGGLFPYSQTREPFSAVRFLAEHAPLESILNLAVRTQEF DGYLEPLEWSSWTLCEAYAEKKGYKTERRGRPDHHRAGAELIRDCMDGVLPLYFYPPD YTGPYLDGTQNASYCGDDAVHKLNHLDKNVANAPPTNCDDTDDESDDDNGDVDNAKQS GGHTSAWNLLNDDSSDEESSDDE H257_03079 MFLLLVHENESIMFDDWLANIHDKYDVDELNHFEHNLEVWRELW RVLERSSHIVIVADIRNPLLHIPPSVYDYVTERIQKPLIIVLNKIDLIPLDLALAWRA SLTKRFPKATLLYFSSRSKSIQHQTDLNGRRKVLSEKLKIGDLSAVQGAQSILIACGI DPEAASVIIHSVLQADDEKGDENGDENGDEDGDNADVAHPTTTPATKKSRRKNKEKVK LQLAEARELRDVCDYCGDDAPVVSCQTCATSFRDLRLCGRCNRDIHKNQRHKVVPLEG CVEAAAAAAAEADELKRLTITIGLIGHPNVGKSSVLNALAGKKLVSVSHTPGHTKRLQ SIFIAPHICICDCPGLVFPFAGVPKHMQELGGLFPYSQTREPFSAVRFLAEHAPLESI LNLAVRTQEFDGYLEPLEWSSWTLCEAYAEKKGYKTERRGRPDHHRAGAELIRDCMDG VLPLYFYPPDYTGPYLDGTQNASYCGDDAVHKLNHLDKNVANAPPTNCDDTDDESDDD NGDVDNAKQSGGHTSAWNLLNDDSSDEESSDDE H257_03079 MEQVAKRLQEATLLQRKRDELNELKAKRIEKSKGPATSFSGKQK KVQMREKKARIQQRRDDIKAFANEPFFVEGSEEPIDFNTLKGIRTSTLKLSASLGRQT KDLVDKEVSSFFVKETKEQVQLRIMEGQYPIDMSHRKKPLLWKELTRDPLLDFPQRPS WNYKQSKEKLHENESIMFDDWLANIHDKYDVDELNHFEHNLEVWRELWRVLERSSHIV IVADIRNPLLHIPPSVYDYVTERIQKPLIIVLNKIDLIPLDLALAWRASLTKRFPKAT LLYFSSRSKSIQHQTDLNGRRKVLSEKLKIGDLSAVQGAQSILIACGIDPEAASVIIH SVLQADDEKGDENGDENGDEDGDNADVAHPTTTPATKKSRRKNKEKVKLQLAEARELR DVCDYCGDDAPVVSCQTCATSFRDLRLCGRCNRDIHKNQRHKVVPLEGCVEAAAAAAA EADELKRLTITIGLIGHPNVGKSSVLNALAGKKLVSVSHTPGHTKRLQSIFIAPHICI CDCPGLVFPFAGVPKHMQELGGLFPYSQTREPFSAVRFLAEHAPLESILNLAVRTQEF DGYLEPLVPLSI H257_03080 MMNSQDTSRRGAAAPVKGKKKASTPTGKKEAAPSLSPAGKTTPP GFAGPTSVSPKNKAAEIDSGTTRLLRHRALYTFLFYMGRSVEVTLKDQSKYTGVLDCI DPDDFTVVLKNTQRLTPGNEPFETGSTFVIQQYLLAHIATNGHPKDDSSSSVDGAHGK PLHPGQHSSFQTDGDITNNSHAHLYGRELQTASSWLDPSLDSGDLDASRGGKTSWNQF EVNSKLFGVKNTYDENLYTTKLDKSKISFEQSKAAEKLAREIESQTSQNHHVSEERGK YTQDSIDEEARYSSVVRPPKSIEKQRTSLLEIGSKLLSISHPVDASMARGANAYVPPA LRKAEDAKQQPPSPKSSKPAPVVSPDNIKPASPTKPPLLQVPAEDKVKVSTPKAASPA NAKQTEAQPVVKKGLNPKAKEFKLSASASAFVPSFTVPVVAPPPPHPHHQHHHQQQQH PQHHLYAAAPQYFDEWGNEIVYDQEDPYLNQYGAVPMMMQGGMHPLQYQPQYGGGYPP AAAAPYYQIPQQHQIPYGGHHHDGGRKEGTPPQQYAPPPPLPR H257_03080 MMNSQDTSRRGAAAPVKGKKKASTPTGKKEAAPSLSPAGKTTPP GFAGPTSVSPKNKAAEIDSGTTRLLRHRALYTFLFYMGRSVEVTLKDQSKYTGVLDCI DPDDFTVVLKNTQRLTPGNEPFETGSTFVIQQYLLAHIATNGHPKDDSSSSVDGAHGK PLHPGQHSSFQTDGDITNNSHAHLYGRELQTASSWLDPSLDSGDLDASRGGKTSWNQF EVNSKLFGVKNTYDENLYTTKLDKSKISFEQSKAAEKLAREIESQTSQNHHVSEERGK YTQDSIDEEARYSSVVRPPKSIEKQHASMARGANAYVPPALRKAEDAKQQPPSPKSSK PAPVVSPDNIKPASPTKPPLLQVPAEDKVKVSTPKAASPANAKQTEAQPVVKKGLNPK AKEFKLSASASAFVPSFTVPVVAPPPPHPHHQHHHQQQQHPQHHLYAAAPQYFDEWGN EIVYDQEDPYLNQYGAVPMMMQGGMHPLQYQPQYGGGYPPAAAAPYYQIPQQHQIPYG GHHHDGGRKEGTPPQQYAPPPPLPR H257_03081 MSTILPAIHRGGAPPSKGDKTQLHHNGEAERTLADEYFDRICAL ENENERFRGEMRHVRSLLSNNMHARENETTSTLASLRQELDKVQLALAAKVQSDAAFY DTEKQKAALLFGEVVRLGKQVESVELHMQQQHTALDQRLQQVAQAKSADQSMSQQQQH SHEVLVRDHSQSIEELRSVVASMRSTILQVKTDMEADKNERWKMDLDKVQTAVHGKAD MAAWTEVEMRLQSQLERLSNRVAMDKSELLRVVEEQRDAGMSIESKRMAHMVQDTKRV ADHLVGLEQLIHGETKALGQLVQSVSADWDHKFRTLADEVAREVSTRSAAYQQLDDDM RSQWADLHDATRDVTVHVQSRLKDVEEIMPLEIKARQKRDDKLKKRIDGLAKSLTHVL DSLRTDVECGRNATTLRMNGIVAAQKEMADNMTKVTTSVTNQLQTVQEDTRVALTNAL DMTTQAFTQRLAADVAHSVQHLQKSIDERLELLRQGWTSLDSRTQAQLAQVHAHVDKG VAEMHARLLAVYGDVEKGQVEAMQQSLVHRESFQAQLLALETAVADVRARVASTIQSL DATWTQTFHGIRIATAVEQCVADIVAQVVDESAKQTLEYMAWSTQQGFEWQASQVSDA ISAAGFDARMQHQSLVRASLDEVAQKLATIDRRCHDAITGLRDDHSQVAGRVHQVQDT LVTMAWNIEERSVADTVADVLRSCVTSVANAVNAHDVVGQVQGLGHQIRQVEFKVQRL RNELEEVRQESTQKDECHRLRVSITSIHEQVNALAAGLDTMQRNVAAGVSSDDTSFAL H257_03082 MSDVSYGFFPTGKELFSGVKWIKEHPVVATAAAVGATAISIVTY FRATTPMTSYDHQTPKGTLNVRERVVSWCDVHVKTDDSRPQESTKDSRSHHKHEGEGD RTTDDDEDDLLDELQTLAIKAPVPREAGWDDDPNAGNVSKQDLHNASPQWGWYVAITP PTDGTPGTGLPRAIADPLQGSIATSASLMGLKRTQSARLR H257_03083 MSGALGFYSILLGSFVESKCPSLVPFNEVIRRYQGRGKCRLPLA HLKASIVALSRIQTANFDFKLKLACHPLIGGMNLINQIPANPRRVGLITHQASRLLLA AH H257_03084 MEHKDPLAVRFVAGAVASTTAELCTLPMDCSKVRMQTHGLRTST TVQFNSGLLDTIRKVVRYEGPWSLWNGAKPAVVRQVVFYSASMVLYTPLRDSSVWPGE ATYVKKIVAGGISGAIGVALANPLDVIKVKMQNDRSGTRLYRGIGDACRQIYHAEGSR GFLRGMWPNIQRGFVVNGVEFGTYDECKQSLIAVGLVTEGTVGATMGASLVAGFAGAV VSSP H257_03085 MQQVMSMDSGKTTLSSWAGKTMGHFRSTTRKSLDGGVDEMSTVA STSLHRLMRKSDSKDADALTAFLTALDDKDVNGVTSAVQNTPKFIEFPIHNDGILLHA LCRWPNLSDVLHLLELAVHTFPEGVAFMDEMGRTPLHWLCMNPTVCARGIEVLVLGFP VSAAMCDKESSLPIHYLSCNPSQTIDMTLKLQHYETFALRNREGRTPLHCLLARHSTD FELCATLLSLAPEAISIADNMGRHILHWACAGKKSLDMQLLQLVLTLDPTAASRSDMK GQLPLHVLVSNQLVTVDAIQLLLPAYPHAVDVIDASGQSPLHLLGANESVHLAMLVAL TDPGRNVPTSLKWLDDEHSSALHILCTNPRATTAMVELVLQTYPASAQLVDLYGATPF HYTCGNPAVTVDLVWVFLDKCPAVCKVVDQRGKTPLHYLCANPNVTPDLISIVFDAYP TSSQVMDNAMKLPVHYIIENPGIPSDMAYRLLANGSAYRLRYDIQEVPHVPHACVTYY FNETFSPATVYCAVDVALDSRTSKAVVLHYFSDRTTFQHLLTSLAQLDISSSDTTSVA LVDSFDNARKRIRIEDASPILDFCLVLEHPAATVDEIRTQIDAQALVTSLGQCVSHWH TTAGLAHGNMTPRSIGFFPDRGGAFKLLPALTNQSTTATISPPLQIAYYPVTEPLYCA PEMAEVLLTRPFAVPTLASDMWQFGCTMYEVVTGVPLVTAIAPYSAMLPPKQLYHVIA SLTDAVLEHVLTPLPTDIRDTLSHVLKVQPGARWTIDRVMGVAGLSMLSHPPSSSSEQ LKWGFSCPLAGGRACSHDQEAAIHMALQADVDQLTQMLLQAKTQLRHVELDRDALQRQ LCELVDHFNSVLQDKEETKHVAAVTEMKRASLATQLDTMVHMVMSVIPLAQQVYGQSS DDFLAHTMADAANATVKPSYAIDQSTTPSSLVGLVKAHIRHTIVSKGCIAKWATSHST NVPSLLDDHPFLGNPADCDASPNMYL H257_03085 MQQVMSMDSGKTTLSSWAGKTMGHFRSTTRKSLDGGVDEMSTVA STSLHRLMRKSDSKDADALTAFLTALDDKDVNGVTSAVQNTPKFIEFPIHNDGILLHA LCRWPNLSDVLHLLELAVHTFPEGVAFMDEMGRTPLHWLCMNPTVCARGIEVLVLGFP VSAAMCDKESSLPIHYLSCNPSQTIDMTLKLQHYETFALRNREGRTPLHCLLARHSTD FELCATLLSLAPEAISIADNMGRHILHWACAGKKSLDMQLLQLVLTLDPTAASRSDMK GQLPLHVLVSNQLVTVDAIQLLLPAYPHAVDVIDASGQSPLHLLGANESVHLAMLVAL TDPGRNVPTSLKWLDDEHSSALHILCTNPRATTAMVELVLQTYPASAQLVDLYGATPF HYTCGNPAVTVDLVWVFLDKCPAVCKVVDQRGKTPLHYLCANPNVTPDLISIVFDAYP TSSQVMDNAMKLPVHYIIENPGIPSDMAYRLLANGSAYRLRYDIQEVPHVPHACVTYY FNETFSPATVYCAVDVALDSRTSKAVVLHYFSDRTTFQHLLTSLAQLDISSSDTTSVA LVDSFDNARKRIRIEDASPILDFCLVLEHPAATVDEIRTQIDAQALVTSLGQCVSHWH TTAGLAHGNMTPRSIGFFPDRGGAFKLLPALTNQSTTATISPPLQIAYYPVTEPLYCA PEMAEVLLTRPFAVPTLASDMWQFGCTMYEVVTGVPLVTAIAPYSAMLPPKQLYHVIA SLTDAVLEHVLTPLPTDIRDTLSHVLKVQPGARWTIDRVMGVAGLSMLSHPPSSSSEQ LKWGFSCPLAGGRACSHDQEAAIHMALQADVDQLTQMLLQAKTQLRHVELDRDALQRQ LCELVDHFNSVLQDKEETKHVAAVTEMKRASLATQLDTMVHMVMSVIPLAQQVQYAIP NIELLPQIWTFNRQI H257_03086 MIVLKKWLHIWYLGHATSREGDNDDDNTYVLVHVQGDKPEQDVY VPRGSLLPQVTIGNPPPQGCFGLPGLLVQIYVVDAQWSNILIANDAFLVGEVVATVCG KDACIVDVVLPGMTSQIRRCQLGQGYMRAMGPHDFKLMQHKLQRLPVNQVSSKATLVE PVTALSANSSGYCV H257_03086 MIVLKKWLHIWYLGHATSREGDNDDDNTYVLVHVQGDKPEQDVY VPRGSLLPQVTIGNPPPQGCFGLPGLLVQIYVVDAQWSNILIANDAFLVGEVVATVCG KDACIVDVVLPGMTSQIRRCQLGQGYVRSSVTLHSA H257_03087 MAFRTTTSRLAAGVLSSSRSHAFRQTARLTTASLPSIARSTLAR SFGPSTHKKSLSPAAQFVRAYSQTAVVRGLDKPKTSLLLETNDGPGSLQDVLKFFWKH DVNMVRIESRPTKGPAPDYAFYIDFDGRPGETAVDDLLDDLKRHCKQILVLNDKKVPW FPRRINDLDLTVKTLDAGEELQSDHPGFSDPVYRARRDQLTEIAVNFRQGQTIPRIEY TENEIKTWGVVYDRMEDMWAKYACDEFKYILPLLESNCGYGRDNIPQAQDISDFLKEC TGFTLRPVAGLLSARDFLNGLAFRVFFSTQYLRHHSVPLYTPEPDICHELMGHAPMFA DPDFADFSHEIGLASLGASDEEIERLATCYWFSVEFGVCMQNGEKKAYGAGLLSSFGE LEYACSPTRPAGGEAAFPEYRPWNPVDAAKQKYPITTYQPVYYVADSLADAKARMREF TEDMKKPFYARYNPYQQTISVDRAVSVQSKQLY H257_03088 MATSSGSGVQMLMAAKDGCESLTSDETIHDDELVAVPKRKRIRK RAMDELAYLKGQVAEYTQQLHALQAMVPDLAAVSQWEGRSRRQAAERAAVEQENHKLK AALEGQLKIVESLVKIVTKRPKLAEEMYLDVGARHDALPADPSARVARMHAMVDAEYP KWECHFITKRLLDPPVATAPAIETNVQYVDERIGFDCIMRRALNVNVHDCASILWTMY FENMDITLETAILRPIQRIDDHTVYYHHLLHFKAYEFTVHNQVVCKRYTEPDRVVIVF QSVVGDALYPFPANAYVAHETAWLVISKADSSDKCTVKYYAHGTLPCKSRQDVTPLEL LLTLNNDTDDDDNEDDIMSMPAAYHMEFAQFMMASYRDNLESLSIHIDHTLLAVMDPL DTKRTLYDSPAE H257_03088 MATSSGSGVQMLMAAKDGCESLTSDETIHDDELVAVPKRKRIRK RAMDELAYLKGQVAEYTQQLHALQAMVPDLAAVSQWEGRSRRQAAERAAVEQENHKLK AALEGQLKIVESLVKIVTKRPKLAEEMYLDVGARHDALPADPSARVARMHAMVDAEYP KWECHFITKRLLDPPVATAPAIETNVQYVDERIGFDCIMRRALNVNVHDCASILWTMY FENMDITLETAILRPIQRIDDHTVYYHHLLHFKAYEFTVHNQVVCKRYTEPDRVVIVF QSVVGDALYPFPANAYVAHETAWLVISKADSSDKCTVKYYAHGTLPCKSRQDVTPLEL LLTLNNDTDDDDNEDDIMSMPAAYHMEFAQFMMASYRDNLESLSIHIDHTLLAVMDPL DTKRTLYDSPAE H257_03088 MATSSGSGVQMLMAAKDGCESLTSDETIHDDELVAVPKRKRIRK RAMDELAYLKGQVAEYTQQLHALQAMVPDLAAVSQWEGRSRRQAAERAAVEQENHKLK AALEGQLKIVESLVKIVTKRPKLAEEMYLDVGARHDALPADPSARVARMHAMVDAEYP KWECHFITKRLLDPPVATAPAIETNVQYVDERIGFDCIMRRALNVNVHDCASILWTMY FENMDITLETAILRPIQRIDDHTVYYHHLLHFKAYEFTVHNQVVCKRYTEPDRVVIVF QSVVGDALYPFPANAYVAHETAW H257_03089 MTPMDDDLLATCLRELDNDDSVVDYDLPDEDIASLMEVLHAADS RTDTSSQTKKKRNRKRAAHELVYLRDQVLEYTRRLGDLQARTLPRSPESWVWQERSLR QACERKSAEDENVALKDLLQRQVKVAESLMKIVSKRPRLAEMTYLDKWTQSSRTLVVD PVERRDMFHRLLDGQYSRLENVLIAQRLLDSSEAVTKTEVSYDEHSRDIVFDFVVTDV AAVDYLECARVLWSMYGDAYETEFDITEPLESLDENAMLVKMLLKFRKFGLVVHQHMG CKRYFEHNRVVMVFDSILDDPIHPYPPGVYVARETSWLTVTREGDNKCSMQFYCHGSL PCKSSSTERMEDEADDVDGVRTMAFAEHMMDCYKRSLDLLGLYLHGQLKMLPTPHDPS QALELA H257_03089 MTPMDDDLLATCLRELDNDDSVVDYDLPDEDIASLMEVLHAADS RTDTSSQTKKKRNRKRAAHELVYLRDQVLEYTRRLGDLQARTLPRSPESWVWQERSLR QACERKSAEDENVALKDLLQRQVKVAESLMKIVSKRPRLAEMTYLDKWTQSSRTLVVD PVERRDMFHRLLDGQYSRLENVLIAQRLLDSSEAVTKTEVSYDEHSRDIVFDFVVTDV AAVDYLECARVLWSMYGDAYETEFDITEPLESLDENAMLVKMLLKFRKFGLVVHQHMG CKRYFEHNRVVMVFDSILDDPIHPYPPGVYVARETSW H257_03090 MSTGVDDGTAPDVGGDDLAAGGTSDVEADINVPWSSEDDANQGS EGKNDDEGEESAFAALDEEQNDDSDGDEAEEVQGDASGDKATTPPGFPEVNDLVEESN RLLPQHMQPTSDAPLLSISMDQVDEVADDERPRSKSAKQPRAKDKPIDKTTKHDETHP TKQDKATRTTRTSGATKDGSPPRDGLFKKERDMLALNMKKLRAIARKAPVQVRIWTEP RKVKSPMDKYVCAAAEAATSSGHQSRTTLFESTTWLKSKAYRSTQRWIRGCFTKRHST GLPKEGQRPLSSTWSNAERMYTRGMTHLACWAGHTEAAMALLRAGDLKDLLVADYDVQ RSSLPSLSLDVGEFKRARVGWSSTAHRMHCGIEQILRQCVAASVCRRLDLENCVENQD AHGPREAARRPNRIGAGRAQAAAAAGRASQAGSSNRASGGVRWKRCSKNHVFCRHHGR KVVMRTVLKYY H257_03090 MSTGVDDGTAPDVGGDDLAAGGTSDVEADINVPWSSEDDANQGS EGKNDDEGEESAFAALDEEQNDDSDGDEAEEVQGDASGDKATTPPGFPEVNDLVEESN RLLPQHMQPTSDAPLLSISMDQVDEVADDERPRSKSAKQPRAKDKPIDKTTKHDETHP TKQDKATRTTRTSGATKDGSPPRDGLFKKERDMLALNMKKLRAIARKAPVQVRIWTEP RKVKSPMDKYVCAAAEAATSSGHQSRTTLFESTTWLKSKAYRSTQRWIRGWAAKGGAT TTIEYLVECGADVHKRDDNGSMPLHLACWAGHTEAAMALLRAGDLKDLLVADYDVQRS SLPSLSLDVGEFKRARVGWSSTAHRMHCGIEQILRQCVAASVCRRLDLENCVENQDAH GPREAARRPNRIGAGRAQAAAAAGRASQAGSSNRASGGVRWKRCSKNHVFCRHHGRKV VMRTVLKYY H257_03090 MSTGVDDGTAPDVGGDDLAAGGTSDVEADINVPWSSEDDANQGS EGKNDDEGEESAFAALDEEQNDDSDGDEAEEVQGDASGDKATTPPGFPEVNDLVEESN RLLPQHMQPTSDAPLLSISMDQVDEVADDERPRSKSAKQPRAKDKPIDKTTKHDETHP TKQDKATRTTRTSGATKDGSPPRDGLFKKERDMLALNMKKLRAIARKAPVQVRIWTEP RKVKSPMDKYVCAAAEAATSSGHQSRTTLFESTTWLKSKAYRSTQRWIRGCFTKRHST GLPKEGQRPLSSTWSNAERMYTRGMTHLACWAGHTEAAMALLRAGDLKDLLVADYDAN LNALEWAGVRQHTECIAALNKFCDSVWLPLFVADLIWKIVSKTKMRTALEKQREDQIA SERAELKRLQQLAEQAKQAAQIGQAVVLDGSDAAKITFSAATMDAKS H257_03090 MSTGVDDGTAPDVGGDDLAAGGTSDVEADINVPWSSEDDANQGS EGKNDDEGEESAFAALDEEQNDDSDGDEAEEVQGDASGDKATTPPGFPEVNDLVEESN RLLPQHMQPTSDAPLLSISMDQVDEVADDERPRSKSAKQPRAKDKPIDKTTKHDETHP TKQDKATRTTRTSGATKDGSPPRDGLFKKERDMLALNMKKLRAIARKAPVQVRIWTEP RKVKSPMDKYVCAAAEAATSSGHQSRTTLFESTTWLKSKAYRSTQRWIRGWAAKGGAT TTIEYLVECGADVHKRDDNGSMPLHLACWAGHTEAAMALLRAGDLKDLLVADYDANLN ALEWAGVRQHTECIAALNKFCDSVWLPLFVADLIWKIVSKTKMRTALEKQREDQIASE RAELKRLQQLAEQAKQAAQIGQAVVLDGSDAAKITFSAATMDAKS H257_03090 MSTGVDDGTAPDVGGDDLAAGGTSDVEADINVPWSSEDDANQGS EGKNDDEGEESAFAALDEEQNDDSDGDEAEEVQGDASGDKATTPPGFPEVNDLVEESN RLLPQHMQPTSDAPLLSISMDQVDEVADDERPRSKSAKQPRAKDKPIDKTTKHDETHP TKQDKATRTTRTSGATKDGSPPRDGLFKKERDMLALNMKKLRAIARKAPVQVRIWTEP RKVKSPMDKYVCAAAEAATSSGHQSRTTLFESTTWLKSKAYRSTQRWIRGWAAKGGAT TTIEYLVECGADVHKRDDNGSMPLHLACWAGHTEAAMALLRAGDLKDLLANLNALEWA GVRQHTECIAALNKFCDSVWLPLFVADLIWKIVSKTKMRTALEKQREDQIASERAELK RLQQLAEQAKQAAQIGQAVVLDGSDAAKITFSAATMDAKS H257_03090 MSTGVDDGTAPDVGGDDLAAGGTSDVEADINVPWSSEDDANQGS EGKNDDEGEESAFAALDEEQNDDSDGDEAEEVQGDASGDKATTPPGFPEVNDLVEESN RLLPQHMQPTSDAPLLSISMDQVDEVADDERPRSKSAKQPRAKDKPIDKTTKHDETHP TKQDKATRTTRTSGATKDGSPPRDGLFKKERDMLALNMKKLRAIARKAPVQVRIWTEP RKVKSPMDKYVCAAAEAATSSGHQSRTTLFESTTWLKSKAYRSTQRWIRGCFTKRHST GLPKEGQRPLSSTWSNAERMYTRGMTHLACWAGHTEAAMALLRAGDLKDLLVADYDVQ RSSLPSLSLDVGEFKRARVGWSSTAHRMHCGIEQSKRVTVFTTSLHQLV H257_03090 MSTGVDDGTAPDVGGDDLAAGGTSDVEADINVPWSSEDDANQGS EGKNDDEGEESAFAALDEEQNDDSDGDEAEEVQGDASGDKATTPPGFPEVNDLVEESN RLLPQHMQPTSDAPLLSISMDQVDEVADDERPRSKSAKQPRAKDKPIDKTTKHDETHP TKQDKATRTTRTSGATKDGSPPRDGLFKKERDMLALNMKKLRAIARKAPVQVRIWTEP RKVKSPMDKYVCAAAEAATSSGHQSRTTLFESTTWLKSKAYRSTQRWIRGWAAKGGAT TTIEYLVECGADVHKRDDNGSMPLHLACWAGHTEAAMALLRAGDLKDLLVADYDVQRS SLPSLSLDVGEFKRARVGWSSTAHRMHCGIEQSKRVTVFTTSLHQLV H257_03090 MSTGVDDGTAPDVGGDDLAAGGTSDVEADINVPWSSEDDANQGS EGKNDDEGEESAFAALDEEQNDDSDGDEAEEVQGDASGDKATTPPGFPEVNDLVEESN RLLPQHMQPTSDAPLLSISMDQVDEVADDERPRSKSAKQPRAKDKPIDKTTKHDETHP TKQDKATRTTRTSGATKDGSPPRDGLFKKERDMLALNMKKLRAIARKAPVQVRIWTEP RKVKSPMDKYVCAAAEAATSSGHQSRTTLFESTTWLKSKAYRSTQRWIRGCFTKRHST GLPKEGQRPLSSTWSNAERMYTRGMTHLACWAGHTEAAMALLRAGDLKDLLVADYDAN LNALEWAGVRQHTECIAALNKVSV H257_03090 MSTGVDDGTAPDVGGDDLAAGGTSDVEADINVPWSSEDDANQGS EGKNDDEGEESAFAALDEEQNDDSDGDEAEEVQGDASGDKATTPPGFPEVNDLVEESN RLLPQHMQPTSDAPLLSISMDQVDEVADDERPRSKSAKQPRAKDKPIDKTTKHDETHP TKQDKATRTTRTSGATKDGSPPRDGLFKKERDMLALNMKKLRAIARKAPVQVRIWTEP RKVKSPMDKYVCAAAEAATSSGHQSRTTLFESTTWLKSKAYRSTQRWIRGWAAKGGAT TTIEYLVECGADVHKRDDNGSMPLHLACWAGHTEAAMALLRAGDLKDLLVADYDANLN ALEWAGVRQHTECIAALNKVSV H257_03090 MSTGVDDGTAPDVGGDDLAAGGTSDVEADINVPWSSEDDANQGS EGKNDDEGEESAFAALDEEQNDDSDGDEAEEVQGDASGDKATTPPGFPEVNDLVEESN RLLPQHMQPTSDAPLLSISMDQVDEVADDERPRSKSAKQPRAKDKPIDKTTKHDETHP TKQDKATRTTRTSGATKDGSPPRDGLFKKERDMLALNMKKLRAIARKAPVQVRIWTEP RKVKSPMDKYVCAAAEAATSSGHQSRTTLFESTTWLKSKAYRSTQRWIRGWAAKGGAT TTIEYLVECGADVHKRDDNGSMPLHLACWAGHTEAAMALLRAGDLKDLLANLNALEWA GVRQHTECIAALNKVSV H257_03090 MSTGVDDGTAPDVGGDDLAAGGTSDVEADINVPWSSEDDANQGS EGKNDDEGEESAFAALDEEQNDDSDGDEAEEVQGDASGDKATTPPGFPEVNDLVEESN RLLPQHMQPTSDAPLLSISMDQVDEVADDERPRSKSAKQPRAKDKPIDKTTKHDETHP TKQDKATRTTRTSGATKDGSPPRDGLFKKERDMLALNMKKLRAIARKAPVQVRIWTEP RKVKSPMDKYVCAAAEAATSSGHQSRTTLFESTTWLKSKAYRSTQRWIRGCFTKRHST GLPKEGQRPLSSTWSNAERMYTRGMTTGACHCIWLAGPDTRKQRWPCFALETSRTCWS QITTRI H257_03090 MSTGVDDGTAPDVGGDDLAAGGTSDVEADINVPWSSEDDANQGS EGKNDDEGEESAFAALDEEQNDDSDGDEAEEVQGDASGDKATTPPGFPEVNDLVEESN RLLPQHMQPTSDAPLLSISMDQVDEVADDERPRSKSAKQPRAKDKPIDKTTKHDETHP TKQDKATRTTRTSGATKDGSPPRDGLFKKERDMLALNMKKLRAIARKAPVQVRIWTEP RKVKSPMDKYVCAAAEAATSSGHQSRTTLFESTTWLKSKAYRSTQRWIRGCFTKRHST GLPKEGQRPLSSTWSNAERMYTRGMTTGACHCIWLAGPDTRKQRWPCFALETSRTCWS QITTRI H257_03090 MSTGVDDGTAPDVGGDDLAAGGTSDVEADINVPWSSEDDANQGS EGKNDDEGEESAFAALDEEQNDDSDGDEAEEVQGDASGDKATTPPGFPEVNDLVEESN RLLPQHMQPTSDAPLLSISMDQVDEVADDERPRSKSAKQPRAKDKPIDKTTKHDETHP TKQDKATRTTRTSGATKDGSPPRDGLFKKERDMLALNMKKLRAIARKAPVQVRIWTEP RKVKSPMDKYVCAAAEAATSSGHQSRTTLFESTTWLKSKAYRSTQRWIRGCFTKRHST GLPKEGQRPLSSTWSNAERMYTRGMTTGACHCIWLAGPDTRKQRWPCFALETSRTCWR I H257_03090 MSTGVDDGTAPDVGGDDLAAGGTSDVEADINVPWSSEDDANQGS EGKNDDEGEESAFAALDEEQNDDSDGDEAEEVQGDASGDKATTPPGFPEVNDLVEESN RLLPQHMQPTSDAPLLSISMDQVDEVADDERPRSKSAKQPRAKDKPIDKTTKHDETHP TKQDKATRTTRTSGATKDGSPPRDGLFKKERDMLALNMKKLRAIARKAPVQVRIWTEP RKVKSPMDKYVCAAAEAATSSGHQSRTTLFESTTWLKSKAYRSTQRWIRGCFTKRHST GLPKEGQRPLSSTWSNAERMYTRGMTTGACHCIWLAGPDTRKQRWPCFALETSRTCWR I H257_03090 MSTGVDDGTAPDVGGDDLAAGGTSDVEADINVPWSSEDDANQGS EGKNDDEGEESAFAALDEEQNDDSDGDEAEEVQGDASGDKATTPPGFPEVNDLVEESN RLLPQHMQPTSDAPLLSISMDQVDEVADDERPRSKSAKQPRAKDKPIDKTTKHDETHP TKQDKATRTTRTSGATKDGSPPRDGLFKKERDMLALNMKKLRAIARKAPVQVRIWTEP RKVKSPMDKYVCAAAEAATSSGHQSRTTLFESTTWLKSKAYRSTQRWIRGCFTKRHST GLPKEGQRPLSSTWSNAERMYTRGMTTGACHCIWLAGPDTRKQRWPCFALETSRTCWS QITTYSDHLFQAYRWM H257_03090 MSTGVDDGTAPDVGGDDLAAGGTSDVEADINVPWSSEDDANQGS EGKNDDEGEESAFAALDEEQNDDSDGDEAEEVQGDASGDKATTPPGFPEVNDLVEESN RLLPQHMQPTSDAPLLSISMDQVDEVADDERPRSKSAKQPRAKDKPIDKTTKHDETHP TKQDKATRTTRTSGATKDGSPPRDGLFKKERDMLALNMKKLRAIARKAPVQVRIWTEP RKVKSPMDKYVCAAAEAATSSGHQSRTTLFESTTWLKSKAYRSTQRWIRGCFTKRHST GLPKEGQRPLSSTWSNAERMYTRGMTTGACHCIWLAGPDTRKQRWPCFALETSRTCWS QITTYSDHLFQAYRWM H257_03090 MSTGVDDGTAPDVGGDDLAAGGTSDVEADINVPWSSEDDANQGS EGKNDDEGEESAFAALDEEQNDDSDGDEAEEVQGDASGDKATTPPGFPEVNDLVEESN RLLPQHMQPTSDAPLLSISMDQVDEVADDERPRSKSAKQPRAKDKPIDKTTKHDETHP TKQDKATRTTRTSGATKDGSPPRDGLFKKERDMLALNMKKLRAIARKAPVQVRIWTEP RKVKSPMDKYVCAAAEAATSSGHQSRTTLFESTTWLKSKAYRSTQRWIRGWAAKGGAT TTIEYLVECGADVHKRDDNGSMPLYAEHAFSLSKSGVDVQTIGIWLAGPDTRKQRWPC FALETSRTCWSQITTYSDHLFQAYRWM H257_03090 MSTGVDDGTAPDVGGDDLAAGGTSDVEADINVPWSSEDDANQGS EGKNDDEGEESAFAALDEEQNDDSDGDEAEEVQGDASGDKATTPPGFPEVNDLVEESN RLLPQHMQPTSDAPLLSISMDQVDEVADDERPRSKSAKQPRAKDKPIDKTTKHDETHP TKQDKATRTTRTSGATKDGSPPRDGLFKKERDMLALNMKKLRAIARKAPVQVRIWTEP RKVKSPMDKYVCAAAEAATSSGHQSRTTLFESTTWLKSKAYRSTQRWIRGWAAKGGAT TTIEYLVECGADVHKRDDNGSMPLYAEHAFSLSKSGVDVQTIGIWLAGPDTRKQRWPC FALETSRTCWSQITTYSDHLFQAYRWM H257_03090 MSTGVDDGTAPDVGGDDLAAGGTSDVEADINVPWSSEDDANQGS EGKNDDEGEESAFAALDEEQNDDSDGDEAEEVQGDASGDKATTPPGFPEVNDLVEESN RLLPQHMQPTSDAPLLSISMDQVDEVADDERPRSKSAKQPRAKDKPIDKTTKHDETHP TKQDKATRTTRTSGATKDGSPPRDGLFKKERDMLALNMKKLRAIARKAPVQVRIWTEP RKVKSPMDKYVCAAAEAATSSGHQSRTTLFESTTWLKSKAYRSTQRWIRGCFTKRHST GLPKEGQRPLSSTWSNAERMYTRGMTTGACHCTQSMRSRFLNRGLMFKR H257_03090 MSTGVDDGTAPDVGGDDLAAGGTSDVEADINVPWSSEDDANQGS EGKNDDEGEESAFAALDEEQNDDSDGDEAEEVQGDASGDKATTPPGFPEVNDLVEESN RLLPQHMQPTSDAPLLSISMDQVDEVADDERPRSKSAKQPRAKDKPIDKTTKHDETHP TKQDKATRTTRTSGATKDGSPPRDGLFKKERDMLALNMKKLRAIARKAPVQVRIWTEP RKVKSPMDKYVCAAAEAATSSGHQSRTTLFESTTWLKSKAYRSTQRWIRGCFTKRHST GLPKEGQRPLSSTWSNAERMYTRGMTTGACHCTQSMRSRFLNRGLMFKR H257_03091 MDTPSPTTKRHNSAQFSATMDDLDTFGGVLTPTKDDTTLAPGGP LAFCSLEGLGLIIQYSAMGLLDSGIADIARPLYSVYLNVDPTNVQMYTVVVTFGYYFK VFFGIVSDCVPIFGYRRKSYMLIGWTITLMCMVAMACIPFGRPYCENPELCHVPLETL DPSDVAEFFDLSAPSRGLPFLVLSMVLSFGYIMTVCASDALVVQYAHREPIETRGRTL CAVYAIRNFSSAVAFSVTRFFLNGPSYQGSFSFEIQPNVVFVLLSLPSGLAIANTLLY IREYREKGMPVRVYLHGLWELAQKRVLWQICMYTSIAGLFGSFRSTAESPVTYVWAKV THLSESLTTVVGSLVWGGTLVCIGRWGVNWNWRVTIVVTTLVWVTFDSVGVFTVVWDG YRNEWFFSAMYLVSSVPSAVRFIMSFFCAVEIADMGNEGAVYGLITTIFNVTMPLGPL WYKWLDSYFDVTTKAVETDSNDVRWQVTYTYLISYGMHLFALVFLVLLPPQKIAMQEL KRYGGSHPVFAVAVLGILFVCLVVAITSNLLSIFPSTRCLAFAGGDGCP H257_03092 MVLHVVWPKYCGEMDCSAALATDGASFMFMLVLMRHVHVLLERP PWTAQKPPKRPCTGVKYIASLALVFLHIAFGLVKMLMDDVAITPSEAIGTTIRSFMWT SYAVLLARYPHLGETFLVRGGVVLHGTLGILLVSRHDTSTISSCLMCFIGVFSVLLGF LVLLPSSSSHHAFDGTFSPLDRSGVLSRLLYTWVSPFIALGLRRRFELTDVPPLPAAD RTASHTFQMALLQERRQPHPSFLRLAARLYGYEVVGFGLWSATNKLLSLTSPFLIKEF LDWSSSSSQTTPSSSSSSTTGFVLAGLIGLQAVLAAFSGSQYGLAWARFDLRVRGGLM SAIYGRTLELTLAERSAIGMGKLTNYISVDVGRVVGMPGGVFDMVLIPVEIVVALILL SREVSYAFVAGLVVLGVMLPMQTWLGNQLQSITRNMLQFRDDRVELSSEAIKSIRVLK LLGWMDYFVGKMAASRQLEMGQLGARKYLDALCVVFWASTPVVVQSAVFVAVIYSGHD LTAANAFVAIALLDRLIYPMNYFPWIINGFLEARVSALRLRSFLFAPVVSSSAATRQA SVSLWHQCTFAWTPNPSAPKEGDGDGEEDAAANPTMALLTRGGDDVVTSRPAASFECH LEHFQLDPTHMHVLVGASGSGKSSMLLAMLGEMPMVHGRHIGPPRLTSYAPQVPWLFA ATIRYNITLDADDKSTSSDLYRRVLAACALEQDLACHPLGDLTVLSDHGANLSGGQRA RLGLARALYQRADVYLLDDVMGSLDVATTAHVLRSAPAVLPPAASVVLATHAVHLLEH IARPYSILVLEHGRVVEVGSYAELTCRESTRFRTMLQVASGVVVGGTSEANVDKDDNG QTSSSSQRETVSPPHQSSNTPDEEHRDDGVVAAWMWWQYLRSMGVCVLGMLALSVLVM QVSRNGLDYWIASYTSSSVHHPISPPTFARGLVVITAINIVAVAARSFLFAYGGLRAA NALYRRLVHRLVRAPLTFYDITPVGRILNRLGGDTYGVDESLPFILNIFIKDAADVCG TLVILVLTTPAVFLVLGPLTIVYFALQQWYRPTSRHLRRLDAVAQSPLVMMFQATLDG LPILRGLKCEPQWFRVYLHRLHQAQRVSFLASGAGAWFGLRLDSLGVAVTTFVAGYAA VQCYVGRPIPSGVLGLTLIYALPIVGKCNAILSSFIATEQNMVSVERVLEYASVPVEE EDDGSARGGAAASLTVDGQTTRHDHLVNWPPLGHVTLTNVSVRYGADEVPGLPAALHG VTCHIMAKEKVGICGRTGAGKSTLLQCLFRAVPFGGRIVIDGIDIASMSLTRLRASLC FVPQDAVLFKGSIRANVDPMDSLTDAAIWTALAQCCLKDVVEAFPLGLMEPLLQGGDQ GRLSRGQAQLLCICRALLRKSKVVCVDEATASIDHATEQLVQSTMAQAFKDSTVLTVA HRLHTILDSDRVLVLDEGKVVEFDSPTTLRSIPNGYFAALVDGHTASSSSS H257_03092 MVLHVVWPKYCGEMDCSAALATDGASFMFMLVLMRHVHVLLERP PWTAQKPPKRPCTGVKYIASLALVFLHIAFGLVKMLMDDVAITPSEAIGTTIRSFMWT SYAVLLARYPHLGETFLVRGGVVLHGTLGILLVSRHDTSTISSCLMCFIGVFSVLLGF LVLLPSSSSHHAFDGTFSPLDRSGVLSRLLYTWVSPFIALGLRRRFELTDVPPLPAAD RTASHTFQMALLQERRQPHPSFLRLAARLYGYEVVGFGLWSATNKLLSLTSPFLIKEF LDWSSSSSQTTPSSSSSSTTGFVLAGLIGLQAVLAAFSGSQYGLAWARFDLRVRGGLM SAIYGRTLELTLAERSAIGMGKLTNYISVDVGRVVGMPGGVFDMVLIPVEIVVALILL SREVSYAFVAGLVVLGVMLPMQTWLGNQLQSITRNMLQFRDDRVELSSEAIKSIRVLK LLGWMDYFVGKMAASRQLEMGQLGARKYLDALCVVFWASTPVVVQSAVFVAVIYSGHD LTAANAFVAIALLDRLIYPMNYFPWIINGFLEARVSALRLRSFLFAPVVSSSAATRQA SVSLWHQCTFAWTPNPSAPKEGDGDGEEDAAANPTMALLTRGGDDVVTSRPAASFECH LEHFQLDPTHMHVLVGASGSGKSSMLLAMLGEMPMVHGRHIGPPRLTSYAPQVPWLFA ATIRYNITLDADDKSTSSDLYRRVLAACALEQDLACHPLGDLTVLSDHGANLSGGQRA RLGLARALYQRADVYLLDDVMGSLDVATTAHVLRSAPAVLPPAASVVLATHAVHLLEH IARPYSILVLEHGRVVEVGSYAELTCRESTRFRTMLQVASGVVVGGTSEANVDKDDNG QTSSSSQRETVSPPHQSSNTPDEEHRDDGVVAAWMWWQYLRSMGVCVLGMLALSVLVM QVSRNGLDYWIASYTSSSVHHPISPPTFARGLVVITAINIVAVAARSFLFAYGGLRAA NALYRRLVHRLVRAPLTFYDITPVGRILNRLGGDTYGVDESLPFILNIFIKDAADVCG TLVILVLTTPAVFLVLGPLTIVYFALQQWYRPTSRHLRRLDAVAQSPLVMMFQATLDG LPILRGLKCEPQWFRVYLHRLHQAQRVSFLASGAGAWFGLRLDSLGVAVTTFVAGYAA VQCYVGRPIPSGVLGLTLIYALPIVGKCNAILSSFIATEQNMVSVERVLEYASVPVEE EDDGSARGGAAASLTVDGQTTRHDHLVNWPPLGHVTLTNVSVRYGADEVPGLPAALHG VTCHIMAKEKVGICGRTGAGKSTLLQCLFRAVPFGGRIVIDGIDIASMSLTRLRASLC FVPQDAVLFKGSIRANVDPMDSLTDAAIWTALAQCCLKDVVEAFPLGLMEPLLQGGDQ GRLSRGQAQLLCICRALLRKSKVVCVDEATASIDHATEQLVQSVREDGKTRAFWRDVC DV H257_03092 MLPMQTWLGNQLQSITRNMLQFRDDRVELSSEAIKSIRVLKLLG WMDYFVGKMAASRQLEMGQLGARKYLDALCVVFWASTPVVVQSAVFVAVIYSGHDLTA ANAFVAIALLDRLIYPMNYFPWIINGFLEARVSALRLRSFLFAPVVSSSAATRQASVS LWHQCTFAWTPNPSAPKEGDGDGEEDAAANPTMALLTRGGDDVVTSRPAASFECHLEH FQLDPTHMHVLVGASGSGKSSMLLAMLGEMPMVHGRHIGPPRLTSYAPQVPWLFAATI RYNITLDADDKSTSSDLYRRVLAACALEQDLACHPLGDLTVLSDHGANLSGGQRARLG LARALYQRADVYLLDDVMGSLDVATTAHVLRSAPAVLPPAASVVLATHAVHLLEHIAR PYSILVLEHGRVVEVGSYAELTCRESTRFRTMLQVASGVVVGGTSEANVDKDDNGQTS SSSQRETVSPPHQSSNTPDEEHRDDGVVAAWMWWQYLRSMGVCVLGMLALSVLVMQVS RNGLDYWIASYTSSSVHHPISPPTFARGLVVITAINIVAVAARSFLFAYGGLRAANAL YRRLVHRLVRAPLTFYDITPVGRILNRLGGDTYGVDESLPFILNIFIKDAADVCGTLV ILVLTTPAVFLVLGPLTIVYFALQQWYRPTSRHLRRLDAVAQSPLVMMFQATLDGLPI LRGLKCEPQWFRVYLHRLHQAQRVSFLASGAGAWFGLRLDSLGVAVTTFVAGYAAVQC YVGRPIPSGVLGLTLIYALPIVGKCNAILSSFIATEQNMVSVERVLEYASVPVEEEDD GSARGGAAASLTVDGQTTRHDHLVNWPPLGHVTLTNVSVRYGADEVPGLPAALHGVTC HIMAKEKVGICGRTGAGKSTLLQCLFRAVPFGGRIVIDGIDIASMSLTRLRASLCFVP QDAVLFKGSIRANVDPMDSLTDAAIWTALAQCCLKDVVEAFPLGLMEPLLQGGDQGRL SRGQAQLLCICRALLRKSKVVCVDEATASIDHATEQLVQSTMAQAFKDSTVLTVAHRL HTILDSDRVLVLDEGKVVEFDSPTTLRSIPNGYFAALVDGHTASSSSS H257_03092 MDYFVGKMAASRQLEMGQLGARKYLDALCVVFWASTPVVVQSAV FVAVIYSGHDLTAANAFVAIALLDRLIYPMNYFPWIINGFLEARVSALRLRSFLFAPV VSSSAATRQASVSLWHQCTFAWTPNPSAPKEGDGDGEEDAAANPTMALLTRGGDDVVT SRPAASFECHLEHFQLDPTHMHVLVGASGSGKSSMLLAMLGEMPMVHGRHIGPPRLTS YAPQVPWLFAATIRYNITLDADDKSTSSDLYRRVLAACALEQDLACHPLGDLTVLSDH GANLSGGQRARLGLARALYQRADVYLLDDVMGSLDVATTAHVLRSAPAVLPPAASVVL ATHAVHLLEHIARPYSILVLEHGRVVEVGSYAELTCRESTRFRTMLQVASGVVVGGTS EANVDKDDNGQTSSSSQRETVSPPHQSSNTPDEEHRDDGVVAAWMWWQYLRSMGVCVL GMLALSVLVMQVSRNGLDYWIASYTSSSVHHPISPPTFARGLVVITAINIVAVAARSF LFAYGGLRAANALYRRLVHRLVRAPLTFYDITPVGRILNRLGGDTYGVDESLPFILNI FIKDAADVCGTLVILVLTTPAVFLVLGPLTIVYFALQQWYRPTSRHLRRLDAVAQSPL VMMFQATLDGLPILRGLKCEPQWFRVYLHRLHQAQRVSFLASGAGAWFGLRLDSLGVA VTTFVAGYAAVQCYVGRPIPSGVLGLTLIYALPIVGKCNAILSSFIATEQNMVSVERV LEYASVPVEEEDDGSARGGAAASLTVDGQTTRHDHLVNWPPLGHVTLTNVSVRYGADE VPGLPAALHGVTCHIMAKEKVGICGRTGAGKSTLLQCLFRAVPFGGRIVIDGIDIASM SLTRLRASLCFVPQDAVLFKGSIRANVDPMDSLTDAAIWTALAQCCLKDVVEAFPLGL MEPLLQGGDQGRLSRGQAQLLCICRALLRKSKVVCVDEATASIDHATEQLVQSTMAQA FKDSTVLTVAHRLHTILDSDRVLVLDEGKVVEFDSPTTLRSIPNGYFAALVDGHTASS SSS H257_03093 MSAASSRASVGNGVQVLSSEMTKELTEQGKDLLLGALSGGGGPD GAAHHLSLPAPVVSTKSANTSPIREDGIESGASSETSRSLPSSSKGASRPPPPTHEVH WEGYVRKKGDWLPRWEERYLVLDGASLTYYNSKDEARTGTNLRGRMIITKVLPENYGK AHGFLIETMGHKHFHLCCTTELEKDMWVEMMQAAIDEGVPHPHQLHSTPHLEHMSSST FDVNVQVHYSPTQVDLRSFYSAFRKLLISHSSSPQFFPKLSRDVVLTSNYAPTVPFWG EYHGLDGVLHFFSILYETVEFTSFFVTDIAQAQEGSTAVVAGRETMKNKHNNRKFTQQ WQHTIEFAPDGRVKSLTVTADPVAASAVFGCNATSSLSLPIASVIGNTNQDNPAGTVL VHVLRGEQMGGLIDSDDTATSSVPPSCRRRLFLGMRLIVDGKTNVYAPQSSQKCVAKT DLSTIESFPDPVWASQHTLPFQGLSRGKPCFMLIEAWGFAEDGDDKEELIGVAKVNLA PFLALASSSRSVRESVVHSDIARGAVPQWYTLLSASETKFSCGRVQLSISFESESLNP ATIVEESGATNTNTGGVEGDGDLLAADVAKVAAAAVTTVLSKERSYRDTRRDNHSFRV GNTTFDIPKRYQMIKAVGQGAYGCVIAASDTETGQSLAIKNVPNAFNDLVDAKRILRE IRLMMHLNHPYLVNLLDLIRPTSIRDFSDVYIVTDLMETDLHRVIHSNQTITDDHLQY FLYQMLVAIKYVHSAQVLHRDLKPSNILVNSDCDVKLCDFGLARGVQGVDSGLTEYVV TRWYRAPELLLSSKYDKPVDVWAIGCILAEMIGRRPLFPGQDYLHQLKIIMDVVGSPE ESSLDFITNPKAKRFILKQPKKPRLPLNSIYPRATDLCLDLLDKMLAFDPRTRITIDD ALEHPYLAQVRDRSVETTAEKPFDFSFEDCDLTRKKLQELIFEDVCHFHPETLDERPE AAV H257_03094 MHAITDPLLTTYPTSVPVTSAVSANVFVDCTSSADKSLTFVWQL HVQIDAPKDVVLPYVRHHIVAPLSGAGDPDPCWTPLDAESDFPPLARPAASMSVKSFY ELPSEVLEVMFEFMDSTSLGHVTTTNHALHRLLETSSVWKLQVRARFGVIVEAFPVLP SPSWRSIFTNLMCDVPALVQASPQDILTVVNRPPMYAMDAAAKPVREEILLMAALRRY PAHLTLIQLYVGLLVRPSAPDTLIDGVN H257_03095 MFRSDRGGSRPQDFQRSLSHSITDTSAGGITSSGWFQRPAPRST SHRRQQSDMADMMSRSGPAGQFSQSGGLRSTTAVSDSASIFKSLRGGNFVMAPTSGRM GIAATSGGMHAVHWEGQVRKKGDWLPRWESRYLVLDGTVLRYYSKHEDARMGKNLRGK MTLTHVSPEFHKKKEHTFVVETVGRKVFYMSCDTELEKDMWVEMMQAAIGEGSAAQPQ RPQLSTRHGSPSPFVVPSTTPHRHHHAMAPPPPMHHHDPRVFHELQPPILTKNSTYSS IAADTPRQDLVHADIRDFYNALRKLLLSHSSSAQFFPKLSRDIALTSNYAPTVPFWGD YHGLDGVLHFFSILYDHVDYGSFFVTDIAYATDGRTAVVTGRETMVNKTNHRKFTQQW QHTLEFAPDGRVKALAITADPVAASAVFGCNAMSSLRLPRPEYDVDAGVSPPGVATTS WQAGHDRHLPNGLVQVHVIRGEQLGGLDEYDDDDDIEYDPNHLKDMAMASPYSNNSRR PTSSSHARRGSGHSSTSYMMAFGVENQHGPSSAAMPPKTTPAANRTDFAAADSVGDPR WANGGVVTVPFAASPGQSCLVLMEAWKVTHRIMSPLVEDSTQDDQYGQQLELLGMAKI NLMPFIGLALARPNADSVPQWYTLLSVGSTPAFACGRVLLSVRFDVLGTTNLSRSFSR TSRSPSPQRPVITLRKQHSYADVSRANATFQVGNTMFDVPLRYEMIKAVGQGAYGCVI AASDTETGQSLAIKNVPNAFSDLIDAKRILREIRLMRHLRHPKLVNLVDLFRPPSLHE FEDVYIVTDLMETDLHRVINSNQILNDDHVQHFLYQMLVAVKFVHSANVLHRDLKPSN ILVNADCDIKLCDFGLARGLRDVKQQTTHEDGTPPDQLTDYVVTRWYRAPEVLLTCTY GKPMDMWAVGCILAELIGRRPLFPGTDFLHQLKIIMEVVGTPDESTLDFVTHAKAKRF ITKQPKRRPIPFSALYPGASVLALDLLDRMLTFDPRRRIDVDGALNHPYLAGLRDPTL EVACPHGAFDYSFENVELTKANLQALMFEDICQYHPEALAGDYYQHVTRKGKGTPR H257_03096 MRLRHCLYFVALLALGSLSQAHDHHHHHHEDYYDVLGVGMDASE GDIKKAYRKLSLQYHPDKNKGNSDAEAKFQSISRAYEILTNPELKDIYDFEGEEGLQR HQNQGNRPSSPFDQFFGGGGGRQRGPDAAVEVQVTLEELYNGGEKSVTFKRNVICRKC KGTGAKDGVTKPCKTCGGQGVVLVNQQMGPGFTVQMQQQCPKCGGRGKTFKSKCPHCH GHKVVEEMKTITGVIERGMPSNHEIVFERHGEQHPGVLPGDIIMRLAQQPHRVFRRAG DDLHAQVQISLQDALLGFSSTLAHLDGHKVEVAHAGVTKPFQVRRIAEEGMPHHHVPS QHGDLYVTHHIRFPTKLTDAQRELVTRLLP H257_03096 MRLRHCLYFVALLALGSLSQAHDHHHHHHEDYYDVLGVGMDASE GDIKKAYRKLSLQYHPDKNKGNSDAEAKFQSISRAYEILTNPELKDIYDFEGEEGLQR HQNQGNRPSSPFDQFFGGGGGRQRGPDAAVEVQVTLEELYNGGEKSVTFKRNVICRKC KGTGAKDGVTKPCKTCGGQGVVLVNQQMGPGFTVQMQQQCPKCGGRGKTFKSKCPHCH GHKVVEEMKTITGVIERGMPSNHEIVFERHGEQHPGVLPGDIIMRLAQQPHRVFRYFA VCLLVAFILSGFAAGRGTICMRKCKFHCKTRCWAFRRRWPTWMATKSRWPMPA H257_03097 MAPAKNSTNGFDIMRTPSLNKGLEFSPEQRTNQKLRGLLPAAVW TPEQHLEHAMIQLRSKVTPLEKYIFMQSMQDNNEDLYYRMLVNYTHELMPIVYTPTVG QACQEFSHIYRQTPRGLYISINDLGRVSELLDNWPEHDIRAIVFTDGERILGLGDLGI NGMGIPVGKLALYTACAGVHPKYCLPVTLDVGTNTQSILDDPFYMGLKQKRDRTEKYD QLVDEFMHAAKAKYGPQVLLQFEDFGNTNAFRLLHRYQNTHCTFNDDIQGTACVVLGG LYAAEKLTNKPLAEHTFVFLGAGEAGTGIADLIAMAIAKESNSSLEVARRRIYLVDSK GLVTKDRLDSLQHHKLLYAHDEEPAANLLETIHRIKPSVLVGVSTIAHSFSQQVCEFM AATNERPVIFALSNPTSKAECTAEEAYTFTNGQCVFASGSPFDPVTLHGQTYVPGQGN NAYVFPGIGLGVVAAGLTRVDDNIMLIAAQTLADKVTDADLATGSVYPPLSSIRQVSV EIAAAVAEYGFKQGYATVEAPASWVDHCKAVMYNP H257_03098 MNMVKGKPAISGALARHKGNISAWTGLFAFVLFSYHFFSDGDFS FLMTFGAFVRAFGFGILIFKSLTQKSVSGLSLKTLQLYGFVFLFRLCSITRYQGYLPY DRSGDWLYTFIEFVGLGLTLAVIFLVTVQFRGSYDFKFDTFGHLHVPSEFGIVYILVP SILLGMLIHPNLNMNWLADVSWTIALYIEAIAILPQLFMFQKRGGGAVETCISHWVYA LAFGSFLHLWFWLFSYHELGEKNAGHHVGYTVIFVQIGHMLMMGDFLYYYFKSMKDGG PMMLPTHGDFQA H257_03098 MNMVKGKPAISGALARHKGNISAWTGLFAFVLFSYHFFSDGDFS FLMTFGAFVRAFGFGILIFKSLTQKSVSGLSLKTLQLYGFVFLFRLCSITRYQGYLPY DRSGDWLYTFIEFVGLGLTLAVIFLVTVQFRGSYDFKFDTFGHLHVPSEFGIVYILVP SILLGMLIHPNLNMNWLADVSWTIALYIEAIAILPQLFMFQKRVCIVTCVGSSIGTWE CVHFDVFESGRWRGRDVHLALGVRAGLWQLSPPLVLALFVPRAW H257_03099 MTDTRSATTEVLSSLPPSKDEIDTVVATLSTRGNWSTKELLSDR TFMDGFTMNRNSIRQSLSMAAKWRNVGYNPFHPDADGFTALHRAASKGDDALVKALLS TYEGDVLDFASTATSKQGQTALHLACKGGHHTTANVLLTAGKSLLSCVDRHGNTPLHF AATSSAPQALALLEWLISYVPLDYLSKPNNHGLSPVAAHVAVTTNDSASVLRLFLERD IDPNTVVRGENTLLHVCVDRKLFNMAGCLVEFGAALNLPDTRGILVTDLLPDRDLAAV VKYASQPQEWVSLIRKKCMSCTSKFHLFRRRHHCRLCGRVLCGACTKYKRSLNDAVAA KVRVCHVCVTVTRSQKAKSGSMTDVTTESDDVSIAMMV H257_03100 MGVFRDFVDAHERLKKRIHSTRIPLGPKGKAVMTAVYFTTPIVC GYFVMQWAQSKAEVNLKDVTLTTRNPSSFQNDSIKQLLKDIHNEDEASNGGVPPSSS H257_03101 MEAKVDAIQDKLRSIFETKSGYRDEATQAKLLEKHFRYFDTDGS GVIDYHEFTSAMLRLNFVGVQAELEGLFDRYDEDLNGTLSYVEFASVIFGTNGVSKPS SHVKSVVERVKALILENGGKNGIRTLTVILRRMDQNGDRTLDKEEFYNGLLELGVQAN EIETTELDKVFCHFDRDGNGRITIHELLRGLRGGMGKRRILLVRQAFHLLDESKDGTV TVDEIASRFDTSHHPDILSGRLKPVDVLRQFLAVFESQSDTNGVVTWHEFLNYYRDLG AGIENDDEFELIVRNAWHMSGGEGWCENSTCRRVLVTHSDGSQRVCEIQNDLGIGPKD KTKMVRQLLLQGVRDIVDVKLAM H257_03101 MISCQVQVKALILENGGKNGIRTLTVILRRMDQNGDRTLDKEEF YNGLLELGVQANEIETTELDKVFCHFDRDGNGRITIHELLRGLRGGMGKRRILLVRQA FHLLDESKDGTVTVDEIASRFDTSHHPDILSGRLKPVDVLRQFLAVFESQSDTNGVVT WHEFLNYYRDLGAGIENDDEFELIVRNAWHMSGGEGWCENSTCRRVLVTHSDGSQRVC EIQNDLGIGPKDKTKMVRQLLLQGVRDIVDVKLAM H257_03102 MDVVTSFYNVEKDQNPERLKQVPLPVVSPIPGDVVALLAGANLK WDALPRTAKNAVLWSLGYVRYGSEAEYTRVLALCPSGSSATVTMDSIQVSDKAVACDT VYSYCGYSKWINKACSAFSPSLAKCAINTSAVSSRVGSTKTSVYWGYTVDNYPLPPDV TLLKNDPLATSIPYSIHIQPVADLCATTSVLASTVPCINYTPLLSSTCAIAPSMKMQA WLTELSQATTLPPTPLSTSTPPSPVISPSTTPVQYDGNSLVLGLAGGGGGALVLVMLL ALFCFYRRKANKRASPPTGTTATSIGSNKSCHSHVSSSKGMSTTDMDQSNDRLCATAP VLKSFQVDPAISFKRLDYVSLVFERHLATSTSPLYDVWLGHVDRDVVAIKQLAPSYRC KKGIVHFASSVRLMVTLDHPNITSVVGVGWDMLEHLCLVTEFMDRGSLRENLDTQAKM TTAASSSRVEGEWSWKRTKLNVAMDVAKAMVYLHTLEVPLVHAALTSSNVLLNCDEVA KLSAFAVQPYPLPASFGAVSSKWEWVAPEVLSGQPTTPASDVYAFGVVLTELDTLAYP YAPTTISQRSTILSDKSRDETGDNARQKMQSIVHDNLRPALSPTAPPALRDLVELCLH SDPSQRPSAMMLIYHLRSKVKVFEL H257_03103 MTDDKTRYEHPLVSRYATKEMSLVWSPHTKFSTWRKLWLALARA EQELGIAITDEQLRAMEKAIYDIDYAYADEMEKKFRHDVMAHVHAFGKAAPVAMPIIH LGATSCYVGDNADIIQIKQGLELVQRKLLKVIKTLREFAVEYKDMPTMGFTHFQPAQL VTVGKRATLWIQDFLLDHDRLEAQIQSLPLRGVKGTTGTQASFLELFNGDHDKVKQLN RKVADQLGFEHVIPVSGQTYTRKIDFFVLSILSGIAQSSYKMAGDIRLLANMKEIEEP FEKNQIGSSAMAYKRNPMRSERVCSLARYVMSLTDNGGHTHASQWFERTLDDSANRRI VLPEAFLATDVILNLIANVSDGLQVWPNVIAAHIRAELPFMATENVLMACVKAGGDRQ DLHEAIREHSMAAGRRVKEEGAANDLLERIAADDRFAAVHATMDQLLDPKLFVGRSPQ QVDEFVAECVDPLLEKYQTLLLVDSVDAINV H257_03104 MASLKKVSTLGKRKKSIDAEDVKKIEDKDLFDPKSVYVSLTSHA ETFGVEPVRVKWGRAIPDIRGPIIGTNRHDKYRNAIGAHGGSYCVYRGLAVASGALDK TSNPDLSKTTPAAKIGPFPAWGDPEKIVTIDPFGHCVLEAFPEHFEKGYDIRPTIAVT KAHMDMQEIHEAVRVGRLKPDGKILKDTNQLVITKAAIDPVWYLPGIAKRFHTTESNL RQQIFQETNGMYPELVTRNDLKIFLPPIGGLTIYIFGDLAAITDPTKQLAVRVHDECN GSDVFGSDICTCRPYLTHAIEVCVETAQQGGAGVIVYFRKEGRALGEVTKYLVYNLRK RQEGGDSAAEYFNCTQAVAGIQDVRFQALMPDALHWLGITRIDKFVSMSDMKYDAIVN SGIEIVDRVPIPEDLVPQDAQVEITAKVFAGYNGGSVFKKLDKDALKQVKGRGNDEYE DDDDNGAAQ H257_03105 MGIPTALDDIHGIAANAWDELAIPSGSSVDRIVSVYREICLKRA LGMELDKEFFKKAVAYRFLNSIPLARKEYRADDILPLLHSLDATGDMTDPSRSVRACA MLDVSIGCMERAQSPWQLPYVNYVINVHYCMRKHVVRRRYSEFLALHDSLMQKLPVIP HLPAKSWRYKLVMPSDRARDLVLYLSRIIQLLTYRKLFSTDIMAFLEIDYCKLRSEEE ALSADALNRIAPVLDGSIVFLVDSSWMTQWRNFVLDKDGMSPPGPISNADLLDDHGRP KKHMVVPRHYRFLSAAAWKFFRLIYRGGPEITRNTKSIYAPRVFSPEMACLKVQTFVR GFLARSHAHRRRHAMGFRRPIMERSFEAMETLQLTERKMQTIRSLVELKLAQNRHLAA VTIQQAYKRYMHRVLYADDVPVKVESNVLPDVAADYFTLQEIGLIEDDAARLVQFIHT MQKGVAIMKLSSRYKPTPKLKFFKLDRIGSQLMWTCKAKPSPKSIVLAKCKRITMESP VLLKSVLGIRSKQTAAHDHGVVLTLVDKEVVLLADSACAGNALFFGLQVLIKEGKESM KAPNLYDQDGVMRRQLVHAKDVVRQALAVHDDLDM H257_03105 MPSDRARDLVLYLSRIIQLLTYRKLFSTDIMAFLEIDYCKLRSE EEALSADALNRIAPVLDGSIVFLVDSSWMTQWRNFVLDKDGMSPPGPISNADLLDDHG RPKKHMVVPRHYRFLSAAAWKFFRLIYRGGPEITRNTKSIYAPRVFSPEMACLKVQTF VRGFLARSHAHRRRHAMGFRRPIMERSFEAMETLQLTERKMQTIRSLVELKLAQNRHL AAVTIQQAYKRYMHRVLYADDVPVKVESNVLPDVAADYFTLQEIGLIEDDAARLVQFI HTMQKGVAIMKLSSRYKPTPKLKFFKLDRIGSQLMWTCKAKPSPKSIVLAKCKRITME SPVLLKSVLGIRSKQTAAHDHGVVLTLVDKEVVLLADSACAGNALFFGLQVLIKEGKE SMKAPNLYDQDGVMRRQLVHAKDVVRQALAVHDDLDM H257_03106 MGSQDSSSRWHWQSRQEARRDDVARTDLAKRDVAPLHQLLCKCT YEAWKRSKRLSSTLQSEVKDACEHEGNNLECEERFKSWLKAKQAMSSRQNKGTTTKPN VQRPELPPFKPRLPRHYSSTAPPKNAKAPHCTEPQPKDSTKANAAYGKWLRKKAAEHI VARRQAKAQADARESARYACRRQVHANTWVKQAVVVLAYSCPVQPRRR H257_03106 MGSQDSSSRWHWQSRQEARRDDVARTDLAKRDVAPLHQLLCKCT YEAWKRSKRLSSTLQSEVKDACEHEGNNLECEERFKSWLKAKQAMSSRQNKGTTTKPN VQRPELPPFKPRLPRHYSSTAPPKNAKAPHCTEPQPKDSTKANAAYGKWLRKKAAEHI VARRQAKAQADARESARRQVHANTWVKQAVVVLAYSCPVQPRRR H257_03107 MAYKLFVPQGGARAFKIFIAAEYSGVNLEIPETFVMGVDNKSAE FLKLNPLGKVPVLQTPEGPIFESNAIARYIARIRADNGLLGKTFYESGQVDQWVDFVS NELELPLNALLYPIFGYRKWEAALEAKAIEDTKKVLQILENHLLLRTYFVGEQITLAD IAVFGALIYAFKFALDKDFRKPYSNLLRWFTTVAAQPEFAAIVGEVYLADSATLADGA PAKQAPKKAAAAPKAKKQEKPKEVDDDDADFDEAPKEKKAEHPLAVLNRTSPSKLKFD DWKVTYSNTKPLSKAMEWLWEHFDAEGYSFWFNKYNYNEENKKMFMTCNAVSGFIQRS EAMRKFSFGVQSVVGVEGGLIEIVGCWLFRGQEIEHMLEANPDAEYYTWTKVDVLDDA AKARIEEYFCSEDTIDGKPIADGKVFK H257_03108 MGNFLSTPQTDKVTHVGEGNGISFGVSCMQGWRTTMEDAHIAQA NVPAFAGASIFAVFDGHGGNLVADESAVRFVDTLVKEHQHTNDPQAIGAGLRRAFLAL DTELRAMQKVESGEDQSGCTALAAFLTDTHIIVANSGDSRGVLATCGDAVEPMSYDHK PNNAREKLRIEKAGGMVRNNRVNGDLAVSRALGDFYFKERPDLAPEAQQVSPEPDIKI EARSPSNEFLLLACDGVWDVLTNEEACNYVRRVMLLGETNMGLICEELLDYCLSLDSR DNMSAVLVVLEGARFGTGDGVLGIRKEREAAEEAKKRQQELVGQQEGQDPPQNKFTIV GSQ H257_03108 MGNFLSTPQTDKVTHVGEGNGISFGVSCMQGWRTTMEDAHIAQA NVPAFAGASIFAVFDGHGGNLVADESAVRFVDTLVKEHQHTNDPQAIGAGLRRAFLAL DTELRAMQKVESGEDQSGCTALAAFLTDTHIIVANSGDSRGVLATCGDAVEPMSYDHK PNNAREKLRIEKAGGMVRNNRVNGDLAVSRALGDFYFKERPDLAPEAQQVSPEPDIKI EARSPSNEFLLLACDGVWDVLTNEEACNYVRRVMLLGETNMGLICEELLDYCLSLDRY CTPPKTKKVGLFGVELYLVGTT H257_03109 MGNFLSSPLTEKDTHVGSGNGLSFGVSCMQGWRATMEDAHIART SIPSFDGCSIFAVFDGHGGKLVADESALNLIETLEKQDVNVKDKDDIGGALTRAFLAL DIEHRQLKQVVSGDDHSGCTAIAAFINDTHIVVANSGDSRSVLATDGGVVPMSYDHKP NNPGERQRIENAGGSVRNNRVNGDLAVSRALGDFVYKQRPDLRAEDQQVSAEPDVKAV ERTKDFEFLLLACDGVWDVMSNEDACAFVRSLLAHGESNMGLICEEVLDHCLSLGSRD NMSIVVVKFPGARIGTGDGVAGLRKLREAEAAKAVELAAQ H257_03109 MGNFLSSPLTEKDTHVGSGNGLSFGVSCMQGWRATMEDAHIART SIPSFDGCSIFAVFDGHGGKLVADESALNLIETLEKQDVNVKDKDDIGGALTRAFLAL DIEHRQLKQVVSGDDHSGCTAIAAFINDTHIVVANSGDSRSVLATDGGVVPMSYDHKP NNPGERQRIENAGGSVRNNRVNGDLAVSRALGDFVYKQRPDLRAEDQQVHAVNRSVLS HVQG H257_03110 MSHHNLDESSFHGLSDDGSVGRKRGAHWDHYADDFSDPKRKRCK CNYCGHVFYADAQRMRNHTDTCRDAPAGVKSPSSSNGSANVVTSFTSSDRIIPVQRLM APTLTDATPVHAKPQRRPDATPGTQLKRILVTGGAGFLGLHLCKRLLDMGHDVICLDN LFTSQRISLRDLQRYENFEFVRHDVTEPYYCEVDEIFNLACPASPVHYQYNPIKTTKV SFLGALHMLGLAKRLNAKVLQASTSEVYGDPEVSPQHEEYFGHVNCRGVRSCYDEGKR VAETLFFDYERMHNTQIRVARIFNTYGPGMHPHDGRVVSNFILQALANEPITIYGDGS QTRSFCFVDDLVDGLIKFMDNPSGHSGPMNLGNPFEITILALATTIIRMTKSASTLVF LDLPGDDPKLRRPDITLAKSVLNWTPVVQLEAGLQRTIDYFKHLDLSRYVKPTTHTAH RSTDAMKAKKNCHVEV H257_03111 MSTAPIREAPPLLRKDKRVAGGKSPVKTAWAKEGSSKSLIPAVG GKYSVSATPPPTSTTTATASPSPTKPAPSKPLLPAETASPAQMSPPKHQIMSPAKPKK DLVDPPPQTPSPSAPTPPVATPAAADPSKAIPEAVPSSPSLAPDGRRAVNDTPDFETP LGIFGPQLQQRETEDLCFAMGMTTLEVQKLVAIFNDIDLNCSGLINLRELYFLLDTPQ NKYTSSILRFSTHQSDPVKLDIDDFVRIVCTFALMSQTDIYRFCFDTFDEDDSGSLSK DEFVVMCDSIQIKGDGFFQGNFKKAMEAFDANHDGLLDFAEFIEMNRKFPLVFWPLFH FQETMQEKTLGKRMWTKIRARQLQIDAWRHYMKRFLGRAPPPTWKERWCGCISTDQRL RLIAAQLYDQDQLHLKMQTKSHPGGKRTEPDRKLLQRGTSKGEASSRNTKHSALPTTT SSSTSTTTTGSRTSHVGNPTHK H257_03112 MAPKNTDEAKTSTAGRQPRVAVVYNANSSVTKRHVNLAQYLAAC ISQGGWCEKVHLVAIPTPPRKSTSVKSAPFKARRRDGAVLTSSNVVHTTDVSVLVSSD VIFLCVDILQLNHCAGVVAKAIELPKGTKPQQHVIVHLETSLKREEGFDKTHFPDKIV LHGGACFDVVRDLNGILTPLTNGSIFIERLSKDKEQALFCLDILHSCALQIISRRNLR AIHWSNAMLTSLYTVCALTNLSVSEALRDRKCRLLYADMLHEILGVLDRVAKDKHWSL DQSAHCFLPIPSILALLPLPNVLFSGLVQLFDFGVTSGTLDDVPLLTADLADHLSTEI TYEFDDVLELASRYNVQLTTLPQLQKLVVQAAKAKKGTPALSAAVLYATIQPSAASRQ HSTWFVLKLLITIVLTAGLMYLLR H257_03113 MGYELLRQHPALGGVAACGSLMLLTAILHPSWVAVVRASDDASP WQVTMGPVGLYIHESSLMQSLFPAFNRTTMTSETWGGFCAQGNHAADVRFAGICGTTL TAVQCLLVVAALLCFAAQVALWQSRVKCRVLVGASALFSALAVTTVGVLRLWLNVLGD FALALSSMAEAGVSDDPLGNEKNAVESVTAMCISHGARCLDMTLSLYCLVGSSVAFIT VAFAFVLVAIRSLQRRKSCKQYLYEEIT H257_03113 MGYELLRQHPALGGVAACGSLMLLTAILHPSWVAVVRASDDASP WQVTMGPVGLYIHESSLMQSLFPAFNRTTMTSETWGGFCAQGNHAADVRFAGICGTTL TAVQCLLVVAALLCFAAQVALWQSRVKCRVLVGASALFSALAVTTVGVLRLWLNVLGD FALALSSMAEAGVSDDPLGNEKNAVESVTAMCISHGARCLDMTLSLYCLVGSSVAFIT VAFAFVLVAIRSLQRRKSCKQYLYEEIT H257_03113 MGYELLRQHPALGGVAACGSLMLLTAILHPSWVAVVRASDDASP WQVTMGPVGLYIHESSLMQSLFPAFNRTTMTSETWGGFCAQGNHAADVRFAGICGTTL TAVQCLLVVAALLCFAAQVALWQSRVKCRVLVGASALFSALAVTTVGVLRLWLNVLGD FALALSSMAEAGVSDDPCTTDGIRFHVVTDRTD H257_03113 MGYELLRQHPALGGVAACGSLMLLTAILHPSWVAVVRASDDASP WQVTMGPVGLYIHESSLMQSLFPAFNRTTMTSETWGGFCAQGNHAADVRFAGICGTTL TAVQCLLVVAALLCFAAQVALWQSRVKCRVLVGASALFSALAVTTVGVLRLWLNVLGD FALALSSMAEAGVSDDPCTTDGIRFHVVTDRTD H257_03113 MGYELLRQHPALGGVAACGSLMLLTAILHPSWVAVVRASDDASP WQVTMGPVGLYIHESSLMQSLFPAFNRTTMTSETWGGFCAQGNHAADVRFAGICGTTL TAVQCLLVVAALLCFAAQVALWQSRVKCRVLVGASALFSALAVTTVGVLRLWLNVLGD FALALSSMAEAGVSDDPCTTDGIRFHVVTDRTD H257_03114 MSVQVSACSACGGRNMPGHLSYKGSGLCFADSCPRRQVRGHHCV RHILSLDSAANKVLPVRKCVLCGRDATLLLEAHIDLHTYMTTSTSTLQDLLASTPTLQ DDSPQAPLPIVLAKKVPGSRKRPARPRGFHRVKRPALNHPFGPNPRRSLQQTDNVLYL GGDSDLFEHGTASRTALLQQHVDSQVAPATTTPKPVATCGASAFCLNPRGSNGFCHWH KAPPSVPVVEPPPPARSHVAVLLPKPTRPTPPIAQCTYIGCLQPPVAVHGLCLQHAPG SVHQCCNVFCTQPATTVNGYCLAHASLSTDLHQQSAECSLPSCTAKAVQGGEAAPQRL CALHCRDATSRPSSVKVALPSNAAAGLLPVCQHPLCKNVASAANNSEYCPLHVSFNAA SSSRVDGCTEVADHAPHRPPQPPQVPTIKCKIRHCDIQAKSQGLCQQHYRMSAIPNAE PVEDNSVPLPPIVADSGAHQPVVAPVIPNSTSGAKHTVTVCKVPSCGRMALIFGHCRS HYNSLRCTYPRCKELQSGDTYCAAHERATAQRDQVRVKLESPLSGGAPHASDGATMHG YCSRQDNLHQDVPTEPTGALATPAPTSAGYGEAVDNFPSVSRAPETSTPSTVAPSAVA QIAAAIDTILEPVSPQENTVADETPPTSSETATTCGATASGYCGDHMPILTKVVPSLC KTPNCLFRPKTNGFCQKHFIESRTTDIAPVVTSNQASSQAASSPDAGSSQGGNRTEGT TNASSVSVPRTSCKHLDCLQHAATDAEYCPVHKQLPNLFSSSTTPSTSQDSQGQSTPH SSTKLDTDPHTRNDNVKVCKVRGCVVSAKTQGFCRGHALTNQASVCDSAVPHIDNMPA TCKAAGCSVVAKSKGYCRRHGNQIEQQAPQPPATVDLTSDDSPTTSVTANRTSYERCS VTGCRLKVKLNGMCRVHYYTPTMCQVSGCLFRSKMAGFCTIHAPASSK H257_03115 MHAHDEQFMRLAIAQAHLSPPTESAYCVGCVIVKDGEVISTGYS RELPGNTHAEQCALVKLDMQASGTELYTTMEPCSVRLSGNKPCVESCIAANVSRIVIG VMEPTKFVQCNGVRLLREKNIQVDLLVGLEAECLAPNKHLNL H257_03116 MNDDGLNQEVEGGVVHSHVQFAEAAANGSKTMVEFLLDHGADID APGKDGTTPLCAAALWGNEVMVKFLLARGARVSANNDGTGWTALHAAAFQEHGKVVRM LLEADADPFVRDTDGRTACDYASISEAIWPFFAARGCEKSLKPDLIDKGIIRKVENQA EESGYEPAVAEYSRPGSSYQRVQMNPLGGFNKRADNTKSRDGSPRVFVDPLGAGSKQV PTATPRRPSFNGLDL H257_03117 MDSLDSEDTIHRPLLFGSAGYSSSQMLLDDLAFQQNREQHNLGE AEQPPPNPLLQGPLAPRLRLETLSSTAASAVLGLTYVAFCLAIVLPYLHTSGYLSKAE RLSGELCSPHLHQTACTYIDVHPGGSGNTAWWLANVSNVSWLAGSMSLDMELRGVNVS TGSSFVLEYDVYVYGGGHAASAQHPSSSDYIFARRNQSVWLHCPDGQCGRTSLFDVSQ DNEGLGGTGYGSYLLVIVYHGYYPHLFAQSVQYLFSYTAPVMHASELALRTMLVVATL VWLPYWTYHTFERSSSSSVRPIQTRVLWLGLVLLVYQNPIFMFAQWFQSVSDATRFAS DMCEAVATASWRVTWLFLMDHPLNASTSWCVSKSLAFGLVQVATAASMCILRFPQLFA IGQHETLFILLGVMGIGLTWTWLLWLRSICRRTMATLNDKLLYMTSRDHQLSYRFLFL ELVLVMLYVGGTSVGHVAMLLREWVVYGTAPFLQAAVSSFSTRVVPLEHELFVSMVVY MAMVAHLPPAASASSTARFYIEEAHAEASRQQQYPLASSHHHNHHFHTRQNDHEDISN VFCLETAEWLVQLAWQAYMDPLGNPSASGNGVQALETFGFDLIVHLRHELLDTQAIVC MHRTKKRLVVAFRGSVSKAHWKTNLRFHQVPLWIHSLQGFNRHSRRSTCNDRALRWAS RVPVLNLALPRVHSGFWKAYAAVRSDLKETLRLLLDDHPDLTLYITGHSMGGALAVLA AYDCARQFNIAVCMYNFGGPRVGNPAFVRQYNRAVPNSHRVVLDGDLVAGIPRFWGLY QHVGTEIAVDEGGNLIVDPSFIERRLHQRSKTRVAVHGMLVYRSVLRKCFDNLQL H257_03117 MSLDMELRGVNVSTGSSFVLEYDVYVYGGGHAASAQHPSSSDYI FARRNQSVWLHCPDGQCGRTSLFDVSQDNEGLGGTGYGSYLLVIVYHGYYPHLFAQSV QYLFSYTAPVMHASELALRTMLVVATLVWLPYWTYHTFERSSSSSVRPIQTRVLWLGL VLLVYQNPIFMFAQWFQSVSDATRFASDMCEAVATASWRVTWLFLMDHPLNASTSWCV SKSLAFGLVQVATAASMCILRFPQLFAIGQHETLFILLGVMGIGLTWTWLLWLRSICR RTMATLNDKLLYMTSRDHQLSYRFLFLELVLVMLYVGGTSVGHVAMLLREWVVYGTAP FLQAAVSSFSTRVVPLEHELFVSMVVYMAMVAHLPPAASASSTARFYIEEAHAEASRQ QQYPLASSHHHNHHFHTRQNDHEDISNVFCLETAEWLVQLAWQAYMDPLGNPSASGNG VQALETFGFDLIVHLRHELLDTQAIVCMHRTKKRLVVAFRGSVSKAHWKTNLRFHQVP LWIHSLQGFNRHSRRSTCNDRALRWASRVPVLNLALPRVHSGFWKAYAAVRSDLKETL RLLLDDHPDLTLYITGHSMGGALAVLAAYDCARQFNIAVCMYNFGGPRVGNPAFVRQY NRAVPNSHRVVLDGDLVAGIPRFWGLYQHVGTEIAVDEGGNLIVDPSFIERRLHQRSK TRVAVHGMLVYRSVLRKCFDNLQL H257_03118 MDKLLEPLGHQASLGLTLAYFHHFIDIHGGRDAFESLATIDVCA QFVVPCTSVSRLSLVDQVERDGDSDYVKPAEWLISHAWSYKFLDVVDAMDNFCDEQGL SHDTSFWFCMFANNQHTIGSPSEMVERWLAAFRTALTDTGKIVMVLVPWRDPEALKRT WCVYEVYLSVVLNARFEVAMTKAQKRVFLGEVQHRSSEVLQMMSQVSSKHSITTIASD RDHIFGLIEAQVGFDELDRMVFNTLHTWVFHAFDSQRSIAMQPRERFDWLRSKASALI TLGSLTQAEVLLDKAVDIFRRDLPPATPEGWEAMVDLGQMRALKRFPSDTWVPLFVEG LPKLQQLLGPHHPTTLDSTKNIGRWYCRNHMYDRGMPLLRTCLSIEQQKMGDDAEAMV LETKYCLGEALYVQDQVVEAQALFAQVYEGMCRLFGPAHPLSKGAQSNFATCATAQGQ YAAAEATYLDCFYEMTRMHGVAHRQTVSTQLNLGRTQRLRGNFDLAKANLSLCIDNYR DADVPEINYLCQYELGLVAYCTAKYDDAMSILQDSYATFALHFGPTAPKCCGVLLVWF LVQSEQPGDRAFSTVDSVDEFLSKFQAANAMATNLWSNWKCLACFGVIRGNMAMCMDC PRLSCRLCQSCATQAKPSFEQCAHSDSSWKTFNPPVQYLLEQKLALLAQADDWDEYAI AANAYRAYCRECQIDTPYLLVEVKPKLRGNDTAGSSAVGGAVAVLVAVLVAGLTKYRF RTFVA H257_03119 MSSSGRYRLPLGTTPIHGGSCFTGATYLHISHAASSSRANCLAG PRDSTRAVTLEHKSMNRPEDFGNIVTAADTVALTPDHVALDPDELTSQSTLGMDGIKN VCTAYLSSTGSVISIE H257_03120 MGLWFYAIVVSVVLVYLTCHDYAIRSRQLGKLMLELLAYIQRTL DRMKVTTVESARLSNTAAMPSAAALPSVEMETHVARTDAVMTGNSSACDASPSLSPLL FDVETHPTDAALANPSNFCFLNATLHCLFRSPHFLTSLHHLVNQARRNTPKTTCCASL LDAGIAMKDETRGECVDVVEMGLTAAMRACSSALIAPSPSRQVQQDAQECLSFLLDTL NEASPPAAAATSPMTSHLLQAIHLASSTDPATYAHLVTHFADVTWAAYSAQHTSFVAR QFAAQVVRGSSCQVCHRLSVHMQETSLLSLGLHSSTLRMSLESCLDQFRAMEVMDGLN QVWCAPCHAKTDQATQTLLMRTPPCLVLHLKRFMCDRGSVTKNTAAVSFPVRRLNLSP ACFTRGVPDNNYRLYAVCAHVGTSLDRGHYVAYCRHTLTLHWLKYDDDCVSIVHEHTM LQQTLRSAYLLFYERFDVA H257_03121 MTSVHAPQLPGDVHPLLNKYHAWVLENESFARRLENVLYVVPML VPATLGNPDVVSEVGYSMGGLLKLYHDWVLYQVTPPSDEKTLTADNTSFSIVQAARVP LSIISQVQVAAEMISLKVGGKQSRWNVIVLLELCKSICKLVLLAHSKGQVLYKCGPYI SCEVRPQDAVAAADEYTGQRSGRVYRSTSSRPRETNLQPLTLSFLDATYASGDEGPVQ TVAEVLHIVRPVVYAALCQRQTDRSWVPFLASFSTEVASILLSTSVDAKRKRLQNDKV DEEYHRRKMCLFLYLLRNPVFSSVTEPVVEGLCNSTQPMPLLGRLMRFAVDNGLHYYQ RRHFYTSAS H257_03121 MTSVHAPQLPGDVHPLLNKYHAWVLENESFARRLENVLYVVPML VPATLGNPDVVSEVGYSMGGLLKLYHDWVLYQVTPPSDEKTLTADNTSFSIVQAARVP LSIISQVQVAAEMISLKVGGKQSRWNVIVLLELCKSICKLVLLAHSKGQVLYKCGPYI SCEVRPQDAVAAADEYTGQRSGRVYRSTSSRPRETNLQPLTLSFLDATYASGDEGPVQ TVAEVLHIVRPVVYAALCQRQTDRSWVPFLASFSTEVASILLSTSVDAKRKRLQNDKV DEEYHRRK H257_03122 MATSLRDLVTVIVTTSAIPSNPSTSVLEDVLTSFSFVPGLNSCD TILTCDGYVLKSTEGESKFKSMRINEDELANYLEYQERARIVFRRHLGYEDAEAGSLH SSTSSTSTIRIGARLRAETTVVSNVLDGKPSFHTITCTKRLGFALAVREALKLVTTPY ILIHQHDWTFLHPIDLASLCHTMNAHRNILHYIGFSSRKSLRRTQRPHPCLPPAPAQT FGPHVLSPLFFWYDKPHVGRTSQYRSFVYGHGRFQTGDFIEDTMGHAMLADLKHRGMS GHADYGTWTYVNQHMTHEPTLRHRSGRHFRETVFLRSSKKKGKQDVPRGMATGDSGHD GARCPLESGSSDDSADDE H257_03123 MIQVCRDGKVWSAADAKILREEFRIVCGSGCICRESSNKAPLCR IPLQVTSEQLHVGRLHGFLAPPPATATAEATPTPVLRDWWHNMWNAVTSWFQSPPSER ISDSNRPPKRPKTDNGKSSSSAMDAVNTTDVPLRHRVFQDLWEKGLYITSGSKFGGDF LIYDADPLTTHAKAIVLVAESPIVCASALAGFCRLARAVKKSWVVAFADGSHGISYTT LVHVTTTTRGGTDAR H257_03124 MNDLINSLLPADAGVQCMLHPVFGASLYTTRAFDIGEIVWQEDA ALLSSGSSVLAYAKVFKNHSAADLSRILSGFMTLDESTINADPTFSVIARLCSAFRKS HAAHLPLVTQLVSAFEINGHGLLNDTAGLFTVASKAAHSCSPNVIYKPRGDAGMAYVA ITPLAPDSLVYYSYIAREKLGYSSHFRQVMLRQLYYFTCGCARCAGVDHVRPLKCPTC AKDILRSAATASWSCASCATIVTDAQAPLVLPLTDEDALENTVMGFDILVDSATVTKV RAAFRLASKTLSMNHWTVIYLSRILVEMSIPPSTNHMAPALTSPQLKAMSKRIAMWCA DVLAPHNGVSAAGLVFMYQGILFAQSRTDPEVRAALTSLYPYFRLNFDPNDDDVVEWR GLVWSADLQEVETRYCAHCTKPATLRCARCTSVAYCSKECQVLNWKGHKRVCPKLKSH HAIS H257_03128 MGGQGRKSMIPFKCDIIAYMSERRDNNKFVRVFHLMQWIRRNQK PWLVSYIEAKKSPEVGYESLRCLLLRFCTRNRFRHRKPCVSKLSQEVLESVRLGYAAH FHTKYAAYPKHTILSAKETGLYYDMPPGSTLAEIGKSSKVVKSEKHSERLTALLTIRA DAGAPTYPVGHWYAVQANAWMDERVWMMYLDNLADLLLDASVLLVDNLECHVSEKAHA ESLFSVIEPLPKNRVLAA H257_03129 MAAAVEPSSSLEWKRIIHAMRDPGRSEYSFVPHKYIHSTPILSP TPGGMDFEIGAADETTPINARQHAVEHARPSSVHYSAFMYWLILIVSLSFVCVEWVLL YPLSPSRLYNIQVDMFDGRVNHAEFFFQQILPLGMLLGSLITFKLADRLGRATMLELA AIPYVLGWLFVGVAFGQVTLLVGRYCLGVAISVFSIVVPILLAEVSEDDSRGRVLTAS QLVRMLGGRMVYIGVGQFFVYLSTCYVSFNLSEWKIIATIGVAPGLLLLVCMQFVPDT PSWLLLRHNDRVTSFSVCLKIQSPVSVNRSTRAEVRVNSILHADFLSTQAAQDDLGRA HQNLGFSRPLRLTCGLYALQAIAATILEPSLYPTTANTYAFGLFGIGLNLNEWNQLVV SYFLAGAAALGLVAASFVIDSQGRVQCLKLGTGVVAASALLLLLLKTTTSLDAINDTV LDLNEAGSALVLLMTAGHYIGLGLCPLVIASEIFPARRRVAGVSVVVLAGSATSLAVG YLINCLRSSSAVTSQVLFQGAVGAVGVVNVVALVVAVFFVPETKSRSLQEIEAILSGY APITPPNIRSKSVIRVMNQPPDYGTA H257_03130 MGNHSRDAAISSISIKVAHFKMDKLAPSSLTKAVLRQGDLLAIS SSLEWKRIVHAMRYPGLSEYVFVPHKYIHSTPILSPTPGGMDFEKSETLTKPPHLNKL KTQQVSTSSND H257_03131 MFDGRVNHAEFFQLILPLGMLIGSLVTFNLADRLGRAAMLDLSA IPYVLEINAPHHGQPQTLLSHPQA H257_03132 MSVAYGVEVEATEIPAPVRRINNALRSMNLTHVQTALFTTHLDA GVKVCNATKSDWNRFVNSEYQELMSRSMMWRDGAIYIVELPGGTHEHMNRNVVVAIMA ASGTSNVHLKPYGATFVDALEHIEPDESFGPAPNIGAVCPANLAWNEFHTLKIEVGVS RGWALLDPKAIHWATFPGVAYILCIRISPHFRVRAEAVPSLGVVGG H257_03133 MACPHVLKYTKNWPAPMYTMRPPIDMFDGRVNHAEFFQLILPLG MLIGSLVTFNLADRLGRAAMLDLSAIPYVLVALCTLCVLIKHA H257_03134 MSVAYGGEVEATQISAPTNPVRRINNALRSMDMTHVQTALFTTH LDAGVKVCDATKSDWNRFVNSEYQELMSRSMMWRDGAIYIVELPGRIHEHMNRSIAFA VGAATGTFNVHLKPHGATFVDALEHIEPDESFGPAPNIGAVGPANLDWGEFHTLKIEV GVSRGWALLDPKAILWATFPGVAYILCIRISPHFRACQYKLHSVEPPGGIVGLAPQFV APIDINDATVVTMDSRRLLALPPHVPLPLGFANPNVQFQLQPLVLDTIACAQRIR H257_03135 MACPNWPAPMYTMRPPSIRTTLSAVAFATVQHPSRHVRRPREPR RVLPADPSPWHAHRFVGYVQPCRSLGTGRDARPQRHSVRPGLVVCGRGVCKSRSDISN DSFCVFGYQSDIYIVT H257_03135 MYTMRPPSIRTTLSAVAFATVQHPSRHVRRPREPRRVLPADPSP WHAHRFVGYVQPCRSLGTGRDARPQRHSVRPGLVVCGRGVCKSRSDISNDSFCVFGYQ SDIYIVT H257_03136 MNLEPRGATFVDALEHIEPDESFGPARNIGAVRPANLTWGEFHT LKIDVGVSRGWAPILWATFPGVAYILCIYISPHFEMCQYKLHSVEPPGGIVGVEPEDV APIEINDAMVVTMDSRRLLALPSHVPLPLGFANPNVQFQLQPLVLDTIACAQRNG H257_03137 MSVAYGVEVEATEIPAPVRRINNALHCMNMTHVQTALFTTHLDV GVKVCDATKSDWNQFVNSEYQELVSRAMMWRDGAIYIVELPSGIHEVMNRRVCFAIAA ASGTFGMNLEPHGATFVDALEHIEPDESFGPAPNIGAVRPANLTWNEFHTLKIEVGVS RGWALLDPKAILWATFPGVAYILCIYISPHFETCQYKLHSVEPPGGIVGVAPQDVAPI DINDATVVTMDSRRLLALPPHVPLPLGFANPNVQFQLQPLVLDTIASAQRIG H257_03138 MRQAVFLVESGDDDDDDAADAVMPKLDFIKCTTSTKHQGECGDA SQPLTHPMDGTPLLVRPAIPRHRPQVACPRLPAAAATTTHEQEGDVEAATGPSQNATI TPPGPRLPRVNELEEQPIAHLEPNSVSMKLTVRGRLKSQRVSITDTPTAPLPSHQARV PHGRARVSGSVLRHLLVESNGRDTAMMSVKTTVALVKTCTKTALLKFKQGLGISVSRV SAGKSVFTRAFWGFYTCYEKWGNYECFLNNNFMEWGDLRV H257_03139 MSVAYGVEVEATEIPAPVRRINNALRSMNMTHVQTALFTTHLDV GVKVCDATKYDWNQFVTSEYQELISRAMMWRDGAIYIVELPGMIHEGMSCNLVVAIAV ATGTFSMNLEPRGATFVDALEHIEPDQSFGPAPNIGAVRPANFAWNEFHTLKIEVGVS RGWALLDPKAILWAAFPGVAYILCIYISPHFETCQYKLHSVEPPGGIVGVAPQDVAPI DINDATVVTMDSRRLLALPPHVPLPLGFANPNVQFQLQPLVLDTIASAQRIG H257_03140 MNLEPRGATFVDALEHIEPDQSFGPAPNIGAVRPANFAWNEFHT LKIEVGVSRGWALLDPKAILWAAFPGYKLHSVEPPGGIVGVAPQDVAPIDINDATVVT MDSRRLLALPPNLVLGLDGAGKTMLLRQLSHTCKDEFHNPKLGSVFEKVRIRLFADSA SAAEVDGIDVATLPTTGVRHQSFAHVCVNVLT H257_03141 MFDGRVNRAEFFFQQILPLDMLLGSMITFKFADRLGRATMLELA AIPYVLGWLFVGVAFGQVMLLVCRYCLGVAMSVFSIVVPILLAELSEDDSRGRVLTTS QLIHMLRGRMVYTPVLRLLLRHNDRIMSFSVCLKIQSPASVNRSTRAKIRVNSIFAL H257_03142 MLFFAFCVIARLNPRREATMDEELSRLLGLLSDKTALTGDYAPH EPLSKVAIPTKDGSGCGDTSGTSPDTITSQSILSPMDAPIRTSWYPNCPEVDEIPHFR RFISQVASEREWEHARRAQFVKSRAASPPEESSPTTSTTTPDTSTLHTRTSNTFLHLD DISPREWPHIPGKDVSSWDKVVSDVDIESVQLSCVSRLLQLTPGILRYEPIDVPRFLQ DITAFLRDRGIYEGSTTRTPWTLASFRAVMVMLTLGIQLQSACILQDVAFLLLDSRDQ VASVFPLPSQDQRLLQAAMTLLSHFPTADTCATRLALPVSRCLIGSWVLNAQALSHRD AIATDGLFLYIFNRAGLFKVGTGAGETVRNMVYLHNRSYCRGGDVERSWLCVAGQSLY CRTIAMPGHSVDRISLDLETVTPLFLAGGGARGSTSEGVSSTSIYAMISDGKSLYAVT CRGIPTSGDVKPPAPPTLHRSKTKRKERAAALAVVSALEANPIHVGDRVVRGPDWKWS NQDGEPPGSAGTVERISTWGGVQGSGITVRWDKNQRMNTYRWGAEGCFDIQIVHEDAS GHIVSHKPIKTDSSRASAALRSTATLHEFVVYRYDPSTLETHLDLAEECMREMLQIPS AKTDDVVVEPAVAHGLWTTSSSHPHAVAQSDSTTPWLCDGHQLGCMGPTGVRYRCLNG CDFDLCANCLETTAKDIMTPDDGNEEGGVLLDLLPFAQEDDAYFKTESKPTTATTDVD PQAALVELQRAWQGQFGAKECAVALMKHGHNVGLANEWLVTCGASVLKRQCVMPVQER IVLEQNNLTFLDPVLLLAASFYTTGTQLGVVIPAGICHVEPPANPRHGDVLNVFSMVS GSLLSDVVHPSVTVPGGSPMCYDHVRDRLIGYAVHAAVVLEFTNMEHASPITSTTKEP AANDPGAAVLYHLARIAGLRHRLPPTYFPRKVIQMHIQSLLGRGPFKKRQLKKLQARY DMMAPDPPQGYALPFCPDVTCLANLAKYIVQAVTLRWPPDVVNQWLYLLVGFLEEAMS ADIHWFFGSSFNVDPLEDVLVRVGRGDCGPEYVAVTSRLAQRALLWGLIHQVFFASSA KLQQLASMCASSDLSQDNAAFMLLMPLSHPSRTYPNVSAAKAGFTGSLLRTFSSSVIF VKRLVDAPQVWMDEVLALTKKEQEGLELDPHRLSPAKRLLFSTTAYLLQHPEHPQMSY VVEKCVTECKLQLRGDDRQFQTSMAGVILPLIVSGLANQPTTLAVSLLPVLTDLLLAM DALVSTLPTCQAAERAYTATELSAFLTPPEAVESPHPYGLGVPTFRKQVQVPNATALT FDFDRASCTINASDFLIVTSNLHTNLDRLSVERPFQGDAYLYGPSPWPSLSLNGDTAM MVLCATTHAQVDSSAHDKLRYGVKGQVRGYVGMTMPAILRVQHGLAYLCSTVAQSLLA TESTTHISPQHMDLLATWNSVPDDVAAMATNPDHPFVQRLEKQFGRLFLRQSDAWRQS TLACVAVCAAVARVDIHAVVLDVAAVRPIVQHVTDLQRFMLKHAQIEKEWEVFVTEGT PRDGVMERLAGNEELVQGLCSYLNITTDPPTPESICATLDQVRETAAASDGVNKTWPS TLQLVRDRARLLLNRRGRPSAEQAVTPQHLTAVVNFLQSSVVPATIDSAIAVHERNAS RRLSGLKVLHQMLGQVQSTSTRQFFIGALLHATTKQPTKTIADTCELCSPDLAVQLHH GLRQYFNLTSAMCAAPETLYSHKVILAMELCTLDASPPYLSFDRALLPHVVRLILQTP PTWTDSLSVFFPKQLAHDAFTRHMHQYADMLWMVFRRVLLKSAGIVPLTTRLESCHEL FEVATSAHDKARILHLVHDIIEPNSMVLPPNWAATVANAIDFSHAPPLVLRAARRLLH RLAPPQDPALVRRILHGIGMCLSVEPPPAHQPHSDDDDDDGLFGTVLYWGSNAPTDSL MKLVNVLDTQLEPEIPMWNVSIDSTSLAKQQLMLDTSIVHRYVQCDGCGINPLVGHRF KCQSCSNYDLCTACYVANVHEMDHMFLRCSDASGGGDPLPPRSSESLTGAQIWKSSLL QSELLAKGFVVLRLSSKAECIAMAETITSSSLGLTCSVVDVQHIRRLQALVPEKAAAS LRNTVAATVNASMEHCKLDTYAIKQELASEFVDLVRHWLLPASRAFDVVVTCLKLVPG FSKGSARPLYDGMGAVAVLGGLVEPLRVGGVVCWNHAKAQVRAIFPHVVHVQVASTGR VELNVKKADVVPVSATDVSADCAKQLVEVLYVFDDILQEIDVWLKCDEVSTFKADMCW RVLKAVQVLVPLWPSVSDNQVLAMYGCIPQTLMLLAQKGPVGMPSTSNELARALEMTW MYLRKLHHFVAVSPATTQVPIPNPLNDDASLEVLEADDKNSAPTYWYNMYAYATLADL PQHPGRNKLLDHWERHVIPAIQKYVRGSFKSYEMDYFFAQLREPLREGNNAAARRIAH TLCDGHVPPGCLFPENDTDWSALQWDDVAIGSTYFIELDVAAAVPAMAWCHGHMGTVA LVDPSSKLALMQVVHPDTATLQHWWLPVSQLQAGDAVVPSVSKFTDKVVGGMREAASR AVFKMARACVFAMVAKSPDFVKVDMVPRSSVGFALSDLLLVAGDDWSPLAKDKAHHPI QQAIHFHESATTTTSSSTPLTHAVPKLKRKKPKDSAAFADDVVAAAVPNHVKKRMAAH VSMALTKAMDHHRCFVLTSPSPPAPLVRLHVPDVSWLVLTFFVHPVLMDLPAGSSMEI FSDVECTHLIRGYYGGRKGLSKLPPVWVPANTCYIKMAAAEFARYKVRVDGVHGALGV ATWLNEWLNDPHQWCMFLELGRWPPLVQQIAFGALIPKMPRSFSSALATKLADQWKVV YAEERPVFSTYAQQLVEMMAVVLPPSDPHTATPADVVQSFRRMTKFADAFADEATSGK KDGGVVGRVPVDEIAAVFKRLHSEDVYTRRLLILQKLPRTMDIDGLVQAVSKWVVRLS LECCGEADDQSMFSATDVTRFGILARVLYCPTDATGYSRGYCVVDVGRDDMIDKLKSS ITHTPFYFEGDHTDDDPSLMAFINQTASQSSRDTPDLQPTPMWACASCTFENSADAAS CNLCGTPHPNTTQGGPPSGADAGWTCAACTFLNGWTTPTCSVCGTECDQEPPLPAPTT PPPPPPSSIILQDGKAAAAEPPPSDLNQHHLSVLTFNDAYAAGDCRDPRVNHILDALV PQAKAIELTGESDLPTCPRERYMALRSRGFDLQLTRSSFTSVQHAVAAMAKWTFAMDV HLIEAALGQCHRLGLPNLTQMSVSHVQSIVDSSQQELSALSLPEIRLRFSILQEWNVL LADTLSLVDFNHGGVGAKLMRLRKLIFPGVKIRFFNLVQDNTAAGDKKPTVTLDRLQW KRNPTVSLFALVQRQLMAANPLSLRAKRPLGASDPFIAFAVVFTGENVVGEGGPYRQL FSDMAHECLRLNVFQPTPNAILKLGECRDMVLPRPSATSRLELDQYEFVGLLMGCCLR TGVHLPLRVAPVIWKLLVHQQVGMADLKQIDQASHDSLVSIQTDPTMLLESLTFTTVT SDGTVVEVAPNGQSKAVTKENVTEYVRLVIQTRLNECKPQVDAMLRGVGKIVPVTLLP LCTWKELQQWICGSQVIDIDLLKRHTKYSAGMTAESHPHLEYFWQALHGFSESDKRRF INFAWGQESLPADDAEFDRTRTRLLIKPPPITATGQPQDALLPKADTCFFNIELPAYS SVQVMTEKLHLAINYCMSMDADDPAGRMDVYFEGE H257_03142 MLFFAFCVIARLNPRREATMDEELSRLLGLLSDKTALTGDYAPH EPLSKVAIPTKDGSGCGDTSGTSPDTITSQSILSPMDAPIRTSWYPNCPEVDEIPHFR RFISQVASEREWEHARRAQFVKSRAASPPEESSPTTSTTTPDTSTLHTRTSNTFLHLD DISPREWPHIPGKDVSSWDKVVSDVDIESVQLSCVSRLLQLTPGILRYEPIDVPRFLQ DITAFLRDRGIYEGSTTRTPWTLASFRAVMVMLTLGIQLQSACILQDVAFLLLDSRDQ VASVFPLPSQDQRLLQAAMTLLSHFPTADTCATRLALPVSRCLIGSWVLNAQALSHRD AIATDGLFLYIFNRAGLFKVGTGAGETVRNMVYLHNRSYCRGGDVERSWLCVAGQSLY CRTIAMPGHSVDRISLDLETVTPLFLAGGGARGSTSEGVSSTSIYAMISDGKSLYAVT CRGIPTSGDVKPPAPPTLHRSKTKRKERAAALAVVSALEANPIHVGDRVVRGPDWKWS NQDGEPPGSAGTVERISTWGGVQGSGITVRWDKNQRMNTYRWGAEGCFDIQIVHEDAS GHIVSHKPIKTDSSRASAALRSTATLHEFVVYRYDPSTLETHLDLAEECMREMLQIPS AKTDDVVVEPAVAHGLWTTSSSHPHAVAQSDSTTPWLCDGHQLGCMGPTGVRYRCLNG CDFDLCANCLETTAKDIMTPDDGNEEGGVLLDLLPFAQEDDAYFKTESKPTTATTDVD PQAALVELQRAWQGQFGAKECAVALMKHGHNVGLANEWLVTCGASVLKRQCVMPVQER IVLEQNNLTFLDPVLLLAASFYTTGTQLGVVIPAGICHVEPPANPRHGDVLNVFSMVS GSLLSDVVHPSVTVPGGSPMCYDHVRDRLIGYAVHAAVVLEFTNMEHASPITSTTKEP AANDPGAAVLYHLARIAGLRHRLPPTYFPRKVIQMHIQSLLGRGPFKKRQLKKLQARY DMMAPDPPQGYALPFCPDVTCLANLAKYIVQAVTLRWPPDVVNQWLYLLVGFLEEAMS ADIHWFFGSSFNVDPLEDVLVRVGRGDCGPEYVAVTSRLAQRALLWGLIHQVFFASSA KLQQLASMCASSDLSQDNAAFMLLMPLSHPSRTYPNVSAAKAGFTGSLLRTFSSSVIF VKRLVDAPQVWMDEVLALTKKEQEGLELDPHRLSPAKRLLFSTTAYLLQHPEHPQMSY VVEKCVTECKLQLRGDDRQFQTSMAGVILPLIVSGLANQPTTLAVSLLPVLTDLLLAM DALVSTLPTCQAAERAYTATELSAFLTPPEAVESPHPYGLGVPTFRKQVQVPNATALT FDFDRASCTINASDFLIVTSNLHTNLDRLSVERPFQGDAYLYGPSPWPSLSLNGDTAM MVLCATTHAQVDSSAHDKLRYGVKGQVRGYVGMTMPAILRVQHGLAYLCSTVAQSLLA TESTTHISPQHMDLLATWNSVPDDVAAMATNPDHPFVQRLEKQFGRLFLRQSDAWRQS TLACVAVCAAVARVDIHAVVLDVAAVRPIVQHVTDLQRFMLKHAQIEKEWEVFVTEGT PRDGVMERLAGNEELVQGLCSYLNITTDPPTPESICATLDQVRETAAASDGVNKTWPS TLQLVRDRARLLLNRRGRPSAEQAVTPQHLTAVVNFLQSSVVPATIDSAIAVHERNAS RRLSGLKVLHQMLGQVQSTSTRQFFIGALLHATTKQPTKTIADTCELCSPDLAVQLHH GLRQYFNLTSAMCAAPETLYSHKVILAMELCTLDASPPYLSFDRALLPHVVRLILQTP PTWTDSLSVFFPKQLAHDAFTRHMHQYADMLWMVFRRVLLKSAGIVPLTTRLESCHEL FEVATSAHDKARILHLVHDIIEPNSMVLPPNWAATVANAIDFSHAPPLVLRAARRLLH RLAPPQDPALVRRILHGIGMCLSVEPPPAHQPHSDDDDDDGLFGTVLYWGSNAPTDSL MKLVNVLDTQLEPEIPMWNVSIDSTSLAKQQLMLDTSIVHRYVQCDGCGINPLVGHRF KCQSCSNYDLCTACYVANVHEMDHMFLRCSDASGGGDPLPPRSSESLTGAQIWKSSLL QSELLAKGFVVLRLSSKAECIAMAETITSSSLGLTCSVVDVQHIRRLQALVPEKAAAS LRNTVAATVNASMEHCKLDTYAIKQELASEFVDLVRHWLLPASRAFDVVVTCLKLVPG FSKGSARPLYDGMGAVAVLGGLVEPLRVGGVVCWNHAKAQVRAIFPHVVHVQVASTGR VELNVKKADVVPVSATDVSADCAKQLVEVLYVFDDILQEIDVWLKCDEVSTFKADMCW RVLKAVQVLVPLWPSVSDNQVLAMYGCIPQTLMLLAQKGPVGMPSTSNELARALEMTW MYLRKLHHFVAVSPATTQVPIPNPLNDDASLEVLEADDKNSAPTYWYNMYAYATLADL PQHPGRNKLLDHWERHVIPAIQKYVRGSFKSYEMDYFFAQLREPLREGNNAAARRIAH TLCDGHVPPGCLFPENDTDWSALQWDDVAIGSTYFIELDVAAAVPAMAWCHGHMGTVA LVDPSSKLALMQVVHPDTATLQHWWLPVSQLQAGDAVVPSVSKFTDKVVGGMREAASR AVFKMARACVFAMVAKSPDFVKVDMVPRSSVGFALSDLLLVAGDDWSPLAKDKAHHPI QQAIHFHESATTTTSSSTPLTHAVPKLKRKKPKDSAAFADDVVAAAVPNHVKKRMAAH VSMALTKAMDHHRCFVLTSPSPPAPLVRLHVPDVSWLVLTFFVHPVLMDLPAGSSMEI FSDVECTHLIRGYYGGRKGLSKLPPVWVPANTCYIKMAAAEFARYKVRVDGVHGALGV ATWLNEWLNDPHQWCMFLELGRWPPLVQQIAFGALIPKMPRSFSSALATKLADQWKVV YAEERPVFSTYAQQLVEMMAVVLPPSDPHTATPADVVQSFRRMTKFADAFADEATSGK KDGGVVGRVPVDEIAAVFKRLHSEDVYTRRLLILQKLPRTMDIDGLVQAVSKWVVRLS LECCGEADDQSMFSATDVTRFGILARVLYCPTDATGYSRGYCVVDVGRDDMIDKLKSS ITHTPFYFEGDHTDDDPSLMAFINQTASQSSRDTPDLQPTPMWACASCTFENSADAAS CNLCGTPHPNTTQGGPPSGADAGWTCAACTFLNGWTTPTCSVCGTECDQEPPLPAPTT PPPPPPSSIILQDGKAAAAEPPPSDLNQHHLSVLTFNDAYAAGDCRDPRVNHILDALV PQAKAIELTGESDLPTCPRERYMALRSRGFDLQLTRSSFTSVQHAVAAMAKWTFAMDV HLIEAALGQCHRLGLPNLTQMSVSHVQSIVDSSQQELSALSLPEIRLRFSILQEWNVL LADTLSLVDFNHGGVGAKLMRLRKLIFPGVKIRFFNLVQDNTAAGDKKPTVTLDRLQW KRNPTVSLFALVQRQLMAANPLSLRAKRPLGASDPFIAFAVVFTGENVVGEGGPYRQL FSDMAHECLRLNVFQPTPNAILKLGECRDMVLPRPSATSRLELDQYEFVGLLMGCCLR TGVHLPLRVAPVIWKLLVHQQVGMADLKQIDQASHDSLVSIQTDPTMLLESLTFTTVT SDGTVVEVAPNGQSKAVTKENVTEYVRLVIQTRLNECKPQVDAMLRGVGKIVPVTLLP LCTWKELQQWICGSQVIDIDLLKRHTKYSAGMTAESHPHLEYFWQALHGFSESDKRRF INFAWGQESLPADDAEFDRTRTRLLIKPPPITATGQPQDALLPKADTCTLPPYIHTRV SIDSNGFICRFLQH H257_03142 MLFFAFCVIARLNPRREATMDEELSRLLGLLSDKTALTGDYAPH EPLSKVAIPTKDGSGCGDTSGTSPDTITSQSILSPMDAPIRTSWYPNCPEVDEIPHFR RFISQVASEREWEHARRAQFVKSRAASPPEESSPTTSTTTPDTSTLHTRTSNTFLHLD DISPREWPHIPGKDVSSWDKVVSDVDIESVQLSCVSRLLQLTPGILRYEPIDVPRFLQ DITAFLRDRGIYEGSTTRTPWTLASFRAVMVMLTLGIQLQSACILQDVAFLLLDSRDQ VASVFPLPSQDQRLLQAAMTLLSHFPTADTCATRLALPVSRCLIGSWVLNAQALSHRD AIATDGLFLYIFNRAGLFKVGTGAGETVRNMVYLHNRSYCRGGDVERSWLCVAGQSLY CRTIAMPGHSVDRISLDLETVTPLFLAGGGARGSTSEGVSSTSIYAMISDGKSLYAVT CRGIPTSGDVKPPAPPTLHRSKTKRKERAAALAVVSALEANPIHVGDRVVRGPDWKWS NQDGEPPGSAGTVERISTWGGVQGSGITVRWDKNQRMNTYRWGAEGCFDIQIVHEDAS GHIVSHKPIKTDSSRASAALRSTATLHEFVVYRYDPSTLETHLDLAEECMREMLQIPS AKTDDVVVEPAVAHGLWTTSSSHPHAVAQSDSTTPWLCDGHQLGCMGPTGVRYRCLNG CDFDLCANCLETTAKDIMTPDDGNEEGGVLLDLLPFAQEDDAYFKTESKPTTATTDVD PQAALVELQRAWQGQFGAKECAVALMKHGHNVGLANEWLVTCGASVLKRQCVMPVQER IVLEQNNLTFLDPVLLLAASFYTTGTQLGVVIPAGICHVEPPANPRHGDVLNVFSMVS GSLLSDVVHPSVTVPGGSPMCYDHVRDRLIGYAVHAAVVLEFTNMEHASPITSTTKEP AANDPGAAVLYHLARIAGLRHRLPPTYFPRKVIQMHIQSLLGRGPFKKRQLKKLQARY DMMAPDPPQGYALPFCPDVTCLANLAKYIVQAVTLRWPPDVVNQWLYLLVGFLEEAMS ADIHWFFGSSFNVDPLEDVLVRVGRGDCGPEYVAVTSRLAQRALLWGLIHQVFFASSA KLQQLASMCASSDLSQDNAAFMLLMPLSHPSRTYPNVSAAKAGFTGSLLRTFSSSVIF VKRLVDAPQVWMDEVLALTKKEQEGLELDPHRLSPAKRLLFSTTAYLLQHPEHPQMSY VVEKCVTECKLQLRGDDRQFQTSMAGVILPLIVSGLANQPTTLAVSLLPVLTDLLLAM DALVSTLPTCQAAERAYTATELSAFLTPPEAVESPHPYGLGVPTFRKQVQVPNATALT FDFDRASCTINASDFLIVTSNLHTNLDRLSVERPFQGDAYLYGPSPWPSLSLNGDTAM MVLCATTHAQVDSSAHDKLRYGVKGQVRGYVGMTMPAILRVQHGLAYLCSTVAQSLLA TESTTHISPQHMDLLATWNSVPDDVAAMATNPDHPFVQRLEKQFGRLFLRQSDAWRQS TLACVAVCAAVARVDIHAVVLDVAAVRPIVQHVTDLQRFMLKHAQIEKEWEVFVTEGT PRDGVMERLAGNEELVQGLCSYLNITTDPPTPESICATLDQVRETAAASDGVNKTWPS TLQLVRDRARLLLNRRGRPSAEQAVTPQHLTAVVNFLQSSVVPATIDSAIAVHERNAS RRLSGLKVLHQMLGQVQSTSTRQFFIGALLHATTKQPTKTIADTCELCSPDLAVQLHH GLRQYFNLTSAMCAAPETLYSHKVILAMELCTLDASPPYLSFDRALLPHVVRLILQTP PTWTDSLSVFFPKQLAHDAFTRHMHQYADMLWMVFRRVLLKSAGIVPLTTRLESCHEL FEVATSAHDKARILHLVHDIIEPNSMVLPPNWAATVANAIDFSHAPPLVLRAARRLLH RLAPPQDPALVRRILHGIGMCLSVEPPPAHQPHSDDDDDDGLFGTVLYWGSNAPTDSL MKLVNVLDTQLEPEIPMWNVSIDSTSLAKQQLMLDTSIVHRYVQCDGCGINPLVGHRF KCQSCSNYDLCTACYVANVHEMDHMFLRCSDASGGGDPLPPRSSESLTGAQIWKSSLL QSELLAKGFVVLRLSSKAECIAMAETITSSSLGLTCSVVDVQHIRRLQALVPEKAAAS LRNTVAATVNASMEHCKLDTYAIKQELASEFVDLVRHWLLPASRAFDVVVTCLKLVPG FSKGSARPLYDGMGAVAVLGGLVEPLRVGGVVCWNHAKAQVRAIFPHVVHVQVASTGR VELNVKKADVVPVSATDVSADCAKQLVEVLYVFDDILQEIDVWLKCDEVSTFKADMCW RVLKAVQVLVPLWPSVSDNQVLAMYGCIPQTLMLLAQKGPVGMPSTSNELARALEMTW MYLRKLHHFVAVSPATTQVPIPNPLNDDASLEVLEADDKNSAPTYWYNMYAYATLADL PQHPGRNKLLDHWERHVIPAIQKYVRGSFKSYEMDYFFAQLREPLREGNNAAARRIAH TLCDGHVPPGCLFPENDTDWSALQWDDVAIGSTYFIELDVAAAVPAMAWCHGHMGTVA LVDPSSKLALMQVVHPDTATLQHWWLPVSQLQAGDAVVPSVSKFTDKVVGGMREAASR AVFKMARACVFAMVAKSPDFVKVDMVPRSSVGFALSDLLLVAGDDWSPLAKDKAHHPI QQAIHFHESATTTTSSSTPLTHAVPKLKRKKPKDSAAFADDVVAAAVPNHVKKRMAAH VSMALTKAMDHHRCFVLTSPSPPAPLVRLHVPDVSWLVLTFFVHPVLMDLPAGSSMEI FSDVECTHLIRGYYGGRKGLSKLPPVWVPANTCYIKMAAAEFARYKVRVDGVHGALGV ATWLNEWLNDPHQWCMFLELGRWPPLVQQIAFGALIPKMPRSFSSALATKLADQWKVV YAEERPVFSTYAQQLVEMMAVVLPPSDPHTATPADVVQSFRRMTKFADAFADEATSGK KDGGVVGRVPVDEIAAVFKRLHSEDVYTRRLLILQKLPRTMDIDGLVQAVSKWVVRLS LECCGEADDQSMFSATDVTRFGILARVLYCPTDATGYSRGYCVVDVGRDDMIDKLKSS ITHTPFYFEGDHTDDDPSLMAFINQTASQSSRDTPDLQPTPMWACASCTFENSADAAS CNLCGTPHPNTTQGGPPSGADAGWTCAACTFLNGWTTPTCSVCGTECDQEPPLPAPTT PPPPPPSSIILQDGKAAAAEPPPSDLNQHHLSVLTFNDAYAAGDCRDPRVNHILDALV PQAKAIELTGESDLPTCPRERYMALRSRGFDLQLTRSSFTSVQHAVAAMAKWTFAMDV HLIEAALGQCHRLGLPNLTQMSVSHVQSIVDSSQQELSALSLPEIRLRFSILQEWNVL LADTLSLVDFNHGGVGAKLMRLRKLIFPGVKIRFFNLVQDNTAAGDKKPTVTLDRLQW KRNPTVSLFALVQRQLMAANPLSLRAKRPLGASDPFIAFAVVFTGENVVGEGGPYRQL FSDMAHECLRLNVFQPTPNAILKLGECRDMVLPRPSATSRLELDQYEFVGLLMGCCLR TGVHLPLRVAPVIWKLLVHQQVGMADLKQIDQASHDSLVSIQTDPTMLLESLTFTTVT SDGTVVEVAPNGQSKAVTKV H257_03143 MAFQRDVLPLQELILKFMAKRYSPDMLNEKSLARAHRINDPSRS KTHKVKQELIRAVLQTGRFSDDTLPTSFFHANLSKIEINGAKISTEFIKRMATVTVNL HHVNFSGCFRLTDDSILALLTHCPDIKELNLQNCRKLTDETLRILVAHSPKLNSIDVS GNTNMTIDGITTLIEKHPNHSKFLKVHISGHRVTDHTLKVIANKCRKLQSLAVGYCGL SDEAVIALLERRPSIGTLHLHWNYKITDRLLDHMARQCPNLHELNLCGVKTVSNDAIY SFLQLKMSGVDDDAMTSTERDAKRLKKVDVKYTNVSKEVLAHTADTYPDLVVIS H257_03143 MAFQRDVLPLQELILKFMAKRYSPDMLNEKSLARAHRINDPSRS KTHKVKQELIRAVLQTGRFSDDTLPTSFFHANLSKIEINGAKISTEFIKRMATVTVNL HHVNFSGCFRLTDDSILALLTHCPDIKELNLQNCRKLTDETLRILVAHSPKLNSIDVS GNTNMTIDGITTLIEKHPNHSKFLKVHISGHRVTDHTLKVIANKCRKLQSLAVGYCGL SDEAVIALLERRPSIGTLHLHWNYKITYAHLHYLASRSTPSSVDRLLDHMARQCPNLH ELNLCGVKTVSNDAIYSFLQLKMSGVDDDAMTSTERDAKRLKKVDVKYTNVSKEVLAH TADTYPDLVVIS H257_03144 MLATSSVGATTAVCSLSDQVKKYKARMVVDEKDSDNPSKPHVIR RDIDSLVIMCIKVVTDRFSTKANPMAGIPTRFLPEVTQRLPLDLHIVATAPHIHDENF WKRCCLHRAGWSNLQIAQHGLTWKQLYLERNLQEELEGFDPSNSGDQRTYADLLNKIH ASAPHIFSLEIDQLLSHLDVYEVTSCLPNLTKLKLSYGVKNIGMKYERMLFGMKISDA TSLSHAIKATTTLSTLQLPSNLLDDDLLRMLMTGLIKNTTITTLDLSHNKITNHGARL LAKLLVPTSVISTLNLCDNQIHAEGGRYLARGLKCNVSLMELNLRLNRLTDEGGKLLV EGLVGHRCLSILNLSNNALGSETAEGLGGLFLDPESALSVVDLSGNQLTESDAIALFE GLEKNTRVVTLDLRLNHVPKDAAAVVQIAQIVRRNEIQGRKG H257_03145 MDRWEMNWEAYVIGTLDDNGNVNQNDELVSALDDDVSNDMFTGS SSCLYETETQAAIVNDIQTGFPTPPCSTSNRIFDCFEPREPRRATHCSAAPTTTKYAV GVHRSTNAMEHAVGASALSFETHSILYAFMFATYHHCVEVLASVVKAHGLGLIEESRD VYKDIHWRTRFQCAFQASASNPQLHFSAISCPCPWTIEITKAGLNWYIQPPAANTKHS HNVWTRPFARPPAQAYATTPRDLWVCDPFAEFLTAQWSLDQGIQMVAFSECGRRDDLS DDDDDVDGTSEKDIKLKLPDSHTSCLHKALAVFPIQETFPAGPFPSIFDAELTFYHWN RNRGFKTVRARSPTLEAGPVKIATFQCAIAQWTACPWFVQLAKCPTLKKYHVTSMYLV HNHCPKDVSSNTSASLS H257_03146 MSIFGNSDRFIADAARSPGPIYHSTVESLEHSPKKGAIALRQDH AQPQPDVTVSHGHRDSWIKGQFSPSISIHDSGVNLSPSHYDTDVSIIKSRFPSLSFPK SERFLSQKVCMTDKHHQRELIATDSPGPKYNIEVRQYKNQAPKYTFQKGQVQCLKAGS PVKSHRESWLSVINRKGVLLSPPAHVSQGDELSGLVPSMLSSENQSSESGMRPTSTSK FGTAPRFGLREIPRKDQSTVGMQRVQYISARHARENMGEFSPGPIYTPYKPPRPGGRL APATKLTSPSKHDEIRAPDTNLSSRSCWLSGNIRKNSGREIMLMKTGDMAPGPGAYSH SSSAFASANFSHNVKVKRKELQAHAPPRGVGGVNGRNPRSRAVPKEPIAQDVQLEPPM SPHVDEIPPPSTAM H257_03146 MSIFGNSDRFIADAARSPGPIYHSTVESLEHSPKKGAIALRQDH AQPQPDVTVSHGHRDSWIKGQFSPSISIHDSGVNLSPSHYDTDVSIIKSRFPSLSFPK SERFLSQKVCMTDKHHQRELIATDSPGPKYNIEVRQYKNQAPKYTFQKGQVQCLKAGS PVKSHRESWLSVINRKGVLLSPPAHVSQGDELSGLVPSMLSSENQSSESGMRPTSTSK FGTAPRFGLREIPRKDQSTVGMQRVQYISARHARENMGEFSPGPIYTPYKPPRPGGRL APATKLTSPSKHDEIRAPDTNLSSRSCWLSGNIRKNSGREIMLMKTGDMAPGPGAYSH SSSAFASANFSHNVKVKRKELQAHVPPHPSCHV H257_03147 MGDSYEEEECRVCRGEEEPGRRLFAPCKCSGSIRFVHSDCLTEW LAISKKDVCELCGYKFSFQPVYADGCPRTLPTRELLLSVVIVALKKWLPLVVRGVLVI VAWGVVAPWCTSWLYRLWLLRAATMGSVNLHDRLQDNDLILADVFSGIVLIVVIVCSF LSLMSFADFLRFNMDHIVDDGIDAADDELRHRELLVAQEMEAVERAIPHPGPHMRGGG ENNVRRLIERIDGVDEWVVENDGDSDSDDDSDDDNDEDALDHQFARRVQAFRGRQPAA PPVEPDQPPRDVLPRRLRVRVQDNLPLFDQVIQHIPHAAVFRPQVARNVRPDPRVAEA ENGPRRRRRRPMPANAAPPRGNNANNNDNWDDDMDHMEINIAMDEVIGFRGPPYILLR NVSWFLAFNGAYLGIFAFVPYTLGSTIVATVAKCVHHVVGDPLSMLTLDLHAAGPIDG WAAFIATVANSTREAQVNGDCLQFVDVVTCGVGYVSFCASVVVWRATVRTISLYTPHR PLLAGLLWFLSCLNAVVKVGSLLLLKTIVLPILLGLGMDAATLPLFRASPWDRMSFFL DHMLTSMMVHWVLGISFMLFVTVVVLQMREVMHPDILAATIRPQEPNQDILKSLLAEP SAKHARRMVLSLAIYAMLLATMVYLPVRVAYAVVPSVFPLHLTFHYYFAPLQVPLELA VAYMTVLNVLDTYKHGLGHVQSTWMTRLCHRLGLVEYLLPRVPALPGGGGPPDSCLIL GVPPLSFNPLNDPPPPHERLYGARYVPWPEDGLVDPTVIEYNLLPRSMPTHLSLRLGV LMACCWTTTVCFVGVATLTPLYLGRLSMAMVEQYTGVRHDSVNAAAGVVASWVLINGF KLCQVMTIQEKDVHPQLIAQGFSIRKLTAAALVKLGVTWGVVFPVLIGLMAALLLHHQ SPTWSKLVEMHAFGFVVLHVIAWCVCGFTRSRRRNNRNPRGGAGDAPVVVDIVDELRQ GDPTVVNSLRLGYEQLRFHLQSNDEGVEHAQDLRHRCFDPTHFHEYVIVPLGSAMAVC IVLPWMCSKAHGMLGWSSLSETHVFRAAFATQVLGLALISSKAYVSTWVTALHDSIRD ERYLIGKVLQDMTR H257_03147 MGDSYEEEECRVCRGEEEPGRRLFAPCKCSGSIRFVHSDCLTEW LAISKKDVCELCGYKFSFQPVYADGCPRTLPTRELLLSVVIVALKKWLPLVVRGVLVI VAWGVVAPWCTSWLYRLWLLRAATMGSVNLHDRLQDNDLILADVFSGIVLIVVIVCSF LSLMSFADFLRFNMDHIVDDGIDAADDELRHRELLVAQEMEAVERAIPHPGPHMRGGG ENNVRRLIERIDGVDEWVVENDGDSDSDDDSDDDNDEDALDHQFARRVQAFRGRQPAA PPVEPDQPPRDVLPRRLRVRVQDNLPLFDQVIQHIPHAAVFRPQVARNVRPDPRVAEA ENGPRRRRRRPMPANAAPPRGNNANNNDNWDDDMDHMEINIAMDEVIGFRGPPYILLR NVSWFLAFNGAYLGIFAFVPYTLGSTIVATVAKCVHHVVGDPLSMLTLDLHAAGPIDG WAAFIATVANSTREAQVNGDCLQFVDVVTCGVGYVSFCASVVVWRATVRTISLYTPHR PLLAGLLWFLSCLNAVVKVGSLLLLKTIVLPILLGLGMDAATLPLFRASPWDRMSFFL DHMLTSMMVHWVLGISFMLFVTVVVLQMREVMHPDILAATIRPQEPNQDILKSLLAEP SAKHARRMVLSLAIYAMLLATMVYLPVRVAYAVVPSVFPLHLTFHYYFAPLQVPLELA VAYMTVLNVLDTYKHGLGHVQSTWMTRLCHRLGLVEYLLPRVPALPGGGGPPDSCLIL GVPPLSFNPLNDPPPPHERLYGARYVPWPEDGLVDPTVIEYNLLPRSMPTHLSLRLGV LMACCWTTTVCFVGVATLTPLYLGRLSMAMVEQYTGVRHDSVNAAAGVVASWVLINGF KLCQVMTIQEKDVHPQLIAQGFSIRKLTAAALVKLGVTWGVVFPVLIGLMAALLLHHQ SPTWSKLVEVCHIYWNDMCDIYTGKCRCTRLGLWCFT H257_03148 MALKMDEKEDVFTRLYGQDTCTAATRKRQPSAPKHNRAMRSAKS CPMLDAAVAAMSLSALPCPQATLDGDPNDPHTLLRGVFQFYCRYGRTGAHGTSETTLD NANFAKFCRECPDLVGGSPRFSTVDIDLIFVKVKAKGARRISYPMFLEALGIIATKKY PAMGLTSALPTLLDAHIAKLSCLDSARSPGKSTWRRRVAGTEANDVTAMIDIKHETAV HSVRTSDVLTADLPQHHHRRVLKDQVDGGNNQQIDGEVIPPPPPPIVAKHTAESRVVI ATNL H257_03148 MALKMDEKEDVFTRLYGQDTCTAATRKRQPSAPKHNRAMRSAKS CPMLDAAVAAMSLSALPCPQATLDGDPNDPHTLLRDNANFAKFCRECPDLVGGSPRFS TVDIDLIFVKVKAKGARRISYPMFLEALGIIATKKYPAMGLTSALPTLLDAHIAKLSC LDSARSPGKSTWRRRVAGTEANDVTAMIDIKHETAVHSVRTSDVLTADLPQHHHRRVL KDQVDGGNNQQIDGEVIPPPPPPIVAKHTAESRVVIATNL H257_03148 MRSAKSCPMLDAAVAAMSLSALPCPQATLDGDPNDPHTLLRGVF QFYCRYGRTGAHGTSETTLDNANFAKFCRECPDLVGGSPRFSTVDIDLIFVKVKAKGA RRISYPMFLEALGIIATKKYPAMGLTSALPTLLDAHIAKLSCLDSARSPGKSTWRRRV AGTEANDVTAMIDIKHETAVHSVRTSDVLTADLPQHHHRRVLKDQVDGGNNQQIDGEV IPPPPPPIVAKHTAESRVVIATNL H257_03148 MRSAKSCPMLDAAVAAMSLSALPCPQATLDGDPNDPHTLLRDNA NFAKFCRECPDLVGGSPRFSTVDIDLIFVKVKAKGARRISYPMFLEALGIIATKKYPA MGLTSALPTLLDAHIAKLSCLDSARSPGKSTWRRRVAGTEANDVTAMIDIKHETAVHS VRTSDVLTADLPQHHHRRVLKDQVDGGNNQQIDGEVIPPPPPPIVAKHTAESRVVIAT NL H257_03148 MSLSALPCPQATLDGDPNDPHTLLRGVFQFYCRYGRTGAHGTSE TTLDNANFAKFCRECPDLVGGSPRFSTVDIDLIFVKVKAKGARRISYPMFLEALGIIA TKKYPAMGLTSALPTLLDAHIAKLSCLDSARSPGKSTWRRRVAGTEANDVTAMIDIKH ETAVHSVRTSDVLTADLPQHHHRRVLKDQVDGGNNQQIDGEVIPPPPPPIVAKHTAES RVVIATNL H257_03148 MSLSALPCPQATLDGDPNDPHTLLRGVFQFYCRYGRTGAHGTSE TTLDNANFAKFCRECPDLVGGSPRFSTVDIDLIFVKVKAKGARRISYPMFLEALGIIA TKKYPAMGLTSALPTLLDAHIAKLSCLDSARSPGKSTWRRRVAGTEANDVTAMIDIKH ETAVHSVRTSDVLTADLPQHHHRRVLKDQVDGGNNQQIDGEVIPPPPPPIVAKHTAES RVVIATNL H257_03148 MSLSALPCPQATLDGDPNDPHTLLRGVFQFYCRYGRTGAHGTSE TTLDNANFAKFCRECPDLVGGSPRFSTVDIDLIFVKVKAKGARRISYPMFLEALGIIA TKKYPAMGLTSALPTLLDAHIAKLSCLDSARSPGKSTWRRRVAGTEANDVTAMIDIKH ETAVHSVRTSDVLTADLPQHHHRRVLKDQVDGGNNQQIDGEVIPPPPPPIVAKHTAES RVVIATNL H257_03148 MSLSALPCPQATLDGDPNDPHTLLRDNANFAKFCRECPDLVGGS PRFSTVDIDLIFVKVKAKGARRISYPMFLEALGIIATKKYPAMGLTSALPTLLDAHIA KLSCLDSARSPGKSTWRRRVAGTEANDVTAMIDIKHETAVHSVRTSDVLTADLPQHHH RRVLKDQVDGGNNQQIDGEVIPPPPPPIVAKHTAESRVVIATNL H257_03148 MSLSALPCPQATLDGDPNDPHTLLRDNANFAKFCRECPDLVGGS PRFSTVDIDLIFVKVKAKGARRISYPMFLEALGIIATKKYPAMGLTSALPTLLDAHIA KLSCLDSARSPGKSTWRRRVAGTEANDVTAMIDIKHETAVHSVRTSDVLTADLPQHHH RRVLKDQVDGGNNQQIDGEVIPPPPPPIVAKHTAESRVVIATNL H257_03148 MSLSALPCPQATLDGDPNDPHTLLRDNANFAKFCRECPDLVGGS PRFSTVDIDLIFVKVKAKGARRISYPMFLEALGIIATKKYPAMGLTSALPTLLDAHIA KLSCLDSARSPGKSTWRRRVAGTEANDVTAMIDIKHETAVHSVRTSDVLTADLPQHHH RRVLKDQVDGGNNQQIDGEVIPPPPPPIVAKHTAESRVVIATNL H257_03149 MVDGTMLDDLLLGGDGMDLVDQSLDPSWLDALVPPDVPADAPDV VENVNTLGALLAYSCEVECVENVLALLKQGANPNVAFHNGKTALEWASSKQNDVIVGH LLRHGAATVGTSSHDQRRWTAPTVPAPPPVPSPPRPAAFPSASSTPPSTEANESWKIP ARKFIKRPVATSEKRLESLRGLYNYERRPTDPRDKSRPRSDAAAATKSPHASSSLSQP SVSRLLPASVPPPSTNHLRSTSVHRSKSKSTARPPASPDPVLHPHLYRSKSRPRSPSP LGTPFSRRLRSHSHARPHPTSPERTIPYHPSMDMCVLYVGNLHHDVSDAAVRRQFKHC GDVRYFKRGFAPPWGHITFATAQEAVKALSLNGQLLMERPMVVRLGDRCNPELAADVN KLLKKQRRKEEVDDDDDARRRRRRRRSDDHDDRRGRSPARRTSRRSRSPERWEHDLFE DKPRVSKSKSESMRRGSSSRRHDSRSRSRPRKKADRSDRRRSSPAKTSHRHHHRDDRS KSRGPSDKRTYSDRHKSQYGPSSPRTKKPPERRDRSKTRQDHRSKSQYRSPHNEQHGG PMFPPLSTTQSRLRPSTHQPAARSTRGASVHRPDVSASTTSRPGAHRHANNRRSHALP SRSPRDVPSHPRHPPPPPSDVVDLSMSSPEDNDERCYLPPRNRSTQSILRRPSVREDP RGHRSKSSVSQHHGGGGSGGHMQRHRSMSQHTSHRAHHPSSSYGPQHSRPSTPLRPSR AAPSPSRDDPFRAFEANKRPRPPSEDDDSFSIPKRPRSSSTAPTRCSRFNVQVTNVSK RASTDALRRMFGICGPLLAFHRDVSPTGVPQPLVRISYEFREALQKALELDGLKLYGR AIRVQLS H257_03150 MSRLGVSLLAAAYASAADPCASYLPLLATVPTCTSAQVTSNTAH VSHTASFLSPWIVIVGGYAISTGQPVTTNTVMLYNPSAAAPAAVIIPSLSVGYTAPKP IPTNVQPGTRAEHSAVVWDDAVFIYGGQNTDFMDDMWRLCLNFGGGSGRWDQVTPATS FSPPSRKGHTAVAYATNSTAMFAMVFGGMLGTTYKDTNDLYFQIMTKPAGSTACQTPR AIVTWQRVVTANSTNGPAPRSFHTMTLNSPGSSAYSSCAILYGGQSSSDNTIMDDLWA LCPQTPSNALVPVEQQTYVWTLLTPLGATLPFPRFGHTSVVTLSNRLVVFGGSYRFPR DYLSDAWEFNLVLKRWTALSALLVDASEIPPRRFHTLTYTPQQHQLVILGGLDRYALK DASAIQCGYTSGLCAAGAIAMYCNATDHIACQPCVAGYFAALGAEQCSVCPPGTYSTA GSAQCSDCPMGTYNALPAAPDVSSCVRCPVGTFSQSTRATSFATCQSCPSGSFSNVVG SIQCTPCIAGTFSNANASVCSSCAAGTFSQPAASACANCAPGSYTPFAAMGACLACPT GMYSNASALQCTGCPVGTSSSRVMGTLADCAACAAGTYAETLGQSACVSCPDGSVSTA VGSASRSSCTLCPAGTYSKATKSACSACPRSTFGNQTGLSACFPCPANTFTNGTSPPA STSAAACVPCPVGSQFDAATGACVTCPPGTHRNTNTSGCVLCSPGSFTSSETEAQATQ CTACSPMQVSSVYGAAACDVCGGGSYSSNQWSSCLSCSPPCPVGRNGFVCSNLGTCVY GGCVCTSNAYGIACGSTLQLNASTTSGVVFFSTPNQTILYDNVTSNSVTLARQGGCRG TVSVVVSVGGGNATAASGGLAAGWTTTATFTDQQVTKTIALPLHVVVGQGCASLRLVL SDPFPFQTTSNVSATDGANVLLLVQPTTIASTSVLQVLPTTTGYNVTVMVSNIAATTA AVSLPTAALPSINSFFYFDLNVDLTVVPLVPAMLAFLEVQFASKDWRFAHNMNATATF TNDATGLYNRLKAISSVTPSPFTGAFLNATYLSSLPWVVGALRQVVVVTAATSVSMAT TNATAIRLACMQANVIPFFLTSTGAAPSLSALVASMGVGATLSYTLSTFVTTPWQLLQ TATPMGFYATSNPYALVQAASGTGQVLTLSFRKTAPTDPPQSSVVANVLGLGVVLITI FAFAPSCFPIPIPPPSWPISTGWVAPYETSSDLASQWTIFASLSTPPPPLPPTLVALL TPQGPATSFQTTSKATFTQTFPVYLQPRTPLIVRGYVNGSVSTASIELVVAGATFAVR TAVPLTTNPSVPDWQFVYARVVLNQTTTSLQVVVNTSATLQVANLGVYPEPSFACQCG PGYYVRNGECWRCPSGFSCGGGVMSTCTKQTYSFGAFSACKPCLPGWTCAGGLALPCP KGTYTVDAMTCMPCPPGFKCVQGQKTSCLSGSYAPAKSTQCSLCLPGTYATAAGASAC AACPLGFTSNFRRDHCIPCAAGSTSSSGASLFRCQSCANNSVASAGANVCTPCPAGSM TLLAGSQQCQSCPINSPDPQCTRP H257_03150 MSRLGVSLLAAAYASAADPCASYLPLLATVPTCTSAQVTSNTAH VSHTASFLSPWIVIVGGYAISTGQPVTTNTVMLYNPSAAAPAAVIIPSLSVGYTAPKP IPTNVQPGTRAEHSAVVWDDAVFIYGGQNTDFMDDMWRLCLNFGGGSGRWDQVTPATS FSPPSRKGHTAVAYATNSTAMFAMVFGGMLGTTYKDTNDLYFQIMTKPAGSTACQTPR AIVTWQRVVTANSTNGPAPRSFHTMTLNSPGSSAYSSCAILYGGQSSSDNTIMDDLWA LCPQTPSNALVPVEQQTYVWTLLTPLGATLPFPRFGHTSVVTLSNRLVVFGGSYRFPR DYLSDAWEFNLVLKRWTALSALLVDASEIPPRRFHTLTYTPQQHQLVILGGLDRYALK DASAIQCGYTSGLCAAGAIAMYCNATDHIACQPCVAGYFAALGAEQCSVCPPGTYSTA GSAQCSDCPMGTYNALPAAPDVSSCVRCPVGTFSQSTRATSFATCQSCPSGSFSNVVG SIQCTPCIAGTFSNANASVCSSCAAGTFSQPAASACANCAPGSYTPFAAMGACLACPT GMYSNASALQCTGCPVGTSSSRVMGTLADCAACAAGTYAETLGQSACVSCPDGSVSTA VGSASRSSCTLCPAGTYSKATKSACSACPRSTFGNQTGLSACFPCPANTFTNGTSPPA STSAAACVPCPVGSQFDAATGACVTCPPGTHRNTNTSGCVLCSPGSFTSSETEAQATQ CTACSPMQVSSVYGAAACDVCGGGSYSSNQWSSCLSCSPPCPVGRNGFVCSNLGTCVY GGCVCTSNAYGIACGSTLQLNASTTSGVVFFSTPNQTILYDNVTSNSVTLARQGGCRG TVSVVVSVGGGNATAASGGLAAGWTTTATFTDQQVTKTIALPLHVVVGQGCASLRLVL SDPFPFQTTSNVSATDGANVLLLVQPTTIASTSVLQVLPTTTGYNVTVMVSNIAATTA AVSLPTAALPSINSFFYFDLNVDLTVVPLVPAMLAFLEVQFASKDWRFAHNMNATATF TNDATGLYNRLKAISSVTPSPFTGAFLNATYLSSLPWVVGALRQVVVVTAATSVSMAT TNATAIRLACMQANVIPFFLTSTGAAPSLSALVASMGVGATLSYTLSTFVTTPWQLLQ TATPMGFYATSNPYALVQAASGTGQVLTLSFRKTAPTDPPQSSVVANVLGLGVVLITI FAFAPSCFPIPIPPPSWPISTGWVAPYETSSDLASQWTIFASLSTPPPPLPPTLVALL TPQGPATSFQTTSKATFTQTFPVYLQPRTPLIVRGYVNGSVSTASIELVVAGATFAVR TAVPLTTNPSVPDWQFVYARVVLNQTTTSLQVVVNTSATLQVANLGVYPEPSFACQCG PGYYVRNGECWRCPSGFSCGGGVMSTCTKQTYSFGAFSACKPCLPGWTCAGGLALPCP KGTYTVDAMTCMPCPPGFKCVQGQKYRLQFIQVLNGWTKYSIAVGRRACRVHMRRPSR LNAVCVCQERMPRLQGQVHVRHARLGSRPTFDGITAFLVRLDRRRRRVPLCFDASRVQ TTRLPVLARMSAPLAPRAQ H257_03150 MSRLGVSLLAAAYASAADPCASYLPLLATVPTCTSAQVTSNTAH VSHTASFLSPWIVIVGGYAISTGQPVTTNTVMLYNPSAAAPAAVIIPSLSVGYTAPKP IPTNVQPGTRAEHSAVVWDDAVFIYGGQNTDFMDDMWRLCLNFGGGSGRWDQVTPATS FSPPSRKGHTAVAYATNSTAMFAMVFGGMLGTTYKDTNDLYFQIMTKPAGSTACQTPR AIVTWQRVVTANSTNGPAPRSFHTMTLNSPGSSAYSSCAILYGGQSSSDNTIMDDLWA LCPQTPSNALVPVEQQTYVWTLLTPLGATLPFPRFGHTSVVTLSNRLVVFGGSYRFPR DYLSDAWEFNLVLKRWTALSALLVDASEIPPRRFHTLTYTPQQHQLVILGGLDRYALK DASAIQCGYTSGLCAAGAIAMYCNATDHIACQPCVAGYFAALGAEQCSVCPPGTYSTA GSAQCSDCPMGTYNALPAAPDVSSCVRCPVGTFSQSTRATSFATCQSCPSGSFSNVVG SIQCTPCIAGTFSNANASVCSSCAAGTFSQPAASACANCAPGSYTPFAAMGACLACPT GMYSNASALQCTGCPVGTSSSRVMGTLADCAACAAGTYAETLGQSACVSCPDGSVSTA VGSASRSSCTLCPAGTYSKATKSACSACPRSTFGNQTGLSACFPCPANTFTNGTSPPA STSAAACVPCPVGSQFDAATGACVTCPPGTHRNTNTSGCVLCSPGSFTSSETEAQATQ CTACSPMQVSSVYGAAACDVCGGGSYSSNQWSSCLSCSPPCPVGRNGFVCSNLGTCVY GGCVCTSNAYGIACGSTLQLNASTTSGVVFFSTPNQTILYDNVTSNSVTLARQGGCRG TVSVVVSVGGGNATAASGGLAAGWTTTATFTDQQVTKTIALPLHVVVGQGCASLRLVL SDPFPFQTTSNVSATDGANVLLLVQPTTIASTSVLQVLPTTTGYNVTVMVSNIAATTA AVSLPTAALPSINSFFYFDLNVDLTVVPLVPAMLAFLEVQFASKDWRFAHNMNATATF TNDATGLYNRLKAISSVTPSPFTGAFLNATYLSSLPWVVGALRQVVVVTAATSVSMAT TNATAIRLACMQANVIPFFLTSTGAAPSLSALVASMGVGATLSYTLSTFVTTPWQLLQ TATPMGFYATSNPYALVQAASGTGQVLTLSFRKTAPTDPPQSSVVANVLGLGVVLITI FAFAPSCFPIPIPPPSWPISTGWVAPYETSSDLASQWTIFASLSTPPPPLPPTLVALL TPQGPATSFQTTSKATFTQTFPVYLQPRTPLIVRGYVNGSVSTASIELVVAGATFAVR TAVPLTTNPRLVSSNLTSRP H257_03150 MSRLGVSLLAAAYASAADPCASYLPLLATVPTCTSAQVTSNTAH VSHTASFLSPWIVIVGGYAISTGQPVTTNTVMLYNPSAAAPAAVIIPSLSVGYTAPKP IPTNVQPGTRAEHSAVVWDDAVFIYGGQNTDFMDDMWRLCLNFGGGSGRWDQVTPATS FSPPSRKGHTAVAYATNSTAMFAMVFGGMLGTTYKDTNDLYFQIMTKPAGSTACQTPR AIVTWQRVVTANSTNGPAPRSFHTMTLNSPGSSAYSSCAILYGGQSSSDNTIMDDLWA LCPQTPSNALVPVEQQTYVWTLLTPLGATLPFPRFGHTSVVTLSNRLVVFGGSYRFPR DYLSDAWEFNLVLKRWTALSALLVDASEIPPRRFHTLTYTPQQHQLVILGGLDRYALK DASAIQCGYTSGLCAAGAIAMYCNATDHIACQPCVAGYFAALGAEQCSVCPPGTYSTA GSAQCSDCPMGTYNALPAAPDVSSCVRCPVGTFSQSTRATSFATCQSCPSGSFSNVVG SIQCTPCIAGTFSNANASVCSSCAAGTFSQPAASACANCAPGSYTPFAAMGACLACPT GMYSNASALQCTGCPVGTSSSRVMGTLADCAACAAGTYAETLGQSACVSCPDGSVSTA VGSASRSSCTLCPAGTYSKATKSACSACPRSTFGNQTGLSACFPCPANTFTNGTSPPA STSAAACVPCPVGSQFDAATGACVTCPPGTHRNTNTSGCVLCSPGSFTSSETEAQATQ CTACSPMQVSSVYGAAACDVCGGGSYSSNQWSSCLSCSPPCPVGRNGFVCSNLGTCVY GGCVCTSNAYGIACGSTLQLNASTTSGVVFFSTPNQTILYDNVTSNSVTLARQGGCRG TVSVVVSVGGGNATAASGGLAAGWTTTATFTDQQVTKTIALPLHVVVGQGCASLRLVL SDPFPFQTTSNVSATDGANVLLLVQPTTIASTSVLQVLPTTTGYNVTVMVSNIAATTA AVSLPTAALPSINSFFYFDLNVDLTVVPLVPAMLAFLEVQFASKDWRFAHNMNATATF TNDATGLYNRLKAISSVTPSPFTGAFLNATYLSSLPWVVGALRQVVVVTAATSVSMAT TNATAIRLACMQANVIPFFLTSTGAAPSLSALVASMGVGATLSYTLRSNTLPPRPPWK FHAQVNTHPPLTLFVARS H257_03151 MPRSRSRSSSPEPRHRRQRSRSRDRPRGSRCEDDEHRHKQRDDV ASTKEEEALPPAVLDADAFQAQRASLPVGQFKQHILDTIASNQIVVCIGETGSGKTTQ IPQFLLEAGYATSKRIAITQPRRVATVAVAKRVGEELEASGLSVHAVGYTIRFDDHTS ASTKIKFMTDGILVRECLQDPLLSSYSIIMLDEAHERSIHTDILFGLLQSILAKRSDL KLLVTSATLDADKFSAFFHNCPVVQIPGRSFPVDIYHSKQHQIMGKSGPLSTYIQSAV DTTLQIHMHEPDGHILVFLTGQKEIEDACALIRKRLEQLADEQRNSLPHMLVLPLYGA LSSDKQRRIFSSCGASRKVIVCTNIAETSLTVDGVKYVVDAGFTKQKVYNPMTGMESL VVLPISQVSAQQRAGRAGRTSAGKCYRLYSKKSYATMFTETIPEIQRSNLANTILYLK VLGIDDVVGFGYLDPPDEHAILDALHQLYTLGALDERGNVTGTGTDMAQFPLEPRLAR VLLEAMRLDCADDITRVVAMLTVENVIVPFQGKQRDGPTLERFRHAKGDHLTLLHLFQ EWEVQSNRPRWCDDHGLHMRAFQTASNVQKQLQELVDKAIQMSSSTKKQPVDPAVAVE AKTMARLDRVRLAVCAGYFEHGAQKCMMQPVYRVLMPPTHDGHQGAQLVHLHPSSVFL DTSPPHTCVYHELVHTSRAFMRHVLDVQLSWLQLYQPAKPLTLIECYALTNRPVPAPP TPAAALDASHHADGRSKPNKTMTEKTSLDAVAAAKARYLQRKKLQKA H257_03152 MTKTHPRILALFDVDDTLTAARKVVTPEVTARIAKLKNQITVGV VGGSDLVKQKEQLGEDVIHTFDYSFSENGLVGYHNGECINKTSLKEYVGNDKLNKFIN FTLLYIANLDIPIKRGTFIEFRQGMLNVSPIGRNCSQDERDDFEQYDHIHQVRAKMVN VLRAEFADYDFTYSIGGQISFDVFPTGWDKTYCLKFLSAADFDEIHFFGDKTHVGGND YEIFVHDRTIGHAVNSPEDTLRLLDELFP H257_03153 MTVKRRNHGRNKKNRGHVKRVHCVSTAKLIPKDKAIKRFVVRNI VDASAMRDLKEASVYETYALPKIYIKNYYSIEAAIHQRIVRVRSGEGRRNREPPARIR PKKL H257_03154 MSSVVASTERLNTADLRKKKDLDEARKNGTLPPEKDADGNLINP HNPDYISKRPWYLGESGSSLTHQINPKKNQVLSMADADSLNIRALKQTLHRKKFTKGA CTNCGATTHKAVECVERPRKVGAWKSNKNLAADEVIVDVRSGRYGKLTFDAKRDAWLG YNADEHQATVDRFEKMEQVRKTKRIQEMNKDGTTAHHDGDSGADSDSDGGGDDDEFSD EEEFVDKDGGRVIAKRVSRQGGVGGAQMMITARNLRIREDTAKYLRNLNPNSAFYDPK TRSMRDNPNPDLNVDEATFQGDNFVRHSGDAVKLAKTQLFAWEAAEKGVIQDGELHPQ ATPSAAEFMRQQYEAKKIKLQHDKRTAMLEKYGEQTPAPPKELLLAASEAYVEYARDG RVVKGMEKGVAKSKYVEDVHENNHVHVWGSWYDKRARAWGFACCRSTVRKSYCTGEAG KAAAARASDEALEESAPVVAREALPLAASGDQPALVLSSRTELYGDAKDAPTLDPVKL KEAEKKLKKQQQKKDGGSKRKYESMQDDGVDAETMEVYRRNKTKRDDPMAHFLQGDDL LPHE H257_03155 MDCQPPPPSTKSSSSPFQLHIQLPDTYNTMQINNNHPHHRLYYG TPVGTPTTPDSQEFYSGDESPVSAEFRKASEMAPFLRNLRNMLDRENPEVLRWNKDGT AFEIHDMDEMMNSVLPKYFKHKKYTSFQRQLNYFNFKKWTKSRANVCTFSNELFTRHE PYRSSLITRKKSVSSVTSKDATSTDTGDEYDDDARYSGRPSCDSPCSSVYSCDSPVLR PSNNNTLPPKATLDLSLESMSLHKTRMSSYENKHLTRVLGGRKAMRKSSAAAPTSLDQ ATYHHSPHPQHQHQPHTHGGGVDDMNWFGDNEFNNFRDSTASSMTSTASGDWDDATEM NWVDLLPYNDPAFYEMQPQSSNSLSPLRGPFGASNTVTFFAAI H257_03156 MLQRLVNATWRRSAAAAAGPVRFMSAGISQHRDTPDNTSETSFD FSESNYVKVHAILSRYPENYKASAIIPLLDLAQRQHGGWLPLAAMNKVARIVDVKPMQ VYEVATFYTMFNREKVGKYFIQLCGTTPCMICGSEEIKNVIEDHLGIKEGETTADDLF TLREVECLGACSNAPMVQINDDFYENLTPETTRQLLDACKKNQPPPMNKWGSLPMNGQ LSCEGPQGKTSLKWDKHPAPGFRMRPDADLVPKVDPKTIKDEMLY H257_03157 MAGEDPVDVMPEIRKACEPKCVESFKLYRACVDRITAKGDGACD GQYFDYLKCIDKCSVPQLFKHLK H257_03158 MASLKAPRCLTDVPLGGTLPDDVHAVSVSMPEWDHVESYSQGCP KLHAALPSGYPRFVYHHYVVALNQWVRDTYVNDPTKLAYVLPSYDVATRCAAFMQVSY PEAMPLIDLGICGAFAIVVPAAGLKTFKSFWQHSGEITTSRMAKHILDFKDRKEAAPR KAMAGTPVHMALKEHVASLYPRIGAADVLLYPCGMSAIFAAFRMAKSLHATPRQGRKI VLFGFPYLDTLKIMRRPEWRGAADDFVFYPHGNSDEMDAIDQLESIGAIFTEFPTNPL LHSVDLKRLAAIARRHNAILIVDDTIGSYNVQVLDAGADVVATSLSKIFTGACTATGG SLVVNPASTWAAAVHTSLASDEYLFEDDCVELLAMSKDVRERLRRVNANASIIASRFA SHPQVQAVYYPKFIDSDLYKANLTHPDDDSDAFGPLMSVVLRGGAAAAATFYDALNVA KGPSLGTNFTLSVPYTILAHYDELEYVASCGLDRNLVRFSIGLEDVDEIWGYMAVAFE AAATSCGGDSVPL H257_03158 MASLKAPRCLTDVPLGGTLPDDVHAVSVSMPEWDHVESYSQGCP KLHAALPSGYPRFVYHHYVVALNQWVRDTYVNDPTKLAYVLPSYDVATRCAAFMQVSY PEAMPLIDLGICGAFAIVVPAAGLKTFKSFWQHSGEITTSRMAKHILDFKDRKEAAPR KAMAGTPVHMALKEHVASLYPRIGAADVLLYPCGMSAIFAAFRMAKSLHATPRQGRKI VLFGFPYLDTLKIMRRPEWRGAADDFVFYPHGNSDEMDAIDQLESIGAIFTEFPTNPL LHSVDLKRLAAIARRHNAILIVDDTIGSYNVQVLDAGADVVATSLSKIFTGACTATGG SLVVNPASTWAAAVHTSLASDEYLFEDDCVELLAMSKDVRERLRRVNANASIIASRFA SHPQVQAVYYPKFIDSDLYKANLTHPDDDSDAFGPLMSVVLRGGAAAAATFYDALNVA KGPSLGTNFTLSVPYTILAHYDELEYVASCGLDRNLVRFSIGLEDVDEIWGYMAVAFE AAATSCGGDSVPL H257_03159 MKTAFVLSIVAVATAAQDKIWPSVLRSIQQTSTESPNITAKPAD TPTTTAETINPCPPNITPKPTTTAAAPITTSAAPTITKAAPSACDKPVDGVDYDGNDI TSTQRSYSDDCCNDCAKTPDCVLYVWTNWNSGTCFLKSKAGKSILKWGAKAGKVTKPA KVFKPAGSCKAAQDNVDYNGNDIARISADKEDCWQQSSGFRGFGVSRNPEG H257_03160 MPPRSQSSYSIDEKRKLLASFDELCLRSKFCKLQGIARTTWISW LKYRLQLVANPRNGKRKTLGGQGTKGAIPFNNKLLGFMKDVRRQEHILTSVHMIMFMK TCHAKWLAGYTSGKRDGYKSLLKLCQDLARRHRFSQRVPCFTNSSAVEMVLLRNEFAA QVLGHYHK H257_03161 MKTAFVLSIVAVATAAQDKIWPSVLRSIQQTSTASVAVTIDDLN AQKDSSVFDWAKCKGLENEPVLLCNDLTKEEINSLAALPNVQKITNAADSSAPCPLNI TPKPTTTAAAPTPSACDKPVEGIDYDGKDIKSTKRSNSDDCCNDCTNTPGCVLYVWTQ WNDGTCFLKSSAGNSVPKWGVKAAKVTKPAKVIKPAGSCEAAQDNVDYDGNDIKSTKR SNSNDCCNDCVNTPGCVLYVWTKWNDGTCFLKSSAGNSVPKWGVKAAKVTKPAKVIKP AGSCEAAQDNVDYAGNDITSTQRSNSDDCCDDCAKTPGCVVYVWAPWNDGTCFLKSKA CKSVLKWGVKSAKITKSAGSCQAAQANVDYNGKDIARISAEKDHCCALCLEADSCVGY SHYEGNCYLKGELGDASTKEGVTSGVRD H257_03162 MVKVLTFLSALATSATAGSATEFPESVTKLIDYSTNPCDDFYQY ACGAWYKDAVISPDRPITDTSFFKIAIQNEAVLKKILSDNKTKLGEFYNSCLDTATLS SLGVTPLTDSFKAIRSANNTLDLLVVAGELAKNGIPAFVDIKARADYANPTKNALFGV RSPLPLPRKYYIFPSEWSYFKADYEVYITSVLQLAGYTAEQAAAAVPVITRFEVTVAD FGLYKFKETEAAASPYTVYTFYQLDQKYPLLIGSWLKANGFNVHDQSGESNDWVGLTE LAYFDKTEALLKNTTLDDLRTIVEYKLIHASSTHLTPEFRTANWNFFGKRIDHEDAEP TRETFCVSQTGSTVGELLGQNFIDAVWSADTAKTADELVKALESSFSTGIATTDWLDN STRANAQKKLSKLVSLVGGPKKPQLYPTLTLNSKSYLNNRWTISQVNIDTNLTLNGQP VDTPKFDVPPHKITAEYRFNMNQIVFPAAILQKPFFDSLFDASQNFGAIGMVIGHEIT HGFDNYGRKLDGDGNLNSWWSNATKTAFNTKSQCFSDQYSNFVVMSEETGAELGNING QISLGETIADNGGLKTSFRAYHEYLKKFPSQYTEEAGDKLFYLSFAQSWCSKNTDDYL LWKMRKQHPPNRFRVIGALQNDAKFARVFQCPTDSYMNPSEKCLLWE H257_03163 MVNNAAQQFKRNQAAIGDQATLFGCLAFDETNYGVVPVRRDSAG SIFGVVDFGRNEVGIGITPSSVHHLESKLNDVEACLLEYGLDIQNFAPNKSTFNDPDD IAAFLCLLVDEAHSMVGQINTKVNDRLKLIERKKLSEADTMKRNMHTPAVCLDHIAET VAWLRDGDEAIICDDAVKSTMSGVVESFRTVFINLSLLCAADEFCAFVSIAIETLKTT SLTWNEERLNPVAMVVAHLNARGKKLKMLSSTKMAWLTLFYFDDALHTYLDTGVDFYR HVFVPERNPSMPHIRYGRVHEYRGCYIHLQSHTGTRRQGKGTYKDCIIALKKLIAIQE SDILTPNGKKRVYDDIHYAKSIKEMAKWNKPGQNKKLSHSNPHDKKNRLSEDIIANDK PTAFWMSRIPPVATVIVATMVFPGTPGPFQVYPGAETGSDRRYRFWPGES H257_03164 MAVMVQQCLSVPLGDALPDDVHAVSVSMPEWRHVELYEQGCPEL HASLRSGYPRFVYHAYVKAVNEWCWEKYVKDPSKLAYVLPTHAVAKRCEAFLLATFPG PIPLIDLHISGAFAIVVPVEAVRYFKSFWQHSGEILSSRMALHILQFKDQTSPGRAAK AMDGTAAHAALRDRVASLYPLVTPRDVFLYPCGMAAIFAAFRVVQKLKTSQAQVVLFG FPYLDTLKMMRRTEWSSGDVLFYPNGSDADLQELEKLDSIAAIFTEFPTNPLLHSADL KRLADIAHRHNTVLVVDDTIGSYNVQVLEAGVDLVATSLTKIFTGAGTAMGGSLVVNP ASHWHTSLLAEYAADSFIFEDDCVEILDASTDVRDRIERVNASASVIASRFAAHPQVQ TVYYPKMIDTAQYSANLTHHDATTDAFGPLMSVVLHGGESTAKAFYNALGFAKGPSLG TNFTLSCPYTLLAHYDELDYVESCGVDRNLIRISIGLEHVEEIWGHMDVALRAAAEAA DDARPVVE H257_03165 MDASTRVMVASAALQSRMTIPPAYHEDSLHHYKLVDSSAISKQR ADRDKIIQEIEAKRASKRAASKMVHPFESALSVHGNKPRVKSSGPSQSVQKSQPALRR GNNHDDDHRVESSSTHQRRPVVKTPGERSYIQQLENEIARLKHISPPTSQQSVDEQVL QRLAEFKVAQQRDLAAVEQLVKAKKDAEAQVYQMKQQLHSSPHGPNHHNIEKAASRSH SKQHDQRSNHVAQLQHQHHRQPPNPSRGQEEPKANPMKTPAVVRPATLSSSWVPTDRI IVAADNDEDLAFDYTDGDEGAAPAFDMGPRTASSLGEPPGFDDFGDSPLKAPAKSIHD TISKPLPTSWATRATITEKSTPTATSAAARDSPLVETRKGGYFEEKERMKQNQLELEQ MAKEKLARAHRAKPPMGLLTNETKLRERKQKRVENILLEEDEKLTPFKARDAPPVMDA DVELVEHLRKERVAARAAELLAASKLPSRLADAAAKAKPKSPTQPRRVRIKAAPVPDF VMMQTEWKAALQRAKLSKASTRVDAFSVTDPDKLAALQKKKQERLERQKLKEDAERAA AEAKRKHAYDKAMESAKGQVQVVETEAQKLRTKAVQAKLKQRQIKEKAEEKAQLQRNA KIKQLSKQVKAEVTHLEMQRRQEKGNFVDPDEAAKAKAEENKRSFQEAIQRNKERILG AVAARPTLMERFAIDKKKEEGKRQALAAVVSNVFGKNLAAFKGVLTEGEEDLVDAMNV KSAADDDDYADDTET H257_03166 MATAVLGLCLVHAAVAARSDEAPPLYLRTTVDIVLVVAGMAFAM VGTGIFVAYVSITSSRRTKALTTAASTQSTHGDSGAHANDMPLLSDLDLYHVDPRNDV APLYPHQLLRRETPLLERCGSSTVSDYQMANETELKPLTFEVETQRFNVQMRSAHPIQ TADRRFNIKLKD H257_03167 MSQPGKVVINTAATRAADANGIILGSWRSGLFSCFDSVVPNCVM TCCCPCVSLAQASHRVGIVRFRTMLCVTGVLMLGQYLSTFLNWYCPYTLSQSMQDLSV EAMSPPEGMTLAQTVNWSSDVLRRAIDLADQYDMARLATATVSSLASIVIIVLVWVVR ARVRRKFSIPGSCCIDCMTSWCCTCCAIAQIATHTTSYVEGDCQFGPRGVLPAYSPTK QP H257_03168 MPMNHHEVKLDDGRVMQGVKFTPPKHWVVQRALTIQTVSGPAML VDDVVAGNRLHAINGMPVHDKQFSNVVEHLRGITGPLSLTIEVVKPRLASNTTIIIDT REWTVKLPNGTSLTFPCLLLELLRETDIGLAAGDTLVAVNGQSGAGLNHRKMQKLLEG ARHLSVVHLEWANHTPLSHDHHRERHGMTAWAILSEFYSMSKGQVLATRNASYCLLCE ASFGLFKHKHKCTICGHMVCSTCQAKCVAVVSTIQNCIKYRACIRCAHLVSSYGYQIN ESLNLTLA H257_03169 MTNRFEFTESEIATLKGLGREVCTIVAYAARRTDKSTVRWTSVK PTSTTDPVDLYVGECPVNDTLTFMCGVTRVRGVSVEQIASLLNAHADHAPAFHAAFYG DLIHYEKLVSIRAQSKQFPRHAISVKSATMPSPSRSIAPRDFVYLEAQEDIMDTTRMT RGWVCAMHSIDYAPLSSHRPLPSNHHRSKDIVRGRLFRSGIVVNETKDENNVVEVTYL LQIDFGDVAIPLDVRRLMMAQRLHSLRYLNDHFRNSHDVRRVRSVSSSGSPVELPMRL PPANAADPPTASGHLIPNNHSLVGTSRCCRGCGSKFHLLQRKYPCRGCGDVFCSHCCV HQRLAPGLGGDKISLCHVCVDKAGRTTNQQLHQVAPNRNLLLLENGRRSVVSLPRTTS GSMPRTTSGSMARTSSGMEQRVQENGGGPRPPLSRPAVPRSKAEPQLDDDDDNAIILK NRYVAPPPTSVAYPDEDDAALFFRRPSPPSLDGSSGDQSYYLGGTPPQMPLDETTNQP RRISRRHPHVSEEGGSLEGGAVVNLGDIGNASDALAALASGEPLLAAAHVIADSPVAR GPSPRSSAFLEHEADILESLVDNTSEWRGQVEPIRRPRRGSAVVDLRDIKNASELLQK LEHQEAPATSFLDLQRRPFSPPPALAQLAVHPPNPPKTADDTPSQRTIEAAAALLPPL QPNQDAVTFRTFAPPCVSRDATFDLTLWTNVVHSRRGEREQQLDDDDDGASSVVPFRR GALVHVSMAAPDGFHIAVANTPDESTDATAKTTTTTINTTMTWIGDSSCITFRIQGST PDHHPVVKLGQAVFVATLVVGTCVVVVRSFVFITSNRGESFEVAELRREVDVVPVKHR PIPFHDLRLRNLVSHAPSGLSYLADYQRQEVVVRMVRSPLPVADIPPYNNDGNNTNHK GNRVTTPTDFERTAAILTVLGHHPHVESVVGATTDVNEPWAIVSVYTPHACKLDVLLH ENHRPPCRNMPATTLLSQIDKDRILCDIALGLLNVHEAGYVLRDISARHCAVDKVTKR AMLTELFPVVPITNVPTNGSGQESTGRRPGSFEQDSNMAALKYFAPESLQPPHTFTSS SDVYSFGVLMWETYTEARPFGNLTGAEAAAWVVEGGRLDHVSDIPVDHRDLLVQCFQE VPSKRPSVADIVAHFRMGLLANAKTSHWTEIGRGGADGHP H257_03170 MDDGVQWWRETIYPQPSVSRDALVVALFQCPAIMLPQMSMKEAS VYLDVCLERKSDVVFRDWERFLIRFGPFDKCVLKAVQCFQDKLGIAPWFHGVISRAQA EAVTTSSDDGAFLVRFSETQPDKFTLTYMKVHTDPIYNGRKEIKNVLIVHNPREGYGL QDGGNGVKYPSIASFIEGSSVRLRTPIISRLSIECNATLKSIQASSSSMFGSTQSTYA NNEYGNLSTNDLIEVVAPPTLVTTGPGSTDYGNLPLAGGKHSRKAAPPPPSNEYSTLM RGDLHPSRTSNPADQSTSTHGGNSFHLVNSMARSGVPPPPLTVDANRSISQHSLPSFL LSSHPHASSAYGRFVQGNGVTHHSDYGQLGPTNTHMGQPSNDYGDVGQPSAMKVLPST TDAYGRFGPTTTTLGSFSSSASSLEPPPTSQQAGSSHGDYGAATSTPPHLPPFTASVN DYGLLGFQVNNAYGRFGASSEPPRQLPPSSKYGHFTMDTCGRRAGHGPVEPDARALPE PQVVRRHSDNTPSSAYGTFASGSLARSTSEYGHFGHASATLSHIPPSSTSLNVDTNGG RQNPLGPANSLPPLLHGKTDAVAQIETGMSLYKERKLDDAIGYFLRAEYCAKEAGEKT VEARALGNLGTVYLDKKLPKDAVRYYVKCLALTRNVEDKKRERIILNNLVLASIASGD TTSALQYSTELLTITAVAANRLKIESRIRTLQDELRRAGSACI H257_03171 MEGVAWQHIAGLESPRVSFVGFRVYRHLRDDTEGTPYAEESVFD ASPGNAKAISDVAPENDMVTIEYFLVRPKATAVESIWHNTLDTVSCIATDLFIANDLS APDISSLTS H257_03172 MRLCFVLLAGVLLHAARAQSNVTQKPLSSVPVTTTATPPTSTPR TTPWSDPTTPSPTPVPTTTDEVTTTAAPTTTLTPVTTVVETTKTPSKDPTPITTPPPP PPPPPSTSTSKPTASTPHPITTVSDATTDAPKSTAATSSPTTTPTTTPTTTPRTTPTA EAASGTSSTTYLAIAGGGVFVIGFVICLVALLRRRQATDDEGDNHHHITAQEYYSNQS NNPHHQLTGGLTQKYSQGGTMSTTPATMRQSIDFSGPGTARGSSHPRSGNNHTQGSRS SNTGGPYSMTGEVNELAGYDIRFDKSMSNFRVANDEIVVGELVASGGFGVIYRGQFAG EDIAIKKCLPDKVNNHSAMESFMLEIKLMSKMDHPNIVRFVGVAWTTLVQLKMLTEYM PRGNVRMLLQDVKSNNGLSIPWGYASGRNNRAPTKLRIAANVAEALVYLHSNDPPIIH RDLKSNNVLLSNDFEAKLTDFGTSREMSDEHTMTAEIGTLAWIAPEIMLGGHYTEKAD IYSFGVLLNELDLCDLPYSNVADSRGAGISHTRLGVLVAQGKVSPQFSPQCIPWVLEM GQDCLRFDPSQRPTAMQLAYRLRRAIAGVK H257_03173 MELVHVLAVFRHGDRSPITGAVGTMLKMSEGDTAYWISQLASES SRRRLNALVAVHGSDSDAPPPMPGGVWPKGHLTEQGVADMERRGRAFRRRYQVFLANI NIHDVVYATSTNIRRTILSAQSFLAGLCHDIVGLTSDNPFVLHTVDPKELTPILTGHD YRQGAQHAREVALSTLPGYKALEDAVKDVLGIRGVVNWASLREVLDCLQAHELPLPNG MTTAMYESIVRHAAWEWYMFYSDVQRGQRGFLLAMRTFQSLLSDAIEMAPQRRRLTLV SAHDNSLVALVCALQLHHSSGIIAPSYGSMLVVEVYRDNRDGSHHVDVHWDGKPLRFP NQTTSLVHIQVLHAAIASFVQAHSPAHSSI H257_03175 MADSKYGRSSELRASGGGRGRGDMSNASSSNHRPTSGGSGGTDR IAPSSEVKIGRRRNHRQAHDVTTALQEGMTIHLVGTPFHEETLAPHEEIPVLQDDTLV LHAGTLVHHPDETLVHLDESLRGPSETIPLGLATSVLRHSIVEVHRVKGIRRAPHHVQ RSNL H257_03174 MSRTPSRSHCCNLASCAWMRWPTVASSDSASATMNDDKSTSPCN ARHLVSMRLASRYFCHTSVQVCAHASVLGLLYTGRGGLSSFFRVGCFADPPRPSSDSA FRFLPWDVSISFLDIAPSVASLGYFLTKLFSSADRCSGFRRVLSAHSSFE H257_03176 MGKHTKAAGRPAWSAAAIALVYDQHGFDVVPAVSESMAASSTPH SWGVFFNLTNAIAGASIIYIPHAMADGGFLPVLGLLLLCGGITWYAMNILIVLGHHHH VQSYEDLAQLAFGVPGFLAVCFFQFTFSFGANCSYLLVIADTLPVVIGRLCKMHIAHG EPVPSSQTSWYLRLVADRNICVTLVAVLVLLPICIHRSFASLEKFAGVSVLSVVVCTG VIVYECMFHKDEYVAESRGFMYDYVAVHPDIFPALGTIAFAYVCQHQTFLLYNTMSPA TPRGFADISRAGVAVSVALIFALSIPGYLLFLQATRSDIFLNFTNLSDKLIQGCRLLT AVNMILTYPQEFMVARHTLQRLLNLHYAHVSVVDLFHEQTKPINQRTVWHDASDEDGG QPTLPTALSTPWHVGLTVVLLTSTLLISMADRRLGDVTSLTGSFSAVALAFVLPAACH LKLQVPAQHRRRWNDSVVPWCTITFGTFVFFVSTTTSILAIRRRHMETP H257_03177 MNCTSCCGAVTDLLKTVAFVALLMALPCMEASRVYVSSLEYQAV NDASGYRFSSAFLMMCLFLFGFLCTQPSKKKAAFSSSSLVASSSSPPMDTFSCVMYAQ RQRRCKASLTLASMPSEVSNEIFSYLDAQSLAVSAVVCSSWLELTGDSNSMLWQLIFR RDMLEDGRRFHAPCGLSWRAYYFTHRITRPLERMHLLASQGQADCIVVNSRVYDIGAF LYLHPGGHRVIADVIGTDATDTWFQFDHSDGAQEHMKELEVVDLFESPYRGTVAGIFT ARQSAWTRMTRQMFGHAKDMSALLSRFRERIVS H257_03178 MHGILFCHGTMSTCGLRLVGLVAFLASASASWNLPSNPAAVAML RDAHLQRNVDIANATALYMAVVTMGDWAATGDALFALGELSFPHGDSVDFIKRSARLG NPSAQHHVALMHAVGFPHPSTNLSLATSILHDHFASTAGNAPASKTLGYRAMFGQGVP RSCAHALVYYKRAASIVASTYDIHANLPSYPRLPELQDDARYSTAHDFNKLIFLAAAA SKTKNGATLYRIAKLLLAQPSPPDYTFVRKLLSQALAWGHLGAAAHLGRMYAHGWGVA INSIKAREYFLMAPPGDAEALHGLGLLSLPSDTRLALHYFQAASKLGHLNGIYHAATL LTSIAPQQARRYFEAASMSGHVVATFKYAEIVERTNDLASSFEAKCTKVVALYKRVAE SVPVPLLDVALTKFQAREYTSAYYLYRLVAEEGNEVAQTNAAFLIQQGYVSEPNRDVY LSLLRQAARQGSAYAHLELAHASYARADYAEAMTLYATLTSQAKFQTSTSAYVFVSSA LFSMGYMHEMGLGVPADRRKAMEQYTRLSQVERRVQVPLALWKWKWWIQDVVAAAMDT ITVWMALDVVRPAKVAVDQVWVY H257_03179 MSKGTQSRRRAPPRRKPLLKPYRRSTLLAKRKQQGYTIGTIKAL LQKHSTLGPCSSDREFARKENVPIATLRGWLARKEEFLQSKKHTSNATLSGHGHSEAV DFGDALASFMDSVRDHEKFLTTAHLVTWLKNHQPAWLDSYLEGKVDPSRAYKALLGWC QDFAHRHGFSQRVPCASKRTQEDLQATRIEYAQSFWSRYGAYDRGNIINIDETGVHYD MPPRRTWARIGKSAKVDRQQKHSDRVTAVLAIRADGRKLPPCIIVRGQPGGPVEQDEL PTYPRDAIYAVQENAWMDERVWDIYLRELVQYEIEGPSVVVVDNLSAHVTPAACELVR GDLYSVLEELPANATSTVQPLDVGVMGPFKAKCRTEWLHEVKVTTAAEKRLAMVKRIL KVWESIPPAMVIRSFDKAIPCDES H257_03180 MSSDQEPTWIVVVSNLRATDLPVADPSFLGGSSDPYLTFVCMEQ VKATPCLPSTLNPVWHEEKFQFTLTGTSLNGSTSLFVKVWDSDVISKDDLIGTVEIPL GSFPRGRQPNANECTFPIVLDADFIKQRVSPQLHVKIEILSQAESLEEITHEVWENER ASRGLTGVKWSYTNLQANERKHWSTDHGRLSSVKFDAITPSVPKGYTAGSWHYVKALG DDQGWVYASSFNGPWYKKQSLRTSVRRRRWLNSFVKEPTLDDNEF H257_03181 MGLVTSYCCAPDDEPHLFRRKRPALDKNARVIRIVLEKATDLPA SDLEALGGKSDPYVSFQFGKQRRRTDVIDNTLNPVWKHQEYEFVVSDIEVAQHKVLKI RVMDYDAASADDLLGDLEFDMTQWDGATRLADAKLQPYALRTPSSFDFQNVAPHVHMS ICFLSPWEAAARLTEEIWENERWLTTTQSWSKNNLTYGDKHAWTSSNGKQAGAAFKDA LPDIPDGYTQAGQWQFDISHGDSNGWVYATTFSGPWRKERGALHRVRRRLWVNHYNRN PETVAPAAF H257_03182 MDGPGGGGNNALSLSWSFGFNKDIVGGVHSLSDDTVHAIFYTAG HTGVIYNYANRTQKLLQGHCNPISCCAVSEDKRWIVTADRGQDSMLVVWDATTGNPIK TIFNPHSDGVQCLDMSPDAMFIVTLSIAMDKANVKEHAQEIKLWEWTVARDDALYVAA VTTEDVQTCVRFNTYDVRELMTNGAQRVIFWNWAAHQLQFYSPPLSQKDFKQTIGAFT QSLFVPDSSQAITATVDGDLILWDAAPVKANATTIHADKKAIKIVRVTGHDKPVAVNF LADMDGYLVMGCADGAVRFYDFDFRLVAWFEDLCAGPVMAVSFANVDAAPASADVFTV PEFIVSTSSAFILGITPSIHDEYDVEKRRGTLLMQGINDEIHGLAAHPASNHIAVSCY SGAIQLWDYVGKRLVMLRSFDRDKLRPQCLTYHPSGKHLLVGFTNGTIKIISATTLED VTTFRQAKNAIVDVKIAADGSFIAAIDAHNYLYLWRSKAMVATDADELDTTDLWSYIG RCKSHTKPITGLEFGMSPDQQALLVTVGEDKMLVDYSLSRSSVMDGIILNAPPQKIEQ SATPTTFFWHPDMPGVHEDLVVIANDEYKFKQWNANNKTCRKTTLHPTYGGPLNRVLA IPTDKLAKENKTSGRYCAYSTHDKVVGVLKLPLDGNPHKSMGLIAHAGRISNVAVSFD GKYFITAGGKDMIVNLWDVNPRALDALEAQGGAGMEPFASLLEGGVQGAFYSEIVDYF YFAQLRTQGENATAARDIAHHIPLLEIPHLMRALGYYPTELEIQNMCSEVKYSRFTET TKTVDVVDLTAFVKLYTNHRPVFGIGKKQIDDAFDVLSGHKGPTLKWADLKAKLLTMG EPMTEDELTSCMHALLGDEADLVETTISLSSSVFADKVLGFEDYERDEQPVPFT H257_03183 MAVRDYHYTDAISPYTDFDRLDDLHLNPDLSSPSLDETMNHIVQ VESARARRGVSATTTRRPSLDAGRSDERRWFPRRLFPKHSLWDSNVLWAALAALVLAT SSMAVVGFTLLARPSPSSLGVHFACVAPPGDKTTAYLDTFQDSHAILGALVQLATFSV LGLVSLYVGIQCSFSQQKVMSNPHNSNLMSAFVLPCYGTIWYTVAVLSVTEIAVIASS QVEYVQAHRRPFCIVLNLPSMWIRHLIPLLMLQKSVSQRAVHRAIALSGILTAAVLSV LVEPDTFPIVFIVYPIAVMLLSAFVKFVLHTRASFDLYFYFLLVSCVANILPPVVWLA AADASTPHVVVMLSIAAPVVDGGTLAAVMLTLRVDTMYWLGLDTPSSNTNDPAKLYLR MIADQGMVSSFSTRTSVYDVHFLIETFKHCMVDVSSLSLEAVVAQGSTAVVLRGRLQH TLLRIPQPVAIKLYTTLFVTDDEVHLFSKETACNVQLSHPNIVRFFGLCVVPPSVCLV FEFCDLGSLESILQSQRRSGEGWDLRVKLKACVDACRAVAYLHSFQPPLLHRDIKTAN YLLSSTDGLLKLSDFGESNLLGPKNDGTMTVVGTVDFMAPEMIIGGNTKSAVYGPAAD VYSLTVTLWHILVPGEAPWRGRSHFDVYTEIIQGQRPRLPPDLPPGCVELLESGWCPQ PHDRQPIDVMVQRVLELYRPFAQQDMAPRQVNRSASKRRSSVLASSFLSKQNTTPPES E H257_03183 MAVRDYHYTDAISPYTDFDRLDDLHLNPDLSSPSLDETMNHIVQ VESARARRGVSATTTRRPSLDAGRSDERRWFPRRLFPKHSLWDSNVLWAALAALVLAT SSMAVVGFTLLARPSPSSLGVHFACVAPPGDKTTAYLDTFQDSHAILGALVQLATFSV LGLVSLYVGIQCSFSQQKVMSNPHNSNLMSAFVLPCYGTIWYTVAVLSVTEIAVIASS QVEYVQAHRRPFCIVLNLPSMWIRHLIPLLMLQKSVSQRAVHRAIALSGILTAAVLSV LVEPDTFPIVFIVYPIAVMLLSAFVKFVLHTRASFDLYFYFLLVSCVANILPPVVWLA AADASTPHVVVMLSIAAPVVDGGTLAAVMLTLRVDTMYWLGLDTPSSNTNDPAKLYLR MIADQGMVSSFSTRTSVYDVHFLIETFKHCMVDVSSLSLEAVVAQGSTAVVLRGRLQH TLLRIPQPVAIKLYTTLFVTDDEVHLFSKETACNVQLSHPNIVRFFGLCVVPPSVCLV FEFCDLGSLESILQSQRRSGEGWDLRVKLKACVDACRAVAYLHSFQPPLLHRYILYSR SSTTSITMYRTNKS H257_03183 MAVRDYHYTDAISPYTDFDRLDDLHLNPDLSSPSLDETMNHIVQ VESARARRGVSATTTRRPSLDAGRSDERRWFPRRLFPKHSLWDSNVLWAALAALVLAT SSMAVVGFTLLARPSPSSLGVHFACVAPPGDKTTAYLDTFQDSHAILGALVQLATFSV LGLVSLYVGIQCSFSQQKVMSNPHNSNLMSAFVLPCYGTIWYTVAVLSVTEIAVIASS QVEYVQAHRRPFCIVLNLPSMWIRHLIPLLMLQKSVSQRAVHRAIALSGILTAAVLSV LVEPDTFPIVFIVYPIAVMLLSAFVKFVLHTRASFDLYFYFLLVSCVANILPPVVWLA AADASTPHVVVMLSIAAPVVDGGTLAAVMLTLRVDTMYWLGLDTPSSNTNDPAKLYLR MIADQGMVSSFSTRTSVYDVHFLIETFKHCMVDVSSLSLEAVVAQGSTAVVLRGRLQH TLLRIPQPVAIKLYTTLFVTDDEVHLFSKETACNVQLSHPNIVRFFGYVLASSNC H257_03183 MWIRHLIPLLMLQKSVSQRAVHRAIALSGILTAAVLSVLVEPDT FPIVFIVYPIAVMLLSAFVKFVLHTRASFDLYFYFLLVSCVANILPPVVWLAAADAST PHVVVMLSIAAPVVDGGTLAAVMLTLRVDTMYWLGLDTPSSNTNDPAKLYLRMIADQG MVSSFSTRTSVYDVHFLIETFKHCMVDVSSLSLEAVVAQGSTAVVLRGRLQHTLLRIP QPVAIKLYTTLFVTDDEVHLFSKETACNVQLSHPNIVRFFGLCVVPPSVCLVFEFCDL GSLESILQSQRRSGEGWDLRVKLKACVDACRAVAYLHSFQPPLLHRDIKTANYLLSST DGLLKLSDFGESNLLGPKNDGTMTVVGTVDFMAPEMIIGGNTKSAVYGPAADVYSLTV TLWHILVPGEAPWRGRSHFDVYTEIIQGQRPRLPPDLPPGCVELLESGWCPQPHDRQP IDVMVQRVLELYRPFAQQDMAPRQVNRSASKRRSSVLASSFLSKQNTTPPESE H257_03184 MRQEVFAQLPSYNPFVGSFHHAPSAGNRGAAYFAGDIVFTPELE MRKKLRQNPFAGTFHALPGYYDPLHGLKNFRKPQKQTTEDMYVEVDLDSRHSESSVYI LTKPKPTLQRVRSRSESDLTAAVMTQQLKPKTTVNRSSTARRSSTTDVVKIKRQRSKS HQQRFISLATAA H257_03185 MSSGSDEFDDHYTTSRKSKKVAATKALAPSTKATPTKSKPSKRP KQDADNGVTWVRDEEAPSCHICLVGFSLLKRRHHCRQCGNVICSTCSHSHLADSKPTR VCTACNDRLVDGTVAPAASSSRRPPTSQPAPSAGALPSSSKSKSKPRPSAAAPPSRPP THRLNDDIDDWFMDDNKGPPPPSAGMPRPPTSSSPATTSARSNEPKAHNAAAPPSKPL RLADLVFDDTPLIGSDEDLSPLSTRLGGGKPFKPTPAFHATAYTSSSTYADPHVRMKY ASAIDMTDDFDTNQSSRPPRTGNGPMVTSSYTNGGSSDLEGSRLSDAFLTNYCVSSAA AATSLPITSSSLRSSLSYAGSSEFTNAKSATPPITSTDQGHHEGGGFAAAIRRLFRGN RDKSVPTAAPLEPASAPPPVAAAPTVISTIGARTSTSWAPNEPPPRASYVNNLQPHPS IPGTAPSTTDYRNDPTPSGFQTNNFRLPYDDNADDNGDGGIRTDEPLPPPLWIRGGDF RGHTTQGEAPRMDGLGGRDEVSMVVATRSRRDTFDDIFDGPRQVVVPSVVLAAKTEPS RVLEAAATRDRFEAPAARVPPSGQQSNMYDNFGSRGGGGQVLLVDRFGGTERTAGPSR VNQSDDDIFGVSVTTSKDYEYDPVTGTYVAPDAPPPRRPPPGQATFAPNHVVVDMTSA TVIVDKLTSLEAELAELKALLRDRRTPRRSSNAASIFDDDNDDHAGNLVVQKPKSSSR VAKSKPSQRPPKTQKHDNAVGTRRRDSFEMLFESPDKLDTLYGNVGGRGNESSGGDDD DDNEQPPRPVKKAAALNDRTTIPPPRRRPDRSVDTEAAAVTLPAKPKKRSDSIDALFQ DMANMDDLYSKDSQSTGGNDDDERRHSTFKGTVNESVEQPSYGTSNQSTTKQLSSQAF ERQADGARIRRVVNMDDPFASDPEDNLDDNAPLPSLKRLGKGRVNTVKTASKTQVATE RETEVMAVTAVGDGTPRVMTADTARRHPREEAEGPAAPPTPTYVDVIDALFETNEKKA PHKQKLSKLDNPLATAGLEDRASGDIKADEDRGLVLRAPTSTLTYPNDNAPPSLVVPG RQSVQETMQSALDSQLYGTQTRTEWPTNAPFVVDEVVEQRATAEFALTASFSDDDKKE DEYLPSLKHRQSPHNEVSVEIQSSSGRIDVHDPSIRGGKQNEDVQAGVDGSVRELLDG DLPVSGASTYPEGSVVTPPTYVIKKSLNSTDILTSEISDDVPSTSGDQIETMSHVPRG SFDQPPAYKSMSSDLVEAKTQSHQAPLPPPSRPDSVPSSTPKFPLDGDDRPPSGSIDA EVDDLFAVKPNKKKPAKSVAPLPVGKQRHTAPVDVDGTITLGLTVTSITIGPTTALSH PGSADDQLDPLLSAVGVNDDDATWHALQEEQKQRKHNALRRQRQLQKQKANPITSTTT SAKKSAPRKPSKKPPTTSSSSSSTINTVDD H257_03186 MTAHDSPAWNTRSSQTSHRHTRKATTDTLREKQHPVASYLVIQF EMVSSFFPQCMTVFGLACCEIADSMVLIVVLPLGNMIDFSSLRLEAVVAQGATAVVQR VPREHPSILGYQYGQPCLASDGLLKLSDFGPRSDDTMTIVGSVDFVAPEMILGGNSKS AVYGTPADVYSMTIALWHILLGVPPWPSTAAARPVGPAASGVGAETLRPPPSRHYGQD GA H257_03187 MSSRKNSRRASRSIDIPIPAAAANAVVLNSPDEERAQAFEEYAI SGGSYSDDHEYSHLDSDHVMFVPSAGTRDLEESHNQAKYRHVAVHSAFSTASTSPFAS YSSSPSTSSSFYKSAAYSASPPMMSIFGKQPFPDFKVQVSPFASAVAPLSPSLSLENA STTTFPSSPTLASSSADPFHQELPVPALETTEFYHKVNPSFPTKSTPMECLEFFAKTL STLGFQYSIKEHWTIAVTGLLCVEEVQFSIQLKQDVDNLIVDFTLEQGCERNFLRLFD IVRHLARSLDAQPLPTTSFVPSMEWLDVDSMPELFPGSSHVSATTLLEYCEEYLQLAD DATTTSSTRGDASRLEMAACIKNACLSDKNRALVVASADLAGAFGSALYRMAQDDQLS IVRFAVFILSLFDVSTLVALAAHLQVDGGMLSVLDKMETHDPIPKMKSIAASVRTKLV PSN H257_03188 MGGNLSTKHAKTIFVTPDGAIGITYAFAQEYAKHMPFEIKMPVL TPRHVALIKQNWSAICRGTNAFDATKHGSPDKFFHRTFYSLLFAVMPSLRCIFRSSLT LQGKSLASIIKVMTNVMTTSRIVEQMQALATGHLKFGVRKDDYTTMGVTLIRTLEVIS GNVWSKDVKEAYLTAYCFLYYLLLPVIAKRAPEPAQESLACAVSAIEVVAPNARRLTL NYDFPLRFQPGDGILLGAGDTKRCFPIASFHANPTNTLDIVVDLATSDWLCRQAIGSK LRLFWVESHVNFEIDTPDTLPANVLLISHGVACAPFVAMMQGLCSVRDQYNGQVVALQ CADTIEDVEAFQRPPGLADCAIHFAPEVNQAKLLEIAPTLAQFELYVGGPRDFVAQVE REYLAAGGRKRVHVYSFDKYNR H257_03189 MHKTSVGLELFERISRVSVGNEKVADYSGFKSPSGVDNGRGNDE GALREGGAPVYTSPEVLALLAQYVTVGLMYGALPLVPYNILVSYFHVTGTRYSSARAL ISLGWSLKVFVGIVSDCFPMFGYRRKSYMVLGWLLCGSCMLVLGFLPHGGPGNPKLSK TDPYNVDAQSRATTIGLLCALATFAYIIADVPADALVVEYAQREPEHVRGRMQSLIYA TRTVTSTLSIALMGFGLNSANYGGSFNADIGLNTVFLILTVPCFLNVFVTHVYIQDKC HDGVNFRVYLRQFWDLAQKRAVWTIMLFNFFFNLFGSNITTTAAPYVQSVWANVDNVN NSVMSALGALIFAAILGVMGRWGTTWNWRFWIVLSTLSAVAIDAVVQYLTIYNYLRNQ WFYLGVPITEYIPQGVLFIITTFAIVELAEEGNEGIIYGLLTTVTNLPSVFGTMVTNM YCERFQVGEGDIKSDTSQVRNDVATTYVVYYSSVVFACLFVFLYPTQKKMLQEWKQNG TKHPWVGGSVLVGAFSILCVSVTANIMTMFESTKCYHIAGGHGCTP H257_03190 MLRNLITRNISNEIDPRNLLRVNAANYETSLNAMSMQALGDAIV KGLQGTIQFSPAFGPVASLAPTRLAPAPATKPATIPRRQATLDSFVLYAVVPTARSAM DAWNQWYTSDPQLGLFQPLRSFTKEMIRADRRKYSERLTLSKAFANSYSNTLSEVRKR KREGRL H257_03191 MGGAVSVENAEIIYVAEDGSIGLTEPFAARFENDMPFDIKRPVV TRKHETLIKENWSAICQGTSAFDAVKHLTPTKFFYRTFYNILFEMAPSLRPIFRSSMT VQGKSLAGIIKTLATVINGANIVKASQELAKRHLQYGAKKDHYTAVGQILLQTLEIVS GDKWTPEISTAYLTAYSLIYFVMLPVILNNEPVEITESLPATISKSETISATAKRLTL TFDFNLRFHPGDAILLGLPVAEGGEVKRHYTIASLSVEGTNTIDIVVDDVSASSHWLV TQATGATVKLYWIESNVRFEIDSPESIPSNVVFVSHGVGCVPFLVMLEGLYRIRETFH GSAVTLQVAPNQADVDAYTSAVTSTGKPIEWESSSIHYAPTVSADKLKDIAPNLAHSD LYVCGPADFIATTEEAFVATGGSKDRIHVYSFDNAQLGARKIQ H257_03192 MGGVVSFENAEIIYVAEDGAIGLTESFAARFENDMPFDIKRPVV TRKHETLIKENWSGIYQGTSAFDAVKHLTPTKFFYRTFYNILFEMAPSLRPIFRSSMT VQGKSLAGIIKTLATVINGANIVRTSQGLAKRHLQYGAKKDHYTAVGQILLQTLEIVS GDKWTPEISTAYLTAYSLIYFVMLPVILNNEPVEITESLPATISKSETISATAKRLTL TFDFNLRFHPGDAILLGLPVAEGGEVKRHYTIASLSVEGTNTIDIVVDDVSASSHWLV TQATGATVKLYWIESNVRFEIDSPESIPSNVVFVSHGVGCVPFLVMLEGLYRIRETFH GSAVTLQVAPNQADVDAYTSAVTSTGKPIEWESSSIHYAPTVSADKLKDIAPNLAHSD LYVCGPADFIATTEEAFVATGGSKDRIHVYSFDNAQLGARKIQ H257_03193 MAPFKRHLRDLWLEEELIEALSYTASGTFGAILA H257_03194 MGGAVSVENAEIIYVAGDGAIGLTEPFAARFDNDMPFDIKCPVV TRQHEALIKENWSAICQGTSAFDAVKHVTPTKFFYRTFYNILFETAPSLRPIFRSNTT MQGKSLAGIVNTLATVINGSDIVWAAQELAKRHLKYGAKKEHYTAVGQILLQTLEIVS GEKWTPEISTAYLTAYSLIYFVMLPVILNNEPVEITESLPATISKSETISATAKRLTL TFDFNLRFHPGDAILLGLPVAEGGEVKRHYTIASLSVEGTNTIDIVVDDVSASSHWLV TQATGATVKLYWIESNVRFEIDSPESIPSNVVFVSHGVGCVPFLVMLEGLYRIRESFH GSAVTLQVAPNQADVDAYTSAVTSTEKPIEWESSSIHYAPTVSADKLKDIAPNLAHSD LYVCGPADFIATTEEAFVAAGGSKDRIHVYSFDNAQLGARKIE H257_03195 MGGAVSVENAEIIYVAEDGAIGLTESFASRFENDMPFDIKRPVV TRQHEALIKENWSAICQGTSAFDAVKHVTPTKFFYRTFYNMLFETAPSLRPIFRSSMT VQGKSLAGIIKTLATVINGANIVSAAHGLAKGHLKYGTKKDHYTAVGQNLLQTLEIVS GDKWTPEISTAYLTALPATISKSETISATAKRLTLTFDFNLRFHPGDAILLGLPVAEG GEVKRHYTIASLSVEGTNTIDIVVDDVSASSHWLVTQATGATVKLYWIESNVRFEIDS PESIPSNVVFVSHGVGCVPFLVMLEGLYRIRESFHGSAVTLQVAPNQADVDAYTSAVT STEKPIEWESSSIHYAPTVSADKLKDIAPNLAHSDLYVCGPADFIATTEEAFVAAGGS KDRIHVYSFDNAQLGARKIE H257_03196 MLRRRWRWVMCLVALLVTCTSCAESDEGPVHVEAHEYTFPERHH ASPPPPDMDIEIHSTDISGNMLRDKIVRPTESQASSSAVETHVAHETSASISAPNKPA HVHFVPVSSTSLGLVDIPDAIVLTPDQVRSASLVVQAILLGGVIAAAVVGSITYVVRS RRALDESIERVHRLVDVAAIRRAIDTSTTAEFHAAATLRLRVLTFRSNVDAVVADSTQ KDFDADRWVVVNRATKAVEPTDVAALLARLSVAIDEGDDLLRELAAQDCPAFKSIQEA IASHVKTLRVRHDHISELKATILDKLHQAIRSSSSQRNGLQLDDWTRLKELWVALGLP QADVVAPHGWYQTILRDLEAKDAMLRQLAELKQAVMLPLLSTWAANKDDDEVVVAVDT PSVALRNMNMLLKEAKANQWSHPEFDQLERFVLHTHSQTQQQHTMVLASSVAPLSPPA MLRTCGHHRGGARDDGTSGEDIGRDLAMHDERMKLDFMIHREKLYFDKINTDRILQQQ LDIHMKTLEESQRQFHLQARDNREREERMYQRKLDDATKKLERKQAEKRQLVADQAAK DAATATAKFLALRVHAEWKLVKRVLGINVVVVALVAALVCWDAVTSRELLSSTCDVRT SYWTPTHLSNWVSNHVRRQGWAGDGIGVGVCVHLFVLEPVVVGREHSCGHRAIHFSGV VATCIVATAACAVARNVQLYSGVFAGTRYTTLVECATHQLEARRRVHWLPRRVVGGGA RGGDVDSVRCARRVLPCCCGVVCRAHIEFVRIINTLPYVS H257_03196 MCAEDHVSSSIVDVAHETSASISAPNKPAHVHFVPVSSTSLGLV DIPDAIVLTPDQVRSASLVVQAILLGGVIAAAVVGSITYVVRSRRALDESIERVHRLV DVAAIRRAIDTSTTAEFHAAATLRLRVLTFRSNVDAVVADSTQKDFDADRWVVVNRAT KAVEPTDVAALLARLSVAIDEGDDLLRELAAQDCPAFKSIQEAIASHVKTLRVRHDHI SELKATILDKLHQAIRSSSSQRNGLQLDDWTRLKELWVALGLPQADVVAPHGWYQTIL RDLEAKDAMLRQLAELKQAVMLPLLSTWAANKDDDEVVVAVDTPSVALRNMNMLLKEA KANQWSHPEFDQLERFVLHTHSQTQQQHTMVLASSVAPLSPPAMLRTCGHHRGGARDD GTSGEDIGRDLAMHDERMKLDFMIHREKLYFDKINTDRILQQQLDIHMKTLEESQRQF HLQARDNREREERMYQRKLDDATKKLERKQAEKRQLVADQAAKDAATATAKFLALRVH AEWKLVKRVLGINVVVVALVAALVCWDAVTSRELLSSTCDVRTSYWTPTHLSNWVSNH VRRQGWAGDGIGVGVCVHLFVLEPVVVGREHSCGHRAIHFSGVVATCIVATAACAVAR NVQLYSGVFAGTRYTTLVECATHQLEARRRVHWLPRRVVGGGARGGDVDSVRCARRVL PCCCGVVCRAHIEFVRIINTLPYVS H257_03196 MLRRRWRWVMCLVALLVTCTSCAESDEGPVHVEAHEYTFPERHH ASPPPPDMDIEIHSTDISGNMLRDKIVRPTESQASSSAVETHVAHETSASISAPNKPA HVHFVPVSSTSLGLVDIPDAIVLTPDQVRSASLVVQAILLGGVIAAAVVGSITYVVRS RRALDESIERVHRLVDVAAIRRAIDTSTTAEFHAAATLRLRVLTFRSNVDAVVADSTQ KDFDADRWVVVNRATKAVEPTDVAALLARLSVAIDEGDDLLRELAAQDCPAFKSIQEA IASHVKTLRVRHDHISELKATILDKLHQAIRSSSSQRNGLQLDDWTRLKELWVALGLP QADVVAPHGWYQTILRDLEAKDAMLRQLAELKQAVMLPLLSTWAANKDDDEVVVAVDT PSVALRNMNMLLKEAKANQWSHPEFDQLERFVLHTHSQTQQQHTMVLASSVAPLSPPA MLRTCGHHRGGARDDGTSGEDIGRDLAMHDERMKLDFMIHREKLYFDKINTDRILQQQ LDIHMKTLEESQRQFHLQARDNREREERMYQRKLDDATKKLERKQAEKRQLVADQAAK DAATATAKFLALRVHAEWKLVKRVLGINVVVVALVAALVCWDAVTSRELLSSTCDVRT SYWTPTHLSVIGCQIMYGAKVGLAMVSGLVFVSICSYLNLSLWGVSILVATALYIFRA SWQHVLWRLPHVLWLGMFNYTVGYLLAHDTRHSWSVQHINWRPVVVYIGYPVVSLVVA LVVGMWIACDVPVECFHAAVAWCAAHISSLYE H257_03196 MCAEDHVSSSIVDVAHETSASISAPNKPAHVHFVPVSSTSLGLV DIPDAIVLTPDQVRSASLVVQAILLGGVIAAAVVGSITYVVRSRRALDESIERVHRLV DVAAIRRAIDTSTTAEFHAAATLRLRVLTFRSNVDAVVADSTQKDFDADRWVVVNRAT KAVEPTDVAALLARLSVAIDEGDDLLRELAAQDCPAFKSIQEAIASHVKTLRVRHDHI SELKATILDKLHQAIRSSSSQRNGLQLDDWTRLKELWVALGLPQADVVAPHGWYQTIL RDLEAKDAMLRQLAELKQAVMLPLLSTWAANKDDDEVVVAVDTPSVALRNMNMLLKEA KANQWSHPEFDQLERFVLHTHSQTQQQHTMVLASSVAPLSPPAMLRTCGHHRGGARDD GTSGEDIGRDLAMHDERMKLDFMIHREKLYFDKINTDRILQQQLDIHMKTLEESQRQF HLQARDNREREERMYQRKLDDATKKLERKQAEKRQLVADQAAKDAATATAKFLALRVH AEWKLVKRVLGINVVVVALVAALVCWDAVTSRELLSSTCDVRTSYWTPTHLSVIGCQI MYGAKVGLAMVSGLVFVSICSYLNLSLWGVSILVATALYIFRASWQHVLWRLPHVLWL GMFNYTVGYLLAHDTRHSWSVQHINWRPVVVYIGYPVVSLVVALVVGMWIACDVPVEC FHAAVAWCAAHISSLYE H257_03197 MAEVQRILKSRTHYHVLGFDSVHYVELSAVRRQYKALARQVHPD KCPHKDAEDAFKRLSVAYECLSDEALQHEYLAKTSGSKKRPRPPQARPPTSKPETTTT TKQRPRKPRTAADIYADFLKEEERQAELDFLKRGFERTFDDTRASRPPSPPPVHSDDF VADILSSGLESKQAKWAQFANPTSSHLSNIPSVPTAGPQKEVPKCCLLCRRKFPSLAQ LARHQAESKLHAANMAKQKE H257_03198 MLSAATHFEAVGTWKDEWLGVSVLASQSDKKGVHDRYFHTTARS SFLDARHHILHNPRAAYKDLVYVLINISWTSFTVWILGISALITALFALVFHFVCVDT DVFEDAFNLSYQSFSTIGFGILYPRNTCGNLALSLEAFISMIIISAFSGLVFVRFSKP RSSAVFSQQCIIQPYGRHLALVIRVANATRCHDLHADSILEASFRVNLMRIEETSATD RTHVLRRYDLLMLQADFLAVRRDIQLVHLIDRSSPLYGVTGLTLAASDFAIQVDLVGV DATTQNTVQGQMLYSALQVEWGVKFQDMCMVEGDAGKEQWIMDFGRLSATVPAPIPVH PPTSPTTSSPLSHAPHTRQLAYAKSRQLSIKDLVAQSTAGDLRDDSLDMQQLGSPVRG SSHYVDMPPSVESAPLRFGRNSRHNPEHLTQSLLNHELSSVQDAATLRASIVSSSAAA NVENAPHFDRIYPRQAPLPFSFHTFYTEALKTSWPKIIVYSFVAFVALNLLFAVVYYL EVDGVSVYDDILNNNTAFGVCLYYSVHTLSTVGYGAIGPKPSSTYQNFWIMVESTLGI VVITIFTGISWSKFSRPRAHIVFSNKAVLTHVRGGQRVLLLRALNLRTHGNISGNSFR VGVTEVNRHTNLRQVHDVELVNVTFPSINIPVTLVHIIDNRSPFAKFKTEDHFRDCNL QMLCLYSGIDHTFSTNVYARKAYKSEDFVVNEHFSDCAEFTPDGGVAIHYDRFHTHEM SMWS H257_03199 MENLVSAHHDHELVPSSFWTLCLENQAYMGGAGCNVCSTSCAGS WHCTECGFDLCGDCSATDLPPKSMTHPQHPHHSLTFLNIAELRDLHADYSAYVGCDLC KQLAYCSYHCATCCFDLCISCTLGQLAPLFGTPTTSLSAVVESSINWGDYYQAETEGT NARQVDDSEGLVNISRGKLASGSSMENEGSHATFAVDGNDQTRFASVDSDPQWLEVDL GVLHNISHVCILWEAAFASKYDIQVSTDRLVWTTAASVTDNRDEGWVKTNLPANVDAT FVRMYGHERGTKFGYSIYHFNVYGCQLAPFLEQVVVTSANVSLGDRVVRGLHWPVTSS YDGVCGYPGTVVAFKKPGEPAVHVEGQRPYRGPDKCCIVKWDLITTPAVHRMGASGQY ELYFHPEHAPAGATTDVPNDAITEELGILIWLSAPNAAATQEDDVGDEVQTLEAALWA TSRDKRLEWKGKLTARPPQITHALDKHLVARVVQGKLVQNDIRPKPNAISVFVSSTFT DTTSERNLLIADVYPYLKRYAALLGLEFSASEMRWGIRDEASNSHQTSAICMAELARC QTSSLGLNYVLILGNKYGYRPFPNQIPVDEFEALVATMASADAAVTRHWFLVNENVVP PAMELQPSTLAAPGTWWPIFEQMQRAFRNSRHVLPDRSRQDLYNISVTECEITHGLLH ADDPTTAAFVYHRVISDIDPDHEKANMYVDMRGHGQVDDDAQTLLATLRATKVKPMQM LGSKDYIVPWGPEILPETHASYLADFCDHFCGIMCDALLQASEQLNVAPDAVYNEAMH HALFCAQRSANFVGRLDILSKVHAYIRSPSESPLVLFGRGGAGKSAVMAKVAIKLTGS GGGVAVAAGSGLAAVVTPRHDPVLVLRFLGTSLDSTDIRKLLASMCLQLQRNYTTETA MVIPQSLDALIARFHNLLGLASETKPLVVLLDSLDQLSRAENAHHLTWLPQTLPPFCK LVVSALEAADEGGHCLAKLRAQTLPDQMLELPVMSAEDGRDILTAWLASRHRALTPDQ TSFLVHSFMQCPLPLYLHVAFTLSLPWTSSTPVEASLFPSTIPDLLNHLFDKLCGIHG KLLVHHLAGYLTLAKRGLSRTELEDVLSLDDDVLNDVFQWWVPPIRRIPSLVVTRLLS DLDSYLVTHAADGGGIPVLSWYHREFKVAATAICLKDDAITSALSATLASYFASDYAH VAKPFLDKKGVPGGRAHRKVTPQELAVPGTTPESVTYNHRRVSELPSALIGAKDWPRV ELVLSDVTFLQASVALGAISDTLMDIRRAIQAMHADDTPPSVLPQVAAFLSRDMFTLQ RHPQSFFQNIVDHPKGSFLRVSADARLTPPPRGYFQVVSTETPTSSIVASFKVGPVTD GASSLAAIAFSPNSLKVAAVSEPNYAQIVYLTVFDVISNTVMWTVVAEPDAKYDSVTW TADGTAVVAGVSSSGELHLFSETIGVRTQVLHAPHKTHDITSVVCVDATIFVTADRTK SELNVWEEGNVRRTLQIDGHGQVDHNDKRRVTQVVLSSDRRWLGVASYSGACSIWKCS TWTKEASFIASEGVKFASLSSDATMLAVNVETSNGEGAHVFGKAFDHPKPLIMDCLDM TGSALEVCGLAFHPNHPSILYVFNSTTQIYAFDVVRERRLAIYAAPGHSFGGAMTMSL DGSLIATLGQTNNVLLWHPGAKPAPLGAPGRVESVALHPNGATVAACHSGAESTRIVD VCNPTHLVTEFVNTQITSTACTRMVFSHGRDDGGLFVAATTNTSAVCVGQIENDQVTT TFYDTFEYESIDVAVHPSGEYVAALGLDAQYNSRGILRYIRRVDGSVLWEVPDMIRQA VRMGGMALQMSFSGELLACMTHHERLSVMDTATGDVQYSFPNAGGEHCYRFTPDFAMV AMASQGGQVQVWTIDNVERPMWHCNPHADVTNITGVAVLPENNVVLSCAEDGHLFALS LIDGAILSVYAATGLQPIHCFDILPTRLPYPRLAIGDDNGRVVVLDWIQQNQPSTSGI A H257_03199 MPGRSTTARGWSTSLEASWRRAAPWKTKGPMPPLPWTETTRRGS RLSTATPSGSKWTWGCSTTSHTCAFCGRPHLLPSTTSRCLPIGWCGRRRRRSRIIATK AGLRLTSRPMSTRRLCECMATSGARSLATRSIISTCMAASWRRSVVVTSANVSLGDRV VRGLHWPVTSSYDGVCGYPGTVVAFKKPGEPAVHVEGQRPYRGPDKCCIVKWDLITTP AVHRMGASGQYELYFHPEHAPAGATTDVPNDAITEELGILIWLSAPNAAATQEDDVGD EVQTLEAALWATSRDKRLEWKGKLTARPPQITHALDKHLVARVVQGKLVQNDIRPKPN AISVFVSSTFTDTTSERNLLIADVYPYLKRYAALLGLEFSASEMRWGIRDEASNSHQT SAICMAELARCQTSSLGLNYVLILGNKYGYRPFPNQIPVDEFEALVATMASADAAVTR HWFLVNENVVPPAMELQPSTLAAPGTWWPIFEQMQRAFRNSRHVLPDRSRQDLYNISV TECEITHGLLHADDPTTAAFVYHRVISDIDPDHEKANMYVDMRGHGQVDDDAQTLLAT LRATKVKPMQMLGSKDYIVPWGPEILPETHASYLADFCDHFCGIMCDALLQASEQLNV APDAVYNEAMHHALFCAQRSANFVGRLDILSKVHAYIRSPSESPLVLFGRGGAGKSAV MAKVAIKLTGSGGGVAVAAGSGLAAVVTPRHDPVLVLRFLGTSLDSTDIRKLLASMCL QLQRNYTTETAMVIPQSLDALIARFHNLLGLASETKPLVVLLDSLDQLSRAENAHHLT WLPQTLPPFCKLVVSALEAADEGGHCLAKLRAQTLPDQMLELPVMSAEDGRDILTAWL ASRHRALTPDQTSFLVHSFMQCPLPLYLHVAFTLSLPWTSSTPVEASLFPSTIPDLLN HLFDKLCGIHGKLLVHHLAGYLTLAKRGLSRTELEDVLSLDDDVLNDVFQWWVPPIRR IPSLVVTRLLSDLDSYLVTHAADGGGIPVLSWYHREFKVAATAICLKDDAITSALSAT LASYFASDYAHVAKPFLDKKGVPGGRAHRKVTPQELAVPGTTPESVTYNHRRVSELPS ALIGAKDWPRVELVLSDVTFLQASVALGAISDTLMDIRRAIQAMHADDTPPSVLPQVA AFLSRDMFTLQRHPQSFFQNIVDHPKGSFLRVSADARLTPPPRGYFQVVSTETPTSSI VASFKVGPVTDGASSLAAIAFSPNSLKVAAVSEPNYAQIVYLTVFDVISNTVMWTVVA EPDAKYDSVTWTADGTAVVAGVSSSGELHLFSETIGVRTQVLHAPHKTHDITSVVCVD ATIFVTADRTKSELNVWEEGNVRRTLQIDGHGQVDHNDKRRVTQVVLSSDRRWLGVAS YSGACSIWKCSTWTKEASFIASEGVKFASLSSDATMLAVNVETSNGEGAHVFGKAFDH PKPLIMDCLDMTGSALEVCGLAFHPNHPSILYVFNSTTQIYAFDVVRERRLAIYAAPG HSFGGAMTMSLDGSLIATLGQTNNVLLWHPGAKPAPLGAPGRVESVALHPNGATVAAC HSGAESTRIVDVCNPTHLVTEFVNTQITSTACTRMVFSHGRDDGGLFVAATTNTSAVC VGQIENDQVTTTFYDTFEYESIDVAVHPSGEYVAALGLDAQYNSRGILRYIRRVDGSV LWEVPDMIRQAVRMGGMALQMSFSGELLACMTHHERLSVMDTATGDVQYSFPNAGGEH CYRFTPDFAMVAMASQGGQVQVWTIDNVERPMWHCNPHADVTNITGVAVLPENNVVLS CAEDGHLFALSLIDGAILSVYAATGLQPIHCFDILPTRLPYPRLAIGDDNGRVVVLDW IQQNQPSTSGIA H257_03200 MHVRVLSWNVFLRPPGIQQPGGGDHKDFRLEFIMRKVIQYDVVL LQEMFAIGSSRLHRLLSFANDHGLAYHAGSVYPSLWSRQLVDGGLLILSRYPICQTKS HQFRDSCGSDALAAKGVVYAQLQIESQGTLLDVFTTHTQAGQGQVATTIRWRQIEELA WFVHTTRTDATTPAILGGDFNLDARHNVDFAGDPAKPVITKCAESVSYKRMLQLFSMR SHDSVTHVANVLGAAHDVTNANGHGVLAQTWSKEQVNDMGKCIDYLFVFEPSRGGSHG DGEPSSVVHAAVDTCALDRSPLIDGGVVEQMIGSVTHLSDHWAITATLRFPLQHNVQS QLSCPLQSHQLDYYKWQLLWWPFKATAVIFIAGVGVVAAGITVTRYIVGL H257_03201 MRTFLVVAVAAMAAAVTSTQVPKLDQDILKTHTVPAPNAVEFDK LLAEKDVVFAKFYAPWCGHCKSLAPTWMQLSAAFSVLDNAAVVHIDCDKHESLCTTHG IEGFPTLKLYRGTKFEEYEGQRSVGALSDFLVNRVDAPIHNFGTDPSSPPPTPVLNAL LVVGLTFLVGFSLAGYLLFFRPGRKASPPAFFSQPDTSTVRPGHGAVYKVGSFPNPQA SLLEVLETAVVTNGDGAFLGRRRFDAAGNAGPYQWDSYAAVYDRIRNLSAGLQHENMI DPTPGDGRRLLCIYMKNCPEYVVAQYAAFYAGGAFCALYDTLGASSTGFILSQTQGST VICTTSELKSIVQVKASAPTLRHVVVCDVVIKTQADLDLCGQAGLQLWTMRELELVGT KHPRPATYPKVSALSFLMYTSGTTGDPKGVEITHANILACAAGAKDRLNRGDGAVCFT PASIHLSYLPMPHILEQIVQSVMISAKGRIGFYQGNTLKLTEDLVELRPTHFVTVPRL LNKIYDKVIQAGGSSSSGGGIKGWLFKRAVQTKLANLKQGYQAHPLYDKLVFSKIQAK LGLDRCRFVLTGSAPISDDVLSFFRILLTSNITEGYGQSECTGASTLTDHDDVQCGTV GAPMTSCEIKLVSVPEMGYLVTDSVHGNVNKIPVNGRGEICFRGPTVFSGYFKAPDKT AEAIDADGWLHSGDIGVWTLDGRLKIVDRKKNIFKLSQGEYVAPEKIENVIKASVYVG QSFVYGDSLHAVLVGIIVPEAAQLKTLADSLNVSGSLIDLCRHPKVVEAVHKDIVAVG KKGALSGFETVRAILLHPEHFTVENDLLTPTFKLKRNDAKKLFSTQIDALYDKAGDLV AGKNIKQGE H257_03202 MWVHNGLWLQHRARRSKERAPHLPLRPLWLDLYTSHTTQLEAFA AWCGFAEGFDLVDNLVGVVVLRAGRYCIHMQGEFAKRVATSKQLATADKQVDVTTVVS LRQGDVVRVQTLGPKAVLASGAILNMYELYVY H257_03203 MATAYVTSVIKCTPPVPMPTASPFSIPATSAQRTAALYLSAMNA MALSICTVLMLVKLSSTTPPRLGVRGHPALGRGRDRSSHSNRAEPNQGRESHHDQRNF PAVRERDGKPCDGHGHKVDAFADFTPHSVLNHKSRVRHLRGQVVGLCRSNHPMSWRNR AQAYACRMRLA H257_03204 MHNQLFVRGEFITAFFAVSIGAMALGQACPCLQAIATAKATTSD MFQLMRPMVREVPPRFLDHLEGHIGLHAVQFAYPSRPHVPVCAGYSLTIPAGQKVALV GGSGSGKSTIVSLVQRFYDPQQGSLTLDGHDLKSLDVQWLRSHVGLVGQEPTLFTGSI ADNIRHGKPNATMAEIIDAAKQANAYEFIMAFAGGFDTEVGGQGAQLSGGQKQRIAIA RAIVKNPAVLLLDEATSELDVESERVVQSSLDRLVDTRQRTTIIVAHRLSTIRHADRI VVLSQGTIVEDGTHESLLAIDHGYYKELVDAQLQCDSNSDDERVDDITPQATVVGGAQ PIQIDTQVESVGIIDAPQVSMSRLWAYSSPESFHLVLGSLGALVHGAMYPLWGVLLTK SMMVFFQVNLGSHGMRDEALMWSVGLVVLGVIAFGAATVQNYQFAIVCERLTGRVRHK CFVAMLHQDMTWFDMPANAPGALSTRLASDAASIRSMTAESLNAMLMNVAAVAVAFAV AFYYSWQLALVFVAVIPVMGAAYGLQVRMLTAQATKNTNDGDVQAGAILSEALHSIRS VASLNLQTFMDQRYLDHLQASAKTDQVAGLVGGIAYSASQALTLYAMAGILYYGGWLV LNNGLDFHSVYIVFNPILFCSFGLGKAAQGLGDAGAAAMATNRLFGILDRQPTIDSSD SATGLVLEHVKGHVELELVDFYYPRRPDNTVYSNYNLTILSGHTLALVGASGSGKSTA MSLIQRFYDPSAGVSLRHHIAIVGQEPVLFSGSVLDNICMGKPGASIDEIQAAAKLAH AHDFIMQFPDKYDTPVGAQGLQVSGGQKQRLAIARAIGSETSRRQTR H257_03205 MNFFRVALDFPLVEGYGQSECAGVAHIADPRDFDSGNVGAPMIS TEVKLVAVSDMGYEPTDTTHGEGEAQFPVCGRGEICFRGPTVFSGYFKDPVRTKEAVD ADGWLHSGDIGVWLLDGRLKIVDRKKNIFKLSQGEYVAPEKIENVVAGSDLVAQAFVY GDSLHAVLVGIVVPEVDTVVKLAKSLGIASSDIVELCQHDEVVAAVHKDIVRVCKAAG LHSFETVKTIALHPQPFSVDNDLLTPTFKLKRHDAKKAFLPTINALYSQVGDLVGGHH VLQA H257_03206 MTVASAAIATATSLLAVTLATMYIFCFRPTRKTTPPSVYSEGDL STAKPGHGPVHKVGSYPSLPANSMLELLQRAVRRFPTRAFLGHRPVVNGIVGDYVWES YEETYERIQNLAAGIRHEKLMEPTADGHRILCIYMKNRPEWLLFQYAVLYAGGFVSSL YDTLGASSTQFILNHTDVQTVVCTTLELQSVLQAKAGCRSLKHVVLTDVASTEDVDVS AATAAGLTVWTNVQLEGIGARFPIAPTYAKITDPCFLMYTSGTTGDPKGVLLSSQNIM SSTQGVLERIAHGAVLETMNENAVHLSYLPLAHIFEHVVVAVMITYSARIGFYQGNTL KLAEDLCALRPTLFPTVPRLLNKIYDKVVISAKSAGGVKAWLFNWALQAKLGNLKQGY LGHPLFDKLIFSKVSEP H257_03207 MDVLRDDVDGTFEGRYVYGDPAYGCNRFMICPFASPAADSNERR FNARMSKVREAVEWSFGRLKILWPFVFDDKKMQTSPGRYVTFPRQIP H257_03208 MNTWADLAKGLRDNRRFRLTKDGPACKSRFEKLIKAHSGDSLAA MRRSGTGEEFGERDQLLEDISSQMEDHRVLKEAGCLCGRSEKEKWNREVGGDYAAARD GVP H257_03209 MNLVTFDAVIDNTLQAWIVAENILVDGGQCLVESVACESHVTVD LTYIWISISVVAMIVMALVYVARRVEGANYLHRQRNESTADTVIALATPKSPDW H257_03210 MATEVHEILDRTTKKGRVYYLVRWQGFTADQDTWESRVELRQDG HFQHIQAFEHKRKQAEDKEFAERHGADANADKSPRKGGRPSKSPSRGRSRSVKRDPSA PRRARSTSRKAKVEVKDVDKVAAPPPVVVDLLASSNGVTSLLAAPLLEPPSVFNSSEE SHVVHASSSAPSIADGSQADDESDVVFKPSASALPAAAVDNSTVSQAVADLANQGWLL QAVGIFVVFLAMLGHALVPHIEANVPMSNEVKTTVLILTKTNSLPPLVTLALVLRGRN NRGLSKWIAICLVWRTAAEVILQLPDPQSLYLSVSLLCVGVADLGALAAVWSAVGGSQ PDYDVFAQTLAIFGFALLVFSDSLLLPLHQILVPLQPMRVLVMSLATIFLSMSSILAE V H257_03210 MATEVHEILDRTTKKGRVYYLVRWQGFTADQDTWESRVELRQDG HFQHIQAFEHKRKQAEDKEFAERHGADANADKSPRKGGRPSKSPSRGRSRSVKRDPSA PRRARSTSRKAKVEVKDVDKVAAPPPVVVDLLASSNGVTSLLAAPLLEPPSVFNSSEE SHVVHASSSAPSIADGSQADDESDVVFKPSASALPAAAVDNSTVSQAVADLANQGWLL QAVGIFVVFLAMLGHALVPHIEANVPMSNEVKTTVLILTKTNSLPPLVTLALVLRGRN NRLKPYIYILFVFHCQMDTMMFFQLTFIYWGGCSFHRGLSKWIAICLVWRTAAEVILQ LPDPQSLYLSVSLLCVGVADLGALAAVWSAVGGSQPDYDVFAQTLAIFGFALLVFSDS LLLPLHQILVPLQPMRVLVMSLATIFLSMSSILAEV H257_03210 MATEVHEILDRTTKKGRVYYLVRWQGFTADQDTWESRVELRQDG HFQHIQAFEHKRKQAEDKEFAERHGADANADKSPRKGGRPSKSPSRGRSRSVKRDPSA PRRARSTSRKAKVEVKDVDKVAAPPPVVVDLLASSNGVTSLLAAPLLEPPSVFNSSEE SHVVHASSSAPSIADGSQADDESDVVFKPSASALPAAAVDNSTVSQAVADLANQGWLL QAVGIFVVFLAMLGHALVPHIEANVPMSNEVKTTVLILTKTNSLPPLVTLALVLRGRN NRGLSKWIAICLVWRTAAEVILQLPDPQSLYLSVSLLCVGVADLGALAAVWSAVGGSQ PDYDVFAQTLAIFGFALLVFSDSLLLPLHQILVPLQPMRVLVMSLATVRLA H257_03211 MTTERRNLTNEEREAILREVLLHSNGSYLSRLPKGLSQELTVKY SCHVATIRRVLAVAKQQGVGHGNMKVTVASRKKGRVGRKKAFIAEQVKAKLLQVPLAE RTTLRSIAERTWISLGSLHRYLKLGMFRSHSNAITPFLTDANKYSRMKYAVEFVGPTQ ELNNLLQYVHLDEKWFYITKERRKFYLVPGEKEPKRVCKSKRYITKVMFLCAVARPRY VHGAEMWWDGKIGIWPIVESVQAQRASVNRPAGTLETKSITVTKEVYRTFLLDKVLPA IVAKWPRGDDRAIKLQHDNARAHATPSDAKLKAALVMKLFGDNAYKVPHMSKRKEERR GLLPQNVTCPRDFFDAARAKLDGMASSELDRVLAAELKEARCADELAQVLEAIIVAIA LSDDESDDMISAMAEVGIDPVWKMTSMRSYMYACWYCGWSLCSLGGFLR H257_03212 MLVVPLAVVISAAAFPFNVFASLKRREPPPWHLFPLPHTLDSTK LSRRKANRARDMSIWEALSRLGRVYYSYTDDPTSEPPVLLLAIQQLLSDTLHMSQTER HVIAQFAFTPHDLWEGEALYQFLQGLVITSRDRTHRTMEVALTACLDELYTSYATRHT AAA H257_03213 MQHHNVLNPESQVEKGENARLASFVGAIAIADMVKTTLGPKGMD KILQSVGGPDKSISVTNDGATILKSVYIDNAAAKVLVDIAKTQDEEVGDGTTSVAVLC GELLREAEKLIEQRIHPQTIIEGWRIALSTAHKALEKSARDHSQDPIKFREDLLNIAR TTLSSKLMAESKDHFAELAVDAVLRLKGSNNLDHIQIIKKQGGSLKNSYLEEGYILDK HIGVGQPKRIVNAKILIANTGMDTDKIKIYGARVKVDSMEKVASIEDAEKLKMRQKVE KIADFGINCFVNRQLIYNYPEQIFTEKGIMAIEHADFDGIERLAAVTGGEITSTFEHP ELVTLGEAALIEEIMIGEDRVIRFSGVKTGQACSVVLRGASSHLLDEAERSLHDALAV LSQTVRHTRTVMGGGCTEVLMAQAIDELAPGIPGKKSLAMEAFARALRQIPAIIADNG GYDSAELVTQLRAAHFGGHNHAGLNMANGSIGDMEALGIRESYKSKMQVLLSAAEAAE MILRVDDIVKCAPRQRQG H257_03214 MLRQGGHQLRASAARALSTAAPAPAAHFTLTPRLKDGSREARRL RKQGLLPGILYGEGVNGDASRVLVSMNQVDFEREYRKLRTSMGNQVYEVTTGDGGPAT KVLLRDATLHPVTDIPQSVNFLRYKPGRKVHIPLDFLNQEGSPGLKRGGYINNVYHEI PCTIASEVIPSKLYVDVNGMHVGERIYLENITFPEHVTPLVKPESVVATIAGKRGLIQ KPEAEVVEVVEEAAVEDDDDDDEPIF H257_03215 MPVESVLEACLNQMTKAAAEPQDVVDRSRMMDVCLSQRSSTLSQ YAWMVAVQLDQYHDVATCALADALAATSTSTTTSSTMSLELQKTMLSLGKLAALAAND NSSSEVVHWATLGLDVVLSCDDVQTFHELKRQLWRHALAVDAPLWQQIVARHATSIHE VDVEKSMRSSVVYLAMKNASSKKAQLTLELVDDLVKDPTLEGISIKARPLLAKTLALV VVPPPP H257_03216 MLLRIIEPHTRVDVGSRRYFVSFGVGSVVLGGGWRRWRAMCPLE RPELPHRSRRHVQQRLMQYPDARGHGHNRHRMDMERQVWKPRHGDGKHDRRRHHVQAL VVHGHALDAVERGHGADAGGPTVPRRVRHGRGKLKQVQHDPVVVVGASLKAHKHRHMA GCDQRNGQTARVHQDAVGFVLGKVLHEKPTQLKCPLWFVRRAFVRTSTISQRTAR H257_03217 MNMDDNPTWGSSDLACHPPITPSVEGNGDDRQEFHYGGDGERHL SHNGSAFGGTMPRVPSFARIGTMSRAPSMTRVPSMNRVGSSSRLTRGVSSSQLVALRR RATTSTLTVVLQITNQELQNLDGFATMGMHRTVVSSFSNLCAIYVQRNKIDSLDAIAA CSKSLLVLNVAFNALESLPSSEFWAHFTHLSVLDVSHNHLAKWKHVHGVQACASLVLF RIHGNPISAQPSCRAVLVNQMPFLLAVDDHVITDEEKIENAQFGPRFQAKHDRMFVHM WSPWLHGPPMVSTNEAVAPALARTLVSLKRLVESNSPVIIAQRVWRGYCSRKWKMRPL HIMRHAVHTIQRVVRGSWLRRHLWTQLRQVLVPLHKERLLLPTWEMQRYRAAPRILAV WTARRDHFRAKKAMNHIKTWLRSVVTTSSQLRQAMVSSSDQLRIYCDTESVQAVLDAA AKCMALDARLMGIDASHLASRVVPTDIAIFRPSTFNVVCREHRHSWRRTIPTTIKCDG VFRRLRRATHDRMHMLHAECNVVADDVRRLLSTTTDVSPAASPLARRHLTYQVAESQE RHAWLSTNRSKYQQKVKQSHHRRTPCLFERPVAIQPRGNSYIRPIHSFSPCDIIFSLW SSHVVQVVPKAITTTPTHQMLMLFVPTSVAMHRRMLLLLKRTTHHAHALRIYSPLAIA HLCAATTLQATWRSYQVRRNCQFIKLFLQRRAILCLQRWWRCLSTLAWRADMYEACVR VVAAIDSSVVYMEERVFMTLKHPSLVQRALDAMPRLPSHGWKFKFSNRELTVPITLDE SLSRLPEDEKQTYLNTFYVENGLERVGFPVWMPSTPPHEIVGREEKQVSKQQVGLIIT QHCIESVVTDAVEPPTALDDTERCSAIDFGAFTACRNILQDNETLVKWSLNDVGDMNW YSAFGITLVKLEFDSVAEARHRAAMLLVKTFDARHKTYARLYTVGMLRYLWLNKPATS RHGYVFSRDWIRLGLDMPSRWGCHHDAYSHQGGGCDSAHMRHRPSTVPGATEAFSASE VTASQSHRSHLLSSHMERENDVAAAGSPTAAMLVHHVTVAKDLDPSYQLHVLKQQQAD TVRLESEALEITRHHDKSVALKAKQRHVATQHFRPRPPPNATSTCPTHSSWTSTAASR KSKFQDKQLAVDSAIRADEAGEARAAAILYRMRLTQRANQATAVERQMVARESSAAIA AHKIQIDAQLQRAAYEQAQLLLVKQQRKAVEVALARRKKAQRQFARHFGQQTVGLMRC HARDRAQDRAVAALDLPVEHVQFAKVAEKKVDESIRAKRTERLVANQRHRVMQSMEIQ AALEFQETQERHRLDDIQARVAQERKLKQLLQTEDF H257_03217 MNMDDNPTWGSSDLACHPPITPSVEGNGDDRQEFHYGGDGERHL SHNGSAFGGTMPRVPSFARIGTMSRAPSMTRVPSMNRVGSSSRLTRGVSSSQLVALRR RATTSTLTVVLQITNQELQNLDGFATMGMHRTVVSSFSNLCAIYVQRNKIDSLDAIAA CSKSLLVLNVAFNALESLPSSEFWAHFTHLSVLDVSHNHLAKWKHVHGVQACASLVLF RIHGNPISAQPSCRAVLVNQMPFLLAVDDHVITDEEKIENAQFGPRFQAKHDRMFVHM WSPWLHGPPMVSTNEAVAPALARTLVSLKRLVESNSPVIIAQRVWRGYCSRKWKMRPL HIMRHAVHTIQRVVRGSWLRRHLWTQLRQVLVPLHKERLLLPTWEMQRYRAAPRILAV WTARRDHFRAKKAMNHIKTWLRSVVTTSSQLRQAMVSSSDQLRIYCDTESVQAVLDAA AKCMALDARLMGIDASHLASRVVPTDIAIFRPSTFNVVCREHRHSWRRTIPTTIKCDG VFRRLRRATHDRMHMLHAECNVVADDVRRLLSTTTDVSPAASPLARRHLTYQVAESQE RHAWLSTNRSKYQQKVKQSHHRRTPCLFERPVAIQPRGNSHVVQVVPKAITTTPTHQM LMLFVPTSVAMHRRMLLLLKRTTHHAHALRIYSPLAIAHLCAATTLQATWRSYQVRRN CQFIKLFLQRRAILCLQRWWRCLSTLAWRADMYEACVRVVAAIDSSVVYMEERVFMTL KHPSLVQRALDAMPRLPSHGWKFKFSNRELTVPITLDESLSRLPEDEKQTYLNTFYVE NGLERVGFPVWMPSTPPHEIVGREEKQVSKQQVGLIITQHCIESVVTDAVEPPTALDD TERCSAIDFGAFTACRNILQDNETLVKWSLNDVGDMNWYSAFGITLVKLEFDSVAEAR HRAAMLLVKTFDARHKTYARLYTVGMLRYLWLNKPATSRHGYVFSRDWIRLGLDMPSR WGCHHDAYSHQGGGCDSAHMRHRPSTVPGATEAFSASEVTASQSHRSHLLSSHMEREN DVAAAGSPTAAMLVHHVTVAKDLDPSYQLHVLKQQQADTVRLESEALEITRHHDKSVA LKAKQRHVATQHFRPRPPPNATSTCPTHSSWTSTAASRKSKFQDKQLAVDSAIRADEA GEARAAAILYRMRLTQRANQATAVERQMVARESSAAIAAHKIQIDAQLQRAAYEQAQL LLVKQQRKAVEVALARRKKAQRQFARHFGQQTVGLMRCHARDRAQDRAVAALDLPVEH VQFAKVAEKKVDESIRAKRTERLVANQRHRVMQSMEIQAALEFQETQERHRLDDIQAR VAQERKLKQLLQTEDF H257_03218 MRVPRNVVNMISDTVTQPCARMRQAMATAVVGDDVHGTDPTVRE LQRTAADLLGKPAALFVPSGTMGNLIAVGVHCNRGDEVILGNKSHVFKYEGGGVSAFL GASMHTVPNQPNGELRLDDVEAAIRDDDPHYPKTKLVIVENTHNTCGGRVVSTDAVAA IGALCVRRGLHLHIDGHHCHNVYTCIRFCIILGARLANASVYLDQSMAEMVAPVDSVS LCLSKGLGAPAGSIIAGSEDFIYHATRLRKALGGGMRQSGVLAAAGLVALGNIDRLAI DHSNALRLALGLAKIPGIHVNVDVVETNLVYFSLDAAAMSMVDFLAHLEDDHGVWLGG GYGKSGNQIRAALHLDVNQDDVEYTLKAINAVLSY H257_03218 MRVPRNVVNMISDTVTQPCARMRQAMATAVVGDDVHGTDPTVRE LQRTAADLLGKPAALFVPSGTMGNLIAVGVHCNRGDEVILGNKSHVFKYEGGGVSAFL GASMHTVPNQPNGELRLDDVEAAIRDDDPHYPKTKLVIVENTHNTCGGRVVSTDAVAA IGALCVRRGLHLHIDGARLANASVYLDQSMAEMVAPVDSVSLCLSKGLGAPAGSIIAG SEDFIYHATRLRKALGGGMRQSGVLAAAGLVALGNIDRLAIDHSNALRLALGLAKIPG IHVNVDVVETNLVYFSLDAAAMSMVDFLAHLEDDHGVWLGGGYGKSGNQIRAALHLDV NQDDVEYTLKAINAVLSY H257_03218 MRVPRNVVNMISDTVTQPCARMRQAMATAVVGDDVHGTDPTVRE LQRTAADLLGKPAALFVPSGTMGNLIAVGVHCNRGDEVILGNKSHVFKYEGGGVSAFL GASMHTVPNQPNGELRLDDVEAAIRDDDPHYPKTKLVIVENTHNTCGGRVVSTDAVAA IGALCVRRGLHLHIDGHHCHNVYTCIRFCIILGARLANASVYLDQSMAEMVAPVDSVS LCLSKGLGAPAGSIIAGSEDFIYHATRLRKALGGGMRQSGVLAAAGLVALGNIDRLAI DHSNALRLALGLAKIPGIHVNVDVVETNLVYFSLDAAAMSMVDFLAHLEDDHGVWLGG GYGKSGNQIRAALHLDVNQDDVEYTLKAINAVLSY H257_03218 MRVPRNVVNMISDTVTQPCARMRQAMATAVVGDDVHGTDPTVRE LQRTAADLLGKPAALFVPSGTMGNLIAVGVHCNRGDEVILGNKSHVFKYEGGGVSAFL GASMHTVPNQPNGELRLDDVEAAIRDDDPHYPKTKLVIVENTHNTCGGRVVSTDAVAA IGALCVRRGLHLHIDGARLANASVYLDQSMAEMVAPVDSVSLCLSKGLGAPAGSIIAG SEDFIYHATRLRKALGGGMRQSGVLAAAGLVALGNIDRLAIDHSNALRLALGLAKIPG IHVNVDVVETNLVYFSLDAAAMSMVDFLAHLEDDHGVWLGGGYGKSGNQIRAALHLDV NQDDVEYTLKAINAVLSY H257_03218 MRVPRNVVNMISDTVTQPCARMRQAMATAVVGDDVHGTDPTVRE LQRTAADLLGKPAALFVPSGTMGNLIAVGVHCNRGDEVILGNKSHVFKYEGGGVSAFL GASMHTVPNQPNGELRLDDVEAAIRDDDPHYPKTKLVIVENTHNTCGGRVVSTDAVAA IGALCVRRGLHLHIDGHHCHNVYTCIRFCIILGARLANASVYLDQSMAEMVAPVDSVS LCLSKGLGAPAGSIIAGSEDFIYHATRLRKALGGGMRQSGVLAAAGLVALGNIDRLAI DHSNALRLALGLAKIPGIHVNVDVVETNLVYFSLDAAAMSMVDFLAHLEDDHGVWLGG KLC H257_03218 MRVPRNVVNMISDTVTQPCARMRQAMATAVVGDDVHGTDPTVRE LQRTAADLLGKPAALFVPSGTMGNLIAVGVHCNRGDEVILGNKSHVFKYEGGGVSAFL GASMHTVPNQPNGELRLDDVEAAIRDDDPHYPKTKLVIVENTHNTCGGRVVSTDAVAA IGALCVRRGLHLHIDGARLANASVYLDQSMAEMVAPVDSVSLCLSKGLGAPAGSIIAG SEDFIYHATRLRKALGGGMRQSGVLAAAGLVALGNIDRLAIDHSNALRLALGLAKIPG IHVNVDVVETNLVYFSLDAAAMSMVDFLAHLEDDHGVWLGGKLC H257_03218 MRVPRNVVNMISDTVTQPCARMRQAMATAVVGDDVHGTDPTVRE LQRTAADLLGKPAALFVPSGTMGNLIAVGVHCNRGDEVILGNKSHVFKYEGGGVSAFL GASMHTVPNQPNGELRLDDVEAAIRDDDPHYPKTKLVIVENTHNTCGGRVVSTDAVAA IGALCVRRGLHLHIDGHHCHNVYTCIRFCIILGARLANASVYLDQSMAEMVAPVDSVS LCLSKGLGAPAGSIIAGSEDFIYHVQYTNHTMLG H257_03219 MASKMANVDASNTTSRLSSKAVVDGGCCHADEYGTHVAENEGGD GISLPGTAFEGVDDGRQDDLHRGSSCADGKQVGPDRVGAEVDADGSGSASWNVRGRGG SMDSSNTQLK H257_03220 MNETAEVIQISGYSNDWYRYIGGIIANFFRHAMDELIAGVQGCM DDLRSIEATNQQHGFKATFWQQNSDFNLGRDVLIAAGGSISSTVTKFSLVLGKETVAS DAYSVCHTMFQPCEQLLAALKVAVFAGAGYALTKELCASVHRIFANVLDLSTRLHVKD LGRVPELTGRIWDACAALETLSKSNLISTKRVMLQTVAVLNDTVQELQGDVAAAKDAL DNTIDDHDHLEQRNVELEDDLDFDMGSQTLTANELRQLQACVDVLQMAQAIAKKGVLS LNAVSETDGQDGCVQWGSSFPSLYDALHDAVVDLGADLSPPFEAAVVADHMNLLQRVG TDVLTHLQHQPGHGVHADVAKGLLAFQGKAAAALQVLAIDDESSSSSIY H257_03221 MSITGMLDTWRHASSLEEYQREMRSNRRISLTDNISPPTIGRRV WNMCKSLPHSPITWTFLITLGCLSALLGSLMDYWIVMIVSLRQRMVTHLGDDSLGSYL VWIAWTVLCGVLATSCGYFISTNSDGSGIPQMKALLAGQLNASNVLSYAALVARCVGT VLSNASGLSVGKEGPFLHMISIMADKLSGLSVFRPTADNFTYIRAGVACGVTAVFGSP LGGVLFSIEVTSQYYAIKNLWQSVISSSVCVLTFQIISVLKNDVLFTNTKFADFELGW ELLGFLLLGVLCGILAATFVRSVQVLERLQTSIFGKLVATRALWQRRYLHVILACFVT GILTFPFHLLRWTDRQLINDMFKDIPLANLPSIAQASASLFPPHLYLLTYLALKFAVT LLPCGGLPLSCGIFTPLFTFGAVVGRLYGEVLRVLVYTGVSPAAYAVVGAACFASAAT HTVSTAVIVFELTGQLSHMLPVMLSVLVAYSIGGMSSPSIYDVFAKMAGLNFVCADIS ASPVLAHKMAHEVMRPIPITLSLHSTYADAVAVLQSVEATKHALYIPICDDHRTLLGA VRRVDLLLVLSRLSERRRANQGGDARDKSKSHLKHYPALSMLSLASRSGPDDAADLLL HDKDLCNQTILFGPPYDVLSYTALPISTYPPQVGYFVPLANVYVLSCVYMWAQVFVVK EGKLVGLLCMDATLNTLHGDDQLPT H257_03221 MTSPRTRIRAHHASLDTWRHASSLEEYQREMRSNRRISLTDNIS PPTIGRRVWNMCKSLPHSPITWTFLITLGCLSALLGSLMDYWIVMIVSLRQRMVTHLG DDSLGSYLVWIAWTVLCGVLATSCGYFISTNSDGSGIPQMKALLAGQLNASNVLSYAA LVARCVGTVLSNASGLSVGKEGPFLHMISIMADKLSGLSVFRPTADNFTYIRAGVACG VTAVFGSPLGGVLFSIEVTSQYYAIKNLWQSVISSSVCVLTFQIISVLKNDVLFTNTK FADFELGWELLGFLLLGVLCGILAATFVRSVQVLERLQTSIFGKLVATRALWQRRYLH VILACFVTGILTFPFHLLRWTDRQLINDMFKDIPLANLPSIAQASASLFPPHLYLLTY LALKFAVTLLPCGGLPLSCGIFTPLFTFGAVVGRLYGEVLRVLVYTGVSPAAYAVVGA ACFASAATHTVSTAVIVFELTGQLSHMLPVMLSVLVAYSIGGMSSPSIYDVFAKMAGL NFVCADISASPVLAHKMAHEVMRPIPITLSLHSTYADAVAVLQSVEATKHALYIPICD DHRTLLGAVRRVDLLLVLSRLSERRRANQGGDARDKSKSHLKHYPALSMLSLASRSGP DDAADLLLHDKDLCNQTILFGPPYDVLSYTALPISTYPPQVGYFVPLANVYVLSCVYM WAQVFVVKEGKLVGLLCMDATLNTLHGDDQLPT H257_03222 MPCMGDEGDVGRLGCDGSWMAAGGLSYSLNVLRRLGVGGLFVAL SVVVVVVVMTLLAWFWSNTDKHPMGVSFVADKKRIESAADVLLLGFLGFGGIVYCGYR RSTTNVGSSGLGSHRLDGGSMEHGAVAWEIVGKDMT H257_03223 MKFGGALSDKILRWFHYDETAANAQRILDDVTRVAFVMSHLKGR AEDSVFFKHLKDPFCFSPLDELTHEMKTTFLPPNGDFRCRTKYLECKQEKRSLQGYIH DLRVLAANNRSLK H257_03224 MSGVLRLLLASLVYHYDFLVAHLQPNHPLLSTALFVEPGLAASL RLFVICGLESQCLVASGIPPHVELMRQLDKNQKSIQDISSIVLSGVREIVDEKGLATG NVTREFFESTLTKAIADVVDVARAVSNPHRPHADELASDYPVHHWGGQLHMLHKDFKF PSVDLGTTWSLWWRGNPSQKVPPFRKICTRDLSLKSECKEFYEWKYIMGKLSSYYTAQ HGNGPNATASPEELLASFTIASRLLDPIRLTTTKKRTRRCGQLRVSTAARLVRQMEPP ANQRPYQKRNKRPLRA H257_03225 MSSTNSTFTIEGARRNRISASTRLGYKSGIRQVVLWALTSGKPE LLMPSPETDGHDETLDLRVFGFENFLEFIVWTVREREVGMGALSGYRSAIKSLYIDQG VPLPEPYNIDIKVIFSGIRKTVAQDLQSGSKEFTGKKPMTFSLFEHLCSISMGLPDCR FTHVYLILSWNLMCRSKSTETIR H257_03226 MRIEFPEAMLEIMMDAMVQFARAGYDVKPRRQQWLSAEPLFDSP CLSVGNEHALDLELPGSDHRDAVEARYRSEHMTSPAARTPRVDRFDDRGRPRTSATAR RLFRSQHGRRQTVAVDEAWSYGRPATFAAPGFGSTSGTALDMGQNDVHLRNAPALSKS PTFTKEERRVCMSAYNMYISQANALTANGVRPFITPVRACIEPVTKQRIAEWNM H257_03227 MVKVFFSLSALAAAVMAGSITDLPESVTKLIDYSANPCDDFYQY ACGVWINDTVIPPDESFIDVFSEIYIQNQAVLTKILSDNKPKLGEFYNSCLDTDTLSA LGLAPLADSFEAIRSANTTLDLLVVAGELAKNGIPAFVNIKASADDANAVNNALFGFQ TPLSLPHLLYLIPFVWDVVEAKYKVYIATVLQLAGYTAEEAAAAVPVIISFEQSLAGV SLSKLEEMEAIATPYTALTFHQLDQEYPLVIGSWLKAHGFNVRDECGGSNDWVGFTDL TYFEKAEALLTNTTLDDLRTIVEYKLIHASSTHLTPEFRTANWNFIGRLAGQQVEPTR EKFCVAQVDKTVGELLSQYFLEEVWSADTAKTADELVKALESSFSTGITTADWLDNST RANAQTKLSKFEHLLGGPENPQLYPTLTLDSTTYLNNRWKISQVDIDTNLKLNGQPVD KRNFGLSPQEVNAYYSPSLNQIVFPAGILQSPFFNGQFDAAQNFGAIGAFIGHEITHG FDNIGRNFDGDGNLKSWWSNATNTAFKAKAQCISDQYDNFVVKSEVTGTKLGNVSGKL SLGESIADNGGLKTSFRAYHEYLKKFPSQYTEEAGDKLFYLSYAQSTCAKYTDAYLEV LLADPHPPNRFRVTGALQNDAEFARVFKCPIDSNLNPSKKCLLWE H257_03228 MTFFIRGLKLKTREEVKYRQSKTLFEAIKAALEYERANSVSLSS MKHPDKPNRWNRTDYGSGQREGHVPRLESQVSTDMEVDNVKMQGSRRKDSSKIRCYNC QKLEHIATECRKPKKKISRQAPPSPGASVER H257_03229 MVISTGQYLIYRTSVDDMLAHFYFLFQSAALTWLFRFVIFSCLQ SASCTQEVGSSSLVDAGVEVELYACAYTIDREAGISDWELTCR H257_03230 MKKEEQRIDAEHQAAADLVETALRTVGPMAVRELLRNEIVEGRH TAPAGDGAGSRAVKGLVQEAPVGQAAPDVQASPKIKIKDEGVGQMAVANGPLGMREVR LEDVRALKLHEQDDSVIGQLDSRLQEWGWTPALCEPVERYLTLFCRSGLFEAQMMWLV CALQHMTILEIPLTEFVDWKDKIRERISD H257_03231 MNPDRLRPQHTSSGPPNHISRGPWRLQSRSSRTPLGRKMDVPKS NIYPNRLARKSRLLCRPLLSTAESRSAVAVPSPLSFRIIAVDTLVCEACNLDELPRTS SEILALPEMRFNAFVASLYAQEIRGVAMITIDEELDLLSTFTADDSVLLPPAKSQTTW DSLRTNPYFDLLPVFADVFPDEVPSRLPVDKGIRHEIDLLPGTKYCVTWQWPLPREFF AARKAAGHGRERISPHSSPTFSIKKPNGKWRIVHAFNNLNTATIPAQTPIPRKGVIID GMDRSSILADRRGHSNMEPHSIRVRRPGRHPGSPWVHIPWTAAHKPRKMLRLTSRLCR RPFHRHPARSRSRPHRKPGSAWRTLGDRKPPVLGPRRAIYNMEIRRSDKSVITSRARR IRTRTVSRETISAGTCPFAAPTKAKLVLPFANSPMLCGNWPSRRFPLRSRFNNTKTNT MMYSNIVWFWCRTTRGAS H257_03232 MVKVLVSFSALVATATAGSVTELPESVTKLIDYSANPCNDFYQY ACGAWHKDAVIPPNRMNIGTSFVKIAIRSQDVLTKILTDNKPKLGEFYNSCLDTATLS SLGETRLKDSFEAIRSANTTLDLLIVAERPLRRPIPPVAVSRVLKLAGYTAEQAAAAV PVVIRFEQTVVHFGLNEILDEMEAAVSPYTALTFYQLDQQYPLLIGSWLKANGFNVHD QSGGLNDWVGFSYLTYFDKTEALLKNTTLDDLRTIVEYKLIHASSNHLTPEFRTANWN LFGKTINGEKEEPTREKYCVSETGKTVGELLDQYFLDAVWSADTAKATDKLVKALTSS FSTGIATADWLDNSTRANAQSKLSKLVYLMGGPEKPQLYPTLTLDSKTYLKNRWKISQ VNIDTNLKLNGQPVDKRKFGVPAHKATTDDKAEMNQFELPAGILQPPLLDVKHDAAQN FGAIGVVIGHEITHGFDNYGRNFDGDGKLNNWWSSATNTTFKTKAQCISDQYANFVVK SEVTGAVFGNFSGQISLGETIADNGGLKTSFRAYHEYLKKFPSQYTEEAGDKLFYLSF AQSWCSKNTDDYLLWNMRKKHPPNRFRVIGTTLTLPECSSAPPTHRLTTKVVGMCDGT EPSIHQSAHNAKNNWARHYLCTV H257_03233 MVIVLSSLSALAAAVMAGSITDLPESVTKLIDYSANPCDDFYQY ACGVWINDTVIPPDESFIDVFSEIYIQNQAVLTKILSDNKPKLGEFYNSCLDTDTLSA LGLAPLADSFEAIRSANTTLDLLVVAGELAKNGIPAFVNIKASADDANAVNNALFGFQ TPLSLPHLLYLIPFVWDVVEAKYKVYIATVLQLAGYTTEEAAAAVPVIISFEQSLAGV SLSKLEEMEAIATPYTALTFHQLDQKYPLVIGSWLKANGFNVRDECGGSNDWVGFTDL TYFEKAEALLTNTTLDDLRTIVEYKLIHASSTHLTPEFRTANWNFIGRLAGQQVEPTR EKFCVAEVDKTVGELLSQYFLEEVWSADTAKTADELVKALKSSFSTGITTADWLDNST RANAQTKLSKFEHLLGGPENPQLYPTLTLDSKTYLNNRWKISQVDIDTNLKLNGQPVD KRNFGLSPQEVNAYYSPPLNQIVFPAGILQSPFFNGQFDAAQNFGAIGAFIGHEITHG FDNIGRNFDGDGNLNSWWSNATNTAFKAKAQCISDQYDNFVVKSEVTGTKLGNISGKL SLGEGIADNGGLKTSFRAYHEYLKKFPSQYTEEAGDKLFYLSYAQSTCAKYTDAYLEV LLADTHPPNRFRVTGALQNNAEFARVFKCPIDSYLNPSNKCLLWE H257_03234 MDESIVLMSAMALLLEESQEHIRAIHISRGRFNLMAQSYSTCAF HFCFKKYEIQQFKVLLEIPDPIITPQRFFGAHRTPRLFRTHEGQQRFVLEKQTLSRHS VFVL H257_03235 MSLPSTPIAGAMPFAAYPPEIAAVLRLEQHVGNQVQAGVHEQCA RLDDVQNAVGAQTRHTYEQMVHLHHQ H257_03236 MHLDDLDTRDRAGSRGHYNSRHQGRREGMPTGASPTLHLLQLLA VLDRRGSSLVQTLLVGLSLLLLYVQLLDEDFLSAALLL H257_03237 MSEDIVGEQWYCAERKPANRYILSPVFIDHLKAQKQPHAAQEEG EHPLWCARHQNDLVVKQAAEYVAIGQPYKAHEHQCPKETNQWTHLGRLLTVQVSYQLE PKEFCESHIHAQVGMVTDMLDVAIVNQGESNDQE H257_03238 MSRCLTVHRHMEVAAIHAKKARTALAADDADAILPPSPATAPVA AGDATDPAAITLKLFQTDSSNTLPCVHALIKAHYHSMRSLRHVLVPPPPALPLQFEGL TSQQVEQLTGPIRDVCESLTTRDFSMVLPTSSNVHLMGSDVFYVSLYHGETMMMGIFF MPPHAVIPLHDHPCMSVVSRVLYGAVHLKAYSIVDANSTDDDGHHALLARRSRDKLLQ APCTMELSPSHHNIHELVAAGDIGCAIFDVMIPPYDDHHRHGNDYSLVGVHNSSSSSN SSSSSSSPDDVDAALYVMRRVFKPATSN H257_03238 MSRCLTVHRHMEVAAIHAKKARTALAADDADAILPPSPATAPVA AGDATDPAAITLKLFQTDSSNTLPCVHALIKAHYHSMRSLRHVLVPPPPALPLQFEGL TSQQVEQLTGPIRDVCESLTTRDFSMVLPTSSNVHLMGSDVFYVSLYHGETMMMGIFF MPPHAVIPLHDHPCMSVVSRVYVCVFDEPRRIFPSFFMTYGGGAFCSLYGAVHLKAYS IVDANSTDDDGHHALLARRSRDKLLQAPCTMELSPSHHNIHELVAAGDIGCAIFDVMI PPYDDHHRHGNDYSLVGVHNSSSSSNSSSSSSSPDDVDAALYVMRRVFKPATSN H257_03238 MEVAAIHAKKARTALAADDADAILPPSPATAPVAAGDATDPAAI TLKLFQTDSSNTLPCVHALIKAHYHSMRSLRHVLVPPPPALPLQFEGLTSQQVEQLTG PIRDVCESLTTRDFSMVLPTSSNVHLMGSDVFYVSLYHGETMMMGIFFMPPHAVIPLH DHPCMSVVSRVLYGAVHLKAYSIVDANSTDDDGHHALLARRSRDKLLQAPCTMELSPS HHNIHELVAAGDIGCAIFDVMIPPYDDHHRHGNDYSLVGVHNSSSSSNSSSSSSSPDD VDAALYVMRRVFKPATSN H257_03238 MSRCLTVHRHMEVAAIHAKKARTALAADDADAILPPSPATAPVA AGDATDPAAITLKLFQTDSSNTLPCVHALIKAHYHSMRSLRHVLVPPPPALPLQFEGL TSQQVEQLTGPIRDVCESLTTRDFSMVLPTSSNVHLMGSDVFYVSLYHGETMMMGIFF MPPHAVIPLHDHPCMSVVSRVLYGAVHLKAYSIVDANSTDDDGHHALLARRSRDKLLQ APCTMELSPSHHNIHELVAAGDIGCAIFDVMIPPYDEYDQCIIFLGIILYVCWLTHMY VATTATATITVWWGCTIVAVVVIVVAVVVVPTTLTPPCM H257_03238 MSRCLTVHRHMEVAAIHAKKARTALAADDADAILPPSPATAPVA AGDATDPAAITLKLFQTDSSNTLPCVHALIKAHYHSMRSLRHVLVPPPPALPLQFEGL TSQQVEQLTGPIRDVCESLTTRDFSMVLPTSSNVHLMGSDVFYVSLYHGETMMMGIFF MPPHAVIPLHDHPCMSVVSRVLYGAVHLKAYSIVDANSTDDDGHHALLARRSRDKLLQ EVMVLCLDELAPCTMELSPSHHNIHELVAAGDIGCAIFDVMIPPYDEYDQCIIFLGII LYVCWLTHMYVATTATATITVWWGCTIVAVVVIVVAVVVVPTTLTPPCM H257_03238 MSRCLTVHRHMEVAAIHAKKARTALAADDADAILPPSPATAPVA AGDATDPAAITLKLFQTDSSNTLPCVHALIKAHYHSMRSLRHVLVPPPPALPLQFEGL TSQQVEQLTGPIRDVCESLTTRDFSMVLPTSSNVHLMGSDVFYVSLYHGETMMMGIFF MPPHAVIPLHDHPCMSVVSRVLYGAVHLKAYSIVDANSTDDDGHHALLARRSRDKLLQ GIHDLPIIIVIILGIRGDGFVSG H257_03238 MSRCLTVHRHMEVAAIHAKKARTALAADDADAILPPSPATAPVA AGDATDPAAITLKLFQTDSSNTLPCVHALIKAHYHSMRSLRHVLVPPPPALPLQFEGL TSQQVEQLTGPIRDVCESLTTRDCTLSHAISLLVLTWRCSFDGASHIFQRPPHGVGCV LREFVPRRDHDDGHLLYAATCRDSSARPSVHVGRVPRLVRRGALESVLDRGRQQHRRR RPPRALGSPVP H257_03238 MSRCLTVHRHMEVAAIHAKKARTALAADDADAILPPSPATAPVA AGDATDPAAITLKLFQTDSSNTLPCVHALIKAHYHSMRSLRHVLVPPPPALPLQFEGL TSQQVEQLTGPIRDVCESLTTRDCTLSHAISLLVLTWRCSFDGASHIFQRPPHGVGCV LREFVPRRDHDDGHLLYAATCRDSSARPSVHVGRVPRLVRRGALESVLDRGRQQHRRR RPPRALGSPVP H257_03239 MHALLGESSLALDLWTKCLRQDPKFLVAHFLKGSLRAQQCLPDL ALAEFLTVRNQLPAYPHVQMSIGYCYYLQGNVKRAVVELTEALAQHPADTDAWYTRGV CLQELLALPTAILDFGRVVALQPTHWRAWYQIGGALRYFESIVSIKDTYVSPAIEAMI DLHKCKDARSIDEKVFLCHQLLSQRAVRFNEVYMLPFEGYKDMSACIAYYPHDMDMYW YRGHLHQRYHNYDAAIDDLTSCILLTLHLGKPKGVHLQRYKKMVLARATLYTHEMQWE LALDDLNEVLHYALKDNHVETSFLLHVYDKRCKVLVALKQYDAAIVEMESVLELSKDH VEWNDNSLVNMLLLANLHCHAAIEFQKRHQVGMYTTWRMHALLGESSLALDLWTKCLR QDPKFLVAHFLKGSLRAQQCLPDLALAEFLTRGMCHLLLKDYTSALYDFGKAAPHLRD GHAAVGYIHFCRKMYPDAIDAYSKFLDERSNDAKVLLYRGFSLYMHNERSLAMRDFEK ALKVDAACWFGHFIRAFIYQEQGHGDKAMAAIGQCIDQFKHVRPFADTIEPVHSDRAN PFFDGRLDVTLDKLKGLILPQPRNNKQYPQSNASPPLIGGTTAAAGDHRRRLRAMFLR AVRRVLFQSRVVFALEKCAFKATSASITLSKQLDKLTEMHPISPPQGTFTPDSVAWAY NLMGVLSASQFKWEEALRNFTMAIRAAPTKPIPHLNRGNVYMQMNAIDKALHEFREAL KVDPTHAATMTNTALALRQLGQLEEACRHLYTAATQADDKPSTDQHRQLVYYALANVI RELNRNDEAIEWYTKAAAAMDTSSQDTTQTRMDHVKLAVYHNRGSTMHTQLKFKRALD DYSMALALQPQSFATRMNRAALFISTSKCYQAIQDLRVATSLNRDSATGHRLLRFCER WASALKVACHDFFYAFHAFPCFHDIDIASTTPFLDLHMFRFQQLSHPNHPSLEALEAM LNASESPDFPDMMWDALVHTQRGNFADAQRALLIAKYSTGTSVAEEQACLVWSAQIAH HLGDIPTAIASVEQALQRGRTTSSSSAITAPNHVASDVRGGGIPAEEPRRDTDDDQVQ SIQSDLETYLGCLWRLHGHVVDATSAFQRAIAHRPSNFIALFNAASVYLHEGNYSCML DVLFQIINVTVHALDKLDKPIVPTIPTSTAPFLYMPHDFATTFSRVSVLAGPTVLPIC RAIQSVMDEYRGGMTCDVAKHVGRLCVLQGQLATHVEALRDAVQPTASKVTGELPSPR PLARTATRRSLRLRSASRRSFKPEDVKTLNLEDFNAAIAKCTAEMIQRPEAAVDEDAM ADYVAQYDRVCAYIDASSASTATASSLTREFSRAMLSRQASFSKGLSRSGSNANSGGG STAMLAQRTLGPMLSRHGESTSRILVASHNAPSGSSDAVPNDSAASSQSKDLTTSSSL RSRASL H257_03239 MHALLGESSLALDLWTKCLRQDPKFLVAHFLKGSLRAQQCLPDL ALAEFLTVRNQLPAYPHVQMSIGYCYYLQGNVKRAVVELTEALAQHPADTDAWYTRGV CLQELLALPTAILDFGRVVALQPTHWRAWYQIGGALRYFESIVSIKDTYVSPAIEAMI DLHKCKDARSIDEKVFLCHQLLSQRAVRFNEVYMLPFEGYKDMSACIAYYPHDMDMYW YRGHLHQRYHNYDAAIDDLTSCILLTLHLGKPKGVHLQRYKKMVLARATLYTHEMQWE LALDDLNEVLHYALKDNHVETSFLLHVYDKRCKVLVALKQYDAAIVEMESVLELSKDH VEWNDNSLVNMLLLANLHCHAAIEFQKRHQVGMYTTWRMHALLGESSLALDLWTKCLR QDPKFLVAHFLKGSLRAQQCLPDLALAEFLTRGMCHLLLKDYTSALYDFGKAAPHLRD GHAAVGYIHFCRKMYPDAIDAYSKFLDERSNDAKVLLYRGFSLYMHNERSLAMRDFEK ALKVDAACWFGHFIRAFIYQEQGHGDKAMAAIGQCIDQFKHVRPFADTIEPVHSDRAN PFFDGRLDVTLDKLKGLILPQPRNNKQYPQSNASPPLIGGTTAAAGDHRRRLRAMFLR AVRRVLFQSRVVFALEKCAFKATSASITLSKQLDKLTEMHPISPPQGTFTPDSVAWAY NLMGVLSASQFKWEEALRNFTMAIRAAPTKPIPHLNRGNVYMQMNAIDKALHEFREAL KVDPTHAATMTNTALALRQLGQLEEACRHLYTAATQADDKPSTDQHRQLVYYALANVI RELNRNDEAIEWYTKAAAAMDTSSQDTTQTRMDHVKLAVYHNRGSTMHTQLKFKRALD DYSMALALQPQSFATRMNRAALFISTSKCYQAIQDLRVATSLNRDSATGHRLLRFCER WASALKVACHDFFYAFHAFPCFHDIDIASTTPFLDLHMFRFQQLSHPNHPSLEALEAM LNASESPDFPDMMWDALVHTQRGNFADAQRALLIAKYSTGTSVAEEQACLVWSAQIAH HLGDIPTAIASVEQALQRGRTTSSSSAITAPNHVASDVRGGGIPAEEPRRDTDDDQVQ SIQSDLETYLGCLWRLHGHVVDATSAFQRAIAHRPSNFIALFNAASVYLHEGNYSCML DVLFQIINVTVHALDKLDKPIVPTIPTSTAPFLYMPHDFATTFSRVSVLAGPTVLPVR CCW H257_03240 MESSMDVADDTAPPRADEVEEGTLHFDDDDDDNAHSAWSKYEAL KSKATALSAVEMDALAQWKWLNGRLGEAIDSYLELARTHPKYLHVHFKLGYLYLILQN YESAIQHLTLEMDKHAHMPPLTNVRRAKILAQKAYYWCSAAGRMEAHQYLKHFDKKAV DARLVASDGGSSTEGLVAMGCLFRQLGLLQRAEGCFDQASLQKPNDPSYLVEYGKLLL LQRRPGPALGKFSDCRRVAPALSEDLFQAMLGEAVANMRLQKAAMAQSILQKIIDSLS PMVEQGLAPLMMNSEMDRMTIRQILHQAIHCQGCCAILQGDIKSALDVYYTVVAEYAD FQLPVMCVTPGARDAKGHLVDTSMFSTHRIVDLLVYLDEIESRYGPDACLYFHRANIY RALGDLKRFIDDLTLVESLNPQFLRHYFDHDSLGDFVDEETMTWIPLNMLESICELTK PVLPASKAPSTPTVLIEAAVHQYFATRLQYNKQDDFYAQVFAIDSLRRLNVQGEVPLA LHRLRQGTAISFDDSLCHLNQSEASPTTAKSLIQSMVKSHPRHPTVQFIAALVHMSEL RLDSAHHHLTQCLQEINKSMNTMDAAWKVQGQDAANATDAVARWTYLGYIRIKYHALV WRSVVLQLNLRGQEAVGDMRAAMSLLPLEPHAMFQKACMMVHYGYLNNAIAPLRDGLS CLKRDGRAKDTAMCMDYIRLAGINSFSLLPQELKREGPVPTDDDDDEADHLRRKNAIA DARGGTVLYANALDKLYEAGVLKLSKGQTLSNRIMNQCYWLVHF H257_03241 MSDAPRSPRREQQEEAPQPILDGDIDTNWDEVIESFDGMELRED LLRGIYAYGFEKPSAIQQRAVKPILLGHDCIAQAQSGTGKTATFAISILQKIDPALRE TQALILAPTRELALQIVKVVMALGDYTGTSVHACVGGTAVRDDIRTLQSGVHIVVGTP GRVGDMINRRAFRTDNVKLFVLDEADEMLSRGFKDQIYDVFRFLPEKVQVALFSATMP LDVLEVTQRFMRDPIRILVKRDELTLEGIKQFYIAIDREEWKFDTLCDLYETLTITQA IIYCNTRRKVDWLTERMQAKDFTVSAMHGDMEQRERDIIMREFRSGSSRVLITTDLLA RGIDVQQVSLVINYDLPTNRENYIHRIGRSGRFGRKGVAINFLTAADARYLRDIEQFY NTQIDEMPMDVADLI H257_03242 MSKLTIGIIGGSSLFHSTRFSSLAQKVVDTEHGSVLVYTGVWGS TTHDIVFIQRHHADAANHEYNQPANVNYRAIVTALKQEKVDCIIGVYSVGSMRLDIPI GQLVIPDDYFNPFNILNISTSYEAHVVPHITEPLRTHLVQLLQQANLNVRDGGVYVQT SGPRFETKSEIRFFSQYGELVGMTGAHEAGLANEVKLPFAMVSIVDNLANGLGHKLTL DAFKVAQKANATAMEVAVVYILDHFDAAVGLTE H257_03242 MSKLTIGIIGGSSLFHSTRFSSLAQKVVDTEHGSVLVYTGVWGS TTHDIVFIQRHHADAANHEYNQPANVNYRAIVTALKQEKVDCIIGVYSVGSMRLDIPI GQLVIPDDYFNPFNILNISTSYEAHVVPHITEPLRTHLVQLLQQANLNVRDGGVYVQT SGPRFETKSEIRFFSQYGVLRV H257_03243 MGAQSTRMYGQRHSTRRGCVADATATSSSTDSHKRKALDTSVAD NDGTHSSSFLHIRYPCESSTWIRGSPVCIEWTVLDPTIQNVRIELCQHGSSVSTLLTP SVPNSGCFVLERVPWGVLGDGFYIRVIEDFTSSSSSRPLRCAQSHKFCVATTRWRYHH LTSTTKPSASPTSATVRIP H257_03244 MGCYQSSAVPTLATILGHKPHATLQPSMSTTSDVPRLRQSTSFQ RMPLARVKYPCQTSTWIRGNPVAVEWSVLDPSAVYVKIELCHVDSTATTLVTASAPNT GCFVYSKVPWGLIGDGFYIRIVELVPEASASQQATAPRETLSDVFRVGNSRWPDTASE GSLTPVSVRGSSIRSPSPMSSRWHTEHQPSFRPAASCY H257_03245 MNRKIGFDNRLCYQREVARCQKIHQDKLRHIQPTSLSPAKGKLD NTTPQVHTHLKTNFKKAQMDSDKYKQIYGENQQLMRKMLKICDTSPSDAAVEFQPGMR LNTDQTPILDSFVSVQSLARGCAIDKGSMNGEYRQRQNDKIEDENRKLMQRLMTKKSC YSARKWDAEYKQSVGKFKHVHQDATVGYLSPKSRAATAAFAPYGVATTLPSLATSLRF ESEGETKEDGGGGVVLSPSPPSHGRSTERDQRANSTAGCSQRSSAFIRQASKSQVPIR KQTYRTKCVPVLLLEATTSMGVHFTVEELQVAMVTPSATQLGDQGFLLRANKDDYDGE AVVPLNGLVDIAQSLAPVDGRPILAKLATIQVVPDVGNFPRVSQTLDETDLKLLLVKL VQCIRVSSGHTGSSSDSSLKLTVEHIPHLPRDLHPAKPAANSSILDLFVQGAVPSRLV LRGKSSLHLCHVRVFQLRRLLTFHAFDMRSHSVVNFQFTEEDLLPHFHTSLVWTDIYR ALFKLAMKRIRI H257_03246 MKVVMNDRWAMEALHALQHRNPARLKAVFRENPDARINTVVLKR PGGAPFDFAGEGFFDGRAAAWAPTSFDVVKHGDTLVILALRQNDPACASVLVEAGANL QLTNVDYESGISLAWGAYLSLTAAKTKASSALTPHKAAYDALFTHIYPQLQEYHNQIK ANVRAELVTLYTTHAPDRLDKIDSQITAFYGNEADLVAKVRAKYSSD H257_03247 MEVTSSWTTGQVLELAQEAHAREVHVFLPQKLTLCKCKEDLSCT VTIMFPTTLKLLDVTIDSSARHVEVYIITVSPTGERQPRYVDTVRGVKSGTDVFHVPY AFSNTEDMQVASGVSFKFVSLQPTHTKDVLTLSELKVRVHPPPAAPTPPPQLSTATPD VASRPSPPPIDMDTMKMILSMQQAMQKQMEDKIYKAVDSRLSLLTTRLQSTESKILAL TNNVPQAEVNHDKESFAGILKRLASLEKEVRGMKACHDSGELATALQQLQTTANLQDG DDVATLGESDDLDDGALATATSSTAVDS H257_03247 MDDRSGARVGTRSPCTGSACVLAAKADAMQVQGGPVLHRYEYVL VTYSHKHAFSSLCSVMFPTTLKLLDVTIDSSARHVEVYIITVSPTGERQPRYVDTVRG VKSGTDVFHVPYAFSNTEDMQVASGVSFKFVSLQPTHTKDVLTLSELKVRVHPPPAAP TPPPQLSTATPDVASRPSPPPIDMDTMKMILSMQQAMQKQMEDKIYKAVDSRLSLLTT RLQSTESKILALTNNVPQAEVNHDKESFAGILKRLASLEKEVRGMKACHDSGELATAL QQLQTTANLQDGDDVATLGESDDLDDGALATATSSTAVDS H257_03248 MEPSHAENHHQYRYGGSSKDSNDDNEQHPCHRRSGSMHRPSWHD MHHTARGDSSSTSFVMSCTWPSEDPLELMYTPSSGELWLPYHPRCSRPSQSQSDAPCF VTIDFGSMPQRVGQVDMTLSAQYVEVFAGHRTLHGDLRFAYAEPAFAMPDLGVPGRFT LDYACPDAEPFDAIAAIRSSLRKWTMCFRSILSV H257_03249 MSTSSGGNRAERRHSITIKNISAKNAQQLRVDALRLVSEGVVQL EVDSNAAYERQGNMAYYSEENLAQRLAIRNHPLLKRLTCALWRLVVTSSAPMDFDGYT MLLIRLHKILMEHFNADVSYVQIRLDWDSDTKGQVALAYNAFHLSLFELVDLWCDSIH VDDYISLLYLILDGISRVEDSTFKLRALEDILYTDVVDVALQRTLREIEAIMATMIIE PVDNEYDNHTQPPDQLVPKLAIPLHSGTNNSFPDLAPHPTSNHASIDVGVGLLNVSCH PAHLNPSLASTPQLHTLDPQLPFVSPSLETFEPLCVQTNPQLHLDSPPRPLALDDKDR HILTSIPSLTPWGDDPPMLPREKGTPKQTTSHQSSFESHISLRQLSTSATATAPTPPT FLHHNSSSIFRQMSVLHSSPTSPGPAAAARVYVPIKPVKATQPLEQREFGGFSIKSPR EVAPPHHSSMPAKQIAVSSRLPNTTFVGALSTATLLADSVDETRIEDIRKAFQGRKRQ SFVRKRTPPRLGILDTNPPGVSAAAAPTSLPQKDTPKQGKPKRKAIEKMAVDLVMALA SSTLGAALVESPTGMANHGTSNAVVLSHMTPKFRTRQLAKEEYAPEPPQNITGVVPTS KSLPPTHRMVDLAAQYMDVGGGLLPPRPSGATKHLLPRWRRTGAQPVATTPDIPPVVM EVCAVQVVPKSPHPEPTHVLPRLGHRPDPIKSSKLFDDTTPTTRTDIRSAVSFDSPSV HRGTSHTSHHTVTHPRVRGHLYHSPPSTPTVGHDKDSNIALPAIEHHHIPPKDKSSSY KEGNEARQPTATHPTCQMKPRLRNPQRHGGG H257_03250 MSFIDVAEAPLRPHRPAFERLKSIKDVRQAMTRQQSIVDQVLLT TLSKESVGHGGHGGHGGDMLRQTVRNTMVFQLKKSMGSTRSIGSTVSSAAKAVKKMSI DEEPLSIVATVPKLMEHEHHVEEIRQAVIRLVADGEIHTEHTEGERVAYNRQGDLKYY TDENLESRLGLRVHPLLGRLTWMFWLMVGSQHAMGMEYEGYQGIMVRIHKVLIELFDI EDSKVLIREDWVRDTNATHELTYELFHLSLFELIDIWCDSLRPDDYCNLLYLLMHGIA QIQSSGSFRLRRLQDINYTDVVEELHLRPRTPLQIETELDALEAQLTAKHATAAAPPP DQTSAATPDAAENAPTVEATTPSTPPEDITLNVPSGLMIQLDHVEIRPTKLHKVKMVS HDSSTAYKPDAMPLRVLTEPPPSRPDAIVVPSVPLVQQPKQPQTNADSKSPLASTPTT ATPPPPSIVPEPVGTSPMVEPSLQSNRNYSQALQPTDMASVLANATSAFRTDATRTME FTSAPHIRHSSLARPTNTRRSAPYNPNLKDPTSPRKATNQKKFTDAPTTSSGFFIKSI AGLTPIPAGPPSIIAPPAQLETPPPTQSVNADTGSPRPVFNLAGAKSPRGHPVWKPKR PGNNDRFGLGINPPGGEGGDAAFPVKGLGGYAEGGGTLPGTGRLITYVASSGPSLDIE TLTGNGIGILGGKPTYKPPKDSGSSRPTGVVMAPKREQHAAPVYTTSYSLNKPDTPTS GGVKLDDVRPPMSSISSSPSQSPYDYRVGGTKRGRQRTKKADAMASEMVHDLASLSFG TTPKPLAMVSSAAPPVAGSPLSPPTRDTNNLRLGSPSAYSKIYRGGQRLLSHLTSGQT MEQGVMFDSPLVARTVSSSPNPNSQSKYPSSGAFRGIAVQPGYDRNLANLQFKVQALN VPSNTKPPTTITASNARSVEIKPSTAATAQPTGIGHGLTLLTATAITKPR H257_03251 MPCRFHDFANRLMPCAATQSQLGMTDAIELVDESDSGGEDNGEP QNIIVASRTAENNNTPLVLVPSNITGNAMPVVYMPYPLYLNLTRLPPAETTVEPWRGD QLIRYHGTRRYLYNAVKNALKAAQVVKTSKRNWNLFWGRHLDVTQYAALVPGQKVNHF PGSLELGRKDKLCTNILRMQRKFTGAFYQIIPETFVTGAKECKPFMAALASSSKALWI LKPPNQCCGRGIKIISGGSDYTYEPDKRYVAQRYIMSPYLINGFKFDMRLYVLVTSFD PLRVYLFDNGLVRFCTHKYSTSTKDVANRFGHLTNYSVNKKNKAAFQSNQDAAADGEG SKWSYQALQAYFETQGLDPTAIHLDIAALIVKTLVCVESPLVASLNKQAKHVNACFEL FGFDVLLDANLRPWLLEVNVFPSMSSSSPMDKRIKSILVCDTFQLVGVAAVDMGAGDL KKSTPKPRRSVKPNRQDSTESSVTAEDIETQWIQALEDEASRLGHFERIFPTPDTIKF LDFFEIPRPGNLVYAKHLQMKTTTPNQLDGGRPSKGMPNAAVSAKPTTRQRSFSRKFS HAKTASLSYNE H257_03251 MPCRFHDFANRLMPCAATQSQLGMTDAIELVDESDSGGEDNGEP QNIIVASRTAENNNTPLVLVPSNITGNAMPVVYMPYPLYLNLTRLPPAETTVEPWRGD QLIRYHGTRRYLYNAVKNALKAAQVVKTSKRNWNLFWGRHLDVTQYAALVPGQKVNHF PGSLELGRKDKLCTNILRMQRKFTGAFYQIIPETFVTGAKECKPFMAALASSSKALWI LKPPNQCCGRGIKIISGGSDYTYEPDKRYVAQRYIMSPYLINGFKFDMRLYVLVTSFD PLRVYLFDNGLVRFCTHKYSTSTKDVANRFGHLTNYSVNKKNKAAFQSNQDAAADGEG SKWSYQALQAYFETQGLDPTAIHLDIAALIVKTLVCVESPLVASLNKQAKHVNACFEL FGFDVLLDANLRPWLLEVNVFPSMSSSSPMDKRIKSILVCDTFQLVRVHTYAK H257_03251 MGVVVTLVEPWRGDQLIRYHGTRRYLYNAVKNALKAAQVVKTSK RNWNLFWGRHLDVTQYAALVPGQKVNHFPGSLELGRKDKLCTNILRMQRKFTGAFYQI IPETFVTGAKECKPFMAALASSSKALWILKPPNQCCGRGIKIISGGSDYTYEPDKRYV AQRYIMSPYLINGFKFDMRLYVLVTSFDPLRVYLFDNGLVRFCTHKYSTSTKDVANRF GHLTNYSVNKKNKAAFQSNQDAAADGEGSKWSYQALQAYFETQGLDPTAIHLDIAALI VKTLVCVESPLVASLNKQAKHVNACFELFGFDVLLDANLRPWLLEVNVFPSMSSSSPM DKRIKSILVCDTFQLVGVAAVDMGAGDLKKSTPKPRRSVKPNRQDSTESSVTAEDIET QWIQALEDEASRLGHFERIFPTPDTIKFLDFFEIPRPGNLVYAKHLQMKTTTPNQLDG GRPSKGMPNAAVSAKPTTRQRSFSRKFSHAKTASLSYNE H257_03251 MQRKFTGAFYQIIPETFVTGAKECKPFMAALASSSKALWILKPP NQCCGRGIKIISGGSDYTYEPDKRYVAQRYIMSPYLINGFKFDMRLYVLVTSFDPLRV YLFDNGLVRFCTHKYSTSTKDVANRFGHLTNYSVNKKNKAAFQSNQDAAADGEGSKWS YQALQAYFETQGLDPTAIHLDIAALIVKTLVCVESPLVASLNKQAKHVNACFELFGFD VLLDANLRPWLLEVNVFPSMSSSSPMDKRIKSILVCDTFQLVGVAAVDMGAGDLKKST PKPRRSVKPNRQDSTESSVTAEDIETQWIQALEDEASRLGHFERIFPTPDTIKFLDFF EIPRPGNLVYAKHLQMKTTTPNQLDGGRPSKGMPNAAVSAKPTTRQRSFSRKFSHAKT ASLSYNE H257_03252 MTSTSSNPYSVVLAISLVAVTVAWGGTVGLLVGMYASRPPATSN THVENETRNGHRDREGSVSNAGDAKIMDKHALVDVRIGGIRALIPPVCLLEQVPRTVA IARTVNKGRQEVNNILRRVDDRLVVIVGPCSIHDPKAALEYAVKLKALRDTLDKDLLV VMRVYFEKPRTTVGWKGLINDPDIDGTFNINKGLRLARELLSAINELGLPAGCEFLDT ISPQFFCDLVAWGAIGARTTECQLHRELTSGLSMPIGFKNGTGGSLQLAVDAVVSAAH PHSFLGVSSHGLSAIVTTNGNDSCHVILRGGSTGPNYAKEHVDKCSDLLQKHHQPDNI MIDCSHGNSSKNHRNQPLVAANVGAQVASGDDRIVGLMIESNLVEGAQKLTPGVPLEY GKSITDACVSWDDTVTVLQRLAKSVRDRRVAKAN H257_03253 MLRTASVTRWRRALTAAVHGRHLSSKKPGAPLKERFVSVDRSGL KQPHLHALLKDNTHVQALGQQKKSANENDLTRFLHSLIAVRGPITVAEFMRHALSHPV HGYYMKRDVFGTKGDFTTAPEISQMFGELIGVWCVATWQQMGSPSHVKVVEVGPGRGS LMEDFVRTAKQFPAFYKALEIHLVEISPALRELQQTKLLAVKTSADSFRLPMDGPAIC WHDDLSHVPEGPTLFVAQELFDALPVHQFEYTPKGWCERLIDIDETSDNPDHFRFVVS PGPTPATRVYIGKEKIVTPDVQLPVKSANVSNPSKNPQDILDDLNESAARITAHLEAL ENESSVEVVPVLSPGVQVGDRIEISPLGIALVQDMASRIAKHGGAALVVDYGRDHASE VSLRGIQHHQFVSVLREPGDVDLSIDVDFNTLKRYATAEPNVRAYGPIGQGLFLKEMG IEHRMAALFQHASEETQENIYDAYERLVNPDQMGSIFKAMALVSDKVVGDPVGFPSND TN H257_03254 MTSTSSNPYSVVLAISLVAVTVAWGGTVGLLVGMYASRPPATSN THVENETRNGHRDREGSVSNAGDAKIMDKHALVDVRIGGIRALIPPVCLLEQVPRTVA IARTVNKGRQEVNNILRRVDDRLVVIVGPCSIHDPKAALEYAVKLKALRDTLDKDLLV VMRVYFEKPRTTVGWKGLINDPDIDGTFNINKGLRLARELLSAINELGLPAGCEFLDT ISPQFFCDLVAWGAIGARTTECQLHRELTSGLSMPIGFKNGTGGSLQLAVDAVVSAAH PHSFLGGNDSCHVILRGGSTGPNYAKEHVDKCSDLLQKHHQPDNIMIDCSHGNSSKNH RNQPLVAANVGAQVASGDDRIVGLMIESNLVEGAQKLTPGVPLEYGKSITDACVSWDD TVTVLQGLAKSVRDRRVAKAN H257_03254 MTSTSSNPYSVVLAISLVAVTVAWGGTVGLLVGMYASRPPATSN THVENETRNGHRDREGSVSNAGDAKIMDKHALVDVRIGGIRALIPPVCLLEQVPRTVA IARTVNKGRQEVNNILRRVDDRLVVIVGPCSIHDPKAALEYAVKLKALRDTLDKDLLV VMRVYFEKPRTTVGWKGLINDPDIDGTFNINKGLRLARELLSAINELGLPAGCEFLDT ISPQFFCDLVAWGAIGARTTECQLHRELTSGLSMPIGFKNGTGGSLQLAVDAVVSAAH PHSFLGVSSHGLSAIVTTNGNDSCHVILRGGSTGPNYAKEHVDKCSDLLQKHHQPDNI MIDCSHGNSSKNHRNQPLVAANVGAQVASGDDRIVGLMIESNLVEGAQKLTPGVPLEY GKSITDACVSWDDTVTVLQGLAKSVRDRRVAKAN H257_03255 MLRTASVTRWRRALTAAVHGRHLSSKKPGAPLKERFVSVDRSGL KQPHLHALLKDNTHVQALGQQKKSANENDLTRFLHSLIAVRGPITVAEFMRHALSHPV HGYYMKRDVFGTKGDFTTAPEISQMFGELIGVWCVATWQQMGSPSHVKVVEVGPGRGS LMEDFVRTAKQFPAFYKALEIHLVEISPALRELQQTKLLAVKTSADSFRLPMDGPAIC WHDDLSHVPEGPTLFVAQELFDALPVHQFEYTPKGWCERLIDIDETSDNPDHFRFVVS PGPTPATRVYIGKEKIVTPDVQLPVKSANVSNPSKNPQDILDDLNESAARITAHLEAL ENESSVEVVPVLSPGVQVGDRIEISPLGIALVQDMASRIAKHGGAALVVDYGRDHASE VSLRGIQHHQFVSVLREPGDVDLSIDVDFNTLKRYATAEPNVRAYGPIGQGLFLKEMG IEHRMAALFQHASEETQENIYDAYERLVNPDQMGSIFKAMALVSDKVVGDPVGFPSND TN H257_03256 MEDIGGTRNAEVLGNFYDVQEKKRWTEDDDIFLLTQANNERPFV QRKDATRAWEAVAGALLSIDGFTRCRLDGKKAQTEDETAKTKLLDGLVPLYMDAIVNK SGVPTSDAAIKAADTKFFRA H257_03257 MQLSSLVKTIPSADGHSPVLVNAVDCPPPDCPTMLHYLERSVAQ NPTRPFLGHRPKDAATGAFGAYVWQTYAEVNRRIRAFSAGLEHLNMIDPTPDTGDRVL GIYMKNRPEWVVAHYAAIVAGGFSVALYDTLGADSTLFILNQTQTQTLVCTTSELTKV IEAKTSGAEHLKHVVLCDVDTTTSHVPQQQQQQAKDSGLTLWTMQAVETSTSPPSTPT GTAKRPTGDSIYCLIYTSGTTGEPKGVPLTHSNLVHAIAGLKQSAVHLCDQSILTPDA VHFSYLPLAHSIEHFSHSGMIMQSAGVAFYQGDTAKILDDLALARPTFFGVVPRLLNK IYDKVVHGTPPGPKGWLLKVALKMKLNALKDGVLRHGLFDHLIFSGIQKKIGLDRCSM VIVGSAPLAADVMDFFRVLFDCPVMEAYGLSETTGIAMMNHPSQSVAGDVGTPLGENQ VKLISVPEMGYLTSDTTHGDASHRIPVRGRGEVCFRGPQVFQGYYKNPTATADALDSD GWLHTGDVGAILPDGRLKIVDRKKNIFKLSQGEYVAPERLENILVTSALVDQVFVYGD SFQSVLVGIVVPQEAPLRRLANEVLGMTTADTTSFHELCSHPQVVAAVLQDLVVVSKQ AKLFGFETIKAIKLHPHVFTVEDNFLTPTFKLKRNECKAAFASDIDALYDHCGDKKAA AASN H257_03258 MGTSVIAMPRASTVYDSMSIGSSNGILYQRRWLQAARCSSGSRV PPSPPPPKLRKRTTPSLHGHMNPRSSSFTMPKGQYIAPEKIENVLHTSWFVAQAIVFG DDTHEALVAMIVPEDYALMALAKQLAIPSATSLTELCAHPVIVSHVLQDIAVVSKEGK LCSFETVRAITLLPTHFTSESGLLQRNRKVDRNVAKALFQAHVDSMFTTADDHVCKRR YTQTA H257_03259 MCAASVVYHREWFLDTLPQHHALFSTVLFWNVDLHRLAPNLEVM RNELQSTHDKLQTAHSELPTDLATEATKNMYAQWKYLMRRMEDIFDAETGAKPMTTTV QLIATSPSSLMWSFACLVHN H257_03260 MYEYVHVDEKWFYLTKVKRRYHVYDDEEVAARSVKSKRFITKVM FLAAVARPRFDHYSKKQWDGKLGVWPFVHVSPAARASKNRPKGTLLTVLLAVDAKVYS DTIMDKVVPSIQLKFPGDWRRRQVLIQQDNASPHRRVTSEFLQQQGIPLPGVHSHINC LLNRICQVVGVVAESTTPLAKDAKRDADVPELDVVPVKKSRGEAIIPSTLRFEWFTLV PRPWNQGNDGNRLRRSDMKLLVDFMRLYIEGGYSLDEHKDGYRDEVLKLGKSVEVKLG LYFKEKGIKAEAGGARLKKLREVHCVGHFDAHIQRFDGLFRAGLVEDPSPLSSINQLR LLQLNASI H257_03261 MLVSLLTTPFALAAAGLLGFIGYLCTIPSKNLVKVPSNYVIVDD SEVKPGHGAVHRVGTTPKPSSPSMLDSLKATVEKHGNNAFLGRRPFDKDGNALDYVWE TYAQVYSRIQNFAAGLVHEKMFEVTSDGEKPLCLYMKNRPEWVIGQYTAMYLGGFAVA LYDTLGADSTQFILKQTQSSTVVCTTAELAGVYAAKATTSTLKFVVVVDIDTISPSQA DAAAAAGVKLVTLTQIEAIGAAHPVASATLAPNDIYCLIYTSGTTGDPKGVPITHLNV MSADEGMRERICVGNAMKTFTHTGVHMSYLPLAHCIEHIIHTIIIIEGGRIGFYQGNT LKLTEDLAVLRPTLFVTVPRLLNKIYDKVVNGAQAAGGLKTWLFNLALDTKLTNLKKY GRTRHAVFDKLIFSKIQTKVGLDRCELIVTGSAPLADDVMNFFRILFDFPVHEGYGQS ETVTAGTMTHSLDVTCGTIGVPITPMEIKLVSIPDMGYNVTDTTHGEDDATRMAVNGR GEICFRGPPVFSGYYKDPARTAEAFDADGWLHSGDIGVWTLDGRLKIVDRKKNIFKLS QGEYVAPEKIENILITSPYVAQPFVYGDSLHSVVVAIVVPDEAELAKLAASLGVASTS FESLCANPEVVAEVLKSLAVLSKKGKLYGFETIKALKLVPEPFSVENDLMTPTFKLKR NEAKKKFLHDIDALYAKCGDLVAGHNVHQH H257_03262 MAKELFTYNKKLECVNALVAHVKLIKLHKASASDASPHHPQKHS PREVPSFSLESPTPQAPEKHFTSLAVELPSMPTMPPLTSWLDERSDDE H257_03263 MVDKPYRSVVGSIMYLMISTRPDLAYVVQQLSQFLTNPGPVHWQ AAKRALRYIRGTIDYGLVLGDLRRQFQKTKMATKAATRAASKRSAPNWNDKDVEILLD CIQDLVPLGHNGWVKVTQQFNAHNNVAFARDWEACKRKFTFLKSVKKPTGDPDCPPLV VRAKRIQRDIDSRAAVEVLGDEGEMNADSLKTYFDQDVDHMTTLDVTPDVNVSESVAE EGDNDDSQMDVTDNVDFKEEIDTSQTFLPLKRQKTSLKEKPNRSGLSQDSLRQLGKRL SKVSEGTPSASSLSAVAKRRQSLDAFIDQAGKQQSASNDMMSMFCLMEDRKEKRQDER EERERQQERQQDIAREEREYQQQLLRDEREERRLSDENEYRARQEQFQMAIMMKLLGD TPKK H257_03264 MNLTLVLVASRPQRLRRIMYALRIRRRLKERNFIKSVALFESQE MSPWYTMYKARDAQSFVATVSQPSGIGLVDGANVVDDADSDSSGNHEQPRDGVVEPFL LVDSVVV H257_03265 MVKVLVSLSALTATTTAGSVTELPESVTKLIDYSANPCDDFYQY ACGAWHKEAVIPPGKTFTDTSFSQITIRNQAVLTKILSDNKSTLGEFYNSCLDTATLS SLGLTPLTDSFEAIRSANTTLDLLIVAGELAKNGIPAFFDINARADYDNPTKNALFGV RSPLSLSHGFYIYPGEWSFFKPYYEVYITSVLQLAGYTAEQAMAAVPLIIHFEQTVVH FGRNNELEEMEAVVSPYTAFTYYELDQKYPLLIGSWLKANGFNVHDQSGGSNDWVGFS DLTYFDKTEALLKNTTLDDLRTIVEYKLIHASSNHLTPEFRTANWNLFGNIINGEKEE PTREKYCVSESGNTVRELLGQYFLDAVWSADTAKTVDELAKALKSSFSTSIATADWLD NSTRANAQTKLSKLVQLVGGPEKPQLYPNLTLDSKKYLNNHWKISQVNIDTKLKLKGQ PVDKRKFGVPAHKTTAKYIKETNQVKLPAGILQPPFFDVKYDAAQNFGAIGMVIGHEI THGFDNSNRNFDGDGKLNNWWSSATNTTFKTKAQCISDQYANFIVTSEVTGAVLGNIS GKLSLGESIADNGGLKTSFRAYHEFLKKFPSQYTEEAGNKLFYLSFAQSWCSKDTDAR LRQFLSDPHPPHRFRVTGALQNNAEFARAFQCPVDSDMNPSEKCLLWE H257_03266 MWQVGADRKKKSEAKLVVGFMKLFLHDGLELDLIAPSYHGDVLR FGSLAEQHVLSFVHDIAPNIRSSGSVLYVLREQHRIGALNIIIGLFNAKVAQGGIKDP TPIQNVATIATNLHAWVQWIVERNQPFSEVENPLTRNISRLTPIRVKALKAAMDKVTR NVEAKIAAELPDVFGICSDGWTDGSKHFCAIFATYGVANVRHTPLLAMSPLLKPDSMD TDAYIAFIEETLGLYGKELANLAFVTGDNCFTNVSMAAKTGAPRMPSWSSNLALKAFL VPYESDMVFIRAVMKRLGDFAQLENLRATVSGVRDLLLLSGQNTPVKKLFDVPEQFES VTKQLQAEDLDMADARTMFHGLPAAPNVAISGSER H257_03267 MAGWLTPTFWHHGCRMMNRHGSPLETNCRRYKAMFGVTPLCASL VWTMVEDRRPVSSRPIHLLWALLFLKIQWDRRLELNRPSDRALVPLDGSDFRIQEQIP FDRTWYSHKFHGPGLRYEVGICIRTGNIVWVNGGLPCGEWPDLRLARDSYISMVRRGE LTLGDKGYNDPNYFIYPCPQLQNPRRHKDIMARHEIVNKRMKQFSVLSRVFRYSIDLH PKCFHAVANLTQLSMENGEPLYQV H257_03268 MQVDPDHDNVHDGNVSDVDRETEIDDDAVDHGEDSADQDEKQVT EAKEDDTADDESHATLEQDVSVTKLAKPLSAYFHFLAANRAIVVAENPGLGIGPVQKI LSTKWNELSAEAKEPFTTMAAADKERYLNDKQSLMDQGIDIEAAPRVPRNDESLLTLP SARVKRIMLTDPDVNKLSKDSVLAVTKATELFIHFLATKGHDSATISKRKTVKDSDVL TAIHSHAVLDWLRDDFPESKKVPVAAKLSKKSAAHSNVAATEASPSSSSSAKSKITSF FSRD H257_03269 MNDAAPNGHLEVVEFLHHERSEGYDPQTLRLAIGRGHLEIVNPA KTMAWQVKGTLPSTTSLVMFEYLALEAIEYLTIHSNGFWSNASSAVQMARSGPEEWVS H257_03270 MDPYDFPDWTEMHAFKDLFKAAQLHGALSSYLDLGFFPAIQALQ YRKSAFYMNNLIANIKEEFVEYPIERIIHTFLSLQSYLVEVMSQQGDNTEMQRTVSLE LKEARLMDELSRMPKCILLSDGSAESSADDIITVASFVLSENFYKL H257_03271 MVKVFVSLSALATAATAGSVTQLPESVTKLIDYSANPCDDFYQY ACGSWYKNAVIPPYRTNTGTSTSKISIQNEAVLKKILSDNKPKLGEFYNSCLDTATLS SLGLTPLADSFKAIRSANTTLDLLVVAGELAKNGIPAFVDIKASADKKDSTKNALFGV QPPLSLHRSYYTTPSKWEIIEADYKVYIATVLQLAGYTAEQAAAAVPVIIRFEQTLAG VALRKLEEMEAAVSPYTALTYYQLDQKYPLLIGSWLKGNGFNVRDGCGGSNDWVGLTA LTYFEKAEALLTNTTLDDLRTIVEYKLIHASSTHLTPEFRTANWNFFGKKINGEKVEP TREKFCVAEVDTTVGELLGQYFLDAVWSADTAKTADELVKALESSFSTSIATADWLDN STRANAQTKLSKFVHLLGGPEKPQLYPTLTLDSKTYLNNRWKVSQVNIDTNLKLAGQP VDKRKFSIPPQTVNAYYIPKENQILFTAGFLQNPFFDNQFDAAQNFGAIGVVIGHEIS HGFDNRGRYYDGDGKFNPWWSIDTITAFKKKAQCIIDQYAKFVVKSEVTGAELGNVNG TLTLSETIADNGGLKTSFRAYHEYLKKFPSQYTEEAGDKLFYLSFAQARCSKYTDTHL NFLLKKAHPPGRFRVTGALQNNAEFARVFKCPTDSNLNPSKKCLLWE H257_03272 MSGHCGNSSGEDFGRPDFDAKKANNRFTALAEAHRKSNRVSARA SGISEDVMEKVALLDDILSAHDDAKEEESQRIADAKISQEHTDNLGSVVREEAMQSLG KWKHDVDDNGASRGGGGKMLKVMTMMHEQVQSELEFQREKHENEIKER H257_03273 MSIRQSDVVMLMSMTRSTRQRRFLLALLASQAVERPLIPNIRFN LLGLSNANAILDYRFDIAGIRQLGYHLGLPAVLVTASQNRVLRDEAMCILLGRLAFPT RLHDMSRTFGRSRSSICDVFLHVVNLLYNLWGRVLYFNKSLVVKNIDRYCAAVARKGS PLPNVYGFIDGTKIQTCRIESVGDGNNRQKQIYSSDMPDGICVHFFGPVEGRRHDATM LRESKLLEFFQNNREVFFSKYIYGDPAYGIVEYLLSGYKGNDVGVLKRDFNKWMSRVR QSVEWNFKIFKTLWSFITFKILSKIRLSPVAKIVCIAMLLTNCHCCHFRGNQISQYFD LEPPTLKDYLNMLEIVEV H257_03274 MKECSEKRNVLSWAEWCEVSFDIYMLHMVENYNQLLKKCSGCIK GGVLIPCQ H257_03275 MVKVLIFLSALATAATSDSVTELSESVAKLNGIPAFVDIKTRVD DNDPTKNALFGLRRPLSVFPTSKQVIYSIMHDTPT H257_03276 MVKVLVSFSALAASATAGSVTQIPESVTKHIDYSANPCDDFYQY ACGAWYKDAVIPPGRPFTDLAFSKIGIENEAVLENILSDSKTKLGEFYNSCLDTATLS SLGVTPLLGSIKAIWSANTTLDLLVVAGELAKNGIPAFVDIKASADKKDSTKNALFGD QPPLSLPRSYYTTPSKWETIEAEYKVYIASVLQFAGYTAKEAAAAVPVIIRFEKTQAG VALRKLEEMEAAVSPYTAFTFYQLDQKYPLLIGSWLKANGFNVHDQSGGSNDWVGFTD LTYFDKTEVLLKSTTLKNLRTIVEYKLIHASSTHLNPELRTANWNLFGKKIDGEEVEP TREKFCVAEVDTTVGELLGQYFLDAVWSADTAKTADELVKALKSSFSTSIATADWLDN STRANAQKKLSKLVHLLGGDKNPQLYPTLTLDSKTYLNNRWKISQVNIDTNLKLNGQP VDKRKFGMPPDKVNAQYDFTVNQIEFPAAILQNPLFDGRFDAAQNFGAIGMVIGHEIT HGFDNYGRKFDGNGNLHLWWSIDTATAFKERAQCFIDQFDKFIVTSDVTGAVLGKISG KRSLGESIADSGGLKTSFRAYHEYLKKLPSQYTDDAGDKLFYLSYAQASCSKSTDAYL KFLLASEHPPNRFRIKGALQNNAEFARVFQCPTNSSFNPSEKCLLWE H257_03277 MGGAVSVENAEIIYVAEDGAIGLTEPFASRFENDMPFDIKRPVV THQHEALIKENWSAICQGTSAFDAVKHLTPTKFFYRTFYNVLFETAPSLRPIFRSSMT VQGKSLAGIIKTLATVINGANIVSAAQGLAKGHLKYGTKKDHYTVVGQNLLQTLEIVS GDKWTPDISTAYLTAYSLIYFVMLPVILNNEPVEITESLPATISKSEPISATAKRLTL TFDFNLRFHPGDAILLGLPVAEGGEVKRHYTIASLSVEGTNTIDIVVDDVSASSHWLV THATGATVKL H257_03278 MLEGLYRVRETFYGSAVTLQVAPNQADVDAYTSAVTSTGKPIEW ESSSIHYAPTVSADKLKDITPNLAHSDLYVCGPADFIATTEEALVAAGGSKDQIHVYS FDNAQLGARKIE H257_03279 MSGLVAPETLPLTDDILKFTDHATAHFLPLADHERRTWNDDTAI NPRICLASVSPPRPLRHQSAQAILDALDSLDAFFLPAAYGSPTASQYFSCAKRFCTAL NRRS H257_03280 MERGTSEAYMQRTRSSLDGDRSRSGRSDSPVAGKNFPGRPGDCK RQRSKMAVYRLFRAPQGRRQPVAVDEAWPFGGLSSFKPPVFGPSGAVPGVTAPDTEDW DMGQGDVYIHNAPVLPNNPIFKGSTKEEQRAFMVSYNQYISQTNALTANGVDHF H257_03281 MSLLPSSVQRFVGTPLDDLRPLAYTLCYVPQGNRIDALNISKMY LELDQVEHSELYVVDPTLSSTDRDARLAEIKARTTANQREVIALEATKKLANQRSEAC EIHIGHIYRCRLPRQVPLLQVNPAIPFSHRRSDLITMSGRSRSGLCNIFLHMLDHIYS NFAEIIFLDRDRISKLHEFSQAVVAKGAKVHNVWAFIDGTVRECRRPEGNERQRTVYN GHKRRHTVKYQTLVNPDGIIAHAFGPIEGRRHDLIILRHSNLENVIARDARFQVFVVF GDPAYGYPDQLASHLVEHV H257_03282 MGIRWIGLNDLPAWFSAATTGSVTELPEYVTKLIDYPANPCDDF YQYACGAWHKDAVIPPDRPSTDTAFFKIAIQNEAVLKKILSDNKTKLCEFYNSCLDTA TLSSLGVAPLADSLKAIRSANTTLDLLVASVDDANEAKNALFGLAHCRTSGGCDAGEH RFGLYNFEETEAAVSPYTAFTFYQLDQKYPLLIGSWLKANGFNVHDQSGGSNDWKYPL LIGSWLKGNGFNVRDGCGGSNDWVGLTALTYFDKTEALLKNTTLDDIRTIVEYKLIHA SSTHLTPEFLTANWNLFGKRIDGEEVEPTHAVWSADSAMTADELVRALESSFSTGIAT TDWLDNSTRANAQRKLSKLVHLLGGPEKPRLYPTLTLDSNSYLNNRWKISQVNIDTGG S H257_03283 MAEHAARQSSLVVNLPQHDVATDTNLKLNGQPVDTPKFDVPLHK ITAEYRYTMNQIVFRAVILQNPLFDGLFDASKNFAIGMVIGHEITHGFDNYGRKLDGD GNLNPCHGGRTPPKLRSQSIRNPSALATTMPTLSSRAK H257_03284 MLTPATNNVLSRTAENAPPQKQMSSLAVRMTRGAPPASSVHHKP KQAYQEAYEDLGEIYVKLCVSTVA H257_03285 MSATEIPQLMVGFGSSGIPEDDQRNPSVIADMVGDMAVDCVGSS ADVLFESVAAEIIGAISLAKEGAIESPVSFVFFPVVVHAFDIVVSFIGILCVTEPGPT ESDTMTTLQHRYSIKFLLALAGFGLSTRWLLFVSAAPGAWLHFPSTLVTCFLSYGSGI TTMLAVLYCNEAPRSST H257_03286 MKAVVQQASQSVVSINAVFAVSILAVFATLILSPSLLLVVIGTT SVAEISRFLSSHSRSGDTVAVFAKFVCIWPLGMGSVVAFGQLSPETQASNLAWFSIYI NAAVVGNIAMMGFVSAGSTLRGVTHRVACISLVLWLLREMQSVHWATVSYQDGYFLFN ASPLSWVLAHACYRLVMMTLPPFDTLRYLVLEPASLGLMAGLATANGASASLWFGQAD TLVASTVCWTSAALGWVLPTPKYLTMRLPESQALDVGCALVHVVIVVVATFHLFFMNP ESTISTLVPYRE H257_03287 MLFLDLMWAMNIDLVTPTTAAMYALLVSPLQTLGDKLSIVWFAR EIDWGALGWDGTEDLHHTDKPMGVIANLMTTLETSNDYLVVGDFCIRGCLSLHALRWN H257_03288 MDKHEEDTCTYTYKTCGNRRTIKRDGSFHRLCEFHRKKANALQK AYATKRRREFHSLRELVKQSVQVGRDCIDNNTNNTLFDLDEVEPFALSSQAAGFSDEE FAYLCLELQLL H257_03289 MTINETSQWQRLTAHAKEIKETTHLKTLLKDEARNAELTAEYNG IHLDYSRQNATTQTLDLLFDLADAAQLRQKLAAMAAGEHINTTEDRAVMHIALRSPAN KPLYVDGVNVVDDVHHVLRNIRAFSDKVRTGAHVGATGKHLTNVVSIGIGGSYLGPEY VFEALKHEPVAKAAAAGRTLRFLANVDPVDAARAIEGLDPEHTLVIIVSKTFTTAETM LNARTLRDWLVSSLAKKGVSAADAIRQHIIAVSAAVPKAEAFGINAANVFGFWDWVGG RYSVCSAVGIVPLAIHYGADITDSFLAGAHDIDTHLLTAPLRSNLPVLLGLLGVWNSS FLGHATRAILPYAQALLRFAAHIQQVDMESNGKRVDLAGVELPFQAGEINFGEPGTNG QHSFYQLIHQGRVVPCDFIGFCKSQTPVELAGEAVSNHDELMSNFFAQPDALANGKSI DELTNVPDALKPHKAFPGNRPSVSLLFEGHLTAFSCGQLLALYEHRTVVQGAVWGINS FDQWGVELGKVLATQVRNQLSASRKNKATSVDGFNSATATLLQTYLAK H257_03290 MLWPQDEGTTSGVMTAVRVRPMSDDERKAGCRNIITMVDNQTTV VDPAGLSPTSASSSSASSFRRRQSGQLNPANSSPSKLASMVHDSPTKRPSLSAEAKSS KVWSQSFTYDHSFWSCDPDHVHHADQQLVYDRIGTHVLSTAWNERLHVSLFAYGQTGA GKSFSMMGKSKSNHHEARGLVPRICHALFDAMQSSPPTVSATSTTSHVQTSSQIKDDG TLDKQTTASHPSPTCTVKMTFVEIYNERVYDLLDPHTKESLKVREHPANGTYVEHVSN LVVTSAHDIEYLVAEGNKTRTVAATSMNQLSSRSHAILTLTLHWLDKRLAPTKVCMVD LAGSERADVVACGDRLREAAAINKSLSALGDVINALAATTNHAAAGKSISSGFVQYRN SVLTRLLKDSLSGSGRLVMLAAISPCCIHYDETLSTLKYVERAKLAFVAQPSAQLQAV EASGAVDEGNAVMQLLRLELSMLRSQLRVAQQLGDLRPPSQVEEEVEIESSAQNVLDC TTLSVPHLVNLNQDPRYTERVVYCIEEGITTVGGGDAASDLVPDIVLAGHDMQPLHAI LHCTNNIVRVRPAAVAAVRVNGRPILDTTELRHGARLMLGDHHVFRYDAAHTSERAPD MDWHGAHQELLDHLLTQGSHDDSNNVSISHGQTEPNDSAASLDVRGVQPTYHEAATQS DDGVTERLPVPPCIVVTESTQTSDYSYCTSTSTGTQYRYDAGIQFDEHDKFALERKLA KLKLLVKKKDKQLQKAARVKVHSLSLPCPPFEDAATTHRLLQLQHVVLSMLGTPPAAT DQPQPPPTATLDDTMTALETQIHTLHEIWTDRPAASKPLAWLLQQLSSECNHQIALWS QAQTLQLSSAAKTIANLESRFASLCLALNEQCAQEKVHFIAAFDDQHTRHDKLVAAQA TTLAFSANAHADSMALLQNTMTAKMEAAMDLHHADQQHWMDEIQAASHQHVETLKAMS VQAALDEDKLRVKMAEWEAKCASLVAEADMVLCETRAMHARELRGQQDAVALQLYDLE MLQMAQEERFLADTETFVRATDMTWRDRQLEQAAWTNQKQADLVALHIEHEDNVRQVQ REHELKRDRLGEAVETIQMSHEERRHRWVATCDSVQNDIAEAKERHQVAVEAITLKHQ ASEAEHVQAMADRDVAWRELLAKFDTNATHESVQHARFMKQLVQRHHVEVQRVQDATI ELEAALASQDAALMDRVRRWEDSFERLEVETQGRRQQVMDSHGNVLRDLDVAFEVQES TRRDERCRVDMQLCRQEAELRERASQQTMTFAMEMEDVRAKQWMQLSALVVVQDTRVT STSDLVDSLRAEYDAKQRILDEQVEVLQVQCSDVERREIERHKQANQTHRDQMDGLRE TWHEGLEQLMLSMEDERCRRMLQLERMHVHHEQALDTWNQAQELARQRILAQQRQHQR DVDAHLDAMQAAMDEVHFAHTTTMADIASHHSCILQDLRLLHAQAEEGWMQELEDSQR RQAWGYAQVAAQCADELWRGEQCIAVLHEAHAGAMATAQRELQIADETHEMWCMGVED DSVRQLRQNELSLEAQWNDMQMDLNEREAQLHRQVQQLKAEADHDERSHEVTLQRAQE HWTEALSALSMEKEDVLSSHLSQLTLVNDRHLRAVERIRVQLAQHEGRAVSQQERATS SVESTRALTLSLSQNQQAFYDEAAASIEIKWIETQAKHTAQLAMERESHARMFHLLAE AHAAQRRELASDKSALEQTLGAQLAAYERDLAVEMQAALRKLSIQDEKWQDEVMVDLD GGYRQMCAALAADAASQEAAFKKQLQDVQDQWRTELANIHLELQDISSHHMLSLEKCQ ALFERDLQCIAQKELEIDMRTHMERREAAERAAMAQAEKDGMEGRLRAIRYDLTQRQR QRHDEFDLVSRDFLQKTLDAHKDKERVRRRCLAELQALERLHTRDLRAQVPLGPVKNS IPKSDLEGQQKLVRSRIKEELVRSTGHLIEVEYASNMDMLESVHRAQANKLEMAMEDE QSRMWERRRRALAFLDEPPPKMPDVMLLSRPSSPSTHDMSDKCMAPSYLLPIELDVTR FPHLAMRPRHMHPKDQAIAAQLKQNGELVHRLEAERRQFTAKTDETSRALAKMQASMS SLEEMMRVADEKAKEDASVIQMLQDKAKSCVVELPTREVVASTFTVATKDTTNSSAPK ANLLRGWK H257_03290 MLWPQDEGTTSGVMTAVRVRPMSDDERKAGCRNIITMVDNQTTV VDPAGLSPTSASSSSASSFRRRQSGQLNPANSSPSKLASMVHDSPTKRPSLSAEAKSS KVWSQSFTYDHSFWSCDPDHVHHADQQLVYDRIGTHVLSTAWNERLHVSLFAYGQTGA GKSFSMMGKSKSNHHEARGLVPRICHALFDAMQSSPPTVSATSTTSHVQTSSQIKDDG TLDKQTTASHPSPTCTVKMTFVEIYNERVYDLLDPHTKESLKVREHPANGTYVEHVSN LVVTSAHDIEYLVAEGNKTRTVAATSMNQLSSRSHAILTLTLHWLDKRLAPTKVCMVD LAGSERADVVACGDRLREAAAINKSLSALGDVINALAATTNHAAAGKSISSGFVQYRN SVLTRLLKDSLSGSGRLVMLAAISPCCIHYDETLSTLKYVERAKLAFVAQPSAQLQAV EASGAVDEGNAVMQLLRLELSMLRSQLRVAQQLGDLRPPSQVEEEVEIESSAQNVLDC TTLSVPHLVNLNQDPRYTERVVYCIEEGITTVGGGDAASDLVPDIVLAGHDMQPLHAI LHCTNNIVRVRPAAVAAVRVNGRPILDTTELRHGARLMLGDHHVFRYDAAHTSERAPD MDWHGAHQELLDHLLTQGSHDDSNNVSISHGQTEPNDSAASLDVRGVQPTYHEAATQS DDGVTERLPVPPCIVVTESTQTSDYSYCTSTSTGTQYRYDAGIQFDEHDKFALERKLA KLKLLVKKKDKQLQKAARVKVHSLSLPCPPFEDAATTHRLLQLQHVVLSMLGTPPAAT DQPQPPPTATLDDTMTALETQIHTLHEIWTDRPAASKPLAWLLQQLSSECNHQIALWS QAQTLQLSSAAKTIANLESRFASLCLALNEQCAQEKVHFIAAFDDQHTRHDKLVAAQA TTLAFSANAHADSMALLQNTMTAKMEAAMDLHHADQQHWMDEIQAASHQHVETLKAMS VQAALDEDKLRVKMAEWEAKCASLVAEADMVLCETRAMHARELRGQQDAVALQLYDLE MLQMAQEERFLADTETFVRATDMTWRDRQLEQAAWTNQKQADLVALHIEHEDNVRQVQ REHELKRDRLGEAVETIQMSHEERRHRWVATCDSVQNDIAEAKERHQVAVEAITLKHQ ASEAEHVQAMADRDVAWRELLAKFDTNATHESVQHARFMKQLVQRHHVEVQRVQDATI ELEAALASQDAALMDRVRRWEDSFERLEVETQGRRQQVMDSHGNVLRDLDVAFEVQES TRRDERCRVDMQLCRQEAELRERASQQTMTFAMEMEDVRAKQWMQLSALVVVQDTRVT STSDLVDSLRAEYDAKQRILDEQVEVLQVQCSDVERREIERHKQANQTHRDQMDGLRE TWHEGLEQLMLSMEDERCRRMLQLERMHVHHEQALDTWNQAQELARQRILAQQRQHQR DVDAHLDAMQAAMDEVHFAHTTTMADIASHHSCILQDLRLLHAQAEEGWMQELEDSQR RQAWGYAQVAAQCADELWRGEQCIAVLHEAHAGAMATAQRELQIADETHEMWCMGVED DSVRQLRQNELSLEAQWNDMQMDLNEREAQLHRQVQQLKAEADHDERSHEVTLQRAQE HWTEALSALSMEKEDVLSSHLSQLTLVNDRHLRAVERIRVQLAQHEGRAVSQQERATS SVESTRALTLSLSQNQQAFYDEAAASIEIKWIETQAKHTAQLAMERESHARMFHLLAE AHAAQRRELASDKSALEQTLGAQLAAYERDLAVEMQAALRKLSIQDEKWQDEVMVDLD GGYRQMCAALAADAASQEAAFKKQLQDVQDQWRTELANIHLELQDISSHHMLSLEKCQ ALFERDLQCIAQKELEIDMRTHMERREAAERAAMAQAEKDGMEGRLRAIRYDLTQRQR QRHDEFDLVSRDFLQKTLDAHKDKERVRRRCLAELQALERLHTRDLRAQVPLGPVKNS IPKSDLEGQQKLVRSRIKEELVRSTGHLIEVEYASNMDMLESVHRAQANKLEMAMEDE QSRMWERRRRALAFLDEPPPKMPDVMLLSRPSSPSTHDMSDKCMAPSYLLPIELDVTR FPHLAMRPRHMHPKDQAIAAQLKQNGELVHRLEAERRQFTAKTDETSATMRCCGWC H257_03291 MSTPAEAATTLVFVCTSNTCRSPMAEALAKQWIKECHPRAPSIK VTSMALSDEYEPPGSPASAHAVTAMRRRGLDLTSHRSQLVNDALIAQADIIVCVTAKH EQLLRKRYPTTDVPIHVFPQDIPDPWHQSAAEYEACAMQLHEGIGTLLPLLNF H257_03292 MLRRRSRCTEVEAATGAAADFAQNLVLLLVPPCQATRRGWMHAL VLYPLQCVESMLVRPLSQLRRHMPLLGGVCVVALTLWSLLLRSVWRHVHHTLSTASAT HDPRLNFDKFWNAVEAYYVFTDATTCNWSLVHTIFGDKIDASTSEDDLWTAIVDSMAL LGDPSVRLLHPQAGESSSFALPHTDTVKAPELDAHERTRTSQTSAALLPPLVHVAPHI STFTLPHASSSLSSVMYIRLDAMAGFVDRTFPLDCFTPPSNPAAVPELFDVEAMRWAL QSIAPLDSKRTGIILDLRENSGGGSPRAAVAAAAMFLPPHAPAFEIQEPLKAWGHVRR RTFYTPSASTGDRLEYFDGPLVVLQSARTTGTAELVLLALRHRKRTACVGEVSAGRAS GVLQMQLPNGWIVEMPHQICRSADGDIVCVQGQGLPPHVRADVTDDMWIWSTAVKLLT QL H257_03293 MVKVLIALSALAAAVSAGSITDLPESVTKHIDYSANPCDDFYQY ACGAWYNDAVIPPGKTKTDIVISKISNHNEAVVEKILSENKPKLGEFYNSCLDTATLS SLGVTPLADSFKAIRSANTSLDLLIVAGELAKNGIPAFLDIDVTGDDEDSTKNYFFGD QVYQPLSRSYYTIPSKWATIVADYKVYIASVLQLAGYTAEQVAAAVPVIIRFEKTFSG VTLRKLKEMEVAESSYTALTFYELDQKYPLMIGSWLKANGFNVHDQFGGSNDLVRFLD LTYFDKAEAFLKNTTLDTLRTIVEYKLIHTSSTHLTPEFRTANWNLFGKKIRGEEVEP TREQFCVSQTVATVGEILGKYFLDAAWSADTANTADELVKALRSSFSTGITTADWLDN STRTNAQAKLSKFVHVLGGPKKPQLYPTLTFDSKTYLNNRWKVSQVNFDTKLKLNGQP VDRQKFDMSPHWVNAYYSPPLNQMVFPAGIFQNPYFDSQFDAAQNFGAIGVVIGHEIT HGFDSLGRYFDGVGAMNSWWSDATDTAFTKKAQCISDQYAKFVVESEVTGDVLGNVSG SLTLRENIADNGGLKISFRAYHEYLKKFPSQYTEEAGDKLFYLSYAQSWCSKNTDARL TKHLSNSHPPNRFRVKGALQNNDEFARVFQCPTNSTLNPSKKCLLWE H257_03295 MTKKNSTKAELLAHIQALEHRVAGSSSGMGNAVDNLAHRKRTPV EYTDSMVIALLELRFNAYSNAFNGSRSAKQLNLLWEKLTVQFNIATNQIINNKLRKLR AEFMVVQCALVQTGNSNNDEYTVPEAKKRKAEVVMELKLQRQGRKNAQTDISQGLEKF GNTLGAAIVQAANNPTWLRKWLNVWKLLKARRPASIVPTKFK H257_03296 MSYLKDCGEDWAYSKRLTDPHCFPSFAVHKLGLGLPHVASRIRS QRLQLLQRAMTQSTADRPLWQPLVLRQFERSMGQLYRASNPYDFLLYHPHPSSKWLML WEVHPLWIDVWSQWSATPINLRMQLPLTPATTMHLPVWLTTYEPTMANGMCAASTYDE GF H257_03297 MVHSSPTWRWCKHGAANRLRCLADVVAVHGRWPTRPEFMVMMSQ GNPAAPVEINRDGHMHWAPVHRSGMIYNHLTRMHTQVQGLHQLPPNIVPVPESTRHPF YGMVKDTPTPFELWPRPMVVALAYHAPASEVSHPMTSTTRTTTALIQSYVRRVETQHH AFHACPRIHPVWSFHRDAWRPFGAPFTWSTISDLDLFTVNSRGDRHKDAVKTLWILLT ASTLNLIWTQHNKVQYTDASPLLLPHWFELSLLGWMPSVRRKLRLQDRECPIRTSALH VLHTLRDQANYRRLW H257_03298 MLFRVHLLVKMRSSFPCCITYKYADSLRSMVNPLTRCMEDYGLP PFATFHVRDIAPAVRAAISEYALDLNALEDDLCDADDLCWTSVMDRLEIIDDPLRRLS SILEHLSTVANSPELRAAQDDVQEDILAMQSRRAQSPVVFHAMQTLRASPAFDSEYTT EQQRILDRAILHATLKGAALAPHDKDRFNDIALRLDTLRKKFGENVLDAIQSFSRIVT DKTELQGVSESTMALLAQNAVAAGHDGASAAAGPWKLSLEFPVYMPLMKQCTHRPTRQ LLYGAFVSKASTPPYDNAPVIREMLQLRQSRARLLGFRTFADLSLQDKMAPSVAVVED MLRALCDKVLPLARAELDEVQVFAAAHGHVLPLAQWDISYWSEKLRKDRYEVDDESIK PYFPFARVLNGLFNLCSELFGIQIEAADGLEETWHPDVRYFQIRAMDEPSTPVIGHFY VDPYTRPGQKNAGTWCDTIVSRSKVLRTDKAPVRLPVFSLSCNQPPPVDAASSGLMAF GGVQNLFHTFGYGLRDVFTSAEYTAASSADGIEYDAIEIAPQFLSLFCHRRETLRMIS GHVETGDPLPDDLIDKMLAARNFKAATNLLYQLRLGATDMALHHHYDPYSSDKTIFDV QQSIVESFSVRPPCDLDMHICSFSHLFTLTYGAGYYAYIWSDMLAADTAACFDTTDKA EWQRWGRRFRDSVLAKLGILEPMAVYKLFRGRVPQTDALLARYGLQ H257_03298 MLFRVHLLVKMRSSFPCCITYKYADSLRSMVNPLTRCMEDYGLP PFATFHVRDIAPAVRAAISEYALDLNALEDDLCDADDLCWTSVMDRLEIIDDPLRRLS SILEHLSTVANSPELRAAQDDVQEDILAMQSRRAQSPVVFHAMQTLRASPAFDSEYTT EQQRILDRAILHATLKGAALAPHDKDRFNDIALRLDTLRKKFGENVLDAIQSFSRIVT DKTELQGVSESTMALLAQNAVAAGHDGASAAAGPWKLSLEFPVYMPLMKQCTHRPTRQ LLYGAFVSKASTPPYDNAPVIREMLQLRQSRARLLGFRTFADLSLQDKMAPSVAVVED MLRALCDKVLPLARAELDEVQVFAAAHGHVLPLAQWDISYWSEKLRKDRYEVDDESIK PYFPFARVLNGLFNLCSELFGIQIEAADGLEETWHPDVRYFQIRAMDEPSTPVIGHFY VDPYTRPGQKNAGTWCDTIVSRSKVLRTDKAPVRLPVFSLSCNQPPPVDAASSGLMAF GGVQNLFHTFGYGLRDVFTSAEYTAASSADGIEYDAIEIAPQFLSLFCHRRETLRMIS GHVETGDPLPDDLIDKMLAARNFKAATNLLYQLRLGATDMALHHHYDPYSSDKTIFDV QQSIVESFSVRPPCDLDMHICSFSHLFTLTYGAGYYAYVRPTSYTYTV H257_03298 MLFRVHLLVKMRSSFPCCITYKYADSLRSMVNPLTRCMEDYGLP PFATFHVRDIAPAVRAAISEYALDLNALEDDLCDADDLCWTSVMDRLEIIDDPLRRLS SILEHLSTVANSPELRAAQDDVQEDILAMQSRRAQSPVVFHAMQTLRASPAFDSEYTT EQQRILDRAILHATLKGAALAPHDKDRFNDIALRLDTLRKKFGENVLDAIQSFSRIVT DKTELQGVSESTMALLAQNAVAAGHDGASAAAGPWKLSLEFPVYMPLMKQCTHRPTRQ LLYGAFVSKASTPPYDNAPVIREMLQLRQSRARLLGFRTFADLSLQDKMAPSVAVVED MLRALCDKVLPLARAELDEVQVFAAAHGHVLPLAQWDISYWSEKLRKDRYEVDDESIK PYFPFARVLNGLFNLCSELFGIQIEAADGLEETWHPDVRYFQIRAMDEPSTPVIGHFY VDPYTRPGQKNAGTWCDTIVSRSKVLRTDKAPVRLPVFSLSCNQPPPVDAASSGLMAF GGVQNLFHTFGYGLRDVFTSAEYTAASSADGIEYDAIEIAPQFLSLFCHRRETLRMIS GHVETGDPLPDDLIDKMLAARNFKAATNLLYQLRLGATDMALHHHYDPYSSDKTIFDV QQSIVERV H257_03298 MLFRVHLLVKMRSSFPCCITYKYADSLRSMVNPLTRCMEDYGLP PFATFHVRDIAPAVRAAISEYALDLNALEDDLCDADDLCWTSVMDRLEIIDDPLRRLS SILEHLSTVANSPELRAAQDDVQEDILAMQSRRAQSPVVFHAMQTLRASPAFDSEYTT EQQRILDRAILHATLKGAALAPHDKDRFNDIALRLDTLRKKFGENVLDAIQSFSRIVT DKTELQGVSESTMALLAQNAVAAGHDGASAAAGPWKLSLEFPVYMPLMKQCTHRPTRQ LLYGAFVSKASTPPYDNAPVIREMLQLRQSRARLLGFRTFADLSLQDKMAPSVAVVED MLRALCDKVLPLARAELDEVQVFAAAHGHVLPLAQWDISYWSEKLRKDRYEVDDESIK PYFPFARVLNGLFNLCSELFGIQIEAADGLEETWHPDVRYFQIRAMDEPSTPVIGHFY VDPYTRPGQKNAGTWCDTIVSRSKVLRTDKAPVRLPVFSLSCNQPPPVDAASSGLMAF GGVQNLFHTFGYGLRDVFTSAEYTAASSADGIEYDAIEIAPQFLSLFCHRRETLRMIS GHVETGDPLPDDLIDKMLAARNFKAATNLLYQLRLGATDMALHHHYDPYSSDKTIFDV QQSIVERYVVTNILYAH H257_03300 MVNPLTRCVEDYSLPPFAQLRPDDIAPALRTAMAEFASDLVAIE DDLACPDAEISWESVMDRLEIIDDPLERLWSIVTQLMQVVNVPELRAAHADVQEEIVS LQSKRAQSLVVFQAMTTLRHSAAYESYTTEQQRILNRAIQRATLRGVNLVDNAKDRFN AILVRLKTLSTTHANNELDGTSAYSLIVTDQSHLEGVPLSTKSLLAQNAVAAGHVGAT SENGPWKLSLELPVYNPVMKFCSNRSIRETLWHAFNVKANANELVVVEMLQLRHELAQ LLGFATFAELSLANKVAPSVDAVLDTLEELRDKALPRSQAELRLLEEFAASHDHPLPL QQWDIPYWMERLRVEKYDIDDDAVRQYFPLPKVLDGLFDLVSQLFAIQIEAADGLEET WHPDVRFFQIRAMDQPETPVVAQFFLDPYARPGQKRQGSFVEVVVSRSKVLRTAKAPV RLPVFSIVLNQTPPVGDTPSLMTFNDLALLFGRVGIGLRIALTSAEYTAASGMEGIEM DALAVPVAMMKRFCYHSDFIQSISSHYQTHQPLPQTDLDKIVAAKRFMAGTTLTRQLS LAVIDLSVHHHHGTSATITADSTDALVEKIKHEFSALPEVHARDYMLCHFRHIFHGEV EAAYYKYIWSEIQAHDAYACFEDTQGDLPAWKANGKRFRDTILALSGVLHPTKAFELF RGRKLHTHAMLEQYGLL H257_03301 MVNPLTRCVEDYSLPPFAQLRPDDIAPALRTAMAEFASDLVAIE DDLACPDAEISWESVMDRLEIIDDPLERLWSIVTQLMQVVNVPELRAAHADVQEEIVS LQSKRAQSLVVFQAMTTLRHSAAYESYTTEQQRILNRAIQRATLRGVNLVDNAKDRFN AILVRLKTLSTTHANNELDGTSAYSLIVTDQSHLEGVPLSTKSLLAQNAVAAGHVGAT SENGPWKLSLELPVYNPVMKFCSNRSIRETLWHAFNVKANANELVVVEMLQLRHELAQ LLGFATFAELSLANKVAPSVDAVLDTLEELRDKALPRSQAELRLLEEFAASHDHPLPL QQWDIPYW H257_03302 MLGSNNYKLPHLRKDASIDDLLSYNVECNATCVTSALVHMDLRL VEEARMEEECNSTEQDILPSFKDCSERHGSGFAVLELAGYTFVFGDPVRVTKDARLLP DEFSGDADAQFLTA H257_03303 MKTTLHHLRERRELHRASTCAMWWFTASTRAETLRLRCSPGWLD AGYGGAALQQNLLEQHSRAAIDTIDDIRVAMSHMVKTKEQSWITYAQSLEAAAKGHNV GAKYLITCLPADCPCNTRGRVAIGTPSMSAKPASSCNSFSSRMVSGLAALTAAPSRR H257_03304 MASPECGSDNEVQEMRFGARELEYLGHLLTADGVKPISRLLDSV RSFPPPQDERQVQSFVHLAGYYRRFIADFASKAAPLTVLTRKTEYQICLVPHKWFAAH RAETVGNPPCATAGTNQAGLPQGDTPPPRKSPAPRAATTAHPIPSEPVRPVFTTVLSS AATTWFPIQHWANKPAVPYTSHAVATSVPPQQAFTRTKGKSIRFTIRHWVPPREYTTA PCAPEPSSLQARHSHRRYTAHTQLP H257_03305 MGLVERFNRTIKDMVAIDVSPTQNDWDEWLATLTYAYSTATHGT HGFPLMELMMGCVGKSVLDLQFPAAPIVESIPVWNRRFVAKLTRICAIAKSTLAPAQE ETARHYNKGALDRVKLRPGMWVWIARVSRDKGTSKLKHRFRGPARLVENAGFDNCVGT MGLHFSSTQASACRTSTTASFTWLSCWIL H257_03306 MLPDDAGNSPSMNVANKARAGVSEFYKDMEILRGKEADSRNAMR GPHDKTDAEDYAVTSLSWYTCARMSEVLGLYGKDCFLAKSTTSQQDPDVRLECTFHDR KPESGNDRTYPLHHCQELWDRDICAKHHFDKSTEHVQTAMKHSFDEDLVFPQMTLLRK YSNLSASVCNCHAMSEGYVINILNEVIATMLRDVAFCLTPTSEQDKCDAIMKYPLDRI YVAEKEVLADAMSPDRKHLTGCPTTWIYKTHEHLSELVAPNDGGQLAMASVYKSYLEG IEARVMARINDMEARLLDRFGPPTNLPLHLEPRSDPSRGPNVQIGPTSNDPVLEARAM HLFKPVVQFTIEDKNNAITSVELAVTLCKKVVTALTAGDVEVFACLGDFAPTL H257_03307 MGKKYREFIDIVDVNGHPTFNLLMPTDDAEDDSAANRAASRSRR QTYPISFELLALALLQFISFRQVAAELSVPLSVVRNWRLLAFMDARRAQERALTCTHM ITYLKLNHKDWIQASFAKRNGYTHQQACMSKRVITYLESTRAAFARTFHVTHGSVADN CVYNVDDTGIQFDIPPRYIWAKKGGNTKLSKGEKHSYRMTAVLTIRRDGLKLPILFII KGEPGGLIEKSEFKTYPSGHYYAFQKKAVWTHRGPKASHC H257_03308 MVNPLTRCVEDYSLPPFAQLRPDDIAPALRTAMAEFASDLVAIE DDLACPDAEISWESVMDRLEIIDDPLERLWSIVTQLMQVVNVPELRAAHADVQEEIVS LQSKRAQSLVVFQAMTTLRHSAAYESYTTEQQRILNRAIQRATLRGVNLVDNAKDRFN AILVRLKTLSTTHANNELDGTSAYSLIVTDQSHLEGVPLSTKSLLAQNAVAAGHVGAT SENGPWKLSLELPVYNPVMKFCSNRSIRETLWHAFNVKANANELVVVEMLQLRHELAQ LLGFATFAELSLANKVAPSVDAVLDTLEELRDKALPRSQAELRLLEEFAASHDHPLPL QQWDIPYW H257_03309 MVNPLTRCVEDYSLPPFAQLRPDDIAPALRTAMAEFASDLVAIE DDLACPDAEISWESVMDRLEIIDDPLERLWSIVTQLMQVVNVPELRAAHADVQEEIVS LQSKRAQSLVVFQAMTTLRHSAAYESYTTEQQRILNRAIQRATLRGVNLVDNAKDRFN AILVRLKTLSTTHANNELDGTSAYSLIVTDQSHLEGVPLSTKSLLAQNAVAAGHVGAT SENGPWKLSLELPVYNPVMKFCSNRSIRETLWHAFNVKANANELVVVEMLQLRHELAQ LLGFATFAELSLANKVAPSVDAVLDTLEELRDKALPRSQAELRLLEEFAASHDHPLPL QQWDIPYWMERLRVEKYDIDDDAVRQYFPLPKVLDGLFDLVSQLFAIQIEAADGLEET WHPDVRFFQIRAMDQPETPVVAQFFLDPYARPGQKQQGSLVEAVVSRSRVLRTAKAPV RLPVFSIVLNQTPPVGDTPSLMTFTDLALLFGCVGIGLRIALTSAEYTAASGMEGIEM DALGMPVAMMKRFCYHNDLIQSISSHYQTHQPLPQTDLDKIVAAKRFMAGTTLTRQLS LAAIDLSVHHHHGTSATITADSTDALVEKIKHEFSALPKVHPARDYMLCHFRHIFHGE VEAAYYMYVWSEIQAHDAYACFEDTQGDLPAWKATGKRFRDTILALSGVLHPTKAFEL FRGRKLHTHAMLEQYGLL H257_03310 MMGLVERFNRTIKDMVAIDVSPTQNDWDEWLATLTYAYSTATHG THGFPLMELMMGRVGKSVLDLQFPAAPIVESIPVWNRRFVAKLTRICAIAKSTLAPAQ EETARHYNKGPRDRMKLRPGMWVWIARDWRDKGTSKLKHRFRGRWLRKLAGLKPGGEE LDKTRNWTRSGAAQDNAQNTHEHDVSTWTASGSKLIEFADAAATAQCLIPRFTTFGCV DTWVPDDGSHFKNEVIEKVQKLVGAHHHITTANSPWANGTVEVVNRLVLRAVKALLSE MKLNADEWPHVLPLVKGALNHQPADRLGRLAPVTAFSGLPAKSRWQDLSTRRPRKLTS RTVLAPPALEEMHRKVAFRSHKLRQQAHGRRDRKSQVKFAGFSFGDFVLVGSVVYRPT KLALHWRGHYKVTTVITDHVMETQQLVLTYELTVHYACRLKISRLAMGRFHVERLHEA RFVDGQPQVLVKWIGLYDEESSREPASNLVDDITVVFRKWAAANKEDAAVPPKYPLEL DIFGGMDWPFSKKRLVYF H257_03311 MALPRRTASRRCGHAWNTIVHHKAHFHGDSRRAHDRRGGTRTEL AFSGGGGRDTATDDALTLSGLQLDIPPVARLSTDGSPITLGHHGRPTLGRRTPSRWPL QSTPSLSLPWGLVCCCHLPSGMQHYEKPMIMHCPVISAMHTLLSGCGAVFGGRVWPAP HGYELLPAKTVGRANPNSRGWCHRYLRWRLAT H257_03312 MKKAALKKSGLLPESVECGRDVFNTGCALLSNEDLEKTMNLLAA QTAADFEMCDIFSAMESLGIDDDEGVFNRIVKCFPPGLPMQYAVGCPDGCDIKTLATV TMPYDSADTAPTVSTTPAEDVAHKALRTIIDSVELMAQHIPERGGRGTALVGTCAASA SSYPRPTSPRQPARSRIRKTNGGVGSTHPTLLNWHGSPSATWRVPAKPPWLRRRVKRQ TQKAARRLLQLEAASRRLLSERPRGIRVLALRDVGLHTWHLTMNGVKLAAASTAALQV V H257_03313 MVVNPLTRCVEDYSLPPYAQLCPDDIAPALRTAMAEFASDLEAI EDDLACPDAEISWESVMDRLEIIDDPLERLWCIVLQLMKAVNMPELRAAHSELEDQVV RLQNKRAQSVVVYQAMTALRDGPTYVTLTTEQQRILNRAIGRATASGVNLVDHDKDRF NAIHVRLQALRTIHGHNELDGTNAYSHVITDKAQLDGVPQSTLSLLAQNAVAAGHVLA TAESGPWKLSLESPVYDPLLKYCASRPIREQLYRANNDKAKANEPVVVEILQLRLQLA QMLGFRSFFELSLVNNSAPSIDSVFDTLDELRDKAFPRSQAELRQLEGLAAAHNHPLP LEPWDVQYWTERLRQEQFDIDDEAISQYFSLPKVLDGLFDFIGRLLDIRIEAADGLEE TWHPDVRFFQIRAMDQPETPVVAQFYLDPYARPGQKRQGSFVEVVVSRSKVLRTAKAP VRLPVFSIVLNQTPPVGDMPSLMTFTDLDLLFGCVGFGLRIALTSAEYTAASGIDGIE ADSLGVPVRVLKRFCYHRELMKSMSGHFKTGQELPDSEFNKLVAAKRFMSATNLLTQL FYSALDTSVHHNVTSNVSVESAYALLQQVGAKYCATPRLQDRDYSLCNFRHVFSGQAA ATYYSYIWAEVQGHDAYSYFEEHPTVLEATGKRYRDTILALSGVVHPTKAFELFRGRK QRTAALLEESGLQ H257_03314 MGATKKSCATRSRNHVITSAERFTAPTLPGWGATGGAQVDRGLS AATADDEGRPRTPAAGMEARLTLGWDGSTPAVEDIHVSFRRGFEMLVKNSLHHHHNIE DHSWFPRLKQLHPENRSEVDIRERDHRKLIELESRVASGDYDALVKFVKRLMDQFNRE RNVVCAVASGGQW H257_03315 MSGETSERIEGCKRWSLMRNERGMTEVLPWSLRRVVMQAAMKLT LCCALGWVAMPLLLWWANAVAKVELDQGVFRYQDSW H257_03316 MPSTTMPPWIFVLICTIGFFGDFDRAITTGAPAQFQYFIQVSHN TTDPGALLGLLSSSYVTTNALSIPLFGYLAMITKPFRVISMGLVVWIVAVAISSVSKS ANSFELLVVGRFLSGVGAASFQCTIPAFINDHSPSSVQTLWLGVYMMSACLGAISGGI AASTLSATSWGWNSLYAMEGLAMLPLLCLCRFGIPDEFDRISRDDTNESQALLEESGS APPKSFFGEVWGVCSNAAFMWLTLGVAAMVFSGSGLAMISTLLLIGVGVFSSETEANV TLGSQSLVTTFVGTFLGGVLLDWTSRGAAYKRQYFAIRQLVLGFPVALGVVLLSVAAL PDKTWYLVWNGLSTITFTSMPPVAMTAAFHSVHPSQRSLAVGVKTLVLHVLGDVPAPI IVGYIKDAWAPHCNSVLVDGVVVLNPECHQDKDGLILAMLFPLVWMVWAITCFGIALY FARRTMLKEKRAALCASN H257_03317 MLNPLTRCVQEYALPPFAQLRPDDYAPALRTAMEELATDLEAIE EDLADPGADISWESLMDRLEIIDDPLDRLWGVVTHMSMVANVPELRTVQAELEPEVLA VQGKRAQSVVIYKAMVALRDSSDWNLLTPEQQRILHRSIQTATLTGVHLVGTNKARFN AIHKRLLELKTATMNNVFDASKAYSHWIYDKQQLDGVPHSTLSHMALNAAQSGHRDAT AEKGPWKVSLEASVYQSILKHCSNRHLRQYLYLANNTKASVHPFDNQPHVVEMLRLRQ EQAHLLGFPTYADLCVADKMAPSVDAVTALLEELRVQCFPIAQAERRQLETYAAAHNH PLPLEPWDISYWMEALCKETFDINDELVKPYFPLQRVLDGLFALAAQLFEIRIEAADG LEETWHSDVRFFQIRAMDQPDEPVMAQFYLDLFARPGDKVHVGMLNVVASRSSVFSTE KTPVRLPVLALLLNQSTPTDDHPTLMTFTDVHALFHSFGMVLRFALTDAKYTMTSGAF SVERDAVDVPATMFSYFCTRRETMALISGHYVTGEPLPDKLFESMIAAKQFMAATTLL QQVHFAALDLALHQQSVTPSSSSLSTVRTAVANKYKWAEVQALDAYTCFTETQGDQTA WNATGRRFRRTFLAMTGVCHPSQVFESFCGRQHNTDAMLRHYGLKMCP H257_03317 MLNPLTRCVQEYALPPFAQLRPDDYAPALRTAMEELATDLEAIE EDLADPGADISWESLMDRLEIIDDPLDRLWGVVTHMSMVANVPELRTVQAELEPEVLA VQGKRAQSVVIYKAMVALRDSSDWNLLTPEQQRILHRSIQTATLTGVHLVGTNKARFN AIHKRLLELKTATMNNVFDASKAYSHWIYDKQQLDGVPHSTLSHMALNAAQSGHRDAT AEKGPWKVSLEASVYQSILKHCSNRHLRQYLYLANNTKASVHPFDNQPHVVEMLRLRQ EQAHLLGFPTYADLCVADKMAPSVDAVTALLEELRVQCFPIAQAERRQLETYAAAHNH PLPLEPWDISYWMEALCKETFDINDELVKPYFPLQRVLDGLFALAAQLFEIRIEAADG LEETWHSDVRFFQIRAMDQPDEPVMAQFYLDLFARPGDKVHVGMLNVVASRSSVFSTE KTPVRLPVLALLLNQSTPTDDHPTLMTFTDVHALFHSFGMVLRFALTDAKYTMTSGAF SVERDAVDVPATMFSYFCTRRETMALISGHYVTGEPLPDKLFESMIAAKQFMAATTLL QQVHFAALDLALHQQSVTPSSSSLSTVRTAVANKFAVSQLLANDQYVTQYIHIFDLHY ASAVYGYKWAEVQALDAYTCFTETQGDQTAWNATGRRFRRTFLAMTGVCHPSQVFESF CGRQHNTDAMLRHYGLKMCP H257_03318 MVESSSDRYLPTGFGAWDCGLPPYQSFRAEDFGPAIRAAIDDMV LELNSMEDDLANPDMDLTWSNVMDRVEFIDDPLSRLWNVLFFLCGVVDTPILRTTMAD LQAEVLTVQSRRNQSAEICRAMEALRASAEWPHYSVEQQRLLNRAILSAQLAGVRLDA VDKSRVNDIKLRLYTLQHQTFANNVSDATKAYSKLIRDKHELDGVPTVTLAVLAQNAV ASGICEATTELGPWKLSLDNAVVLSILKHCTNRSLRQEVHRENTSKASANPFNNIPVI EEILALRHEEAQLLGYHTYAELSLALKMAPSVLAVEKMINDLRDVCFPAAQAELARLN DMASSCGHDSPLEPWDVAYWTEQLSRKEYAVDDEMLAMYFPLDTVLTGLFELAFDLFG IRVEAADGAAETWHPDVRFFRIRAMETPGTPVIGQFFLDPYARPEGKRHGGWVSEVVS RSKVLGTPPDQPIRVAVFNIVCNLVPPVATTTPTSMTFADVEHLFLSFAFGLRSALTC AEYSRASENNGVEWDALEIPTMLLVNFCYHRGTMQRISKHVVTGHPLSHDLFDKLVAA RRFMAATKLLRQMHLSAVDMALHHYYDPSSAATTLQQVQDSIAQRFCVLPLSPDDRFL CSFGHLFAGLYAAGYYSYKWSAMLSADAYAAFEQVEGDVTAWRALGRHFRDTVLARLG VDHPLVAFEQFRGRRPSPDHLLQLYGVSQ H257_03319 MEGDSIRRKGGVLFDQYVALTPPPAKKVDSREPIHKPNSAINTA SGRWVQCLFVHNKREIWSLAVYVLLNATAFWWKCSLFPWDRVVGYGMCMAKGSAQVVV VNCCLALLLLSRSILHFVKAQPFLWRVFPLEHHMKLHKLCGYAVFVSALTHSAAHVVN LAYTYTVTNQLDLDSSFYVRHVPQLRPHLPPLLEVAADLPMWTGGVLLVLLLVALPPS FFLSCRRRYHNAFWCTHMLLLPFLLVTCMHGATGWFQPPQAFLWIAPPLVLYILERRR RYFKRWTTPMTIHHVRLFPDAVALDLVKPPEFVFVPGMFVYLNVPMLGRHEWHPFSIS SAPSDPHLSFRIQAAGDWTSALLKHLTTYALHDDDDETWPQVHLDGPVGGPTVEYRRF RVVVLIGGGSGAAPFMSVLRDFFSTSPSPITRRPSVRCDTQKMYFHWVTRHESALEWF DETLRDLDAHGDSHLDTRLYVTQPNNSLEQAAHLHQFRNERPQWSHILDELEQKHPGV TVGIFYCGPQALQKELQHLCHSRSSNPCNSTKFQFYAESYY H257_03320 MARKKVGGHPTGDLVAATVSAAFPGTLVVNQSEVCSLWAGYGSI YRVRLSSGVSAIVKHITPPHDSSSISNVRKVRSYEVEGYFYANLTGDVAAIPRLPRPY SIVSADNSFCFVLEDLSVEFPKMFHSLGGPTLRAALTWLAQFHATFWNCDDGGVADDG GYWYLATRQDEYEALGGDDLSVKLRAHAAWIDQKTRDPRYETLLHGDAKSANMLWRDE TTCAWVDFQYVGRGLGAKDVAYLLCSSSNRSEVANKADDLLLWYFGEFESAMMLAGHD SRGYTIDQFQGHFDWCLLDYVRFMAGWGFWGNYEWAIQRTKSLLTELQC H257_03322 MSSTTMPPWIFVLLCTISFFGDFDQGITIGAPAQFQYFIQVSHN TTEPGALLGLLSSSFVTTNALSIPLFGYLAMTTKPFRVISMGLAVWIVAVAISSVSKS ANSFELLVVGRFLSGVGAASFQCIVPAFINDHSPSSVQTLWLGVYMMSVSLGMISGRI AASTLSTTSWGWNSLYALEGLAMVPLLFLCRFGIPDEFDRISRDVTNESQGLLAESGS AAPKSFFGEVCGVCSNAAFSWLMLGLASSVFFSSGLTMLSTLLLIGVGVFSSETDANV TLGSQALVAIFVGTFLGGVLLDWTSRGAAYKRQYFAIRQLVLGYPVAAGVVLLSVIAL PDKTWFLVWNGLSIVVFSSTSPVAMTAMFHSVHPSQRSLAVGLKSLVLHVFGDVPAPV IMGYIKDAWAPHCNSVLVDGVVVLNPECHQDKDGLIQAMMFSLVWMVWAITCFGIALY FARRTMLKEERAKLCAIDNPK H257_03323 MLYHSQCILPGTIASFRRFFESHDMQDVLFMDLPEMVQERTNNT RLFHTKTPDGAFVNSLQGHFHEADRFIVVVRQVEHDEVHMCDPLLRQRHYRLWMEVRQ VSPTHIITRTVGHLSRLFRARDGFLSTTELAVLRGIDLTGIQDDQKDAYVWREFIRRG NANFVSWRRRFMALMQEESQHHHDNHED H257_03323 MVQERTNNTRLFHTKTPDGAFVNSLQGHFHEADRFIVVVRQVEH DEVHMCDPLLRQRHYRLWMEVRQVSPTHIITRTVGHLSRLFRARDGFLSTTELAVLRG IDLTGIQDDQKDAYVWREFIRRGNANFVSWRRRFMALMQEESQHHHDNHED H257_03324 MKGHLKKPEMRKKTFKQAATHGGYVNPFKNRWESQNFRVWEEQK REARKRKAKELVETEDFIPLTNDSEDEVDEPDVIRQKGRTILKANSAGPSRTVRRVYG WESKVKQREPREVMPTGCLKCGDAAHLYDKCPGASAEDRAKVKYEWALVAKRMQNGK H257_03325 MEQIQSFVANKTVLVLNAGNVQLMPTILNRAGNVRVVDSKGLRW DQSNATFERGNPLTCTISERFDIVWSNVDVNEMEQDDVHQFVAATTKLALDSIFAVQK VRGSPTAVGIDLLEKCIQKHHVDIHPNLSIVTTAAISSSVAVTEESVVAVWSDRKMPL IWRDSVYSGKCAIMTELYSAQKAYITSLMAPNQPTSYVEVGCGTSEMGSVLFDRMAYT VGVEINPVMIELAKDIHPLMHAHPRNFLIEGNALELEAILNRDMPADFWKSSRVVAIL MNTIGILPEAIRQGVVDQMVTVAGDDGVVVIGCWHSASFRRGVEEYYMKNPLLVGDNV TLDMCNFETSDLWVPSSKYESHWSSEADLKAYVQAYAAKGYDISTHVAGIGIFLTCRR RR H257_03326 MKLTWLACLATVGAAYDSCYHEEEKVHYRHGAIEYLRGHPGYKG AIYRVCQDGVYHCKVSDQILDQPSLPSVDCDQALAEYDIMHDPDVDMGRRLGLMVNPP AAELWPAGVVCYALSDKADPAIKPYLEATVTEYRTKTPIRVITVEQCLALRMPTLCGN CKNFALVEDSKPGCFAFVGYEGKPNQVINLHPDCYLNQPPGHVQHEFGHAIGLFHEHA HPKRKIIIVEEALKASRNNYVKKTDTKLTAYDMGSVMHYGASGGVCVPTDLTVQFCDI DESSEKTGCVVPTLDDCDDKASLVLGQRDGLSPTDVESIEVLYKAISSKEVKAIDRLL FHATQADQADATTSSDDDDDNDNDDNDDDNDDNDDDNDNDDNDDDNDDDDDDDDDNDA M H257_03327 MKVLSAVIVVGAAASVGALSPSKGSCITREVGIIKAGTYIPNNH VLTAWGMVGVHGHIYVRCDNGVLTCRQDNGIADAMEMPKVNCEKANANRKLGVMIDPE KILLWPNQTMCYSYDSKFSKDKMKLMKEGWEHLKLTGLTFMTVKACKKHPNKKALCGG CKNFVSIRNDKPGCFAAVGYQAKGGQNFNIHDDCFAPGYGRFVHEVFHSLGIYHEHVH AKRNIIIIPSELKVARNNYMMKIDSVHTKYDEKSIMHYSQAAGVCIPQQKYKDVKFCD IVESEAQGCVEPLLKHCDKDASSVLGQRKGMSDEDIATVKAMYGCTQTGKEATIWELQ NEQKAAAKKGKKEDDDDDDDDEI H257_03328 MGATKTSCDTALAHQSHHDIATQPSLWGSAHDLEAVEVEGGTNP ENNKRHGRCPTMGGQAHRAGVKLPLLFIVKGKPGGPTDKLELLQYEDASVLMVDNLDC HVSEESHKKVAETLFSVVEPLPKNWTSQCQSLDVVNHGEHSTPNATKRTYKRIPLSAK KRIVDAFNNAMDWKRVAQVNGVNISSARNWLHLDSLTSKQRGESRLLTEENVDMLQSW VEHDVQITLQEIKDRLALDLV H257_03329 MRSSTIVVAVGALVSCQQLSTVSASSPTGHSNSVTTSSRSLRAH HHHHKPTTTTLPRPTHHKLRHSPSQPHTNPATAVDFALSCEFEGTSLRNRQTLQLTGS KGHKGRLYTVCLDGKVVCHEELGVADTPALVDMNCSAISPSRRLVYSPSGYRRRTVCY IIASNFTSSQRAQIDNAMSQFQDETTIRFLEVSACSVNVTMIGGKAICGNCLNYANIN NWDAGCYAAVGFQNADPQVLNLNAACFDDLTGTGRVVHEVSCLTTRYRAHSHPERKVI VIPVELKVSRNNYQVFTTAVKLFKNDPASIMHYGSTAASIQYCLVSQSPDQDNCILPT RDACDEKGSEVLGQRMKLSPLDLESVVQLYGNVTTRQRCIELGHKECPCEEGRGQPNS TPPSPATSSNGFFRFLAELTRWFA H257_03330 MDNLFDLESFLDEHETQKLVEFTLSSPEMTGKKEQNEADKDKAV KKASVYYRERRKNEVDYLVSRKRALEVELLQLHERKVIQEAEAKRRKREAETQENLLR DAEDQNSSLRDKLLSQMETLKLLESFFMSQSALCVFARKQPTNPLYFLPSDPQTRERR FRDTIATALSEVDSVMASKHLSPPTMPLPHTETFVRFQPQVTHNSKIEIEVIRVVEFP GYSVGQVSDAIWRLIHYQLKQLMHYDTTARCEVLEEVDPYTFYSRYQCQFAQGQPLVE SYSICHRTMTSAGITFVYRCFLDDERYPAGDNFSRHDENGYLVVYANPSTNVTTAKMV SLLRPLANAANAPVGLVTEEFMGYLHDSSEIGLRILHGNLHDLGGTAS H257_03331 MDMPDCSSVLELGEALRQGRLDDTPLRQTTPSLASFVDSTIESR YDKWRRCDDVIAHYKENQATETRQKDYLQVVLCSGRSLCPDVTESWANCVKHWKGDHE LQCQFVKRMVERCLRGEATEMLRLMDPAKFPK H257_03332 MVVLSAALLSKNGKVMVARQFVEVSRIRLEGLLTAFPKLLASET RQHTFIDTESVRYVYQPIESYFLLLITNKTSNIVEDLHTMQLLAKLVPDICGPLTEAH IRDKQFDLVFGFDELLTAGGHAEQINLQQIRTNLEMESHEEKLHLMIQKTKLENATAD RKRQEVRIKEEQREKARQERAAGISAKASGYAGFGSGYASPTAASSSYSSQSSFGAST PKEYTPEPLKAPAPSLSSKSSGMKLGGGSKQGSSFLDAMAAEDDLQDIPPVTSAASSI AAEPKLPDAVGSTDPVSLAIEEKVSVQLNRDGAVEQLEVKGSLFVAGHDPDSSACRLH LRHSSANGVNFQTHPKVDKKLFEAQSILALKDDTKPFPPSRVAVLRWAIKTQDEAFLP LNITCWPEEESNGKTTVSLEYSMDRDNMVLENVVIQIPTGVVDPPHVSQIDGEYRHNP TDHVVLWRIPSISSSNNSGSLEFTIRGTNLDAYFPIQVSFYSRTTYCDLAVDHVLKTS DQSPVQYGFDQLLSTESYVVQ H257_03332 MVVLSAALLSKNGKVMVARQFVEVSRIRLEGLLTAFPKLLASET RQHTFIDTESVRYVYQPIESYFLLLITNKTSNIVEDLHTMQLLAKLVPDICGPLTEAH IRDKQFDLVFGFDELLTAGGHAEQINLQQIRTNLEMESHEEKLHLMIQKTKLENATAD RKRQEVRIKEEQREKARQERAAGISAKASGYAGFGSGYASPTAASSSYSSQSSFGAST PKEYTPEPLKAPAPSLSSKSSGMKLGGGSKQGSSFLDAMAAEDDLQDIPPVTSAASSI AAEPKLPDAVGSTDPVSLAIEEKVSVQLNRDGAVEQLEVKGSLFVAGHDPDSSACRLH LRHSSANGVNFQTHPKVDKKLFEAQSILALKDDTKPFPPSRVAVLRWAIKTQDEAFLP LNITCWPEEESNGKVEISIYLYIYIYSCDLCRVGYVLSCVCDDHLPKQHLSKQHGLST VG H257_03333 MTNAILSNQFWSMDEGIMAPPHRHFDMAVILETTQHEEGQRIAS DVPEMVTGSIKISDGKKGLHELLERNRLWAESVVESAPFFFQKLSKQQSPEILWIGCS DARVPPNQILNLKPGEVFVHVNLANEVVHSDMNCLSVIEYAVVHLKVKHIIVCGHYGC SGVKAALSRQEFGMVDNWIRNIKDLYIEQRKKFKDLGEDEVLHMLTEANVAKSVYNVC HTRIVQKAWKRGHNVSVHGWCYSIDDGIIRDLNICVSEDTQIEPIYRRMMQKRSSVMG FDDMTSSKMAEMLHSLSHSSSTVT H257_03333 MTNAILSNQFWSMDEGIMAPPHRHFDMAVILETTQHEEGQRIAS DVPEMVTGSIKISDGKKGLHELLERNRLWAESVVESAPFFFQKLSKQQSPEILWIGCS DARVPPNQILNLKPGEVFVHVNLANEVVHSDMNCLSVIEYAVVHLKVKHIIVCGHYGC SGVKAALSRQEFGMVDNWIRNIKDLYIEQRKKFKDLGEDEVLHMLTEANVAKSVYNVM PESETDVLIGMLLYGW H257_03334 MMACRRILAPSFARRSMSALAGSGLDGASTVCSPSLVVFDKDGT LIDFNLMWGGWVESVAWKMEMTTRRPVREKFFDAMGYDWVGRAIRSKGALCCTPMGEL YQIAHKVMVDEGMSVGDANTALDKVWHLPDPVETSRPLGDITGLFLTIKKMGMKIAIC TADNRDATVQTMHHLGVSHLVDALSCGDDQLPAKPAAEQVWTLCHKLGVDPHNTIMVG DTSTDMRMGLNAGCGLSVGILGGASSLDDLAREADVLIPSLTKLPKILFQYSRQSAAA ASTHASSASAHVNSASSSSQHPQHQ H257_03335 MGNSSAKYAPPQEDGGYATLADTSVSGHPPAAPSVGTVSVSRSH NWSTKLPSASVEYGDDEGALTADNVDKAPSHRSAVTRPRYSSVSSSAKQPDEPYETRQ TYVLTDNLNKIKRVGHDVEDIGEPSTAQKKQKSRTADDIQPHDQQQLGAKSYVLQSKK ASHHAAMLVRPGSGLVGLQPGALTVDDKPSADGAPPSNVRRLKPLQVPLKYR H257_03336 MAAPQDDVRATKAKNLLSSITALSFAQREDEPLVDELYQAETAH LQQVLADHQVFRLVVNGNALQKFYNTMIQQTKDSAALRQEVAMRFLAVAVEGSGMEAL DQRVPKLLDVVYHALKHQHVSVCVPALHILTHLMFNLAHATVDTRRLVVDQIGRVVPL VLHHVGDVDLNAASAPFFIASYEALYVGCQSLSTTFRPFANKIEAACLPLLSPPAGTS TEVATSILPAMSSCLGAVAHATAVDATTSTWQQIVDRVVLTLHYQLDVLGGKDKASDG RTRPAALKPWLKDAVMPTLPLYLQAHRLVYKVHVLTSMLAGLLSSAAIAEKDIVLVAP DVLALLRRAFSLRADAVGKQSAISEDGRQLPSSVLYGSLPLLQPHFTSLLASLVTSGG MPMFRFTSSIAKVVQLSCQATVPSAVPMLHKALQQVLSALGGGAYTAVGGLVLAWSLS HLQHLAPPSSGASVQPAKVATAVAHQSNKKKRQRVAAEAPVEVKRTSPSIHQVHHTRA TTNAALGTVATVLSVCGSWVPPSDRLKITALVHASQRDKTLDPSVVTKVSLANVVAPD AQGARGLALPQTMQFWARRTSGPWRELATNVGESILHPRAPPMALGTSISGGGEHVTP SVSGGGSSSYASAQQAKRLEADMDWDQPVDESDDVETDGRQYTKKAKGNDEEEDEDDM DDEKPVLADEGAEQDNEADVAVEVASDVDELEYQDEATVENDDVVEQPTVDVAPAAND AADDDGDDDDFPDIVVEDDEAQ H257_03338 MAQQSPWRMLVMLPMMYFMGKIDFENPWILNGARVSFFTMQILS LLLGLWAKSKIEAKPDNRKMFVPTPKSPLDTSTENSPLTETTYFAHELAKAKEFIQQT LIGAVISSFVHIQFGVNQVVVIQSVMVPLNLYDNALLKKHIFGLGGPRYWDEKLEGES LDDAAPHPDAIDAAADSVPAPSSKKPSKAKKSVDVADAIKQAWDLGVEANFDRLLSVL KNDPNGFKTKTSDGWTPLMVACGSPIDTEKVIKTLIKEGVPIRDADNDGWTALHWCAF HGCPESAETLLASISKDDAAFLVSAKDSQGRTPLEVASEESNGDVAEILTSASDSTPL RQRKQPLAKSDATPIDDVD H257_03337 MEARSVLAPSAAEKGSIAFRTRTKVSLVDVEIEELESFLDDDPS YLGLDMDVIEEEENYRRFLTSLLPSVDEDDNLSFLSEEDDEYHPHDDDDDVDDDEDAV DGDDIPKSELSGLLWDSSNVKVPNDTPSSSTIMANLLPPSTSKPSSSFVSIQPGAQLK GSLTPRQMAHLASQMHKHFQLLCQSMLLSPSEDAKNMLLDLQRRGDAVRQWKESVVRK VPPSTPDSHDIDTPTTSSIKSRRITRSFSAAHAAIISPSMYEIHGLQHSVDHLAMHTL TSHLGAVDFHLTNPAKAEDAKFTASEDRLLVHGVKQCSPKINWQAIQRQFLPMKTQDQ LRTRYRFLTSAKAPENPVKDFFENVLPREKIPWLIEEELRMMRGMASFPEGERHRFAK ISHSHLPHRSRSEIRKKWARLLADMPEFEVDVTWSDEKQHQERLKMYLEHKKKEKEMQ ESIEEEAARERKATTTNAPPECTDKHLHPALFYTPWALLHPSYLLEHTCQHNWPWQAS KGKHADEVGTAEEMTWRSFLSECDDDDEDSEYEQEDLPSSDDDNDGTHATTNPPPTSA PTTLSVEPTLRLTHLNGPHTTEKAKRTLAALERRISGAPAAAVSAPLDQTTAAVMDVE QIVYDSPSHDDDDEFECEELLPSSDDDDQLNDAAGVPLIPPTRLELLQKRMSQGSTWL P H257_03339 MSPLLGKLHAHCAEMIYWAFGRKLYSPASAGGYVLLFATCRNSQ SKYDELEKSEISLSAQRDGLVTVFTLFVYLISIRVLQMKIQLVKVSKLSTTAGVAAPN DDK H257_03340 MAQVRPMNAIKPRRHKGSPAVSTSPRVKDLPGARGNKLHIDFRF PSLRRALFTKFSLKSVVGAMRNNPVEHQLNMYCWLDFGHATKRQARCSQWTTNAAMAA HIPWLDVMNDKVSIPLRHIQSGITWVEAMLLHTLVSLSDEVPFWRAVDAVDVVTAFGL CQRITISKTPSGSNELMTASVSNKQNIMPGLKNDLSCNTEAFELPEAHFQGPLNSIDF RMVDIPGPLSAYFRSFQASLPSLIQTNSSSPRYICMSHLDGGSPLCMQDSFATYPQMP SCGSSSKTARCKSQCEYLRRNSTYALRWRPHCRISASNVDQLCSLCTATEAVCVDMLA SATLSQVNLTGLERTVAINATASLNISLIQSEPPTLS H257_03341 MDVLLYAVFDWLEGHREVVAIDGDINMLTVVSDYKDFLLFAVDP LETPVLVLIGVYILLYASFVSYNLGGFNLTKFNRVVGDAGLAHFVSSPRSMLDTVVVA GESVWLTYALQDLVLPLTEKYSATSYVAPLAPKATIHHTCTAVKFTSDYIKSWVYVRI ATSHHSQCSIATAEFVNKKLYHTGGSPTTILTRMAQLTFWWEACNAMGHLTLFGNFFA RNLLTASDLPLLELDSVGYGHMQWYNTSTTLIEYPFLYASTVQTEVSCIPAVIEGLRT TDANLLPWIFSPY H257_03342 MKDSRRYFALHDTTSCIMYWGLANDLLAIEHDESGVDGLHLVRQ SSKFAFANVSMESLLAQQSVLLLPLSVGRHIVRDTLDPFGPCPVAVRALHRTITATIM TVLNVNSEAHATFLSLPSLYDGLVPPHSYYSKAPGTLEVIRSVARALAMEEVRDALGV SLLWLPPGMVANPWEILRNISLHTYHLSRYITYVLAMVAVGVCVRCSVDWAAASVPPG THRPVSTSLDLAQNFQGSIFAMRHRPPNTLTTSVSSNQVN H257_03343 MLRHAAAVRHRLTQTLASPQPLQRDPLSILKTIADAVPSSLMKM TSADWLLRLTVMARHNSSRPPSTTQSPSTLRGVLQSSMKLEESAFLKELQYYVRVCDA VYASTQERFMKDSLLADSNVTIVQSHQGGVFAPKYYVYVDHDIQTIVLVIRGSASIQD FVTDMCMHHEPFQTGYGHRGIVHAANWLDWKLRDDMIKLAATHPTYDVRLTGHSLGAG AAALLAHLWSPVIPRMHCLVFAPPACLTLDLAQTCRGHVTSVILGDDCVPRLSGANLV ALLDEVEHFEMSSALKTMVTEELQAKAKQTEQSAGVRHIRHALGRVERLKQTASQNWT TKLSSISPPSVDTLSWLQDKPFATELKQLWKQLDKRGVRHYMDETTLKRVDKGSWLED KPFVQELKMLWEQVDSHLGRTQQFLSLDPTQWPRVSAWPFQVTAQEQFEWRQRMDFVS SMPNNSSSKKLMSLWGKLDVNVVAIEGLLRFVNDPERKRQLVGQIEGLLREISTTRSM FTNLAPVLDPMSNRLYELLMATKHTLEKTNVVIPMTNNFKSPANIDPAKEPKPTASSP VLQNKILSMVDGICAELRREIDSILELGKSSVGDNQANQRQVDDELQGMAPVFPPGNI VVLDTSGAHPALTQVSDVNTYFNRIIVSNDMIGDHLSSTYDDVIRSMLQVREEQGKDH STFKAE H257_03343 MLRHAAAVRHRLTQTLASPQPLQRDPLSILKTIADAVPSSLMKM TSADWLLRLTVMARHNSSRPPSTTQSPSTLRGVLQSSMKLEESAFLKELQYYVRVCDA VYASTQERFMKDSLLADSNVTIVQSHQGGVFAPKYYVYVDHDIQTIVLVIRGSASIQD FVTDMCMHHEPFQTGYGHRGIVHAANWLDWKLRDDMIKLAATHPTYDVRLTGHSLGAG AAALLAHLWSPVIPRMHCLVFAPPACLTLDLAQTCRGHVTSVILGDDCVPRLSGANLV ALLDEVEHFEMSSALKTMVTEELQAKAKQTEQSAGVRHIRHALGRVERLKQTASQNWT TKLSSISPPSVDTLSWLQDKPFATELKQLWKQLDKRGDETTLKRVDKGSWLEDKPFVQ ELKMLWEQVDSHLGRTQQFLSLDPTQWPRVSAWPFQVTAQEQFEWRQRMDFVSSMPNN SSSKKLMSLWGKLDVNVVAIEGLLRFVNDPERKRQLVGQIEGLLREISTTRSMFTNLA PVLDPMSNRLYELLMATKHTLEKTNVVIPMTNNFKSPANIDPAKEPKPTASSPVLQNK ILSMVDGICAELRREIDSILELGKSSVSGDNQANQRQVDDELQGMAPVFPPGNIVVLD TSGAHPALTQVSDVNTYFNRIIVSNDMIGDHLSSTYDDVIRSMLQVREEQGKDHSTFK AE H257_03343 MLRHAAAVRHRLTQTLASPQPLQRDPLSILKTIADAVPSSLMKM TSADWLLRLTVMARHNSSRPPSTTQSPSTLRGVLQSSMKLEESAFLKELQYYVRVCDA VYASTQERFMKDSLLADSNVTIVQSHQGGVFAPKYYVYVDHDIQTIVLVIRGSASIQD FVTDMCMHHEPFQTGYGHRGIVHAANWLDWKLRDDMIKLAATHPTYDVRLTGHSLGAG AAALLAHLWSPVIPRMHCLVFAPPACLTLDLAQTCRGHVTSVILGDDCVPRLSGANLV ALLDEVEHFEMSSALKTMVTEELQAKAKQTEQSAGVRHIRHALGRVERLKQTASQNWT TKLSSISPPSVDTLSWLQDKPFATELKQLWKQLDKRGDETTLKRVDKGSWLEDKPFVQ ELKMLWEQVDSHLGRTQQFLSLDPTQWPRVSAWPFQVTAQEQFEWRQRMDFVSSMPNN SSSKKLMSLWGKLDVNVVAIEGLLRFVNDPERKRQLVGQIEGLLREISTTRSMFTNLA PVLDPMSNRLYELLMATKHTLEKTNVVIPMTNNFKSPANIDPAKEPKPTASSPVLQNK ILSMVDGICAELRREIDSILELGKSSVGDNQANQRQVDDELQGMAPVFPPGNIVVLDT SGAHPALTQVSDVNTYFNRIIVSNDMIGDHLSSTYDDVIRSMLQVREEQGKDHSTFKA E H257_03343 MLRHAAAVRHRLTQTLASPQPLQRDPLSILKTIADAVPSSLMKM TSADWLLRLTVMARHNSSRPPSTTQSPSTLRGVLQSSMKLEESAFLKELQYYVRVCDA VYASTQERFMKDSLLADSNVTIVQSHQGGVFAPKYYVYVDHDIQTIVLVIRGSASIQD FVTDMCMHHEPFQTGYGHRGIVHAANWLDWKLRDDMIKLAATHPTYDVRLTGHSLGAG AAALLAHLWSPVIPRMHCLVFAPPACLTLDLAQTCRGHVTSVILGDDCVPRLSGANLV ALLDEVEHFEMSSALKTMVTEELQAKAKQTEQSAGVRHIRHALGRVERLKQTASQNWT TKLSSISPPSVDTLSWLQDKPFATELKQLWKQLDKRGDETTLKRVDKGSWLEDKPFVQ ELKMLWEQVDSHLGRTQQFLSLDPTQWPRVSAWPFQVTAQEQFEWRQRMDFVSSMPNN SSSKKLMSLWGKLDVNVVAIEGLLRFVNDPERKRQLVGQIEGLLREISTTRSMFTNLA PVLDPMSNRLYELLMATKHTLEKTNVVIPMTNNFKSPANIDPAKEPKPTASSPVLQNK ILSMVDGICAELRREIDSILELGKSSVSGDNQANQRQVDDELQGMAPVFPPGNIVVLD TVRSCS H257_03343 MLRHAAAVRHRLTQTLASPQPLQRDPLSILKTIADAVPSSLMKM TSADWLLRLTVMARHNSSRPPSTTQSPSTLRGVLQSSMKLEESAFLKELQYYVRVCDA VYASTQERFMKDSLLADSNVTIVQSHQGGVFAPKYYVYVDHDIQTIVLVIRGSASIQD FVTDMCMHHEPFQTGYGHRGIVHAANWLDWKLRDDMIKLAATHPTYDVRLTGHSLGAG AAALLAHLWSPVIPRMHCLVFAPPACLTLDLAQTCRGHVTSVILGDDCVPRLSGANLV ALLDEVEHFEMSSALKTMVTEELQAKAKQTEQSAGVRHIRHALGRVERLKQTASQNWT TKLSSISPPSVDTLSWLQDKPFATELKQLWKQLDKRGDETTLKRVDKGSWLEDKPFVQ ELKMLWEQVDSHLGRTQQFLSLDPTQWPRVSAWPFQVTAQEQFEWRQRMDFVSSMPNN SSSKKLMSLWGKLDVNVVAIEGLLRFVNDPERKRQLVGQIEGLLREISTTRSMFTNLA PVLDPMSNRLYELLMATKHTLEKTNVVIPMTNNFKSPANIDPAKEPKPTASSPVLQNK ILSMVDGICAELRREIDSILELAVGITKPTSVKSTTSYRAWPQCSHLAI H257_03343 MLRHAAAVRHRLTQTLASPQPLQRDPLSILKTIADAVPSSLMKM TSADWLLRLTVMARHNSSRPPSTTQSPSTLRGVLQSSMKLEESAFLKELQYYVRVCDA VYASTQERFMKDSLLADSNVTIVQSHQGGVFAPKYYVYVDHDIQTIVLVIRGSASIQD FVTDMCMHHEPFQTGYGHRGIVHAANWLDWKLRDDMIKLAATHPTYDVRLTGHSLGAG AAALLAHLWSPVIPRMHCLVFAPPACLTLDLAQTCRGHVTSVILGDDCVPRLSGANLV ALLDEVEHFEMSSALKTMVTEELQAKAKQTEQSAGVRHIRHALGRVERLKQTASQNWT TKLSSISPPSVDTLSWLQDKPFATELKQLWKQLDKRGDETTLKRVDKGSWLEDKPFVQ ELKMLWEQVDSHLGRTQQFLSLDPTQWPRVSAWPFQVTAQEQFEWRQRMDFVSSMPNN SSSKKLMSLWGKLDVNVVAIEGLLRFVNDPERKRQLVGQIEGLLREISTTRSMFTNLA PVLDPMSNRLYELLMATKHTLEKTNVVIPMTNNFKSPANIDPAKEPKPTASSPVLQNK ILSMVDGICAELRREIDSILELAVGITKPTSVKSTTSYRAWPQCSHLAI H257_03343 MLRHAAAVRHRLTQTLASPQPLQRDPLSILKTIADAVPSSLMKM TSADWLLRLTVMARHNSSRPPSTTQSPSTLRGVLQSSMKLEESAFLKELQYYVRVCDA VYASTQERFMKDSLLADSNVTIVQSHQGGVFAPKYYVYVDHDIQTIVLVIRGSASIQD FVTDMCMHHEPFQTGYGHRGIVHAANWLDWKLRDDMIKLAATHPTYDVRLTGHSLGAG AAALLAHLWSPVIPRMHCLVFAPPACLTLDLAQTCRGHVTSVILGDDCVPRLSGANLV ALLDEVEHFEMSSALKTMVTEELQAKAKQTEQSAGVRHIRHALGRVERLKQTASQNWT TKLSSISPPSVDTLSWLQDKPFATELKQLWKQLDKRGVRHYMDETTLKRVDKGSWLED KPFVQELKMLWEQVDSHLGRTQQFLSLDPTQWPRVSAWPFQVTAQEQFEWRQRMDFVS SMPNNSSSKKLMSLWGKLDVNVVAIEGLLRFVNDPERKRQLVGQIEGLLREISTTRSM FTNLAPVLDPMSNRLYELLMATKHTLEKTNVVIPMTNNFKSPANIDPAKEPKPTASSP VLQNKILSMVDGICAELRREIDSILELGKSSVYV H257_03343 MLRHAAAVRHRLTQTLASPQPLQRDPLSILKTIADAVPSSLMKM TSADWLLRLTVMARHNSSRPPSTTQSPSTLRGVLQSSMKLEESAFLKELQYYVRVCDA VYASTQERFMKDSLLADSNVTIVQSHQGGVFAPKYYVYVDHDIQTIVLVIRGSASIQD FVTDMCMHHEPFQTGYGHRGIVHAANWLDWKLRDDMIKLAATHPTYDVRLTGHSLGAG AAALLAHLWSPVIPRMHCLVFAPPACLTLDLAQTCRGHVTSVILGDDCVPRLSGANLV ALLDEVEHFEMSSALKTMVTEELQAKAKQTEQSAGVRHIRHALGRVERLKQTASQNWT TKLSSISPPSVDTLSWLQDKPFATELKQLWKQLDKRGDETTLKRVDKGSWLEDKPFVQ ELKMLWEQVDSHLGRTQQFLSLDPTQWPRVSAWPFQVTAQEQFEWRQRMDFVSSMPNN SSSKKLMSLWGKLDVNVVAIEGLLRFVNDPERKRQLVGQIEGLLREISTTRSMFTNLA PVLDPMSNRLYELLMATKHTLEKTNVVIPMTNNFKSPANIDPAKEPKPTASSPVLQNK ILSMVDGICAELRREIDSILELGKSSVYV H257_03343 MLRHAAAVRHRLTQTLASPQPLQRDPLSILKTIADAVPSSLMKM TSADWLLRLTVMARHNSSRPPSTTQSPSTLRGVLQSSMKLEESAFLKELQYYVRVCDA VYASTQERFMKDSLLADSNVTIVQSHQGGVFAPKYYVYVDHDIQTIVLVIRGSASIQD FVTDMCMHHEPFQTGYGHRGIVHAANWLDWKLRDDMIKLAATHPTYDVRLTGHSLGAG AAALLAHLWSPVIPRMHCLVFAPPACLTLDLAQTCRGHVTSVILGDDCVPRLSGANLV ALLDEVEHFEMSSALKTMVTEELQAKAKQTEQSAGVRHIRHALGRVERLKQTASQNWT TKLSSISPPSVDTLSWLQDKPFATELKQLWKQLDKRGDETTLKRVDKGSWLEDKPFVQ ELKMLWEQVDSHLGRTQQFLSLDPTQWPRVSAWPFQVTAQEQFEWRQRMDFVSSMPNN SSSKKLMSLWGKLDVNVVAIEGLLRFVNDPERKRQLVGQIEGLLREISTTRSMFTNLA PVLDPMSNRLYELLMATKHTLEKTNVVIPMTNNFKSPANIDPAKEPKPTASSPVLQNK ILSMVDGICAELRREIDSILELGKSSVYV H257_03343 MLRHAAAVRHRLTQTLASPQPLQRDPLSILKTIADAVPSSLMKM TSADWLLRLTVMARHNSSRPPSTTQSPSTLRGVLQSSMKLEESAFLKELQYYVRVCDA VYASTQERFMKDSLLADSNVTIVQSHQGGVFAPKYYVYVDHDIQTIVLVIRGSASIQD FVTDMCMHHEPFQTGYGHRGIVHAANWLDWKLRDDMIKLAATHPTYDVRLTGHSLGAG AAALLAHLWSPVIPRMHCLVFAPPACLTLDLAQTCRGHVTSVILGDDCVPRLSGANLV ALLDEVEHFEMSSALKTMVTEELQAKAKQTEQSAGVRHIRHALGRVERLKQTASQNWT TKLSSISPPSVDTLSWLQDKPFATELKQLWKQLDKRGDETTLKRVDKGSWLEDKPFVQ ELKMLWEQVDSHLGRTQQFLSLDPTQWPRVSAWPFQVTAQEQFEWRQRMDFVSSMPNN SSSKKLMSLWGKLDVNVVAIEGLLRFVNDPERKRQLVGQIEGLLREISTTRSMFTNLA PVLDPMSNRLYELLMATKHTLEKTNVVIPMTNNFKSPANIDPAK H257_03343 MLRHAAAVRHRLTQTLASPQPLQRDPLSILKTIADAVPSSLMKM TSADWLLRLTVMARHNSSRPPSTTQSPSTLRGVLQSSMKLEESAFLKELQYYVRVCDA VYASTQERFMKDSLLADSNVTIVQSHQGGVFAPKYYVYVDHDIQTIVLVIRGSASIQD FVTDMCMHHEPFQTGYGHRGIVHAANWLDWKLRDDMIKLAATHPTYDVRLTGHSLGAG AAALLAHLWSPVIPRMHCLVFAPPACLTLDLAQTCRGHVTSVILGDDCVPRLSGANLV ALLDEVEHFEMSSALKTMVTEELQAKAKQTEQSAGVRHIRHALGRVERLKQTASQNWT TKLSSISPPSVDTLSWLQDKPFATELKQLWKQLDKRGDETTLKRVDKGSWLEDKPFVQ ELKMLWEQVDSHLGRTQQFLSLDPTQWPRVSAWPFQVTAQEQFEWRQRMDFVSSMPNN SSSKKLMSLWGKLDVNVVAIEGLLRFVNDPERKRQLVGQIEGLLREISTTRSMFTNLA PVLDPMSNRLYELLMATKHTLEKTNVVIPMTNNFKSPANIDPAK H257_03343 MLRHAAAVRHRLTQTLASPQPLQRDPLSILKTIADAVPSSLMKM TSADWLLRLTVMARHNSSRPPSTTQSPSTLRGVLQSSMKLEESAFLKELQYYVRVCDA VYASTQERFMKDSLLADSNVTIVQSHQGGVFAPKYYVYVDHDIQTIVLVIRGSASIQD FVTDMCMHHEPFQTGYGHRGIVHAANWLDWKLRDDMIKLAATHPTYDVRLTGHSLGAG AAALLAHLWSPVIPRMHCLVFAPPACLTLDLAQTCRGHVTSVILGDDCVPRLSGANLV ALLDEVEHFEMSSALKTMVTEELQAKAKQTEQSAGVRHIRHALGRVERLKQTASQNWT TKLSSISPPSVDTLSWLQDKPFATELKQLWKQLDKRGDETTLKRVDKGSWLEDKPFVQ ELKMLWEQVDSHLGRTQQFLSLDPTQWPRVSAWPFQVTAQEQFEWRQRMDFVSSMPNN SSSKKLMSLWGKLDVNVVAIEGLLRFVNDPERKRQLVGQIEGLLREISTTRSMFTNLA PVLDPMSNRLYELLMATKHTLEKTNVVIPMTNNFKSPANIDPAK H257_03343 MLRHAAAVRHRLTQTLASPQPLQRDPLSILKTIADAVPSSLMKM TSADWLLRLTVMARHNSSRPPSTTQSPSTLRGVLQSSMKLEESAFLKELQYYVRVCDA VYASTQERFMKDSLLADSNVTIVQSHQGGVFAPKYYVYVDHDIQTIVLVIRGSASIQD FVTDMCMHHEPFQTGYGHRGIVHAANWLDWKLRDDMIKLAATHPTYDVRLTGHSLGAG AAALLAHLWSPVIPRMHCLVFAPPACLTLDLAQTCRGHVTSVILGDDCVPRLSGANLV ALLDEVEHFEMSSALKTMVTEELQAKAKQTEQSAGVRHIRHALGRVERLKQTASQNWT TKLSSISPPSVDTLSWLQDKPFATELKQLWKQLDKRGDETTLKRVDKGSWLEDKPFVQ ELKMLWEQVDSHLGRTQQFLSLDPTQWPRVSAWPFQVTAQEQFEWRQRMDFVSSMPNN SSSKKLMSLWGKLDVNVVAIEGLLRFVNDPERKRQLVGQIEGLLREISTTRSMFTNLA PVLDPMSNRLYELLMATKHTLEKTNVVIPMTNNFKSPANIDPAK H257_03343 MLRHAAAVRHRLTQTLASPQPLQRDPLSILKTIADAVPSSLMKM TSADWLLRLTVMARHNSSRPPSTTQSPSTLRGVLQSSMKLEESAFLKELQYYVRVCDA VYASTQERFMKDSLLADSNVTIVQSHQGGVFAPKYYVYVDHDIQTIVLVIRGSASIQD FVTDMCMHHEPFQTGYGHRGIVHAANWLDWKLRDDMIKLAATHPTYDVRLTGHSLGAG AAALLAHLWSPVIPRMHCLVFAPPACLTLDLAQTCRGHVTSVILGDDCVPRLSGANLV ALLDEVEHFEMSSALKTMVTEELQAKAKQTEQSAGVRHIRHALGRVERLKQTASQNWT TKLSSISPPSVDTLSWLQDKPFATELKQLWKQLDKRGDETTLKRVDKGSWLEDKPFVQ ELKMLWEQVDSHLGRTQQFLSLDPTQWPRVSAWPFQVTAQEQFEWRQRMDFVSSMPNN SSSKKLMSLWGKLDVNVVAIEGLLRFVNDPERKRQLVGQIEGLLREISTTRSMFTNLA PVLDPMSNRLYELLMATKHTLEKTNVVIPMTNKYDHI H257_03344 MGQIASAPCGTGLGPSTACSAATWEDVDDLQTQPIDIRHFLRRA EDEAKCWKAADAGPVPVQPIRLLPTPPDQLTKATERASSKWNSKPQHATEAIPPVIMT PDEASAVLPRLMDDRWVYPVVAMVGSKDAKVAEMALASLTSILESESRQAMALQAQCI PKLVHALTYAHHQRAAFDACTALCNGNRVATVEMISNGVVDTVFDVCKLKLSNADDRK CSALQLLAVLAKQLEGKTLVATLERVTLLVDFLSHTHAPIAFYALSCLSELFHCESAR KDAIRLGVIPTLLRYLHASTTCNKTILLQAVYALSILGTHESDAFPMAESVKAICQVM EILRGALKRSRLASLVCMFVTGLSWKHPSCQRFQHALRDNAAPNLFAILASLLIGGSN DVLCAAALAVGALSHRCLPNVQAFAKLDVHTILAKMLPHKDDRVVTCVAMALTRFLTA FTLQAKHMHLLWEPWQQDVAQAISTCSSPDGTSLDILYAAVLRKYHKEQQQQYPLEVD PLDVNNYQSPLLSSPALSASAFELQAALDLFVVEGEKRRWANDEAVDCLLHCVTLAAT DMQLELLLFFQTCTNPLGGDIEPVEFCNLFLVHDGLLLFLSLLESPTLAPSHLCSVLH TLKHLTVAYYTSPWPQPPSAVVDMVQHVVALIARVDNLDVQRLTTKLLDVLTETKCCQ VYPTLTTLLASNPHSVANLLFANCHSIQHGAGIVVSRVVHHSRGQWTPLPSALLQLTA LLQSPSHDVTYAASHAWGSLLDRNDLCLVFSTYPDGVPALLDLLEAPTRRPKHLVDTG RTLYRATKHPEIQPLLVGRGLALLERLMVHPVDAVGHYVMLTLGLVGKNDALRPAVVT PTLVAQLRAFVASGSLLLKGDDDDPNVASFHEPRRLSNLMDALCWIGEVAVDAATQQV LLHAGVVDQVANVVLTKGPMSDVKLCALSAMASLCSSDPTTRNHVLGDALVDAVLRHL DTTSHKEGDDADEEIVLICLNILLHLLRAPTAQGIIAKSPRMGNIVQTLRYTSDKVRS LAAQVLAMLARRHDAVKADMCRMDVNNILLNVVVAESHNDDNDDDRKDVIRINAASRV QRHALHAMAVLCEGTSPAAKTNKHETLDFPLAMNLTDLLEVPGSDDAVESTALLGAVL ANTTYHSARNQRLLLQRGVEAKCIALVQYVFATTNTTSPSSFSEMLAVESLKTLTNLA THPENRKEMGTDQSLYGALLIALQSDVSSLHRFASLTMAHLCTGSNDPHKIAMGAYGG LLPALAERLSSKDPHVLENVCFATTKLGTHGGNKIVFGANLIFERLLPLVLHAEVAIQ KMAMTAIAILIEGNDRNKASLVECNAIPILCSLCNDHNSVHGRILEGALHTLSELVIG QVVEVSKYINPTMVIDMVGSVNCKLQKAALVLLAHLTKESFNKLRFGAPPCIAALVTC LHTMPRTDDSNDERRRDDLDDSLPISRSLLDSEADLALVELAATSLANLSFEATNNTT IVVDADPDNQLMARLGELMESAMQIAYDSRASPSKVAKKQQLSPLKAKQVIGSPNQDQ SADSKSATSEQRVVAPLLASRPMQCQHILEQCALIVNNCAHVILRHGVVTEPVVAIVC RMLAHSSDLVKKCACFALTTWCSKQPQHQQWVLSQPTAVLSTLIGMLNSSSQGIVEAS LWILTKLSMYQDTYVKMAANDIVRILEHMIFRYHTTLGSGVLDRAIRLLGNLGLHDVV RKTIRGEVIVAGPLTSILEHQKGTDGPSSMPDTNPSAKATTATTVISHVKNVARLIGI LLTDDALKLFFPKKTLTVLQTIYVGTNAPPVKVRRNIIVIFWLLSVVEEHRPLLAHPD KDVVGRLVMALARDEHELYVRANVLAMLAMWSQHDTICQTLYARDIVASVLKYLVVPD LSCDTFCAVIIHHLSNLKDTVRTKLAAEGTTEIVVSLLERCVSNPAPFDPFGYHLVGI LANLTVQDDVKSVVVHVHGIHTILAFLRQNINYKFLDDAKGGDVLDLFAKVVANLSFD DTCKPDLIDLGGLELVLQVLSRQFASLAGVENYVLCVGNLSTVADSIPRLEATSAIPI LLDLLELHQSTSPWIVKCAIWAISNMTLDSATSKHQLHGYPGGLDLVLSLLVTEKNKQ TDTIIECALSCLSSIVMEEEIACSLGKCSAIGHILRFLEPHVRQSLQRKAVKTIGCLA SFGHAVYIDDLSRTHTHLLSIATDANLKSIAPSAINALRRISHLRNESPHVLCDNVQG IDALLKLIQSDAASTVLDALHLIHHIATVTAPISSNAEYFASKRTCTQASELLVSSSN VEIQESAVRLCVYLVKQTNFDGCSQHAENWALVLSRLCRWWEQHQFNLSSHELCPSLL AGFDQMMAFPVFIRTLNDAILTKPSLKCLADLLPALVAQKDEPGGNTLPCVRSLVHLV QDHSYYKAQLQGDLPAQLLQLLLVTPDEETAILLVVLRGMEIYCSVPPTVCDVFVQVV GAVRLLHLLFDVDVHVVAKALATIHLLVHEPTAPGVFRMANGVGAMATKLKQENLPKD NNVKLLLCLAALWEDTPATCCAFHDSHVISVVMAKTLEVHFAESLTLLQGLSTSISFH AALFNHAATFLELLSRRASHSGNDTVLLLLIMCNMCCCGPSFDHDATRHIQSFAMEWV DMNVMGTLLPLSQWMDGVQPPRRSAKVSPRWETETELVLRMLGQITRDPHLRLVFRDG VDLPDLLSLLRPQLSTSELLGMGVLRGAAQVLANISLEKDIQIMIIVEDGVTFLAKLL MLQNLPQDNDGLALALVQTVRNLSHDSEVQAILGAHHTFPYFIQQMQVLAAMSDMALS SGLEVLSVEIIHDIATTPQWVDTLVGDRCHDVLLALIDRHVDECHHVPIELLAVQTLV QLTQTSDVKALCASNAVIRLAQLMSSHYFPTFSTLSERKATEFAALLTALARCTRASD TARSQLAKSEKFLAQFTTWFVHDAVEFVDEANAVALLSNMTLNVCQAVAQQAAPTLLT RVAQVCMDVQATPEVHTSCLHLLAQCLGGHVDAVDQTAWEYAFVPMFLASSPLEHALD HTLGSEAAAHGLVVLQGCLKYGGYSMTPFPVPLAVVLAVVAALGSSTNPNIAVEVLQQ LVTSTATRRLIAMSSAFDSFVTVVSRHDSLLGQSPVQSVARQLVDDAIDIHVPRDEAI VPLLLHKFLPKDTVQLSVLSLLGHLALFSPQWCSLVLAQVQSTAPAMALLSKHVESIH ARTCSRASINATAVVSTHDMLRVYLFAITLKELTWADVSTAVCFVSDILSWFTSQKST PRFVDIYPVLLYCTSCLLKPTANTNDVSRSKQINGQRRSSSTGILDDEPWQVDILRDV VHILTVATDIPIQVSGLNTLVTINKLWQSDRKLLQLVEGSPTKAMTLQRIVGLVVTTH TAALEVLTLMVTSGHQDLVDELKAIGTKDKLESLQDILQSSQDKAMTTAILDLLGYSM DLSEAFVLDLHAFADDNLVNNPAERSALMTKLKATLDMGVVTDHAILTVVVPVLVETA ARDRTFVNECVQCLGKVAGFPAFAQCPMAPPILLRLYVNEYLTLTPDNQDLLLDMLFM LQSHQGDFDWHDDWHALDKLLGLAASVLVCQVSAAARIMTFVWSAVAGHDELLHLVAS QAHLLVPLCAPVLTGPPPPMSKKIDQLAKPNQVVHVVLVRLVHALSTLSTLSPDMLKP LAPLVESLVHCLATYPLHSVAKQQPPQLAYLSDIASIVLQFATHVDDTTFFLDKALKQ LMGAVESLPPSHLGLRNHLLQLLAALTQLPPVVGALHRLQGLDSFVRLFHSSTAKMDQ QAHILACLAQSARIGGLESEVIARLVGHPSSATELLQCLNRSSREPQEHAAYLLSALL LLRPDLGGTAGCVSMLVECLEAVDIVVVRYVLVCLSSILHVDGTQETLLRHATVPVLA QILQKADDVCTEHVLRVLAILCSKHKVTVCRRVVAANLLGILMSTVQQQVGQRRSNVF HASWVLSCISKDKDLVFRMHEVDCDFVLNVVQQIDLYPLPTVNKLLRFVGNVWTHESA GLPVAGIVRKLLGLVPMSENAKVQKNGGRVLSLLFVLPEMDALEDIVLALAQYLFDTL PSSLDKLVAASLVALVDGLQQPDSTTDRCIRSLVAEEGDHFLHMLHLLSPNSFHPVTN RLLLALQFITALVNSNEMVQRLEPMLLPPLLHLLSNDPLEHLNSSTIKQLLGVLSGLT RSNASSLAMNPIVVGAIKRLVGLAQEDDSYHTEALHVLVNFASVSDLRQSIVLHGGLA ALLLELEDDMVDAHLQLALLGVANLSADDLAKQTVQFAPHVPRFLALLSAPNANVQAL AVWIVSNICVIDAVRRTINAENGATVLQSLLNEVNSPAVTSRPLSSSKRIREMAPKAI QDLGFAPLHL H257_03345 MASTTAAPSTTKMQLVGFKNFVRHNPKSDRFTMHKFHHVEFYCQ DATNVSKRFGWGAGLNLVAKSDQSTGNHAYASYVMQSGDLQLVITAPYSRQNQKTTST APLPHFDVPFAHEFCAKHGLAVRAMGISVEDAKAAYDISVANGGEGVTPPQELTDAAT GQVSVVSEVKLYGEVVLRFVSGNFAGRFLPGYENVEGPDVSIGLQRLDHAVGNLPNLL EAMDYVCGFTGWHEYAEFTAEDVGTVDSGLNSMVLANNTETILLPMNEPTFGTKRKSQ IQTFLEQNEGPGLQHMALKTNDIFHTLAEMRKRTHLGGFDFMPKPSAAYYKNLPAKIG DVFTAAQYEKIEQLGLLVDKDDQGILLQIFTKPLGDRPTCFFEIIERVGCMEEIGGRL EQAAGCGGFGKGNFSELFKSIEEYERTLDV H257_03346 MAATPNDHKTMKLVGYENFVRHNPKSDRFAMHKFHHVEFYTQDA TNVSKRFGWAAGLKLVSKSDQSTGNHAYASYVMQSGDLQIVITAPYSRQQQKADNIAP NQGFDNAYAHEFCAKHGLAVRAMAISVDDATVAYETAVKNGAVGVTSPKTSTDAATGE FQVLSEVKLYGDVVLRFISGNYTGRFLPGYQNVEGPDVSIGLQRLDHAVGNVPNLMEA VNDICGFTGWHEFAEFTTDDVGTVDSGLNSMVLANNTETILLPMNEPTFGTKRKSQIQ TFLEQNEGAGLQHMALKTDDIFHTMSEMRKRTHLGGFDFMPKPSAAYYKNLPAKIGDV FTAAQYEKIEQLGLLVDKDDQGILLQIFTKPLGDRPTCFFEIIERVGCMEEIGGRLEQ AAGCGGFGKGNFSELFKSIEDYERTLDV H257_03347 MELECDDRAKRGTSRAERLAMLEFLRIPDNFALMTGQATKGKYD AFSSYKKALRWCGPNNSGRVDEPSDCDESFVSDYKSNGNTDAVDDTEPGMDNDPDDPD NEEPPRHFPATEKRLTPQKDFLSIYMDLVEATERALQGERQTDLSRIYNVPYRTFKLY IKKMRDTGSIVNCNVRYDEN H257_03348 MENVCGESFPNQHVRLSTFAATITTYFAVSTRASRIQCPAHGCD GGDETCKKNDVSSGIVLSKVCVVSTMAAGFGLSVEQVVAKGQTNILCFNQQRNVFYGQ CGYSLLVLCIVLVTIALVRMLVCSHGRKELGMGQLILIRDRPRFNGLSFLLHPHCNLC PTSTPTSTKPATLAFPSAATSSPLTVCPPRVKLETISPTPVTRAFASFASMGTDC H257_03349 MSTSPRSTRELSAARKREVTTALLNSMCLGKLPRGTIKAIAAQF ELDRKTVRTLWNRSKTGNTATLKLGRVGPTRQHSAEEIKQLIRQVPSHQRSTLRDVAE ATGLSTFVFSHTFKSGIMHRRSSRLKPLLTATNRLERLAYCGAHVNLTQEAVNAYLAG VDALNASSDEGINVASEAVEWFNANKDSRKTYLVDGEDVGYRACKSKRFIAKVMFLCA VARPREEDGFDGKIGMWPFVTQVPAARNSRNRPAGTMVTTLINVDAATYRDYVVNKVI SAIKANFRSLNKRVVLQHDNATPHRSIDNGTLAQVSTDGWTFVVRCQPSNSPDHNVLD LGFFASIQTLQYKTVSRTVNEVIASTMIAFETLESEKLANVFLTLQGIMRLVLEHRGG NHFKLPHLKKDALRHTGNLPTNLSCPVSLLFKANSYHVQQSGL H257_03350 MNPANTNILYGRQFAELFFTPINDEDSISAAAHLEFTIFVLDHP FNLFVSDLLSNHDVVIQKVNILMRKLRNILPVARLRRLTPLQAKTLNATRWTSAFSML TGYSAIKTYIGQMGDKERRLGDIVHYHGFESSERLLGDIVHCHGFESSVVKILRGNEE GMSATEVVAVQPLACSTANQVAVAQPTLSWPRVEEATRWSS H257_03351 MVVVEESRQDYCMLRERLAAFMGAPPEGQTRDDFRMTYLEHIAD EAIAGIEPNRLHVYTARLEQFYALAENMEDMEVGT H257_03352 MSTNSDFTIEGARRSRISDSTRLGYLSGIKKVVNWAVMTGKPEL LMPSTEHEGRMTLDLHAFANENFLEFIVWTVRERDIGLGILRGYRSAVKSLYIDQGVA LPEPYDGDMKFSVFEHLCAASMGLPDCGFMHL H257_03353 MDMSSTTWLVFKDGHIGQSHVSTGAPSVHSLIHPLLSRVATSVM STEPTLPPPPPQLKAKQGVLEFKVKTEKMFQNWITVHAKMEGRWLALYKKADSVDRIA AIELGHGVQLKNYDNIENYARRFDITCTGGALQAIEWNFRSVGGRRERDLWAKAILKN LDLFNAHGNNVFPHFQQYESVVQDMMTGLPTAVRLIPRVNHMIKKSKDVSKVPLHAKS FLGYDAVSFLMSRKIAATTADAEAICHTLASMNLIHHLVWAQGFSINELFCFTQDQNT NTCVQIFDSMIELGKFWIYLPIPIAIAYAHTTTTSPRKEAGFLLSAMSSSSNTLPTFR NASSGRQTSSVRSPSSHSGSDASKESGGVEILDEGRWADAEAAKHCHCCEKSFNPLKR KHHCRLCGYVVCSTCGVHTTVGHVNRAVNVRVCIKCRLRMKLSQESASSSSSSRHPPH RPAPPPHSVSAPNLLISHPRHSLMATTSYSDPSLAVPAHQWCSVCYDCDNKCQPQFSV STDFPLDFDWEHPWPRPPRRLNEALCVQMLDQTLVCTQPDPWFDQLCDVLVSNTGCEK AVIGFMADAGYILMGTAGFDLPGDDFPKVVAHDLAFAPHALMSAEPLVCCDAGEDIRF AQNSYMRNEWHVGFYASFPLVVSCGLILGTIEVYDASPRRQCHNVQVHLDAVAKLVVQ YLDDLIDQSKKTNTSPPPPPTGDGVVSASMEGTLLQLLEKTTGTQSQLQQQQAQMVHA VGNHSQQINLLAEKLQRMEAAIDRKQARDDAP H257_03354 MGCMQSKVGSAQTPQPNATVDPSNQGSTMKNVDSWITNNNDYVA KSSVDKKIIKTLQARKKKMDDEGTFSKNTNFERVAMQFGNVEMAFMCIRDIYTKHIDP TRKTMQMDNFCRALSAFGVTIDRPAIQDIFNESDLIRDNSLNFNEFAVSLAICYLLDV VPGLSAANSSPADPLADEPLEDPGVELDATDAKRVAKAFDTVVNAYLMFDEDASGIIK WDEMKEILNKPEDGKAKTTTHKTKFFNIERWKELDWNKDGSINFQEFFLAFQKWVGVD EDEQ H257_03355 MSATTTTAKKTAQALINFIDKSPSPFHAVYETAKALTSAGFTHL KEEDNWENAVLPGGKYFVTRNQSAIVAFAVGGQYKKGNGFHIVGAHTDSPCLKIKPVS KIEKEGTIQLGVETYGGGLWTTWFDRDLGVAGRVFVRESDTSMTSRLVLVNRPILRVP MLAIHLQDADARKAFSVNAEEHLRPILATAAAAELTGARPVDKSASHHPLLLDLLATE LNVSVDQICDFELSLFDTQAGTIGGILDEFVFAARLDNLACTWLATHALLESLSSLES ETNVRVAAMFDNEEVGSNSLMGAGSNFLESVMHRVSAGQFSGEGVRKSMLISADMAHA VHPNYAARHEQNSKLQMGQGPAIKYNANQRYATTGETSFLLKEIGRRHNLDVQSFVSR QDCGCGSTIGPILSTHTGIRTIDVGVAQLSMHSIREMCGVSDVEKSVALFKAFYNDFT TVDGFVKTD H257_03356 MATTGNTEGVVHDASKQRFAIYSTADNQEIGYLEYEQRQPTSNT TVLDLQHTVVHPAGRGQGLAQKLCDAAFVYARDQGFKVIPTCSYISDTYLKRSTLPDA AHLVYTGQQGDN H257_03357 MLAPEQLARSHSWHAKDIVRISLENKGIIGFIEVPDMKHRSLES VRPLILQTVDDIPLDFQFVLDGGEPLSRRQEATHQIADHYPCLRIRAVPKKVTKTNKF TVYNASGDTFETWVPTDYKFGQLRKDAARYWSVAESQLVDSDGCVWPDEANMLSLLDA DDLRLKKLVLTCKPSQLNQSPPTTPSASPRRARPQPQHSFVHSNYEHRLWYIFTYYCV HGDALDLLTMTAYQFNRFLKDCGLFHTKQFTPAMGDIIYAFEGKGKLTKQSSPTQPHS TVASPLRSTKATNGYTTTPSSSKSSIPPRSGSGKLDYDGFLNALLTIASRVLPHTSSP DDAFTDLLVKFVLPNATTWSQHTWHDHSDALQQPDVTRFVSKFTPALVEMFMFYTNQP VTDATKDGGHWMTFSDCMRFMQDFRFTELLLTTQECPELFLAACNSSSSSKRIHRSVG DEEEADDNDGMTKEALFRRQTMSFPAFLDMLGRAGLVALTRHRSHLEPLQCVKAVYHH MTRSLRGCRALEIMHNHGPVAIYASRFYAGSVAFNNKFLDMWRVEGSPDYVTGHLPTA PPPLTRGRASLHQIVHFSSSPPHSPQHHHRSSSTSFAAVQPVTNMSSSDKKPDGRAAK QQPAVLTSDARATTADDSRVVEELPRSSGNDDRGRAVLRQGAVFRKYGTWSAPHRRFV WLSDDNTHLHWRPLNKPDQRNEGLALASVQSLLSGHADTTRYAFMKYLTEDKYIERCF SIVAKDRRLDLEAESEATRDTWVDALRTLTPPRAKAVV H257_03358 MVDDDDAWTFVGRKGKSTRKPAIKQQPPSTEAASFAYKQKAGLP SSVPDKHASATLLAKVRRHVKSMRRSPFLATLIEALETQLPTTFETPSKMQLVCYGLG SFSSSNAAYQLACAVLVREWMENHAAIDLQHACLFDPIMTQDDKEVASAVDFVVLATN EQGHRRSADSLQTLFFMPHCGKQLYQNVLLSNWTTLQRVFILGNSFSAYDDRVVAAAD RRTSIFSALVPYTAEVAVGKITKSWSEYVQYDAAFNDLSLHAFPATKLQDAVRDGVFA RDADAFDVGTDEDLM H257_03359 MGYGQTWLFRYPAPLHDAAVGVEREYSRQPSYYDMDDMTDEEHT NTVAAVINRDKDVAAFSMTSIAHDRILVTTPWTVCELQYRNDDDQFHFSPLGLTKEST PTALDYAMSNATMPADSSLLACDVLHLWSSRSALVLLYQEPRGGRCWLTLQPFSTATP PDQSSTQILRQRFEQTSVPLKSVTVTVRNGQGRHFHGVLLFRTDSVVACGYIQDTNDS NHVQAEQLSTDAFASFFPHLVDCHGVTAYHTTTATSPASDTNETPTTIRFLALGCANG VLRVMAGPAAVDGFEAVACTKQFELDGPISSLHLFNVADTIDRSAALSPYTCDVVVNS SIGYAVVYHHPFDVACRPIILPESDHYDSLLCCVSADIDMDGRPELLLGTFSNALIAY ASPDTPSGTWSVLPKPAWDFFFFGPVYSILCQDMNGDGVDELVIASTDGIHVLEPDCD QVLEKLKAVLVALQQQDQ H257_03359 MGYGQTWLFRYPAPLHDAAVGVEREYSRQPSYYDMDDMTDEEHT NTVAAVINRDKDVAAFSMTSIAHDRILVTTPWTVCELQYRNDDDQFHFSPLGLTKEST PTALDYAMSNATMPADSSLLACDVLHLWSSRSALVLLYQEPRGGRCWLTLQPFSTATP PDQSSTQILRQRFEQTSVPLKSVTVTVRNGQGRHFHGVLLFRTDSVVACGYIQDTNDS NHVQAEQLSTDAFASFFPHLVDCHGVTAYHTTTATSPASDTNETPTTIRFLALGCANG VLRVMAGPAAVDGFEAVACTKQFELDGPISSLHLFNVADTIDRSPYTCDVVVNSSIGY AVVYHHPFDVACRPIILPESDHYDSLLCCVSADIDMDGRPELLLGTFSNALIAYASPD TPSGTWSVLPKPAWDFFFFGPVYSILCQDMNGDGVDELVIASTDGIHVLEPDCDQVLE KLKAVLVALQQQDQ H257_03359 MGYGQTWLFRYPAPLHDAAVGVEREYSRQPSYYDMDDMTDEEHT NTVAAVINRDKDVAAFSMTSIAHDRILVTTPWTVCELQYRNDDDQFHFSPLGLTKEST PTALDYAMSNATMPADSSLLACDVLHLWSSRSALVLLYQEPRGGRCWLTLQPFSTATP PDQSSTQILRQRFEQTSVPLKSVTVTVRNGQGRHFHGVLLFRTDSVVACGYIQDTNDS NHVQAEQLSTDAFASFFPHLVDCHGVTAYHTTTATSPASDTNETPTTIRFLALGCANG VLRVMAGPAAVDGFEAVACTKQFELDGPISSLHLFNVADTIDRSAALSPYTCDVVVNS SIGVRPLRQPPLLRLCRH H257_03360 MLGRLAATRALKGLHRQLRPECGAASMSTRVHQYDKERTKYKQP PFEDVLFGQDVNARIVTLNRPKVLNALNLPMVRHLTPMIQQYEKNPSVNTIVFEGAGD KAFCAGGDIRFLYDNGKDPETRHLALDFFREEYRLNYILATMKTPVISILNGITMGGG VGLSLHGKFVVATEKTSLAMPETAIGFFPDVGASYILPRLGRKLAQKDKYEPIVSASQ ALAGQGLGTFLALTGERIKGAELLALALATHYIDAKDVDVLKDQLREFKFPDDMPEDT RDDMIDETIVCIETTTDEVDDEFLDTVEAIFGAKNADDSVQGIFDRLTAHNSEWATKL LTKLQSVSPLSLNVTLRQMRYGANKTVDECFQMEYRLANRFLDSSDFYEGVRAVIVDK DNKPAWQHAHVNDVTADEVDAFFAPLPEDEELVLFKAPTTPTYA H257_03361 MQKDTSVHEWQGWIQKQGSVVPSWKKRYLVLSGQNISYFDKEVS NPRAKEKGSFVLAGVQPNKSYDNGMTLLSKEGKAMNITTKTVGEYVMCKNALENAVSL PRRRVSNPSPAAAAAGEYRSSSAVRTEQSSVGAASPSPYDNRISNENLRSPPQSSHQQ PQPNPYALRSQHSSANDDYQQHQREQHRQLQREAQEQQRGQQELHNRPTYTGWLDKQG EIVQSWKQRYFVLRGRNIAYFDTVDGNQKGGGRLADVTMSDTGLHFHLDNGRVVNVTA SSSTDLQGWHNVVSQVLGKRTDITDGSPHEYAGAAEIAASQDKPLSAFLDKYVPADNS PRASFDIGDAGGDAMESMTPDIVADFASKFAMDDLASPPPATAAPIASNNNSGLWLQK GGSTYVADPTAERTVLPTREHPISTDVAPPRLPRPLARNTSTDDVKAKLWVAPPKETP VVQPPPVGVADITPPANKPGGVSLPGMTGGTAGANGGGGKLPQQNDHATPLPVVAAPP PAESGLWIQKGGATYGGATYVPDDTAVPTVLPKRSVIDTDILPPANKGPIVRTSSSDD LKNKLWVAAPVAATDATAPAGKPAVRGMGSASTPVRQQPPTAPVPRGFGSAAVPTIVA PPTPVAVVEHAAEVVVAPKSPIVAPRGIEQVAEVEVARAVVSADKVPVASTSGLPPAS SDTEKPTPAPKGCCTIM H257_03362 MPRFWRLYVYYVEIITATAAVDTNLLTSPAKVPSGAWGNDQRLK SPTDGSPSNGVSMEKRPNACLVTLCHPSRSRDDPRTFTMRPRLSLFLTARWKGKPPSD NSMSTRYQPPLACSNAQSTLSRCWSIDGPANGCIKMGIIAAVGGGSPRSHSAYSIAFL QTT H257_03363 MQSYEHAGWVYKQGSMVKSWKKRYMVLKNKQLTYFENEKIEGNS KAKGSFQVITIEIAHDIKNGLLVHGTGGRVMKLYTDSAESCEDWINAITQVTSAQPPL VPQVSVRGPVNGSSSLSLPPRDSRSNSTVSSLRNSLTGDDDNGVCTGWLAKEGGRVRN WKRRYFSLQGRSLTYFDNANMIGAAKGNGQVCGVRINKDKPLSIDVNFEKGRVLRVTA DSKDDFEKWWMGLNAAIEGRSVSRASQVSVSSSAVVTATPPNAFRSLESYESHEDSTT IANKYATQDSYASADAARLSYNQSWLSSDSDDDKDDGDWL H257_03364 MQAMVPVSAAATTSSSSPTFSDLLPLNMPSLDTILPMYGSTNEP YFSYDLFLPEDDSSFDGLDTSRDDDGSFSPPPAKRVKLSPISTSSLPQAHRHHHPSSS SSTPSLRPRMRNFQCPHPGCGKSFADNAHLRDHTFVHTGEKNLRCPDCDKCFARVASL RSHRRVHTGERPFVCIEADCGKRYASRAALRMHVSIHAKSAPPPLPPGKTSPVKKRQK KSAKLVKPMPQLPHPHTASPPAVSHDPSDTGRCRRLTEKINEQRKVILHLQAQLATTT SSRDPSPHHHDLHHHHHHQITTKMSPSKQPKAPQTTTNAPANKKAPKKNKNKAPKHPK LVSHTPMVAPLHLLQDGVKPFQCMVGCGRTFSNYFQLAFHAKQHPTDDPRAVLGDQLP FPVGPKYCPVAGCEFSEEGGKCMKTLQIVKRHWQRVHQNDRPFLCPDCPPMGAPKTFK TKDNLTAHRKECRKRTHVDSCLVSPC H257_03365 MNLKGILSTLTVLALACTSEAEKSSGGKLVGRSAHGPHSNLQSD VTGKVYKAESPIKIGDKVKQSIKHKAGKSATFTIPFKGPFVQVHFSDFSLPDGDSIKL STSAKHHQLIKAGKLGSDRLSKRLDTGSSSLTVEYISGGPHHTRDYKGFAIDYILHAP KKPAKKEDVCGAKPAWEPAQCLVKKDQVKYTLSKATARMVIQGGQGAGTGFLIGCDGW FLTNEHNIETQAATTAASYEFGAECACDDTANNAKTMGCPGKLKFTGDAVLHAVDKTL DYTLVQFDKKHWPALDAFGYMTLRRSGADLGENLWMPQYPQAHPLQIVQRLENHTATT ITSLNVENECGKGQVGYFADTMGGSSGAPVVGSSDNQVIALHHCGGCQNVAYSTKKIL DHVELEFKKKKMSLPTCWTHAKTAGGLAGDSDAKKRRSGDGGKKQTIDLSALFPPAKQ PTTGGGEAPVKGGAALTSEDHAEATKKYHVQNPPLTGDVDDPPPTAQRRATGKKRGPK KRGQRTSGGGDGKARGHPIELVEPDDVSVGVAAPPPRRAPKHPPFGGGRRRSQERRGR AALLPPQRRQAPPAPELYQVNVCKAATYVVDAPPCSETGDACPKAYAEPIGGCYPGMI NSPTGCVVPEDAICMQAPSPKDGGSIYYKCVFPSVGC H257_03366 MMPKTWVGRAAVCVLAMVATGTSLEDTKLQVKRPFVGTIGELMN LTLSIPIGKTRVHHRIESKNSQTQFMGVQFSTFDLPPGDTLEISSDSNSFTYTGHGPS TRFSDHVHGKSVVIQYTPSHPRAKNARPRTYAGIVVSGILEGFPTSTGREDVCGIQPQ WWPNVCNATKFPAAYKNSKSIARLTMDGIKYGTGFLLGCDGYFLTNNHNVNSHTTAAT LKLEFGAESATCRDPCNAVALGCPGSVVVTGATLVATDKTLDYSLLQLSRANQDLISL FGYVSLRKSPPKLHEPIYVVHHPDGFPKAFTDRLENGTETVVTSINVQNECGQDQIGY MADTRGGSSGSPVFGRSDHKVIALHHCGGCENVAHGIHNIVADLKTKWKHNLPRCFFH ATSSQSQCSLPQPHVELVGYDSGSVSAASPKLCCELCKKQRNCNAFTWTENLDQRRNT RGGGTCWFKSQVGTLVRTTGGVSAVVLS H257_03367 MLQSFVRDFEARLEMLETRVASNHSLQSMNEDLMRLKLSDKDTH VHENDQRHHEVAAQISAADLRVASLGRAQDVVADQLRQAQAKWNAQHNDHDHRIAQTF ELAHTLSAGQTLLEEKHVLETQIVTSQFHKVFQRLQDTLDKQTMGDQALERCVANVHS EVTTLQALHDLHATEMKEHVRALRGQCIQNTTALRLLADEVLKLKQRAKTQDFGRQQQ HDHLPPRHHPERDSDKALMAELDHALRHTSYTT H257_03368 MHTVMPASTATLLANSPYLFGWAERWTIAAVTFTLHSASLLYLV CFAFFYFVISAENAVILQLFAPKVQSVAFVLVALLHLHGLSRVVFPRCFGTALVKFYL DDIPTSLVGKLVHLYHKYFSRYGVFGLHGSLYEMRVTFKQIVQVPAQAYQAYQMSVYL TNPALCALYTTLLACDCLFVPCFLFSTHEFTRLWGPGFVDALFDFTLSAGFPLAIIFH AFVDYFVAGNDALVWNKTWLNQMIMMARFVSVTNVVDMLSTLLPFVTCYVSLTALYSA VQLRMGDMAMHESSMRRAAELVTVRVKNVNVLLRICRRAFLFVSAVAGIFLCTMAGTS VTRESCAPGCRLQTFPWFTKQCNCVMMRQNCVLHPIANDDVDAFVLNHVANAFDIALV QCNLPHGLSQQTLHSLTNLYSLSLRLTNTVEWKLEPTDLPPSLTMLYFQDQVVPRLPT ILDRNVNKLRYMFLRNITITGDEGATAMSVYKNLTMLSLTNMSLTVVPLNVLDQVGLT QLEFSYNRLVSFPDQLLALRNLQTLSLEFNLISSIPSAFVRQFPSSLKTIYLDGNPLL ALPLDTDFALIQSQRLRIRGTPMCDRLYAAARKYQVATLPPLEQQIVGILDDVCSPDC SVGCHARFVGNTVCESVCLVPSCNFDTKAAEFVASGTSGSDCFGLTTGWEPS H257_03369 MEEKPLQHAILKYRNLNDRKHSAQPTVNGTYNAAAATTTPDATA AISTMRKRQPFSYQDHTSMVGQLLGATSAARKSNVSSSSSLNGLYPSSSSSNGTNSGG GLLRHATTSSHHHHHHHSHHSHHHMSPSTTNEGFQYEEAFNRGKKHVEKKDFKRAVSE FSEAITISPNKLKAYIYRGDCYMNTGRFQPAIDDFSTVIHMTPANADIYAKRAKAFEK LHKYVDAVADYGQAIDHSTTPSKSKDMHIARGRVFMAMNSLAQALDDFTISVELDPRS GVALFERAQVFAGLHKFDLALHDYDSVIGLERKSGHRDVRLESWLGRAHMLLQLADDE ERTYMADAAAAESEAGVAKEDDAMAVFAVDRESALHVQHTSPNQSHAAKSYVHRAIKD VSMALQLDPESVGLLEMRGQGYLRVGDYHNALVDVNAALAKEPTSAPLLLLRAMVFKH QDALLSMVPRARAINQVTRVIELSTNAHEAYFARAQLHVENHSIEQAVEDLSAIVEMY SYALIAQSKDGDAATTSRLQPLPSSSAFSSPLVEDTTTSAVVLQSFGLSASRHLSVRQ SSPTDIALRALLCRARLYMTWKGSAKVVGVKEAMTDYQRILSVAPSHLDAQMELQVAK DVEEKAQAEISAAACEWLMQHGDTSTSSSSTSASTNPNSTKDAHSSADKKKKKKKKGG AKQQTQTRPIADESGPLLSPPQPPTQSSESLQRSDSTPTVITTSTPASSSPQPTSAPT PSVVVAKPPTPPSTRRPLPTPGQPSHPITPMYTRDEACESMEEADGGNGLKDQRRPPS VSSNLVFHFSLTGHEDKADLSGSEPPPSPSGAATNPPVPVATTSPSTDVRPAAGGDLA TGTGLLADDTGSMDDDEPIDFHGDSKQTSPPSLSSDILLDERYLKKRKKQLEKLRQDL VDACVRRQLHAINDAIVRASRKQMHDQLGDEINAAKALVELLQNQPPPSNDDNGKAVE TTKVAADDVDEHDAAADAATPSPLPTPRTSKEPKGAPSPQSNSAPTTPDKMIKAAASP RTNNSFKSSPVARIPQGSNDPKEADHPHVLMLQAQLEAMQLQYTRCRKELERMQATPA PTYNLSVPFAHKLQGMERCMRPLNPSILGCPALRQVDAMINQAFGPTLESEHVRAKLL RYLRHILDQGQCSYSITPSGSYPLKTYLPDSDIDVCLEVPDAAATWHLAVTQALIGAA TPAAVDSTFLPSSMAAPTPNLNCTVRNVTFINAEVRVVKCTIDNVSIDFTANRFGALG ALALLHEMDVRVGQHHLFKRTLILIKAWAMYDSCRFMLGPPGRSNILGAVSGALSTFA LNTMVMCIFNLYGKRIVHPLQGLMEFLHLFADFDWQYHAVSLFGAVPIASLNNNINVG AGTSHANPPPHNGFLIDPDFVAQLKAKVDQVSTTRSDTKPSPLLPFQVRACNVVDPLN ESNNVARSVTADNLAEMKQAFQGARQALVDVFYEAWQSAEYNRTDDVHAEDIVAHVER IDGLFANGMQMYGSGWRPDLLVHPRQLWRGPPMMLGATSADDSDVLQTEVPEFFR H257_03369 MVGQLLGATSAARKSNVSSSSSLNGLYPSSSSSNGTNSGGGLLR HATTSSHHHHHHHSHHSHHHMSPSTTNEGFQYEEAFNRGKKHVEKKDFKRAVSEFSEA ITISPNKLKAYIYRGDCYMNTGRFQPAIDDFSTVIHMTPANADIYAKRAKAFEKLHKY VDAVADYGQAIDHSTTPSKSKDMHIARGRVFMAMNSLAQALDDFTISVELDPRSGVAL FERAQVFAGLHKFDLALHDYDSVIGLERKSGHRDVRLESWLGRAHMLLQLADDEERTY MADAAAAESEAGVAKEDDAMAVFAVDRESALHVQHTSPNQSHAAKSYVHRAIKDVSMA LQLDPESVGLLEMRGQGYLRVGDYHNALVDVNAALAKEPTSAPLLLLRAMVFKHQDAL LSMVPRARAINQVTRVIELSTNAHEAYFARAQLHVENHSIEQAVEDLSAIVEMYSYAL IAQSKDGDAATTSRLQPLPSSSAFSSPLVEDTTTSAVVLQSFGLSASRHLSVRQSSPT DIALRALLCRARLYMTWKGSAKVVGVKEAMTDYQRILSVAPSHLDAQMELQVAKDVEE KAQAEISAAACEWLMQHGDTSTSSSSTSASTNPNSTKDAHSSADKKKKKKKKGGAKQQ TQTRPIADESGPLLSPPQPPTQSSESLQRSDSTPTVITTSTPASSSPQPTSAPTPSVV VAKPPTPPSTRRPLPTPGQPSHPITPMYTRDEACESMEEADGGNGLKDQRRPPSVSSN LVFHFSLTGHEDKADLSGSEPPPSPSGAATNPPVPVATTSPSTDVRPAAGGDLATGTG LLADDTGSMDDDEPIDFHGDSKQTSPPSLSSDILLDERYLKKRKKQLEKLRQDLVDAC VRRQLHAINDAIVRASRKQMHDQLGDEINAAKALVELLQNQPPPSNDDNGKAVETTKV AADDVDEHDAAADAATPSPLPTPRTSKEPKGAPSPQSNSAPTTPDKMIKAAASPRTNN SFKSSPVARIPQGSNDPKEADHPHVLMLQAQLEAMQLQYTRCRKELERMQATPAPTYN LSVPFAHKLQGMERCMRPLNPSILGCPALRQVDAMINQAFGPTLESEHVRAKLLRYLR HILDQGQCSYSITPSGSYPLKTYLPDSDIDVCLEVPDAAATWHLAVTQALIGAATPAA VDSTFLPSSMAAPTPNLNCTVRNVTFINAEVRVVKCTIDNVSIDFTANRFGALGALAL LHEMDVRVGQHHLFKRTLILIKAWAMYDSCRFMLGPPGRSNILGAVSGALSTFALNTM VMCIFNLYGKRIVHPLQGLMEFLHLFADFDWQYHAVSLFGAVPIASLNNNINVGAGTS HANPPPHNGFLIDPDFVAQLKAKVDQVSTTRSDTKPSPLLPFQVRACNVVDPLNESNN VARSVTADNLAEMKQAFQGARQALVDVFYEAWQSAEYNRTDDVHAEDIVAHVERIDGL FANGMQMYGSGWRPDLLVHPRQLWRGPPMMLGATSADDSDVLQTEVPEFFR H257_03370 MGRTSSLTEWAHFVKTSHKASTSYWWVYCRHCVLAAMAAAAGDQ STTNQPPAKAMHDFVDTMPVPTAAAAASTTDAEQTLLPLVGRRSVMKAHLAHCIHATP MPSNPIVKRRAGKRGVHCAIAEWAHFHRLEKEGYIGNTNYFPVVCKYCADAYDTKTRP TPPDVFTGRKESMRRHLAQCQHFTGNLPDKAAKPTASKSLSEWEFFVQLDRQPGSMYH FAKCKSCTEAHAANPDQHPEPKIILGRKHNMQTHLANCHHMHHLRNVMDDIAFSNDED DEARDEDLQISGTPPASPRDVDPPKNEALIHFTIEHNLPFSWVESKHMQVMVQSRLPS SHDLSTSILHRVQRRLENEQRASSRQTLIIEALPPAPTTTTTDQVTTSYVAWLIDAHR VTVPQWMPGQETLTWTCQDRDLASVAIARINQNADTVVAIVLPWSPLPSSSVTMISTH PSVSHVYCHQSVGPIFYSIMHSVLQDDMVTRVLRMALTLAPLPTSCLWSNWAPWLHLV NQQRSHHYPLELDFWHQLDSVGSLLSSLSLANGLARVNTLSLAHTVHLLGDVYLRNQG LNRTTLLQLKLERELERHWLTLEQPLMVLAYVLHPEFQGHVALHSRRTKLTTQALGDV AVFYYSKFFQEPPRTLSLASDVMAFVEGRLSNVSNAASTDGATFSTHLSATYPDLVRL MQRLLDIIPSFCLDNGHLSAHHYTPDEWQNIKYIAYCAPPSPRHSQGLEDIRDVDELL LKWRSQGLVESNTTNQELGGTRQDLVPKVTLMELFGSRFL H257_03371 MNRQDEVAAFQKLMPASAIESCSPEQLQASIQYTRYRKLRLRLR FPDNYPNEELVIELMSDTLPDVALRRLTKFVDAKASELAKLGQPQVQAVVELIQSSLA DNKLLYANDEVRQLRLLAEQNGGEIKLNERAGRVKLLLRHRGYVFHANIKLDDHYPDS PIAVSCDESNLPASIVALVVKQANDMVARIVQGYTADQALFASNPLKKPLRLVDAIED EATPVKKSGTKKSAQVPKVVIKASPRDNISPMYYAQDGGILHQAPLDVAVKSLVPVCK TFLWSQCLVGLAATTCVTCGQAILPSDPSAAISSGQQPLQAYCGHWYHHDCLGPILQS PPFVHGCKACHVILHHPLWSTNVDELKRGHERAIRQAKELEEIADMF H257_03371 MNRQDEVAAFQKLMPASAIESCSPEQLQASIQYTRYRKLRLRLR FPDNYPNEELVIELMSDTLPDVALRRLTKFVDAKASELAKLGQPQVQAVVELIQSSLA DNKLLYANDEVRQLRLLAEQNGGEIKLNERAGRVKLLLRHRGYVFHANIKLDDHYPDS PIAVSCDESNLPASIVALVVKQANDMVARIVQGYTADQALFASNPLKKPLRLVDAIED EATPVKKSGTKKSAQVPKVVIKASPRDNISPMYYAQDGGILHQAPLDVAVKSLVPVCK TFLWSQCLVGLAATTCVVI H257_03372 MDFDLGSRGKKLQKEQAARREAARLKMEREKALVEKTNQLRVEM EVEAQRRRDELAQQAAADEERRVEEQRITGGIVYKKSLRAVPIANDGDKVTLPVSALE ELNPQNALDLGVFTFELVAGGHTTHASVLEFVADEHTIGIPPKVARSLHLMDEAVDIA VRFVRLEKGRAVKLQPRGDGFGDRQIDIKHLLERTLHTHTTLTEGDILLVRHGKVTFE VAVKEIVPEPQVTILNVDLEVDLLPSEAIDRQITAKQVAAQQLVAAEQAQQRLHEQSL QRQADALARLPPEPPLDDDAGVIKLMVRTPDGSQHARRFAASDSVATLYDFVTSITGL ASFLMATNYPRRVIPLSTLPLQDAGFRGRQEAVFVEPCKAPTAVPDQAVNDVDMQVDD AARVPADAWGHARARWETIQDEALFTPDVMPVHALEPVLPTQVDGNKWGAQLHELESM GFVDRDLNVQILEKYQGRLLRVVNYLSELAIET H257_03372 MDFDLGSRGKKLQKEQAARREAARLKMEREKALVEKTNQLRVEM EVEAQRRRDELAQQAAADEERRVEEQRITGGIVYKKSLRAVPIANDGDKVTLPVSALE ELNPQNALDLGVFTFELVAGGHTTHASVLEFVADEHTIGIPPKVARSLHLMDEAVDIA VRFVRLEKGRAVKLQPRGDGFGDRQIDIKHLLERTLHTHTTLTEGDILLVRHGKVTFE VAVKEIVPEPQVTILNVDLEVDLLPSEAIDRQITAKQVAAQQLVAAEQAQQRLHEQSL QRQADALARLPPEPPLDDDAGVIKLMVRTPDGSQHARRFAASDSVATLYDFVTSITGL ASFLMATNYPRRVIPLSTLPLQDAGFRGRQEAVFVEPCKAPTAVPDQAVNDVDMQVDD AARVPADAWGHARARWETIQDEALFTPDVMPVHALEPVLPTQVDGNKWGAQLHELESM VCSSTVHELIFREWSHAMMYIYIYILRALWTAT H257_03372 MDFDLGSRGKKLQKEQAARREAARLKMEREKALVEKTNQLRVEM EVEAQRRRDELAQQAAADEERRVEEQRITGGIVYKKSLRAVPIANDGDKVTLPVSALE ELNPQNALDLGVFTFELVAGGHTTHASVLEFVADEHTIGIPPKVARSLHLMDEAVDIA VRFVRLEKGRAVKLQPRGDGFGDRQIDIKHLLERTLHTHTTLTEGDILLVRHGKVTFE VAVKEIVPEPQVTILNVDLEVDLLPSEAIDRQITAKQVAAQQLVAAEQAQQRLHEQSL QRQADALARLPPEPPLDDDAGVIKLMVRTPDGSQHARRFAASDSVATLYDFVTSITGL ASFLMATNYPRRVIPLSTLPLQDAGFRGRQEAVFVEPCKAPTAVPDQAVNDVDMQVDD AARVPADAWGHARARWETIQDEVLCISPIFESIFAK H257_03373 MNANKSVAAGVIAGCATRTTTSPLVVGKILFQVKSQGVDTPRNK LGRTHHDQLIMVGRRMLLFRGRNWLKPPTKLQKSFASPIGISKLDRASTPHSRKSCKH SQYCDARYHENIQRYVGEGSSSYFHALLGSGLWKPISPSDTYAYFLDLDPRLLLGRVL ACLRTRNVSTGEFPDVDRDELDAMLDYLKLNGVPSFLWVQSSSDARFHVDGFHQGRFI ARSCQATIPVRRDVQ H257_03374 MQQTNTNNQQGVILATTPNCCPAGTRKGWQSVAAGVIAGCVTRT TTSPLDVLKILFQVKSQGATTLQSTCRTLLQTRGVTGFWKGNLAGCCRLGPYAGVKFC LFDQLQMLVHGDNDTPTNVGRATCGAIAGMAATFFVYPMELLRTRLIVSPMPLTIRYE ARRIVQADGVRGFYRGCTSGLVGAIPFEGIQFACYEYGKSYAMTHRWPPCRWPQNKTQ LQTVDHLALGSIAGAVAQVVAYPLDTIKKRLQLQGSGANARYDGMVDCFAKVVREEGA TALYRGTVPNMVRLVPYAAVMFASYEAAKDFLKAL H257_03375 MVRITGTISLAYIYVVGAQQELFQWQPCAFSEDPRLECGSLRVP LNHLDPSVNETIDIAVRRYRVENGTQSLGTILINPGGPGSRGTTEASADVANYLGGQY DILGFDPRGVGLSRPARCTKNGYTSLSEWPSLSNPPFDSPTAEQSLARYGASLEAIVR RCEKYDGQYLKYLSTAFVARDMDLIRAALNESVVNYFGWSYGSTLGATYANMYPNRVG RFVIDSVLDPTLYTGPPSNLLAESTVDADETFDGFADACEKAGPLKCPLAYAAQVGKR VRAFLDGMVESPLLVPAGDDFSVITAADVRDDILHTLYRPGKWLDLAHRLHSLMEGTY EASPVDEVCPITNSSYLGMKMEFSIYIGNDGDSEREQDWHGALREAKRKSPLFGIQFA LPALPARYWKVRPVERYTGPWNSTLRQPILILQNKLDPVTPLRRAQALAKTMGTNAVL VTRDGFGHETSSTPCKCIENILAAFFNNGTYPKHNSNCKVDMGPFDGAGPHAARRALA DKMEASRQEVTKILTQVRRPML H257_03376 MPSDEPSAIFDRVCDHVQILWPGTGAITAIRLKISDRLLVSSPI PMHAGEVDFIADERAVERMGKTWHQYLVHWARPHLLACTRSWVISRFMKYCPEAFGVY TRWRQHPGNLTYSEYRVRDAQFLEFGENPTKTCLFQAFKIISDKSSLHLRATAADLVL VMTTNTIPLSHGIPQSKLRAFVQFLFARGLRICLKMFASELLTNQSGDPKLDLYRIVL AEGNGMFLVTTVSGHTTHVWVLTCVGSVSELADAEGTIGVGELPSVDFVRADRRISDM YNLQIDAHGPHEMATGLAWLSCDVARRMHIHRA H257_03378 MESSGTNRYRHLRNYKCSDTKRSYYLFVRSEYEETYSQLLRVSA EAFDTFFDYHLEVATCSIDHTQYIQTALKKQWPQVHVVSCAIHML H257_03379 MSPLSNEVHGPKANDNKALPLASSRGLHTTVFRPRHTTISNDLG VDTTDTQARKWWSDSDDLSLLTQVKNDLPFKQVKNTTKLWHFQQLAAKLLEVPRFGRT TLDGKAANRYYQLLRPHRRFQHSFKYLSGVEQDETGKIVLLDELIQLFDEATDQGNAD RVSMHAKMTEKEAAASFIRDQAMQSGKRKSVETDECYWAQA H257_03380 MDPHSVAYIRHTFCTRGTITSKKPGNVGPKQLIPLNSSKSSFMR AQGDVLGLRRASTLR H257_03381 MRWTSVSIDVVATASEVVRSHELQEHYAIAREGLSCPCAFVVVH QGSVTMPLTLAGASGDLDAPIKWRISDKTEPRKDSKSVVVAEKSVDACSWSLILDDK H257_03382 MVRITGTISLAYVYVVGAQQELFQWQPCAFSEDPRLECGSLRVP LNHLHPSVNETIDIAVRRYRVENGTQSLGTILVNPGGPGHHGTAMASAGLATYLGGQY DVLGFDPRGVGLSRPARCTKNGYTSLREWPSLSNLPFDSPTAEKSLARYGASLEAIVR RCEKYDGQYLKYLSTSFVARDMDLIRAALNESVVNYYGVSYGSTLGATYANMYPNRVG RFVIDSVLDPTLYTGPPSNLLAKSTVDADETFDGFADACEKAGPLKCPLAYAAQVGKR VRAFLAGMVESPLLVPAGDDFSVLTAADVRANILNTLYRPGQWLGLAHRLHSLMEGTY EASPVDEVCPLTDSSYLGMGMEFSIYIGNDGDSERAQDWHGALREAKRKSPLFGMQFA SYALPARYWKVRPVERYSGPWNTMLRQPILILQNKLDPVTPLRSARALAKTMGTNAVL VTRDGFGHETSSTPSKCIQNTLTAFFSNGTYPKHNSNCKVDMGPFDGAGPHAARRALA DKMEAARQEVTKILTQARQPML H257_03383 MVRITGTISLAYVYVVGAQQELFQWQPCAFSEDPRLECGSLRVP LNHLHPSVNETIDIAVRRYRVENGTQSLGTILVNPGGPGHHGTAMASAGLATYLGGHT TYMQ H257_03384 MGAGAIKRAHVHFAVGVVLGVRAVAEERREGVLDALAGGAARFV AEPVARDQDGVGAHGLGQSSRASQGGHGVEFVLQDQDGLAQHGVPRTRVPLDGTNLPV PSREGVRSKLHPEQRALALRLSKGAVPILRSLRVAVVANVNGKLHAHAKVRRVRERTH LVHRGRLVRAFHQTVQSMRQAQPLSRSVQSVQDVGPNVGRGEDGEVVPRGHEEGGFHH SVQERTNALADLRGVRERTLQRPRLFARVGKPVKRLVRIDGGFGQQVRRGPRVQSRVQ HRINDESTHAVGVHVGVCGPQCRAVRHAIVVDHGFVQRGPNQVHVPRHERRGQVLEVL AVVLFAPTHDSLETGSISCQRFLGRGRVEREVAKAGPLAQGRVSVLGAAGRTRQPHAP RVKAQDVVLSPEVGGQARRSHGSSMVARPAGVDQDCAQALGSVLDSISANGNVNRFVD RRMQVVQGDSQGSALEARVFRERARLPLKKFLLGPHNVDIGK H257_03385 MLAPGWEQVQDAQGRVFYVNHDTKETSWTPPSSLPLPPGWEELR DAQGRVYFVDHATRTTTWIDPRESQLHQMANELDRYANQSSRDSTTLSSVEPTVEYAP TSPVDFSQPSIAAAAVHRGSMPSLSSPVQPPILRSSSSGSSTLHLSISTPLVRTSSFG GTALSSYFPPVVVPDGARQDCTQCRLKFGVLRRRHHCRLCGGLYCAECADFKVSIPTL EGRATVCRRCHRNALANDFYSIGSLVTLLIKQPGSVPQKVEKLRALAIALSAGRKESD ASMGPEPLAQLNDLASVGGISVLCDLLHPTDPQDVQIHVLMVLANSLALYNAVSKSHA ADSFTQSGACQPLIQCLSSGVEDLELQSIRTMFHLCKAKGTTGQDALRRVGATVQLCE LLSASSDASVPVKMDATKCLHVYMVNNPACRDEVVGHNGLTILVQTLLLLTATSPDAD VDVVVETLLLCLESEFVQQYPIERAFVAPLFGALQPHFVSEPATLTLTTALVAADHAR YAAVCATLAGVMDALVLCLDEAEVVSAAALKVLRTMCPVGACQPSVARGIASANGLVR VLQWLAKITSVMPVQSQDENDEISFQEDLLTILNAFCSQVEYVGDVVGYQGVPILLAL FTYQPRVLELSARAIAQLAKASPAVLNELIPFGASQGFEQILGNPRASVGTKESALVF YTLMGERSSDIILSAAGAVALFGLVDDRALHKLALGAIGSLTGGGYNHRSTHRLELQH QVVASLYGAVLHPLLVGGTPDLDVLTLALQVVRNTSHISSAVDLGVVEAVVACLPREV PGLAWDVLVQCLQCAAATAWTHVGTLQAVYRHILTVLIDQPDSNVIQSLLRCLEIALA HPGWKTIFVAWVLSQQQMFIDFCEALGERLDRAYVAPRPGVEVGTLLAVVSALCRVRR LVPLLLQADLHVSVLNGLKSPDDDVVDVVLACMQAFLPHVPFQTAALAPNSVVVDRLV HLYSLDNVQAAHLLSTLSQNHDQFPVSTAFVTTLLHQLESSTAATRSLSEHILSHVTD ELLYAQHPVWTYIVDTRNLRIVNHILLHITSHNHVLIATIGCGQVIVDPTSHEASTFQ EALLQLLVQSTHVKVRGMAVEALATLVVPANPPLPYNENEDVVADTISSSSSFFTCPF ARAILSTLPSHPLAVATLIRFALETKHWDLEPFWQQVATYRMRAKFVEAMRDNVHESS VVALSAFLASSKLLKTREVNSLVSVASGIAQRINAQPDDDSSTSSTTTVWLTLMLHMS GVSRLAHAMIDGGAVECMMERLGQYESCQTVLIHLLRYKSATERLLNGHGVARLLSLV DSNQSNRHVLLELLGIVSTIAHHQKAFRVAMLGSLKLWASLLHQSISSSYDVALATLV VQVLASLCELYEIRVQVVVVPDIYMDLITWLHHVATSSDTAHDLILNALTLIHYRVVA TTTSSSPASMSNGHDDQDQHVVLDLVLGLAVLERQTYLSVYLKALETMHALWSSSTTL FHDDPRWRLIFEHLSALFLAGFPTGAPPDVQTALLPFATDLGLHRTSIVPNQLLQWLV LSSFDANHPLVFPLLVQLVCTSPSFVLFLRTHTHFMTSLRVLNHPLARRIYVLLGQDV CVALSDHAKAVDGCSLGHGDADDEDEEDEGAPDTSVGFVDQDGAASSPESHEDPSAAN HHDNDNDDQALWNNLPPNTTTTGAPQPTTAAPTSVGSAPFATFENHDQVLNSRRSSSA STPYDATGGYSSSTHPSSPAAAPPSVAEDSVTCRHCSGVVTVPPGVLAYLDTVPCPHC HLPLGSTSSSSSAAASDTKTVSCTQCSRPLHLPDGLDLPEVMCPYCNAINKLNRHSSP PPPPTSGSSRPKTMKCGYCSHTFLAQSNQPTIQCPKCTNVSRVEGFDCTTTITFLHVI SLISWLVVAMIKVNCASCGTLLALPAGVKSYKCMKCAHVQK H257_03385 MLAPGWEQVQDAQGRVFYVNHDTKETSWTPPSSLPLPPGWEELR DAQGRVYFVDHATRTTTWIDPRESQLHQMANELDRYANQSSRDSTTLSSVEPTVEYAP TSPVDFSQPSIAAAAVHRGSMPSLSSPVQPPILRSSSSGSSTLHLSISTPLVRTSSFG GTALSSYFPPVVVPDGARQDCTQCRLKFGVLRRRHHCRLCGGLYCAECADFKVSIPTL EGRATVCRRCHRNALANDFYSIGSLVTLLIKQPGSVPQKVEKLRALAIALSAGRKESD ASMGPEPLAQLNDLASVGGISVLCDLLHPTDPQDVQIHVLMVLANSLALYNAVSKSHA ADSFTQSGACQPLIQCLSSGVEDLELQSIRTMFHLCKAKGTTGQDALRRVGATVQLCE LLSASSDASVPVKMDATKCLHVYMVNNPACRDEVVGHNGLTILVQTLLLLTATSPDAD VDVVVETLLLCLESEFVQQYPIERAFVAPLFGALQPHFVSEPATLTLTTALVAADHAR YAAVCATLAGVMDALVLCLDEAEVVSAAALKVLRTMCPVGACQPSVARGIASANGLVR VLQWLAKITSVMPVQSQDENDEISFQEDLLTILNAFCSQVEYVGDVVGYQGVPILLAL FTYQPRVLELSARAIAQLAKASPAVLNELIPFGASQGFEQILGNPRASVGTKESALVF YTLMGERSSDIILSAAGAVALFGLVDDRALHKLALGAIGSLTGGGYNHRSTHRLELQH QVVASLYGAVLHPLLVGGTPDLDVLTLALQVVRNTSHISSAVDLGVVEAVVACLPREV PGLAWDVLVQCLQCAAATAWTHVGTLQAVYRHILTVLIDQPDSNVIQSLLRCLEIALA HPGWKTIFVAWVLSQQQMFIDFCEALGERLDRAYVAPRPGVEVGTLLAVVSALCRVRR LVPLLLQADLHVSVLNGLKSPDDDVVDVVLACMQAFLPHVPFQTAALAPNSVVVDRLV HLYSLDNVQAAHLLSTLSQNHDQFPVSTAFVTTLLHQLESSTAATRSLSEHILSHVTD ELLYAQHPVWTYIVDTRNLRIVNHILLHITSHNHVLIATIGCGQVIVDPTSHEASTFQ EALLQLLVQSTHVKVRGMAVEALATLVVPANPPLPYNENEDVVADTISSSSSFFTCPF ARAILSTLPSHPLAVATLIRFALETKHWDLEPFWQQVATYRMRAKFVEAMRDNVHESS VVALSAFLASSKLLKTREVNSLVSVASGIAQRINAQPDDDSSTSSTTTVWLTLMLHMS GVSRLAHAMIDGGAVECMMERLGQYESCQTVLIHLLRYKSATERLLNGHGVARLLSLV DSNQSNRHVLLELLGIVSTIAHHQKAFRVAMLGSLKLWASLLHQSISSSYDVALATLV VQVLASLCELYEIRVQVVVVPDIYMDLITWLHHVATSSDTAHDLILNALTLIHYRVVA TTTSSSPASMSNGHDDQDQHVVLDLVLGLAVLERQTYLSVYLKALETMHALWSSSTTL FHDDPRWRLIFEHLSALFLAGFPTGAPPDVQTALLPFATDLGLHRTSIVPNQLLQWLV LSSFDANHPLVFPLLVQLVCTSPSFVLFLRTHTHFMTSLRVLNHPLARRIYVLLGQDV CVALSDHAKAVDGCSLGHGDADDEDEEDEGAPDTSVGFVDQDGAASSPESHEDPSAAN HHDNDNDDQALWNNLPPNTTTTGAPQPTTAAPTSVGSAPFATFENHDQVLNSRRSSSA STPYDATGGYSSSTHPSSPAAAPPSVAEDSVTCRHCSGVVTVPPGVLAYLDTVPCPHC HLPLGSTSSSSSAAASDTKTVSCTQCSRPLHLPDGLDLPEVMCPYCNAINKLNRHSSP PPPPTSGSSRPKTMKCGYCSHTFLAQSNQPTIQCPKCTNVSRVEGFDSMIKVNCASCG TLLALPAGVKSYKCMKCAHVQK H257_03385 MGPEPLAQLNDLASVGGISVLCDLLHPTDPQDVQIHVLMVLANS LALYNAVSKSHAADSFTQSGACQPLIQCLSSGVEDLELQSIRTMFHLCKAKGTTGQDA LRRVGATVQLCELLSASSDASVPVKMDATKCLHVYMVNNPACRDEVVGHNGLTILVQT LLLLTATSPDADVDVVVETLLLCLESEFVQQYPIERAFVAPLFGALQPHFVSEPATLT LTTALVAADHARYAAVCATLAGVMDALVLCLDEAEVVSAAALKVLRTMCPVGACQPSV ARGIASANGLVRVLQWLAKITSVMPVQSQDENDEISFQEDLLTILNAFCSQVEYVGDV VGYQGVPILLALFTYQPRVLELSARAIAQLAKASPAVLNELIPFGASQGFEQILGNPR ASVGTKESALVFYTLMGERSSDIILSAAGAVALFGLVDDRALHKLALGAIGSLTGGGY NHRSTHRLELQHQVVASLYGAVLHPLLVGGTPDLDVLTLALQVVRNTSHISSAVDLGV VEAVVACLPREVPGLAWDVLVQCLQCAAATAWTHVGTLQAVYRHILTVLIDQPDSNVI QSLLRCLEIALAHPGWKTIFVAWVLSQQQMFIDFCEALGERLDRAYVAPRPGVEVGTL LAVVSALCRVRRLVPLLLQADLHVSVLNGLKSPDDDVVDVVLACMQAFLPHVPFQTAA LAPNSVVVDRLVHLYSLDNVQAAHLLSTLSQNHDQFPVSTAFVTTLLHQLESSTAATR SLSEHILSHVTDELLYAQHPVWTYIVDTRNLRIVNHILLHITSHNHVLIATIGCGQVI VDPTSHEASTFQEALLQLLVQSTHVKVRGMAVEALATLVVPANPPLPYNENEDVVADT ISSSSSFFTCPFARAILSTLPSHPLAVATLIRFALETKHWDLEPFWQQVATYRMRAKF VEAMRDNVHESSVVALSAFLASSKLLKTREVNSLVSVASGIAQRINAQPDDDSSTSST TTVWLTLMLHMSGVSRLAHAMIDGGAVECMMERLGQYESCQTVLIHLLRYKSATERLL NGHGVARLLSLVDSNQSNRHVLLELLGIVSTIAHHQKAFRVAMLGSLKLWASLLHQSI SSSYDVALATLVVQVLASLCELYEIRVQVVVVPDIYMDLITWLHHVATSSDTAHDLIL NALTLIHYRVVATTTSSSPASMSNGHDDQDQHVVLDLVLGLAVLERQTYLSVYLKALE TMHALWSSSTTLFHDDPRWRLIFEHLSALFLAGFPTGAPPDVQTALLPFATDLGLHRT SIVPNQLLQWLVLSSFDANHPLVFPLLVQLVCTSPSFVLFLRTHTHFMTSLRVLNHPL ARRIYVLLGQDVCVALSDHAKAVDGCSLGHGDADDEDEEDEGAPDTSVGFVDQDGAAS SPESHEDPSAANHHDNDNDDQALWNNLPPNTTTTGAPQPTTAAPTSVGSAPFATFENH DQVLNSRRSSSASTPYDATGGYSSSTHPSSPAAAPPSVAEDSVTCRHCSGVVTVPPGV LAYLDTVPCPHCHLPLGSTSSSSSAAASDTKTVSCTQCSRPLHLPDGLDLPEVMCPYC NAINKLNRHSSPPPPPTSGSSRPKTMKCGYCSHTFLAQSNQPTIQCPKCTNVSRVEGF DCTTTITFLHVISLISWLVVAMIKVNCASCGTLLALPAGVKSYKCMKCAHVQK H257_03385 MGPEPLAQLNDLASVGGISVLCDLLHPTDPQDVQIHVLMVLANS LALYNAVSKSHAADSFTQSGACQPLIQCLSSGVEDLELQSIRTMFHLCKAKGTTGQDA LRRVGATVQLCELLSASSDASVPVKMDATKCLHVYMVNNPACRDEVVGHNGLTILVQT LLLLTATSPDADVDVVVETLLLCLESEFVQQYPIERAFVAPLFGALQPHFVSEPATLT LTTALVAADHARYAAVCATLAGVMDALVLCLDEAEVVSAAALKVLRTMCPVGACQPSV ARGIASANGLVRVLQWLAKITSVMPVQSQDENDEISFQEDLLTILNAFCSQVEYVGDV VGYQGVPILLALFTYQPRVLELSARAIAQLAKASPAVLNELIPFGASQGFEQILGNPR ASVGTKESALVFYTLMGERSSDIILSAAGAVALFGLVDDRALHKLALGAIGSLTGGGY NHRSTHRLELQHQVVASLYGAVLHPLLVGGTPDLDVLTLALQVVRNTSHISSAVDLGV VEAVVACLPREVPGLAWDVLVQCLQCAAATAWTHVGTLQAVYRHILTVLIDQPDSNVI QSLLRCLEIALAHPGWKTIFVAWVLSQQQMFIDFCEALGERLDRAYVAPRPGVEVGTL LAVVSALCRVRRLVPLLLQADLHVSVLNGLKSPDDDVVDVVLACMQAFLPHVPFQTAA LAPNSVVVDRLVHLYSLDNVQAAHLLSTLSQNHDQFPVSTAFVTTLLHQLESSTAATR SLSEHILSHVTDELLYAQHPVWTYIVDTRNLRIVNHILLHITSHNHVLIATIGCGQVI VDPTSHEASTFQEALLQLLVQSTHVKVRGMAVEALATLVVPANPPLPYNENEDVVADT ISSSSSFFTCPFARAILSTLPSHPLAVATLIRFALETKHWDLEPFWQQVATYRMRAKF VEAMRDNVHESSVVALSAFLASSKLLKTREVNSLVSVASGIAQRINAQPDDDSSTSST TTVWLTLMLHMSGVSRLAHAMIDGGAVECMMERLGQYESCQTVLIHLLRYKSATERLL NGHGVARLLSLVDSNQSNRHVLLELLGIVSTIAHHQKAFRVAMLGSLKLWASLLHQSI SSSYDVALATLVVQVLASLCELYEIRVQVVVVPDIYMDLITWLHHVATSSDTAHDLIL NALTLIHYRVVATTTSSSPASMSNGHDDQDQHVVLDLVLGLAVLERQTYLSVYLKALE TMHALWSSSTTLFHDDPRWRLIFEHLSALFLAGFPTGAPPDVQTALLPFATDLGLHRT SIVPNQLLQWLVLSSFDANHPLVFPLLVQLVCTSPSFVLFLRTHTHFMTSLRVLNHPL ARRIYVLLGQDVCVALSDHAKAVDGCSLGHGDADDEDEEDEGAPDTSVGFVDQDGAAS SPESHEDPSAANHHDNDNDDQALWNNLPPNTTTTGAPQPTTAAPTSVGSAPFATFENH DQVLNSRRSSSASTPYDATGGYSSSTHPSSPAAAPPSVAEDSVTCRHCSGVVTVPPGV LAYLDTVPCPHCHLPLGSTSSSSSAAASDTKTVSCTQCSRPLHLPDGLDLPEVMCPYC NAINKLNRHSSPPPPPTSGSSRPKTMKCGYCSHTFLAQSNQPTIQCPKCTNVSRVEGF DSMIKVNCASCGTLLALPAGVKSYKCMKCAHVQK H257_03386 MTSGAMLTMPMLLINMGGEMLYVLDQRLKAQNISGDKSVKVLVD VVKTMYNTKFISELFRPHHMYSNVSTRQIFDRLAHSSIMRLNQSSMDKLYGLMRMGFK YNILACSSADQLVQVTMNHLRSIKEIVTTDEATSLIEEAIVLTANVYGTMSHGNFLLL KQNLCRFFQDVRIKVSLFLQSEIQNGDGSFVLRADGPVATGGDIPGTVRYYNASGDIE SEDSIAMDNARGVVPFDDKPILSRDPSLCPFGGNLYVPNAKPGPKSAPKTGEEMAAEA KKGSKGGDDKQPSPPKQWKATAADGLNLLADLLGAKDSSATDAKPWKINLFADDPDDE DSKDDGDDEGQVQTITIDALSDRKTTKALLDEFEDDKPQDKKGGDTDDLLSLMDST H257_03386 MRMGFKYNILACSSADQLVQVTMNHLRSIKEIVTTDEATSLIEE AIVLTANVYGTMSHGNFLLLKQNLCRFFQDVRIKVSLFLQSEIQNGDGSFVLRADGPV ATGGDIPGTVRYYNASGDIESEDSIAMDNARGVVPFDDKPILSRDPSLCPFGGNLYVP NAKPGPKSAPKTGEEMAAEAKKGSKGGDDKQPSPPKQWKATAADGLNLLADLLGAKDS SATDAKPWKINLFADDPDDEDSKDDGDDEGQVQTITIDALSDRKTTKALLDEFEDDKP QDKKGGDTDDLLSLMDST H257_03387 MHRVCQVGRAARCFSSKKAMTYHLVGRGEGVKCSMERGDGMTIS TDIPKAMGGTNTAPQPVELFLASLCGCELATAQFVARHMKPRISIDKIEFHVEASRDK QGALHLPLGDDSGAPVARLDRIWGQALVYTSASQDEVDKLAAEVKTRCPIANMAVLSG CILDIQWLRHSS H257_03388 MDLLEACENGNEERVVEILLEAGPTKAPAMLQQVDQAGRSALHA ACIGGQLSVVRLLLGRECQVFFPGRSREDAEDLLVPCGPNVKVVMKRKEDAHVPSALL QLPREVVVQAKDLANGIMYLDYYGNAPIQCISCFGCGTEMKHVRDSVEIAAELLRSGC QCNTSKTANNWTPLHWSAFNGHHDLTALLLNPQLCCDEHNNVVSAVQFAVPLVCSEGL YPVDVAGRMGLQLRDEMAAWKQSLANEATTFDGFEIDYRDWRLHLDHVLVVKEFVRDY VAQAHHPYQYAQRLAKCVEVSSSKTKPRRKRPVPFTEADIVRYGQHLLYWCAGLNMLD EAIVLLNLTFQNDTTTTASTTTSRLAPLYPIQYEDCKSQSALHLACIMGHTTMVHTLL TRVIALYTSSVSLAKTPSSTLPSKVHPVLRGISAKDIPFTALAGWLNHRLETPLYLAG LYNRADVATSLLSILPPATVQTELHVQNIEGSTLSDMANDAVRTALNLATTALFPHEY VLVFRRKYRLFRKTLQDVLEEESSRAPSLLAASVGSTQQLRWWRDPVWFDYLAVGATD VVLAQKAEHMQLMHRRRGSSQMHVFHASDKHEFEPFRSLQRQLVVFNLIRDNVNLKRH LQRGTIFDLFPLHDVVGHNAIAAHWVLGHGGRWKVQPWHGLRQYLFETPTHTYDMLWP LRLYFGDKHALYIAWIQFYTSYLLVVAVPCLIVEILWAIQALPSAVPPLVMLVLVWIT FLVERWKRKRAEMLCNWGLPAPDGGSAGGLVSAEFHGDFVVDTATNERIVEFPMSVRT LRIYVGMPLLMAMVALAVLSFIGMKDLRARNAATSSDDTAAFMPVISALNAVAIILLD KVYSKVAHGLTHWENHRTVSDFESMLALKLFWFKFINAFMSLFWTAFVDREFDRLRYD LMTILFFRQASTILMSNLVPLLLVRYRWRQHGFRILELFVTSDPSTTPTQVPICSTNE DAVPVPVGIRMQQMMVAPPHVLDKQIDAMIRFGYVTMFLTVFPGAPFFVVLTNTLEMH LDVQCSLEAHRRPSFDGGAEIPVFQSILEFMSFAAVTVNCALLYLTTDIHAYLPTSFF AADAATSTAKLWVLLGVEHLVLGIKAAMALGIPDSPPWVDAYYAKLAKTHLISPPPTR SAEVTAVGEASNNADKHGSFEVETMECMEQPTVDTKDSGQFDIAPDDQVLFALPPESL STRTSFVDGTTAIPATTSSSKENVPSTYEKQSLTIQALQIELAAMQASRDAALARIRV LESRASTQDDGSCRFCDGCAPCTVKCVECKASMCQACDVTQHAAGLNTRHIRMEVGRV GYVVQERLAHVEKLLQQPNVICRGAT H257_03388 MDLLEACENGNEERVVEILLEAGPTKAPAMLQQVDQAGRSALHA ACIGGQLSVVRLLLGRECQVFFPGRSREDAEDLLVPCGPNVKVVMKRKEDAHVPSALL QLPREVVVQAKDLANGIMYLDYYGNAPIQCISCFGCGTEMKHVRDSVEIAAELLRSGC QCNTSKTANNWTPLHWSAFNGHHDLTALLLNPQLCCDEHNNVVSAVQFAVPLVCSEGL YPVDVAGRMGLQLRDEMAAWKQSLANEATTFDGFEIDYRDWRLHLDHVLVVKEFVRDY VAQAHHPYQYAQRLAKCVEVSSSKTKPRRKRPVPFTEADIVRYGQHLLYWCAGLNMLD EAIVLLNLTFQNDTTTTASTTTSRLAPLYPIQYEDCKSQSALHLACIMGHTTMVHTLL TRVIALYTSSVSLAKTPSSTLPSKVHPVLRGISAKDIPFTALAGWLNHRLETPLYLAG LYNRADVATSLLSILPPATVQTELHVQNIEGSTLSDMANDAVRTALNLATTALFPHEY VLVFRRKYRLFRKTLQDVLEEESSRAPSLLAASVGSTQQLRWWRDPVWFDYLAVGATD VVLAQKAEHMQLMHRRRGSSQMHVFHASDKHEFEPFRSLQRQLVVFNLIRDNVNLKRH LQRGTIFDLFPLHDVVGHNAIAAHWVLGHGGRWKVQPWHGLRQYLFETPTHTYDMLWP LRLYFGDKHALYIAWIQFYTSYLLVVAVPCLIVEILWAIQALPSAVPPLVMLVLVWIT FLVERWKRKRAEMLCNWGLPAPDGGSAGGLVSAEFHGDFVVDTATNERIVEFPMSVRT LRIYVGMPLLMAMVALAVLSFIGMKDLRARNAATSSDDTAAFMPVISALNAVAIILLD KVYSKVAHGLTHWENHRTVSDFESMLALKLFWFKFINAFMSLFWTAFVDREFDRLRYD LMTILFFRQASTILMSNLVPLLLVRYRWRQHGFRILELFVTSDPSTTPTQVPICSTNE DAVPVPVGIRMQQMMVAPPHVLDKQIDAMIRFGYVTMFLTVFPGAPFFVVLTNTLEMH LDVQCSLEAHRRPSFDGGAEIPVFQSILEFMSLYVGLCPRFEPEDIARRLRCLCVY H257_03388 MDLLEACENGNEERVVEILLEAGPTKAPAMLQQVDQAGRSALHA ACIGGQLSVVRLLLGRECQVFFPGRSREDAEDLLVPCGPNVKVVMKRKEDAHVPSALL QLPREVVVQAKDLANGIMYLDYYGNAPIQCISCFGCGTEMKHVRDSVEIAAELLRSGC QCNTSKTANNWTPLHWSAFNGHHDLTALLLNPQLCCDEHNNVVSAVQFAVPLVCSEGL YPVDVAGRMGLQLRDEMAAWKQSLANEATTFDGFEIDYRDWRLHLDHVLVVKEFVRDY VAQAHHPYQYAQRLAKCVEVSSSKTKPRRKRPVPFTEADIVRYGQHLLYWCAGLNMLD EAIVLLNLTFQNDTTTTASTTTSRLAPLYPIQYEDCKSQSALHLACIMGHTTMVHTLL TRVIALYTSSVSLAKTPSSTLPSKVHPVLRGISAKDIPFTALAGWLNHRLETPLYLAG LYNRADVATSLLSILPPATVQTELHVQNIEGSTLSDMANDAVRTALNLATTALFPHEY VLVFRRKYRLFRKTLQDVLEEESSRAPSLLAASVGSTQQLRWWRDPVWFDYLAVGATD VVLAQKAEHMQLMHRRRGSSQMHVFHASDKHEFEPFRSLQRQLVVFNLIRDNVNLKRH LQRGTIFDLFPLHDVVGHNAIAAHWVLGHGGRWKVQPWHGLRQYLFETPTHTYDMLWP LRLYFGDKHALYIAWIQFYTSYLLVVAVPCLIVEILWAIQALPSAVPPLVMLVLVWIT FLVERWKRKRAEMLCNWGLPAPDGGSAGGLVSAEFHGDFVVDTATNERIVEFPMSVRT LRIYVGMPLLMAMVALAVLSFIGMKDLRARNAATSSDDTAAFMPVISALNAVAIILLD KVYSKVAHGLTHWENHRTVSDFESMLALKLFWFKFINAFMSLFWTAFVDREFDRLRYD LMTILFFRQASTILMSNLVPLLLVRYRWRQHGFRILELFVTSDPSTTREKTAQFSTWY H257_03388 MDLLEACENGNEERVVEILLEAGPTKAPAMLQQVDQAGRSALHA ACIGGQLSVVRLLLGRECQVFFPGRSREDAEDLLVPCGPNVKVVMKRKEDAHVPSALL QLPREVVVQAKDLANGIMYLDYYGNAPIQCISCFGCGTEMKHVRDSVEIAAELLRSGC QCNTSKTANNWTPLHWSAFNGHHDLTALLLNPQLCCDEHNNVVSAVQFAVPLVCSEGL YPVDVAGRMGLQLRDEMAAWKQSLANEATTFDGFEIDYRDWRLHLDHVLVVKEFVRDY VAQAHHPYQYAQRLAKCVEVSSSKTKPRRKRPVPFTEADIVRYGQHLLYWCAGLNMLD EAIVLLNLTFQNDTTTTASTTTSRLAPLYPIQYEDCKSQSALHLACIMGHTTMVHTLL TRVIALYTSSVSLAKTPSSTLPSKVHPVLRGISAKDIPFTALAGWLNHRLETPLYLAG LYNRADVATSLLSILPPATVQTELHVQNIEGSTLSDMANDAVRTALNLATTALFPHEY VLVFRRKYRLFRKTLQDVLEEESSRAPSLLAASVGSTQQLRWWRDPVWFDYLAVGATD VVLAQKAEHMQLMHRRRGSSQMHVFHASDKHEFEPFRSLQRQLVVFNLIRDNVNLKRH LQRGTIFDLFPLHDVVGHNAIAAHWVLGHGGRWKVQPWHGLRQYLFETPTHTYDMLWP LRLYFGDKHALYIAWIQFYTSYLLVVAVPCLIVEILWAIQALPSAVPPLVMLVLVWIT FLVERWKRKRAEMLCNWGLPAPDGGSAGGLVSAEFHGDFVVDTATNERIVEFPMSVRT LRIYVGMPLLMAMVALAVLSFIGMKDLRARNAATSSDDTAAFMPVISALNAVAIILLD KVYSKVAHGLTHWENHRTVSDFESMLALKLFWFKFINAFMSLFWTAFVDREFDRLRYD LMTILFFRQASTILMSNLVPLLLVRYRWRQHGFRILELFVTSDPSTTREKTAQFSTWY H257_03389 MPNTNRDDAQGPVDGSLKSLVKHNFHVAEATGQLNLAHMHLEQL PQSVQFLPRLVELDLSYNSLNRFPGQWVADHFKHLRVLAVEHNDLYCLDDILALSTLP KLESLNVNYNPIPLLSNRIYFLEALFKAKPARGARSSAVKATICRVQVPRHEGFPMLL RLNGIDISLDDIATVERELGRKLRYEVDTIEGTSKSRSSGHKSNRKTVQEMHCKQSQS ATFPEPTKVPQLRYTIDGNAVPEPLQIESDDDNTGDDGFSKEDMEALRRELRLGLVIH DCGGGHVVESSRQDVAACGDATWNSTIQSFDGLANDQRCLVREKSKQSADASLKDNPF NANVVLDNMIQAERSRRLTQRAVEVLTQSTPTSAELEDSVMATSLNRRGGCDAGERLV DKLNAQHTREIVERNYKLTEAFCKQQFQVGSTTLAPDIRDVIESEHKLLKATNSKLMS KLGSKSEPSLVDTIDLGLSRVRNDNAVESVVKSLNPKHEKRLIQALIDSDQQVVEEEV RRQKIQEHKQRMEVIAASERRPTSSRRPARKVSAWQKRNVHALHERNKPSPSKVNVTR MNAIDGGREGGKGGRRRVLPYETIERVELARLDTLPRVTTKELLVRCAEIRKQSRDSL KDLARAKHEFVEAEIQWESTRRDPIELLRRKVKASACEGNEVMIGNQEFIYSAF H257_03390 MSSCARIVPCAALRGRYGAAAASDLRPRPARPTSSRCGSRTGGC FGSVHASTLRPPRARALAAASRPPCASLTEATATRPPGHTPQPVAECFRPWCWCVQNS PLRPKARLRPSCVRVWWPYLVGSCRRACDRRSRPRLARGDTARLRCDHQPMPRGEVSR SCATTTSIAMASDQRRRRCATWSGDTWYG H257_03391 MQHLPTDSFLHVAGFLGVRDLKAISMTCHSFSKLVHHGESTLWK DHFYRRWNRFNFALDLSLPCVMSELLRQQCHTDSASYRFLTHLVQRLPAYADVDHTHT KAGHVPQHRFRVLEHADGFADAPLTIAYDGNVVGGDRCVRSNAPFCTTPHATVLRRRD SHGHEFYRLGVSRNGYFEISIRPCVPPPPMRPTAESSTPTVFHFQQGHHPQNNCVAIG IADRQFNVIRNQPGWRGVSYGYHGDDGHAFHLSFRGWEYGETFGVGDTVGCSFVNNAS VVFTRNGQVAGPPIPCAPSSPMYPIVGVDSPDAIEWNFGHKPFAFDGLALEDTPLDDA DVLWEEESCDEVQDESSIGEGLEYYTFALDDDDDEWDDEFVYHEDEDDLEYDEPSESV AMNQIQLTKYY H257_03391 MQHLPTDSFLHVAGFLGVRDLKAISMTCHSFSKLVHHGESTLWK DHFYRRWNRFNFALDLSLPCVMSELLRQQCHTDSASYRFLTHLVQRLPAYADVDHTHT KAGHVPQHRFRVLEHADGFADAPLTIAYDGNVVGGDRCVRSNAPFCTTPHATVLRRRD SHGHEFYRLGVSRNGYFEISIRPCVPPPPMRPTAESSTPTVFHFQQGHHPQNNCVAIG IADRQFNVIRNQPGWRGVSYGYHGDDGHAFHLSFRGWEYGETFGVGDTVGCSFVNNAS VVFTRNGQVAGPPIPCAPSSPMYPIVGVDSPDAIEWNFGHKPFAFDGLALDTPLDDAD VLWEEESCDEVQDESSIGEGLEYYTFALDDDDDEWDDEFVYHEDEDDLEYDEPSEYED DMEPMEE H257_03391 MQHLPTDSFLHVAGFLGVRDLKAISMTCHSFSKLVHHGESTLWK DHFYRRWNRFNFALDLSLPCVMSELLRQQCHTDSASYRFLTHLVQRLPAYADVDHTHT KAGHVPQHRFRVLEHADGFADAPLTIAYDGNVVGGDRCVRSNAPFCTTPHATVLRRRD SHGHEFYRLGVSRNGYFEISIRPCVPPPPMRPTAESSTPTVFHFQQGHHPQNNCVAIG IADRQFNVIRNQPGWRGVSYGYHGDDGHAFHLSFRGWEYGETFGVGDTVGCSFVNNAS VVFTRNGQVAGPPIPCAPSSPMYPIVGVDSPDAIEWNFGHKPFAFDGLALEDTPLDDA DVLWEEESCDEVQDESSIGEGLEYYTFALDDDDDEWDDEFVYHEDEDDLEYDEPSEYE DDMEPMEE H257_03392 MGSSASSLRYDSAQVSILPQFVPFVKCVTVSQVEALGEKLRATT KTAFCTLEEFQDLMGLGPHLDVYLRYLFGSLKTTPTSTKVHVMDFLAAMAVCTSTSAS VTDKLDLLCTLFTHKTAQCLNECDIAILFLCTINGLKKVTVGLEYTWSATGRSTRDIA SDLTARCCLDMVDGQQVTKPSLSRNEFIAWCLMHKPVEYMLRHFIPGDILNPSTSSLA QASPYYGKLAKQAKLYATLLEEISPSENQRIESLVQATATVKIQAMWKRHVARQVAHD KRAAKRSTLNGAANTIQAYAKKKMNFVALMQRAAVERMALNGALLTFGSGIGVGAETT QQTNGGGRLRGADMVAELKLRGVRSRNVFASSSCTFVDTDQGWMMWGQCLPMLNVETH ETSFVQTIPRHIDLKIDIASVACGRGHCLILDTASMVHSWGWNDHGQTGHGSAGVFCA RNGGQSYKSYYDERTGNVVEYLDWPVKLPYFTGDMEQDALPIRIRQIAAGEFFSMALS TDGTVFSWGEGSDGQLGHGLDCPFEVGYVETRLAHSAFTFVHEPRAVANLAHVQAIAA YGNRSIALTADQRVFEWGDWKRMLGEETEPAFRPVERQGVRGLGVCKVAIGAEHTIAE GASVWLELPQRDSFACFVMMAAHACSIASMKACFTKGATVQVVTLDIPFDDFEDDQSD LPQPVTLSRVDSEGRRSSQSTSATVDSMDSVEMEKAISSLLDQLWVNRAHEFVPTLDR MKAQHPSLQSLQWPVAVKTGTCHYDDIVDELMIDISGR H257_03393 MVCLDVCPPEGYYMELIAGDSVVLEVPTCPTSTCRRLTNRGIWC PLIDVSTQRSPLSSALENQIALVRFTPADLAMKPALLRQDNVVDCITSSLAQKVMALQ DAGAVAVVVGFDILDTAPFVVELPVDEGLYIPVLLLDMPRYHQVQSAVASLNGPLHME ARLFHRGDNTPRLIRAALQHGAIGVLLHQRAPTGPVSAYEGDVSTGDACIYPHPFDTD DAPVVGMVSHQHGHVLRLATHLDETNHMVVTARFHVKDRGQFYAWGCAANGRLGCTTQ LTDGYDARTDTAYQCATLPVVVPALCGRDIADVVCGSAHSMARTTSGRVFTWGRGSAG QLGHRDTQDKAVPTLVTRLGYEVVVEMAANDICSTVVCESLPTDRYDQRRKEILLLKA AKMNGYD H257_03394 MLREFTKVTIGKVEHANVDKESGGTVPKRANCPPGDHVRCIEVT FKAPPANFSHVTFQNYYAATLRIDQVVQGKCVTILNRFPLMQDAHHEGDAQNWHIIKR DEFGAMLDVARAERFIFYLLQPSPLWDKWELRSIKFFDSTEAPTIAPKAPASAVESSS MHLYRKYTVNTSGVALDSKDVSGHATRFIDLLAMLQAKLAKDVK H257_03395 MTHSWRVAMYTRNSSLSGWVLQLRSRQRPPRRVPMVPATTMMAP NTMAADFSSNNPMPMSSDGPNAPRPEMLNTYAALPMATSINNGLFKISQISTCNDTRG VTRSDLVSLSEDGPATAGVEEGLTSRTQTTLAA H257_03395 MTHSWRVAMYTRNSSLSGWVLQLRSRQRPPRRVPMVPATTMMAP NTMAADFSSNNPMPMSSDGPNAPRPEMLNTYAALPMATSINNGLFKISQISTCNDTRG VTRSDLVSLSEDGPATAGVEEGLTSRTQTTLAA H257_03395 MTHSWRVAMYTRNSSLSGWVLQLRSRQRPPRRVPMVPATTMMAP NTMAADFSSNNPMPMSSDGPNAPRPEMLNTYAALPMATSINNGLFKISQISTCNDTRG VTRSDLVSLSEDGPATAGVEEGLTSRTQTTLAA H257_03395 MTHSWRVAMYTRNSSLSGWVLQLRSRQRPPRRVPMVPATTMMAP NTMAADFSSNNPMPMSSDGPNAPRPEMLNTYAALPMATSINNGLFKISQISTCNDTRG VTRSDLVSLSEDGPATAGVEEGLTSRTQTTLAA H257_03395 MTHSWRVAMYTRNSSLSGWVLQLRSRQRPPRRVPMVPATTMMAP NTMAADFSSNNPMPMSSDGPNAPRPEMLNTYAALPMATSINNGLFKISQISTCNDTRG VTRSDLVSLSEDGPATAGVEEGLTSRTQTTLAA H257_03395 MTHSWRVAMYTRNSSLSGWVLQLRSRQRPPRRVPMVPATTMMAP NTMAADFSSNNPMPMSSDGPNAPRPEMLNTYAALPMATSINNGLFKISQISTCNDTRG VTRSDLVSLSEDGPATAGVEEGLTSRTQTTLAA H257_03395 MTHSWRVAMYTRNSSLSGWVLQLRSRQRPPRRVPMVPATTMMAP NTMAADFSSNNPMPMSSDGPNAPRPEMLNTYAALPMATSINNGLFKISQISTCNDTRG VTRSDLVSLSEDGPATAGVEEGLTSRTQTTLAA H257_03395 MTHSWRVAMYTRNSSLSGWVLQLRSRQRPPRRVPMVPATTMMAP NTMAADFSSNNPMPMSSDGPNAPRPEMLNTYAALPMATSINNGLFKISQISTCNDTRG VTRSDLVSLSEDGPATAGVEEGLTSRTQTTLAA H257_03395 MTHSWRVAMYTRNSSLSGWVLQLRSRQRPPRRVPMVPATTMMAP NTMAADFSSNNPMPMSSDGPNAPRPEMLNTYAALPMATSINNGLFKISQISTCNDTRG VTRSDLVSLSEDGPATAGVEEGLTSRTQTTLAA H257_03395 MTHSWRVAMYTRNSSLSGWVLQLRSRQRPPRRVPMVPATTMMAP NTMAADFSSNNPMPMSSDGPNAPRPEMLNTYAALPMATSINNGLFKISQISTCNDTRG VTRSDLVSLSEDGPATAGVEEGLTSRTQTTLAA H257_03395 MTHSWRVAMYTRNSSLSGWVLQLRSRQRPPRRVPMVPATTMMAP NTMAADFSSNNPMPMSSDGPNAPRPEMLNTYAALPMATSINNGLFKISQISTCNDTRG VTRSDLVSLSEDGPATAGVEEVSRGSMKDSVE H257_03395 MTHSWRVAMYTRNSSLSGWVLQLRSRQRPPRRVPMVPATTMMAP NTMAADFSSNNPMPMSSDGPNAPRPEMLNTYAALPMATSINNGLFKISQISTCNDTRG VTRSDLVSLSEDGPATAGVEEVSRGSMKDSVE H257_03395 MTHSWRVAMYTRNSSLSGWVLQLRSRQRPPRRVPMVPATTMMAP NTMAADFSSNNPMPMSSDGPNAPRPEMLNTYAALPMATSINNGLFKISQISTCNDTRG VTRSDLVSLSEDGPATAGVEEVSRGSMKDSVE H257_03395 MTHSWRVAMYTRNSSLSGWVLQLRSRQRPPRRVPMVPATTMMAP NTMAADFSSNNPMPMSSDGPNAPRPEMLNTYAALPMATSINNGLFKISQISTCNDTRG VTRSDLVSLSEDGPATAGVEEVSRGSMKDSVE H257_03395 MTHSWRVAMYTRNSSLSGWVLQLRSRQRPPRRVPMVPATTMMAP NTMAADFSSNNPMPMSSDGPNAPRPEMLNTYAALPMATSINNGLFKISQISTCNDTRG VTRSDLVSLSEDGPATAGVEEVSRGSMKDSVE H257_03395 MTHSWRVAMYTRNSSLSGWVLQLRSRQRPPRRVPMVPATTMMAP NTMAADFSSNNPMPMSSDGPNAPRPEMLNTYAALPMATSINNGLFKISQISTCNDTRG VTRSDLVSLSEDGPATAGVEEVSRGSMKDSVE H257_03395 MTHSWRVAMYTRNSSLSGWVLQLRSRQRPPRRVPMVPATTMMAP NTMAADFSSNNPMPMSSDGPNAPRPEMLNTYAALPMATSINNGLFKISQISTCNDTRG VTRSDLVSLSEDGPATAGVEEVSRGSMKDSVE H257_03395 MTHSWRVAMYTRNSSLSGWVLQLRSRQRPPRRVPMVPATTMMAP NTMAADFSSNNPMPMSSDGPNAPRPEMLNTYAALPMATSINNGLFKISQISTCNDTRG VTRSDLVSLSEDGPATAGVEEVSRGSMKDSVE H257_03395 MTHSWRVAMYTRNSSLSGWVLQLRSRQRPPRRVPMVPATTMMAP NTMAADFSSNNPMPMSSDGPNAPRPEMLNTYAALPMATSINNGLFKISQISTCNDTRG VTRSDLVSLSEDGPATAGVEEVSRGSMKDSVE H257_03396 MEEDSGAELTSPTTRTELKRRDSIGERIEHSIAEHIIEDTAVDQ DVGAAHEVDAQEDLNTRLQAIDALKVDVDEKLKLAATSSHNVVKVLLVISSLYKHEFI SPEARSVLKDQAIAEVRNEDGILAAAVELFSMDGDMNECVDTFVQVSDMLLAKPLGQF H257_03396 MEEDSGAELTSPTTRTELKRRDSIGERIEHSIAEHIIEDTAVDQ DVGAAHEVDAQEDLNTRLQAIDALKVDVDEKLKLAATSSHNVVKVLLVISSLYKHEFI SPEARSVLKDQAIAEVRNEDGILAAAVELFSMVTLILLPTSLCDHAIGRGHERMCRHI RASE H257_03397 MEEHGRKSLEVENPEKPRVASTDDTSDVEVDEEEEDLGDVDVRG VPTWLKYERQPGWHKMWEFMHKLETPYRVGSKETTHICLMCMDSIAKSGGSWKKALKV VNTTTIGMKHIERKHPQISQEIELARLEKKATKAKGLNKRAALLALARGATSTEAPPK KFKVLSKPLWIPSADIDVNAVKSDIQQKIQSVTTTMTQHTKVQYILLVVIGCLFKHGL ISGNARSALKSQAINEPEGILLAAVELLLVVRSSLDWDLDECVDTFLRVSHTILGSDD AE H257_03397 MEEHGRKSLEVENPEKPRVASTDDTSDVEVDEEEEDLGDVDVRG VPTWLKYERQPGWHKMWEFMHKLETPYRVGSKETTHICLMCMDSIAKSGGSWKKALKV VNTTTIGMKHIERKHPQISQEIELARLEKKATKAKGLNKRAALLALARGATSTEAPPK KFKVLSKPLWIPSADIDVNAVKSDIQQKIQSVTTTMTQHTKVQYILLVVIGCLFKHGL ISGNARSALKSQAINEPEGILLAAVELLLVDWDLDECVDTFLRVSHTILGSDDAE H257_03397 MEEHGRKSLEVENPEKPRVASTDDTSDVEVDEEEEDLGDVDVRG VPTWLKYERQPGWHKMWEFMHKLETPYRVGSKETTHICLMCMDSIAKSGGSWKKALKV VNTTTIGMKHIERKHPQISQEIELARLEKKATKAKGLNKRAALLALARGATSTEAPPK KFKADIDVNAVKSDIQQKIQSVTTTMTQHTKVQYILLVVIGCLFKHGLISGNARSALK SQAINEPEGILLAAVELLLVDWDLDECVDTFLRVSHTILGSDDAE H257_03397 MEEHGRKSLEVENPEKPRVASTDDTSDVEVDEEEEDLGDVDVRG VPTWLKYERQPGWHKMWEFMHKLETPYRVGSKETTHICLMCMDSIAKSGGSWKKALKV VNTTTIGMKHIERKHPQISQEIELARLEKKATKAKGLNKRAALLALARGATSTEAPPK KFKVLSKPLWIPSADIDVNAVKSDIQQKIQSVTTTMTQHTKVQYILLVVIGCLFKHGL ISGNARSALKSQAINEPEGILLAAVELLLVVRSSLVRDHARMYPLTLQSRTGTWTSVS THSFA H257_03397 MEEHGRKSLEVENPEKPRVASTDDTSDVEVDEEEEDLGDVDVRG VPTWLKYERQPGWHKMWEFMHKLETPYRVGSKETTHICLMCMDSIAKSGGSWKKALKV VNTTTIGMKHIERKHPQISQEIELARLEKKATKAKGLNKRAALLALARGATSTEAPPK KFKVLSKPLWIPSADIDVNAVKSDIQQKIQSVTTTMTQHTKVQYIVRHILPYWASLHV NVDSTSSWWLSVACSSTG H257_03397 MEEHGRKSLEVENPEKPRVASTDDTSDVEVDEEEEDLGDVDVRG VPTWLKYERQPGWHKMWEFMHKLETPYRVGSKETTHICLMCMDSIAKSGGSWKKALKV VNTTTIGMKHIERKHPQISQEIELARLEKKATKAKGLNKRAALLALARGATSTEAPPK KFKVLSKPLWIPSADIDVNAVKSDIQQKIQSVTTTMTQHTKVQYIVRHILPYWASLHV NVDSTSSWWLSVACSSTG H257_03397 MEEHGRKSLEVENPEKPRVASTDDTSDVEVDEEEEDLGDVDVRG VPTWLKYERQPGWHKMWEFMHKLETPYRVGSKETTHICLMCMDSIAKSGGSWKKALKV VNTTTIGMKHIERKHPQISQEIELARLEKKATKAKGLNKRAALLALARGATSTEAPPK KFKADIDVNAVKSDIQQKIQSVTTTMTQHTKVQYIVRHILPYWASLHVNVDSTSSWWL SVACSSTG H257_03397 MEEHGRKSLEVENPEKPRVASTDDTSDVEVDEEEEDLGDVDVRG VPTWLKYERQPGWHKMWEFMHKLETPYRVGSKETTHICLMCMDSIAKSGGSWKKALKV VNTTTIGMKHIERKHPQISQEIELARLEKKATKAKGLNKRAALLALARGATSTEAPPK KFKVLSKPLWIPSVPVGCHHDHAAIISFLRPPYITRATCRQTLT H257_03397 MEEHGRKSLEVENPEKPRVASTDDTSDVEVDEEEEDLGDVDVRG VPTWLKYERQPGWHKMWEFMHKLETPYRVGSKETTHICLMCMDSIAKSGGSWKKALKV VNTTTIGMKHIERKHPQISQEIELARLEKKATKAKGLNKRAALLALARGATSTEAPPK KFKVLSKPLWIPSVPVGCHHDHAAIISFLRPPYITRATCRQTLT H257_03397 MEEHGRKSLEVENPEKPRVASTDDTSDVEVDEEEEDLGDVDVRG VPTWLKYERQPGWHKMWEFMHKLETPYRVGSKETTHICLMCMDSIAKSGGSWKKALKV VNTTTIGMKHIERKHPQISQEIELARLEKKATKAKGLNKRAALLALARGATSTEAPPK KFKVLSKPLWIPSVPVGCHHDHAAIISFLRPPYITRATCRQTLT H257_03398 MPSSSTGGPTTNSVPPPAANMLGPSSSLYNKSRAMHSHNAHHTH PSAFSHSISASSMVHLGSSSIHALAVSATAQASADFKGDFEKIDQGLSSEDEDVQHEA AKKLRNLLSSERDLLIRQMLDKNWTPRLIKWLRLRERPTLQVEALWALTNIAAGATDN TSVLLQNGVIPTLVSLLDSTNDEVLEQSVWVLGNLAGEGAQTRDLVLSAGALPPLIHN LRKTPPEKLSLLRILTWTLSNLCDGQPRPVFDINVVLPSLSNMLSSADTEVLSHVCWA FSHLCDGPSTHIQAVVDSEVCIRLVELLGHNSWRVTKPALRAIGNIVCAEDDHDYTQH IIECGAVPSLRKLIAHSNREIQKEACWTLSNIAAGTVDQIQCVLDSGCIPSLMGLASS DATDAEVRSEACWVVLNATSCGSDSQIEYLVNEGCIQILGNLLEETSMVMMALEGLER ILQVGELEAKRTDAPNPYASLMASANIETLVSHKSATVAKRATRIWQQHFVTCAICSG AFSKHSNDTFFCAECKCNVCKNCDCTVFHLKYQESLWKEETEKETSEMKAKAASKRNK RQKKRQRTKERKAAMRQQQTGKSKTSSCSSSDDDDDDDSTDDMLHHSPPLTSSMAQLR VRSRLDDMVDANDKLVSYLLETGSIMALAERLDQEDDSLWTVAEEDRPAIIHA H257_03399 MDILDVELKPVADWVNDSKRRACVLCDRKFSTFVRKHHCRACGE VICKNCSSHRRVRVSSAPSTNTTKNPPGSAASATNSGVIPGPPLSFKVSRAMRFCNDC ISPVLVDAASAMMRQSMLSMPPPLSHSASLAPSSQSTDADYNDELTRVDVLKSYMILD TFPSPDFEAICHTAAATFECAVAAISFLDASRQWYKARLGIQPPHVPLDVALCSHLLE RSVLTPLVVLDTRSDPHFRHNPLVTGSANVRFYAAAPIVNSDGYILGSIFVMDCQPRT TPVEPPLTDMLVYLAQNVMDMLEEFRARAVSRLTVIQEASNEPGSTSTTSSSTTHRQL DSRKVPWPHSGSSTRKSTDQELLMQQRPSGGLATPPDSASATEVPWSATSSGKHAAAT AKAIQADTAKCRDKLLRRNNSATDSGGSESGCLGLLCRVTDTQQMLAHQQTFIFERLS LHSARMDRLEASMRSLEAAFSQLARRLPPPPGYATSPASPNSEFITI H257_03400 MVRFTHEQMKKMTRRVISESTECQPEFISYITAMAKSDWDITQC RREYRDISKCITSLKEKGKQKPSLNHLVLRAYNKSSK H257_03401 MRVLTSFLALAAAVVWGAKVPSLCFEKPFEDITSDGVRIVSDDF TFGGHAVVNKHFVRLTSYTAKLRKNGCMTYPFEPCMAISST H257_03402 MDTKEAITTMEGAIKFAVKTMDDFFDPNIDKDRRIPVDLMQDAK GLAFLTVVKAGFIWTGKVGTGLVIARLPDGRWSAPSAIGTVGMGLGFEAGGQIINFMI ILNSDAAVKSFRAKGQLSAGANMEFAAGPYGRAAGANANLSTAGVAPNYTYSHSKGLF GGVGLQGSAIAARADINKSFYGREISPTEILTGAVEQPTAAAPLYEVIERILALPSQG NPLKNLPSMPTMSIPAIPSMLRLGVSADAAPAPAQGADIQAVYHRVITTIETLAGEQF ADDFKVKCKDYGQNKISNEAFTTYMAQAFNAHQRVTLYPDVVKLLQDKPKRQALWDAY LLAKDEVTATSPAPSSESPSLF H257_03403 MKEQLASMQTPLPTTISSHGTFMALAVPKSTRSVFRTLIGLRGL VAVAGVALVVVVVVQASTPTIAVTNEISNAAAMQSLNCLLADAGTSPRCNFPSSAAQS WFAPPRNLHPSIRHDVPIPTNRWWGNLISSPREDTVPEKRVWTNPYAVVLLPQGVIVS FPYGTRYFDGASGNVGGAKSYSHAYSKDVTIGAITSSSQSFQVTSWDDLGVTVRQERA ADQWLETSLVSGMAYVTAFYSKLSPRVSTEHAIVSINEAGLVKRSTVTSTKFVLRLSN GQQWAVYTSSPLTLSVVTDRMLQQTDLFTGTIRVALVRTPQDIAAHDKYNGGPPLATT GSCDIGLLHFALAHHAAILTPDTAAPIATMSRLESATRGAMIPLATIANQPPQWILAE SLVPVELYPRQRPSRERIQHTRLLAHRKADIDAPWTMSVGGSYYFNGKLAQKYASLCL MESDRAVVGADDSLLRRCQAKLTNVLKLFTSNAFTHRLVYDTVALTRPTRTPTLATPS TTTTTTTLGTGSRRRPAAIANVVHPTMPGLAALNQRVSFLIRDVANADAADADFPMFR MFDWFKGHSYSHGVTAAVDGKDLESTSEDVNFYYAMALFGQATGDVRLERLGRLMLSV NVRSVQTYFLMTTSNEIHPPSIKVNHVPGIYFDNKVHYTTWFSDARKSIHMIQMLPVT PVTEYVRTKQFVHEEWTDVLSKLPVVQHDQQHDAALSLLYLNYATVHPDVAMAKLQTV ALDDGLTRAWALYMAASRYV H257_03404 MAYSFEDVPQLGGKVAIVTGASAGIGLVTARELAIKGCHVILAC RSRAKTDAAIATLPDAARNNVEFAELDLMSLQSVRDFVAAFVARNLPLHFLVNNAGIY APSTFALSVDGIESQLATNHVGHVALTLGLLPVLEASAPSRVVILSSIAHTFGVPKDI GVDFEAIHNHPDQYSPLRVYSQSKLANVLFAVELSRRLSAKGVTNVYVNALHPGVVRS DIFRNQPWIVRVVLYPFQRTPEDGAKTVLYVATHADIEANKWHGQYFGPIAKHSEASA LANDEKVAAKCWETTAELIARSAENARDKGTADPATNN H257_03405 MSHETSAASLGLDSLGIHNQATVYHNLPYADIAEHEARFHEGVF TANGTFAVDTGKFTGRSPLDKFVVRQAPSDKHVDWGDVNQPTTLAVFDALYKKATQHY STVDKLYVFDGYCGANENSRLKVRIITELAWQHHFVTNMFLRPEFKDVAAPSAFSADF TIINACNVVDEDWQAHGLHSEVFVVFNIEAKVAVIGGTFYGGEMKKGIFSMMNYLLPL RGIMPMHASANKGLKGDTALFFGLSGTGKTTLSADPKRLLIGDDEHGWDDQGIFNFEG GCYAKTINLSQENEPEIFAAICRDAMLENVWLDENGVPDYYNVTKTENGRVSYPLYHI VNHDPSAQGGHPKVVIFLTCDAYGVLPPVAKLTPGQAQFHFLSGYTAKVAGTERGITE PVATFSACFGAAFMTQHPIVYADLLKSKIEAHDTNVYLVNTGWTGGAYGVGKRMSLKY TRKCIDAILDGSIEEAVFVEDPIFHVNVPTTVTDVPSDLLKPELAWADKKAYAATAQK LALAFQQNFKQYVLPNHTDYSSYGPTLASN H257_03406 MLSFSGIPPRTSSGKTSDEQLFHAVDEALHEVRRLHGSISWVFV GFPAATANGHDTALAVLKQGTGPVSDERNWPQVLFDSSSLCYGLCRLEADDASPTSPR TPRTPKTSTSNMFSFNLTPYVVSLCWKGNTLPFALRTKHTEYHKRIQEHLAGMAHIHL KSSDDLSDESMKLHCPFTKRRSVSKRYGPAVKSVEISPEVQQAYSDIRKDTMPFNWMV TGFEQTIEDEPTKLILLETGMGGYNALSSLGGINSIIQSGGGVKYIYLRIDVPLQQGP VSKYILVTFHHMAASPPLREDDDSNPITSPSSFAPESKIVSATNAYSFASEIYKFFPH HIHFFASSTADISEDVVRDRVRRSVDSDRLFLRVVCILPTGEAQPAICIETPREATLD ELKHTIEAVVGLPTHRQRLVWFHAKDDGDKNVFHESQSMSQATPLVLNGARLQQDIGL THGDKIHMDDMNSGPDSILAKLVEQLNAGSEVMSLPAERRHEVEYNVQAREKELKSHM SATADLIAQSSDPTNVKTKALQVHAEKLGSQQRYLDIPYESIRLLEGKENELGCGKCA TVYRGMWIVDHNKVAEVAVKVFRYARLTDKIMDDYTQEVAMLRQLKHPNIVLFIGACI QPKLMILTEYCARRSLYCVIHTHAMFATMPWKYKVRMMLDAARGVAYLHSVRIIHRDI KSHNLLVDDDWRVKVADFGISKVLDVDSQAFTQCGTSGWVAPEVLLDEDVGYTFKADN WSFGIVMWEMIAGTAENPFLGMAPVKFYNQALNGVRPLIADDVDPAYASLVRDCWHNE AALRPTFSTIVARLEKILVDLGMDTGPPPTFSGGYHSSLS H257_03406 MHMTCPHVCSDESMKLHCPFTKRRSVSKRYGPAVKSVEISPEVQ QAYSDIRKDTMPFNWMVTGFEQTIEDEPTKLILLETGMGGYNALSSLGGINSIIQSGG GVKYIYLRIDVPLQQGPVSKYILVTFHHMAASPPLREDDDSNPITSPSSFAPESKIVS ATNAYSFASEIYKFFPHHIHFFASSTADISEDVVRDRVRRSVDSDRLFLRVVCILPTG EAQPAICIETPREATLDELKHTIEAVVGLPTHRQRLVWFHAKDDGDKNVFHESQSMSQ ATPLVLNGARLQQDIGLTHGDKIHMDDMNSGPDSILAKLVEQLNAGSEVMSLPAERRH EVEYNVQAREKELKSHMSATADLIAQSSDPTNVKTKALQVHAEKLGSQQRYLDIPYES IRLLEGKENELGCGKCATVYRGMWIVDHNKVAEVAVKVFRYARLTDKIMDDYTQEVAM LRQLKHPNIVLFIGACIQPKLMILTEYCARRSLYCVIHTHAMFATMPWKYKVRMMLDA ARGVAYLHSVRIIHRDIKSHNLLVDDDWRVKVADFGISKVLDVDSQAFTQCGTSGWVA PEVLLDEDVGYTFKADNWSFGIVMWEMIAGTAENPFLGMAPVKFYNQALNGVRPLIAD DVDPAYASLVRDCWHNEAALRPTFSTIVARLEKILVDLGMDTGPPPTFSGGYHSSLS H257_03406 MLSFSGIPPRTSSGKTSDEQLFHAVDEALHEVRRLHGSISWVFV GFPAATANGHDTALAVLKQGTGPVSDERNWPQVLFDSSSLCYGLCRLEADDASPTSPR TPRTPKTSTSNMFSFNLTPYVVSLCWKGNTLPFALRTKHTEYHKRIQEHLAGMAHIHL KSSDDLSDESMKLHCPFTKRRSVSKRYGPAVKSVEISPEVQQAYSDIRKDTMPFNWMV TGFEQTIEDEPTKLILLETGMGGYNALSSLGGINSIIQSGGGVKYIYLRIDVPLQQGP VSKYILVTFHHMAASPPLREDDDSNPITSPSSFAPESKIVSATNAYSFASEIYKFFPH HIHFFASSTADISEDVVRDRVRRSVDSDRLFLRVVCILPTGEAQPAICIETPREATLD ELKHTIEAVVGLPTHRQRLVWFHAKDDGDKNVFHESQSMSQATPLVLNGARLQQDIGL THGDKIHMDDMNSGPDSILAKLVEQLNAGSEVMSLPAERRHEVEYNVQAREKELKSHM SATADLIAQSSDPTNVKTKALQVHAEKLGSQQRYLDIPYESIRLLEGKENELGCGKCA TVYRGMWIVDHNKVAEVAVKVFRYARLTDKIMDDYTQEVAMLRQLKHPNIVLFIGACI QPKLMILTEYCARRSLYCVIHTHAMFATMPWKVCDRVLTYAVSYICGVVCVVQGPDDA GRGAGRRLPPFRAHHPSRHQVAQPPRGRRLARQGSRLWHQQSARCGLASVYTVRHERL GGARGTAGRRRWVHVQGRQLELWDRDVGNDRWDR H257_03406 MLSFSGIPPRTSSGKTSDEQLFHAVDEALHEVRRLHGSISWVFV GFPAATANGHDTALAVLKQGTGPVSDERNWPQVLFDSSSLCYGLCRLEADDASPTSPR TPRTPKTSTSNMFSFNLTPYVVSLCWKGNTLPFALRTKHTEYHKRIQEHLAGMAHIHL KSSDDLSDESMKLHCPFTKRRSVSKRYGPAVKSVEISPEVQQAYSDIRKDTMPFNWMV TGFEQTIEDEPTKLILLETGMGGYNALSSLGGINSIIQSGGGVKYIYLRIDVPLQQGP VSKYILVTFHHMAASPPLREDDDSNPITSPSSFAPESKIVSATNAYSFASEIYKFFPH HIHFFASSTADISEDVVRDRVRRSVDSDRLFLRVVCILPTGEAQPAICIETPREATLD ELKHTIEAVVGLPTHRQRLVWFHAKDDGDKNVFHESQSMSQATPLVLNGARLQQDIGL THGDKIHMDDMNSGPDSILAKLVEQLNAGSEVMSLPAERRHEVEYNVQAREKELKSHM SATADLIAQSSDPTNVKTKALQVHAEKLGSQQRYLDIPYESIRLLEGKENELGCGKCA TVYRGMWIVDHNKVAEVAVKVFRYARLTDKIMDDYTQEVAMLRQLKHPNIVLFIGACI QPKLMILTEYCARRSLYCVIHTHAMFATMPWKYKVRMMLDAARGVAYLHSVRIIHRDI KSHNLLVDDDWRVKVADFGISKVLDVDSQAFTQCGTSGWVAPEVLLDEDVGYTFKADN WRCVRVCHWLVTSM H257_03406 MHMTCPHVCSDESMKLHCPFTKRRSVSKRYGPAVKSVEISPEVQ QAYSDIRKDTMPFNWMVTGFEQTIEDEPTKLILLETGMGGYNALSSLGGINSIIQSGG GVKYIYLRIDVPLQQGPVSKYILVTFHHMAASPPLREDDDSNPITSPSSFAPESKIVS ATNAYSFASEIYKFFPHHIHFFASSTADISEDVVRDRVRRSVDSDRLFLRVVCILPTG EAQPAICIETPREATLDELKHTIEAVVGLPTHRQRLVWFHAKDDGDKNVFHESQSMSQ ATPLVLNGARLQQDIGLTHGDKIHMDDMNSGPDSILAKLVEQLNAGSEVMSLPAERRH EVEYNVQAREKELKSHMSATADLIAQSSDPTNVKTKALQVHAEKLGSQQRYLDIPYES IRLLEGKENELGCGKCATVYRGMWIVDHNKVAEVAVKVFRYARLTDKIMDDYTQEVAM LRQLKHPNIVLFIGACIQPKLMILTEYCARRSLYCVIHTHAMFATMPWKYKVRMMLDA ARGVAYLHSVRIIHRDIKSHNLLVDDDWRVKVADFGISKVLDVDSQAFTQCGTSGWVA PEVLLDEDVGYTFKADNWRCVRVCHWLVTSM H257_03406 MLSFSGIPPRTSSGKTSDEQLFHAVDEALHEVRRLHGSISWVFV GFPAATANGHDTALAVLKQGTGPVSDERNWPQVLFDSSSLCYGLCRLEADDASPTSPR TPRTPKTSTSNMFSFNLTPYVVSLCWKGNTLPFALRTKHTEYHKRIQEHLAGMAHIHL KSSDDLSDESMKLHCPFTKRRSVSKRYGPAVKSVEISPEVQQAYSDIRKDTMPFNWMV TGFEQTIEDEPTKLILLETGMGGYNALSSLGGINSIIQSGGGVKYIYLRIDVPLQQGP VSKYILVTFHHMAASPPLREDDDSNPITSPSSFAPESKIVSATNAYSFASEIYKFFPH HIHFFASSTADISEDVVRDRVRRSVDSDRLFLRVVCILPTGEAQPAICIETPREATLD ELKHTIEAVVGLPTHRQRLVWFHAKDDGDKNVFHESQSMSQATPLVLNGARLQQDIGL THGDKIHMDDMNSGPDSILAKLVEQLNAGSEVMSLPAERRHEVEYNVQAREKELKSHM SATADLIAQSSDPTNVKTKALQVHAEKLGSQQRYLDIPYESIRLLEGKENELGCGKCA TVYRGMWIVDHNKVAEVAVKVFRYARLTDKIMDDYTQEVAMLRQLKHPNIVLFIGACI QPKLMILTEYCARRSLYCVIHTHAMFATMPWKVCDRVLTYAVSYICGVVCVVQGPDDA GRGAGRRLPPFRAHHPSRHQVAQPPRGRRLARQGSRLWHQQSARCGLASVYTVRHERL GGARGTAGRRRWVHVQGRQLEVCTSMPLACY H257_03407 MVNFTTAQMREIMDYTKNIRNMSVIAHVDHGKSTLTDSLVSKAG IIAAKNAGDARFTDTRADEQERGITIKSTGISMFFEYNMDAGETLAANQAHAAATAEN KVDGVEVPEVTINENSYLINLIDSPGHVDFSSEVTAALRVTDGALVVVDAIDGVCVQT ETVLRQAIGERVKPVLMVNKVDRALLELQLPPEECYQSFNRAIENVNVTIATYNDAKL GDVQVYPYKGTVAFGSGLHQWGFTLKRFAKLYGAKFGIDESKMMEKLWGDWFFDAEAK KWKRSSENGTLKRAFVQFIMDPICKMFDAIMNDRKAKITKMLQAVGVELKPDEQELTG KPLLKRVMQKWLPAGDAVLEMIVVHLPSPVVAQRYRVETLYDGPLDDECANGIRNCDV NGPLVMYVSKMVPTSNDRARFYAFGRVFSGKISTGQKVRMLGPNYVPGKKTDLWVKNI QRTIIMMGRYVEQVPDIPAGNTCGLVGVDQYLLKSGTITTSETGHTIRTMKFSVSPVV RVAVEPKSAADLPKLVEGMKRLAKSDPMVLCYTEESGEHIIAGAGELHLEICLKDLQE DFMGCAVKISEPVVTYRETVTADSSMQVLSKSPNKHNRLYCSSSALSDELVAEIEEGK EEVGVRYDVKLRARYLADNHGWDVTDARKIWGYGPDGEGANIFVDQTKGVSYLGEIRE SVLGGFNWATKTGVLCDEVVRGLRVNLLDVVLHADAIHRGMGQIMPTARRVVFAAQLV SSPALMEPIFLVDIQCPQDAMGGVYGVLTVRRGHVFSEEQRVGTPMMQMKAYLPVNES FGFTEELRAKTGGKAFPQCSFDHYQIVNGDPQNVGTMAGKLVNSTRVRKGLAPEVPPF DRFYDKL H257_03408 MSMMINVEKSAIVVDVGSRYLKCGMSGERSPRVVLRWEVAEMLL TQPPLSKQAWLQYIGKQLYDVCYKHLRVAPKNRRVVLCEDLLFPRNFREALVDAVVNV LKAKHVRLLPAMTTALYATCHRTALIVDAGWHETRILPVFERHPLLHAYTTTSVGSRA CCAAISNELPLVRSAEDVLERACFVATPSSSDVVAKPANFYAFTRHAFTVPASTRSHV VESLFQGNEHVSIPDAIQECVAKCPVDTRRALLANIVCIGGTSMLPGFVARLASELAP SHGRIASTLFPPHFMTWIGASIYGSTNTALVDPPTSPSSSTDDWMNIAVA H257_03408 MSMRQVAEMLLTQPPLSKQAWLQYIGKQLYDVCYKHLRVAPKNR RVVLCEDLLFPRNFREALVDAVVNVLKAKHVRLLPAMTTALYATCHRTALIVDAGWHE TRILPVFERHPLLHAYTTTSVGSRACCAAISNELPLVRSAEDVLERACFVATPSSSDV VAKPANFYAFTRHAFTVPASTRSHVVESLFQGNEHVSIPDAIQECVAKCPVDTRRALL ANIVCIGGTSMLPGFVARLASELAPSHGRIASTLFPPHFMTWIGASIYGSTNTALVDP PTSPSSSTDDWMNIAVA H257_03409 MENKRETEHEDEAESKMVVYEAEAKRGGDSDADDDDDDAAPGAP SPDIIALREGYNQTYVIQSSSTHLHEVLFRCGNWCYTGKVDLGDSEITLSDLPLVIPA LQKQQGSLQFLCEWKQIPSTSPYAVPLETLYDAFDFIGAELGSKSIEKKLMKAVQENA WVDDEGGATDGKNFFELETGGFDCFDGIDLVASKTFVRGLVLLVIYYRRSFYVVLQEG DGEQPLLDVRFPDLSQHLQGVHLRHYAENFMNMKKLVLWQAMPVELAAKSPPKSFQMQ VKDVAGAGYNQTFLIQRTQPLEGHPESKLREVLFRFGSWCYNGHVDLGPKLELSDIPV VIPMLRRQQSALTFMCEVTKMPATSLFTATMEYIASIVPAIQQELAATEEVLAHMARK GQQDIGRWLDDNSAECFFELSIESSPFLKHVEAIACKVYTGALVLIVLYYDNAFFVHI EDGQDEQPLLDTRFPDVTCQKNGFQVKTYRKGVPGFADLRKVTLWKVQYNNDVGDDDD ERMPETMAIIADKEKHQAKKAASGSDAKATSPGRKVNMPHHIAPLKLANVKAKLQDLG PVDKSALKAPWDESGRPLGQARK H257_03410 MVDYQGQKLAEQLMNAIYVVICIPAWIYGWTQDDFTYPLYACGG ACALATLVVVPNWPFYNRHPVQWRSNLKKSKDD H257_03411 MSAFAPTACRGRVALVTGGGSGIGHEVARQLGLHGAKVVIMGRR QAVLEQAVNSLVADGIDAAFFAGDVRRPEDAQAAVEVAVKTFGTLNVLVNGAAGNFLS TAENLSTNAFRTVLDIDAVGTFNMSTAAFPVLKHNSGVIVNISANLHQHATWYQAHAA AAKAAVDSLTRSLALEWGRFKIRVVGVAPGPTADTPGIAKLSGGMEDLASQVVPLGRM GTKTEIGQVVVFVVSSAGAYVTGDTILADGGHYLHQDPVVPPDMVAEWSRKREKTTSK L H257_03412 MAATTAIDVAAMEAVRAAREFLSSDIAAAKSPTKRPQTAVGPRE RLKVAAATFYRQQHATVDDLATGALSTAVPSSAAPRPCGTIQAWLTGETHLESLHRVQ QILEDDTKVLSTTATADQLVLPIDFTQDDLQPLHAALKDKTGPYLRDLYQCFRSLRGH MASKSTPPIDGLFHASHRFHSQAEVLALLFEGDIPEAGQSSALSDRQLGLMLTHCDTH GNGSVDMHLFLHLVQTVSAPRETLLSHVFDVIAPTATLEKKSNYHHRNSVDGGALLRV FKLIAMVRDVQASRRTTYHLTSVLTWLQSQPAATMTRFAWMQFHRLQSDQFDSDADFV LYLETVWSFLTRPRHTCDEDIKATASYKSILDQRNNALEGEKVCSRRSKLRDILASVI EAMAKATHSLATRAATATSLALPGHVLHAASQSVLEKVAKQLQLVAFVAISPVASCLP EAFVLVGAVELDVSHVGLTALPTSLGLMVHLRTLSASHNQLSTLPASAAHLVHIKHVN LSSNNLSAVPSVMEQWKDVETVDLAANNLVKLPDEAVRHWPKLTSLTVHDNRLVAIPD SLGSHCKALSVLRCHHNCLTSLPLMLSQLVKLTHVTWHHNKLDDLFSTPMVPSSPKML TLHRLQSVTTFSLAHNCLRHVPTSVGLTSLRSLRVCDLSHNDLRDLASLDFSSLVSCV EVDLRRNRLTHLPPSLFCMPKLTHLSVQANVLVALPQELASAPSLETLHAHTNHIAIL PSALNASLRVLDVSHNRIRTVPRTWAASLNHRASRPHGPLILQTLKLDDNPLEASLQQ VVLDQTYTCRTRVALAGAIRKLVDHLQRSTTHRSKADFIAFQRSSANDRKQYSLRVRK GRGKFAAEYVDWAFREEIPCREQQLPRIVSVAEFRRVLAALGAPWTVAEWHCMLHEFQ GTTIEAQGIDVERFVQAVEAVHSAKASASFAEGLFACLADKASPHPHHPHSPPPSPSP STQLTSSPPIAKPPKQAHHPSTPVQRHKGSANKAPTTMTISTPDQPSHPTPPSTTTHK RDPKHQSQSVVDIQLDDQRGRRQVGPSPHTSESTSDRATAVSFRVYCMTSRKSIEIPW TAQIKTTRDLKRAIHSAEGIPVGSQILIVSSGSHRVRLHDDYPLDMPTPASPRMQLLV GESVDAAVPNT H257_03412 MTRFAWMQFHRLQSDQFDSDADFVLYLETVWSFLTRPRHTCDED IKATASYKSILDQRNNALEGEKVCSRRSKLRDILASVIEAMAKATHSLATRAATATSL ALPGHVLHAASQSVLEKVAKQLQLVAFVAISPVASCLPEAFVLVGAVELDVSHVGLTA LPTSLGLMVHLRTLSASHNQLSTLPASAAHLVHIKHVNLSSNNLSAVPSVMEQWKDVE TVDLAANNLVKLPDEAVRHWPKLTSLTVHDNRLVAIPDSLGSHCKALSVLRCHHNCLT SLPLMLSQLVKLTHVTWHHNKLDDLFSTPMVPSSPKMLTLHRLQSVTTFSLAHNCLRH VPTSVGLTSLRSLRVCDLSHNDLRDLASLDFSSLVSCVEVDLRRNRLTHLPPSLFCMP KLTHLSVQANVLVALPQELASAPSLETLHAHTNHIAILPSALNASLRVLDVSHNRIRT VPRTWAASLNHRASRPHGPLILQTLKLDDNPLEASLQQVVLDQTYTCRTRVALAGAIR KLVDHLQRSTTHRSKADFIAFQRSSANDRKQYSLRVRKGRGKFAAEYVDWAFREEIPC REQQLPRIVSVAEFRRVLAALGAPWTVAEWHCMLHEFQGTTIEAQGIDVERFVQAVEA VHSAKASASFAEGLFACLADKASPHPHHPHSPPPSPSPSTQLTSSPPIAKPPKQAHHP STPVQRHKGSANKAPTTMTISTPDQPSHPTPPSTTTHKRDPKHQSQSVVDIQLDDQRG RRQVGPSPHTSESTSDRATAVSFRVYCMTSRKSIEIPWTAQIKTTRDLKRAIHSAEGI PVGSQILIVSSGSHRVRLHDDYPLDMPTPASPRMQLLVGESVDAAVPNT H257_03413 MSKADKGKVEKKGRFTIIDLPSDEPSPLSSFRTGVLPMSPLRGT SSGGNRSFRHIDDDEEDIVAPELPRKTRVKQKGRFTIIDLDPNTPSPERGLRRGFRDD VTISEATSDVTQPPPSSVEPQRTANRPVATDVEEDAPNTTNPTNPPANASTSAPTKAP DFNSTHNPACCMFRSPLMHPQAPPCPPPCMVHFDPSSLCSLPSPASFVAVPVHQYRDH QDMLAALLQQNKDMRMLIQTLQAQQGRILQIAKGMSVEPTTPDDPHR H257_03413 MSKADKGKVEKKGRFTIIDLPSDEPSPLSSFRTGVLPMSPLRGT SSGGNRSFRHIDDDEEDIVAPELPRKTRVKQKGRFTIIDLDPNTPSPERGLRRGFRDD VTISEATSDVTQPPPSSVEPQRTANRPVATDVEEGKKLHPPLRAPSPPSPPAVDLTDA PNTTNPTNPPANASTSAPTKAPDFNSTHNPACCMFRSPLMHPQAPPCPPPCMVHFDPS SLCSLPSPASFVAVPVHQYRDHQDMLAALLQQNKDMRMLIQTLQAQQGRILQIAKGMS VEPTTPDDPHR H257_03413 MSTVSSHFVRENRRVKQKGRFTIIDLDPNTPSPERGLRRGFRDD VTISEATSDVTQPPPSSVEPQRTANRPVATDVEEGKKLHPPLRAPSPPSPPAVDLTDA PNTTNPTNPPANASTSAPTKAPDFNSTHNPACCMFRSPLMHPQAPPCPPPCMVHFDPS SLCSLPSPASFVAVPVHQYRDHQDMLAALLQQNKDMRMLIQTLQAQQGRILQIAKGMS VEPTTPDDPHR H257_03414 MGSTTPWMQLLALIEGGPCSGKPHPDANTSIVGCRFSVVKNPPR FCFVPWRRRPPNATLFLNVGACVISVDTKSGGSIETINAVDVHMEPMGVRRVELLHHA VFPTTTCTIYEFENPVYTVEFTATVHLMQHMVYIRGRKPKFFEARHDSMLMTHMQNTI AYATTMWTLALWNALFPYSHLKETLEDALAALHTDVHLALTHLDATYCQFYAHAAVTD VAYDAACPRHYRASYVALLVAKVKALHTHASQYCKSTATSDAISPSMQ H257_03415 MQQPRGPPRPSLAEPFIDMVADSPTVQDSHRQSQLASSSAAIDL VMVFSLRSGGEVKHPERFTQAKFVKRMLGLCRGKKPVVNELKSVFRTDKCFLDDSGRP FDLSPPLGQDAPIPPTNAAMIAIHLDDDDDDNALAQSELERKMACLQAEYVAYVGSAN DTTETKFCELVVRSMAKRLQLTCGLTVRMFKSKRGDEIIMTVKADEGDLKVEAERTEY RLQTSNKPFDAIHTSKLEAVARDVGDVVMAESKAHLNNIQRHSTTSQIAPEPEMDPLL ISHGKVHHMKLHTALEKWGHNELADGRTTPPVPTVAPSLWQRFLSGLIYISSDPWTYF ALYTPYKSDPKLQPYYRRYLTSSATWTLFRPVDRIRLTNSIINRHLNLDALKATTSLQ DAFALHDTAALDALKTSWALNKAMTSQPIGAIRDYFGEKIALYFVWLELYTKMLVMPA VFGIAIYVLDVFTTAHSRTLKIAFAVAIVVWSTLFTELWKRKSAIYNVAWGTDEFNIK SVPRTQFRGVRRLNPVDNTHQMWVQSTVRARWRIRASFLVVFVMVLIVLVALTGLFYL KHLSANMDSPKWKAWAAVGVSALNSVQITVLNMVYRWVANVLNAWENHRTDVEFENNL ITKVFLFQFCNSFASFFYIAYVKHYVGDPCIDNNCLGELRLQLFILFGMQVVVGNLVE VVLPTATRMLHLYRNASDDPSKPKKSAGDTKRKLQMAQEHCSQEELQAMLLPYEASEA FQDYNEMVIQYGFVTLFVVAFPLTPVMALANNILEIHVDAFKLCTAHRRPFPHRASDI GSWSFFLHAMNSLAVVTNISILLFTYDPNDKVTSDATSSTTKWVTFIVAEQLSVALKH LVAYLVPDEPLELGQLKGRHKHIEANVFLGHHVDDKAVPTNLTEKAESLDLVILDKYD SFPGAVSPSDATSSLSPHQPLPTNLACERP H257_03415 MQQPRGPPRPSLAEPFIDMVADSPTVQDSHRQSQLASSSAAIDL VMVFSLRSGGEVKHPERFTQAKFVKRMLGLCRGKKPVVNELKSVFRTDKCFLDDSGRP FDLSPPLGQDAPIPPTNAAMIAIHLDDDDDDNALAQSELERKMACLQAEYVAYVGSAN DTTETKFCELVVRSMAKRLQLTCGLTVRMFKSKRGDEIIMTVKADEGDLKVEAERTEY RLQTSNKPFDAIHTSKLEAVARDVGDVVMAESKAHLNNIQRHSTTSQIAPEPEMDPLL ISHGKVHHMKLHTALEKWGHNELADGRTTPPVPTVAPSLWQRFLSGLIYISSDPWTYF ALYTPYKSDPKLQPYYRRYLTSSATWTLFRPVDRIRLTNSIINRHLNLDALKATTSLQ DAFALHDTAALDALKTSWALNKAMTSQPIGAIRDYFGEKIALYFVWLELYTKMLVMPA VFGIAIYVLDVFTTAHSRTLKIAFAVAIVVWSTLFTELWKRKSAIYNVAWGTDEFNIK SVPRTQFRGVRRLNPVDNTHQMWVQSTVRARWRIRASFLVVFVMVLIVLVALTGLFYL KHLSANMDSPKWKAWAAVGVSALNSVQITVLNMVYRWVANVLNAWENHRTDVEFENNL ITKVFLFQFCNSFASFFYIAYVKHYVGDPCIDNNCLGELRLQLFILFGMQVVVGNLVE VVLPTATRMLHLYRNASDDPSKPKKSAGDTKRKLQMAQEHCSQEELQAMLLPYEASEA FQDYNEMVIQYGFVTLFVVAFPLTPVMALANNILEIHVDAFKLCTAHRRPFPHRASDI GSWYGLLHMYSYQYGGHTRH H257_03416 MRDPERHLDESPPPMGSPSELSAIASKMMKHPKTLTHAAPDDDS SLHPGHFKRKTNKLPTPVWFGDPSAASAAASASTLAGQLTSEVHAFVAYTNTEVESAQ NSVQDSIARLNATLDKKWPEAACHATCFGSFASGLWLPTSDVDVVVHGIPSTDLTSTQ EVLAALLSTEPWVRHVSVVGTKVMVVKVILKHCGRRMDIAVETADTQHGLAATEIVRA AVMSIPVMRPLVLVLKTFLREKGLNNAFTGGLSSYALVLLCLHYLLHRPHNRGIASSS SSESDDGDNDVDEHDEATWSRSSTSARCAKARSSWTTPSIHTTEACTMSAPARTPWPA W H257_03417 MSDIEYDDDFDADASTSPTKLLVAVEPPAHSLAEHSYGYSTDDF DASVGDDGKPPRDDNAMPAAPQHHDAPSISTAPLPTAHAHTEDSDQNMDTYSTDPSET HMDQPAKTVAPTTVIDMSVDYARSSFDDDHAVQRENLAVYRRGNVFPDHIDAASSPYV PTIDLVVPSASKPPPLEPPMPFALPNHVTAMQSTLESHSQPRPAVDDTLHIIPKQGHP LHEIFDTLANIERAKPPHANHAPNLSTSAPHSTNTRRSKGKRHSNDDLLCHLVMSLAA QPKPRLVDKATVATQTVAPTSNTDIPPVKGTVDPAIAMAFNEQYIQFIQHLAQKGRSV NQLPPREADAATLGMHRVENALHATVCQVFSNPKMIATIRDIVFQKLQHPNSSA H257_03417 MSDIEYDDDFDADASTSPTKLLVAVEPPAHSLAEHSYGYSTDDF DASVGDDGKPPRDDNAMPAAPQHHDAPSISTAPLPTAHAHTEDSDQNMDTYSTDPSET HMDQPAKTVAPTTVIDMSVDYARSSFDDDHAVQRENLAVYRRGNVFPDHIDAASSPYV PTIDLVVPSASKPPPLEPPMPFALPNHVTAMQSTLESHSQPRPAVDDTLHIIPKQGHP LHEIFDTLANIERAKPPHANHAPNLSTSAPHSTNTRRSKGKRHSNDDLLCHLVMSLAA QPKPRLVDKATVATQTVAPTSNTDIPPVKGTVDPAIAMAFNEQYIQFIQHLAQKGRSV NQLPPREADAATLGVPSVFESENDCHDP H257_03417 MSDIEYDDDFDADASTSPTKLLVAVEPPAHSLAEHSYGYSTDDF DASVGDDGKPPRDDNAMPAAPQHHDAPSISTAPLPTAHAHTEDSDQNMDTYSTDPSET HMDQPAKTVAPTTVIDMSVDYARSSFDDDHAVQRENLAVYRRGNVFPDHIDAASSPYV PTIDLVVPSASKPPPLEPPMPFALPNHVTAMQSTLESHSQPRPAVDDTLHIIPKQGHP LHEIFDTLANIERAKPPHANHAPNLSTSAPHSTNTRRSKGKRHSNDDLLCHLVMSLAA QPKPRLVDKATVATQTVAPTSNTDIPPVKGTVDPAIAMAFNEQYIQFIQHLAQVRWTL DV H257_03418 MANTSDHGVAETAFDAIRCKISAVNQGYFHDPFACHFDKKATRR IPLIHRGYYLRHIAVERAVSLFLQAATDHHGDGRPVQIVSLGAGFDTLFFRLAGKTDT GHPPVRMFEVDCESISSQKVALLKASPSTFFGANGQVTATDTTTLAATVRHDNGNTST YVAFACDLGNVTLLARHLAAHGLDPTLPTLVLAECVLAYLTPEASTSLLRWAAEAVAE CMFVAYDPIGLTDSSTFGVQLQQYFDNKGCALRSASIMPSVQGYARLLRHVGWRCIRL GHMNAIYDALTTSTERRRVNTLEPFDELEDWVLTNHHYGVLVASNNMNGPAAATSSLQ AVLAWPSTVAGSSTSYHQSPDSAIVTIRAFEQGDERDVRYLFETGHLPTSSKSVRKLV AKALQSDMANISQVYLGPLSGFWVATVHDSSASTTTSTLPKVVGCVGLKPHHGTVAEL CRLGVASDMRRHGIASKLVDALEQHAFDACGYTSIVLDTLGTMEAAKAFYVHRGYAHA GSATVGNEGLVIETFEKRRGRTVD H257_03419 MLSSHHHGLHSMASATNNQLNLADANDVHRRERDRLRHGVHAQA HFTDDPRRKRSSLHHPQHDDDSMEQSSQHHHQHTIPTALHMDILSAHQQHESTIWRRR FPESSWRSPKLRPPSSSTASNQDDGHKRHHHIGLDL H257_03420 MWLPSKRFTGCSDFLFVLVRFFPRDSPFTPFMVTLKRTLRLSWF WVDLALLFDGEIVLCAVLPPLPRWILIWTFFFFCCVWGCWVLFFFLGWSFLFCCFLGR LFLLCCFLGCSFDWDFFWESINVSLPDTFCALLVFLRRRLR H257_03422 MARFSIQVAPEVKDPPSAKGSTSPMMTGMQRLESVAGFVYVLET VALSVVYVLLLFPTLANQLSWAVVPGGLCNLHLGLQASSTIPLMASSSAVVRGRPWSP HVVQGLHANELNTGLPTHYCWMDFDQHFQMARTAVRLARCAASYTTYAAVHLEAILRN VPFSGILLWWV H257_03423 MSYVRLRNFSLVRSTSRSVTESLILANSGVKPTMSSLLWAAHIS PYGAIDAIWVSLPPSLARVVLHFQAELMRRLQQHPVTLAAMADHALPQSTYIMPKAWA GHDFRGGNPMCETADPTPLVHDAFGFDDMCHHGSRSR H257_03421 MGSSYCIYMDAPVPSSGNAHLTRSCMGCTGGSLDVRRHFHGKHP PQFDVKHIADVVIFDAEQPRDDSRRLVEVVHVLVLPIVHREQVLDRTRELQRHVVPVV LQVSVTLALERVVHDDGHANELPEPDVAPDHDPARVAPKQKVHDVNGTQRSTITECAT GLNTLRAMATTQTIMPRVLLGHDDHHVTQNVHKPRRFPAYQRALRRPARPMARPMEAL RCSGLTPSTQPRCPHTRAHTSLAVARCAPWETAA H257_03424 MPGFQIHIARFDVEPADDIHPCINDAPFAAGGGSPLSAHPRASL VPKPARRNSGFVTSEDYMTWFEYMEAVCGFLYVAASIASGVVYLQVLYPSFANELTWP YFNTSTHLFIGDLCNLGLPTQYCWVDFDKTFEMAHTAARQARCASMYHANAAVHYEAM LRNIPWAKWETSFGGPNGSFTRAIAAVQNAFVDLPSEAVLWRAKGMAQWMLRWHNGWS PGVKEIIEVVDAYGFFNKLIVKSLSYMPQATGSKSTVLNSVITTDMPFARLRNYSLVR GTSRQIPDELILFNSVMVPTLVSQLWETNIGPYGAIDAVWVPVPPSLADIVHSFQGDV LSQLTRNATVLAAVQELGYEWALPTPRAWSGGANVRVDDMCLTSLQLSVEMDAMSALF ALQHDPIFVSKVRQTLTGMVPVQSMSTSLLMELLVDVRDVSVFQFAFHDTSELPLFLT QPLLDPNDHAWSLYGWVMLYDWAMQRREVVRFDGDVDSITLVSKRYDPQPFVPGSLDA SQRPDNFSKFFATYVTVVLSCVGAVMVVYGCITQFRVMGRNLFRFNRVVGSVWAGKII QYERGITAVALLSSSTIELRKTMGLSYFVSTRRSTLELLLVASEATWVAYTLQDVLAA IFTEHSYYAAPVSTAFAWATLIVFETLVPSAPYLNLERTCKTLVVGTQVECRSGQLHF GHPVRLNVLVGMDRPPSHAFLDKTLASDHHSFCFDRVTCIMSGLITVHTAYYQYVFDV KSWSIYREPFQPPEFNGPHAAQIPARERATRSFHSFDRFMAVAGLAYMVISVAASVVY LSTSAADTTNDFWWSHFNASGGYGYLANEFNTSLDNPMYADSTPYNTTSTVFSSSALY ANIVQFEVGNSLAIAIQGLKNMDICDVAQIMTPYCWLDFHQQFPMANSVKRQTRCELE YTSNGAGEALCWDFPPILGDDFMASAHGAEWVQRIQTTLTTVEDEVRYWRAFGLTHYT TQFQNYKTMGLVESFRIRNALGFEYSLPLKYGNASMQFGAQTSMKLYWSFAMDLSVVF NMYGFTTPTLSPSLLASSPHYVYANVSLHETFVQLGVLHAPLGAVYTTLTKVLGPFGS IDARHIPAPLSLRQFYSIASNTISALMTTNADAQLAYNDLALPTQWVPCPLALIGVKR YSGSILCQDLSATSSTTMLSLWTHNGCTSASENLHPTGMSSLIAATSETRSVGRCHLF LDEAANLSQAFYSQQNLSTHAAYARAAQLDIYTLNVSLLQFRHDDGTALVHNLFAPDA AAFWFFSWGLVFEWLLGNRDVVSFEGDEGRLMLMSSTAFSVESLPNPNVILYLMSRYT RNVVVYITVMIAAVAVVTAMYIVGSVGRVEGWNVLEINRVAAVVWAGRPLLFLRSLVA FCLLSKSQLHLTQFGPVGLMTAFEFDQLEWYRVVLTAGEATWFVYVLCDVLIVVTKQH IAAYTTYSGLIVCVIAITLSVYSPVIGTAVVNRVCSSPVLDFKLQCDAGVVSIGSVTR VWELVGISLVTVGACYLFQLIRHLSLPDTRHSVSLLLSCGATYLFTMGNWKYKHGYYL DKASAVITGLVCVQVKRKFYILDIKLWRMFVIDIPPDLQVPKTHPMYDRVRYAFPLLS SATSVNA H257_03425 MGLAQAGYGRRLQDGSLKSSFVEIGETSLKKSKHNCRAQHPDVW LFGGVGRTTGKWFGRLRFHDRMKPTFSAMIGQHIQPGTLIVSDKFGSYVSSDETHTLS NNPLLADKNYGDHWVNHSENFLDPATSAHPDDRGCVGSSHHWISSWIIWKFPEAKKSA KSVTALRQTKQRDHGAIQVALEFGCHYHMKKGHMKKGQAGSGGNSRGDDDRTIH H257_03426 MSQDLWYRYERKNIWSIPEKIEPRSPKNNVPCGAIRAEVPLPRQ PELPLPASPSPAEESLADYLGLGLDDDFEPSSPYYTPAHERMEDVAVLCKQCPLTWTI W H257_03427 MLKRYVAIRTYIRLLGDRNILNLTPTDDQDDEIDALLIVLDECS MLKRYVAIRTYIRLLGDRNILNLTPTDDQDDEIDALLIVLDEYESVTLALQEDATSML DVRNLFDECMLLHPSASKRLTSNSGIRTRGF H257_03428 MASPCKEALVHARRPEASSPAGRWWNAWDRSRSRCHIPYEVVMR RVRLIKASKEVRVQRRGPKPTLAKSCEEDLRHPQLTNRVAQVISSARTSIDEAGVALL FDSMGEAMKEHNFTADRIFNMDETSFASRRKSKDVVALKGSRNVWAKTVPTNFHMSIV ACGSADGMILPPLFFCLVNLSTRTWVPTVRYLVLLSLRPRKETGDMHSISKAAAITIA SNAWTSHILSSNVVSGFRTAGLFPLSLEQMMKRFNLFQKGGVPAAYVHAEWLEQQDAL RRQLLALPAEQAKRVGPKRITVAGRILTLALMQVIDKTKEERAKAAKETKALKAKRAK RKAKRRTCDDDNAAETEEDNEGPPDNEGTQVAKATKPVTAKRAKHKAKRRTCDVDDVD GAATAQDDDEGPPDSERTQDTARDQQDQGPPTALDRVVLQEAAV H257_03429 MPPKRSVATASKQTIEESFRGTSTRRAYDTYQKQFETFLQANKP GLQAWQQWFVADPGAGLVCALKDYNSDMIRLDRKKYSERLTLASAFEKYQSYEQFEAA YSGYTKSYAKLLRERSDQERSDSRALRVGAQRPAPQ H257_03430 MSSAPSNALRGKALAELYFTRMSPDSRIWECHYGVHRTQNASGY TNLCSHINTDHPEHATLASTPGANPSHHFESMVPSTVHNVFGWLAWITMSLLPFAFCE NVYAKRYTTLGSMTTNTLWKYIHLVCQVVEAKLRRTLSSKFAHVFDGWTSGSTHYVAV FATFPSDNVICYQRVLLSFAQMNDEESLSADAHLNYIQFVLDYYGNSMDNVVTFVGDN CSTNVALLIGLDAHCTHDKRNSLKFRLYMVQRYVGIRTFIALIDDDVDLDELRLSTRE DREVDMLLAQLENFDTVTLALQRDTMSLSDVRILFDTVMEDYPQVVHYLSPSATIVQQ PNFENGCLRVAPLEAVVAEKHETYAERALKRQRRVPSEDKFLDCRFIIPTSNICERFF SATKRAIGDHRCGSLPKNFESQSFLYANVDMWSMDEVQKIMQANEK H257_03431 MGMVMVAAQVAAWPWQWQFWHRRGGDGKVTALAAWTLLGKRQLD RGGNGGDRGSSIVLGMVNYNVTSRPSILMKAPRTTTVGRPRLESLEV H257_03433 MSRATSKFKVALCQLAVGDKKPVNIQRAKAAVEEAARNGAQVVS LPECWNSPYATSSFPQYAEEIPAGPLVTEADHPSTHLILSLAKKLNIFLVGGSIPERD NGKIYNTCVIAGPDGSLITKHRKVHLFDIDVPGKITFKESDTLTGGSQVTVFDTPWCK FGVGICYDIRFPELAMLMKDAGAKFLMFPGAFNLTTGPAHWELLQRARAVDGQLYVAA TSPARGPEGGYQAWGHSSVVSPWGEVVATTSHDEAIVYADIDIDQVDEMRRNIPTTVQ KRTDLYKLVAN H257_03432 MAKAVTSGGGGGVVQPPSSAPAAMYRPTNKHYQHVVDVVRTSST SFIALTPTNVVADKQPIRSKLAPASMRSQSLPASSAERSKISPKNSFISSMDIEDWFI APTYVCSMHSNKDGLTESYRQALLPKDEVIQVVVKQIKHPSYSYITPLTFVISLHHMT HEFKMDYSEYRKFVRAMVATPVFQAPIRNLYVSKMLEDSFVGAVRRALGSVEYLSKEL AGALNDLVQLPQLAAHPSFLKLCHIDSFRAIYEVLVHGSSDTGTWELPAAHRKDAPTP VPIDDDDDEGDNSSNSTEPTSLDGSHDCLCALFAYATTLFASHIARDAVAPAAPPVDD IPHHLHPQSNDGTTADALPSVEKVLCLDLMQSRSLLVYYTDTLYTLDKSQFNAGHQYQ KCIVSGFGCKVLFHIARVTKTEWTLVDVRRPKTPLLSLRLQKQSGHKPTVAMYRSLVR GQECIGVLHKDRRGYEFQLLGELLTQGKITTSMSIVSALLNHKYRHNILCSVAGGGKP RKEDSVTTNGGASTGSAAASPSLLTTGAISVPQVNMSKQRLHVSDGADVLLHLGLSAS FDILMSARPLFQRSEYIY H257_03434 MMKKLNNQSNPRKRDRGLSVKRTEPMAYSAKRVPLALSTKPAGG AGYLLAKGPDGTRGFYSRSQKLSAKAYEFVPSSY H257_03435 MVWSTTLARRGFSMQVFNRAMKRTQKNNVAALADSKEFEYLREE VAKRLVDRLQDIDRDFPSALDLGAGSGHVFKSLAQDAGLGGITHLVQMEAAERLLLRD ADTDEDKQLVTNLATQRICGDEEFLPFPKHHFDVVLSSCSLHWVNDLPSTFSQVHDIL KPDGAFLGAVLGGDSLMELRSAFILADQERQGGIMPHISPFLNVPDTGNLLQGAGFSL PTVDTDYITVEYPNAFSVMEHLRGMGENHAPFAPSSGHVSRDVLLATAAIYQAMFGNE DGSVPVTFQVIYFIGWSPHQSQQKPKARGSATVSLKDLPTVHHSCQRK H257_03435 MGWAYVAMKRTQKNNVAALADSKEFEYLREEVAKRLVDRLQDID RDFPSALDLGAGSGHVFKSLAQDAGLGGITHLVQMEAAERLLLRDADTDEDKQLVTNL ATQRICGDEEFLPFPKHHFDVVLSSCSLHWVNDLPSTFSQVHDILKPDGAFLGAVLGG DSLMELRSAFILADQERQGGIMPHISPFLNVPDTGNLLQGAGFSLPTVDTDYITVEYP NAFSVMEHLRGMGENHAPFAPSSGHVSRDVLLATAAIYQAMFGNEDGSVPVTFQVIYF IGWSPHQSQQKPKARGSATVSLKDLPTVHHSCQRK H257_03435 MVWSTTLARRGFSMQVFNRAMKRTQKNNVAALADSKEFEYLREE VAKRLVDRLQDIDRDFPSALDLGAGSGHVFKSLAQDAGLGGITHLVQMEAAERLLLRD ADTDEDKQLVTNLATQRICGDEEFLPFPKHHFDVVLSSCSLHWVNDLPSTFSQVHDIL KPDGAFLGAVLGGDSLMELRSAFILADQERQGGIMPHISPFLNVPDTGNLLQGAGFSL PTVDTDYITVEYPNAFSVMEHLRGMGENHAPFAPSSGHVSRDVLLATAAIYQAMFGNE DGSVPVTFQVQTMDEQCYEG H257_03435 MGWAYVAMKRTQKNNVAALADSKEFEYLREEVAKRLVDRLQDID RDFPSALDLGAGSGHVFKSLAQDAGLGGITHLVQMEAAERLLLRDADTDEDKQLVTNL ATQRICGDEEFLPFPKHHFDVVLSSCSLHWVNDLPSTFSQVHDILKPDGAFLGAVLGG DSLMELRSAFILADQERQGGIMPHISPFLNVPDTGNLLQGAGFSLPTVDTDYITVEYP NAFSVMEHLRGMGENHAPFAPSSGHVSRDVLLATAAIYQAMFGNEDGSVPVTFQVQTM DEQCYEG H257_03435 MVWSTTLARRGFSMQVFNRAMKRTQKNNVAALADSKEFEYLREE VAKRLVDRLQDIDRDFPSALDLGAGSGHVFKSLAQDAGLGGITHLVQMEAAERLLLRD ADTDEDKQLVTNLATQRICGDEEFLPFPKHHFDVVLSSCSLHWVNDLPSTFSQVHDIL KPDGAFLGAVLGGDSLMELRSAFILADQERQGGIMPHISPFLNVPDTGNLLQGAGFSL PTGICR H257_03435 MVWSTTLARRGFSMQVFNRAMKRTQKNNVAALADSKEFEYLREE VAKRLVDRLQDIDRDFPSALDLGAGSGHVFKSLAQDAGLGGITHLVQMEAAERLLLRD ADTDEDKQLVTNLATQRICGDEEFLPFPKHHFDVVLSSCSLHWVNDLPSTFSQVHDIL KPDGAFLGAVLGGDSLMELRSAFILADQERQGGIMPHISPFLNVPDTGNLLQGAGFSL PTGICR H257_03436 MVQSTGGLSTFNIQHGFVEALVRGYRSGFLDDVDYHHLTQCETL EDIKLNLQETDYDQFLQDVSAGVTPTVIQAATTKKFADEFVFLRSQAMEPLGEFLDYI TYEYMIENLMLLLKGTINGRDVNELIAQLHPLGKFEDSIMRSICTFENSSKGYSDLYE CVLIDTPIGKYFQQFLAENAAENRLGEASQVRNILEEVQMEIIKNSMLKLWLEDFYTF CQELGSETAIIMGVILRARADRIAINITLNSFGTPLNEPSLRISDRKQLYPSIGELYP AGTEALSEVGDEASLGLVLEPHQIYRKIWEIHQSEGVDNKSIDDAFYERDVMMCELAF QSQMHFGCFYAFVKLKEQEVRNLVWISECIVQNQRDAIHNFIPIFSQNAPWRGLAKGP GQH H257_03437 MCRDQQDEITKLKLHISQLENLLHTNDDGDSSTSNDENVSSSNV LPTPTYSERRRAGSITKQQHDHIPGDTNKWRERATAERQSIEMAMDLVVQQKRELRAQ THTLKAEKERWRHEHMTGQSSVILKEMKRILDRNTATLSQNTRQVRSVEERLHARLEK LNQIEHMRTSCACATSVSWTRPHMARRLVCSGRSPLDPHPFSEADSSIESDYSLASLD DSSIGEALHRFHNDLHYDDGCRLRPCVETFPCRDDDDTMEFAFPAFARDVRHVNSRHG EQRFWSHGATPLTASSALPRGGLREQLQCASIYDKQIAKWVHDRRRVQQAAMQHAKYL TSLCHEMHEYSKMYDHPSRTRHVPSDDDNTIMDGFP H257_03437 MCRDQQDEITKLKLHISQLENLLHTNDDGDSSTSNDENVSSSNV LPTPTYSERRRAGSITKQQHDHIPGDTNKWRERATAERQSIEMAMDLVVQQKRELRAQ THTLKAEKERWRHEHMTGQSSVILKEMKRILDRNTATLSQNTRQVRSVEERLHARLEK LNQIEHMLCSGRSPLDPHPFSEADSSIESDYSLASLDDSSIGEALHRFHNDLHYDDGC RLRPCVETFPCRDDDDTMEFAFPAFARDVRHVNSRHGEQRFWSHGATPLTASSALPRG GLREQLQCASIYDKQIAKWVHDRRRVQQAAMQHAKYLTSLCHEMHEYSKMYDHPSRTR HVPSDDDNTIMDGFP H257_03437 MCRDQQDEITKLKLHISQLENLLHTNDDGDSSTSNDENVSSSNV LPTPTYSERRRAGSITKQQHDHIPGDTNKWRERATAERQSIEMAMDLVVQQKRELRAQ THTLKAEKERWRHEHMTGQSSVILKEMKRILDRNTATLSQNTRQVRSVEERLHARLEK LNQIEHMRTSCACATSVSWTRPHMARRLVCSGRSPLDPHPFSEADSSIESDYSLASLD DSSIGEALHRFHNDLHYDDGCRLRPCVETFPCRDDDDTMEFAFPAFARDVRHVNSRHG EQRFWSHGATPLTASSALPRGGLREQLQCASIYDKQIAKWVHDRRRVQQAAMQHAKYK HATLPVHPYILNF H257_03437 MCRDQQDEITKLKLHISQLENLLHTNDDGDSSTSNDENVSSSNV LPTPTYSERRRAGSITKQQHDHIPGDTNKWRERATAERQSIEMAMDLVVQQKRELRAQ THTLKAEKERWRHEHMTGQSSVILKEMKRILDRNTATLSQNTRQVRSVEERLHARLEK LNQIEHMLCSGRSPLDPHPFSEADSSIESDYSLASLDDSSIGEALHRFHNDLHYDDGC RLRPCVETFPCRDDDDTMEFAFPAFARDVRHVNSRHGEQRFWSHGATPLTASSALPRG GLREQLQCASIYDKQIAKWVHDRRRVQQAAMQHAKYKHATLPVHPYILNF H257_03438 MAEQDENAYEKLSREVHQAQITGAIHQDWLENPCGLLLKPDFKR KMQKMGAGGKKLIQTSLRGLRWHLPGNTYRSRWFVLDGMMLRYFRSKSDDQELGSIHL SSVNAVLPSSIADAPEHALDLVCADRIYTIAATTREDMVRWATVLTLVLRGEYQPKMM QRRESAVIRGSSVIPPHSRLSYIRQPSQIASHTPSANSFHPVLAAFDEEMDDREHEMD EKLRVLSVREKIVTVTFDSPGSLHLLLQSTMDDAIVVKGFHEPAEGGVGLAEATGAIK VGDLLVCIQDHSLTSTTSFDQAVQEIQVASRPLTLRFSRLEVPPTKHQQRVAQGWVLA KEPATTRCRTRLLQLQGSKVLLYKPGMHSGHLDHPCVAISLDDVSDFRVVHDKRKAPG DAKEFGLTLEGKEMMLTFYVQRPAELWHWLDLLKNAPLFDKSAKTYATIPVHPLTIIE QPPKRTTIVLEGDGIMKLGDLSPTFEPRTLQLHAYGKLAVYRGDVRVGTVQCDTIQDI SLSKFQGQWYLELIAVLPASDGHKYRREVVLRFDSEQQGIKWTRAIERVAKQCLGPGE DIDVTIETVDEWTPPGQPLQNDVSDATYASLQAEWSASRHLGELKLEDKAALTQGWFF VKQPGMVGREAYHSRYVVLREHCLYLYKYQHTSPPNDGATSTMCASTIDLSEIQDVVD GNPSLVETMEHTIQLQTASHVVFTLVALTCAQKNAWLALLVWTSDYYCVDDDQPLAAL KSGAAATTPDSDQYLPRLSFESNPPSPVGKAAVWDAPGHVRGWIKLDSLDVYACIVQG VFSCYESEEDMDAEWGDAVHAIPLAHVRRVYADNDDTSLVVTLDDDAAAVRLTCRDKC QVKTWMLAICSCSHLVLSTHGGRLESQPPHEGWIWKLDPLFQVHRKRFFCLKNHELVM STASSAAADHRVLAVVPLDAIVKLFMSKVTTTGKDTPLGDYFQLTLQCDNGADDDDDE AAVHTLSLSFFDESDMKTWATAIFHCCTNATTTTPHGSSASLSSSTTIKPPTSVAVFP QELMKTSRFLESEDRWEPFMPGQARGWLYYRTSSTKQRLRRRYFVQYGSELSIYKHEL LSNEPSAIRYGVLDCRALVDVRFITTQCPENALALEFAATTLVLVAESDAATLAWRAL LLDVKRTFNPTGSRRTDHGGVLISRSSTFQNENEALLRNQIEQSVQFAANMHEWDGSK WVANYFVLTGSRLLVFSLAVHLYDEDPDVLSTYPTTLITSVRSVTDDDGVGDVLHGQS PPLAKTSFVLGFGRDVNSKLILKCETVDLCLQLMRLLCLSNGKLELKQSAATGSWGSV NRIATMSRHSSFLMPPSTSSSAALPTLAHLSLETNGTSKKDGTAAPSGVRQSRRASMQ RRTSELIQRQRMAILQG H257_03438 MAEQDENAYEKLSREVHQAQITGAIHQDWLENPCGLLLKPDFKR KMQKMGAGGKKLIQTSLRGLRWHLPGNTYRSRWFVLDGMMLRYFRSKSDDQELGSIHL SSVNAVLPSSIADAPEHALDLVCADRIYTIAATTREDMVRWATVLTLVLRGEYQPKMM QRRESAVIRGSSVIPPHSRLSYIRQPSQIASHTPSANSFHPVLAAFDEEMDDREHEMD EKLRVLSVREKIVTVTFDSPGSLHLLLQSTMDDAIVVKGFHEPAEGGVGLAEATGAIK VGDLLVCIQDHSLTSTTSFDQAVQEIQVASRPLTLRFSRLEVPPTKHQQRVAQGWVLA KEPATTRCRTRLLQLQGSKVLLYKPGMHSGHLDHPCVAISLDDVSDFRVVHDKRKAPG DAKEFGLTLEGKEMMLTFYVQRPAELWHWLDLLKNAPLFDKSAKTYATIPVHPLTIIE QPPKRTTIVLEGDGIMKLGDLSPTFEPRTLQLHAYGKLAVYRGDVRVGTVQCDTIQDI SLSKFQGQWYLELIAVLPASDGHKYRREVVLRFDSEQQGIKWTRAIERVAKQCLGPGE DIDVTIETVDEWTPPGQPLQNDVSDATYASLQAEWSASRHLGELKLEDKAALTQGWFF VKQPGMVGREAYHSRYVVLREHCLYLYKYQHTSPPNDGATSTMCASTIDLSEIQDVVD GNPSLVETMEHTIQLQTASHVVFTLVALTCAQKNAWLALLVWTSDYYCVDDDQPLAAL KSGAAATTPDSDQYLPRLSFESNPPSPVGKAAVWDAPGHVRGWIKLDSLDVYACIVQG VFSCYESEEDMDAEWGDAVHAIPLAHVRRVYADNDDTSLVVTLDDDAAAVRLTCRDKC QVKTWMLAICSCSHLVLSTHGGRLESQPPHEGWIWKLDPLFQVHRKRFFCLKNHELVM STASSAAADHRVLAVVPLDAIVKLFMSKVTTTGKDTPLGDYFQLTLQCDNGADDDDDE AAVHTLSLSFFDESDMKTWATAIFHCCTNATTTTPHGSSASLSSSTTIKPPTSVAVFP QELMKTSRFLESEDRWEPFMPGQARGWLYYRTSSTKQRLRRRYFVQYGSELSIYKHEL LSNEPSAIRYGVLDCRALVDVRFITTQCPENALALEFAATTLVLVAESDAATLAWRAL LLDVKRTFNPTGSRRTDHGGVLISRSSTFQNENEALLRNQIEQSVQFAANMHEWDGSK WVANYFVLTGSRLLVFSLAVHLYDEDPDVLSTYPTTLITSVRSVTDDDGVGDVLHGQS PPLAKTSFVLGFGRDVNSKLILKCETVDLCLQLMRLLCLSNGKLELKQSAATGSWGSV NRIATMSRHSSFLMPPSTSSSAALPTLAHLSLETNGTSKKDGTAAPSGVRQSRRASMQ R H257_03438 MAEQDENAYEKLSREVHQAQITGAIHQDWLENPCGLLLKPDFKR KMQKMGAGGKKLIQTSLRGLRWHLPGNTYRSRWFVLDGMMLRYFRSKSDDQELGSIHL SSVNAVLPSSIADAPEHALDLVCADRIYTIAATTREDMVRWATVLTLVLRGEYQPKMM QRRESAVIRGSSVIPPHSRLSYIRQPSQIASHTPSANSFHPVLAAFDEEMDDREHEMD EKLRVLSVREKIVTVTFDSPGSLHLLLQSTMDDAIVVKGFHEPAEGGVGLAEATGAIK VGDLLVCIQDHSLTSTTSFDQAVQEIQVASRPLTLRFSRLEVPPTKHQQRVAQGWVLA KEPATTRCRTRLLQLQGSKVLLYKPGMHSGHLDHPCVAISLDDVSDFRVVHDKRKAPG DAKEFGLTLEGKEMMLTFYVQRPAELWHWLDLLKNAPLFDKSAKTYATIPVHPLTIIE QPPKRTTIVLEGDGIMKLGDLSPTFEPRTLQLHAYGKLAVYRGDVRVGTVQCDTIQDI SLSKFQGQWYLELIAVLPASDGHKYRREVVLRFDSEQQGIKWTRAIERVAKQCLGPGE DIDVTIETVDEWTPPGQPLQNDVSDATYASLQAEWSASRHLGELKLEDKAALTQGWFF VKQPGMVGREAYHSRYVVLREHCLYLYKYQHTSPPNDGATSTMCASTIDLSEIQDVVD GNPSLVETMEHTIQLQTASHVVFTLVALTCAQKNAWLALLVWTSDYYCVDDDQPLAAL KSGAAATTPDSDQYLPRLSFESNPPSPVGKAAVWDAPGHVRGWIKLDSLDVYACIVQG VFSCYESEEDMDAEWGDAVHAIPLAHVRRVYADNDDTSLVVTLDDDAAAVRLTCRDKC QVKTWMLAICSCSHLVLSTHGGRLESQPPHEGWIWKLDPLFQVHRKRFFCLKNHELVM STASSAAADHRVLAVVPLDAIVKLFMSKVTTTGKDTPLGDYFQLTLQCDNGADDDDDE AAVHTLSLSFFDESDMKTWATAIFHCCTNATTTTPHGSSASLSSSTTIKPPTSVAVFP QELMKTSRFLESEDRWEPFMPGQARGWLYYRTSSTKQRLRRRYFVQYGSELSIYKHEL LSNEPSAIRYGVLDCRALVDVRFITTQCPENALALEFAATTLVLVAESDAATLAWRAL LLDVKRTFNPTGSRRTDHGGVLISRSSTFQNENEALLRNQIEQSVQFAANMHEWDGSK WVANYFVLTGSRLLVFSLAVHLYDEDPDVLSTYPTTLITSVRSVTDDDGVGDVLHGQS PPLAKTSFVLGFGRDVNSKLILKCETVDLCLQLMRLLCLSNGKLELKQSAATGSWGRY VFC H257_03438 MAEQDENAYEKLSREVHQAQITGAIHQDWLENPCGLLLKPDFKR KMQKMGAGGKKLIQTSLRGLRWHLPGNTYRSRWFVLDGMMLRYFRSKSDDQELGSIHL SSVNAVLPSSIADAPEHALDLVCADRIYTIAATTREDMVRWATVLTLVLRGEYQPKMM QRRESAVIRGSSVIPPHSRLSYIRQPSQIASHTPSANSFHPVLAAFDEEMDDREHEMD EKLRVLSVREKIVTVTFDSPGSLHLLLQSTMDDAIVVKGFHEPAEGGVGLAEATGAIK VGDLLVCIQDHSLTSTTSFDQAVQEIQVASRPLTLRFSRLEVPPTKHQQRVAQGWVLA KEPATTRCRTRLLQLQGSKVLLYKPGMHSGHLDHPCVAISLDDVSDFRVVHDKRKAPG DAKEFGLTLEGKEMMLTFYVQRPAELWHWLDLLKNAPLFDKSAKTYATIPVHPLTIIE QPPKRTTIVLEGDGIMKLGDLSPTFEPRTLQLHAYGKLAVYRGDVRVGTVQCDTIQDI SLSKFQGQWYLELIAVLPASDGHKYRREVVLRFDSEQQGIKWTRAIERVAKQCLGPGE DIDVTIETVDEWTPPGQPLQNDVSDATYASLQAEWSASRHLGELKLEDKAALTQGWFF VKQPGMVGREAYHSRYVVLREHCLYLYKYQHTSPPNDGATSTMCASTIDLSEIQDVVD GNPSLVETMEHTIQLQTASHVVFTLVALTCAQKNAWLALLVWTSDYYCVDDDQPLAAL KSGAAATTPDSDQYLPRLSFESNPPSPVGKAAVWDAPGHVRGWIKLDSLDVYACIVQG VFSCYESEEDMDAEWGDAVHAIPLAHVRRVYADNDDTSLVVTLDDDAAAVRLTCRDKC QVKTWMLAICSCSHLVLSTHGGRLESQPPHEGWIWKLDPLFQVHRKRFFCLKNHELVM STASSAAADHRVLAVVPLDAIVKLFMSKVTTTGKDTPLGDYFQLTLQCDNGADDDDDE AAVHTLSLSFFDESDMKTWATAIFHCCTNATTTTPHGSSASLSSSTTIKPPTSVAVFP QELMKTSRFLESEDRWEPFMPGQARGWLYYRTSSTKQRLRRRYFVQYGSELSIYKHEL LSNEPSAIRYGVLDCRALVDVRFITTQCPENALALEFAATTLVLVAESDAATLAWRAL LLDVKRTFNPTGSRRTDHGGVLISRSSTFQNENEALLRNQIEQSVQFAANMHEWDGSK WVANYFVLTGSRLLVFSLAVHLYDEDPDVLSTYPTTLITSVRSVTDDDGVGDVLHGQS PPLAKTSFVLGFGRDVNSKLILKCETVGMYDDGYSCGPIVRFYVETEGVMDGWM H257_03438 MAEQDENAYEKLSREVHQAQITGAIHQDWLENPCGLLLKPDFKR KMQKMGAGGKKLIQTSLRGLRWHLPGNTYRSRWFVLDGMMLRYFRSKSDDQELGSIHL SSVNAVLPSSIADAPEHALDLVCADRIYTIAATTREDMVRWATVLTLVLRGEYQPKMM QRRESAVIRGSSVIPPHSRLSYIRQPSQIASHTPSANSFHPVLAAFDEEMDDREHEMD EKLRVLSVREKIVTVTFDSPGSLHLLLQSTMDDAIVVKGFHEPAEGGVGLAEATGAIK VGDLLVCIQDHSLTSTTSFDQAVQEIQVASRPLTLRFSRLEVPPTKHQQRVAQGWVLA KEPATTRCRTRLLQLQGSKVLLYKPGMHSGHLDHPCVAISLDDVSDFRVVHDKRKAPG DAKEFGLTLEGKEMMLTFYVQRPAELWHWLDLLKNAPLFDKSAKTYATIPVHPLTIIE QPPKRTTIVLEGDGIMKLGDLSPTFEPRTLQLHAYGKLAVYRGDVRVGTVQCDTIQDI SLSKFQGQWYLELIAVLPASDGHKYRREVVLRFDSEQQGIKWTRAIERVAKQCLGPGE DIDVTIETVDEWTPPGQPLQNDVSDATYASLQAEWSASRHLGELKLEDKAALTQGWFF VKQPGMVGREAYHSRYVVLREHCLYLYKYQHTSPPNDGATSTMCASTIDLSEIQDVVD GNPSLVETMEHTIQLQTASHVVFTLVALTCAQKNAWLALLVWTSDYYCVDDDQPLAAL KSGAAATTPDSDQYLPRLSFESNPPSPVGKAAVWDAPGHVRGWIKLDSLDVYACIVQG VFSCYESEEDMDAEWGDAVHAIPLAHVRRVYADNDDTSLVVTLDDDAAAVRLTCRDKC QVKTWMLAICSCSHLVLSTHGGRLESQPPHEGWIWKLDPLFQVHRKRFFCLKNHELVM STASSAAADHRVLAVVPLDAIVKLFMSKVTTTGKDTPLGDYFQLTLQCDNGADDDDDE AAVHTLSLSFFDESDMKTWATAIFHCCTNATTTTPHGSSASLSSSTTIKPPTSVAVFP QELMKTSRFLESEDRWEPFMPGQARGWLYYRTSSTKQRLRRRYFVQYGSELSIYKHEL LSNEPSAIRYGVLDCRALVDVRFITTQCPENALALEFAATTLVLVAESDAATLAWRAL LLDVKRTFNPTGSRRTDHGGVLISRSSTFQNENEALLRNQIEQSVQFAANMHEWDGSK WVANYFVLTGSRLLVFSLAVHLYDEDPDVLSTYPTTLITSVRSVTDDDGVGDVLHGQS PPLAKTSFVLGFGRDVNSKLILKCETVGMYDDGYSCGPIVRFYVETEGVMDGWM H257_03438 MAEQDENAYEKLSREVHQAQITGAIHQDWLENPCGLLLKPDFKR KMQKMGAGGKKLIQTSLRGLRWHLPGNTYRSRWFVLDGMMLRYFRSKSDDQELGSIHL SSVNAVLPSSIADAPEHALDLVCADRIYTIAATTREDMVRWATVLTLVLRGEYQPKMM QRRESAVIRGSSVIPPHSRLSYIRQPSQIASHTPSANSFHPVLAAFDEEMDDREHEMD EKLRVLSVREKIVTVTFDSPGSLHLLLQSTMDDAIVVKGFHEPAEGGVGLAEATGAIK VGDLLVCIQDHSLTSTTSFDQAVQEIQVASRPLTLRFSRLEVPPTKHQQRVAQGWVLA KEPATTRCRTRLLQLQGSKVLLYKPGMHSGHLDHPCVAISLDDVSDFRVVHDKRKAPG DAKEFGLTLEGKEMMLTFYVQRPAELWHWLDLLKNAPLFDKSAKTYATIPVHPLTIIE QPPKRTTIVLEGDGIMKLGDLSPTFEPRTLQLHAYGKLAVYRGDVRVGTVQCDTIQDI SLSKFQGQWYLELIAVLPASDGHKYRREVVLRFDSEQQGIKWTRAIERVAKQCLGPGE DIDVTIETVDEWTPPGQPLQNDVSDATYASLQAEWSASRHLGELKLEDKAALTQGWFF VKQPGMVGREAYHSRYVVLREHCLYLYKYQHTSPPNDGATSTMCASTIDLSEIQDVVD GNPSLVETMEHTIQLQTASHVVFTLVALTCAQKNAWLALLVWTSDYYCVDDDQPLAAL KSGAAATTPDSDQYLPRLSFESNPPSPVGKAAVWDAPGHVRGWIKLDSLDVYACIVQG VFSCYESEEDMDAEWGDAVHAIPLAHVRRVYADNDDTSLVVTLDDDAAAVRLTCRDKC QVKTWMLAICSCSHLVLSTHGGRLESQPPHEGWIWKLDPLFQVHRKRFFCLKNHELVM STASSAAADHRVLAVVPLDAIVKLFMSKVTTTGKDTPLGDYFQLTLQCDNGADDDDDE AAVHTLSLSFFDESDMKTWATAIFHCCTNATTTTPHGSSASLSSSTTIKPPTSVAVFP QELMKTSRFLESEDRWEPFMPGQARGWLYYRTSSTKQRLRRRYFVQYGSELSIYKHEL LSNEPSAIRYGVLDCRALVDVRFITTQCPENALALEFAATTLVLVAESDAATLAWRAL LLDVKRTFNPTGSRRTDHGGVLISRSSTFQNENEALLRNQIEQSVQFAANMHEWDGSK WVANYFVLTGSRLLVFSLAVHLYDEDPDVLSTYPTTLITSVRSVTDDDGVGDVLHGQS PPLAKTSFVLGFGRDVNSKLILKCETVGMYDDGYSCGPIVRFYVETEGVMDGWM H257_03438 MAEQDENAYEKLSREVHQAQITGAIHQDWLENPCGLLLKPDFKR KMQKMGAGGKKLIQTSLRGLRWHLPGNTYRSRWFVLDGMMLRYFRSKSDDQELGSIHL SSVNAVLPSSIADAPEHALDLVCADRIYTIAATTREDMVRWATVLTLVLRGEYQPKMM QRRESAVIRGSSVIPPHSRLSYIRQPSQIASHTPSANSFHPVLAAFDEEMDDREHEMD EKLRVLSVREKIVTVTFDSPGSLHLLLQSTMDDAIVVKGFHEPAEGGVGLAEATGAIK VGDLLVCIQDHSLTSTTSFDQAVQEIQVASRPLTLRFSRLEVPPTKHQQRVAQGWVLA KEPATTRCRTRLLQLQGSKVLLYKPGMHSGHLDHPCVAISLDDVSDFRVVHDKRKAPG DAKEFGLTLEGKEMMLTFYVQRPAELWHWLDLLKNAPLFDKSAKTYATIPVHPLTIIE QPPKRTTIVLEGDGIMKLGDLSPTFEPRTLQLHAYGKLAVYRGDVRVGTVQCDTIQDI SLSKFQGQWYLELIAVLPASDGHKYRREVVLRFDSEQQGIKWTRAIERVAKQCLGPGE DIDVTIETVDEWTPPGQPLQNDVSDATYASLQAEWSASRHLGELKLEDKAALTQGWFF VKQPGMVGREAYHSRYVVLREHCLYLYKYQHTSPPNDGATSTMCASTIDLSEIQDVVD GNPSLVETMEHTIQLQTASHVVFTLVALTCAQKNAWLALLVWTSDYYCVDDDQPLAAL KSGAAATTPDSDQYLPRLSFESNPPSPVGKAAVWDAPGHVRGWIKLDSLDVYACIVQG VFSCYESEEDMDAEWGDAVHAIPLAHVRRVYADNDDTSLVVTLDDDAAAVRLTCRDKC QVKTWMLAICSCSHLVLSTHGGRLESQPPHEGWIWKLDPLFQVHRKRFFCLKNHELVM STASSAAADHRVLAVVPLDAIVKLFMSKVTTTGKDTPLGDYFQLTLQCDNGADDDDDE AAVHTLSLSFFDESDMKTWATAIFHCCTNATTTTPHGSSASLSSSTTIKPPTSVAVFP QELMKTSRFLESEDRWEPFMPGQARGWLYYRTSSTKQRLRRRYFVQYGSELSIYKHEL LSNEPSAIRYGVLDCRALVDVRFITTQCPENALALEFAATTLVLVAESDAATLAWRAL LLDVKRTFNPTGSRRTDHGGVLISRSSTFQNENEALLRNQIEQSVQFAANMHEWDGSK WVANYFVLTGSRLLVFSLAVHLYDEDPDVLSTYPTTLITSVRSVTDDDGVGDVLHGQS PPLAKTSFVLGFGRDVNSKLILKCETVGMYDDGYSCGPIVRFYVETEGVMDGWM H257_03438 MAEQDENAYEKLSREVHQAQITGAIHQDWLENPCGLLLKPDFKR KMQKMGAGGKKLIQTSLRGLRWHLPGNTYRSRWFVLDGMMLRYFRSKSDDQELGSIHL SSVNAVLPSSIADAPEHALDLVCADRIYTIAATTREDMVRWATVLTLVLRGEYQPKMM QRRESAVIRGSSVIPPHSRLSYIRQPSQIASHTPSANSFHPVLAAFDEEMDDREHEMD EKLRVLSVREKIVTVTFDSPGSLHLLLQSTMDDAIVVKGFHEPAEGGVGLAEATGAIK VGDLLVCIQDHSLTSTTSFDQAVQEIQVASRPLTLRFSRLEVPPTKHQQRVAQGWVLA KEPATTRCRTRLLQLQGSKVLLYKPGMHSGHLDHPCVAISLDDVSDFRVVHDKRKAPG DAKEFGLTLEGKEMMLTFYVQRPAELWHWLDLLKNAPLFDKSAKTYATIPVHPLTIIE QPPKRTTIVLEGDGIMKLGDLSPTFEPRTLQLHAYGKLAVYRGDVRVGTVQCDTIQDI SLSKFQGQWYLELIAVLPASDGHKYRREVVLRFDSEQQGIKWTRAIERVAKQCLGPGE DIDVTIETVDEWTPPGQPLQNDVSDATYASLQAEWSASRHLGELKLEDKAALTQGWFF VKQPGMVGREAYHSRYVVLREHCLYLYKYQHTSPPNDGATSTMCASTIDLSEIQDVVD GNPSLVETMEHTIQLQTASHVVFTLVALTCAQKNAWLALLVWTSDYYCVDDDQPLAAL KSGAAATTPDSDQYLPRLSFESNPPSPVGKAAVWDAPGHVRGWIKLDSLDVYACIVQG VFSCYESEEDMDAEWGDAVHAIPLAHVRRVYADNDDTSLVVTLDDDAAAVRLTCRDKC QVKTWMLAICSCSHLVLSTHGGRLESQPPHEGWIWKLDPLFQVHRKRFFCLKNHELVM STASSAAADHRVLAVVPLDAIVKLFMSKVTTTGKDTPLGDYFQLTLQCDNGADDDDDE AAVHTLSLSFFDESDMKTWATAIFHCCTNATTTTPHGSSASLSSSTTIKPPTSVAVFP QELMKTSRFLESEDRWEPFMPGQARGWLYYRTSSTKQRLRRRYFVQYGSELSIYKHEL LSNEPSAIRYGVLDCRALVDVRFITTQCPENALALEFAATTLVLVAESDAATLAWRAL LLDVKRTFNPTGSRRTDHGGVLISRSSTFQNENEALLRNQIEQSVQFAANMHEWDGSK WVANVSRSRRNLWSDGG H257_03438 MAEQDENAYEKLSREVHQAQITGAIHQDWLENPCGLLLKPDFKR KMQKMGAGGKKLIQTSLRGLRWHLPGNTYRSRWFVLDGMMLRYFRSKSDDQELGSIHL SSVNAVLPSSIADAPEHALDLVCADRIYTIAATTREDMVRWATVLTLVLRGEYQPKMM QRRESAVIRGSSVIPPHSRLSYIRQPSQIASHTPSANSFHPVLAAFDEEMDDREHEMD EKLRVLSVREKIVTVTFDSPGSLHLLLQSTMDDAIVVKGFHEPAEGGVGLAEATGAIK VGDLLVCIQDHSLTSTTSFDQAVQEIQVASRPLTLRFSRLEVPPTKHQQRVAQGWVLA KEPATTRCRTRLLQLQGSKVLLYKPGMHSGHLDHPCVAISLDDVSDFRVVHDKRKAPG DAKEFGLTLEGKEMMLTFYVQRPAELWHWLDLLKNAPLFDKSAKTYATIPVHPLTIIE QPPKRTTIVLEGDGIMKLGDLSPTFEPRTLQLHAYGKLAVYRGDVRVGTVQCDTIQDI SLSKFQGQWYLELIAVLPASDGHKYRREVVLRFDSEQQGIKWTRAIERVAKQCLGPGE DIDVTIETVDEWTPPGQPLQNDVSDATYASLQAEWSASRHLGELKLEDKAALTQGWFF VKQPGMVGREAYHSRYVVLREHCLYLYKYQHTSPPNDGATSTMCASTIDLSEIQDVVD GNPSLVETMEHTIQLQTASHVVFTLVALTCAQKNAWLALLVWTSDYYCVDDDQPLAAL KSGAAATTPDSDQYLPRLSFESNPPSPVGKAAVWDAPGHVRGWIKLDSLDVYACIVQG VFSCYESEEDMDAEWGDAVHAIPLAHVRRVYADNDDTSLVVTLDDDAAAVRLTCRDKC QVKTWMLAICSCSHLVLSTHGGRLESQPPHEGWIWKLDPLFQVHRKRFFCLKNHELVM STASSAAADHRVLAVVPLDAIVKLFMSKVTTTGKDTPLGDYFQLTLQCDNGADDDDDE AAVHTLSLSFFDESDMKTWATAIFHCCTNATTTTPHGSSASLSSSTTIKPPTSVAVFP QELMKTSRFLESEDRWEPFMPGQARGWLYYRTSSTKQRLRRRYFVQYGSELSIYKHEL LSNEPSAIRYGVLDCRALVDVRFITTQCPENALALEFAATTLVLVAESDAATLAWRAL LLDVKRTFNPTGSRRTDHGGVLISRSSTFQNENEALLRNQIEQSVQFAANMHEWDGSK WVANVSRSRRNLWSDGG H257_03438 MAEQDENAYEKLSREVHQAQITGAIHQDWLENPCGLLLKPDFKR KMQKMGAGGKKLIQTSLRGLRWHLPGNTYRSRWFVLDGMMLRYFRSKSDDQELGSIHL SSVNAVLPSSIADAPEHALDLVCADRIYTIAATTREDMVRWATVLTLVLRGEYQPKMM QRRESAVIRGSSVIPPHSRLSYIRQPSQIASHTPSANSFHPVLAAFDEEMDDREHEMD EKLRVLSVREKIVTVTFDSPGSLHLLLQSTMDDAIVVKGFHEPAEGGVGLAEATGAIK VGDLLVCIQDHSLTSTTSFDQAVQEIQVASRPLTLRFSRLEVPPTKHQQRVAQGWVLA KEPATTRCRTRLLQLQGSKVLLYKPGMHSGHLDHPCVAISLDDVSDFRVVHDKRKAPG DAKEFGLTLEGKEMMLTFYVQRPAELWHWLDLLKNAPLFDKSAKTYATIPVHPLTIIE QPPKRTTIVLEGDGIMKLGDLSPTFEPRTLQLHAYGKLAVYRGDVRVGTVQCDTIQDI SLSKFQGQWYLELIAVLPASDGHKYRREVVLRFDSEQQGIKWTRAIERVAKQCLGPGE DIDVTIETVDEWTPPGQPLQNDVSDATYASLQAEWSASRHLGELKLEDKAALTQGWFF VKQPGMVGREAYHSRYVVLREHCLYLYKYQHTSPPNDGATSTMCASTIDLSEIQDVVD GNPSLVETMEHTIQLQTASHVVFTLVALTCAQKNAWLALLVWTSDYYCVDDDQPLAAL KSGAAATTPDSDQYLPRLSFESNPPSPVGKAAVWDAPGHVRGWIKLDSLDVYACIVQG VFSCYESEEDMDAEWGDAVHAIPLAHVRRVYADNDDTSLVVTLDDDAAAVRLTCRDKC QVKTWMLAICSCSHLVLSTHGGRLESQPPHEGWIWKLDPLFQVHRKRFFCLKNHELVM STASSAAADHRVLAVVPLDAIVKLFMSKVTTTGKDTPLGDYFQLTLQCDNGADDDDDE AAVHTLSLSFFDESDMKTWATAIFHCCTNATTTTPHGSSASLSSSTTIKPPTSVAVFP QELMKTSRFLESEDRWEPFMPGQARGWLYYRTSSTKQRLRRRYFVQYGSELSIYKHEL LSNEPSAIRYGVLDCRALVDVRFITTQCPENALALEFAATTLVLVAESDAATVRNPYV VACKQ H257_03438 MAEQDENAYEKLSREVHQAQITGAIHQDWLENPCGLLLKPDFKR KMQKMGAGGKKLIQTSLRGLRWHLPGNTYRSRWFVLDGMMLRYFRSKSDDQELGSIHL SSVNAVLPSSIADAPEHALDLVCADRIYTIAATTREDMVRWATVLTLVLRGEYQPKMM QRRESAVIRGSSVIPPHSRLSYIRQPSQIASHTPSANSFHPVLAAFDEEMDDREHEMD EKLRVLSVREKIVTVTFDSPGSLHLLLQSTMDDAIVVKGFHEPAEGGVGLAEATGAIK VGDLLVCIQDHSLTSTTSFDQAVQEIQVASRPLTLRFSRLEVPPTKHQQRVAQGWVLA KEPATTRCRTRLLQLQGSKVLLYKPGMHSGHLDHPCVAISLDDVSDFRVVHDKRKAPG DAKEFGLTLEGKEMMLTFYVQRPAELWHWLDLLKNAPLFDKSAKTYATIPVHPLTIIE QPPKRTTIVLEGDGIMKLGDLSPTFEPRTLQLHAYGKLAVYRGDVRVGTVQCDTIQDI SLSKFQGQWYLELIAVLPASDGHKYRREVVLRFDSEQQGIKWTRAIERVAKQCLGPGE DIDVTIETVDEWTPPGQPLQNDVSDATYASLQAEWSASRHLGELKLEDKAALTQGWFF VKQPGMVGREAYHSRYVVLREHCLYLYKYQHTSPPNDGATSTMCASTIDLSEIQDVVD GNPSLVETMEHTIQLQTASHVVFTLVALTCAQKNAWLALLVWTSDYYCVDDDQPLAAL KSGAAATTPDSDQYLPRLSFESNPPSPVGKAAVWDAPGHVRGWIKLDSLDVYACIVQG VFSCYESEEDMDAEWGDAVHAIPLAHVRRVYADNDDTSLVVTLDDDAAAVRLTCRDKC QVKTWMLAICSCSHLVLSTHGGRLESQPPHEGWIWKLDPLFQVHRKRFFCLKNHELVM STASSAAADHRVLAVVPLDAIVKLFMSKVTTTGKDTPLGDYFQLTLQCDNGADDDDDE AAVHTLSLSFFDESDMKTWATAIFHCCTNATTTTPHGSSASLSSSTTIKPPTSVAVFP QELMKTSRFLESEDRWEPFMPGQARGWLYYRTSSTKQRLRRRYFVQYGSELSIYKHEL LSNEPSAIRYGVLDCRALVDVRFITTQCPENALALEFAATTLVLVAESDAATVRNPYV VACKQ H257_03438 MAEQDENAYEKLSREVHQAQITGAIHQDWLENPCGLLLKPDFKR KMQKMGAGGKKLIQTSLRGLRWHLPGNTYRSRWFVLDGMMLRYFRSKSDDQELGSIHL SSVNAVLPSSIADAPEHALDLVCADRIYTIAATTREDMVRWATVLTLVLRGEYQPKMM QRRESAVIRGSSVIPPHSRLSYIRQPSQIASHTPSANSFHPVLAAFDEEMDDREHEMD EKLRVLSVREKIVTVTFDSPGSLHLLLQSTMDDAIVVKGFHEPAEGGVGLAEATGAIK VGDLLVCIQDHSLTSTTSFDQAVQEIQVASRPLTLRFSRLEVPPTKHQQRVAQGWVLA KEPATTRCRTRLLQLQGSKVLLYKPGMHSGHLDHPCVAISLDDVSDFRVVHDKRKAPG DAKEFGLTLEGKEMMLTFYVQRPAELWHWLDLLKNAPLFDKSAKTYATIPVHPLTIIE QPPKRTTIVLEGDGIMKLGDLSPTFEPRTLQLHAYGKLAVYRGDVRVGTVQCDTIQDI SLSKFQGQWYLELIAVLPASDGHKYRREVVLRFDSEQQGIKWTRAIERVAKQCLGPGE DIDVTIETVDEWTPPGQPLQNDVSDATYASLQAEWSASRHLGELKLEDKAALTQGWFF VKQPGMVGREAYHSRYVVLREHCLYLYKYQHTSPPNDGATSTMCASTIDLSEIQDVVD GNPSLVETMEHTIQLQTASHVVFTLVALTCAQKNAWLALLVWTSDYYCVDDDQPLAAL KSGAAATTPDSDQYLPRLSFESNPPSPVGKAAVWDAPGHVRGWIKLDSLDVYACIVQG VFSCYESEEDMDAEWGDAVHAIPLAHVRRVYADNDDTSLVVTLDDDAAAVRLTCRDKC QVKTWMLAICSCSHLVLSTHGGRLESQPPHEGWIWKLDPLFQVHRKRFFCLKNHELVM STASSAAADHRVLAVVPLDAIVKLFMSKVTTTGKDTPLGDYFQLTLQCDNGADDDDDE AAVHTLSLSFFDESDMKTWATAIFHCCTNATTTTPHGSSASLSSSTTIKPPTSVAVFP QELMKTSRFLESEDRWEPFMPGQARGWLYYRTSSTKQRLRRRYFVQYGSELSIYKHEL LSNEPSAIRYGVLDCRALVDVRFITTQCPENALALEFAATTLVLVAESDAATVRNPYV VACKQ H257_03438 MAEQDENAYEKLSREVHQAQITGAIHQDWLENPCGLLLKPDFKR KMQKMGAGGKKLIQTSLRGLRWHLPGNTYRSRWFVLDGMMLRYFRSKSDDQELGSIHL SSVNAVLPSSIADAPEHALDLVCADRIYTIAATTREDMVRWATVLTLVLRGEYQPKMM QRRESAVIRGSSVIPPHSRLSYIRQPSQIASHTPSANSFHPVLAAFDEEMDDREHEMD EKLRVLSVREKIVTVTFDSPGSLHLLLQSTMDDAIVVKGFHEPAEGGVGLAEATGAIK VGDLLVCIQDHSLTSTTSFDQAVQEIQVASRPLTLRFSRLEVPPTKHQQRVAQGWVLA KEPATTRCRTRLLQLQGSKVLLYKPGMHSGHLDHPCVAISLDDVSDFRVVHDKRKAPG DAKEFGLTLEGKEMMLTFYVQRPAELWHWLDLLKNAPLFDKSAKTYATIPVHPLTIIE QPPKRTTIVLEGDGIMKLGDLSPTFEPRTLQLHAYGKLAVYRGDVRVGTVQCDTIQDI SLSKFQGQWYLELIAVLPASDGHKYRREVVLRFDSEQQGIKWTRAIERVAKQCLGPGE DIDVTIETVDEWTPPGQPLQNDVSDATYASLQAEWSASRHLGELKLEDKAALTQGWFF VKQPGMVGREAYHSRYVVLREHCLYLYKYQHTSPPNDGATSTMCASTIDLSEIQDVVD GNPSLVETMEHTIQLQTASHVVFTLVALTCAQKNAWLALLVWTSDYYCVDDDQPLAAL KSGAAATTPDSDQYLPRLSFESNPPSPVGKAAVWDAPGHVRGWIKLDSLDVYACIVQG VFSCYESEEDMDAEWGDAVHAIPLAHVRRVYADNDDTSLVVTLDDDAAAVRLTCRDKC QVKTWMLAICSCSHLVLSTHGGRLESQPPHEGWIWKLDPLFQVHRKRFFCLKNHELVM STASSAAADHRVLAVVPLDAIVKLFMSKVTTTGKDTPLGDYFQLTLQCDNGADDDDDE AAVHTLSLSFFDESDMKTWATAIFHCCTNATTTTPHGSSASLSSSTTIKPPTSVAVFP QELMKTSRFLESEDRWEPFMPGQARGWLYYRTSSTKQRLRRRYFVQYGSELSIYKHEL LSNEPSAIRYGVLDCRALVDVRFITTQCPENALALEFAATTLVLVAESDAATVRNPYV VACKQ H257_03438 MAEQDENAYEKLSREVHQAQITGAIHQDWLENPCGLLLKPDFKR KMQKMGAGGKKLIQTSLRGLRWHLPGNTYRSRWFVLDGMMLRYFRSKSDDQELGSIHL SSVNAVLPSSIADAPEHALDLVCADRIYTIAATTREDMVRWATVLTLVLRGEYQPKMM QRRESAVIRGSSVIPPHSRLSYIRQPSQIASHTPSANSFHPVLAAFDEEMDDREHEMD EKLRVLSVREKIVTVTFDSPGSLHLLLQSTMDDAIVVKGFHEPAEGGVGLAEATGAIK VGDLLVCIQDHSLTSTTSFDQAVQEIQVASRPLTLRFSRLEVPPTKHQQRVAQGWVLA KEPATTRCRTRLLQLQGSKVLLYKPGMHSGHLDHPCVAISLDDVSDFRVVHDKRKAPG DAKEFGLTLEGKEMMLTFYVQRPAELWHWLDLLKNAPLFDKSAKTYATIPVHPLTIIE QPPKRTTIVLEGDGIMKLGDLSPTFEPRTLQLHAYGKLAVYRGDVRVGTVQCDTIQDI SLSKFQGQWYLELIAVLPASDGHKYRREVVLRFDSEQQGIKWTRAIERVAKQCLGPGE DIDVTIETVDEWTPPGQPLQNDVSDATYASLQAEWSASRHLGELKLEDKAALTQGWFF VKQPGMVGREAYHSRYVVLREHCLYLYKYQHTSPPNDGATSTMCASTIDLSEIQDVVD GNPSLVETMEHTIQLQTASHVVFTLVALTCAQKNAWLALLVWTSDYYCVDDDQPLAAL KSGAAATTPDSDQYLPRLSFESNPPSPVGKAAVWDAPGHVRGWIKLDSLDVYACIVQG VFSCYESEEDMDAEWGDAVHAIPLAHVRRVYADNDDTSLVVTLDDDAAAVRLTCRDKC QVKTWMLAICSCSHLVLSTHGGRLESQPPHEGWIWKLDPLFQVHRKRFFCLKNHELVM STASSAAADHRVLAVVPLDAIVKLFMSKVTTTGKDTPLGDYFQLTLQCDNGADDDDDE AAVHTLSLSFFDESDMKTWATAIFHCCTNATTTTPHGSSASLSSSTTIKPPTSVAVFP QELMKTSRFLESEDRWEPFMPGQARGWLYYRTSSTKQRLRRRYFVQYGSELSIYKHEL LSNEPSAIRYGVLDCRALVDVRFITTQCPENALALEFAATTLVLVAESDAATVRNPYV VACKQ H257_03438 MAEQDENAYEKLSREVHQAQITGAIHQDWLENPCGLLLKPDFKR KMQKMGAGGKKLIQTSLRGLRWHLPGNTYRSRWFVLDGMMLRYFRSKSDDQELGSIHL SSVNAVLPSSIADAPEHALDLVCADRIYTIAATTREDMVRWATVLTLVLRGEYQPKMM QRRESAVIRGSSVIPPHSRLSYIRQPSQIASHTPSANSFHPVLAAFDEEMDDREHEMD EKLRVLSVREKIVTVTFDSPGSLHLLLQSTMDDAIVVKGFHEPAEGGVGLAEATGAIK VGDLLVCIQDHSLTSTTSFDQAVQEIQVASRPLTLRFSRLEVPPTKHQQRVAQGWVLA KEPATTRCRTRLLQLQGSKVLLYKPGMHSGHLDHPCVAISLDDVSDFRVVHDKRKAPG DAKEFGLTLEGKEMMLTFYVQRPAELWHWLDLLKNAPLFDKSAKTYATIPVHPLTIIE QPPKRTTIVLEGDGIMKLGDLSPTFEPRTLQLHAYGKLAVYRGDVRVGTVQCDTIQDI SLSKFQGQWYLELIAVLPASDGHKYRREVVLRFDSEQQGIKWTRAIERVAKQCLGPGE DIDVTIETVDEWTPPGQPLQNDVSDATYASLQAEWSASRHLGELKLEDKAALTQGWFF VKQPGMVGREAYHSRYVVLREHCLYLYKYQHTSPPNDGATSTMCASTIDLSEIQDVVD GNPSLVETMEHTIQLQTASHVVFTLVALTCAQKNAWLALLVWTSDYYCVDDDQPLAAL KSGAAATTPDSDQYLPRLSFESNPPSPVGKAAVWDAPGHVRGWIKLDSLDVYACIVQG VFSCYESEEDMDAEWGDAVHAIPLAHVRRVYADNDDTSLVVTLDDDAAAVRLTCRDKC QVKTWMLAICSCSHLVLSTHGGRLESQPPHEGWIWKLDPLFQVHRKRFFCLKNHELVM STASSAAADHRVLAVVPLDAIVKLFMSKVTTTGKDTPLGDYFQLTLQCDNGADDDDDE AAVHTLSLSFFDESDMKTWATAIFHCCTNATTTTPHGSSASLSSSTTIKPPTSVAVFP QELMKTSRFLESEDRWEPFMPGQARGWLYYRTSSTKQRLRRRYFVQYGSELSIYKHEL LSNEPSAIRYGVLDCRALVDVRFITTQCPENALALEFAATTLVLVAESDAATVRNPYV VACKQ H257_03438 MAEQDENAYEKLSREVHQAQITGAIHQDWLENPCGLLLKPDFKR KMQKMGAGGKKLIQTSLRGLRWHLPGNTYRSRWFVLDGMMLRYFRSKSDDQELGSIHL SSVNAVLPSSIADAPEHALDLVCADRIYTIAATTREDMVRWATVLTLVLRGEYQPKMM QRRESAVIRGSSVIPPHSRLSYIRQPSQIASHTPSANSFHPVLAAFDEEMDDREHEMD EKLRVLSVREKIVTVTFDSPGSLHLLLQSTMDDAIVVKGFHEPAEGGVGLAEATGAIK VGDLLVCIQDHSLTSTTSFDQAVQEIQVASRPLTLRFSRLEVPPTKHQQRVAQGWVLA KEPATTRCRTRLLQLQGSKVLLYKPGMHSGHLDHPCVAISLDDVSDFRVVHDKRKAPG DAKEFGLTLEGKEMMLTFYVQRPAELWHWLDLLKNAPLFDKSAKTYATIPVHPLTIIE QPPKRTTIVLEGDGIMKLGDLSPTFEPRTLQLHAYGKLAVYRGDVRVGTVQCDTIQDI SLSKFQGQWYLELIAVLPASDGHKYRREVVLRFDSEQQGIKWTRAIERVAKQCLGPGE DIDVTIETVDEWTPPGQPLQNDVSDATYASLQAEWSASRHLGELKLEDKAALTQGWFF VKQPGMVGREAYHSRYVVLREHCLYLYKYQHTSPPNDGATSTMCASTIDLSEIQDVVD GNPSLVETMEHTIQLQTASHVVFTLVALTCAQKNAWLALLVWTSDYYCVDDDQPLAAL KSGAAATTPDSDQYLPRLSFESNPPSPVGKAAVWDAPGHVRGWIKLDSLDVYACIVQG VFSCYESEEDMDAEWGDAVHAIPLAHVRRVYADNDDTSLVVTLDDDAAAVRLTCRDKC QVKTWMLAICSCSHLVLSTHGGRLESQPPHEGWIWKLDPLFQVHRKRFFCLKNHELVM STASSAAADHRVLAVVPLDAIVKLFMSKVTTTGKDTPLGDYFQLTLQCDNGADDDDDE AAVHTLSLSFFDESDMKTWATAIFHCCTNATTTTPHGSSASLSSSTTIKPPTSVAVFP QELMKTSRFLESEDRWEPFMPGQARGWLYYRTSSTKQRLRRRYFVQYGSELSIYKHEL LSNEPSAIRYGVLDCRALVDVRFITTQCPENALALEFAATTLVLVAESDAATVRNPYV VACKQ H257_03438 MAEQDENAYEKLSREVHQAQITGAIHQDWLENPCGLLLKPDFKR KMQKMGAGGKKLIQTSLRGLRWHLPGNTYRSRWFVLDGMMLRYFRSKSDDQELGSIHL SSVNAVLPSSIADAPEHALDLVCADRIYTIAATTREDMVRWATVLTLVLRGEYQPKMM QRRESAVIRGSSVIPPHSRLSYIRQPSQIASHTPSANSFHPVLAAFDEEMDDREHEMD EKLRVLSVREKIVTVTFDSPGSLHLLLQSTMDDAIVVKGFHEPAEGGVGLAEATGAIK VGDLLVCIQDHSLTSTTSFDQAVQEIQVASRPLTLRFSRLEVPPTKHQQRVAQGWVLA KEPATTRCRTRLLQLQGSKVLLYKPGMHSGHLDHPCVAISLDDVSDFRVVHDKRKAPG DAKEFGLTLEGKEMMLTFYVQRPAELWHWLDLLKNAPLFDKSAKTYATIPVHPLTIIE QPPKRTTIVLEGDGIMKLGDLSPTFEPRTLQLHAYGKLAVYRGDVRVGTVQCDTIQDI SLSKFQGQWYLELIAVLPASDGHKYRREVVLRFDSEQQGIKWTRAIERVAKQCLGPGE DIDVTIETVDEWTPPGQPLQNDVSDATYASLQAEWSASRHLGELKLEDKAALTQGWFF VKQPGMVGREAYHSRYVVLREHCLYLYKYQHTSPPNDGATSTMCASTIDLSEIQDVVD GNPSLVETMEHTIQLQTASHVVFTLVALTCAQKNAWLALLVWTSDYYCVDDDQPLAAL KSGAAATTPDSDQYLPRLSFESNPPSPVGKAAVWDAPGHVRGWIKLDSLDVYACIVQG VFSCYESEEDMDAEWGDAVHAIPLAHVRRVYADNDDTSLVVTLDDDAAAVRLTCRDKC QVKTWMLAICSCSHLVLSTHGGRLESQPPHEGWIWKLDPLFQVHRKRFFCLKNHELVM STASSAAADHRVLAVVPLDAIVKLFMSKVTTTGKDTPLGDYFQLTLQCDNGADDDDDE AAVHTLSLSFFDESDMKTWATAIFHCCTNATTTTPHGSSASLSSSTTIKPPTSVAVFP QELMKTSRFLESEVSAALSL H257_03439 MQPTVVADKAKRYAALKQLYDALQEDHVDLARRYTAVKQKITHI SSENEYLVDELCRYTESDFDSDSDDGNSTKQTFFTADMKVEKVGAIDGALNDTRSAPA VVKVESKDYQGDA H257_03440 MAKLTWTGRSITQLSLHRRRTNIGNLEYGLEHVPFLLLHAYNLF WCYQTSGQPYAIALEELEESGFDIQRILNPPTDEVLAGLAASALSSASAAGDATGADD VQIPPLPNPFLPGIAPLLCLLAVLCLHILMRLMQVWSTRVLTFIKYTPVATLSDATFV KVVPRAYRGKSVIVPLEQHVLSTGEKSAPFFMFQKHKYVGEQSKDDGSICFRKLKAPV TATVATYVNATGVASDAAYNRMLDLYGHNEFSIPQPTFIKMYQEQLVEPLTVFQIFSV LLYMLDEYWQYSLFTLVMILMFEGVTVFSRLKNLQTLRGMGNALRTVYVFRHGAWIEA CTSSLVPGDVVSLKRNLDGDNTVPCDCLLLQGNAVANEATLTGESVPQMKEAIGQKMS ADDLAASLDMKTHHKVHLLFGGTTIMQHDGHTNVTTHAVVPPTPDNGVLVYVLRTGFS ASQGKLVRMIEYSSGKVTGNSWDAVGLAALLVFFALASSGYVLREGWARKGRVTFELI LRCVLIITSVVPAELPMQTAMAVNTALLALVRLSIFCTEPFRISLAGKVDICLFDKTG TITTDQLTAVGVVSNDRSRNDSSAIEPHQPMLRSHIDACLVLAGCHSLVEIDGKMIGD PVEEASLRAIDFTYDPKTKQCSPNAKSDVRGWNGVGDTNNVKVHILHRNHFASKLQRM SVVTKIQIGGTTRLRVLVKGSPEAIAKLSKDVPAWFWPTYEDMARRGMRVLALAFRDV EPNVSENDLAHKSREWAESNLTFAGFAAYQCLVRKDSADILKQLKDSSHTVAMITGDS TLTAVHVAKEVGIITRPALMLSGSDVLFEWKNSADDTPVATYEGQSVATLAKTYDLCM DGAALVRADDVNGGVWKHLELIRVFARMTPELKERVLTSLKSCGHFTLMCGDGGNDVG ALKQAHVGVALLSGFGSANADKTITGTAKPKVKVETVDATLSKDGLLKLHASVLRKKL VALKVEQDHATMNKDQLVELLLVEQAKSQKKLNPFGPLSPEQRKVLQQQQQAEIEVDV KAREARGESFARIKALAAFAQRQKEAATAYQNERKAKGGSQFTQFANNAVMAQYMEDF DEGELPMVKLGDASIASPFTSRAPSIKGCVDIIRQGRCALVTTVQMYQILAVNCLISS YSLSVLYLDKVKYANSQMIALGMMGTVASVTLSRATPLAELSPVRPIASIFHPALFSS LIGQFALHLGVMVYSTNLAKEYTVEGDTRHQSHIKPNSFEPNVMSTVIFLVNGIQTIS VCAVNYKGRPFMKSMTDNPGLLYSLGVSLVGVFLLCTEAMPLFNKVLEIVPMPDPRFA QILTGILTLDVVGAFAWDQLCLVVFAPKIFIASFQSITGRDVKQMVKMLVIALGIIYV VANIDYDEIERQQKLAELAAASTPFVETISS H257_03441 MRRRCHKSYSIGEKRKLLASFEWLGLSSRRFCEIEGIPRATWRD WRKNSKKIKETAINAKRKTLSGQGAKCSIPFRNHLLSLMKDVRRGEHILTSMHMITFM KTYYEDWLTTYTEGKRDGYKSLLKLCQDFARRHNF H257_03442 MHPQQHRFLQRSRMSLRLKLALLRRSPPTSQLTIPNVTFTLDSY SDADCVEKFRFSNATLRYLVVFLRIPHRITTVERTACTGVEALCILLRRFAVPDRCNQ LEALSQAVANKGGEVQNVWAFIDGTVRECCRPDGDERQRTVFNGHKRRHAVKYQTLVT PDGIISHAFGPIEGGRHDLTILRQSKLESGIAADTRFRGFVIYGDPAYGYSDQLASPF GGARLTAALREVNKSMSRVRISVEWSFGQVLQYWPIVDFKKKLRIGNSPIAKMYKVAV LLTNCITCDRGRNTNSAYIGLPPPTLEEYLHVNM H257_03443 MTGNSGRKKTRAADEIKAAVQAVPQHSRQTLRALPANCGIPETT LIVHMKEHGSLKARYSYVKPMLTADNVQVRNSTSIYDDEEAAARSVKSKNFITKVMFL AAVARPRYDPATKEDLDGKIGILPFVEIIAAKRKSINREKGTPMTVPQNVNGGVYKDF MLQKVVPAVLARFPVGDLRRGVRIQQDNASPHRQSRHSFNWTIA H257_03445 MKWCLTDNVEDQVAHKPFVFGIEEEAGRFELGDGGMQAFRVGLS TVELLRKYHAVVTVNPTKTVICHMDTTFSKNVLGYPMYVFGYSDVGGTFHLLCVRITS QRTHTDVAWLLRSLKEKFTSLLNYAWAPTRLMGNADKAQFLGMTNALQPELPLLEYLM CFFLCYDKRQSMTSEEWTSVTFDIYLLHMSTSEADLVNNMDTAHANWEGSRTLRKFRS YFFNTWLAVYSTNHGPRFWKWQVFHSHRGCSYTNNPNEHFNRKLKDAIGRVKKHVPHL FKKWRNWFKKSQLRRHLGYNIQSKPSE H257_03446 MSSQRSNYMRKWLCKIDDGVACDKTRKHSNDGFKTHVVSVHQLE WVRNGRETFGVKIDAPAVEDAPSNEDDAVARRRRRN H257_03447 MLMTPATTRPLDQVPMDDVPADVELCDMADVADFSWHVVLRVSA DEETCIRWCMEVGLLAESMACEGGGHVELGMRFKFWFQGSRIPMTKLVRLLFAWASRK PLGVVIVEEEISTEAGVDWYNYCRELCSSEMLRSPMVWSRSEHEEMVQVVTGADRTKP TLSHLITKHIAPGSHIVSDKFGSYVSSNERHTLVNNPLLANQDYRHDWVNHSENFVNP QNGAHTQSIEGAWEIRIKQFAKAMRGMHRPHLPSYLDEYLWRSWYFPLGPDGIKYFKG LVCAIGKNHS H257_03448 MEEPSESHMRGEVGLLKSKMMCPSCAKDMTLSGTRRDAVVLAAV PSSAAVLLMYAWASRKPVTVAARETEVSKVATIDMFNFCRDMSSNEMLSSATLNPDVW LFGDVGRTTGKRFGRITFHDCMKPTLSAMIGQHIRPDGIACKEAAQDAAVVQWIHGLP DPATNLEHARATVLSDEMGFH H257_03449 MSDKFGSYVSSNERHALETNPRLRGMNYTHAWVNHSENFVNPIN GAHRQSIKGVWEVRIKKYLKAMRGVHRKHHPGHLDEFLWRS H257_03450 MERVRTGRELTESMKMVVVKQLQHSLNKGKLAHGVISTAASHFK LYCSTVARIWKTFLLGDIENKNVIKIVREIPQSQRSTMRNISEATGLSTARFSRSLKA GTLEHCSSRLKPLLTEANQLERIVFCGSHVRREAPDIMLAETIDQIGDLQECPFQEMW DIIHLNEKHLDGKIGMWPIEEQVPAMRNSRNRAAGTMVTTLVNVNTLVYQDFVLNKVV PAIKAKFPSANKQVVLQHDNAKPHRSINSAVFQGVSTDGWTFVVRCQPLNSPYLNVLD LGFFASIQAQQYKSFSIFVDDVIRATMGAFDPLCSNKLEDVYLTLQVVMRLVLHHLGG NQFRLPHLKKEAMRRAGTLMAIVTCPLALLYQADLHLQHHGIPVRQIQE H257_03451 MLHLQLLSTRTNLAFVVWCCLLLVSASATVFRTDHRSRTGLSPW SVAVGRVVLRDGSMCTATLVHPSFAVTAAACVFQRGNRSSLNASNVVGIMFGNASVPI MTDVARVILQRSSFPPPSYNYLLLQLSSPVTTLAPLAVPGDAGCPIIPPQRRAVVNVT CVQFAAPDFVSAPVAQAACPLNVSSSAILDATHGCDTSVRGSIGAPLVTSSSAVCLAA LHVPGPIESAMGNATADYIVAAWTLQNANVALLLTDLRAHLRDLTTSSTMQTSQDNNR ATWVGVYVCMGAVGAVLCGLALLLFRTRYVNVQSLPTPPPACPSRPPPRTFWHS H257_03452 MRFRGQLTAASFPILMEVSQFMHRVSSSDTCFVMLNEERMSFAM KTSGEDMQTFVHLSVAKLFADVVVESRADNNIAFALGIANFSRALQSGKDASGVMLRL LKRDGRSFLSLRARTVDLDIIQNIPIEVVSMATAENYREPNIPPPTVALEMPPLRAFR TITDRLKTMQKYLLIQAGMDGTLRLRVQSDTFVLQTLHTNLRCRPDLVERPSSDDIVD DEASDDNAASSVRVDARHASKMLSVDGNAVLSVLCCLIDQQALVIHAILVDQFGSFTC YVPVVAMH H257_03452 MRFRGQLTAASFPILMEVSQFMHRVSSSDTCFVMLNEERMSFAM KTSGEDMQTFVHLSVAKLFADVVVESRADNNIAFALGIANFSRALQSGKDASGVMLRL LKRDGRSFLSLRARTVDLDIIQNIPIEVVSMATAENYREPNIPPPTVALEMPPLRAFR TITDRLKTMQKYLLVRTIPPRHHCLMHIMHIDPSWDGRHPSTSRAKRYVCVADIAHEL ALSTRFGRASKQ H257_03453 MTDIGGGLLNEVDLSFPDRNDTLLPTDRSKAANPRSFDRKVRVL PKTSAELGSDARPSLHQFFPAWDVQAYARFAEIKLHMVNSQYPEYETTGALPQLNDGH FLVPAGDIILHLQTYYKDLDAPLTDKQRAESIAFRALVQEKLARVLDYCQWVDPITYS EVTRPAVQRVMPFPLNRVVPKLLHVRHTAAFHASTSLLSKEHVYLTARDSYVALNSFL EASDGPYFFGTNPSAVDAVVFGHVVDALSDCQLREVVAIHGPRLVQFASHVRHAYFES AAHAALCTQSTSNAFANLDAAFLAGDFNVVPHAAYIVPFQSLSWSQREVVKSVHVAAD DPNYDPVVTFDKGTRNVLLGGVLAIVLYGLSLLTFEVDDGEDEDGMYDDEDNDQ H257_03454 MNGEGGGSAPHQTLSAIGKALYETSLSMSSDQPSINRQTQQGGS TSTPTTTASGVKRERTDSLKQLLPPPAAQRAKGKGIKPSPIVTTNLDHVPDATPGSAR GGDKSNPRRWSKAEDESLRVAVERSGERNWKAIADQVVGRNHTQCLQRWTKVLKPGLI KGHWTPEEDAKLRALVAEGRKNWGQVAGQIPGRTSKQCRERWCNHLDPNINKGNYTRE EDQVIVDMQAKLGNRWSVIAQQLTGRTEDAVKIRWKSLMRTRRSSRDNAADDDSGNSD ENDDQAAKKPPATPEKPHVMAKARAKPATKGAVPPLSKGGTAPPKPATPTATSVRRHQ PSDTSSQPPSFNSNGLATHHYARPPSADFLHEPPRPPPHHHQPPSFNPYGSLRRETHG TYMQQQQHPPPFHPPSTAVYKTSAAYPRLPFSAPPYPPQYHNPYEYPPPPAHLHHMPP PPPYLLPSQHPTLPSAYRLHAAAAREEWGTSSHAPQLPHDPAVSSEYDLFHQQRLRLM VQERERAGPTLSPAASPGQALLTKELAANSERQKRQAIMQNGWKHAAAASVGDDDDDA SLTQDLHTTPAYIDQDDDDEDHHVTVRYGADDESHLAPPDDTMDDDDLHDDDEGYRST SRVGSSTRTRRHVVVALPPVE H257_03455 MDARGAGDDWDFMARPAECVDDDHNDAIPSLVLGKAMSTPSSPQ TTVAALDDNVFLHASMQLVQQQSETRQRVRNLWYLIQRLRWDGHLDTTAMDILRNDLL QVIKGLSMEKQDGTRICKSGELVHHMSSSGCRQKVWAVLHHDHGRLDLTTVPDTPPSS SSQPSDPFGLSKLSASLAWILDGGNGDAASGAPSQATKSVSVLGATVRSVVTSSSSQL VEIAVPPSAGSPASLVFLEAPSEAMHLEWIDALTSVARSDLLAMQVAVGGAASADMYK AAVAAWSPIRVPLTWQRGVVEKQEKQAGLNRRDSKNLSMLQVFKDIARDKYVVDGVPV ATSDDVVRALMAKVLPFLLQLELPLSPSVQRSSSSSPVARLTRSSEATALSFVERVLR GSARTQSGGDIYDAIALVCANPALVTLCPVSHDVLPVTLTVDTVAHPLQQLHVHMQVC MQFKAMPHFHCVTSPVTSPRAALSSSTPVSPRELLTSPRAEPGGDCAVLTGTLTRTFT FGAAADPGHVAIVTVSA H257_03456 MEVDPSVSAAPVSPTNNSRRHASSVSSMHHHPVPITRSNTLPRR AIDTTATRHGFLRSLTAPISFFFPPSPTSNHAASATIAAEAPPPTNQLHRDDDAYHHD NHDEGGTERSTLLRNINADVDYYNVDAHPPENSIHVGMSATLPTPIASSDQVPLPANG SPATETPVADESEEQLRTELRQLYHRVRYSAPFVVLFVLYISYQHIKGIFICVLGTTS MIALDQRFRGLVAKKDKASALSLAGIVAVCFIDSVALSAFNGDRTPVHTLVKPIADPA DIADILWTVMLNDLLLRLASLSLKAVVALVRLDWCCPTAPPASQYRRKRRLYAAIETS TLMARSLAAGCPWFNYYNAADSKHVAMLFRCVYIGFKCYVCNDHLSQVATAVRAAVTA RLEYGTYVSPTELAEAGSPECSICYDAMHAPVQLACAHMFCEECVAEWFDRERSCPLC RADVDAAPSSSTSTTSPPVPQATTSSSSSTSSIRHKPLYLDGGTSPLPQFL H257_03458 MSKTRKSKSTPYVPPTSAAGSAFTYLLETSPAVQHAASFLETHI PVYARQPSLDNLYDGSIQRLRHFMTKSECQDAIALAKRIGFAHVFHPQTSEYAFRDND RLLLRSPALASQLWERLRPLLPPSLDKAVGCNPAIKFYRYRTGQAFGCHVDESVVDEP TSTESRYTLLVYLNDAADSGLVGGATRFYVSTSSRRASKKSKKEPKECALDVMPETGM VLMHGHGDHCLEHEGARVDGGEKYVLRTDVMFPL H257_03457 MIARRVLRTSISQARRSMSSGAGPAPHSGGNDNSSFFQLLAMAG VIGVSVYIYQDPDVLPEPIRKFLPIKGVEGKNMTLEEYEVWRASQSVPSGAPAITGQK PKEIVAVVATTEPEIDPTEVVSPHATTKDTVESLTQALELARANESTFLAELKATRAP LSDDDKDLLQAFRNEKARIKKQLKALSV H257_03459 MRPPVAIAVPVTPTSDYNNLPQAQLRQNDASNADVAMAIHVGDP PPTTYRVLPALMSGLEQRLVLRKAVIFQLFYFTVSFILFLPPWFPSALAWAFGILGVY ASHMHVDIRRQRATVCFAIFNGVLATCFLSEVVFSLIRVTANAVDLDYAHCMLWMLNV PVLCYASSKAIEYHQELTRRLARGVTVVSSAAPVHPQS H257_03460 MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFG ARMINIDSKQIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLTRWLE EARQNSNSNMAIMLIGNKSDLEHRRAVSYKEGEQFAKENGLIFLETSAKTAANVEDAF IKTAQKIHANIQAGICDVTNEAHGIKVGMTGSATPTGFGGNANNANKGGCC H257_03461 MSNPFEDPSVRMAATSPSGAAKTLAMPQKQPSFNQAGAAHVAPI ATTVNPAQVGGGGGAPPPGTPKTAAENLMEEVGSSIKKTDSAKILKVMQTVNIILAAL TVTAGILSWVAGYVVDFQTFIASSYIITFGLLLLGFELRTATLDVLLRANFGFMYGYK TRTIFLLFIAIWPLSMGTYWVTILDAVLLFLNAFFNYFVVSSHPAFAGEAPPAYDGTR VALGASPIPVKADSQV H257_03462 MVILGGPRTLLRVLPLPRRHSSLTESTPIIMSKSFQEASHDYLL ARQRMNEKVNVCKDIEVKMTEILHQIQDAYTARGDQAKTVGSLSLTLVAAEGEVPTGT VIKVYVEPESIPDFEEIDESSEDKKNQVAWADKDAFPATFAFEAIQQREAVAYVTVGE DSDDATPLKEFTIPIASLFRGDVDAWFNVATGEADQVPKVVEEAVVVAESVETPSSTT PSTTETIFGIPPATDASTTDDAADKEEEAAAADTFHDAVQPPQVEDKQDESAPDAATA AAVETEVETKTDDESSNVVQEVAQAATESEQVAREAKVSDEVVQEAEVEAKSEVQASA EVAVETTVETEATVEGVEDVKEEADVKAAEAADDAEDKAEVDAAEDGTTVPVVEGRVR IQASFELSEIEYLAQQAVALSKAKADMDVEIRLCDQELTSARIRYERLKASQKPTTAS SAAGGLLGGLKTKSVRAPAKPQTLYERTTSALSSTFTPQRRALAWSVTFFVVVSAVFH TNGDDLLI H257_03463 MTKPNLLPRSSDMASETSAEFSWPPLESNPEVFTEYLYQLGLPS DWFVGEVFGLDDDSLGFVPRPVVAVIVTFESLKKETDAVAAAKADFFMKQSPKLDYAC GVIACIHSVLNSLDSITLVENSILEKYYRATKTQSPADRATTLENMTEFQEVHTSYAS LGQSSVPTAEDDVKHHFVTFVQNALGQLIELDGLKKGPVVVNAESSDILKDTAAVIQA RIEAGVYSDSLAVLTLAKVADE H257_03464 MTDSSIDAAVQALIDSSNGALEVTSVENKDGAKVSRIKCTATGH EMPPRADIVTAHVNSKRFKKATEWYSYDFSQFEPYIVSHRRKPKCLFCNVTGIVLNKI PSEVEKHMQGRKFVRMKEHVKFFDEAEIDKDDEDFDANKFEFLNRQLVGSEDENDGND SDDDAPKRKGKTANDGGDDEEDIDAIFYKDHSDDDGDDASIGDDDEEDDSDIAGDDDD DDTAKPTAKFVKKVTKPAPKRKQEVPAPPPKKAAKKAKK H257_03465 MTTPPLDVITDLRVKWNAFSADYTEVFNKSISIQSARELHRHLD LDTATSVLEVGAGAGLGSLDALRYLESSGLGTKYILSDLSPSMLDLAKQNILASKCTI PIDVVEANAQDLKLPDASVDRYISTLVLQLVPDPNAMLRESHRVLGSGGVAGFVIWGQ VEHTAFFRLLAAANDKLGVPSGFTSNYALGRDLPTLRAKLRTAGFSSACVWLYLAVAE KWEAEKYATLAGRLFPVDDEAFHLRRHTVLVELAAAWLATGQPIGMETYLVVAKK H257_03466 MPGTTTKKPVSPSKKTATSPKAASPSKKPASPNKKSAASPKGAA PAKRAAATSPHKGDSSPKKKMKLVGYENFVRHNPKTDRFTMHKFHHIEFYTQDATNVS KRFGWAAGLKLVAKSDQSTGNHAYASYVLQSGDLKIAITAPYSRKNQKDGGHVPPHPG FNVDYAHEFCNKHGLAVRAMAISVDDAALAYELSTKHGAVGVCPPKTIKDAATHTTQV ISSVKLYGDVVLRFVSGDYKGRFQPGYHDVEGPDVGIGLDRLDHAVGNVPNLIEAVQY ACGFTGWHEYAEFTAEDVGTVDSGLNSMVLANNNEMILLPMNEPTFGTKRKSQIQTFL EQNEGAGLQHMALKTNDIFHTLAEMRKRTHLGGFDFMPKPSAAYYKNLPAKIGDVFTA AQYEKIEQLGLLVDKDDQGILLQIFTKPLGDRPTCFFEIIERVGCMEEIGGRLEQAAG CGGFGKGNFSELFKSIEDYERSLDV H257_03467 MSSTAVDDSSIPDLAQDLKLPDASVDRYISMLVLQLVPDPDAML RESHRVLGSGGVAGFVIWGQVEHTAFFRLLAAANDKLGVPSGFTSNYALGRDLPTLRA KLRTAGYSSTCVWPYLAVAEKWEAEKYATLAGRLFPVDDEAFHLRRHAVRGIGGGVAG HRATHWNGNVLGRDQERMIRRFG H257_03468 MDPAPRLVPSYMRATSSSVKRNMPCIHLHPPSASKKGPPPPSLP PTTSNRRRWTARPTIFASQDNTVEWRSIPIQKCPDEGLTPQVSTPSIDGDNQSEPERS RLESAPSRPPRPSDMTSVQVLRLEALGNAQMALIKTLQDDVGNLTKQFALFMAQPCAK SITPAPATSFPHSHHQRVATNEGNTQSKCNQTHEDENQRLAASLDEANTKLSAQDKTM RQLQADNDELQALLRAAGRDLTREEDRVVKLKQHIESLQQTALMPVCNNQHEPSSPTS SPQMGKRTAPLRFG H257_03469 MAAAYYVHETSGGREGPFTLPELQAAFAAGRVHSTTLLSSDDDP AEHVLVDRPSLHAKMPMHGQAIWATPLGPARTSPSKLMPRPPPPLAKRGTSIESSNEA SNSKCHATSLGILGYSPPEPNQTSHAENTSNQELVENDGALAWWANPMDGYTLVRIVE TQPPNLVVRPLYEPAATSSCVVVAAAAVVQLASLPPSSSSVDNLVHLGSSEEAGALHC LKRRFLRHHIYTNVGKLLVSMNPFQKLAAPETLLQQYTQPTHVEMPPHVYQVAAAAFE AALRCATSQSIVISGESGSGKSEVTRLCMQYFSRVTGHDGINLEGMLLACSPILDAFG NATTMHNANSSRFGKLVKLQLNASGKIDGCTVTSYLLEKSRLTRVEGRERNYHIFYQL CANMEHSTAAGEFAFLGQVGATQEDKAAFGVTMAALDALGFTPAEKEGVVAICKGILY LGNVHFHTNELLPQKALQQAAAVLQCLPQTLATRLSVRRLKVRGETMDMPLDKEHASD ARDALVKALYSRLFHWLLQRINATMMKHATSPRSHILLVDIFGFESFDRNGFEQLCIN FANEKLQQLFHTVVFDDALDRYRREGLDVPKFELPYKQLEVLEVLDSKPKGIFTLLDD EVSIPKSSEYSLHSKLVTAHGKASTVFKAGKSTLTFTLVHYALPVTYDCADLLAKNKD HVADDILEFCHQECMSLLGEMAPPSPPTTRGDATISATFRRQLKELLARLKDTSIHFI RCIKPNHDQVRDVFDGPYVLDQLRQMGILQALALHHDGLHPFQESHELFYHSYRVIAP PTESTTGDTPQVIPMAERCRDLIQHFPHPGGFAVGITSVFSSLEMHQWLRRQRIAVEG AAVTILQGVWRRAVWRLACRHVGQSMALLHDVASLDDATLSQHVQHATDLVKRFPTCY LSRRLLLQCHEGQMHLERHRRQHDLLLRIQQPRHDLEAMEADLALCAKWRLEADPHVI AFTAAYHRLQTERQAVVQVTLMLRSKVIHVATVEKALRSPMISAQVAERARAALQETA TESTDVLALADAIANSAVTVSTLQSNFVTWTSTPVDDLVTALQTKRMWSTSLHATLQL CHNLGKLRATLRIMAQHTAMEGLATLKTCIAVAVPTDADTSITSTWHNEVALARHIVD EYDRMHKLLAKAWLCKVPQEGVFFDLCDQMAEVSLKFGISFDPTLVREAQSMLEAIRQ EKLFVSEVESLIAVCPDFAAKSHIHIDRLTHLGNVFELAPLYDKTEIAANVCLLLSQL RRAAKDLFECTSLGTVDAAWSKVQGILSAGHRQFDLLASDKWFRTMSHALEMEQQAAT DLVDFKRMSVRVAKQLQLALASKSYEALVKGLGQVDRHHLHRDYTLRDLVLRAKDAVQ ASKNIRHELRHAIDLKSPDVLEHALSKAMSMQVHSRLVKRAARLLKSLKQLELEFALC QFDIDTARLAEIARRGAKLHLPSPALTRVEGLLQMSRDKFLQEQLKWAIHQNAPAADV LGITLALKHEFFQHCGDIFDMQHFPRLRDPTKCLLLPATISSAERPALTTSLTDLDAA ETKCAVQISRWIHGYVGAQDQNDLYPLRYAHSLVHTGLSSRRLADEIYVQLVQQQGLS AAHVDASWRATKVWGLLQLCVSTFSPSDSCWNYLEYFLRRHDKLPLVTQLHAPKPPAL TSPSLATLYRLLAPTSLRSDGWAKVTRVRHPRRRRKWLVLEGTTLYMFKDDSVKRDAI AAMDVLDIDIQTHAEHGTYSIVLPWRCGSDTWTLYLESLDEHSRWHHALHGAKVAYWH SVMSGR H257_03469 MDMPLDKEHASDARDALVKALYSRLFHWLLQRINATMMKHATSP RSHILLVDIFGFESFDRNGFEQLCINFANEKLQQLFHTVVFDDALDRYRREGLDVPKF ELPYKQLEVLEVLDSKPKGIFTLLDDEVSIPKSSEYSLHSKLVTAHGKASTVFKAGKS TLTFTLVHYALPVTYDCADLLAKNKDHVADDILEFCHQECMSLLGEMAPPSPPTTRGD ATISATFRRQLKELLARLKDTSIHFIRCIKPNHDQVRDVFDGPYVLDQLRQMGILQAL ALHHDGLHPFQESHELFYHSYRVIAPPTESTTGDTPQVIPMAERCRDLIQHFPHPGGF AVGITSVFSSLEMHQWLRRQRIAVEGAAVTILQGVWRRAVWRLACRHVGQSMALLHDV ASLDDATLSQHVQHATDLVKRFPTCYLSRRLLLQCHEGQMHLERHRRQHDLLLRIQQP RHDLEAMEADLALCAKWRLEADPHVIAFTAAYHRLQTERQAVVQVTLMLRSKVIHVAT VEKALRSPMISAQVAERARAALQETATESTDVLALADAIANSAVTVSTLQSNFVTWTS TPVDDLVTALQTKRMWSTSLHATLQLCHNLGKLRATLRIMAQHTAMEGLATLKTCIAV AVPTDADTSITSTWHNEVALARHIVDEYDRMHKLLAKAWLCKVPQEGVFFDLCDQMAE VSLKFGISFDPTLVREAQSMLEAIRQEKLFVSEVESLIAVCPDFAAKSHIHIDRLTHL GNVFELAPLYDKTEIAANVCLLLSQLRRAAKDLFECTSLGTVDAAWSKVQGILSAGHR QFDLLASDKWFRTMSHALEMEQQAATDLVDFKRMSVRVAKQLQLALASKSYEALVKGL GQVDRHHLHRDYTLRDLVLRAKDAVQASKNIRHELRHAIDLKSPDVLEHALSKAMSMQ VHSRLVKRAARLLKSLKQLELEFALCQFDIDTARLAEIARRGAKLHLPSPALTRVEGL LQMSRDKFLQEQLKWAIHQNAPAADVLGITLALKHEFFQHCGDIFDMQHFPRLRDPTK CLLLPATISSAERPALTTSLTDLDAAETKCAVQISRWIHGYVGAQDQNDLYPLRYAHS LVHTGLSSRRLADEIYVQLVQQQGLSAAHVDASWRATKVWGLLQLCVSTFSPSDSCWN YLEYFLRRHDKLPLVTQLHAPKPPALTSPSLATLYRLLAPTSLRSDGWAKVTRVRHPR RRRKWLVLEGTTLYMFKDDSVKRDAIAAMDVLDIDIQTHAEHGTYSIVLPWRCGSDTW TLYLESLDEHSRWHHALHGAKVAYWHSVMSGR H257_03470 MAFDATVGGRLMGDGDGMVFSHTLASVYAGVPPTVHDSPAKYRT AWTHGSSMQSGAQSAGPAGGDLRLAATRHCRSWSGYASGVSSKAHSVVEFVRTDGGFV GGRVVRPTSQYRAMVEAGDPLMEQFSGVNSLVYWTQMSYLQKIEAVVLVTLFTPDRSW HCLRCGANAEVSDGVQADELVALGRHKLPMTVTGSLLIVQFMDPIAAIMLRHGLNTQD R H257_03471 MTVQVVSVGGAEILGDPKKVGDQPAQFGGVAGRNGVLVASVFAP LKVEEKSNQSRQVRVESTHTRLYDRRGHRVCAHKENFERLLWKVKVGEVGALTYMGRV RWGGLDLGSVGNGLHAAPQHAELGVSTRHDPVALAHFIDLHHVIDVLLVPVVTCVGRT HRHVDVLQHVHATGGKRLGG H257_03472 MQRERLTVEFPENFRCHITTKVGKPLGKSRTSVGKPTELTVASD TTFGVVSALVVDNVSAAIADYHADASNAKLLWDSQVPTEVYVKVAANTTQDKYTKITL VNYTDVLRQVWDNASKVRNAQASFTLQLFIYAGKDMSTAIRRATSNNLVTAAARVAGY IEDQSMALGPLQTEYATLVTAHLPPSAPVEIPTNTTMQQLGHIDVMAARHADERRHEM NSQTTETYRRVRVRFGTMASAPVDCFLLVEDLRSILGIPPFDLTPSYREPFVGEVVGP SVNMDDIDHINL H257_03473 MVRSAGRKRRSHGGIRLNSIDEPVDEALSPIKLRAKRSTSKLTS DQERLLSPLDLAYDELPTELMAGDDLNLSRWTLVVHDSCLAKLATVQTQIGWPSSNQT ADDITPNAIMTLLTDLRKTSPTVPPKQPPTSVTLNLQGAELVSDGGVRHAIAALSIRL HALNLSRTTKLTDDLVRWVAANCKHLREIDLSYMVHLGGMGVCAIGESCPLLHAFSVR GCKHIPEFALLKVAHGCPLLTHYDISHCCHATDLVPRTLASTCHNLQLFYAQGCKEIS DTGILSLSNGCPDLLHIDLSRSDFQYKLTDMALLPLSERCKILQHVNVSGCDYLTDAG LSWLAMGCPALAHVNVSHCVKLTDLSLRAVADGCIRLGLLDISGCPRMSDIGLRYLSV HCRDLHTLGLRSTILISDGLSLGRENAQGLAALSHGCKRLQHLDLTKCIRVDDAACKQ IGRGFHDLRTLILFGCCSVSSTGVRDVSRQCHKLTLLDLSHCRLVDDTALVAIGGSDG GMPLLQSLRLRECEKVTTAGIQQLCKGCIYIRTLDLAGCHRLDDMALLAICDHLTELQ HLWLAGLHSITIIGVSWLADRCINLMELDVTNSAISYMALKPLRAAWKYGDLREHGKV RGIVPKYRAMDMMFLDHYGTCWKAAIRIQCLYRAKVARRDAARRREQALVHWAASKMQ SVFRGRQARQYAAVQRMLRRRQHDAATRIQASYRAHVARTLAERLRKQRDRDRYVRMV IRVQSAWRRKKARDVFNSKRLLKQAWEARRQIAAAVLQRAFRAYGWRNRNSLFSTALK AKKAEQQAAANKLQSLYRGRAARLEAQQKRQALKLFERKKEHAAMCLQRVIRRRRENR LHQRRLDEDAAARSAATKIQRRFRRRQDMLSYQLMKIGREFQLRTDAALRLQAAWRRK QGHMAKHMLRVLKDEQYQRRANAATKLQTRWRGRLGRAAAAQAQQAAIQRLVLQTKLE NHLATVIQAGWRGQKGRQRYREAVDARKRRWKEVPNAEGTGAKVYFHQDTGEIRHRMP QDLLDLLPKPRCNDCDVEVAMVECGDCGEFFCNDCWHAIHAGGRRRKHCFRTLFDYYG KRIDYGDGEFPSVWPSEIAQDELDGWYLRTSPFREPSLVLGDWEKYVDDTSHREWYFN PTTKMSTYLPPNAFKATAEDVAKAWVIKQEAANHVAYYFNERTGQRTFERPPTFVENG ETMGEAELDEGGFRGGGVDEGSTGAIQEAAATNEDATPYGMDMGNGWTQYWDDTYQVY YYFNTYTQESTYTPPDGAVESIAL H257_03473 MVRSAGRKRRSHGGIRLNSIDEPVDEALSPIKLRAKRSTSKLTS DQERLLSPLDLAYDELPTELMAGDDLNLSRWTLVVHDSCLAKLATVQTQIGWPSSNQT ADDITPNAIMTLLTDLRKTSPTVPPKQPPTSVTLNLQGAELVSDGGVRHAIAALSIRL HALNLSRTTKLTDDLVRWVAANCKHLREIDLSYMVHLGGMGVCAIGESCPLLHAFSVR GCKHIPEFALLKVAHGCPLLTHYDISHCCHATDLVPRTLASTCHNLQLFYAQGCKEIS DTGILSLSNGCPDLLHIDLSRSDFQYKLTDMALLPLSERCKILQHVNVSGCDYLTDAG LSWLAMGCPALAHVNVSHCVKLTDLSLRAVADGCIRLGLLDISGCPRMSDIGLRYLSV HCRDLHTLGLRSTILISDGLSLGRENAQGLAALSHGCKRLQHLDLTKCIRVDDAACKQ IGRGFHDLRTLILFGCCSVSSTGVRDVSRQCHKLTLLDLSHCRLVDDTALVAIGGSDG GMPLLQSLRLRECEKVTTAGIQQLCKGCIYIRTLDLAGCHRLDDMALLAICDHLTELQ HLWLAGLHSITIIGVSWLADRCINLMELDVTNSAISYMALKPLRAAWKYGDLREHGKV RGIVPKYRAMDMMFLDHYGTCWKAAIRIQCLYRAKVARRDAARRREQALVHWAASKMQ SVFRGRQARQYAAVQRMLRRRQHDAATRIQASYRAHVARTLAERLRKQRDRDRYVRMV IRVQSAWRRKKARDVFNSKRLLKQAWEARRQIAAAVLQRAFRAYGWRNRNSLFSTALK AKKAEQQAAANKLQSLYRGRAARLEAQQKRQALKLFERKKEHAAMCLQRVIRRRRENR LHQRRLDEDAAARSAATKIQRRFRRRQDMLSYQLMKIGREFQLRTDAALRLQAAWRRK QGHMAKHMLRVLKDEQYQRRANAATKLQTRWRGRLGRAAAAQAQQAAIQRLVLQTKLE NHLATVIQAGWRGQKGRQRYREAVDARKRRWKEVPNAEGTGAKVYFHQDTGEIRHRMP QDLLDLLPKPRCNDCDVEVAMVECGDCGEFFCNDV H257_03474 MHFRARLVLKVDGKLKQSKKSYDLLVDKNMVCFKDSGTFKRSFP KPDTVVVGKHVARIQQGSRYFLCRFPDRPSLLRCVAMLGEMGVTIVDYYDLTHQDSTK QLRVAYCVRYMGNATQFTQDSRDVQSSLHRALFDPTR H257_03475 MEPTPPWWRQERRHLPPASNRYDQLVERRHVLPVVHPVVDHFAN IKPKMFPSPKEPRTEGVKVIGMPPVMEDRSRGISTLVNGNNFVPTQPLVRYKVSTVHP NVLAQSQRDIQAKLETRMGAKKHRETLDERNMLTYSALGDKSYKRPEHSPGFFNLQLH PRHMSNNAMDSSRRQQLLDLEDDGHGGGSAKATQTTYETKKKIQMLLEEKTGVTLLST SKAGQLSWEDSTGNRTWIKKDVKGHPQDSREEDEGG H257_03476 MTEAPVSQSIYEFTMGSGKGNPVVYLDIAIGHDPLRRLRFELFY PQLPITVENFRQLCTGEGVDKARKKMLWYKGSNIHRVIPSFMMQGGDITHGNGIGGSS ANSRTFDDEGFMFKHMRGTLSMAHQANTNKSQFFVCFRECGWLNGKHVAFGQVIAEDL AYLKDFEDVGSSTGLPLKPIAILNAGQLCGMNFADATDMGQDDDDKDDDVAVGGGGGS M H257_03476 MFLKLFYPQLPITVENFRQLCTGEGVDKARKKMLWYKGSNIHRV IPSFMMQGGDITHGNGIGGSSANSRTFDDEGFMFKHMRGTLSMAHQANTNKSQFFVCF RECGWLNGKHVAFGQVIAEDLAYLKDFEDVGSSTGLPLKPIAILNAGQLCGMNFADAT DMGQDDDDKDDDVAVGGGGGSM H257_03476 MFLKLFYPQLPITVENFRQLCTGEGVDKARKKMLWYKGSNIHRV IPSFMMQGGDITHGNGIGGSSANSRTFDDEGFMFKHMRGTLSMAHQANTNKSQFFVCF RECGWLNGKHVAFGQVIAEDLAYLKDFEDVGSSTGLPLKPIAILNAGQLCGMNFADAT DMGQDDDDKDDDVAVGGGGGSM H257_03477 MADLSGAPVQLIRVDPDGSFHVEEAAVKKLEKIKGKIAVVAVAG LYRTGKSFLLNLLVNKQTATATANVNTGFAVGGTINACTKGIWMWGEPFVLDNGTSVI FLDTEGIGSVDREQTHDTRLFALALLLGSYFVYNSRGVIDGNAIEDLSLVVNLSKHIQ TSSASGHGQSSSALHEYFPSFLWVVRDFTLQLQDQGKEITSKQYLENALKPQGGFSAD VAGRDQIRMLLSDFFRERDCVTLVRPVEDEAQLRNLPNIPYGDLRGEFRSKFEAMKTR LFDKAAPKSLYGKALNGAMFTNLAKSYVEALNSGKAPVISSAWTRVVQAQCEDAVDDA VAAFKKHMNARVADYVDGKEQFADRFEGLLTSATQVAADAQVVNAGAGTAQFDEFGNL KKFVPGGMVPSPKAVDVDVVVAAPAKKDKPELQHVTLPVSAADLEAIYDLCDKLADRT LRAADIGDQPDMQPFRASFRTSAQAVFDVYRQKNAAASMVYCKHLLSFLSDKKFNSFT TSTLGPLEYHQRTLHYLDDLKDLHADYVKLAMGPSADAAYCQYMSQSVFNQVMEWTED TTRAHAAHLNTLQAHVNATGLQVASAQGQATAMKELAAQEVQKCDLTLKEAERKSKSD IDALRASLEYKTHELEHVLNHNATLRRLADTAQQGNVRVNEVATNKSPQFFAGYLIKQ GAVTSSGQRSKWQQRYFVLTGAALRYYNTKDDFERSRSDDPPIDVGRAVIEEDRDVAE AFSIAFPDHSHDTLFLHAKSPAVKADWIEHLLKVRRSAAPPTRPMASANNGGGHYQF H257_03477 MADLSGAPVQLIRVDPDGSFHVEEAAVKKLEKIKGKIAVVAVAG LYRTGKSFLLNLLVNKQTATATANVNTGFAVGGTINACTKGIWMWGEPFVLDNGTSVI FLDTEGIGSVDREQTHDTRLFALALLLGSYFVYNSRGVIDGNAIEDLSLVVNLSKHIQ TSSASGHGQSSSALHEYFPSFLWVVRDFTLQLQDQGKEITSKQYLENALKPQGGFSAD VAGRDQIRMLLSDFFRERDCVTLVRPVEDEAQLRNLPNIPYGDLRGEFRSKFEAMKTR LFDKAAPKSLYGKALNGAMFTNLAKSYVEALNSGKAPVISSAWTRVVQAQCEDAVDDA VAAFKKHMNARVADYVDGKEQFADRFEGLLTSATQVAADAQVVNAGAGTAQFDEFGNL KKFVPGGMVPSPKAVDVDVVVAAPAKKDKPELQHVTLPVSAADLEAIYDLCDKLADRT LRAADIGDQPDMQPFRASFRTSAQAVFDVYRQKNAAASMVYCKHLLSFLSDKKFNSFT TSTLGPLEYHQRTLHYLDDLKDLHADYVKLAMGPSADAAYCQYMSQSVFNQVMEWTED TTRAHAAHLNTLQAHVNATGLQVASAQGQATAMKELAAQEVQKCDLTLKEAERKSKSD IDALRASLEYKTHELEHVLNHNATLRRLADTAQQGNVRVNEVATNKSPQFFAGYLIKQ GAVTSSGQRSKWQQRYFVLTGAALRYLTAEGAAIV H257_03477 MADLSGAPVQLIRVDPDGSFHVEEAAVKKLEKIKGKIAVVAVAG LYRTGKSFLLNLLVNKQTATATANVNTGFAVGGTINACTKGIWMWGEPFVLDNGTSVI FLDTEGIGSVDREQTHDTRLFALALLLGSYFVYNSRGVIDGNAIEDLSLVVNLSKHIQ TSSASGHGQSSSALHEYFPSFLWVVRDFTLQLQDQGKEITSKQYLENALKPQGGFSAD VAGRDQIRMLLSDFFRERDCVTLVRPVEDEAQLRNLPNIPYGDLRGEFRSKFEAMKTR LFDKAAPKSLYGKALNGAMFTNLAKSYVEALNSGKAPVISSAWTRVVQAQCEDAVDDA VAAFKKHMNARVADYVDGKEQFADRFEGLLTSATQVAADAQVVNAGAGTAQFDEFGNL KKFVPGGMVPSPKAVDVDVVVAAPAKKDKPELQHVTLPVSAADLEAIYDLCDKLADRT LRAADIGDQPDMQPFRASFRTSAQAVFDVYRQKNAAASMVYCKHLLSFLSDKKFNSFT TSTLGPLEYHQRTLHYLDDLKDLHADYVKLAMGPSADAAYCQYMSQSVFNQVMEWTED TTRAHAAHLNTLQVQLPSQQQPNPPQLSGPNQVSERSHVVDVGTRERDGAPSGVGARP GDGHEGTRGPGSAKVRLDPQRSRTKVQERH H257_03477 MADLSGAPVQLIRVDPDGSFHVEEAAVKKLEKIKGKIAVVAVAG LYRTGKSFLLNLLVNKQTATATANVNTGFAVGGTINACTKGIWMWGEPFVLDNGTSVI FLDTEGIGSVDREQTHDTRLFALALLLGSYFVYNSRGVIDGNAIEDLSLVVNLSKHIQ TSSASGHGQSSSALHEYFPSFLWVVRDFTLQLQDQGKEITSKQYLENALKPQGGFSAD VAGRDQIRMLLSDFFRERDCVTLVRPVEDEAQLRNLPNIPYGDLRGEFRSKFEAMKTR LFDKAAPKSLYGKALNGAMFTNLAKSYVEALNSGKAPVISSAWTRVVQAQCEDAVDDA VAAFKKHMNARVADYVDGKEQFADRFEGLLTSATQVAADAQVVNAGAGTAQFDEFGNL KKFVPGGMVPSPKAVDVDVVVAAPAKKDKPELQHVTLPVSAADLEAIYDLCDKLADRT LRAADIGDQPDMQPFRASFRTSAQAVFDVYRQKNAAASMVYCKHLLSFLSDKKFNSFT TSTLGPLEYHQRTLHYLDDLKDLHADYVKLAMGPSADAAYCQYMSQSVFNQVMEWTED TTRAHAAHLNTLQVQLPSQQQPNPPQLSGPNQVSERSHVVDVGTRERDGAPSGVGARP GDGHEGTRGPGSAKVRLDPQRSRTKVQERH H257_03477 MADLSGAPVQLIRVDPDGSFHVEEAAVKKLEKIKGKIAVVAVAG LYRTGKSFLLNLLVNKQTATATANVNTGFAVGGTINACTKGIWMWGEPFVLDNGTSVI FLDTEGIGSVDREQTHDTRLFALALLLGSYFVYNSRGVIDGNAIEDLSLVVNLSKHIQ TSSASGHGQSSSALHEYFPSFLWVVRDFTLQLQDQGKEITSKQYLENALKPQGGFSAD VAGRDQIRMLLSDFFRERDCVTLVRPVEDEAQLRNLPNIPYGDLRGEFRSKFEAMKTR LFDKAAPKSLYGKALNGAMFTNLAKSYVEALNSGKAPVISSAWTRVVQAQCEDAVDDA VAAFKKHMNARVADYVDGKEQFADRFEGLLTSATQVAADAQVVNAGAGTAQFDEFGNL KKFVPGGMVPSPKAVDVDVVVAAPAKKDKPELQHVTLPVSAADLEAIYDLCDKLADRT LRAADIGDQPDMQPFRASFRTSAQAVFDVYRQKNAAASMVYCKHLLSFLSDKKFNSFT TSTLGPLEYHQRTLHYLDDLKDLHADYVKLAMGPSADAAYCQYMSQSVFNQVMEWTED TTRAHAAHLNTLQVQLPSQQQPNPPQLSGPNQVSERSHVVDVGTRERDGAPSGVGARP GDGHEGTRGPGSAKVRLDPQRSRTKVQERH H257_03478 MNTIFTQCEVASSPENSGRASSPFQPVYTCEVCKISMRSAKKLE DHVNHSPLHRAALNESDIAFKAELSGRLSSEADRPCSPCRQRLIYDGTKLFWRVNETL ELHIYEAVNANLVTVVGQSTQFKQKLPPLALDLAILQKATDGGGGKSDADANQASSQR DAIVKYILARVQAVKDANDGSLSLYLQKQNGDTVDPTRTSSDLPTQVVSDTAPRRRHT YEDACVVQKEVQSHAKAIKSSRQKAERCHDMVRLSLDAFIDLEEVVKTRPKDKGDHLS WLGAFNRVRQRTDIEVIKAKMQSGQQHHHDHVVSPGKHKMAETTPEDK H257_03479 MEGIGDTLPRRTPPPRPANHPKETLLRILKHAKSSADPSAQGLL SVESPRPRVTSSAVPLSSSTTTATPQHRQLFHRQQKHKPAMIQINPVVLSEESSSDSS ANETTMLHRRLLHAATAATRHYQDRRGTATDAPPRVIAVPFRHSIQVPSFRNHCFPR H257_03479 MEGIGDTLPRRTPPPRPANHPKETLLRILKHAKSSADPSAQGLL SVESPRPRVTSSAVKHHRSHTPLKLVQVPLSSSTTTATPQHRQLFHRQQKHKPAMIQI NPVVLSEESSSDSSANETTMLHRRLLHAATAATRHYQDRRGTATDAPPRVIAVPFRHS IQVPSFRNHCFPR H257_03480 MAQGEWQSLITTHEDAPCDGTDRVNEYFMKESLGKGAFAKVKRC ERRVENAQPRPFAAKIMSKSALQRMKEYVRVGESMRAVTALDKVEAEIEVMRTLYHRN IVLLFEVINDPGSDKIYLILEYMSTGPCMVYNSVSKAFHSPITRGPLTEEWAKQHMRD IVQGVKYLHARGVCHRDIKPDNILLNADNRCHISDFGCAQSFLPTDKITNTLGTYQFY APECCSGDPFDPFAADAWAIGVTLYVFLFGVLPFDAATPKDLFDALLQDPVPIPSTAF SPLCMNFLEFSLHKDPSQRMTVLSMELHPWLAVPDEDEPLSF H257_03481 MELRATLVAAAVKGGVHGVAAHIDDHVGDLHSYLSCVLDAQDLP LGVKRVIWSGMVHRATRFAAAMDATRTSHALTLISAVETDRYVNHLARLVFQAQKPPP PAAWMPSVDTCVIARMSVPTCNVSTGKRAVDVDLTKPSSPSTPSSKRIKVDDDHDSGI PAAPLDPIDPIDDITYLAMKMDKRASASQVSTSVPSSAPLPIEPLVLPDEILALVSSI KSTPSSSTTSDITSRCDAILHAASSLLQLPEYCSTVYLELLCSTLALTSLSDDAVLVL TTKLVEAKWTSYDTAVVLESTLLVRVQAATSAIPRSLLKALQVVATSLPHIAIDRILV PVLTSATANAPQCEAMTRLVRDGLGPSLTPLIVTRLIAANVLHSPHDRVLLVVQQIFN AKAPLAQDAIDLVVHALARAVSASPATTTASIKFASVLFTVVTKYSALCVRHRDALLA IATKCTSSMAKTALRAIDKLA H257_03482 MLSRRGAAVARRWHMHARCMSSQDTIYALSSAEGRSGVAVIRIS GPMADQCLLQMTTSKLPSPRMAVRRDLKHPSTKELLDTAVAIRFLGPKSFTGEDSVEL YVHGSRPVISGVLQALGTLPSYRAAEAGEFTERAFENQKMDLMQVEGLADLLHSETEA QRSQALAQISGTIGQVYDDWRRSIMQCLAHSEALIDFGEDEDDVTDDAYIAVIQSVRD LNASIQRHLQDGRRGEILRHGVHVVILGSPNAGKSSLLNLLAQRDAAIVSPIAGTTRD IVQVPLNLAGYPVVLHDTAGIRDTSDVIEREGVHRALRCNDASQLKLVVVDVQDGAVD AALLALVDASTIVVLNKVDTAQPSAALLNAVAHAPVVCALSCASGDGVDGLLATLEQR VRGVFSSEATDGTHAIITRERHRQHLHACISHLDSFLANPHQAELAAEELRHAVACIG RIVGRVDVEDILDVLFADFCIGK H257_03482 MLSRRGAAVARRWHMHARCMSSQDTIYALSSAEGRSGVAVIRIS GPMADQCLLQMTTSKLPSPRMAVRRDLKHPSTKELLDTAVAIRFLGPKSFTGEDSVEL YVHGSRPVISGVLQALGTLPSYRAAEAGEFTERAFENQKMDLMQVEGLADLLHSETEA QRSQALAQISGTIGQVYDDWRRSIMQCLAHSEALIDFGEDEDDVTDDAYIAVIQSVRD LNASIQRHLQDGRRGEILRHGVHVVILGSPNAGKSSLLNLLAQRDAAIVSPIAGTTRD IVQVPLNLAGYPVVLHDTAGIRDTSDVIEREGVHRALRCNDASQLKLVVVDVQDGAVD AALLALVDASTIVVLNKVDTAQPSAALLNV H257_03483 MLLRCVRLTSVRRAMSTSASSVPPKAAICVIGNEVLSGKTLDTN SNYLSKFLFGRGVDVVRISVIPDEEDVIVDTVRHFSTLVGPDGYVFTTGGIGPTHDDI TYDSIAKAFDVPLEVHEPTKEALRQYLVADHRGHDLNDDRLRMVTFPQGCDVLTTSTW VPIVKMQNVYVLPGIPRLMKQMIESNVDHFKGIPIHQAIARTKKLEGSIAAPLKAVAK DFPSVMIGSYVNLKEDNVAFEDRAYNVQVTLYSRVGDDIRAALPAAVAAIEGWVHEDV EVA H257_03483 MLLRCVRLTSVRRAMSTSASSVPPKAAICVIGNEVLSGKTLDTN SNYLSKFLFGRGVDVVRISVIPDEEDVIVDTVRHFSTLVGPDGYVFTTGGIGPTHDDI TYDSIAKAFDVPLEVHEPTKEALRQYLVADHRGHDLNDDRLRMVTFPQGCDVLTTSTW VPIVKMQNVYVLPGIPRLMKQMIESNVDHFKGIPIHQAIARTKKLEGSIAAPLKAVAK DFPSVMIGSYVNLKGTRLVG H257_03483 MLLRCVRLTSVRRAMSTSASSVPPKAAICVIGNEVLSGKTLDTN SNYLSKFLFGRGVDVVRISVIPDEEDVIVDTVRHFSTLVGPDGYVFTTGGIGPTHDDI TYDSIAKAFDVPLEVHEPTKEALRQYLVADHRGHDLNDDRLRMVTFPQGCDVLTTSTW VPIVKMQNVYVLPGIPRLMKQMIESNVDHFKGIPIHQAIVWMHDDR H257_03483 MLLRCVRLTSVRRAMSTSASSVPPKAAICVIGNEVLSGKTLDTN SNYLSKFLFGRGVDVVRISVIPDEEDVIVDTVRHFSTLVGPDGYVFTTGGIGPTHDDI TYDSIAKAFDVPLEVHEPTKEALRQYLVADHRGHDLNDDRLRMVTFPQGCDVLTTSTW VPIVKMQNVYVLPGIPRLMKQMIESNVDHFKGIPIHQAIVWMHDDR H257_03483 MLLRCVRLTSVRRAMSTSASSVPPKAAICVIGNEVLSGKTLDTN SNYLSKFLFGRGVDVVRISVIPDEEDVIVDTVRHFSTLVGPDGYVFTTGGIGPTHDDI TYDSIAKAFDVPLEVHEPTKEALRQYLVADHRGHDLNDDRLRMVTFPQGCDVLTTSTW VHTWDDDVMLVIIMSNDAGANCENAKRVRIAWHPAPDEADDRVQRGSLQGHSHPPGDC MDA H257_03483 MLLRCVRLTSVRRAMSTSASSVPPKAAICVIGNEVLSGKTLDTN SNYLSKFLFGRGVDVVRISVIPDEEDVIVDTVRHFSTLVGPDGYVFTTGGIGPTHDDI TYDSIAKAFDVPLEVHEPTKEALRQYLVADHRGHDLNDDRLRMVTFPQGCDVLTTSTW VHTWDDDVMLVIIMSNDAGANCENAKRVRIAWHPAPDEADDRVQRGSLQGHSHPPGDC MDA H257_03483 MLLRCVRLTSVRRAMSTSASSVPPKAAICVIGNEVLSGKTLDTN SNYLSKFLFGRGVDVVRISVIPDEEDVIVDTVRHFSTLVGPDGYVFTTGGIGPTHDDI TYDSIAKAFDVPLEVHEPTKEALRQYLVADHRGHDLNDDRLRMVTFPQGRCCNHRRPQ SHCFKRLVIMQGATS H257_03483 MLLRCVRLTSVRRAMSTSASSVPPKAAICVIGNEVLSGKTLDTN SNYLSKFLFGRGVDVVRISVIPDEEDVIVDTVRHFSTLVGPDGYVFTTGGIGPTHDDI TYDSIAKAFDVPLEVHEPTKEALRQYLVADHRGHDLNDDRLRMVTFPQGRCCNHRRPQ SHCFKRLVIMQGATS H257_03483 MLLRCVRLTSVRRAMSTSASSVPPKAAICVIGNEVLSGKTLDTN SNYLSKFLFGRGVDVVRISVIPDEEDVIVDTVRHFSTLVGPDGYVFTTGGIGPTHDDI TYDSIAKAFDVPLEVHEPTKEALRQYLVADHRGHDLNDDRLRMVTFPQGRCCNHRRPQ SHCFKRLVIMQGATS H257_03483 MLLRCVRLTSVRRAMSTSASSVPPKAAICVIGNEVLSGKTLDTN SNYLSKFLFGRGVDVVRISVIPDEEDVIVDTVRHFSTLVGPDGYVFTTGGIGPTHDDI TYDSIAKAFDVPLEVHEPTKEALRQYLVADHRGHDLNDDRLRMVTFPQGRCCNHRRPQ SHCFKRLVIMQGATS H257_03483 MLLRCVRLTSVRRAMSTSASSVPPKAAICVIGNEVLSGKTLDTN SNYLSKFLFGRGVDVVRISVIPDEEDVIVDTVRHFSTLVGPDGYVFTTGGIGPTHDDI TYDSIAKAFDVPLEVHEPTKEALRQYLVADHRGHDLNDDRLRMVTFPQGRCCNHRRPQ SHCFKRLVIMQGATS H257_03484 MKPPQSVRLLDVPDEDDWTCDPCLRESYDSFHALAIPSTYASFP DASIPDGGSRAGQRWDNLVTHCYAGVMDIYAATYVFWIHLMSLETVLVVVLAASTTSA YYYIGLRANGSSFGANLSWTLVTFAIISPMIMQIKQAFTRRESALDAIAELKAIMSNV LMANAIWDWGKHDRAKLPPDHAVRTKAILVGILSDLGRVLMLPTFTRGRHRFTTSGMN EAKEFMHAFHYLCRRITFSTTLLHRQVEVMKDAGLPANEASRINQYHWYIQARVDKLC HIKLYRTPQATRSFTRLCILALPLLYGPYYVYIATAGTTNFAFALTLSMATSLIMIGI FNVEKALEDPFTEEGLDGVKVERAMHRILDALDVVLPPSTTPRAKK H257_03484 MDPGHLCHHIPHDHANQASLHAPGERAGCDCRAYTSFPSTLPST VMRRLVVKAIMSNVLMANAIWDWGKHDRAKLPPDHAVRTKAILVGILSDLGRVLMLPT FTRGRHRFTTSGMNEAKEFMHAFHYLCRRITFSTTLLHRQVEVMKDAGLPANEASRIN QYHWYIQARVDKLCHIKLYRTPQATRSFTRLCILALPLLYGPYYVYIATAGTTNFAFA LTLSMATSLIMIGIFNVEKALEDPFTEEGLDGVKVERAMHRILDALDVVLPPSTTPRA KK H257_03485 MMKRKRSVEEDEKEEEELRDASMLPNLIPGCRWRDIPRNTKLTD VETLTFFSEFKRAGDLTDKDVIDRCNFLMRHAPPNSYRKLKIKSKFIQLIKRLEKVDL QEHCLSRDDCLQPSGVVWKTGEEIEAAGRDSFPDDVMLCTIDKDLFKLWPLLSFMQGI GTLHQVDGRAIDLGRKIMHLLDELGKQYPALAKLLDPPTVIFNLQAMKINPSAVVIPA GLAPGNVPAFPGAVGIWTCPSELRAGELIGHLRATLAPVLLPGVVPQFHYF H257_03486 MSLHEHLADWTDDPLVSASFAPHRRRHDGPSDLPPLPHAATIDL DYAAKPSVNVDVSLGAHIRDTLLDLYAATVIFVTHLMGFESVLVLLVSVGATSGYYYM SGTPSTTDPSSPTTTHRFSANLSWILITFAVVSPMIMQIKQAFLRRENALDNLADLKA IASNVLLANVTWNWGDNHRAKLPPGHNPRAKLLLRGLMSDLYSLLKLPTFTRGRHRLT TRGMDLAVQYQWHVDAILERMMVTIQQLHMQVERMKALGLPPNEASRINQYHWFLQAR IERLCNIKMYRTPQATRSFTRLFILILPFFYGPYYIYLIDSGDGQTSFAFALVLSAMT SITMIGIFNVEKALEDPFTEEGLDGVQIDVAIHRIFNAFDAIYPTS H257_03487 MSMLSAAFPAAAAAVAPTYGSNGYVFWQPFLSDTGLAFLQQQVD RVLTMTHESISTEWIMNIHLLGETWLLDLAMDTHLLDAVQEFCGSNITLLQTHLFCKP RGCLPTPWHQDGSSDTDIPLATVWITLDDLDAPSSGALVVLPGQHQLNLLPSEASDHF QFDRVLPTSYLSQHKAFRYALHAGDAAVHHQWLPHASDTNTMLPFRRVIVLRYMATSV LEAEGVALWRRTSGNALAPVDPVDEDKDEEGELYPDFRAANVYFEGRNICLRGKYVTT NPSNHALVVQVCS H257_03487 MNIHLLGETWLLDLAMDTHLLDAVQEFCGSNITLLQTHLFCKPR GCLPTPWHQDGSSDTDIPLATVWITLDDLDAPSSGALVVLPGQHQLNLLPSEASDHFQ FDRVLPTSYLSQHKAFRYALHAGDAAVHHQWLPHASDTNTMLPFRRVIVLRYMATSVL EAEGVALWRRTSGNALAPVDPVDEDKDEEGELYPDFRAANVYFEGRNICLRGKYVTTN PSNHALVVQVCS H257_03488 MHTHGGGDGGTTVLPELCSSVMRAEFHLPHLAMASSDAVELLEA RAMLLDMHRTCSELKHKVRSLEGAKLLWEITRMSMEFQAIKDLDETHRLRSALEDELI LVKYRARHFEQEHNKLLQDNSDKDRRLAALEAKLSLLGDTSLVEKRIEDLETQVKELD KVNKEHAVRERELMDLLDRQDMRGTKRQFTKRSSSRSLLVREIKQELWSKVKNPVGWL LGGKAAASSVRDITCTFPDLELLDLLKREVPKLNLHPQYNRHSIS H257_03489 MEHQPRPTRETAPLSHHHAPVHADASTRAVGSSSTRQFEAEQLQ LMMDVVDESTPVVAMAQTSTRPDKPLLLHRASGLLMAPMLKMRMQSNVRNVRRRGMEQ PTMRLIMRKASGDDEHSQLSRSSTDTPLMTRRAMNPPPPHGRRQATCQPKVASRKYWP DLVLASAHLKATSKFRWFWDIYTMLLLCYTAVAVPFEIAFVQQDDVDALFIFDRCVDL SFCIDMVFNFITPYWDPHANAMVEELPLIIKQYVKGWFCLDFVSVLPFDIIGLFMDEA FRQLTIFRILRILRVIKLVRVFRASRIFSRWQAQLNIPMAIFKLAGHLATILLLAHWL GCLWGGVVHFEYHTDAQGNKLSWMSVYGIDNKGMQTQYVTSLYWAVVTILTIGYGDIP VVTLEEKGIAIVCMIAGCGTYSFVIGSICGVLSSMDEATTDFRQNMDHLNMLLQKERL PKHLVITFREYFLHTQDLMNHKYFSRVLDTLSPGLKGELGVYTSGEWIHRVPFFQGGP KTEHTKFVTAITQHLSAMLFPPNETMIRRGDLTDRMFILSKGIVARLGKVIGKGNFVG EDVILSNGVRHYEVRTLTFVDAMVLTRAGLQAVLRGHFPHKMRKIRRASIFLSLARKM EYFLDELKFLRTSPEHTWSRGDETDWFRARMFNDHDLDLESSPLHVATQSVLAAQKAL AAAVALDSTLHKRDDFFAVSTCVKASYTLLQDSCSRCHTGFDPSQHAHAPVLP H257_03490 MLDVHAILREVSVVDAQKLLATSRAEKAAKEKEMQAMGGSRYQD LIDAADQIVSMHEASQRLDAALRDMPQLWDTLESSVARTMATTLSSEVSPVISVDAAQ SHSHSNGDLVDLVVGASERMWVAMEQGNPLEALSIFKLVDGVTFSDMEGGPMMGIVAF ELDAMPSFQHRIQSCAQQCLRAPTKPTSFYERALLVLLELQHSSTAHALHSAFLQGRS AAAAALRQAASPSHKQHAVLASLELVLSTLNDAHAMFAVPTSALSTALADIDVHPLEL ACTDWTIDAIRTWRQDLVALLQSFHDVEAVASLHQVVQSQLDHHRHSNESMMVTWQAV RQALDSRKTTSHDNNKDADDLWSIFASDVFATCTQRLFAQAFDAAATALDAALLRDDD DDDERDDDMTATFVGRLVDIQHTGESSAATLQPVVASECIRVLFDVITTFASHAFPTK TRLLRLAQHCLNLNAAMPGLFQMSKPVVAAGLGDSWPSIEDAFATIQTEKATDHGTPW LLCSQLLPLFQALRMNVSHFEWLMPTTRLSPYHVYFLHLATIQGGAATGPVFTKLADS FCRQWVAHVVGTHGQPVLDALTTTRFFGYSNDEWRSVYHASWTQVPATITSDDDEDDN SATTSLLVWLPWCATPAVSHVLFEVATSTTLSQTTSSADLHERVQSHVRHELKQWIFT YMHSIVTALSSHRDTSSPVSFGQACALQCIFDVYFVRVLVGESEFLRFGWGDHDDMAP LLAWVDPVDWELFGSAVVLLVKRQYHMTRLLFSVFRSNDDDNSFADAGFVVSDAGLTM LEVAPPVPRFALLPVPPPQPLKRAQSATPTPSQRLLLPPPVNVKATDPTSGHVPPSTK RASSSIHQILSSSTSLLTSNATAASVTATAASAAAKGMSLFSSASSYLRE H257_03490 MLDVHAILREVSVVDAQKLLATSRAEKAAKEKEMQAMGGSRYQD LIDAADQIVSMHEASQRLDAALRDMPQLWDTLESSVARTMATTLSSEVSPVISVDAAQ SHSHSNGDLVDLVVGASERMWVAMEQGNPLEALSIFKLVDGVTFSDMEGGPMMGIVAF ELDAMPSFQHRIQSCAQQCLRAPTKPTSFYERALLVLLELQHSSTAHALHSAFLQGRS AAAAALRQAASPSHKQHAVLASLELVLSTLNDAHAMFAVPTSALSTALADIDVHPLEL ACTDWTIDAIRTWRQDLVALLQSFHDVEAVASLHQVVQSQLDHHRHSNESMMVTWQAV RQALDSRKTTSHDNNKDADDLWSIFASDVFATCTQRLFAQAFDAAATALDAALLRDDD DDDERDDDMTATFVGRLVDIQHTGESSAATLQPVVASECIRVLFDVITTFASHAFPTK TRLLRLAQHCLNLNAAMPGLFQMSKPVVAAGLGDSWPSIEDAFATIQTEKATDHGTPW LLCSQLLPLFQALRMNVSHFEWLMPTTRLSPYHVYFLHLATIQGGAATGPVFTKLADS FCRQWVAHVVGTHGQPVLDALTTTRFFGYSNDVRTNSHGNMTNDGGVRNGEVCTMRRG LKFRPPSRATTTKMTTLRQRRSWCGCRGAPPPPSPTCCLKSPLPPRCHRRRRLRICTN VSSRMFGTSSSSGYSRTCTAS H257_03491 MPPSTDSPVPTLPHLRSAPVKRPSKRSLETSSAAVEASAGSQHA TGHTAAMTRYFNAVRALYTHGKPRGSGGAWTALEPPFGCASVVESADVLRYLLSPLRP SHVLDTWTPYEISCFEVGITQLGKQFHAIQRYLIPTKSTRDVVLFYYMWKKHGLDKAE WADKDAAHDHFGLKRMKHERNLHGILPTAFDLMSDEDDSTTLAVKQSSLLTQQPRPKR AAAGAGLSTNPSATHPNLVKLAALRTDGTKLFSTLRALYDSSTDDHHTLPTGELRLPR GIVATAPLRSAARPPHLLDSWTAWELSVFENGLDVYGKHFDYVAALLPRKATSDVIAL YYLWKKFSPTVYRRMKAAWPASPFGKVIDPPLSSSSPPPLLSDDDATAPLSTFQNATP HT H257_03492 MSKTSTSVLVSSVVQVRRYIIGLNLSYTASVTVDNRYRHIVHAI MGKPRPDTPSPIAVVHVKFTLKDDLREILSFEIENDRHVYRLRDNIEFDERLLDRVIA RKIALKRSGLVDLSDEYTRSRVKEPRYDVQETDPNAIDADAIKAQLLKLFDEYDRNRD GSISFAEFRDALRSGLFREDQVELLFTQADLDGNGRINCEEFAQAAVDVLSRMPSGYK FKAQFNELYDDYLDYFHTECATTMAILNQAFAAADYLPPNASGRTKADCLSYDMFRKC LASPLANLSREEINLAISLVPMNDEGKLPYANFDKILAKALFYIAQGQSLGLAVDIES YLLTTFEAAEKEWDPTIVTPRGQLPRSVLFDCMHRLKKLMLSRGQVVLLIGYAKDNSH DSPRHPSSSVDDSLDGDAAVVMVDYVPYATTAAGMIRQFINPHNVAKRMQRCKNDVNA VAAIFHGVSETGLEMIVMEAFESEDRDGNGVLDMDEFRAAMKHTSLGLSDEEITSLQA VADTNGDGHVDIDEFSYFAVHHLVQLKRQNLLRLAEGDDDDEEDSKTNDAD H257_03493 MDWYLDEQSLALQYYAATPGQRTFKVRVDALCDYVRPLVLKAFI QRSKTWDIITSDADPRTPDLLWQEYEDLDWKEILRGQVVANSYCVRKGLSRKAQLSIF LSKYIRKHPGCILSKALPETLVVDLWDAFDQSLADLGVSFRNRLESCLWEVKQLFDPD NQHAYAPDSCWIMKPSATNKGAEVNVFNTYDKFKDIISNWTDIREWVVQAYIERPLLL RNRKFHVRVYVVAVGALQVYVYQNVLLLTSLNAYDPKNVDDKLSHITNTYQQQDHPDF VEADCVLLLEDMERILTQDRHMSATDAKSVTTTMLADIGAITREVFAAYRGEFSVFQP LPNCFEVYGVDFVVDEDFHVWLLEVNPGPDFKQTGGRLQPLIQTLFEGMVDIVTDTFF TTPTTPTTYPAFEKVYDDNWGTSASMTFRED H257_03494 MPGNDGYGARWMRYLGVGSDGSLFQERKAYETLRDQLKFRPTSE HHPLSEADDNPWNQFFADDTLVREINTDLDRLYPQGQETFFQERKDYMDMLRNVLFVW CKQHPTLAYRQGMHDLVAVVLYACVDGIDGSDDDRVEFLEHDTYILFQRIMVWMKPLY AIQTIAPVESDATPSTDAVDDDTTLFPLPTDRGAAVPLEERPLFRASNGPDNADSFPS PTAQSALQLLCHVVQYELLAKHDPQLARHLQNVQVLPETYCLRWLRLLLAREFPFDQT LRVWDAMFASSLSSSDPTTLELLPYMCVAVLVHFSAALRDCDNTGCLQLLMRPSSVGL PAQSIVDSALLMHNPMIETDHDDMDVVRFDDGSPLGIVLTNAQSPYDFRLAVKEVSGR ALESGRVFVGDLVESINGVKMYQISTDEIKKHIALLPRPLYVSFLHVTDSIPPDEHAA PPLHQTSFASSSALSPPPAFVLPDDTPLPSFLDGEQCYAHVETSMHQPVFHAASGSCA AHFVPGRLFLTNYRCLFQGGGGGSSSGIGTMWEIPILSVAAILVGDATPLDFNPLAAA SSQNHPRHHHHTLTLVCKDTQQVQFAFRDGQEFTRTHKCLSVLAFPASLTDAFCFFYR PHTLPSDVVAPFDIRADYQRLGLLHGRFRCIDQAYLLCDTYPRFVMVPADMSDVKLQA AAAFRSSQRLPVVCWQHPTNHAVIARSSQPLVGLKSARCAEDEQLVAALLCGGGSSSS SSLLFPSAHRYVIMDARGQLAAAGNKAMGKGTESTANYRGAKLVHMNMENIHAIRNAF SSVSAAFDPTDTTDSASFLHKIDHSGWLKHVRLVLKASWDLADYVHNSGVSVLTHCSD GWDRTAQMVSLAELMLDPFYRTLEGFAVLVEKEWCSFGHQFGLRCGHARSDVSNDQRS PIFLLWLDCIHQLLRQFETEFEFASTLLLFLADHVYSCKYGNFMFDCEKARVDCFDKY AATNIWCDVQSKRDTFANPRFSPERTVLAPSTAWKNIVLWKAYFARFDPTFVPPVECV QFYS H257_03495 MRRPAADLSDDEGGYDKKTSTDYVQGGDEKHGNAAAHPSKCRMI DRSAGSNHSLGTWNIRRLRASDAYKRYLSAPFHTLVNMSVYKVVIGLSLVYLTVIAVF GLLYLSIDSSCNLAIATFPQGFIFSVSVLFTIGFGVGGNDVFFNSCGSAITLITAQTI VGVFLDAMAFGIFYQRFARGQSRANTIRVSAFACVQKIRGHLYFTFQTCEMRKHQLSE AHVRCYAVLHKSVHFPHQVHHMQAYPMRLQQPDDDLNGWLILALPTICVHRLDAWSPL APPPLDPEPTHNPATQALFPEPPQRAVDIESGTRENKYRVDKPALFNSATTNVLTPVT KADIDRLLRFWSDTEMEVVVVVEGVDAATSSTTQMRHSFKAHDVVFNEQFVNCVFIDN ETGGAIIDFKHFDRTTPLDFAAIPVTTPREAV H257_03496 TRETMTRAAAKTAAEPTPAATTEVAPAAVDAAAPAESKKRASKK AATSKGKPAVKKGAVSKKKPAAKVVAKAAPVAAKASTGPSYYDCIKEAIQHLAERNGS SRQAISKFVQGKKTNNFQSHMLNKALKAGVDSEKLIQVKGSYKLAASEKKPAAAKKSA AKKVAAAKEVVVKKVAAKKSVTSKKAPVKKVEAKKATPAKKSAAPAKKAATPAKKVAA PKKSSKKVTKKAAASKPAKRTAKKSA H257_03497 MIWKWMAFVICMMAMLMMSSTGNTWTLIQTAALAVRISCWLVSG FILCHGSPPLHRLAHELCISQHQHQQCLP H257_03498 MKSSEASPLPPSHVLQLATYTPEYLETSPDHGYKYAEDAWLGLL QRHRHLYFDVMAPLVQYSVDDKRRRYCSSSQLQTASVAIEESTLDVMRLQQHLLVTWH RGARVFQALWRGHVARKAVRAAMWAARIRKTERLFRIVQGIRRLAMARRHRRGLKACR HIKGLVIRAHANAGRIQRLVRHYLFKCRRWNAATRLRQWYIHRVRHRNLTTALARLHG FLKRQRRNALLEEYTAAALEARRHQVERAQAVYFAMKPDQVIVHRMVAARKQTIKHSI NPHRQHHHAKSNSSVDRSRAILPLPMATNTMMAEAFVQSSKRRGHGII H257_03498 MAPLVQYSVDDKRRRYCSSSQLQTASVAIEESTLDVMRLQQHLL VTWHRGARVFQALWRGHVARKAVRAAMWAARIRKTERLFRIVQGIRRLAMARRHRRGL KACRHIKGLVIRAHANAGRIQRLVRHYLFKCRRWNAATRLRQWYIHRVRHRNLTTALA RLHGFLKRQRRNALLEEYTAAALEARRHQVERAQAVYFAMKPDQVIVHRMVAARKQTI KHSINPHRQHHHAKSNSSVDRSRAILPLPMATNTMMAEAFVQSSKRRGHGII H257_03499 MYRANKSGYAHFDERNLGGRSQVGAELKRFQAVVLSPKPSPEIT PRDAQSYVYLHARKRPEVDHYPPPPCYPPGYTLVSNIDAIWKCSSYQQRQDYRDHCQR LAHLTQHIHDHSAHSHERRNASLVRTNFPAMHPSTRRIESPRPPRTARPRSCGPTMRS KPVVQPVTPVVIPNNSSSSQYRNKLLAYIRQIQSEDVNLDHDYDRSHITTPHLAAGTS VPPADEPVQRTLPLGWDTAVDSKGRRYYIDHVRKQTTWDAPPEHIIKAPTVNENTK H257_03499 MFAVYLHARKRPEVDHYPPPPCYPPGYTLVSNIDAIWKCSSYQQ RQDYRDHCQRLAHLTQHIHDHSAHSHERRNASLVRTNFPAMHPSTRRIESPRPPRTAR PRSCGPTMRSKPVVQPVTPVVIPNNSSSSQYRNKLLAYIRQIQSEDVNLDHDYDRSHI TTPHLAAGTSVPPADEPVQRTLPLGWDTAVDSKGRRYYIDHVRKQTTWDAPPEHIIKA PTVNENTK H257_03500 MSSDRELQDSLWAAASQLSPRRQQDDGTATMSLPFYENIAPGEA LKRLFAWFHPKPLATAREKDGMSIDEFNQLRRCVGHPVVSAAEWTAAMQELHHGDSSD VWVRESEFNELFGLAPYFGIHDSAHTPVHLSIMRQVAAMEEFVHFMLMLFEKFAVSSR LTFASLQAMQRKACIKAKMDERSWERLCHQLQAVRSELQWHQFGQAWHVNLNTNGATA GHFLFGQNGKPMAPSDVVQTILAEEKLATHFSKDNLISRKALHGLLKVGSSQQRNLAD KEWCAILEENQTAYPGDTTSQSTRVTEAAHGAPHDIIVHNANNMMMYEISFDEPGALG VQVQSDFFGQCMSVHSIDGQAKKHQTIQKDDIVACIDGQLILFDPLDSVDGERARQDK VQSQLDTHGATVRKVVFVRQEVYYHIQDTDLKLSFRVYEPIGRGGSFSVQLPPGVTAP TNAITITFEPTLQDLLRPQCVYDAASSTVTVTLTGSKGIKQDAFVTLYIHGIATHLLA GPGVVLHTGDDGTTITLFNDWSTWRSLVQENFIAAKAGRPTTKFADPSLRPKTIEVVR GDAGVTFAADFFGQCAVVAELDPLKAVDGLVAKDDVLSAIVFQNNMGVPERINTIKPF ALSGSSDNTHFNTVMAALSARVKSEEPYTLHFLRLHSHYMQMGDRTTLTFHALTDLGP NSTIVVEMPTADWKAKDVEAIDVEFLKPATVRASKTYWNAASHVFEITLSSSCEFIGE NSQVILSLTGIQGVADKSAGPSEVTVAESGRMRLELHDHWHSFLGGSGGVAPENLVDV LTRLKTSPLDLWTILEYAHLLFRMFQDESTQLLPYENAMLFREAVTGEGGAMDVDKWT KFCRGIDAKPRVGLTEKQFTCSVLELLNLDRRFLSAKFEGHDLKRLYVHLHSVDKLFD EALDLFEDGHNHTTVHIDSLRGLHKACFPSLTWSAFLEQMQKTDVTKYEWTQNDFYRI FALANKKVNPVDAWVKLHYSKLLFDEFARVKVMRKQELRDLLSARKSSFELDDDVWAK LCATIEGDHGTASSGITCGGFVQLYPSAAFGNRDSILDWNYIQAYKMEPEPRHSRAAA LAAEIQAAPERISVNDIAANVLDTVASTDDFAEEAVNAGIAFSRTEEVVERVIELKAP AWTTSFESNLATREKGMLHLSVKCASELRDTADSDASSTALEQYYVVVYTITGTDWND EFKKPKRKPWRKKAREVLFGQRQASYKCAPHEVWDEGQSQELVASYESMEHQFEQYPR DNQDDYQASRNQMIDMYHTMNPYATTPVDLRSHRMISRLVNKDPLTEGVVKFSTDRFR LYVDGTRTTDRQTHVVIKLFKRTEKARKFNPQKYERLLQATIELGRAEKSERVIRGLL DKQKQLLVESLHLYDVTAELEQQMTKFKRKEHEADALAHVIVDLRGEVTLLENEQAAW RQNQKRAKFKVKCVGQTALPIQDFQDVLHDDSLETDDLAMIFDDDQKVAGKLLLQVQY KCDTISKMADAQPLVAIDPDKLEYPADAPLTVTWTTSDEFRVQQGDSISLVRENDDKK VMLNTSFFTLFWNPTTGNWDIFDSSVGLYSNGETKADILHRTISPGGSSAAGWFLLPS ARDLSLPPGTYRLSLIRKETIEGVNYRSSVGVSAGLNVYVGINSIHTVFGTTPLVDVK TVKCSLSIVDTTTSQVIQVSTMPVASSPWWFRACGYDAELDNSIAMLQAECTRLDGQL AVRDLRAAKRKRLEDQLALFQMKVRGMEEAKLNGVAGIKTQQAKTKAELDALLVEWET LKGQLATTTDKVPLEQHILQIEARCQELEDQVKGWALIRLEYEKRHSVPNMLGASSNT APPNFSYASNMRVDFLLEGAGGVSPQLNPHLNDLTHDSVLILPQVIVETSDRLRVKIL GKLQTSDAERTNRIRKQIQWELQIMLTVVQFANASLLQKARTYKSLWTAWKKLRNVRW LYVFEECLPLFHKGSPKLKAHFPAIIAELIEELQCRTTELELENQGQVKNNLFRRQVP IKESGFAQGDLCGVAGSVHGPVVKFVGADVSDGDMYICKYMRRGHNRGSLGELGRVGP FAIQSTEPKSSWFTLSIHQKLALVESGFHFFVLALKYFVALLNLSFNVSFVSNLALKV TWPHLNFDTVRNLQQECLKALRDVVPVIKEIFAWFDEFFASLLEYVMVDLNIFKFFAN CTHGAGMVVNWIALWATALFLYIVIQEDILAKVQKIGSYLPFELGKTGEDRFEQLGSI LVSPVLIVIKVLILFIAQQWTAFSNELQNGRSFTQPEASQQCAVAGLDYALQVIGASL LITFFYFFFPLLVLDMFSWVPPFDLKSDDERIEASHHGRKQGIHDSVDNNVNLIDYGD NAKDPKWSRWCSFRNLFWFHGSGQRIFMDYKYSNFHKLTKTYGYVGIWFVILYVYTVL LLQSIVRALGAVFGWRGKRGYMYPRAHLRGASSWTDKFCCRFNSEWKRYWLFKKVIKP LLDVFAVTFGLWNHDRWDVYDIEERGKNCFPMEPNNEVKQLQMMALHGKVNSLLWLPF SSAGVLTFISDCMNRGPILSYFLNDQFLQADKPESERVGKVKLRLMRWGKARDDHDET GVVYLLDTEFLATLTKWLSSMVELASVLTLVLMPLINQSADGLGTPAAAALIAAFVAP VMEFNHQLLKTYRKFQEFAKVEQANLQESLQGAINTAQSAAKAVASNDMAKVVNLEQG GLSQIQSQLGLNDDTTGALGDAVSAVNEKATKAVTDKVKDAEKAVADKLGIVGVNVEF APLGDNVGGGDEDETESEDDECDDGDDSDDDNEKDKSKDTKGDLVVLSALPEVSYIIQ SDQLDVGEGEVTVSWRVNSKRRFHASDAIGMFPARSLDNILTRRTMDQCVCYRLVSDS DAEPLGWKPDAANENHQDKVMIKRAVALEKILHRKIGSLHRTSERLAKESNDKNEATS NQDRPEAGMADGDGGDGKQLVDFTHAESMFEHVLAHSPPSPKKMHDILLEEIEEHKDE FDGHAIEDAMDNNHDDDSASAAKSSKTVNGHVKFRPCNWNEAEDHPRHEDFVFGNAAG IYPCKYGMEKYEFLYLRYVGNKLNDERDHSSRASYAVVDNQEATPLLNDAERRPSGGH VAMATAPEDFDPLNKFSSNAVGVGTFDLFIRLSSDSPLMWANQAVNVSWNIYGVSYEI LAHPKNKNCIAFYKVRDIHNTRNCTKLDIVPPSAFFANHGSGPVTGRMMVTTPSEPGM YEIRFLFNYFHAAKLHRRCQVFGLLEENMQSERISNLFVKRDMAQSLGLLHHQEQPVW NTALLQAYAWYLPILDSLLVVASATAPDVLEHPAKFAKSMMDEFGSSCERLVCLPTLK LQACLERMLQPLWTTGFLTFLHGTFSQSQDMVAHAKSFTFVSPTDPYKDTFVSHQLDM LRAAAALHKHGDDEPNVALTMIRLLHDDADLVRFGPSAFEQMALDYLDARSYLPGHLD LLDDLILAHSPLLHTTSLILDNVAITCCALYEPAVNNAVQGFFRHAKEDKKMGLFQDM DVHLKDGCRSHLAKLIRAAFETDIRTGEKYGGWRLDDDVAHCTMSGASVLMHRALRSW IKPRLVKYIMKTLARRHASLTSSNVAVAKQMARKVCRRVPEFRRSAAESANRQPKSGQ SVVYFLHQSQF H257_03501 MTSALGASPADNNAGDQPIDNQQQLQSPTDDFHMLDKSLVVVTD LLLIANEDRHSDSSTLRDSIFLDDELVLTKAKLAEMQRVCTDLDFQVKQLEAAKLLWD VTRLAMDFQVIKDKDAHSRIRNRLEDELIRASHAAKFFRAEADKLQDQVVAKDTRIQE LEAQVQLLQNKNDMNLRLKQLEAQVHQLTATNRTLITENHELKVHQYELQHDLQPVQR SSSWNLFNQNKATSKAKGVVLLKKSTNSPTPTKEVQPTVKSSANLELIRMLKRELDDN KQRDSIDAWY H257_03502 MTWLHVSGKGFCTGKSYSTLIEILESERRGTGANRTPIVASINH HLDPLAPTTRNLE H257_03503 MTHARRSLLGDPAEHHQSNHSTSLPLLSPYSHPRQEQSSPVAAV GYLAMYLSEQAKKEHIKHALPLNSPRKGAKLQKLVASPPKPPPRTHKQRERQSYDLAD VKLLAGSLNVKDEKRITATFEQHIALAAELHSIRAKVGGYHATIERERARREDLRLHL EHSQSMLPQCQSHKAAQDAQVRVLDDAIAVAAREAAELKRTLAEVNAAAKQLHATTDY THKCLQSVPSLTIELQDVKHQLDQATSQLVAAKQRLQQSQLQNDTVLVELAQQTADES TKLRNLHVELGQSSQTCQHVAQFVARCTREYVDRTGCRLT H257_03503 MTHARRSLLGDPAEHHQSNHSTSLPLLSPYSHPRQEQSSPVAAV GYLAMYLSEQAKKEHIKHALPLNSPRKGAKLQKLVASPPKPPPRTHKQRERQSYDLAD VKLLAGSLNVKDEKRITATFEQHIALAAELHSIRAKVGGYHATIERERARREDLRLHL EHSQSMLPQCQSHKAAQDAQVRVLDDAIAVAAREAAELKRTLAEVNAAAKQLHATTDV GSFIDDWRCLYKDTLALVHAQVLAVGAEPDDRAARCQAPARSSHESVGSCQAAPAAVP ATE H257_03504 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRP GTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQGSAVLALQEAAEAYLV GLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERS H257_03505 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGV KRISGLIYEETRGVLKVFLENVIRDSVTYTEHARRKTVTAMDVVYALKRQGRTLYGFG G H257_03506 MIPHRCRPARTTAVGRMASSQRIRSLSHDESERTLSKPPTKARI QSDNRSAKVVTVERTGSTFDKAAHPSTSFRLNDVEKGFNNVVKIVKTAFVEDEIKRAM ESNWMLVDTDASEVDALDDALDTLRDAFLFTTSFSESKLDSSAHSIILHCEKVAERIF DQKESREVMLANWNDTNLMANLQNNLQEIKTLVLPPVNRRDKAADLKILKRIERFVSL MSTSLQAMKADLQSFLTDLDKILLECSTLLVEVITFLHMRSRTADFSGTGVAAGAAGL VAGVLVTVTALLTAPMSAGLTLPFLAAGKGLIASGVATLAPCGLMLASHNKQLEGLAS LVRSLKARLEILFQRREVLVAAYYACIEAEFNIQHGGAFCKDAVELEMSPQDNSLPFM TLVEKKLRVIEDNIEVFGSDPSVFHCCHEDEQQENGLLYQDT H257_03507 MSRDNDMSAAPSDASVNGVATQADMPHPVVPVVEEEVFEMQSLH PYKLRWRESSRPWCDRCGGDPSSPYFILPLPFDPTSTSKDDDCGVKRGWTWQSRWTID RLYTPCDEEGWTYGMSITAMNKHLADGTYRVKPRPYDILRRRRWVRRRARSQAAAADG AVDEGDKTRFYRVLRLDSALSHIMHGRATPLQQMHNLKFHPDDIVMEGWLGKYGSFTH NWKLRYFLLRRDTNTLVYLQDTSSLLQLGQVPITTHTAVEVLPPASSTSHHQFAFELV NGARRWRLNAPTEAIKMQWVNAIHDIMFQRQPPYSLQCAPMHRTRPDYQTSCVLPQLM ALETVWESAVSFIRSTFDDLLSHSAPANTAAADHSVLLSKNLPSPAAPSSELVAFRHQ VEATLHTLHQQYIAPLKSTTNLTLLSINQAKDDAYNGCLALVESILSFQPPSSKTVLK RRSGDATRRQSIPNEWLAADTPSSFVLPAATTTGSTSDRGARRLDETNPPPLVLSTKT IPAKASLTKIPPPTSPVSPSNKPHRHPPCGRKHVRAQLRRAFEHRAEYLERGIGGLVV WANERDIGSLIAYTLVSPKYVDQLQECCKAISVLHELQGKMTLRTPPTYREIKNPATH HFKCHFVLDTYSTSTSSSHPPTTTKDGDATTRHSDGSAAPGGTADDDAVTSVTVYFAP QFHCLRQVTEPGNTGFLNAIAKSAPWETSGGKSGAFFSLSHDQQFVLKGVAATEFNMF VEFAPAYFEYMATVAESKADSCLAKILGAYKIQLHGHAKPMFVFVMECSIFGFDLTQL YDLKGVRRNRTVDRPVVYKKVLPDENFVDRVPVHVRPQDLTRFLDALSADVTMLSANG VIDYSLLLAFDDASLSIRGALIDYVHQFDFIKRVESGAKKMYQSPTVLPPIPYKVRFL EAMNCNFNSRHDQPRVDTPNEVGPPTPGKVQRSQSDIITTTSSHETVANGIRPRSVSD AGSHAGPRTVSLVSIVE H257_03507 MSRDNDMSAAPSDASVNGVATQADMPHPVVPVVEEEVFEMQSLH PYKLRWRESSRPWCDRCGGDPSSPYFILPLPFDPTSTSKDDDCGVKRGWTWQSRWTID RLYTPCDEEGWTYGMSITAMNKHLADGTYRVKPRPYDILRRRRWVRRRARSQAAAADG AVDEGDKTRFYRVLRLDSALSHIMHGRATPLQQMHNLKFHPDDIVMEGWLGKYGSFTH NWKLRYFLLRRDTNTLVYLQDTSSLLQLGQVPITTHTAVEVLPPASSTSHHQFAFELV NGARRWRLNAPTEAIKMQWVNAIHDIMFQRQPPYSLQCAPMHRTRPDYQTSCVLPQLM ALETVWESAVSFIRSTFDDLLSHSAPANTAAADHSVLLSKNLPSPAAPSSELVAFRHQ VEATLHTLHQQYIAPLKSTTNLTLLSINQAKDDAYNGCLALVESILSFQPPSSKTVLK RRSGDATRRQSIPNEWLAADTPSSFVLPAATTTGSTSDRGARRLDETNPPPLVLSTKT IPAKASLTKIPPPTSPVSPSNKPHRHPPCGRKHVRAQLRRAFEHRAEYLERGIGGLVV WANERDIGSLIAYTLVSPKYVDQLQECCKAISVLHELQGKMTLRTPPTYREIKNPATH HFKCHFVLDTYSTSTSSSHPPTTTKDGDATTRHSDGSAAPGGTADDDAVTSVTVYFAP QFHCLRQVTEPGNTGFLNAIAKSAPWETSGGKSGAFFSLSHDQQFVLKGVAATEFNMF VEFAPAYFEYMATVAESKADSCLAKILGAYKIQLHGHAKPMFVFVMECSIFGFDLTQL YDLKGVRRNRTVDRPVVYKKVLPDENFVDRVPVHVRPQDLTRFLDALSADVTMLSANG VIDYSLVRCRIYCAFE H257_03508 MARNNRAPPPVAVLRGHLSPVNTVCFIPSRPITPNDDVASLSSV APRSLLSGSADGMLKVWDLTTRREASSAVQAHSKAGILHTTTQGPNIVSQGRDGLVKW WDVVDGGGMRESRTLAIGSYTFTKAHVVDSNLLLVPTEHAETVALFDVRTSGTHPAMI FEGSPIKSGMCMSLTSMPVSDQTVACVGFEGGVVALYDFRLAAPPLLSHAVSTSTVLC MDAVCTSSTLLCGTSGDDLVALRVHPDTTAATADSFYRSKQPGISAIANRSVDDRIFA TAGWDHRVRIFHKRGKPLATLKYHTESVYSVGFSHDGDWLASASKDHKIALWSVYPPS FSSTSSTSST H257_03509 MLNELKKQTSKPKLTNPPQDLASPSVPPVLDDTNGQLISSRAAK YQVEEGGLIPKSSARHPLHDSNKIVPVRERLHRNSAGATDDANDMRMRKNKEFFQSKR VHKSTNGRPTKSNLYKRQAYLHPNSQFRAGWDLFMIVLLVYTSLLTPYEIAFVETVTV DGLFMVDRSVDLSFLMDMGFNFMTPFVDKENNQLIEDMGQIAQKYLTGWFILDFVSII PFDVISMTFKGDSGGPEISAKKDLTSHLKIIRIIRLFRLIKLVRVLRASRIYSRWEAI LGFKYTSVKLAKFLSCVLILAHWLACLWGLTPALEDVGPDEHSWMDAYHVDQSSALEK YVVSLYWSVMTIGTVGYGDVQPKTDFERCICIGCMLCGGGTYAYIIGAVCGLVASMDE AETEFNQQMDHLNVYMNKEKVPRDMKVKLREYFLHSRDLLQHKYFSHVIATLSPGLRG LISVYTNGEWANNIYFFNGGPYDEHVRFVTAITQQLKAELYPPNENIVDLGDPTDKMY IISKGIVARMGRVMGKGRFFGEDVILSHGIRKYTVRTLTYVDAYSLSRTDLESVLSHG MFPFKTKQIRVAASFLALKRLLQSLMLELRLLRRDTASKGFTSVHETTWMRRKLLGDP LATHNQVQFQLHLAMERLTTAVKHCDDSMEDAAQARLASSTDGSILLSAAHKRTDSIA TSKQLLLQAIAMLQKLSDTRVPPLSA H257_03510 MAGLGFSNSEFAQMLNVSVEVVHEEVLDDLKPVETSSMEAPANV PVPEVKPMLPLPDMKKMGTWQKHTKGFGMKMLAKMGFKGRLGKDEQGVAAPVAVKARP NQMGLGFGDFKEAVTLKQNKEIERELHGKVEGDDVEADDSMGQPPDDGAWRKRIPGAS IPTSSRKRKRKTIDDVLEEEKSAIDLVVDMRGPVAQVYTDGMGSVSSSSTTMPTTTPL LGQEILHNVRHIVNQTEGSIRALHAKISMDRARIQALRAAHAVDAGTLRQNQVAANNV ASLVSGLQTLQSTLQNEFHGNIWAIQAIVSTTVTLRTSFPTEFEAHRVIDVVPSLGLP LLQAMTSSWHPLRVDASAMDLRGGFRMLQECLVTCVAPLEDTSERGVFAINVDSTTRH DQIYDHLVRQALLPKLVSALHRWDVQTAPPCLALIEFLRGFVPAVMVESILTNHVLPR LQHAVRHCDRVADVECIHDWLLPWREHFDATLFAADLYPVIRETLARTLIKWHPNDSS VFAVLLPWREVWTPEDFALFTHKHIVKKLVRVLNREFHINPKAQDLEPLEWVLAWHRV LPDRQFVALLEAEFFTKWLKVLAEWVAQNPLNVAAEMLTWYSGWKALFDEFDLLQEER ICMQFHGALQLMQCVQQAATLPGLKLLPPTYEDALLRGKKRAAPVHPKHDLHGTVNMR DVVEEFAMQHNIEFAPTKHKSVDGRQVYAFGRQHIVIDHSLLFIESTKGQFDPIDIDE LVKKA H257_03511 MARKRKLQTKAQRIKEVGAEIEAFIEEQGEATKLQNYGDEHLFA IDTAGSTSKKAKLTKDPAVWSGKYKPEKAKALKKTIDRIQAKPAVAATKAKKTPALEA LWDTEGNAATPAWINEVDEYVAPAIIKKKAPLSNAPSTKHKVKAVEVAADGQSYHPEV SAHQAVLAEALAKVAEKQKQREKDLAPLVTGISNNYIMESSDDEDDNELDESNVNNDN VDKPKIKAKEKLTRSDRNRQARHKALEKELDSRRTNKKLLGQINNVKTLESGVKKDEK VYQKKKQLKVLLKAQKLDDEPEVMIAGKKRKVVRPTLVSTAAELSGNIRSLKPKGSAM KDRYDSLLKRNKIEIGKTTRNRTKWTKFVPRHKYRDDFTV H257_03512 MPRKPVPPRNFTHRHARKTVEISFEEYTHNKNEILGKIYRRLFW LACLATTFGLIELVVYIVITAMYTTTVEFRRPVLGVALKSVYLVYWGMSAVLCAHGFH LHLKWRHFLKDGTHLVMHCRLFEVLGLGWFFAASWLLGGIFHVFGFVDVSIIGANMQN ILYAVAISTLLLQLVLCPWFFKTIHSKTTQIDDIYCAEFLGKTKIKHINVEPLTDLND IPSDSEHDVNDIHVDNGSSSSSEGGASESSHHDDDSNESHGDAEVLRGPAAVQFTIDP KKTLQKSAFWDLWKSTEVAGSFSCTFHNKPPTLAEVTKHLNARGFHVVSSNTSKDVVE VFLYAHSQADDSVPFLAEFIFVYPRRYFQTTFKCASKDVAGEFVKQFQLHQLMDTDD H257_03512 MPRKPVPPRNFTHRHARKTVEISFEEYTHNKNEILGKIYRRLFW LACLATTFGLIELVVYIVITAMYTTTVEFRRPVLGVALKSVYLVYWGMSAVLCAHGFH LHLKWRHFLKDGTHLVMHCRLFEVLGLGWFFAASWLLGGIFHVFGFVDVSIIGANMQN ILYAVAISTLLLQLVLCPWFFKTIHSKTTQIDDIYCAEFLGKTKIKHINVEPLTDLND IPSDSEHDVNDIHVDNGSSSSSEGGASESSHHVRSRHDVTMTNTCRTTTRTKAMGMQR SSAAPRPCSLPLTPRKRCRKVRSGTCGSPQKSLGRSRARFITNRRRWPK H257_03513 MASAPQPLVLAAHGYDALKCVITLNETQTPFTLKVNSTTASLTI PSTSQTLSGANVIARYVALAAGALSADDLAVDEWLEWEKYVLRVTLDKTALATLIDAK IKSATFAVGSTLTLADVVLGVALRKSLEWLPQASYPLVTARSYVASLFQRPVFASALT AVVPEVASAPSSFRKSQATAAQLQGKTYDHVLGLIEALFIEAVDTAFPGMLDRLQFRV EVSRTKISKFGDYQCTSSMSIFTALKGSPNAPGSPRDVALAIIASMPSNPVVEKLSVA GPGFINAFLTTPFVANRLELLLQQGVQASPSKKQKVVVDFSSPNTAKDMHVGHLRSTI IGDAMCRILEFQGHEVLRINHVGDWGTQFGMLITHLTEAFPTWKDEMPDITNLTQLYK NAKQRFDEDEDFHKRSKDGVVKLQAGDAESLSAWKILCDVSRKEYQKVYDRLDVRLDE MGESFYNPIIPRVIDMVDAQGITEDSDGAKVVFTTKYKQPFMLVKSDGSYLYDTTDIA ALWYRLHELKADWIIIYTDYTQQDHFGLLFEVGAMSKILDPAKHRVNHIGFGTVNDES GKRFKTRSGETVRLVDLLDESKVRMKASLLERIAAGQTTLPEADVDHAAELIGYGAVK YFDLMRSPQSNYVFNYDKMLSTNGNTAVYLMFAYARLSSIVRKSGVDMDALAQQSGVL NVADPNEAALAVELLQLQDVLVFINKELATNWLCTYLYTLSEKVQVFVTQCRVLGSPE QNSRLLLCQATLKIMYTCFKLLGINPLDQI H257_03514 MPRLRWTRTTTMITTLLWFLLFTQPAWAKSTVSVSRKEGISTGQ VNVLQGFPGALSLSYFLTGPGDLHYTIVPHGHADVAADAIKSAALATPPSPTAGTFTS TSATRLAWNVLALDANTTYDVYFVAEASNSNGVFGTVVSVNGTTTHPHAPRLTLQHSE PVRASSSSATFKVNVSAPGLLHYMIVPTMAGSHNLSAMDIWSSNQSLSLFLPSTDAII VNVTDLAPFTSYDVWLTTEVKGSDGVLGAVLHSAEAFTTHAVAPDVVHVGCLPRGGTT TELLIGLRLQFPPNAFANVHESALRAVQYSLWYVADAATTSSVLYDAAGAIQNATNST TRQAGQWHLSIPVHENNSVHIMAATDTTVDLHANVSGLTAGTNYSMAVVVETKGSRGL VGSVLSITGCGTHPFPPKLAAVLVAAQAQTTDTAVMQVSVEALTNVHYVLGTPAAFRQ VPPPHSYTCLSTTYNASFVRFDTVSKVANVTSISLTDLNASTVYAVAVFAETVDSFGV YGPLSVPVTEFRTNDPAGNVTIVEAIPVLGTTTDISVKVHLTQPQNHVALCWKPAAPV AFTCLNHTGNIVVGNLTPDSTYELYVVASTLQRVESERSDLVTVSTHAPAPVVQGATL DRIDGRFDQLLATVATATSGWLHVGLFQTDALEGKPSFTIDGLVRRQWPAHHESVLHV SPGTTTVMLEHLAANTTYTLVVFGESASVPNGTQSNVYGDLHLVNVSTYALAPTILQS AALPQNATTDQLFLIANLSSPGRLHFMISDTDLHDPKVLKQPFQGQHMTPFVRRGIVD VTSQVWTTVNETRNGVNVTVDVALPIFNANHTIHGLAADTMYHVFLTTETFDSFGVFG ALIAPHLATTHAPHPTFTSLSVVPTPGNPKSISLNLTLSRYGLVHYILLLRSLPFSSL EDNVTSSNGTEVLIPSEIESATLTGGQLQRASLAELGGGVLHNGSIPISRDEWAKVDT TTHKAFADLTSGATYELCVVAETDASNGVFGPVVCHVVTTFVDYSNATLLEDVMSAEP VPGRTDQVELTWRKLSMAKVVPYFVLAQGGHATFSTTSFGRTPFSQIRPGQHGVVAAG SLPKVEGTSDLYRIVVGDLDAHTAYSCYFSGETIDSFGVFTRVNNSFPVTTHAPPPVL SSYFARPASGNTTGVEVVLDVGCPKNVNCKDALVHVVVATTACANAPTAPFHLAHGCV LAYTVHNISIPGNSIAKQHLVFLEDAALLAPNTTYTIHIATETPHSDGVFSTVKTTSV TTHPTPPTFVDLAVRPKHASTTELVLNYQLSAPGVVHFIIGEDSKHIDVQSVYNISAK KTTSGEDWHKYPPQTIAYRRSLTVPTTSPHTEVLGYLTANTSYTVWVVAETAADANLY TPLAVFANVSTFAPAPLLLSHAASPTPGSTTQLRLQYTTNDVTGVVHCLVAASTLWTP TLTGPTSFGNRIGYNTNIVAQVSLGNESNVVDISVPFEDTNYTIVLVTETKHSNGVFG AVAQLDNIKSSAPAPVVLDVHITATDARTDSLTVNVRLDRAGTVHYSLTEANHPLAVT EPPPFVVANSTNVSFVTSGLRESMWYDVYLQTETLDSGGVVGSLVKVVSPVPTHGPPP VVLEEVDCSGAPNCDTLGREPCWLVSNTCGECREGYFGDEPGPSNGLCVAGTKKKGKK TIGIKVSGVKQPTPPAIAEVEVQSNTAAVDLCPANAHMSLETNRCECVEGYVMEHNAC ILQCPPNSSMSAPGRCQCDPGFVLDSLQTSCVLQVTGSSSGLGSGGVYTAT H257_03515 MTEAAPVTENKEGEEKEEAKPPPPPWFVKLDLRHFSMDRFLYTL DTFISTKKGQTSMLVGFGLFLMVFCGLFYSLLPHGVPAGKHATITTVATEDIANVTVT VVNDSDATNATTTAVADVPSTTAAPEQYTSLIESIWACWLFIADPGAQGELHEWNKRV FAFFVSVIGMVYFFVIMGFVVDSIRDKMEDLKKGRSNVIEKNHSLLLGWSDKSVSLVK QLCLANESEGGGVVVVLAELEKERIEAELESQMEPHEYHGTKVVVRSGSPLITNDLKK VSAHTARSITIMATSIDADKSDASCLRVILSLRGLFKLQGHVVAEIRDIDNEPLVHLV GGSIVETLVSHDIIGRLILLSARSPGLSRVYNSVLGFDGDEFYNKAWPEVVGVPFGEL IVRFPAATPIGVKTVKGKIVIKPSMTYKIEDGDEIIVLAEDNDTYKPEPPTLVPPVPI PVIPAKDKIKEKILVCGWRRDIQDMLVLLDSFLERGSEVHLLNELTMEERDTFLSMSG VDMESLENCAFLHFVGNTSVRRYLEPLPLEMYTSIMVLCDFQRELDILNSDSHSLATV LLVRNMQKNRRDIVKESLFAPRICDALGRWTRHASNKCPCITEILDPRTQKTVVANSS IAGHSDFVMSNELISCMLAMISESREVKQILTKLLSPKSNTFTVQPSSRYCTPLEVLS YFQLAVRLVESDELLVGYISKHDKNAPAVLNPKDKSAPVLWRNFDLIVIMGGSTHDDQ HVDVKRAVVESIETTLRKTSQVGKKLKGTTKNATGLVASNPPDPKVRTRRSVTRRHLS IRAAPTFDAEVQLTPEVRRKLSLLYDEVQGLLHQYNVQSG H257_03516 MADVKVVPTMSSQKYTVADQGDPNKRPSLLVTKSSVTEAAVKPP KEPRNYAYSALLAYKVDTFISTRRGQTISLVTFGSVFTLIMAVIMYSVHDAAEVEFDE ALWNSWMYMTFPGAQREAEGWSHRAIAMFVSIVGILFFAVILGFVVDSVREKMDSLKK GKSQVVEENHTLLLGWTDKSVYLIRELCRANESEKGGVVVVLAEMEKEYLEAELHSQM VGEDFLGTKVVFRSGNPLLIIDLLKVSAHTARSIVIMATLGDADKSDASVLRIILSLL GLPHLRGHIVAEVRDIDNEPLIALVGGGIVETLVSHDVIGRLVIMSARSPGLAKVFSA VLGFDGNEFYISEWPGCVGVPFGQLPERFKAAVPIGIETTDGDVEIKPDPERPMEAGE SIIFLAEDNDTYKAEETAIAIPTTAAHALVTTERQLEKILMCGWRRDIRDMIKLLDDL VLQGSEVHLLCEDPPIEERDKQLVESGMDLSSLVNIELIHQFGNSAIRRHVDQLPLED YTSVMVLGDQSREMDILHSDSHSLSTVLLLRGLQAARKRRARRRLFAETIDNAITKWI GNKDDHISQCPCITEILDPRTQKTISSNRTIASHSEFIQSNELVSCMLAMISESRKVK KILNELLGATGCSFQVEPSVRYCDPTESLSFFQVAKRALVHDEVLCGYQSRVASDSTV LNPPDKEAQRTWKDIDFVIIRGHRERDVANEKMVDDGAAAFQDAARHRHVTDLLEKNE IDQVQEDVGKTLQFGLTSADNRVVEVTKEVLQRLSAKLSVILKECEQHKVA H257_03517 MSTDGPTLHTVEETLKAVAHMKECLGVVEDGCNASDMASEAIFI SQTKPSMDDATALSRQVANFLEKAKHKHDKEQLKALQKDFVVTMKRLQETQRMHARKH EAVVEYDLIQGGAVTSDEFKAGKDVLEKELALADEMGHLVHAVGQVNKVVLEQTKVIE HVKTELDAVAITIGESVSAEEQAAYLKWENIKKRALITALAVAIAAAIVTPIVLAFT H257_03518 MDGIHTVAAADASVARLKECMAKLDAECDVKSPRSEPDFVAVTK ADMDEATALSREIAEFLDSQRRVSANKDKLKATHREFVEAMKELQVIQRNYARKREEL VEYDLIQAAVVSPDDLKRMKDEQVKLAKLVEETGTVHQVFKAVGEVVGSQTTDVLQVQ AKAVDLKLQIGRAITEEEQAAYLQWQALKKKCLVLFLLLFIIAGIATPVVLYYVNQSQ S H257_03519 MVTTADSPAPAAPPAAETVPVDVSAMKNKKWMYVDAAGGQKGPM DEAVLKRLLRRGLVHGETYVWSPELPVAEWKMAKDIALFTPVCVLWQKVPQWHYYDHL KVQQGPISTADLVRKFEDGDVDGLTLVWSSCQVVATWTPMGEVSALKEELHEINLERE NEEAILATQQSLDPKLQTFHDDASSTRAIVAEDGKEFIYDPDTRKWITPEDKILDELE DLAEDRGDESAKPVKKAAPPASLSHSDILEAAALAAKTDGGGDDSTAPTIDTTNPKKR KKSKSKKTKWTSSKQKTWVYINGLPLDITIPEVHDHFVKCGVIQKDLHTDDPKIKLYR NKDTGQLSGDGAVCYMKEPSVELAIQLLDKSDIRPNCPIDVSVAVFSQKGESFVERKR VKLDNRAKVKKFEQEKALSWGAGGDEEFRIVVLKHMFKLDDFDDKEEGDELKEDIKKE CETLGDIAKITFFEKHPLGVVIVKYHDAEGAEACIDKMNNRWFAGQRIECSYWDGTNY VIKESDVEEAERTEAFGQWLEEGGSDDSDDDGDDGRNDGMHAGRRVLPDSDDEEDADG EEQVHAGRVLPDLDDD H257_03520 MKSGQLTFSKPGTDMSIWPKKAWSIEEELLKKKNKSVEEKYQSL LGEYQELRVANAKSMAKLRELERYKEISDDIVNSASTSRRMEEKERIEISSQMQQYRD KLEEAVENICFLEKENKRLKSDVTAAKLDATTSNVLLKTLDDSKSKLSTTESRLQLMR IEILELKNRKADLNSQVQDLKARLHSADTLAERKSDECKRLSSKLESLETAWDSTRSQ NSRIQKEIRSVAEVADINRELSEKSKHRIASLENEVDALQLKLKTRERTIDELRTDNV DVRTELNVLKKDFSELQAINKDLRRLSSDHNKHDKDTEHALSMHETEIKCLRDMNRSS SERIEELAAENAKLQGQLLDVHSDFLKKVQSDASWKHKEKEKLRREVEDEMDVLKKPR VCKHCHESFTLEKNNAQSCTFHPGRYLPRQYPLEGYSWSCCCKRDISSRPCKFAGRHV ERETL H257_03521 MRGNQCNSQALDQIDEEAHDNQQAHDSRSTTSAAPSIDDMLSMT APMDHEGMTETSSEVDTDEDKNYESQYLSLGQQYGARSKQKRQERRMQELLDQKMQSF NGVSRAHGTSVTDPVRHTFSSEFAPPRSISNSSSTLNSSHPSMVMGPVLQSIAQVDIH DGHRRMRSFEGWLLKRGQRVKNWKRRYFTLNSQELKYSQGPGEKPKGFGMVVGVKTSP EFAYALSISMLPTRVLDVQAESTDEQEAWLQRLLVASSSATTITPSLAPSLSLSLPNS SAPSSVAVSPLPSHQAQPRPSMDLYPTPPPSTISTKRNSSLSSQDSSLGHDDESDVVV GHNSSLIMDTASECEGWLYKQGSFVRNWKKRWFSLTHHVLSYRDMQSSVTIKGHGCVH SVQRSTTTHAFGLVIELDNHRKLHVYADDADTQKRWHHAIARVLQPSVAPLTSGEMPQ QQAAPMLSASQTLFKQSKTHGGYIKNFSGWMSIPSGLFNASWTRCFFTLHGVELAQSE DTSAPVARVGSIQKVLPWEGKSGGLEFHMLGQKNVWRTLCPSVRAATAWVAAIQDCLG RTRYTIDKFLRSADAKQMQTTMCGWLTRLPAKQHGRLRQYYVLHHLTMSVANDVNLPP QEVDVVTAILSSEDRDCALVFKFSTAPDLVLECDSVDSWTRWQRIVRTCLKEPQRAHY SLS H257_03522 MYQVHAGEDGAPSGRRREMSKKIPYRQSSMASLNTARLLSPNQN DFKQCRTHGAFHKNFAGYMKIQTGFFGMWKVCFFTLQGIELTIAEDEGLPAARCDTIA YVSASRGKPTLLQFHMKSKKVWKTQCISTDIANAWFSAVEDCMSRLSYSIDRYLRSCE KRQTPTVLCGWLSQLDAKGKVMGRYFYVLRHLTVSMAPNVDVLPEVYDVVTDATAAGA DGAMELRFQTQPSMVLRFDSVELLRVWHAVVHTCMKEPSRALFG H257_03522 MKSKKVWKTQCISTDIANAWFSAVEDCMSRLSYSIDRYLRSCEK RQTPTVLCGWLSQLDAKGKVMGRYFYVLRHLTVSMAPNVDVLPEVYDVVTDATAAGAD GAMELRFQTQPSMVLRFDSVELLRVWHAVVHTCMKEPSRALFG H257_03523 MQVTLAVHGLSFPVACGEGMQSIKWLGLVAAQRYALMLPHGRCR TREDAHSKNGFYLPSEVRNSEGDLLSPWSRIVECVREGETITIVLQHEVPVDDIGVPQ LSSWAVSAFSNTTNQRVTDDDNDLIAADEKDDHVAAKKGGSVYSNLQGYSSSFHANHV KSGQFISQDELESAFYHDVARLALDEFVKDPKEKDDVEDVLLKYFDCLVAIFKHYSLG FGEDAYSMSGAEFNHFVHESTLLHHVKDAALLDKLFVRALREPGNVRLSRVEFMQALV LVVVTHNKMYGDDMPFLPALEKALKDSVKPACNRLTSGPFRDTLHSDGMLAMLQEAKP KLSRVYDKYASGKQTNVEGPILSVSDFRSLVQDSGIFCTGDSDKHEALFGQAIGQCFT GMRDIKDGEKQFVVFAEYVEVLCRLSLAIWDDKDVSAKETIRIGLDAVRALSKPAK H257_03523 MQVTLAVHGLSFPVACGEGMQSIKWLGLVAAQRYALMLPHGRCR TREDAHSKNGFYLPSEVRNSEGDLLSPWSRIVECVREGETITIVLQHEVPVDDIGVPQ LSSWAVSAFSNTTNQRVTDDDNDLIAADEKDDHVAAKKGGSVYSNLQGYSSSFHANHV KSGQFISQDELESAFYHDVARLALDEFVKDPKEKDDVEDVLLKYFDCLVAIFKHYSLG FGEDAYSMSGAEFNHFVHESTLLHHVKDAALLDKLFVRALREPGNVRLSRVEFMQALV LVVVTHNKMYGDDMPFLPALEKALKDSVKPACNRLTSGPFRDTLHSDGMLAMLQEAKP KLSRVYDKYASGKQYVRCYVLKGMIYSPRTYMQCGLSPSCTVCQSTMWHHVQVQCG H257_03524 MYQVELSSEGTFSQPRYVADLGRGKHDKKVTSVTFIHGAYHELL LVCAGEEGSIQIWNASTLELVDHHKKHKSTEVMAISSKDDNVIVGGDRNGVLSVWTRS TGHIGLHVPIPGDCIYSIDVCPQRKHHVAIGYRSGKLVVVDITDGSIVTRFKGHDEEI HAVTWRPNNATADAKPVLASSSRDKRIRLWEGATALNDQGGNASMLHEWTLPRPKKAV SSHQMGRLWLTCCWVPSSNFSVVCSSITGDLFRLEWTPKQKKVTAPTTFKHNHTRLVF NIAPLVVAYNKVLLLSISMDRDVRLTDAMSMECHAKLTGLGGHVYALSYNAKKALVAG GVGDNTIRLVDLAKSTTELLWKGLQSKVTAVAWNPLGSSMLAYATEEGHIGLYNGQDH TRFKTYHGGGLVRQVLWVVHSFPSENTTADDWIQSLESMQVGGDVSLEELKAPADSAP STTSCVLWSCAVDGVVYASNPDAPDANSIAMNKRWGVPQVTCMAWLGGMVALGTPEGA VVVVKRQGLTAWTRVHTFYDHTKAVTAVAWCATSSQLATASNDATICVYALDGGVLSP LKHCLTGHGGGITCLDWSPSGVYLASASVDSTVQVWKVGRSEGYNFREHSGRVLAVTW VDDATLASGGDDQSIRKWTFADLPHTMPPKPKHIAEGAVMTAGPKRSVACTSTKKNKA AGLASIAPTSSTTMFHTSSPSNKLAIEIIPTSGHALTDFLRSERAQFEAEGDVEAAGR LLLLQGRIGEALRLVSRHEKLSPVWLAHAPMAGLDVWREYTRLYADRCRDKRNFGDAV EAFLSIGEVYAAIECLVKGSMWKEALTLIHLRCAPSDPILQETTLLYIAHLKAQEMWR DAGTLLQSLSMTDQAISCFLKQHDTLGVALELMDPTCTSVLTLVDIGTRGVLNRQFEV VERVVHLLSAATHKAQAFLLQVFVFYTQDQLSDTPPTGWMLDDTSTLWSHLHDKANVD TLPLCLQTMLDQVAPKSDKVWARLIPYVQSLVGDVDDIEDAFAGGVIDTAFVKLMEAN AVGYMVVKCLCQMSLDLVQGNFLAAFEAWSACLAQVAPLTSSNKGDLLEVCALVFPTG VNSTPPPVGELVTDSACSRELWATFFLYQSVAFSRSLRAAADADDDNDHRRQEVQGAL LFVIQWINQLLPATVLQDMDESVVRVAQTALNDLSLLYHQLLDDNRPPLAEISAPST H257_03525 MPSVVAKEDSGGKKKSVMGRLKSKFTNPLGRKKDAAPNEGDLRG MQPSPSQLDLCNQGSTPRLESSGDDDTSHNKEDDDDDDVNIEQHDDEDVNIVDHGEPR DDEMMEGVASSESLMSNNEQPSAYTFDADSEESNGIVLGEEELLVSAPAVADLIVIGK TPSKLQASSPKKRLFAREEISHEAPSSLVAPPEARASTDNLCPSPVKRPSVHPSPPLE RLESTTPNNRPEPPVLVKPKKSRAFHEDAPILATASAVVTDDDIVTVPHSSSDAAPEA TTVESKPDPDFDAGAAITSVFGERVARLLGADPWGDRQDGFDAISLFVKKLDPSASGA PPPAAVLTASLAAINCGVVDRVAPVMYCSLECLQHVLGVLVPLTAKNKRLLQHAALPL QLHALQTSLLAKLNDSNKRTQREAIQGLLRLAKAPRLQTMASLMATFDSEPLDKSRLE LIHELVAELGYTVVPVDKILAWTVPALKIADEKTRKLALDVAAAAILYSPDKDAALES LTDIKPAMLKVLQRRVEELKTSQAAPSANQTESTLSATPEVGDDSPVALFEVPKEDDA SVTTLIATAMREAENVAGPVAWRKLESKTWSDRKEALVDIEKAVEEHKSELRDVKPAL GSHTQLQYVAYNALIHQSLNDSIAPVVNQAIDFYSTLIKIYGPHVDWRDTPVKDLTMQ SIMRLLGGMQKPNNRTSKGSCRAILKLARLNTHTMQCVVACVFAKDAEPLVQMHVLRL LVPEFGLTADPTTDIPGVNLNSTLVLNAVSAALAHSNEKVRKGAMDVALCTQRLIGKT RVLKRLKDIKPATLKELEKNFVDIEPPTVDRPSTVQQTPTALGAGLGEVTASRRLLSS APVAGGKSVDDDGGAMDWTPRGNNSGGGSSSILSKDEENFMDSILDG H257_03526 MSMVVEDAKRQRKLVYNRNLLRQKRCKYLSERQALMDELTALEI QLHGQRASSAPLLPWREVMVGLKDDREFQEQLSKELVSKYHTHKEIFRFLSKWANQVV NPQVEPPLPATDTWRNVTLLHNVHARRLGMDWITQQLYHNTDRCFYLHGVPSAGMLND FQVNCSAATNDTLSYIWRFQHEFDMPFDPCRDALRDTVEEFVMGGLWNSRFSCFLDQH VVPDGAQYARSIRSPDEAVNYLAREFASPDDQRVTFVGRNILDDENHPASTHQSHRIF WYDLEKLSPTRTKLRILILKSQDISTKGYVALDEEATYWGCNLRDCPDHLKLQTFRTH AHNTGKSLLALGVYQMQKRMLQASSPTSSKYSS H257_03527 MHVAIRQAEACNTLRRIYERRVDQLDRTMLVLLRNARVHEETVN NMRNFVATSLQCMYRGWKGREVACLARHRHMSAIQIQRVVRGNQGRKRALRERRRQNM VLQSPWAMRQLLSRSLLIRTVGKWQEFLDPWTNEFYYFEMYTQDSQWHPPDEYTAFLA CDWLECRFKANTMNEIHEHKRTQHTWYCEVCQGRNVGYTFPTCPTCTVVMNTPTIVPS QEWPLAIPKRQSVPHLFPPTATPQFSDKDPAPTTTKPPSRVGNVLSVGKQDGGSDGET GGDDPNSKASIVEARPRPCDMDGLDHVNWISAFKGMEEAFAKARFPSGSLYVGNFIHG TTFHGWGEYYYANGDRYRGQWHLGLRQGIGLWNSVCGKRYQGQWDQGRRHGIGLLQFP NGEVYQGEWCDGKIHGRGIHTSANGDCYEGEWLAGVHHGFGTFSRVDGSWYKGMFDQG QAHGIGIVSVNGEVYKGEWQKDFRCGRGVCFYANGGVYSGVWERDECCGRGIFISAMG EKYIGDWKHNLKHGRGKYIFQNGDIFDGNFVSNHATGLGVYRFATTGDVYTGPWERDR FHGRGATYVWASGSMYEGEFVDGHIQGQGRLTYHNGNRYTGSFVQAQKHGHGVFEWPN GNIYTGQFEWGTVNGVGTMVYSSGHKYDGEWRDERRHGRGTFWYANGDVFAGDWADDE FHGRGIFTWRPGSSMQEQYDGDWTHGIRHGQGRYAYLDGNVYDGAWNQGRRDGHGVYV WVNGEVYDGDFVQEEQHGHGKFTNQEGDVYEGGWTHNIRTGDGEIRYADGSVFVGTFS NGLRHGQGSMTYPDGNTYRGMWLDDKRQGGGVYTFHATATETLRLKVFGY H257_03527 MHVAIRQAEACNTLRRIYERRVDQLDRTMLVLLRNARVHEETVN NMRNFVATSLQCMYRGWKGREVACLARHRHMSAIQIQRVVRGNQGRKRALRERRRQNM VLQSPWAMRQLLSRSLLIRTVGKWQEFLDPWTNEFYYFEMYTQDSQWHPPDEYTAFLA CDWLECRFKANTMNEIHEHKRTQHTWYCEVCQGRNVGYTFPTCPTCTVVMNTPTIVPS QEWPLAIPKRQSVPHLFPPTATPQFSDKDPAPTTTKPPSRVGNVLSVGKQDGGSDGET GGDDPNSKASIVEARPRPCDMDGLDHVNWISAFKGMEEAFAKARFPSGSLYVGNFIHG TTFHGWGEYYYANGDRYRGQWHLGLRQGIGLWNSVCGKRYQGQWDQGRRHGIGLLQFP NGEVYQGEWCDGKIHGRGIHTSANGDCYEGEWLAGVHHGFGTFSRVDGSWYKGMFDQG QAHGIGIVSVNGEVYKGEWQKDFRCGRGVCFYANGGVYSGVWERDECCGRGIFISAMG EKYIGDWKHNLKHGRGKYIFQNGDIFDGNFVSNHATGLGVYRFATTGDVYTGTQCFAL VLLVRGETLIGPWERDRFHGRGATYVWASGSMYEGEFVDGHIQGQGRLTYHNGNRYTG SFVQAQKHGHGVFEWPNGNIYTGQFEWGTVNGVGTMVYSSGHKYDGEWRDERRHGRGT FWYANGDVFAGDWADDEFHGRGIFTWRPGSSMQEQYDGDWTHGIRHGQGRYAYLDGNV YDGAWNQGRRDGHGVYVWVNGEVYDGDFVQEEQHGHGKFTNQEGDVYEGGWTHNIRTG DGEIRYADGSVFVGTFSNGLRHGQGSMTYPDGNTYRGMWLDDKRQGGGVYTFHATATE TLRLKVFGY H257_03528 MNSAIIEAAAWECKSWGDSVSAFRVHMESNQAECYSNNGRDCLW VTSMYVCRGNMVDFDGKMVAQPLKPLRCGPMYEQVWGMSGNNETHWCKAMYPSLALMP QAASTPASGGAGMLRVTLAPLPLTVAGKSAATDNDIGVWPAMLCGVTVIVALGAIALV IFMWKKKKQQLVNMTAKAPAYQLKEDNI H257_03529 MRRYLQAIAAAFFAARTGLTEASAAHTVALPRANVELLAIEKNQ AAALQAVELCGKYVDSCAKIVDAIGGHVAYLEEHNLDFAIVNLTIPTPDANVVALSIL VDGHVHSIPLQMHQDPSLAIAVFCRAHPSLSMNDCDSLHGHAMAKSEFEFPKDVPSSH YFRTLRPRQLCPFNQRLYLEIDRLLEHACFFMDTQPEPAYCGRLDRDEPMFVKANVIG QPGPHFVLLTNGTRSLHAVFFAMVEPSVQLKASYGKTPDDDIGHVVMHLEGVDVGDER TRVCLVSTATAPSPPSFDCFKSSALSNDMLVPRLSHTTTSVMALVLNEYNKCTCMSNV IQWPSLRGGFSKQTILAPDRSVFAPPRRHPNKGLLSSSSSLLHSLYDQEWGVYSQNGE DGVLQLLFQVVPATTKVFVEFGVEDGLECNTRYLREVHDWTGLLLDGSHVNDTINLHQ AWITLDNVVDLFQAHAIPQRFDLLSVDIDFNDYYILDAILHQYTPTVVVVETNSHFRY PDDRVVTYDPVGWDGETNYFGASVAAFVRLLTPRGYTLVYCESHGVNCFFVMSELWPA TWTEEPATIDRPPNFFGKGWSYPPSPHATWVFHA H257_03529 MRRYLQAIAAAFFAARTGLTEASAAHTVALPRANVELLAIEKNQ AAALQAVELCGKYVDSCAKIVDAIGGHVAYLEEHNLDFAIVNLTIPTPDANVVALSIL VDGHVHSIPLQMHQDPSLAIAVFCRAHPSLSMNDCDSLHGHAMAKSEFEFPKDVPSSH YFRTLRPRQLCPFNQRLYLEIDRLLEHACFFMDTQPEPAYCGRLDRDEPMFVKANVIG QPGPHFVLLTNGTRSLHAVFFAMVEPSVQLKASYGKTPDDDIGHVVMHLEGVDVGDER TRVCLVSTATAPSPPSFDCFKSSALSNDMLVPRLSHTTTSVMALVLNEYNKCTCMSNV IQWPSLRGGFSKQTILAPDRSVFAPPRRHPNKGLLSSSSSLLHSLYDQEWGVYSQNGE DGVLQLLFQVVPATTKVFVEFGVEDGLECNTRYLREVHDWTGLLLDGSHVNDTINLHQ YRQTHSRLIPPFDSNRHQLLYDVVVGRGLHSTTSWICFKPTPSRNALTCFLSTLTLTI TTSLTQFCTSTRPPSWW H257_03530 MPPRWSSSSMSTPRPSKNRQVFHLSFDAEIPLLDVTWMDNAESN MCVICHDMFHFMKRKHHCRLCGRLVCNACSKARASVYLSRQLERTCRLCCSVLNTLES LGDKRVQSNVPAAAGTTKHAGLTAIPATSREHDYDRVMRVRRQLKVYQPASSQYYIVS AEWFQAWFDYSKRHGAHPGPISNHSLLSFYQGKLHAAQDRSYRLVHELIWCTLHELYG GGPIITTQAQCTMAWTIQMSEGEIVKTLVHTTTQRKKTPTKSSSIQCWFQMECNRHSL MRRASAAPGGHYGDDDEDFSTQSAVQAFAAAANQARRDAEGRISHTNLRLSMAQRQRL SGVHATM H257_03531 MAKQSKRSSAEEKQVSTKKAKRDSKVQYTGWEVPHANYTLDSVN VGDLTPEGFYDTYVKTRRPVVIKGFLQDPSFVAPTKWTNAYLTRQAGSQKLMVEERAS TADSYGQGNEVPMTFAEFIRLLEAKDTLHYLTTQDVEADPETDRPQLMAPIVQALSGD FPVSPALMGNLIPQNINIWMGMSTEGSTSGLHHDYHDNLYILLRGRKRFRLYSPGDVD SMYTRGTLLKVHPNGRINYEGDETTAYGADLHSDQAASAFSAQQRAEKELEEAERELA NNVPGAAERVAKAEEQLEAAMDDAMEVEFDDDDDDGDDDDNAGADVEDDTTRVVDKTV KDPVSFSRVKTSRPNDDLQREFPRFADARAAFCDVNVGEMLYLPASWFHEVVSFNGAT DDGHLALNYWYHPPDATDCFATPYTSPFWTNDYAARNLAESSS H257_03532 MDVGGNNEASAEEWKVKGNDLYKKGDYRGAIDMYTMAIELSPTT APFYANRAAGYLMLHKFQEAIGDASRAIAMEPSFIRGYERKAKAQVALGDLEAAVKTY QSGLMKDPNNATLINDRRSAEMAMDKIKRGREHIKAGKFREGVYCFDSALTICTSSND VKKWRAEALIGCERYDEAFAVLTQLMRSDSSSPDILFLRAQCLYFQGEFTSAIRHLQE ALRADPDNSRFVKEIKRIRSLDTQKEAANDAFKGGRYQEAVDAYSACLAIDPTNKSYN AKLHCNRAAALAKLDKNAEAIRDCDKAIYFDSSYVKAYLRKAQCLKDVGGKDNLEQAL REYETASKLVGEDQQRQYAQYIRDTKLLIKKAKRKDYYKILDIGQNAGEADIKKAYRK SALKYHPDRHANKTEEEQKQAEIDFKDVGEAYAVLSDPQKKQRYDSGVDLEDMDNDMG GGMGGMGGMGGMDPNDIMRMFGMAGGGGFGGFGGGGRGGFGGRSAGGHSHGGSSYRF H257_03533 MPNALPPRSSSELSESRSRHREQEAAWMVEQSDLRSLLDRTHDV DLSTINYIAGVDISFFPGTDDACATLVILSFPELKVTCEASCHTTLTLPYIPTFLAFR EIPALLPLFDMIPREFHPQVVLVDGNGELHPRGFGIASHLGVVTQLPTIGVAKTFLNV DGLTKRSVRALVEEKKAAVRATDIGDTKSSSVVALRLQGESSKVWGMAMCTEGIENPI YVSIGNKISLPKAVEVVQHCSLYRVPEPIRQADLRSREIIRTWEKHGIDKEFKSCRVH RDVVKS H257_03533 MPNALPPRSSSELSESRSRHREQEAAWMVEQSDLRSLLDRTHDV DLSTINYIAGVDISFFPGTDDACATLVILSFPELKVTCEASCHTTLTLPYIPTFLAFR EIPALLPLFDMIPREFHPQVVLVDGNGELHPRGFGIASHLGVVTQLPTIGVAKTFLNV DGLTKRSVRALVEEKKAAVRATDIGDTKSSSVVALRLQGESSKVKPPHA H257_03534 MSDSEGDNSPVISGSEEETVKTKKRKQSSKDGKSSKKAKKSSRV MDEDSDDGGTPKSKFVDDEASESGDDDDDDKVIDSSEEELDEDADTYEKDGFLVADDE EDEEEEEQPRRRRKKKDKKGTTKRSRLRHGRDDRDELDRDDLDLIQENLGKKPARAYS DDDESNSDDDDARKKKKSKGKQTLDKGSSHDLFGSDDDDEDDDAGGSSRGKRRGGPSD QYMDEQDYNSEDEFIVSDDDDGGGGPRRRRTKRPGSGRQSANILPQGPSLDQLDEAEE LFGDAEAFLEATRGGAAPTAASVDPDSEKKAMLLDKYEPSVLKEFHMTTSDSAVRERD IPERMQHLFKQRPTFPDTEERAEEAEWMVDAVLRKLERRPRKDMHAPPPTFHRSNVVT AIEHVLRFYHVEKLEPAYVQRYCKEYWKSAGLHGDELYLIQDLDLKWDKLDRKRKVLQ TNVEAAVLANDTTESTTVRSCYQQVLRQTLDKGLADISQAFQTLEVNDKPNKQKKRPG RRTFYQLCLKGGLKALASQFTVNSAILGGAMVGLVPDSQVQVPTPHVALAETCMPYLS ADFPSVNDVLKGARHVAATQLASDPNVRARMREIYLRHAVVFTDATLKGVDEVDEFHY CHGLQYIKDMPVTELMDTDLYMKLLKGEQEGVVTIHFQIEPQHLIEPLEAAYLDPKHA SEEWQSQRRDIVREAVGGTLVPTLQEEVKADLVTSSQDVVLARCSRLMKERLLVRPFE AKDLLEPHIMGVFVDNSTDEPVAYMVALDENGDVTDKVQGRCKTPNCLAQLTVILTTF LEDHPRISAVVLNTSGGNKSMDVGELVDVVRNKIRRHVQHDPEYLHVTFVKDDVARMY AKSKRAEVEFPEEMEGTRAAVGVARYLRNPLSELCAIWGFVPLEEPGRGKELLYLTVD PLQQMVNQEMLLRAYERVFIQAVNKVGIDVNVSSNFTHASYGLQFVAGLGPVKAMTLI EKVRLSGNLEKRQDLHRILSDKVVYRNCAGVLRIRERDALKDAALNPLDDTRIHPESY YMAVKICGDANNNTTMDLYDPDQYSYAVEDTMFQSATAIKAAMERMAEERITPPPFKR LDDAEIADSLADLDLPAYAQRLEMQQKGLKLHTLESIKQELRYPYFDTRHKYKDPMLE DLFFLLNGETRETLRVGMVVPCKLIKSAGEANVIVELHSGIRAQLKKDNLPSFLTQDG NKYLREHGFPRGMQVNAKIIDIVPDGDRYVLALACDDRSILTMTEECFNRRGIPTWTD VDRVIDDSKARYDRLVNKLPSPDKEKDWNKLMPVGGPVRKKRQIVHPVFKNVTLKGCM TLMKELLPGDVILRPASKGSDHLSLTWKIDTAVYRHFDVHELDKPTEGRIGAKLVVKK EVYDSIDELIARLIDPMNAYLEEIREHKNYRTGDSHTIGDDLVQQKKAMPQSVPWALH VYHKYPGCFSITYVARTSPRSFHFEVKPNGLRFFGELSCEIPIPSLAKAVAFFKKSAA NPPKRRDPPIKPTSTAPFQGAASYGGTYPPPPPPPSRDNHHPSYYDQNRHRNNFDQGR PRDDRRY H257_03535 MEGAWRDDHRGAIECLAHLRHIVSKSSIKEPFHTSSHLRVNKWD SMILDTEILGLMNHPVKNMFALCRPGLMEKFQPEIDATMLAMLFAFSVGMKQPTPGMA LQNLKYASSCFTRHKTIPLFFLSVAIPYGWKRLQRLLLSQRWREDRTIEAEEKYQRVL TLLHRIGTIVAVCQLLNHAAFFKYGQYRTLAERLVGMQLQKAKTVAVQPRAIMFDYMN RQLVWDGFVEFGAFLLPLINWSRLWHAVKRLGVVGFPNANATNSSGCPICLAVPAKTP YQTSCGHIYCYFCLQSSVAAHPDFACVVCGDRFDTSHRVLGRPGTP H257_03536 MRLIWKRAAVNLNDKLDPCSDVSPRIKRQIALLKQNGDHPISEG KAPLTLDGKLYLD H257_03537 MKCCCCKPKISDKKVNQILLCVFAILNSIAAVWLLVNDEYSISN AGIARSTISRIVLHLYHIMLSYALFAATAFGSKQPLEWFGLIGNFIGSGFFLFFLGFL TLMLDNVYGLVVAIITIVYGLAVIVYGIATKKPSLPEGGTTYRNLRELDEA H257_03538 MMTCMPSKMLLLLCLAGLSLLAMHVHADNHAVALVPVHTDSCSA DGGATCDASQTGKADDVAKTAIFEPTHEWKEIQAHEHIPGGLHVRVNLQTGLKEAKLL EDDANQDKAAGPPRRHTGITTTDIYHTETSISTDNNDEHDEASSNGAVRDASGHVIGE SLYNVLAQLPEPPQLDGMNIHEAYGKLTKEQFSAFIAKVWKARQAELKEASAQIRDEV KYMQELIDTLVTPTTGDVDVHEANVIDALEKLEWEVQDLDKAKDFNTLGGLEATVHHL NASSYKVRSMAAWVVGSAVKHYEQAQTWALQAGAMPNVLHSLVVDPHEEDQADTVYAM QRKMLYALSALTQANDKAQALLLRNDGVHILANIMQDARRPATLRHKALQLALHLVLE ARTAASEDGQHPLHALHHAFTSPAFCEATKSFVQTPNLNVRQQGDAIDLVAFQLPSCR HVYEPALLPTLHAINQTWFQDRDLDNEVKAHSMHKLAVLVQALQEPNHDTR H257_03538 MMTCMPSKMLLLLCLAGLSLLAMHVHADNHAVALVPVHTDSCSA DGGATCDASQTGKADDVAKTAIFEPTHEWKEIQAHEHIPGGLHVRVNLQTGLKEAKLL EDDANQDKAAGPPRRHTGITTTDIYHTETSISTDNNDEHDEASSNGAVRDASGHVIGE SLYNVLAQLPEPPQLDGMNIHEAYGKLTKEQFSAFIAKVWKARQAELKEASAQIRDEV KYMQELIDTLVTPTTGDVDVHEANVIDALEKLEWEVQDLDKAKDFNTLGGLEATVHHL NASSYKVRSMAAWVVGSAVKHYEQAQTWALQAGAMPNVLHSLVVDPHEEDQADTVYAM QRKMLYALSALTQANDKAQALLLRNDGVHILANIMQDARRPATLRHKVPPPPSFHIIP SILKVVVVGASTGAAFGLGSADRRV H257_03539 MQQQAQAATALRSSQHRASLPTPPAEDVTSTTQGPRFKYVVQEG DTIAGIALRHGMREYDLRHLNHLFGSNIYKGQELVLRVKIRSNSVPTSTIHDSITSAA PTSAATARRLNQGRSGGGISEESPSTPTISRQPSSNQQDDASITSNNNNNTPPILMKV KAVPPAQPESQPKHSKPLMEVTSIPQLHNATSMDILPDPVIFKSLVPKLEACLPPQYR GYDWAVAYSLAQHGASLDTLYRKVYHRRASLVVVETGDGDIFGAFAASPWAVSNSFYG TGECFVFTCYPKFEHFPWKGHNAMFMFSNDSMLAMGGGGGFAFGLNADLSRGTSARCL TFENRCLTKRSEFDVVNCEVWEFVPKV H257_03540 MSRYNDYGGGGGGYRGDNRGRMGGGGGGGGGGGGFRDRRGDPTG GGAEHLARIHGTEEDRVNCPFYFKIGACRHGDRCSRSHNKPVFSQTLLVKHMYQNPLS TVIAANGDPNQLDQKTVDEDFEDFFEEVFEELCKFGKVEELNVCDNLGDHLVGNVYAK FEDEECAAAAHKVLYGRFYGGRPLMCEYSPVTDFREARCRQFDEGTCNRGGYCNFMHI KMVSRAMMFELERHYNTHGDDDKKGGGDRSKSRSRSRSRNRSGRSRRSRSR H257_03541 MNWVVALALCLAVLTSHTHGCAQDADTDYFGNDIASTTRPSAGD CCQDCSARDNCVGYVYTNGVCWLKSQLTARSFKAGATAGYKANSCSAIEQDIDYPGFD IASTKRTDAAQCCDDCTANPSCSVFVWSSYQGGTCWLKSQKGVRVAAAGARAGSRTTA GQCGAIEDNTDYWGNDVASTQRASADLCCDDCAANAQCSVFVWLRGTCYLKSQKGAKS TLNGAKAGSVGSQCTPLEANTDYKGNDLGTTSRANAGDCCADCVANPKCIVAVWFQGT CYLKHTKGAASTSNGASAVTVRGRTSSPPPANKPPPPMQNVQAQFAYPKSWKAGTYDM VTSLQGCQKRSVYSQGTIAPYHEEVSMVFRGPMDIYDVSVFQFTGAKWVRSSKYSAGT GYSNNIAFMNNANPDKYNGGAPQGYATADGIGFSRDPVQFGGWLRDASNPSDQFGGPG ITTGAEVNIMQASKCTADSCLGYFPANYGLHGWGGSKIFVTKVRFGAGGIPAIWLLNA QVLRSNQYGCNCRGRADPGGCGELDVAEAIYAGTTTIATHNYYLNANPSPGHDTWTTR PTNAPATFVTILDETTGSLKILKFGDGDYNYFDTDELSIDNVNTLVA H257_03542 MGGGASKPASLPLEQDTSTAALTLVTPLQCTSPKASSSPKQRTS LRSTRARRMEQVEYTSMEALPGGAGQDESEWKSKEAREHTDSLHETTFAWKKGELLGS GSFGSVYLARNETSGDLMAVKEISYAEETPDEIAAIQQEVTLLRSLSHPHIVTYIGSE FNEATSTLYIFTEWVPGGSLEDNTKTFGCSEPVAQNYMSQVLLGVQYLHSRHVIHYDI KPSNILIDQHGTVKLADFGASRLLSASSIAKSKSMRGTPYYMAPEVIKQHTHDTKADI WSIGCTLLKMLTGAPLWKDMKFQTQVALFYHIANLTAPPALPSTLSEVATSFVLACLQ IPPDDRWSADQLLRHPFIQQRHIALPPSRHTSNRARASTAAAPSSTTSSSPYNPHHHR TTLTLDLDAPDDEVYQDVGQRHDQAKHDRIPPRVCKTAFAYPDSQSLQLPPAARVHPV VFGGVLEPAVAKSPRPPASDDKEALHAHLPRTATHATAATTSRSSTDESMNGLIPQPR HGQHVSFTPPKTPREEDKLPSLTPPKKKLSDPQVQSIVLEKLGGEDNPSGDRRRSLQA TALDSDEKARPLTIPDAMPILGATPSPDKNRNARTTSDVEVDNATDRRVARSAFDDTP ILTDAAKRERDVVLEREEQKRRLKEQREREWREEQDAYKRSLQR H257_03543 MASKRIGPGSAALVVAAAGIVGLAAFLALKFLDQSPKTNKDDNS RSLSSPTTSPKHATSKAVALSPKAKAPSPLPSPKAKIPSPPPSPKQVPVTKAKAPSPL PSPKAKIPSPPPSPKQAAVAIESAYLAHKSSPPASPKRLPAKQPASPVSSPAQTRKSS TPPTLDTQAEAVGVIEAAYIAHKTSPTHSPAPSPKKPTPTSSPKKQPTPPVSSPVQIN RKGSPQPDSDQVEAASVIEAAYIAHKSSPKASPKSVTPVVSSPKPSTTVISSPKKIAR KGSLPPTSPSIEDVEAAQVIESDEASSSKALTIVTDDDEDTNAALQTSVTSDGYVDLE PSDNLVTDVQNDEAAPAASPDASKKNKKKNKKKGKK H257_03544 MIEHSTMLKQTVPTFLKPAVWSSRYGDNFYTLAITGFVVQDATF AEYTIQVKCGSDTWIVNRRFREFVDLWNELHCLGSRLPELPAKSFLCFRDLSHDYLSE RRRALEECLWDLLQLSSMGEIDAIKSFLDLIKDDRY H257_03544 MIEHSTMLKQTVPTFLKPAVWSSRYGDNFYTLAITGFVVQDATF AEYTIQVKCGSDTWIVNRRFREFVDLWNELHCLGSRLPELPAKSFLCFRDLSHDYLSE RRRALEECLWDLLQLSSMGEIDAIKSFLDLIKDDRY H257_03545 MQPAFDSWATKTFNATASDLTGLILGKPAFIYEAPAGVQTISAV VKSESQAIVDAMTFTQVTTESGVKALKVDLKQTWSTTFWYWNGDFIVDIYVPKHALQL VNLDGCGDVALFPNTLTTRSLGIELSGSGSVFIQDTSSLTFDHLDLDVSGSGRIQVDV PSIALTELDASLSGSGAIVLSTATIVAPSVETDISGSGDIYILATKGLNVTAQLATSV SGSGRITLADAGTCGTHEAELSGSGSIQAGSMACQMAEAKLSGSGSMYLSSAVSIDVE KSGSGTVAVVGRAPHQVTGAIEVTTSNPVTRVALAAFPAHTASHSFKFGTGLLVILGV VLVVVLFIVIKKVRNRCRRRRDSQHEEPHAAIPYGVATTPVPVVMVEVVPEAQIVNDP KGPEEKFV H257_03546 MPPPVLGLVRCGMIFLLCLLLHPCTCYWISRNPYAGVKYDLSGG DVDRSPAFDPTFDLHRKHILFISVGIRGHTTPLLRIAEDMVRRGCNVSFATHDSGKEW VQRTGARFVSAGAFPISAEVLRDKLQAITRDASNFRGILNMFNDIYIPTAQPMFDALF PVVSLDPPNLVVVDIATIGGHDLVHKLGLPYLVNSPSILFDIGGTPSYVPAWGTGFSI HMSLWNRCMNLLFPRLLSVALTPPFMQINKARWELQLTPYRSQHDMFKGARVLVNTAV GLEYPRPLSPLVELVGPIIPLDAFNESASDAALPPLVTQWMVGDDGLHGVIYVCLGSL SYIDAWQAQAIVEGLSNPNDPVYRVLWTLPNDQRGALPQALPPTFRIKVMSSTFPHLR LLAHSSVKLGTNDDAGLYRMCFGGGGLDDCWTHSYVCVVISHCGMVSAQEALVFGKPI LCLPFLVDQPDVAARVVDAGAGLVLDKTHFTAEEVRQKALVLLRNASYARNAARVGAA LRSAGGIERVTDIIASTLQFGTHHLTPVDLKLPWHKVVMLDVWAVYAALFCSSVVFMR IVGILIMQGLYALARVCTDVCGGALGGTQADAIPPSSGDAAAPVVSSSTDAPPLGKES DASSASNVK H257_03546 MPPPVLGLVRCGMIFLLCLLLHPCTCYWISRNPYAGVKYDLSGG DVDRSPAFDPTFDLHRKHILFISVGIRGHTTPLLRIAEDMVRRGCNVSFATHDSGKEW VQRTGARFVSAGAFPISAEVLRDKLQAITRDASNFRGILNMFNDIYIPTAQPMFDALF PVVSLDPPNLVVVDIATIGGHDLVHKLGLPYLVNSPSILFDIGGTPSYVPAWGTGFSI HMSLWNRCMNLLFPRLLSVALTPPFMQINKARWELQLTPYRSQHDMFKGARVLVNTAV GLEYPRPLSPLVELVGPIIPLDAFNESASDAALPPLVTQWMVGDDGLHGVIYVCLGSL SYIDAWQAQAIVEGLSNPNDPVYRVLWTLPNDQRGALPQALPPTFRIKVMSSTFPHLR LLAHSSVKLVISHCGMVSAQEALVFGKPILCLPFLVDQPDVAARVVDAGAGLVLDKTH FTAEEVRQKALVLLRNASYARNAARVGAALRSAGGIERVTDIIASTLQFGTHHLTPVD LKLPWHKVVMLDVWAVYAALFCSSVVFMRIVGILIMQGLYALARVCTDVCGGALGGTQ ADAIPPSSGDAAAPVVSSSTDAPPLGKESDASSASNVK H257_03547 MRLEDGSTHHLVYGKVHDQLGRHVPHVVVEIHAMMDDNGGPRGV QVSTDSEGWFQQLLPRDATSIRLLVEEQSNECHLHTSRNEGASAWDCSVRIHVKSTKR RIVFLCITVSQPCLYSSLCVEYVDFTTNPPRLDPLLHLHASTS H257_03548 MSTKKGRGGNKKRGGQRADAYDSEEGSCNESVASNDTSDSTRSK TSRVSEDTTQEDLLERYLEELTEKRATTRLAALQGLLNLLVHFVPPELFHDKFDGIYN NVLQIARRPSEDEGRIIPRIFGILGLMLGPHSDEYFAKVESVLEPLVKSNVQSDIVRA QALEGLGVVCFTCSTGDEENAQNIMGLCDPFFNNSATPVLAKLALETWGCLASTLDST YLIEEGFLDTHLTLFLELTNNADVDVRSAAGENLALLFEAMHQNHIDNYEGAGDIASK LLELSKESSKKFSKKDRKEQHSVFRDVYNTVANQEPPNVSFGLENETLRFHDWGSIMQ YNAIKECLQSGMLEHLKFNNHVRQLFDLPHTAEVYSRVEKRATKSKTSGSRKQQSAFL KDERKRRQGEKNTFLEGGGHDGDY H257_03548 MLALCVFRFDGIYNNVLQIARRPSEDEGRIIPRIFGILGLMLGP HSDEYFAKVESVLEPLVKSNVQSDIVRAQALEGLGVVCFTCSTGDEENAQNIMGLCDP FFNNSATPVLAKLALETWGCLASTLDSTYLIEEGFLDTHLTLFLELTNNADVDVRSAA GENLALLFEAMHQNHIDNYEGAGDIASKLLELSKESSKKFSKKDRKEQHSVFRDVYNT VANQEPPNVSFGLENETLRFHDWGSIMQYNAIKECLQSGMLEHLKFNNHVRQLFDLPH TAEVYSRVEKRATKSKTSGSRKQQSAFLKDERKRRQGEKNTFLEGGGHDGDY H257_03549 MHPNDGGDLLSTVRGAHMATDSTGYDKMNESLSLSPSTHLHVHQ QAAMAWMLDRETNGNEVLRGGILADGPGLGKTLTMLSVIQVRKSTQPTLVVVPNQIIA QQWLKDMSTHFAPSTWSASMFNASAPIDPSHRIVIILMKDLTKEWHRRQSELSERGRS VSILYHVTWGRICVDEVQEILGKSSLAAQMMHQLQSPIRWGLSGTPVSKVADIAGVAH FLQLAPYDSSQWWRQQGPAALLLIQPVLDQIVWRTSSGHVSHSLQLPTQTILPTTYVE LSAIEFAAYRPHFERYIHDIRKRMASFEDNAAHLSLAELKQLLHHPAVLSLCKGASHV SLLTKSPVPMSDFAASMASRQREQCSAALSQWLETCPCDSLCGAYMTWRHHSAEIPIP WQVQLKVLARLKHQVHFTDSRVRFRHQIHRTILDSPRHQRRVPAILWQRIFAYLKEDF SDQLARVVDTQLKPLQAAFEELSAAFWTAHGASWSLEAFQSRVLASYELERRIHELPA MRSLLNEFTNMRLAEAKTRVGIVERPTLWHYLRNNTTASVDAGEIRMVTTDLLGQFTD QYSASLQLLHDLMQANWTHDLPRVLLAFGQCNHATRRLDRTLVVGREERWQRRDAAHG QGRGQTLSLGARCVVCALRNRIDMALSFLVTEGKSPTLMVLFFTRGAPPEIPGLFRDC TARLEHMLSLATLLDNWLRNCCDVQACMAPVTPFSRSSRRVALAYDKFRLANCYRQYT NTAISMLGAPPELASCDLCGHGTSRGDVSLLLCCHRFCPTCIATKSEKTTACLLCHKP MPFDVSKAPPLPPPGLACGSKMDALLGDLEKVCPRHKCVVFSQFSEVLDLVLAQLTLR GVRCVQLRSGNQVELRREFETQDDVRVLLLPLKKYNHGLNLVEATHVFLVEPSLQPAL HAQAMARVKRLDQTEPTFVHRYVMRGTVEEAVEAAVSHQPNQRLRKMDMFRIFAQDVF KSTSCADSSNDYQ H257_03549 MHPNDGGDLLSTVRGAHMATDSTGYDKMNESLSLSPSTHLHVHQ QAAMAWMLDRETNGNEVLRGGILADGPGLGKTLTMLSVIQVRKSTQPTLVVVPNQIIA QQWLKDMSTHFAPSTWSASMFNASAPIDPSHRIVIILMKDLTKEWHRRQSELSERGRS VSILYHVTWGRICVDEVQEILGKSSLAAQMMHQLQSPIRWGLSGTPVSKVADIAGVAH FLQLAPYDSSQWWRQQGPAALLLIQPVLDQIVWRTSSGHVSHSLQLPTQTILPTTYVE LSAIEFAAYRPHFERYIHDIRKRMASFEDNAAHLSLAELKQLLHHPAVLSLCKGASHV SLLTKSPVPMSDFAASMASRQREQCSAALSQWLETCPCDSLCGAYMTWRHHSAEIPIP WQVQLKVLARLKHQVHFTDSRVRFRHQIHRTILDSPRHQRRVPAILWQRIFAYLKEDF SDQLARVVDTQLKPLQAAFEELSAAFWTAHGASWSLEAFQSRVLASYELERRIHELPA MRSLLNEFTNMRLAEAKTRVGIVERPTLWHYLRNNTTASVDAGEIRMVTTDLLGQFTD QYSASLQLLHDLMQANWTHDLPRVLLAFGQCNHATRRLDRTLVVGREERWQRRDAAHG QGRGQTLSLGARCVVCALRNRIDMALSFLVTEGKSPTLMVLFFTRGAPPEIPGLFRDC TARLEHMLSLATLLDNWLRNCCDVQACMAPVTPFSRSSRRVALAYDKFRLANCYRQYT NTAISMLGAPPELASCDLCGHGTSRGDVSLLLCCHRFCPTCIATKSEKTTACLLCHKP MPFDVSKAPPLPPPGLACGSKMDALLGDLEKVCPRHKCVVFSQFSEVLDLVLAQLTLR GVRCVQLRSGNQVELRREFETQDDVRVLLLPLKKYNHGYGLVLQEDSVLYIATMYACG NVRLNLVEATHVFLVEPSLQPALHAQAMARVKRLDQTEPTFVHRYVMRGTVEEAVEAA VSHQPNQRLRKMDMFRIFAQDVFKSTSCADSSNDYQ H257_03549 MHPNDGGDLLSTVRGAHMATDSTGYDKMNESLSLSPSTHLHVHQ QAAMAWMLDRETNGNEVLRGGILADGPGLGKTLTMLSVIQVRKSTQPTLVVVPNQIIA QQWLKDMSTHFAPSTWSASMFNASAPIDPSHRIVIILMKDLTKEWHRRQSELSERGRS VSILYHVTWGRICVDEVQEILGKSSLAAQMMHQLQSPIRWGLSGTPVSKVADIAGVAH FLQLAPYDSSQWWRQQGPAALLLIQPVLDQIVWRTSSGHVSHSLQLPTQTILPTTYVE LSAIEFAAYRPHFERYIHDIRKRMASFEDNAAHLSLAELKQLLHHPAVLSLCKGASHV SLLTKSPVPMSDFAASMASRQREQCSAALSQWLETCPCDSLCGAYMTWRHHSAEIPIP WQVQLKVLARLKHQVHFTDSRVRFRHQIHRTILDSPRHQRRVPAILWQRIFAYLKEDF SDQLARVVDTQLKPLQAAFEELSAAFWTAHGASWSLEAFQSRVLASYELERRIHELPA MRSLLNEFTNMRLAEAKTRVGIVERPTLWHYLRNNTTASVDAGEIRMVTTDLLGQFTD QYSASLQLLHDLMQANWTHDLPRVLLAFGQCNHATRRLDRTLVVGREERWQRRDAAHG QGRGQTLSLGARCVVCALRNRIDMALSFLVTEGKSPTLMVLFFTRGAPPEIPGLFRDC TARLEHMLSLATLLDNWLRNCCDVQACMAPVTPFSRSSRRVALAYDKFRLANCYRQYT NTAISMLGAPPELASCDLCGHGTSRYQFYFPTL H257_03550 MWSTTILSATILAVLALMPSSVTSASVCAIVEAGVDYYGNDLVA TFHDNHADCCSDCQNTDRCVVYTWRDGVCYLKHTKGTPEYVPGVTSAALPAQPGGSRT CGKVERDVDYPGNDIVRTNTANAADCCTQCAANNACVVSVWYNGACYLKDNDRNKIKV AGARAYHVQKDGNTPKPTTPPSSSTVKPQPTPPPSGKGDLAAQVTYQLSIIRQAHGLN AVEYDADMATGMQAWADDCSQHPNGGHGGPYGVQNLAPALICGDNCMTQDGPSWWWYD EIKDWDFNTNKCNVGNEACGHFENSMGTWVTHVGCGWSSCFNPSIGKIDPLLWCNYRG NGDDHPIPPPLVPQDQIKASLTAAFKRR H257_03551 MEGHCRNTLGDVLSCRVATHFSSFRAKTFLYDAPALEALERALL VEMRELAKRFLQLQTSVEGLTGDKAKLQQQVQTMSMEKDDRERHWQSHVADTQRQLHQ SQIRMQMQVQLWEREKGMLKSQWEIDVAEQRQAALDRIFHDAAMREERHVRRLEEDKN IEIEHMRRHLAFEKDTELSMLANQLSRRAHQDMEVKVAAILAEVQEDQRRKQARIQHL ENQLRGHGAVHNAPPHCRHYHPTRSSSTLA H257_03552 MMVRLATLCAVVASAVALDFKLYGLNYNSRQGPDWDPNKCKSQA QVDADMVKIAAVADRVRIFSLVDCNQGEIVLTAAKKAKLKVWLGMWVTKNATSVENEQ LAMEKLIAKNLIDESVLGLHVGSENIYRKDLTATQAIAYLKQIKTFLDGKQITIPVTI ADIADVIAQYPELIDAVDVIQANSFPFWEPAAIETSMVNFRFKLDLLIAAAKGKEIQI GETGWASNGINKNASVVSPENQARYLVDLVNFANARKLKYYYFAAFDDAWKAKQDDNV DSVEAHFGIYDSKGVLKPQFVNLKLAPSSVDADKTNVTMTPPKPGATALTTAPQTADA SGSTPLSMTSAVLAVMATVALLIV H257_03553 MNLVKLSLALSIAAARGGAHDAPASAGYTTTSDDEAKTTQRDEV ALDVEGGVRHHVAASKSSHALQALATTESDVASPDISGVSMRCRFCGAHLAWKHHYQH LPDESKAHAKNTRTETSLGEHGEVVYFDNPAGVEFELASFQHSDGVPSDAYTQQDTFF DSYNWRALACPRCAKHVGWKFTHVLHDQCMADTQRQLHKPAVPSGKKGSKTKLAALVD AAFADKSCYAMSNGWWSYQHCYKSDITQFHLEPDGVKANEWSLGHFSDNKSTDTEIAH HFTGGQHCDENGKLRSTTVKYVCCPEQQDIVVDTIEEPSLCTYLMRVCVPQLCQSKPK IPDVLDKKIEKACAQTLVDHQKPTSPSFLPLFYTLVWPDTIAEDSPELTWTHSLSTVT SIIGR H257_03554 MPLPCHVVYILASISSVAVAHGCTKVFEPNTDYWGNDIGSTRQP SPSNCCYDCSSNPLCVLFVWHLGTCYLKNKKGPAGIAAGTTAGVVSPQCLAIEADTDY SGNDVASTHRVNARDCCADCTANPKCVVAVWHHNTCWLKGHVGAKSTLVGARAIFPRR YGTPPPPSPPQPTLQFRNRCSYPIELYKVDRWVCTLSPNGGGCDQWLSTGENPMFRHT RSEQATLVEFTLTARTLWFDVSVVPPNCRDGKSHDECLRNNGGRTGFNVPVSMTPLKY NHNPAKGNCRDITCLADKCPQAYVYPTDDLKMRDCPADESMLVTYCP H257_03555 MMLLASLVMAGVAYASRVDDAPASTLACSSIQYNTDYFGNDIGS PRRATADECCNDCSANPACVVTVWYHGTCWLKNAVSTRTELKNATALFPRRPDGRASP KSPPSSPLPLKNVQAGFAYAKAHRQGTYKLVTELKGCQTRAEASSGPIAPYHEDMTFV FRGPMDIYNIAIFQPGGGGNGWSRVSSYDRAAGGAATNLVFMNNANPQKYNGQSPQCY ASSNGLGASQDRSVQFQGRLNDGSNPSDGYGGPGVTTGAEVNIMQPQKCTADTCKGYF DKTYGMQGWTGSKVFVTKVKMDGGQGLPAIWALNAQAVRANQYGCNCRGMGDPGGCGE LDIAEAIQRGSSTLSTHNYWLNANPSDGHDTWTSRPTDEPATFVTILDERSGVIKVLR LGGDDFAAFNVDNISADAMDALIHLPVQ H257_03556 MIEPNPRKGVVFCVTTNLIWSVCPIYWKQLLHVPYLQLVCHRIV WAFPTLLLYLVLSRQIHGLNQAVCNWKIMLRYAGSGLLLGSTLFLTVWAVNSGHIVDM SLAFFINPLMNVLLGIVFLRETLRRYQWVAVGLATTGVLVIAVSYGHVPWIALVIALI FSVYGLIKKMAPLEPVLGVTIELLLLSVPSVAYLATCDSVFGHDRVTTDLLLVGAGIF PTAIPYVLFSASAQHISMTLLGILQYIQPFGHFLIGVFMYDEPFSTGKLIGFLAVWAA LLVFTAEGVTNHRQNKSVESNGPEPPGLVEVLPQTPLSEYASSLSHHAISPTTQPIV H257_03557 MKHGAVVVVTGWLVLMTVVSNLAKAETTTSPPWEPSTCGGNLLA KSSEFGCGLERCRCWPYVSKCDPSLGCDGSPVGASRCQGQCRLTALGHVLNILRWLFV LGCPAIVFGYHILKVHTTTLRSSEDEHKGDIDGQRTDDLEAMLHQEQILDVVLNQVEQ QRRMMGRVLWRVLHPLATKDEVAARRHDRPRRRRQGGSYFGDAFMNLHTPSTDKLAAV AFPPEADEVEPTNQLEEYIDLGKLHTGHRTHGAYVININAQIYLETMHENVMPSLLIV IFVMSVATFIAAFNPISLASTSVEVSPWTCVSGAGQNCSSFSWTSTNDTAVVPTLSNL RPHQLYHVRFQHPQLPQVPNVLLLELHVDSSHGRSFLHDGGWLYQVNLSSIVSASYTT SQDQPLVVRYVNKLTTTCSSTECGAIPLAAVYLQRFGASQSDVRSRHLDIQLAFLSHS SIPPLEQLPFRLVLTADQDSGAWATVTIRCLLGTFTAAYLVHFLVSLNAHMVRRDRPH DAISPQSLYFRLWGHLSLERHVMVLLLLVLAVHHNPLMLIPTTPWFGPPSHTYMVFRN VWETIMYVVLLGSLLVLLDCYRKDCRAFSNGASLDLDWLFLVKLALAAGVVVLRVSLS LSLEGLFDATVNVAQWVAVVDLLLLVAGFSVFCTVVAIVHRVLDAQRYSQTRYLSLSF RYLTVIAFAILAVLLLNTAFSSTYAPVSTTKSTDLTATPVLVEVATALFVYFAVLAFY PPNQVEPGLIPRGYVIRERRQYVHTPQELSPTAVASSSPPLPTTVAAALMPMSALSRT STLLRRLPAFRAKASSKPHRLFCIETACLLMNCARHAYYRSSLNLPVDQDGGVVAYPA TAYVNQTALVRDGLEESMVLHDDATDTNCLVLHSDCKIIFAFRGTDSKANVKTDLEIK LEPVPWLPPLGETPFVHRGFLTAYASVREKCHAALHELLRRYTAHGIDPESVQIYCTG HSLGGALATLASIDLKLSFNRDVILYNYGSPRVGTHSFSRFFNSKMPLAFRLVNEGDI ICGLPQRVTSTCFGQDKKFYKHIGTEVVMDGKVNGDFLIRPTFAEKNLIVEGRKKPGR HYLKGYTENLAMILDCSLQSERMLGDFRVQNALEEALYHDDSADDDATSPDVMY H257_03558 MGNAISCPTCTDGKLPMFSALVAKSPPQPTPSMPHPKEVHHAYL DLLKWRGLVPQACEHLCRIETHEAQWHSVCLSNLALETTTNPSICIEQILTLQYRRGV VLENLSLLRTFIGRSTKTWVAAFVDIQGLDALQLFLPQPTSPMVEFNTTRGHATLQVV WHLCHFQSTLLCLTQADSRGSLVSLCLCLTVCVVPLKLQAVRILHLVAVASPQGKVAV VKALRELDAVTHVVHVVADLMHETTPVTLLEEVFRFVSTLLLLHQDDEEPWLRQVLTD SETCATLVDFHACREDAAMVQLHAAECRSLMQKAPSMVYTLHQLMARAKHAKTEHVLL QIAQTLIDLTSGDPATWQHVVAHLTTGQGVPSSADAARSPPPSSTSTPPSPRPPTFRT TELTTVEDHPKYAKYFKMLQVRVPEDLVRQRMIADSVDDRILDSPHLVVTAFDFEKAP QPSLPPPTPPPLLPLPPTTTHDGSDVVPAPNPQAEEEEHHVHGHGILSDIHCTQVLSV LASLPWPLAHLGHVIQSGDESILTINFVDKLLVLLAIPSALEKKAIESILQGTHHSTC RDAERAVAVVLALAELIKCWQIYWQLPISLQEVRTKCTWMQDTCDEVIKSTDLFQVLQ IGFALEESTPFEWRFLAMDEANCTSLTELAQAVRLQAPNLLCFGNSLPHLPNAVDVSH DDLHTILAAQQAKLDCVVAQLPTLSKHPWTTFVASAALELSNCKADLERAGDLVEDTV SQCFGGRSGAFPTSKLFTVLHRLSTTLANAAPTERSS H257_03558 MVEFNTTRGHATLQVVWHLCHFQSTLLCLTQADSRGSLVSLCLC LTVCVVPLKLQAVRILHLVAVASPQGKVAVVKALRELDAVTHVVHVVADLMHETTPVT LLEEVFRFVSTLLLLHQDDEEPWLRQVLTDSETCATLVDFHACREDAAMVQLHAAECR SLMQKAPSMVYTLHQLMARAKHAKTEHVLLQIAQTLIDLTSGDPATWQHVVAHLTTGQ GVPSSADAARSPPPSSTSTPPSPRPPTFRTTELTTVEDHPKYAKYFKMLQVRVPEDLV RQRMIADSVDDRILDSPHLVVTAFDFEKAPQPSLPPPTPPPLLPLPPTTTHDGSDVVP APNPQAEEEEHHVHGHGILSDIHCTQVLSVLASLPWPLAHLGHVIQSGDESILTINFV DKLLVLLAIPSALEKKAIESILQGTHHSTCRDAERAVAVVLALAELIKCWQIYWQLPI SLQEVRTKCTWMQDTCDEVIKSTDLFQVLQIGFALEESTPFEWRFLAMDEANCTSLTE LAQAVRLQAPNLLCFGNSLPHLPNAVDVSHDDLHTILAAQQAKLDCVVAQLPTLSKHP WTTFVASAALELSNCKADLERAGDLVEDTVSQCFGGRSGAFPTSKLFTVLHRLSTTLA NAAPTERSS H257_03559 MSTRRWHGLGIASLVLLLSICQANGSFHSQDFMREVVAGSSTLF CDANNVATNYDPSHRRLTANISSFRSVVGFGFRGRSNAAIVPVLSDESCATLCVQDSL CLSFDVEANMCYIAHTDRYAFPQDFLPRSGSTYFEWQVMAASPSFEPNGGVFHTQTSI RIFTQTRAAAMYYQIISTNGSVVVDYTLATPDLAIVLPEFPCQVLAYSTKVGLDKSPV TTSNLYDIRASKYMYLVPYYNGADFHGKLTRVKLDVQGKKRPRPSLVLEFTDLETFFG IGPFQDQVQVLNLTALDPRMAGYYDGFSVVSTTSYVNVSLESYDDLSQWTLIDSATYR ENPGNTIFNNNVPITEEHLYLSPYMNGGGYSGLVTKVYLRAFDASTLPPFSPPVYSIL DLTQLDPDLTGFVSCFTRNNFGYFVQRNNDNGLGGKVVRVDLSEFHNAPRLAATVLDL EQIDGRLVGFGGAFVYGKYAFLTPLDRNRVGLELNPNYKYFPTPTSSCMARVDLDDFA TVQVVDFSHLNPKFARGYFGGFTVNSFAYFVPYMWTANDLSPTVNPYHGVIIRLNLLT LAIEKLDLTLVDPSLKGFMRGFAFGKYAVFVPHKNGPHNITPRRVNPSQKLHFGKLVR VNTDVFSPDGVDVLDLTATLRSQIPNLPDVELRGFLGGGASGQYGFFVPYFNGVRFAG KVVRVNLRTFNEVQVLDMTQIDDTLRGFTGAVMSATPEPTDTSLWQWTIPEGTRAMYT FIHEENA H257_03559 MSTRRWHGLGIASLVLLLSICQANGSFHSQDFMREVVAGTSFSH HLAIIHHSLHPSGSSTLFCDANNVATNYDPSHRRLTANISSFRSVVGFGFRGRSNAAI VPVLSDESCATLCVQDSLCLSFDVEANMCYIAHTDRYAFPQDFLPRSGSTYFEWQVMA ASPSFEPNGGVFHTQTSIRIFTQTRAAAMYYQIISTNGSVVVDYTLATPDLAIVLPEF PCQVLAYSTKVGLDKSPVTTSNLYDIRASKYMYLVPYYNGADFHGKLTRVKLDVQGKK RPRPSLVLEFTDLETFFGIGPFQDQVQVLNLTALDPRMAGYYDGFSVVSTTSYVNVSL ESYDDLSQWTLIDSATYRENPGNTIFNNNVPITEEHLYLSPYMNGGGYSGLVTKVYLR AFDASTLPPFSPPVYSILDLTQLDPDLTGFVSCFTRNNFGYFVQRNNDNGLGGKVVRV DLSEFHNAPRLAATVLDLEQIDGRLVGFGGAFVYGKYAFLTPLDRNRVGLELNPNYKY FPTPTSSCMARVDLDDFATVQVVDFSHLNPKFARGYFGGFTVNSFAYFVPYMWTANDL SPTVNPYHGVIIRLNLLTLAIEKLDLTLVDPSLKGFMRGFAFGKYAVFVPHKNGPHNI TPRRVNPSQKLHFGKLVRVNTDVFSPDGVDVLDLTATLRSQIPNLPDVELRGFLGGGA SGQYGFFVPYFNGVRFAGKVVRVNLRTFNEVQVLDMTQIDDTLRGFTGAVMSATPEPT DTSLWQWTIPEGTRAMYTFIHEENA H257_03559 MARIFTQTRAAAMYYQIISTNGSVVVDYTLATPDLAIVLPEFPC QVLAYSTKVGLDKSPVTTSNLYDIRASKYMYLVPYYNGADFHGKLTRVKLDVQGKKRP RPSLVLEFTDLETFFGIGPFQDQVQVLNLTALDPRMAGYYDGFSVVSTTSYVNVSLES YDDLSQWTLIDSATYRENPGNTIFNNNVPITEEHLYLSPYMNGGGYSGLVTKVYLRAF DASTLPPFSPPVYSILDLTQLDPDLTGFVSCFTRNNFGYFVQRNNDNGLGGKVVRVDL SEFHNAPRLAATVLDLEQIDGRLVGFGGAFVYGKYAFLTPLDRNRVGLELNPNYKYFP TPTSSCMARVDLDDFATVQVVDFSHLNPKFARGYFGGFTVNSFAYFVPYMWTANDLSP TVNPYHGVIIRLNLLTLAIEKLDLTLVDPSLKGFMRGFAFGKYAVFVPHKNGPHNITP RRVNPSQKLHFGKLVRVNTDVFSPDGVDVLDLTATLRSQIPNLPDVELRGFLGGGASG QYGFFVPYFNGVRFAGKVVRVNLRTFNEVQVLDMTQIDDTLRGFTGAVMSATPEPTDT SLWQWTIPEGTRAMYTFIHEENA H257_03559 MARIFTQTRAAAMYYQIISTNGSVVVDYTLATPDLAIVLPEFPC QVLAYSTKVGLDKSPVTTSNLYDIRASKYMYLVPYYNGADFHGKLTRVKLDVQGKKRP RPSLVLEFTDLETFFGIGPFQDQVQVLNLTALDPRMAGYYDGFSVVSTTSYVNVSLES YDDLSQWTLIDSATYRENPGNTIFNNNVPITEEHLYLSPYMNGGGYSGLVTKVYLRAF DASTLPPFSPPVYSILDLTQLDPDLTGFVSCFTRNNFGYFVQRNNDNGLGGKVVRVDL SEFHNAPRLAATVLDLEQIDGRLVGFGGAFVYGKYAFLTPLDRNRVGLELNPNYKYFP TPTSSCMARVDLDDFATVQVVDFSHLNPKFARGYFGGFTVNSFAYFVPYMWTANDLSP TVNPYHGVIIRLNLLTLAIEKLDLTLVDPSLKGFMRGFAFGKYAVFVPHKNGPHNITP RRVNPSQKLHFGKLVRVNTDVFSPDGVDVLDLTATLRSQIPNLPDVELRGFLGGGASG QYGFFVPYFNGVRFAGKVVRVNLRTFNEVQVLDMTQIDDTLRGFTGAVMSATPEPTDT SLWQWTIPEGTRAMYTFIHEENA H257_03560 MQHRVADVVVIGGGPAGVFCAQAIKQLMPRNTRVVVLEATKELL KKVKISGGGRCNVTHARGKFSVGDLVQQYPRGNKSLVGQLTRFGVDESHAWFEDRGVK LHTEPDGRVFPATNSSATIVNALLFAAKDVEIETRTRVTDLAQTPDGQFEVTATHKVS DEERRWTASCVVVAGGSSVPLWTLVKSAFAIAIEPPVPSLFTFQIDNDPRLDGLAGIS VDPARVTLPTAKKLKPVTGPVLITHNGLSGPAILRQSAFAAIPMHAANYKLPVQIDWT GGRWRLHEVVQLLKDQRLAAPQRNLRSVCPLLADGKPLLPQRLWSRLALDSDVQWSNA SNDTVQSIAENVLQSTFLTSGKATFKEEFVTAGGVQLNQLTKNLEAKMVPRLYFVGEF LNVDGVTGGFNFTACWSSAMVVAQHIASRFVRR H257_03561 MVTCVTCSKAVYYNDPQVQGKASHLYHKSCFKCSECACQLTLNT FAYHGDTLLCKTHYMTFFRTTNSYGGEDKFKKQKDDVVSAAVVKEIATAASIASAAPV DAVAASGPPAPLELTPLDINDKPSKVSPLRDSLSSNPFLRSDSDRNVRSSSDAPSLEA AKRISPNNGVTKVKRVFGGSPKCALCTKSVYANDLKTQLEGKIFHQECFKCATCSTQL NLNNFTFAGDQLLCKVHYMETFHKNNGYAGGEQFKQK H257_03562 MGVHGVPAQGMECLATMEDITSETYVEYQTFPSLQWHPCQFSAE VVTQLQEAQFPAFMKGVQEPDCKAELRRLLAKGPPIWVEDKYGFPLPDNGDTHVVALW FSSTNEEKSAKLHGAVEGDEREKLWSELKELLQAMEDDKEEVRD H257_03563 MESDLAQANDLVRRILSDASCKDITQKSTTTAIQNAIELSSMAT TITCSVELIDGSIFPFAVGVSASYGTLLKAIQGVLTSKLHGRHISWKYMWRHYTLQCN GVLLHVPLRSKGPFVHHIPHAMDDQHLRIRIVKTPHVK H257_03563 MESDLAQANDLVRRILSDASCKDITQKSTTTAIQNAIELSSMAT TITCSVELIDGSIFPFAVGVSASYGTLLKAIQGVLTSKLHGRHISWKYMWRHYTLQCN GVLLHVPLRSKGPFVHHIPHAMDDQHLRIRIVKTPHVK H257_03563 MYLAYPRRMCILDASCKDITQKSTTTAIQNAIELSSMATTITCS VELIDGSIFPFAVGVSASYGTLLKAIQGVLTSKLHGRHISWKYMWRHYTLQCNGVLLH VPLRSKGPFVHHIPHAMDDQHLRIRIVKTPHVK H257_03563 MYLAYPRRMCILDASCKDITQKSTTTAIQNAIELSSMATTITCS VELIDGSIFPFAVGVSASYGTLLKAIQGVLTSKLHGRHISWKYMWRHYTLQCNGVLLH VPLRSKGPFVHHIPHAMDDQHLRIRIVKTPHVK H257_03564 MFVVPECNTTTLGIPTVFTMLDVLVTAGRTTSAPMMFAVEHFLV DRLHYVMVHFQRTGVANCGVHGVGGVALHTEDKGRGYRLSAVQQLDVVVIEASENYRQ HTTGGAVGACAKDTPTLWLKVQPSQRLHEWSAEPKQVALRAVTSPGKLFFTPASCFSP RHAKNDFRVDRFTLAKTTVNFPGNSGSEYTARTPVNFPPCKSATWNIGGNTYDGVTSC SISTAAKTSVIPFWCYSYSQKANAQGTSTTATTASAKQSFSMYFVPQNVTALNNLRSC LMVSDKDLATLCDTAVRSVLSPFGSPATCVKGTMVTQFSMALSDNDQCK H257_03565 MAAGEPSSAKDGPVRAIVIGAGQRGRIYAQYATERPDLFQIVGV AEPQTYWREFMTSTYKIAPENVFSDWKEAAKVAKFADAVIIATQDAMHADNAVAFADL GYHILLEKPMAVTKEDCLRIYEATQRNNVMLSVCHVMRCSPYSQKLRDLCGRIGKVVN IQHLEPVGFWHQVHSFVRGNWSRQDTATFMLMAKSCHDIDYIHFLMQKDPRAVSSFGS LLHFRPEEKPANAGDRCLDCPVESSCVYSAKRMYLDECDITLPDIESIGEDRVTLTKS KNGWYYGKCAASTGYTAWPLEVLHESPNVDTITEALRTGPYGRCVYSCDNDVVDNQVV TFQYKDGSTASFTMVAFTESQCARKTKVFGTTGELTGDGTTIRHFDFLTRVVTEYTPS APPATSKLRGHGGADYFLVENFVEGVRTNNPEVLMTGAAESLKSHLMVFAAEEARLKD TVIPL H257_03566 MECLSAAASTSLKNGQTPNPRHSQLQYVLCMHDKKKEYQIPHIT ASFFTIIKAGSNVWNQHFSIVLGLQYLSPSLLIVMVCVPTMSRDCPENHLGSVCNELP QEAEKLEALAQDGWSSSIETNSQSAKWTERKAGFEAPLQMEEVAAKSLARTGESAK H257_03567 MEYGLAAVLACAVQVHPEQWNNAIVGRVLPRVVDKGFSGRPGAV NLAEEIVLEFVHLCSAEDTIAVLLEGSRNKRPKVLPLCVSSILACFKAFGPRTVPVAA VKKEFEALCESTVNNVCPNAVKLMGESYDPPNRHTEYKAMINEIPQGKPPAKEAQEGV RPGEFADTINLLDCLPKTEFKAKMALSKWSEKVDALKIILDIVGSVPKLETDDYNDLA LDLFQQHALSIHAMMDEFKLQSAGVSRRRYLRRALHRQAQDQHVGRGADADNWRMIGE FFASSIQDSDPGLKKAGVEAMVTLVTSSLQAGRMVKATLDLLEKLQPRSFNVIQAANG TFHFDTPKDWGKQQRSGPPPSSVPKQQSSSVAKPSKATPVSKRAKMPSALPSTSPAKL LKKKPRKPPP H257_03568 MELERRDFESTKWMDRKTGFEALEEAFNVATANLDAVVVARELP VQDIQGGQRVRAQERLPSYSYHCVVVRVNGGLGVMSALVPRARGREDERYKVSEMVRP MFLALAELVGLASVLAAIFGHMSVVKTPQAQFECLEFARESVGEFGMPTCNPHGVIEY VKEPFGLASSSPKTRVSAIAVFGSLYGQLGDAMRPLLNLDGWKLSLKDCVEAEFERVG FNPSSFAVSRALFGRVDVRAKISKELLADMANEHDKVAWKKRLGAMEQTQQICEKADL SIELTKG H257_03569 MSDSNANMKTKAVHMMGVVVVSVGPSVAKLANLVGSKLVAGVAD NKNAMQQACLDSLRNWVVHGDVASAKLLGWTVEMTHMVAGKMDLRTMVETTIDTLSDK STVAWDKAQLLLVKVFKPSLVPRHGAGQDGRTTASGTTSAGAGCVGDVRRAAAIDVQD ASVETTGGPAQAPNDHVNSVDFVCIPTERLVMMRQGLTVISDELTAGKTRSSCCTPAR RPGTTFSFRKNHVNEILVQVCQVPGTALGTQIDLHVMWLCGCTWRPSRASSGTRRMWA ASSA H257_03570 MLDMTMSILVKSPMGTQRLPRQMRKVESEGRGSPTSSCYAAKLQ QQPWEDAATSWRSVPPEDADLWTKAWQKQAALLWVPSRLGTKNYGPNSRHGGCRRSAK LQRDGLFSQRVFAGHIHKQSPQASFASM H257_03570 MLDMTMSILVKSPMGTQRLPRQMRKVESEGRGSPTSSCYAAKLQ QQPWEDAATSWRSVPPEDADLWTKAWQKQAALLWVPSRLCMKTQGTKNYGPNSRHGGC RRSAKLQRDGLFSQRVFAGHIHKQSPQASFASM H257_03571 MAASAVVLVLCGLPGAGKSSFCRALASHCQSNDTMSIEWICYDD IFDASRGHDNEKFDPSTWRDLRMAVVDRVQSSLRSLAANVVGKPLLVYVFALTGASCL TSSFKVPLGRQHVLPQYAQAIPSTGPNLQRRVWSSVHHHIRRHLPSSQSKSGGSSARG GLYTYDDSSGSARCQQLFLGVPYPSSALGYRCA H257_03572 MDFISYVAQHPESSPRPSDTPEKEASRESNRRNVVHCLDTRLRR LVGSILHEETAQAKAVRAKELNAAKDFILDRCRTETKHGQTEDVAATVDDFAMAFLHL VDI H257_03573 MSNRIVAAATFLAVGVHGYYPGNMTSTLNRFAFGSCNDQSKHQM LWPVITAKDPQLWLWLGDNIYGDIRIWDPNTPSKGVFRPAPPDVLAAKYQQQLDHPDY KLFRERFPIIGIWDDHDYGINDGDKTYRYRQESQQLFLDFLDEPQSSPRRLQEGIYTS YVVGSGAKRVKFILLDNRYHRDPYASADGDFLGHTQWKWLEHELATSNATFNVIASGI QVLPDDRWYQIGESWSKFPRARRRLLSLVQTSRASGVVLLSGDVHFAEINQVRCGDSF HVTEISSSGMTHAWKLMNRIVGPGFTLANMILPWHFRARPREYYASFNFGDVVLDWTA SPPTATASVYGKDGLVKLRQVVPAERYTGDAPAACGPIHHVSPASFFLLQVAVSVVLV AFVASILVNAVVVVVVPLQLLWRLVGFVRAPKFKRD H257_03574 MKVVLSTTALAVGCVGSAQSKAMDTSKTLRRFAFGSNIHQDLPQ PIWRAIEKTNPELFLSLGNNIYGDDTKYEWKSLTQGIDRDAEPVGLQEKYEKQRNNSD YARFVESTPVVGIWDDHDYGSNDGNKEFDHRELSQELFLDFIGELEDSPRRTQAGIYT SYTFGSGDQAVKLLLLDNRYHKDPYVSKHAKKNVTDFNGQNGDILGEAQWTWLAAQLY ESTAAFHVIGSALQVLPNDRWFGTESYSAFSVAHRRFVDLLQVSNASGVVLLSGNVEF AEINQVQCGATNAFKLTEVTSSGLTHSALEWTISPFHLASAFWYTVSNALLPWHYRLN RRAHFGGLNFGDVQFDWTTSPPTAVVSVKDVRGRVKLQTVFPSTKFGEPAENTGCEPI HAVSPGSVMVRVMALFAIMTVFFASVLVNVVVVVVVPLQILRRLVFGQPVKPKAD H257_03575 MSQANTSVRSILRTAESKAASRTSSSAVLNPSKDECHTPASISK WRRREIVGPTTPITGVTLCSPRRVTFSPYVPIPRPKPSKPTTKPELNGSTILEDDESN VAEKETVKRLRRLRMRYALAKRESLATRLRRRLVSLWTGVSPSLLTPGTSIPIATTAT TGPVLDLWAIDQAYIERQNRLMATRLHARRAPAPTASVHSTPISLQFKGAFDALVAPA DVPVDDSAAIVLANELPGVRRRRR H257_03576 MNLNMDDLVASYLAQRGYQNGGGGVAAPAGLHDYARHVGLSIDA CASNHVLFHGMNKGDPAAYERAYTTLLAWIGNSLDMYKLELHAVAFPLFVHCYLELVA KGHADAAKAYFTRHAKDHQRLHKHEIRSLGSVLTREHLGLNEYAKQVLHSKFHVQLSL LGFQLLHTFLSDHQMFLLLCILNDRVTISVQTNHPSLTIAQCDTLAPHESTAMTTSLL FQTSDEISQQVQHTPEANHIAAQVTSGDYDMAYMVQTAGGDVSESLTLASLHQVPLLW GVLPPRKRAVAAATEDGDGDVDSSAAATDTTSPPTTTASSSGPADTKAKDSVANAANN AATLSAPGAVTGPAPDRSSSFNANLLEKLVLRQPADVQEAAFQDADAQLALSSSQLPS ALCFTVANASEHLTNVCFSAQGDVVGGAFDDASFRVWSQDGSPLGGFGHHKDNTSAIL RGHAGPVYGCGFTPDNRFAVTSSADSTVRLWSLASRTSMVVFRAHTYPVWDVAVAPLG YYFASASMDRTARLWSTDRVQPLRVFAGHLSDVECVTFHPNHNYLATGSTDKTVRLWD VQTGHCLRVFAGHYGGVSAVAFSPNGRYLASAGDDTLVNIWDLHMGKKLETLVGHQDA VHSLAFSAESTLVASGGADHTVRLWDMHRLDGATHKAPPVTVKSQHHNQFKRKTAAGL APSRALLKTFTTKRTPVLRVQFTPRNMLLVGGAYSAVHTTLT H257_03576 MNLNMDDLVASYLAQRGYQNGGGGVAAPAGLHDYARHVGLSIDA CASNHVLFHGMNKGDPAAYERAYTTLLAWIGNSLDMYKLELHAVAFPLFVHCYLELVA KGHADAAKAYFTRHAKDHQRLHKHEIRSLGSVLTREHLGLNEYAKQVLHSKFHVQLSL LGFQLLHTFLSDHQMFLLLCILNDRVTISVQTNHPSLTIAQCDTLAPHESTAMTTSLL FQTSDEISQQVQHTPEANHIAAQVTSGDYDMAYMVQTAGGDVSESLTLASLHQVPLLW GVLPPRKRAVAAATEDGDGDVDSSAAATDTTSPPTTTASSSGPADTKAKDSVANAANN AATLSAPGAVTGPAPDRSSSFNANLLEKLVLRQPADVQEAAFQDADAQLALSSSQLPS ALCFTVANASEHLTNVCFSAQGDVVGGAFDDASFRVWSQDGSPLGGFGHHKDNTSAIL RGHAGPVYGCGFTPDNRFAVTSSADSTVRLWSLASRTSMVVFRAHTYPVWDVAVAPLG YYFASASMDRTARLWSTDRVQPLRVFAGHLSDVECVTFHPNHNYLATGSTDKTVRLWD VQTGHCLRVFAGHYGGVSAVAFSPNGRYLASAGTYIYIQNNNNIMRMCLVITT H257_03576 MNLNMDDLVASYLAQRGYQNGGGGVAAPAGLHDYARHVGLSIDA CASNHVLFHGMNKGDPAAYERAYTTLLAWIGNSLDMYKLELHAVAFPLFVHCYLELVA KGHADAAKAYFTRHAKDHQRLHKHEIRSLGSVLTREHLGLNEYAKQVLHSKFHVQLSL LGFQLLHTFLSDHQMFLLLCILNDRVTISVQTNHPSLTIAQCDTLAPHESTAMTTSLL FQTSDEISQQVQHTPEANHIAAQVTSGDYDMAYMVQTAGGDVSESLTLASLHQVPLLW GVLPPRKRAVAAATEDGDGDVDSSAAATDTTSPPTTTASSSGPADTKAKDSVANAANN AATLSAPGAVTGPAPDRSSSFNANLLEKLVLRQPADVQEAAFQDADAQLALSSSQLPS ALCFTVANASEHLTNVCFSAQGDVVGGAFDDASFRVWSQDGSPLGGFGHHKDNTSAIL RGHAGPVYGCGFTPDNRFAVTSSADSTVRLWSLASRTSMVVFRAHTYPVWDVAVAPLG YYFASASMDRTARLWSTDRVQPLRVFAGHLSDVEVTYAKICHRMGKTKR H257_03576 MNLNMDDLVASYLAQRGYQNGGGGVAAPAGLHDYARHVGLSIDA CASNHVLFHGMNKGDPAAYERAYTTLLAWIGNSLDMYKLELHAVAFPLFVHCYLELVA KGHADAAKAYFTRHAKDHQRLHKHEIRSLGSVLTREHLGLNEYAKQVLHSKFHVQLSL LGFQLLHTFLSDHQMFLLLCILNDRVTISVQTNHPSLTIAQCDTLAPHESTAMTTSLL FQTSDEISQQVQHTPEANHIAAQVTSGDYDMAYMVQTAGGDVSESLTLASLHQVPLLW GVLPPRKRAVAAATEDGDGDVDSSAAATDTTSPPTTTASSSGPADTKAKDSVANAANN AATLSAPGAVTGPAPDRSSSFNANLLEKLVLRQPADVQEAAFQDADAQLALSSSQLPS ALCFTVANASEHLTNVCFSAQGDVVGGAFDDASFRVWSQDGSPLGGFGHHKDNTSAIL RGHAGPVYGCGFTPDNRFAVTSSADSTVRLWSLASRTSMVVFRAHTYPVWDVAVAPLG YYFASASMDRTARLWSTDRVQPLRVFAGHLSDVEVTYAKICHRMGKTKR H257_03577 MSASPRPSAASFAAASVVASTALSKAPLPQSYSFACSGWLKTYL FGVGKALQEMELHREATMLGTSGGSLAALSLCLDNDFDEMVHGVVHDMAPSARSSIKN AFRVKEYLDNAMRLWGNFERPGALEQAKRCVIVYSSISKWSSRRASVFDSVEDLTTSI HASCCAVPICGLPFRFKGEWVMDGGLFDFQPILDASTVTISPFYCTQADIKPSQYVPM WWAMYPPEPERIQWLYELGKHDAYVWAKKHGYTAKTHVSPLPLESRAIYQTKLGRFLG YKTMESHVLDFFFMLTVVAIWKPVAFTLLYTELWVRALVHATESGVLAMSSAGAWNWL ATVSVALTLMGAGMVLPTSLLQCVGVGMCMMLCFFTSCSARLDKSYHKWVHCLTCVQI VFSMSLFLRTIPVVGSRVSMKKHQWLVEYSIVYRLTKDFL H257_03578 MQAVLRTSQSKLHATPFLHPHPSLNASPLLLRAVSTVVPVHTTP RKKKRKGNSPQHASKPSSHPHPNQKTSLVNKSNASVLGSTNATQEKAQEPSTVVEPAA ATTPSDKDVPQPATSGTPKRGDHHASSSTDLVVSATQPSTKGHAQKKAAIATKPPTSP LVEEAQVVIGLLEEIAQRQRALPSAAHVTTMLNYTSRDQEDTFFKLFELYRKHGNSNV DSTFLIPGVVKCCEVGNSKQAVAIATDMVNNGRKVDRHTLHLILRVAETNSAARDALK VLDLLLQEGHLVTTQDYQRILAICTADHHEDGALRILQALRPLTTIASDTYLHWLSRS AMVWRADIFLALLQEMRLSGVEPRIPSLTSLEVGRKDPALTVMEGVRAVGLDPCFAMS AVYESIAKAIGKGDRRDGGVTRRQRETALEKFGTLKVRKLEWEVQPIPQLLETQAAGL LLKKETFRRLKYQQVTRVESYLNMLPITTNVTLNLRKQLLRMSLLVNTHRVKRNKYMI LGEYERGKSLIDLSNIHNYPPVSLMRIILEARGMSPRAIKNALAAPGDLTPRDNNELN RAKLNDSIHRNDPLLDLPDYTSSSLETTLMHYFISKGIRVSTQAELALDQEKIYGRAV ISPDLLLLDPVLINGVPVRWIDAKNYYGAHIVNKRLIAKQLASYVKEWGPGAVVYGMG YSDMISIPGVVCLDMTPIPKTSWGKFKMVTKSYMYTFRNLFRWAPHLGSELTQEYLTS NDLTEVNRERGAQERLERSAAKSAKNPVAAEKKEARILKIVFRHRKAPPDSILRAPSN PVLSMELYPTADNATDVRIPHAGDDVAFTEPYHIQNNSPRPSLTTLTHTQNIPMTSSW WPVMSQWLPSLVVTPSVIVCAHFRGPNGAMTLGAVAVALCSYEYAWLTYKIRHHVLLL YERYMIDQEAKNNNSLYVVQHGRYQNPLDDTVCAVHMSMRRRWWSRCAIAGFVAVVAA GSMTGILNKVQARLQLNNPELLTFTAIAYAVVGCSAALAPTWTYGVVLLLQVSGFAVL TGSVAVWGATRIFASTIPLWQYILFAVVATLMSRYGRMFVGMLKHRTIDPWHWLLFMA ATFAIFHRVVAPEVYASVLQMPEHDAANVTTAEDDKATAACGGDETLLFQNLQWTVKH GDLVVVHGAVGAGKSSLCSVLLGEIIKRSGSVLWAGRWRMWPINRGSSTPPFETTSYS ANHSISSRISLARACYSDADVCILDAPLAAVGALVFNEIFPKFPNRGFWEREGKTSDV DTPDEETSDRHDDVVLVTPTNRSSTKVAPTDICVSGAVVRAYLASLGGYGALVIVLLT TLVTEVVRLSCEMWLSYWGNEASSSRNLARDSRRAIMNDNLNIYSALVFAMCVLTVGQ VGVVMLLGLRGSKNMFEAMLGSLVRTPTRFFDTNPMGRLLNRCGDAVFQCDIEIPLAL SSILLQTASALSKILTSVFVIQWMGLLLPPLVYGYYKLGAEAIDGAVTIRAFGRSATC FVMVAVNQWYSLRIQFVSNAMVHAILLGCVVGCSKMSPGLLALVITSYGQSRQHVNEE GSKDVVALEALWPTTGHIAFDRVGFQYKVDDPLVLQNVSFTIKGGEKVGVVGRTGVGK SRLTMALFCINELASGTISIDGIDTATLPLRQLRRGLAIIPQNPVHFKGTLRTNLDPL DAYSDNQLWAALGKVKLLDRLTKSEANVGERQMLCMTRALLGQAKIVVLERGHGSREP TDEMLQRIIRNEFAGATPLTIAHRLGTILDYDRILVFDHGTLMQNDTPDVFLADTRGE FYELAAEVGAN H257_03579 MIGKPGSTLDVGAVFQMLDDMMVTVQTTGAICEVDSNMPLLRAP SAIDVIESVVNAVHRTGGLVKSINTDATEGGRYEGALIRTNTMLWYRKLLVLPFSGQW YNVVLKSGTYLYPLMISLFD H257_03580 MCPTSVDIWAVGIAVVIGGQYFSWNEGLAAGSVSYGIAVGAMGM AYLCLTLSMAEMTSMMPFAGGAYGLGRCTLGFFTGFLLGCCETLEYILYVTCSCLTLS RMLATKWPELDKCKYLVWAMSYVVACLALARGGRTYWMWNRAMAAISLGIVVIFCLGS LPFVDTTLPFQSDYFVVGDLTDFIEAFPKAAWFFIGIESLNTLSNAVPNPKSTIPRGQ LASMVTLLITATWTYVVCMLLPPGLPTLSAELSPLNRGFTRMFNISNATATLLAVPAT FATAQGFMLSYSNILMAMANSKLLPAFLATRHTSFGTPVNALVSGTMVSFALCFVVDA WSLDQYVFNACMLFAFTSYTAQCLGYIFLKTHHKTTKRLFTSPLGIGGAVFAMCVWVL NTIGIVAFQGDHQMSLIFVVLLVGVCSGYYHGYAKHHQSFSEEEQKIMLFAHIAIHNS AKAKQAKRSKGSLSSRGSTRKMSKRYLQVGVRPSSSVAVTNTTAIES H257_03581 MLTAQWTGLHDAVWVVWFLSYVVGCAAFMHSKVFWMWTRLLAVV SIGLLLLYCVGSMPYLDVTLITQSEFAIIGGGYGFMQASPQAAWLFVGIESLNTLSGG VAHPKATIPKGQVACMLTLLITATWVFVVSIALPPGMPSVSTVLVPLNYGYTKMFGIS DDATTMLAVPATFATAQGFMLSYANILDAMANSKLLPPFLAHRHPVHATPVNALLVGS ALSFGLCFVIQTCSLGVIMFNVCMLFGFMAYMAQCVGYIFLKRRHKSMERLFHSPAGI GGAVFAMCVWSLNIVSIVGFQQDDQVGVGIAFGVVALCSVYYQGYAKYHQSYSDEEQK LMFFAHVAKHNQSKRFRIMHMNRSKRYSTTSGYSGTSRTIAVKQQRRTSGGASTAIEA ESSKALEATGSDQK H257_03582 MTQVNVSSFDIWAVGICVVIGGQYFSWNLGLAAGTLSYGIAVGL MGSAYLCLSLSMAEVTSMVPFAGGAYGLGRCTLGYYVGFILGCCEFLEYIVFTCPCRW H257_03583 MTSAIPTSAELKSLSDACNRLWALDENRLNPNEHYQINLQRGKS PYQTGDVAPDNLFTYVNPEVFNKKTFKLFTALLDNYERGTGVAENVSREELKENELFI DAIAETKVIKYVHAWLEKNGKFHGDIRAFKRKLHDIWFGLYRREVANDSSGFEHVFIG EVKEGKVSGFHNWIQMYLEEKAGRLDYLGYIKPKQRGRSTLDPDEYEQLVTIQFAWEN ETKPVSTSLIGVSPEFEVALYTLCFLNGDEANEVQLGPYRAIVKCFCIGRGDRAKIGS SFPDACPLTEDQAATKIQAQFRGLKTRSNTLVPTPTSTAPQPPRPAGNPWGQKSTPAA AAAPVAARPAGNPWGTASLQDSLPSKAP H257_03584 MRWSWTLMFLMLWVHSVAASTATMALQSMVAGAQTLVDVALTTT VAIPVGGSIRVTFPSGFMVTPTAITSPVGLDAASSLSMLGTVPKITIAATAVAAGTVS FTLNGVFNPGVGATSPFDVSTYNATGFLLESATVPAKVITANDALIASVSSNSTAGAN RPWQVTVTSAVTLPAGSIMRVTFPARYVVQSVGVLDTTGFGATTYSSWTSGNDVNLGV ATFPLVPGTYKYTLQGITNPGSSCNQFYDEACVTAWENLVVSTLDVNGNTFQSMSVPA TPIIKSNLRFARVRTALTTPNTVTSAYVLFNTMTVIPVGGHIVVTFPTGFTLSPAGTV MFNNGINSMSTATISGLTVQVTVASSSVAIQNGVKFTLSGVTTPPLHTSGSYQVQTTD SLNNVLEESANIGGVGCRFLNDCSGHGDCTLMSSTCTCHPGFGASSDVAEYKAPDCSV RTCPSDLAWSDIPSSASLAHQTVLECSGRGLCNRTSGTCLCVPGYEGNACQRTSCPNN CSGHGRCLSVSDWSASTSALPLSTPTTYSQWDANRIYGCVCDSSWPVGLGAGDTRVAE WFGADCSLRHCPSGDDPLTPQDETDCSGVPAPGGVGVGAAGNKCFVECSNRGVCFFQV GRCRCASGFSGSACHKQNVLSENKPLSIVEVVLGGW H257_03584 MRWSWTLMFLMLWVHSVAASTATMALQSMVAGAQTLVDVALTTT VAIPVGGSIRVTFPSGFMVTPTAITSPVGLDAASSLSMLGTVPKITIAATAVAAGTVS FTLNGVFNPGVGATSPFDVSTYNATGFLLESATVPAKVITANDALIASVSSNSTAGAN RPWQVTVTSAVTLPAGSIMRVTFPARYVVQSVGVLDTTGFGATTYSSWTSGNDVNLGV ATFPLVPGTYKYTLQGITNPGSSCNQFYDEACVTAWENLVVSTLDVNGNTFQSMSVPA TPIIKSNLRFARVRTALTTPNTVTSAYVLFNTMTVIPVGGHIVVTFPTGFTLSPAGTV MFNNGINSMSTATISGLTVQVTVASSSVAIQNGVKFTLSGVTTPPLHTSGSYQVQTTD SLNNVLEESANIGGVGCRFLNDCSGHGDCTLMSSTCTCHPGFGASSDVAEYKAPDCSV RTCPSDLAWSDIPSSASLAHQTVLECSGRGLCNRTSGTCLCVPGYEGNACQRTSCPNN CSGHGRCLSVSDWSASTSALPLSTPTTYSQWDANRIYGCVCDSSWPVGLGAGDTRVAE WFGADCSLRTQSPSDVFNCPQT H257_03585 MTMGNPAKRRSDKNGDAKLVPNVANPNENSIGYRVTSFKSVLPP LKSTGHCTVKVGVATSVVVMDEGASLSLAVVNGICSLGTASDAMPAKPSAWTENTISG NRLANEVTTGPCWRP H257_03586 MFPGFQPTRAAATEPTAEQKRVMEAVKQEADTLMHMQDKMRQIC FDKCVHRFREGDLDMGESTCDDRCVGKYLQAYYKLNQYLPVLQEKLAREAPPTKPSDL FEY H257_03587 MFAKPAPAAAPVMDQQMQMAKVEMEMYTDLFNKMAEVCFKKCNY RFHDADLNVGEMSCVDRCVGKYMQAHLQVGTTMQRVQDSMGAGAQQ H257_03588 MKRFLRVPSTIAGYSRRRYTTMGLLMDVPPECLKVTEPSPQDTP KLNSFTEMFRSMSPYINVHRGTTMVIHLCGDLIESPLFPTTMQDIALLNSFGIQIILV AGSRPQIDTRLVHRDIPHVVKRGYRVTDADVLACAMDAAGSVRFRIESALGRGILNAP GDRQTISISSGNYVLAQPVGVRGGVDYKFSGEVRRVNDKKINAALDGGDIVLLSDIAY SASGEVFHILSEQIAAKCAVQMNADKLIFLHDGEVMVDVRNHQVVHTLLIRQAQQYLE LASLDPTLNPNFISYLKHATKSCISGVKRSHLVSRHTNGALLQELFTRDGEGIMISKE MYEGVRMARSADIPSIMRLIQPMLDDDILVSRSQEQIESNVHMFTVVERDGAIIACCT LQPYESNFAEMACVAVDPAYRKLGKGNALLGFILRKASAMGVKSLFVLTTRTSHWFME RGFAPAQVTDLPRTKQASIDPTRQSKVYIMDISSRRMVEEKELLLL H257_03588 MKRFLRVPSTIAGYSRRRYTTMGLLMDVPPECLKVTEPSPQDTP KLNSFTEMFRSMSPYINVHRGTTMVIHLCGDLIESPLFPTTMQDIALLNSFGIQIILV AGSRPQIDTRLVHRDIPHVVKRGYRVTDADVLACAMDAAGSVRFRIESALGRGILNAP GDRQTISISSGNYVLAQPVGVRGGVDYKFSGEVRRVNDKKINAALDGGDIVLLSDIAY SASGEVFHILSEQIAAKCAVQMNADKLIFLHDGEVMVDVRNHQVVHTLLIRQAQQYLE LASLDPTLNPNFISYLKHATKSCISGVKRSHLVSRHTNGALLQELFTRDGEGIMISKE MYEGVRMARSADIPSKPPPMATNPTAATPT H257_03589 MSTPRRMSVQGSLSTFLSSINSRRSLTQLARKASNSGKVFKSMA QSILIKHATNNARGWETYYRLFSNCSEFGFVAAFLTTIVILYYSGLWHRQTTTGHVPS TVNWSTIGSQCTLTPLGFVGCSSPEIAVVSTAVWTAIGQSLDQQLSSNVALKMTTCVI GTSRGYGAVVFVLAPDMFSTCSEDVNPPVAMLAMLETSTNDATATPTYLLSILNDELS PPPSQVKDDTSGATSTEVATRVTKVFISDTGVTSPAAISQTNWRFQSQPLGRRYTLSF SCSSEFIMSTDGFTGLLSRKTWIVGWTCRHDVSNWAEVAICQMGLIAILVHWVGGDLL LTFLGLQGFLLGKPVLTYDFLSGLERRKRTVVLLMVSRLVTLMYTEITRLYVDTPSHG ALFVMSCFMLSGLFVCAVYCSLLVIQRLPCPQPWRFKAVRIQGPLFVTGTTIFCAMAS AVCDLRQRYHDPIWTLRTPSLLVVVPNATSSFATGAFTELQVAPVSTILAPVMLKIMG VMLGIAVVLPMLYHRQVVIDLAFMKRNEFLHTTFVPSYVTALPLYETDCIRYGGALVV KPSTLALLGYAMLQEHKIKRRSLVEVMPKATKEHDPHYPLLVIVTVYDLLPCLLLPWI PWTPTIVGTVKKYAFTKAPRGTKLPRRKKYVPTKGSCVS H257_03590 MLADRRPILVPVPQQCGHDQYANFVEYLSTCPGMELHRSTVVDV ACTQPSEDVYSGDVRLRQSVELKFGDFVAYFQAKAAGTSHWLMDTENDLHFYLAQCPL LSTSTDVPAVLPHLLPAMASLRPPILDNIQLTQINLWMTIASSDTSVHYDAYENVLHV LQGTKCVRLYPPSATPVIQAHAIYSKSSNHTTLSLAQTKALPHFIEFDVHANMALYIP EGWWHQVRSEPLTVAMNYWFDGMRPTLVAQPAMVPYYARVLVEDLVRTARLKAVHATI AQSRQRLLNKGVLARLTSVDALEAFVVNAKGGTDKEDALLTAPPTLLYSLILRLSERQ PSVWSAMLEEASVELVEFLTDAWDDHDALSRHSNGSSPPAYFAAVFACCDFDVQEVLL AKKDAFGRQSARHVMASMFGFE H257_03590 MLADRRPILVPVPQQCGHDQYANFVEYLSTCPGMELHRSTVVDV ACTQPSEDVYSGDVRLRQSVELKFGDFVAYFQAKAAGTSHWLMDTENDLHFYLAQCPL LSTSTDVPAVLPHLLPAMASLRPPILDNIQLTQINLWMTIASSDTSVHYDAYENVLHV LQGTKCVRLYPPSATPVIQAHAIYSKSSNHTTLSLAQTKALPHFIEFDVHANMALYIP EGWWHQVRSEPLTVAMNYWFDGMRPTLVAQPAMVPYYARVLVEDLVRTARLKAVHATI AQSRQRLLNKGVLARLTGLGAWMLWKPLS H257_03591 MAEKVLSSYAMPLQMIFLEAMDVDLVRVNPRTQRPHYSIEQNHT LTFGGFLQVFKHYHICPDLLSRAQVLTLFKSLDKQHPSKMEVHDFMAALAKCALLVFS SVEWDRQYPTEEQKMRLLLLWMDKNSRLFKGNGSKLCKSVAVVTDSQVGNTPPHQLDR WLSLPHIESLDTALQTAFGYYCADDSNAITSMSFLRFLRDAGLCDNANLRLADIDVIF HQIRSAHRTTPLPQTLCFDEFYAALGVVSSRLYTSTGSSNRCFLELLNDYILPAMAHM HHSHLPLTNAHGSWRERWQQLCALKEVLASPSIRMIFDAQSTEYTSASINVVSPPLPD LAKTKQDQLQAPEPTSCQATAPFGQTVISPTDFLSSTKTSSISTALVPPTSSVLPQTL KSHSPNLHSSSSQPRATLEAQVLDELQQLSQHLRHKLQQAPPDASSSMIAEGASYTLP TSALRLTTALDRLSQELSMPPPIRRLDFPDNKVASSTAPTFPRRAKQCQPHTLHV H257_03591 MAEKVLSSYAMPLQMIFLEAMDVDLVRVNPRTQRPHYSIEQNHT LTFGGFLQVFKHYHICPDLLSRAQVLTLFKSLDKQHPSKMEVHDFMAALAKCALLVFS SVEWDRQYPTEEQKMRLLLLWMDKNSRLFKGNGSKLCKSVAVVTDSQVGNTPPHQLDR WLSLPHIESLDTALQTAFGYYCADDSNAITSMSFLRFLRDAGLCDNANLRLADIDVIF HQIRSAHRTTPLPQTLCFDEFYAALGVVSSRLYTSTGSSNRCFLELLNDYILPAMAHM HHSHLPLTNAHGSWRERWQQLCALKEVLASPSIRMIFDAQSTEYTSASINVVSPPLPD LAKTKQDQLQAPEPTSCQATAPFGQTVISPTDFLSSTKTSSISTALVPPTSSVLPQTL KSHSPNLHSSSSQPRATLEAQVLDELQQLSQHLRHKLQQAPPDASSSMIAEGASYTLP TSALRLTTALDRLSQELSMPPPIRRLDFPDNKVASSTAPTFPRRAKQCQPHTLHV H257_03592 MPSILPRSAANGLATNLSQWNSKQNDSAANVNAASVVLQVRSHL RQSAEMGFVAFLQALKQAATPAQTISRSQLKTTLVNHNLQLSDVDIRAISIFLGEDVG GAMPILTLRDLIFGKLEGRRLDVASAAFRQMDGRQRGYVAVDEILRSHDASKHPDLMF GNQSTPIQVHSAFVKSFTALVPPSSLVNLSQWLEYCQCLSAATEKDDYFELIFTRVWH VSATSISLESNVQSKLSNNQTLTPRSSFTLLDDLNNKNLLATALDFPSMPMPVSPDLP LTPVKSPAPFQADMNHTNNNITARKTSRNATLNSTQTAACMTHMYPDKGGILPKSKDI GVGTKMILSRLRATLKQRGAGGVVGLSRKFRLMDDDNNGSLSLLEFKKAVRECEVDCS DADLRLLFDAFDTDDSQIIDIKEFLNGVREPMNERRLQLVRMAFKKIDRNGDGVLEPS DIVGCYDASKHPDVIAGKRTADAIFREFLDTFDVDVKDGKVTPTDWELYYHNISSVID HDDYFELMMRNTWQLTGGQGWAASSFESNRVRGTVILDPESPPVVFSTPKRYGNASTG LTSCLQVDSSASSMQSGQQQLVDMQHPKELRRIVKRLKTTLKSRGGRGYTGLARGFRQ MDANGSGTLDLNEFRAAMDRLQLHMGANDLWALFDYFDTNGNGSVDVSEFVKGVRDPM NERRLLFVHMAFDLLDSDHNHVLTVEDIVTTYDARKHPDVLAGRKTDREIYTEFLDTF ESAARVHDGQVTLTEWTEYYANISASIDDDDYFELMMRNAWHISGGEGWAANSTNRRV LVNDSQVVELQNDLGVARYEQIQAQLGKQGFGTAANCAKVSFFDVLDHSVRPPAAVDK TRPGRLRNNSSDGTANCLSMAPASSFSGTSLNPGKRLSVGRFASAATSASTASSTYNT NGAVAPQSQQPIATVGVQPILSRLQAALKATQHGFIGLSRAFKLMDSDANGHLSMLEF KHALSGFGIADVDARILFNYFDLDHNGTLEIQEFITGLRGPMNSRRLGFVREAFARID KNGNGVLEPSDIVEAYDASKHPEVIAGRKTPDQVFREFLDTFDVDGHHDAKVTPDMWE HYYANISASIDDDDYFELMMRNSWHISGGKGWCANTTNRRVLVNDSHVVEVEDDLGVN MSSVPERLERQIKSYMNQPGTIKTLNSINVTACLNHTVDPPKSAQATPTRLTEAKVMP LQAMQRTTESVIQRLSNSSMMSTNPSVAQVDGLWGALRQVLRSKGLVTIVQVRRTILQ YGKTIAATQVQAALGQTAQVTLPTEIVQKLVDDVRQRCPLRGEDVTSARASTAGFWKC LAMPKDDQLVKMAKRVFAHLQVEAKGDCTPLVLAKAYDAPSHPSVMLGLMPAEDVFKD FARCFDVDSSGCIPYDSMDTYCSDLYFSVGELRHCLQMLRDVFHVEIPTTTS H257_03593 MTVGEQSRRPIPCDNSLEVIASLTASLSSVIDKTCVLQRLWGVV HLDKSKISIMIDTTLPVLLQLRLSSPEVNYWLAAVLEEFTAFSSFVIHTQPTKVAFLN MLVKLLKVPDPANAFLDSKCTAANSVANLIQVSGEQAAHTIVVDSAGLVGHLCALLHV KRECAQHSSLRALWRLAYYSPTIRDEVSSHLASVCVITINKDIVQIRHHSH H257_03594 MARLLCCILLSLSAHRWTTDAAPPLSSFGIGYHVGHASKIEGDF QSIRQHFGGVRTFLTHVNPQVNIIDVAATVGLKIATGIPVDSSRFELDLTAVVAGAKR NPSAVQAVYVGNEDLLQGFDAGRLVGLIQQVKRRLVDAGLPSIPVGTVQTDGSFLEFP YVTDVCDVMGVNIHPFFSHGQPMANFEARWRNMKAKFPASKLRMTETGWPTAGGYSPT GQDANEATSSAFFKAFYSWHQKEQSDMPFWFMYQDVPSKGGFETYFGLSRVDGSWKID WQSYGSPPSAPPVRPTSAMAVESPDGYRLAVVNGQLKSLQQQESALFIWDLATNGLRT NGNNCLVASAAATNVRLEPCDPKSSNQRWLVHRRANRLTHVGDGMCMDIAPQERKPQL APCDGGNDNQMIRMVSLQLRCTLEKNVDYAGNDLKAVATPDAAACCKVCRDTAKCNAF SWMRGTCWLKRTKGPKSSKDGVVSAAFG H257_03595 MWGACLCLGWLASLCVVADSFSMFKPHETDVDLPEQVASLTSSW DVKKMRILEFVTAQHAASGSPGWALAVVHHNETLLAQGFGLNEVANPANQVSPDSMFH IGSVTKTMVAVALAKLVDEGRVRWTDRVTQHLPWFTLHDKYAQTYTTLHDLLGMNSVF AEGDSDYQASFGVYSSERDMVQRLGSYATTRTYRQGYAYANVNFAILGQVVQAVTNQT WGEYLTQAIWTPLGMMHTYDWAEHAPADHQLSKGHFVCNGTVAGPFDIRDASTSFLAP FPQADGSVVSSIHDMAIFSKFLLSKGRPLFASTQPIADMITGHEIQAVFVGAMGTSWG YHFDPKGGRALGAGYGIDVVGPSMYRNLDYFDKNGDTAEHQTRTGFVPSRGLGVVLLN NGQLMDKGSNIQRLSRVRTYVLGILLDIPQNELVAAWNESVLAADALYPVGDCKAAAF DSTPPTPTYHPSDAVKEWLAGEYTAMAYPDFVGNASIYVQNKNQLVFKYGTYAGPLIG LTNTTFVWNVFVAVAPAVTVRIAKLPNGLPTIAIDDMFAFVKVLA H257_03595 MFKPHETDVDLPEQVASLTSSWDVKKMRILEFVTAQHAASGSPG WALAVVHHNETLLAQGFGLNEVANPANQVSPDSMFHIGSVTKTMVAVALAKLVDEGRV RWTDRVTQHLPWFTLHDKYAQTYTTLHDLLGMNSVFAEGDSDYQASFGVYSSERDMVQ RLGSYATTRTYRQGYAYANVNFAILGQVVQAVTNQTWGEYLTQAIWTPLGMMHTYDWA EHAPADHQLSKGHFVCNGTVAGPFDIRDASTSFLAPFPQADGSVVSSIHDMAIFSKFL LSKGRPLFASTQPIADMITGHEIQAVFVGAMGTSWGYHFDPKGGRALGAGYGIDVVGP SMYRNLDYFDKNGDTAEHQTRTGFVPSRGLGVVLLNNGQLMDKGSNIQRLSRVRTYVL GILLDIPQNELVAAWNESVLAADALYPVGDCKAAAFDSTPPTPTYHPSDAVKEWLAGE YTAMAYPDFVGNASIYVQNKNQLVFKYGTYAGPLIGLTNTTFVWNVFVAVAPAVTVRI AKLPNGLPTIAIDDMFAFVKVLA H257_03596 MMAWRSFGTWSVVCATLASCLEWNAREANALSSCPCAHMSLCLP VSRTPAFEVFAFSPSVNSTNWHYYDFDTLTTIAWNLDKELLCHAHAHDVKIVVQHNFD DVHMLCDQAARADWIEATYNSIVDNYADGVNIDTEVAMSGATAKCQTLLVKELRARLV ASKFTRHAQISFDVPWAPHGIDQRYYDWVGLATHADLLFVMSYDMRSQIYYQCIAGAN SPLALVKQGLEEYIVAYDIAPRKLVLGLPWYAYKYPCKPHDQPGYKSARPICHIPPVP FRGAPCSDAAGSQVDYKQVQMYLSDPDSVHGWDPMSQSPFLMVHTPNATWQIWYDNVT SLGVKYQMARELDLRGVGMWHVDALDYSGKDDPVASTLAMWQALRKAVPVAPVYKSID H257_03597 MPPAATTQAAVASSDIVTLRVAGKDYNITSAFNSARRSVSGLMS CNSRTLAELPSAKTIKQSKRLWQAAELANAGFMVEFFATADQVTALTCIEYRGHNQET PFLVACRLGHVQCVSILMQHGANRHAVDHHGNTGLHHACRQGHVALVQFLSAHMDVYI HNAKRLSAIDLCRQQLHGQQHNANMARCMECLENRVKLFEGWIEVSEPSVGSVLTGLS LLQAWQLRYVVVYGVGSTTYVDVAVYSIAHELRPLLPTNVFVVPVHQLVSFNLQPKLF QPRPYTFALHGSPKRKDMYIGVSQTVEFAASNPQEFERWTTFFCVTLLNDRVVDEGGA GMLSNTSAHSSPTDGSTSLPFRPGRIIEIEK H257_03598 MDPINLPYVVVHKNLRPPLCAHIPTVLHDLMKACWHPDPSQRPS FHEIRGLLDAARKQVILSLSIDTTVSYDEAVALFNRKSSNGMRVGFGLKSGLL H257_03599 MSTYTFSFTNDWVFTDTELKASPSSKKGISWDQELDLRKNGIIF YESMAKIFRVPRQAIATGKQLFHRFFIRESFTDHDKYLVAATCLFLSAKAEDHSLAVH NFAEHYISKRKEVTMEFALRYHTVEMIAEAILQKEYAVLHTLAFDLDVAFPHLILSDK IDSLVALHTHLSVAEKEALTNTMMKNSWSFLNDCSRTPLCLRLKADEIAAGAAYLAGT IDDTVPCTIRTKDGENWEYVLSDNQNGLIDAATMILEVYVSINEATLSPSIVKLLNMF HPYRGMEELEPIPDALTKKASMLDDGVDTDFESDVTTPPADRTLFDDDCGFDGVMNAS RKRALEPYGSPPYSPDSPPYSPCTPPYICSTTTSAKRPRCV H257_03599 MSTYTFSFTNDWVFTDTELKASPSSKKGISWDQELDLRKNGIIF YESMAKIFRVPRQAIATGKQLFHRFFIRESFTDHDKYLVAATCLFLSAKAEDHSLAVH NFAEHYISKRKEVTMEFALRYHTVEMIAEAILQKEYAVLHTLAFDLDVAFPHLILSDK IDSLVALHTHLSVAEKEALTNTMMKNSWSFLNDCSRTPLCLRLKADEIAAGAAYLAGT IDDTVPCTIRTKDGENWEYVLSDNQNGLIDAATMILEVYVSINEATLSPSIVKLLNMF HPYRGMEELEPIPDALTKKASMLDDGVDTDFESDVTTPPADRTLFDDDCGFDGVMNAS RKRALEPYGSPPYSPDSPPYSPCTPPYICSTTTSAKRPRCV H257_03599 MSTYTFSFTNDWVFTDTELKASPSSKKGISWDQELDLRKNGIIF YESMAKIFRVPRQAIATGKQLFHRFFIRESFTDHDKYLVAATCLFLSAKAEDHSLAVH NFAEHYISKRKEVTMEFALRYHTVEMIAEAILQKEYAVLHTLAFDLDVAFPHLILSDK IDSLVALHTHLSVAEKEALTNTMMKNSWSFLNDCSRTPLCLRLKADEIAAGAAYLAGT IDDTVPCTIRTKDGENWEYVLSDNQNGLIDAATMILEVYVSINEATLSPSIVKLLNMF HPYRGMEELEPIPDALTKKASMLDDGVDTDFESDVTTPPADRTLFDDDCGFDGVMNAS RKRALEPYGSPPYSPDSPPYSPCTPPYICSTTTSAKRPRCV H257_03600 MVHIINGEIVQDNDPRVLNRKAKTAATQSTSSGNIRQGNVRQTT AASAAPQPGANANADPLSQLATAIGLEGSVVIPAFPALNLPSVVVEKIYFAVLAVLAY LFGARALVLGAGYYIYLTQRDTPPPQ H257_03601 MRSAKEVATCHAFGRCAEFSYPNEAAPPSLAIPRLKTDMDVKTS SSGELGWLQPHHEDGLWWPVHVHGRNKFTSPPPRDVIDSPTTPEEAAGTYCEVYSFGT YKVSTHPAKSIQPWSAMQPVRSSWRLGRHANVYRQALVEVESFLNHTKPSANERDEDE SPPIKPDGKHNDDDDNDAIFAANSIVWAKLRGYPWLPAYVVDVHQYDLTRAHRVPRDG GVVTILQQAQRDRNLRVVYYFQSHNFGLHKATDQSLQPWMGDKHDLYANPKPPRQGRT VRRRHKEQLCVALREVADLRAKNQTLHELPCTLVLRKLCRHRRNHDVAPPKAVGNAAF NDQDTPSSQPPFFGSKTEILNRFAWMNRATWLPWMPVFVFDPKTTPSLRSTSDTSGAN RADGDAEKLEMTLHKGECAVFDFSSRSVIKCNKTKLKPWHCADVEECMMGKHTQDGHA TPLTSLSMAQFDKAMACAEGFINMAVDDEFAG H257_03601 MRSAKEVATCHAFGRCAEFSYPNEAAPPSLAIPRLKTDMDVKTS SSGELGWLQPHHEDGLWWPVHVHGRNKFTSPPPRDVIDSPTTPEEAAGTYCEVYSFGT YKVSTHPAKSIQPWSAMQPVRSSWRLGRHANVYRQALVEVESFLNHTKPSANERDEDE SPPIKPDGKHNDDDDNDAIFAANSIVWAKLRGYPWLPAYVVDVHQYDLTRAHRVPRDG GVVTILQQAQRDRNLRVVYYFQSHNFGLHKATDQSLQPWMGDKHDLYANPKPPRQGRT VRRRHKEQLCVALREVADLRAKNQTLHELPCTLVLRKLCRHRRNHDVAPPKAVGNAAF NDQDTPSSQPPFFGSKTEILNRFAWMNRATWLPWMPVFVFDPKTTPSLRSTSDTSGAN RAADGDAEKLEMTLHKGECAVFDFSSRSVIKCNKTKLKPWHCADVEECMMGKHTQDGH ATPLTSLSMAQFDKAMACAEGFINMAVDDEFAG H257_03601 MERHAARPIQLAPWSTCQCVSSSPRRSGGDGYSVLMYLQVIQSF LNHTKPSANERDEDESPPIKPDGKHNDDDDNDAIFAANSIVWAKLRGYPWLPAYVVDV HQYDLTRAHRVPRDGGVVTILQQAQRDRNLRVVYYFQSHNFGLHKATDQSLQPWMGDK HDLYANPKPPRQGRTVRRRHKEQLCVALREVADLRAKNQTLHELPCTLVLRKLCRHRR NHDVAPPKAVGNAAFNDQDTPSSQPPFFGSKTEILNRFAWMNRATWLPWMPVFVFDPK TTPSLRSTSDTSGANRADGDAEKLEMTLHKGECAVFDFSSRSVIKCNKTKLKPWHCAD VEECMMGKHTQDGHATPLTSLSMAQFDKAMACAEGFINMAVDDEFAG H257_03601 MRSAKEVATCHAFGRCAEFSYPNEAAPPSLAIPRLKTDMDVKTS SSGELGWLQPHHEDGLWWPVHVHGRNKFTSPPPRDVIDSPTTPEEAAGTYCEVYSFGT YKVSTHPAKSIQPWSAMQPVRSSWRLGRHANVYRQALVEVESFLNHTKPSANERDEDE SPPIKPDGKHNDDDDNDAIFAANSIVWAKLRGYPWLPAYVVDVHQYDLTRAHRVPRDG GVVTILQQAQRDRNLRVVYYFQSHNFGLHKATDQSLQPWMGDKHDLYANPKPPRQGRT VRRRHKEQLCVALREVADLRAKNQTLHELPCTLVLRKLCRHRRNHDVAPPKAVGNAAF NDQDTPSSQPPFFGSKTEILNRFAWMNRATWLPWMPVFVFDPKTTPSLRSTSDTSGAN RADGDAEKLEMTLHKGECAVFDFSSRSV H257_03601 MERHAARPIQLAPWSTCQCVSSSPRRSGGDGYSVLMYLQVIQSF LNHTKPSANERDEDESPPIKPDGKHNDDDDNDAIFAANSIVWAKLRGYPWLPAYVVDV HQYDLTRAHRVPRDGGVVTILQQAQRDRNLRVVYYFQSHNFGLHKATDQSLQPWMGDK HDLYANPKPPRQGRTVRRRHKEQLCVALREVADLRAKNQTLHELPCTLVLRKLCRHRR NHDVAPPKAVGNAAFNDQDTPSSQPPFFGSKTEILNRFAWMNRATWLPWMPVFVFDPK TTPSLRSTSDTSGANRADGDAEKLEMTLHKGECAVFDFSSRSV H257_03601 MRSAKEVATCHAFGRCAEFSYPNEAAPPSLAIPRLKTDMDVKTS SSGELGWLQPHHEDGLWWPVHVHGRNKFTSPPPRDVIDSPTTPEEAAGTYCEVYSFGT YKVSTHPAKSIQPWSAMQPVRSSWRLGRHANVYRQALVEVESFLNHTKPSANERDEDE SPPIKPDGKHNDDDDNDAIFAANSIVWAKLRGYPWLPAYVVDVHQYDLTRAHRVPRDG GVVTILQQAQRDRNLRVVYYFQSHNFGLHKATDQSLQPWMGDKHDLYANPKPPRQGRT VRRRHKEQLCVALREVADLRAKNQTLHELPCTLVLRKLCRHRRNHDVAPPKAVGNAAF NDQVLCFRVTFRGVSLEFKLI H257_03602 MPIIKSSTPVHLPGAIVSTDHYITCPLDHFTPSSTSTIDVFIRE VVAAKNESNASLPLLVYLQGGPGFASPRLTAPPSGWLKSMLTEYRVLLLDQRGTGLSS ALTAQTCGAFQGDAAGLAAYLTHFRADSIVHDVELFRKTRFPSQKLTLLGQSFGGFCI LSYVSFFPSSLERLLFTCGLAPVHATPQQVYEATYANVVERNRRFYVRYPSDKVKVAE IVQHLRRTPAKLPSGGGILTARRFQMLGLSLGTVAGMETLHYLLESAWVSHFHSPSDQ GQSPSKQLSHAFLTAVASHQSSFDTNPMYWFMHESIYCDGPAFSPSNWAAEAVHNAME VQGHALFNPARFDELDAAELAEEATLHPVYFSGEMVFSWMADDFPESLAPFRDAANLL AKKTDWRPLYNEANLRDIAIPTAALVSFDDLYVDRTWSLRTAALLGDNCHVFVSNEYQ HAGIRDDPNLVEKLLKMSKSELIVPT H257_03603 MPPTFDAVLTTPDLVRCVTAFQHGEFHDMQPFRHLKKPSHPSSF VTKPSRSIEMYHVLLSPWLHEYGTKRLALLFQYHPHMQDIVVLHAVIFGHLPSLEYMT KHYAELVWTFPEPLLDVAASHNQLHILQFLHDTDHPGCTVGAMNWAANRGHLGIVTFL HAHRSEGGTTKAMDMAAMNGHLDVVKFLHCHRKEGCSTDAMTEAAWNGHLSVVKYLHA HRTEGCSRWTMDRAAWNGHLEVVKFLHTERREAWTSQAMDMAAWNGHLKVIQYLHAIP ASAGCTPNALHFAAMNGHLEVVKFITDTRIEGDLHMAIATATQMGQSDIVKYLESKQR RRFKV H257_03604 MEVMDDITIVHFWGACPDAKVFKKPSTCTIPPYKSKDDIKGILV EPKEFALSLDARTKRLVRSFTYTIGCSDVPPSVEDGGAITNNSTTLLPSGSSAKKKRN ANFKRGCRK H257_03605 MVRGVVVLDLHQVMESAHASLLPWRQHSSTKEENPADIGSTDHV HSQYQSKWLRLQQCLPHVFRVALVDAIIFGPDDVLLVHLLQQLHSLSFEYPTSFLQDT IVLAATVAASLGHVALLGCLVPSPRLPTNAIMDNAAAHGHLQILQFLDSTSLVFPCST NAMDLGARNGHYDVVRWLHLHRLSTGCTANAMAWAAWKGHDRIVRYLHTHQLSSRPEL DGGRSIDLAAQANRLPMVQWLHGHGYDTTVESLEVAARRGFVELLAWLVKTFPDKCTV KVMYHAASRHDEGMVHMLHANTDPTYTTDVVRWARQRGHRLVAAYVESVLQLGKVDRG QSEDVESILQDFDSI H257_03606 MTYSEKSREKRSSSFSRYSFDGDSPLPPFVAMTSSSAIDGGDWA AKFGPVMTTDDIVIQNTVEYVRGMLASNDASHDWNHIERVWRLSVRIATEEHVERMDC VVLAALLHDIDDWKYTGSDSTDRARAFLHTQPLDVDKIEFVLKIINGIGFKEELGAKK ISMFPELACVQDADRLDAIGAIGIARCLTYGGHKKRVLYEPSVPPLMAMDKAAYMANK HGPTLNHFYEKLFKLKDMMKTPTGQRIAQARHEYMVEFVERMQAEVAGLL H257_03606 MTYSEKSREKRSSSFSRYSFDGDSPLPPFVAMTSSSAIDGGDWA AKFGPVMTTDDIVIQNTVEYVRGMLASNDASHDWNHIERVWRLSVRIATEEHVERMDC VVLAALLHDIDDWKYTGSDSTDRARAFLHTQPLDVDKIEFVLKIINGIGFKEELGAKK VVQHRTYIMSTMIPWVPCQISMFPELACVQDADRLDAIGAIGIARCLTYGGHKKRVLY EPSVPPLMAMDKAAYMANKHGPTLNHFYEKLFKLKDMMKTPTGQRIAQARHEYMVEFV ERMQAEVAGLL H257_03606 MTYSEKSREKRSSSFSRYSFDGDSPLPPFVAMTSSSAIDGGDWA AKFGPVMTTDDIVIQNTVEYVRGMLASNDASHDWNHIERVWRLSVRIATEEHVERMDC VVLAALLHDIDDWKYTGSDSTDRARAFLHTQPLDVDKIEFVLKIINGIGFKEELGAKK ISMFPELACVQDADRLDAIGAIGIARCLTYGGHKKRVLYEPSVPPLMAMDKAAYMANK HGPTLNHFYEVQ H257_03606 MTYSEKSREKRSSSFSRYSFDGDSPLPPFVAMTSSSAIDGGDWA AKFGPVMTTDDIVIQNTVEYVRGMLASNDASHDWNHIERVWRLSVRIATEEHVERMDC VVLAALLHDIDDWKYTGSDSTDRARAFLHTQPLDVDKIEFVLKIINGIGFKEELGAKK VVQHRTYIMSTMIPWVPCQISMFPELACVQDADRLDAIGAIGIARCLTYGGHKKRVLY EPSVPPLMAMDKAAYMANKHGPTLNHFYEVQ H257_03607 MQLARDRTRDLVSRCFVDVLGHRVSAIYAPNVVNTMRGKWKASK EYTPIDTETARLWGEYMSGASMLSSFFKGEERVAMRLHTKKLDGYVESMSVGEVRGYM HEIVDPGATDVFEVNKVLYGATAPYKTVLAASGNATQDWQTFYDLSEQTPTLVKLETT ATNDDAVVCCGVTIQEMPNATVPLLDRRDLFDQSVMMDVVQAEGMLAYLSSLFPEVNL TKEHVKRVPVDYYCRCNKEKFVQKLFSVPPSDLSSLANEGGVLLSCSYCNAGYFVTAP ELEQVLLDKHQQQP H257_03608 MSSSAKVKSSGVRRSSRPDADRSDDQRKEKDPLALRQLSTPDDL DTYMEKLYEEDMESKLDGITQILNLSEYGANIEMLVQNEALMCLLSRVLNDEYKKNYD FTLHMMRIFWCYSNFLQLHPILSNYRIGAITLKIVDFEVKRHQLRIEEEKLLQESLVK ASHEHPDVLAKLKSEAKKNKKRSKKQDQLLFVCLSVLFNLSEDIHTERKMVKKKIVHF LAKMLDRVTPDLVILTLGFLKKLSVFEENKDTMIELQVPEKVIRYVKCNHDKTAQIAL KLLFNLSWDARVRDAMVKNSLVPKLVELLKKPPFRALTLRILYHLSMDDRCKSMFTYT EAIPIVMQLVINFPQNMVAKELMALGVNLSVNGRNAEMMAQNKGLDALVQRVLRTRDV LLMKCIRNISHWSFSLQEDLASEKMYKHKGLWAAYVVPLLELAQSSDNHDLVVEILGT LGNLTPQDLPPKSSWDKILAQYYTVIPFLNKLLVPGFSQDDMVLQVIMFVSALMLEPK CAPLITSSRVVRSFHTIFQDKQSDHEITLQLLFCFYRMLRHPETLDEVLYGTNMLPDL LLAADSTNVEVRRMCDSVLDVILDHDIHEGEVGEFGRQIRRRRFEIHNAEYWNLMRHR DASPTAFHDDDPDERDHEYSHSRK H257_03609 MVSAGAVVRGFDDASAHQEAADACMFHFERKHVYSVKNNPMCGI SFGQWWHLVRTQWRHFDWCYVPRVLFLTVLSLFNSLLGIVESILYPDAVIHRVELPTD PVFIIGHPRTGTTLLHNLLASDAANFYTCTTFCTGFPSCFLWFEAWGKRLFAGTIDPT RPMDSMPLHFDLPQEDECATILLSRGASYYMPIYLMTREPAFRRFLDFSAADGGTADD EAQWTSAFVYLLKKLTFRHQIQAKGSSLRQRLVLKSPIHAARVPLLRKLFPNARFIYI HRDPFETMASAAHLANTAFWFMYLSTPTDDQVNEYLFWQFDHMWRKYNEAAVDKSVHG GRVVLPDILEVSYAELTTCPERTLRSIYAHANIQWTDQSTRHFAAEVDALQSYQVNRH RTPAHATPTPHSRHGPRVHGCSRVYHRAISRGLGGYELKGTRGLHQVNADTDL H257_03610 MDWLLHFIYHPISIEFHQSVQQVNDLRHHNMASQVDKFGDKMDS MGETIDEAMDNRPQTLSGQAVDVYRTASTGHAHALVDLVIHGADISLEFLDAQERTPL LAACANGHLACAQILLERGANAAAIDLDGNTALHVACMHGRVEIVSMLLMSSSHVMSP YILNKKGQNALRLSRMIVQAKADGWPQVVQCITALEERVKVFEGWIYHRSQSMFAWQS WEARYALVLRTGSTLCLEMHLFHVHNGLRTPIPTLVFDIDVDKPVTLYRDGDGLNRKP LAIGLESQVLAAFNEPGFEAWGTFFTSHMSAAVFEGSRPPASAVAATTSAHQRSPPPS TKDLSVPLDQLHPLPRSSSPSSSPRHTVASAPAWDDILRIELPTREMELARSSSTSPR TQASTAYTSAKGLDDNDFARLPSIDGGRAPTFDRDEHGDGRLLDGRGRTSDEECAVCM ARSKQTVCVPCGHVAACLQCALEIQRTSRTCPLCRTPVKELVKLWLTQ H257_03611 MARLGDDYGAVMGRFGASMSDLGLRLGTEFEGLGQRIASAVNFS TTSASRAKSASRAKSATRTTQNNSTTAATSTVPRHFHADREKPRHAINENGLPTFAYG NNNVTLAAEHDARARRHQERDDANVVRYQARDEAKTSRRHRLHRHPHRRDIINDDDDT SRDDQVLDALSTKLEKKLTKVVYKFDKKAVAKTKHMDSLKLAEAKKQHAAAMATHLQS FHDHVRPPKPPSSPRLEPPSSQPSSLSTMYHAAKHGDVGTLRQVLLSASSVHVHDAME YSDDRRRTALLAAACNGHANCVLLLLDCGADVLATDADGHSALHLACISGHVQVVALL LRHPVRPANPYLVNHAGQSAYDVAALEIISKMQAINSDEVLMNEAETPSWVNIATCLE VLEERLKVFEGWVLGCDDQPTVDWQPRYAVVLRTGTDQMLLLNIHQVQHGLRLALPRL AVMVDVHNPMPILPMDASVDLPAWSFSAGNVRLAAFNQAGFVAWTHFLCVEAVDAALD SVSLRTTTPATKSMELYELEMGDSANVTPASAPDGQEVPRLSPIAAASTPLLPHSTSD VAEGEECVVCLDRPKQTVCVPCGHVAVCVPCADALQRTTHKCPVCRQDVREVVKWFLT H257_03611 MARLGDDYGAVMGRFGASMSDLGLRLGTEFEGLGQRIASAVNFS TTSASRAKSASRAKSATRTTQNNSTTAATSTVPRHFHADREKPRHAINENGLPTFAYG NNNVTLAAEHDARARRHQERDDANVVRYQARDEAKTSRRHRLHRHPHRRDIINDDDDT SRDDQVLDALSTKLEKKLTKVVYKFDKKAVAKTKHMDSLKLAEAKKQHAAAMATHLQS FHDHVRPPKPPSSPRLEPPSSQPSSLSTMYHAAKHGDVGTLRQVLLSASSVHVHDAME YSDDRRRTALLAAACNGHANCVLLLLDCGADVLATDADGHSALHLACISGHVQVVALL LRHPVRPANPYLVNHAGQSAYDVAALEIISKMQAINSDEVLMNEAETPSWVNIATCLE VLEERLKVFEGWVLGCDDQPTVDWQPRYAVVLRTGTDQMLLLNIHQVQHGLRLALPRL AVMVDVHNPMPILPMDASVDLPAWSFSAGNVRLAAFNQAGFVAWTHFLCVEAVRPCS H257_03612 MDQFVKTVEASTKTIEQHANRLGQHAERFGQRMQQRAAEMEASL RHPAPTPAPAPSAFKLGDIVQWVKDTFGVNTDMVYECAKKNDVQTLLQILDGSTPEMR PKLLEYKDSRGRTPLIMASSQGHVLCVQVLLQHGAFIDAVDSKGNTPLHYACQEGAIG VIEFLMSLPGVSPYIQNSRGLSPLEVARRSVENKVPNASGCVHLLETRIQVFQGWVYE SVDNIGSSILGLSSLQSWANRYVIILRVGSPQFLEMAFFDVVQGQRSPLPTSTFMLHV PSPVTLHTKAKLFDSKPWAFKVHGARKKGAGIVGALHARELAAPDQASFHAWAAFLTG GGAANSLVDSQLLTNSSVEFTVAPQVHGPSPPVAQTTPLFPQPKPLDDSFVYAPPASA PPLDDHDVAAPLETSVPGECVVCFDGPQNAVCVPCGHAAVCMRCADHMKQSSLQCPVC RADVREVIQLFHV H257_03613 MMAPVGVINSQWHDVEAVQRLVLSFLSPIDLVRMSSLNKSFHRS TMHSQSLILTGDDDARLARCGYGQLVPYFHHSRTLRVSLSFALPNELLHLTRFRQLED VSLVDVASLGDRHLASLTTHAEKLKRLHVDGCHELVCPPLSLPAATQLKFSNNLKLQS LAIESPCTSLSKVHITSCPSFVAFNTLMAAAPNVHTADFTQSNGLVRFHCQLTWQHLR TLVLDRCAQLAYLEVQAPALTSIRVHHCARLHQAILCSDKLRSADFSLLPALQTLYLD CPQLIRLNVTGSYALQSTGVTLECPLLTSNKFHRDGVPAFQAVVFR H257_03614 MGERGLGIKTDTFKHAWEGADKESFPQLCESCLGEDPYVRMMKE VHAKVCKTCDRPFTVFRWKPGKKARYKKTEICQTCAKLKNVCQTCVLDLQYHLPVQVR DAFLAESERQIVPESEANREWFAQQHNAMLEEQGIVSAYGKASAPSQALLRMARVDPC YKRNRPHLCSFFARGECKRGETCPYLHEMPTAKSNPLAKQNIQDRFHGRNDPVAEKIM TQHGHADKLNINRRRRGIPPPPPPPPQQSAVPSHVPPPPPGPPPSSAST H257_03615 MARPLRLAIHLLICIPLVMTTSVCRRAPAIFVNHGAGPLPVLMP VTDPDHGTARAFLEQVAPAWLGLNDVDTRPSAIVLVTAHWEESTVAISSGASHPLLYD YGGFPKEAYALTYNAKGSPAIAHKIHALLTAQNIPSKLDPTRGWDHGLFVPMKLINPA EDIPIVQLSVVRGSDPDLHFRIGEALAPLRDENIAILGSGMSYHSFHGRGNLVAQSKA FNDALVKACAHVDVQARGEALRAWEAMPGARECHPREEHLIPLHVIAGAAGHDALTSK DILSTMFEPVRLVSMGWGVQEL H257_03616 MVNPALRKAPAVFVNHGAGPFPILKPIDDPRHGSTRAFLERVAP KWLGLDDPATKPAAIVLVTAHWEASVVSISSGESHELYYDYYGFPDEAYSLTYKAKGS PAIAHQIQALLAATNIPSKLDPSRGWDHGVFVPMKLIHPAEDIPIVQVSVVAGLDPDL HLRIGQALASLRDENIAIVGSGATFHPSHRTDDSETKAKLFNDALVEASSKPTAVDRH EALKAWAALPHARDCHQREEHLIPLHVIAGAGGDGPATSYDIKGNGVFRSVGWGVVHE H257_03617 MFHAFRRCAVHMRRNTATSRRLNTGNPQEAAEGATAAGRHSPTP PSQGELKWARRLNELEKKFKGFSTGEHAERHWGYKGPFWGFLGGVFVGCLARPGKESK HDADEFRRLRNVARDLQDESWELKNRLTLIQQSIDQLRVAQHQQVIPPRSHN H257_03618 MHSHGTMKVSDSPHHLCSSRIYQFPPKATLLNMFRIVHRSVILT RRPNHPATRRFNTSGPAAEGSAQGAMPPPPPPPHGDWARRLNEYEHRYKHFAARVNGT GDRKWIGKGPIIGFVGGVLVGSSLFSKRDHGDERTERRIKSLASDLQDESRKLKQQLV SIQQSIDQLKVATPAYAPYPSRPHVTEVRTFNKEERSKQRASKFQQEVGKFKGDLDDA NSLGDKLQCCMGFLGVFCDFVVEEVDEGTLRSTMTIDEVDDMDEILVFIRGLSANLKA WKVDS H257_03618 MHSHGTMKVSDSPHHLCSSRIYQFPPKATLLNMFRIVHRSVILT RRPNHPATRRFNTSGPAAEGSAQGAMPPPPPPPHGDWARRLNEYEHRYKHFAARVNGT GDRKWIGKGPIIGFVGGVLVGSSLFSKRDHGDERTERRIKSLASDLQDESRKLKQQLV SIQQSIDQLKVATPAYAPYPSRPHV H257_03619 MQRVFRPISRAVEAIRCKQPRLPQHRSPLSTTAGPTTAGGQSHH HHYYSKHNTPRRFWFWTLVGGGLGYSVMAGGDSRCKTKRRTDRAFRDVEVKHRELKDD LNRLQLSLDQVRGQAMVHRPSLQDIYLRQHIEAVNQ H257_03620 MSRRHSNKSSAPPAVTPARPWTRVPKLVVFDLDFTLWYPEMYEL AGAPFRRSAKGVVSARDGEEVHLFDAVHPVLHEIAFGVEFVETQVAVASRTTYPDWAR ECMNLIMVQFKELTEAEKAVQRRKRLNAEGETLANLVEYQAIYPTNKHVHFKQLADDS GVAYSDMLFFDNEYGNIRDISAMGVSSVYCPDGLTWEVWEQGMTAFQTK H257_03621 MTHRGSTGAATDAVDGGGQPPQQLRPTADSMKFEKYRTKMVLAI LDIPPYDRTDSDIRVVYQFLKDRDLFSFLSPHALLYLTAEVVVSKAQDGDVLHYQDEE VTGDSFMHIILEGSLCGYKSDAFAQRSNRDEKFPQWLASHCAESNEPLDFGECVTTFH SGAECGLTDIASKHTVHRKFSVLAQESTTAVSIRKDTMDRATAYMGAKTNSVFARQDS TEEMHSGSKSVLHSFLTSFPFMNQLPPPLQLRLVDACRQRKLRKHEPFLGPGITSHDI VVVLTGCLGLYRLDRHNVATAIEANTKAVAKQHDGIELAQVTAGESYGDFHLWSAAPT DVRRPTTDSSLLHMVVSLVATEATQVVVLDRRVYRHIAASHVPASDLATLAVIPKLDR TWGDVGDLKLWFKRQLFFQQLPDRWITRLAESAVGSPPWPSDKVVYNKSSDADFIYFV VAGAVRVQLNASDPGVDVLPGDVFGADEVLDKRKRQRVALTKAEDTILVKFPTAVYFE CLADVATDITFAPTSRFRAMECYVSPPSVSDVNELAKFFRNVQVLAHLPFYIMLEVVP YLRVRYLEVGDLVCAEDNSTDEFVSVVAGHVGCHSRDRATDESAVHLFQGHAFVHVPS MHSEQVATSESGATPVEKKFTMMYGTSVHVLVPGDTCRTGFVDPSTANRRAPVTMVAL ASRTTIVSISEHDASQVLQRLVEWSKPHRSLKELIGQVGSLDAAGTIQFAPDEVNQVL AHLRYPIDRPDKVASDVRCLKLQPGDVVVRAGELVKHLVVVISGHVAVSVVQPPLSST PLATSNHSNNVFAKLLTSNFKSTSKTLALVGSRDGSRRRLSTVLPSIAKLSKHTPFWQ RSSSIAPSPSPSNILRIDEPNSARISSAGASSAAQNDQYNSSKNDGGDVAASQTRMRP LVTLLAGDVWGGEVVFSRNWPSLHDVVAESVAEVMLCPRETFVVVRREALAASSKKSD AHSKRILAKAHWKRANNKVVETMLPSANGGDLKPKFWRLLDQAASQRIKLIIKHLSHM ELFQSMADATISAIVASARYDTVEKGEIIFKAGDAPKRYYVVVAGTIGLYSPYASLED VCLNVVQSGGGFGEFEILTEQLTRCSRVACTRHIISCGLFILEDLCLRSLSAVAEVAA KLISFASQSFHELWDPAKLDAIRSGISFFQQLHWANRLDMDKLAHIYHTAQAVEYHKG TDVVRIHAQLNTCFVIKEGTCFLGNVLPIQAKNGTTDNIDVVQVSTSLAQVSKGHSIW VLGVATFSVTAAVANTVVYHLNYDFLKAILPKHHLGRLERQIKQHDQYHADESARLHE LALHVMNTRTYVATTAGGPEMFLPRFDLTNRDVTMTDVVAATALTLADVQEARKKVDQ VGTPTPTTYDDDVGREGVPAKLMGSFWKSQSLGPARRRSRESTPQTGAVAPPAANNTK LAPFFPTEVPDGDAVTSYYSERYDVNAPIRTLRLESVAKAQAALNGPRIDVEAYQVMQ YVIDATPIQEHMRTESLLLTAQLHFQRQNGGGSSSSTSATPNEAAMTTIELATGLRPW KQQPPHVKTRLRPLKKLTGRQPSSPRTATSRNDHKSGKLAVRIVQSGQTNQQGTNATM VCMTATLRRTTLSLRRDDKHGDNNGARNSNGLHSFEWILKPGMRVVEWPDDAATPCEF KLDLGGDNDPRDMVTFMALSLHDKAKWVALLTHAVAIPSVADKASAFTLNPSRIVPVK KPDVVRRVQTVTEEFLPEVSYIVPALEH H257_03622 MAFLMSTERTQKASFAHVAIYHVSLAYNMLMNKATSRTWWTRIS DHLILGALPLRDRDHHTQLKNEEGVVAVVTMNQPFELLPSVLGTPVTPEDWHDLGIDQ CFGHTPDFSPPALATLIACVHFTKKHIDQCGTVYVHCKAGRGRSTIVVAAYLLHENGG WSVDDALAFIKAKRPHIAMQRPLLVDYHQYLHATQHRPNKL H257_03622 MLMNKATSRTWWTRISDHLILGALPLRDRDHHTQLKNEEGVVAV VTMNQPFELLPSVLGTPVTPEDWHDLGIDQCFGHTPDFSPPALATLIACVHFTKKHID QCGTVYVHCKAGRGRSTIVVAAYLLHENGGWSVDDALAFIKAKRPHIAMQRPLLVDYH QYLHATQHRPNKL H257_03623 MATMCAKAKELLKELGRSEWLPPYNEEGMRLVADEVGVFHRQIA DKIEMFDDGIENHPSQHCGLVVSHQCLMRNKRAALAYINHRVNKIKELRWQTGSVVPD NLAPALCAREMQFFHSYDQGLSNYMSAFQLDLSADLQPPKDLYIQVRVVKDCGEIYTE NGPVQLHANSTHFLRRADVESLIRQGLLIQIKH H257_03624 MTTLPLKSAVIGSPATAAVLAPVEVLYLISFLDLFSVSLIVPSL PSYVKSLGGDAVSIGYISSLYGAIQMVSAPLAGVLSDIFDRRLVLLVGIAGSAVGYAI LGFSMTLSMVVFSRVPCGVFKHTLSTVRLAVADQTLPNARADALGKINAYSSFGFIFG PLVGGILGAYPNGFNYTAMLTAVVFCCNYVLVLLYVPPAKPKVVASREILCDDPTDID PMLLDTDRDAPEVAHDIRSIARAVLDKLSDYKDILALSPIARNLLSVRLLMAAAAILF RSHFMLLLEEKYNASSTTRGYVLSYMGGLAASSGLVVGRLVAWVQSETLLVQGASVVY VLTFLSIAMSTSLPMVLALQAPQVVAISVLRACSVSLQTASVAPESLGGIMGVSDSLT ALARTAGPLLSGYLYVMSSAGPAYGATALATVSCVLFYATMVRTHAPHAPIVALHRNH DE H257_03625 MRRGKMGIGAVKWKQDQKKAYEEVGAQLTQNHLTHVQEQLQVFK TNLQTFAVKYKSQIKKDPEFRKRFQVMCAKIGVDPLASQKGFWSELLDMGDFYYELAV QIIEVCILTRNKNGGLIAIQDLLALLDQRRGPMMQKISDDDIKRSVKKLKVLGEGFNV IELGMKTMIVTVPVELSQDHSSILLLAQDTQGVVTVATLADRLGWDANRSTLALNVLL REGMVWLDGGDQNGSGAAYYFPSIALGPSTSSSSTSSS H257_03625 MRRGKMGIGAVKWKQDQKKAYEEVGAQLTQNHLTHVQEQLQVFK TNLQTFAVKYKSQIKKDPEFRKRFQVMCAKIGVDPLASQKGFWSELLDMGDFYYELAV QIIEVCILTRNKNGGLIAIQDLLALLDQRRGPMMQKISDDDIKRSVKKLKVLGEGFNV IELGMKTMIVTVPVELSQDHSSILLLAQDTQGVVTVATLADRLGWDANRSTLALNVLL REGMVWLDGGDQVSHIRQ H257_03626 MFFLDWFYGVLGFLGLHHKNAKILFLGLDNAGKTTLLHMLKDDR VAVHEPTLHPNSEELIIGKLRLRTFDLGGHETARKLWRDYFATVDGVVFLVDALDRDR FPESKRELDTLLGCDELASVPFLVLGNKIDIPRAASEDELRAALGLYETYGKETRGNR DANIRPIELYMCSVVRRMGYADGFRWMSDFL H257_03627 MCLLFPVAPSDTSWFPPFVTSSRSYLRQSCTSSIMRTWLVGALT GIFAAVVVQGHSWIECSNYVIQSDADKNYYNPKNCVGFPRCAAARGDVFGFEGPLQYQ QTTKSCQCSRDASNAYTAANPKAKYTPGQRVCLAYPAKNHVADSCTNQYIPDSGMRIY RSDKGETADPALFKWPHEYNHLNGVHVNGVIDYKGFQNCPKFCEQKDKALCTVCFDLE PDLAVGAYSFHWEWSFNPGQDRYVSCWEVDVVTGSAPSPSVTPNSNTSPTSPPSKNNY PKGGGDELECDE H257_03628 MSELAAQLQALGIRIEPTDAVFRTGSDMHDYAQGFQSCIPQEVH FMNAELRNCHIMGVGVFPCLFSGSGHVYEFVRTLRKCIYGKVKHAVQLRLHPNGNGTF VRTNVEVAIKVMSKAIIQQGNLQENPLVELATQQYLSTPGHPHVLTLIECLHDPEFIY AVLPFCRGGELFSLVETGGAMDEGECRRWFTQVLRGVAYLQSRYICHRDMSLENVLLD GNTSKIIDFGLCVGIPVDANGMTYALPPSGAVGKIFYMPPEIYRNQVPFNGFAADIWS MGVMLFIMVTGAPPFERPDDMDPRFQMIATGRMNEMLDSWGMTHVSSAVRDLLNRLLV VQDPSRRLSLDQIMQHPWVLEST H257_03628 MSELAAQLQALGIRIEPTDAVFRTGSDMHDYAQGFQSCIPQEVH FMNAELRNCHIMGVGVFPCLFSGSGHVYEFVRTLRKCIYGKVKHAVQLRLHPNGNGTF VRTNVEVAIKVMSKAIIQQGNLQENPLVELATQQYLSTPGHPHVLTLIECLHDPEFIY AVLPFCRGGELFSLVETGGAMDEGECRRWFTQVLRGVAYLQSRYICHRDMSLENVLLD GNTSKIIDFGLCVGIPVDANGMTYALPPSGAVGKIFYMPPEVFD H257_03629 MASKATPTTGLDESLPRKLTAVGVLGEVGAAYTVYEKNWFCSEC KHENYARRPRCLRCRAPKVQAPDALVYSKTNEGSKWREALDPSTNKIYYYHIESQETQ WERPKEMGAAPHSTGWFGRGQAGHDNSNKYEDLNTKYLARPARKQIEAMPNRNTRMEG ANEYNIWYDKYIGDHWDNTKEKDPAEHRCCLETDAGHTKADKIGKANKFFCLHFARGG CARGAGCNYFHRLPTMADELRLGMLHDCFGRERHATDRDDMSGTGNFMRNSRTLYVGG LKSKSMTEDVIMSAFVEWGEVENVNIVHRLAVAFVRYRHRTSAEFAKEAMGNQALEGD EILSIKWAMDDPNPVAKQAAQRADADAVVAMLKSRNISTAPAPFEYPEGYHIQPSKKQ KTTDPSTMYPDTNDQYTAALEDDKAAALLSYAVEDDGAAE H257_03630 MMEQDLDGIGLSADALAALREFALERGITVEDDDESFDIRKEVQ TALEEEKGPNEDKFTYSFGDDISIQLNGLRRDIGQTLNSTGLTLWHAGDFLSDFMFKH PHLFAGKRALELGSGLGLCGILAWHLGAQVLITDGDDESMVLLEENCKLNGLDTDVCC QKLLWGEDLAPETQGTYDVLIGADIIYEKDYVGPLFATASHFLARSSDANIFYLAYTK RNVSIDYVLACATDAGFTWVAPTTDEGIYEFKLTRN H257_03631 MRWTAALCGFIATTWPTAVESKVKGHCTAILVGAKASAHGTPMT THSNDCPSCDFRLAKIPPQTHPIGSQRDVYVFSPDYPRYVGTTRGPAYDPALVDRRFF NWTDTTPIAQIPQVPSTYGYIEGVYPIMNDHRVAMGESTCAAKFVSKPVSGGGRARLD IVELGHLALERTTCARDAIALMGGMAETYGYYGSFWETPSAFENAGEALTITDPTEAW MLHMLPDDTGESAIWVAQRVHDNHVAAVANRFVIREINFTDTDHFMASANVLDIAKRH GFWDGVAPFDFTDAYAGPPDVTLSSSLRVGRVLSLANKNVNVDTFADTTPFFSAKVDT LLTVQDVMGFQRDHYEGTKFDLTKGPASGPYGDPNRYEIADADVGTGHFERAIGIYEA TYTFVSVLDATNRHNDHIWFGPYSPDSTIYTPVYALATAIPATLRHGSLREFDMHSAF WINALIGNYASKWYAFAHPVVSACQIQTETHALELQNTIHAKANEIAQTENPALLGEF LTNATDTFAQTTHLASMALFTALVTTFHDGVIMSNLTDEHLVATSMSMPRWWLELVGF YPPTTVGLSAQNCAPLAFQGAVIAMCAGLVGFLLGRQSHVQRKYLPIN H257_03632 MPISISSFGAGSIVIESSRLVASRLLVESRGSGRIQVNVRRSIS ADSIDLSTSGFGSIALVAPSTTAKQLSAVSSGSGSVFVGTSAPTNATALVSLHATTLV AKAFGDGNVFFMDAGTCHGNDVQTSGHGNVYLHHVRCENTNALVLGSGNMYLTTTGML RVQDTGPARLPWVVAFFVIVVRKIRAKRAIQVQLKAFTEATTPMSINQVVLHL H257_03633 MGVLASGGLGQERQRVVVRHDKARIIWRGDCVWQRGVWSTDGHI AIDVCKHRSISVVFRVQNAVRLRVHVPTPNDNRRRSVAIHRVGKDISTSARLYMPHMA LTSIDKHNVAVAVDSTKQRHRREHAVGPPVAHVHTTASSRHGRDRVGGRIAVEQRNRP PVHGHEGDLPRD H257_03634 MNTWSEPAIFNATSTNVRGLNLINTGGIIREDPVNASPSIVYRA SNPDLVRALVVNQNDGANGDTLELELLSQKDIAGDYLLEIYIPSNSLQYIHTGDGGNT VVGPNTLVVDSNRDIEIKALGSGSIFVETENIGSSFFMVEAQSSGDIHINVDNILSAD VLDLAAQGRGEVSLLAGYTSTTSLVAVASGSGNVFVGNPTNDRASPSIMTTDLITKVF GDGSVVFLTDGSCASSFVQTSGTGSAFLSSMTCQDTGVVLLNTGNIYVTSTDSFASED RGTGDVFAAIDALTTNATGTYYPLPDNVLLGAPTYTSLVVPDRTPTTIEIKGVHSTSP DKLPPTVVSRGSNAIGGGCIAGIVLALVLLAAIAGVLIRRRVKARKHPQVKLNYVTEV EFAQLATPAAAAEKVLSMQTA H257_03635 MSGLHLAAPARKAFAATSPTLYAKYLETTITALHVKSEQIFRML QDRDRQVQEADEKLAYYEDMATTFRAKVQVLEDEATYHKNKWTQLESMRRQDMIGRAS QAVQVLELEKKVVDSHELAKQLQQQLLASHVEKMQLDATLTRQAQRIQLLEDKTNDQD KAVQAALCQHQESLVAEKTRTQQVEAEVVQLQRLRQVDQEQIQHLTKDMEKVQADKAT AEAQLRSAHSALHDKDQSISALVEKVSALGQHLKDVQESHARMVDASVHQANSTADSM HQIQNLQVQIVQLSRARNQCIEWKARHSDRWDADRTTWLAQVTWLQLKVHCQTSQIAR LRLQQTQKKATLTRVVAQVGDMKLAMASLQQFALAYATCQPWAPTIAAITMATDKVVE QRNRAQLERNTAVDRVSHLSAVLEASEASHCSMGTKLAHRQALSSTLARQLVHASTQQ LALICFYNWKDVHLRRLVGRWSNAALLVASPDNPHQERAMPHSAWHLMQSEPHVDFDK IHIPFKPLRRPGVQQA H257_03635 MLQDRDRQVQEADEKLAYYEDMATTFRAKVQVLEDEATYHKNKW TQLESMRRQDMIGRASQAVQVLELEKKVVDSHELAKQLQQQLLASHVEKMQLDATLTR QAQRIQLLEDKTNDQDKAVQAALCQHQESLVAEKTRTQQVEAEVVQLQRLRQVDQEQI QHLTKDMEKVQADKATAEAQLRSAHSALHDKDQSISALVEKVSALGQHLKDVQESHAR MVDASVHQANSTADSMHQIQNLQVQIVQLSRARNQCIEWKARHSDRWDADRTTWLAQV TWLQLKVHCQTSQIARLRLQQTQKKATLTRVVAQVGDMKLAMASLQQFALAYATCQPW APTIAAITMATDKVVEQRNRAQLERNTAVDRVSHLSAVLEASEASHCSMGTKLAHRQA LSSTLARQLVHASTQQLALICFYNWKDVHLRRLVGRWSNAALLVASPDNPHQERAMPH SAWHLMQSEPHVDFDKIHIPFKPLRRPGVQQA H257_03636 MSEFDNGVPSPLFSSPSKRGTHHTPTPFTTTETRFKWQNSNANT DALYKLPGTLGGNSKSFGTSTRDDWDRRKKPGSGLGAYEAPKSCGKQVSSVTRTASEL SFNVAPRTPLRDNATPSPGPIYNMPCAFDNRVTNAFSMGSSLRPGLHGTLIGPGPTTA EPGPGTPPKYASPCVNSTFGSEKRMRPSTVVKTPGPVYDVECTGFQTGPKSSFSVSRR F H257_03637 MSSSPEVVHANPHTEYMKQSLCIFVIGASGDLAKKKTYPSLYEL YVHNFLPTHTIICGYARSNKSDDEFRAFLSPFLKSSVDVDGRKKLQFLNMCVYRHGGY DSVADVGAVAAEMSSLEGSTGLGVHNRLFYFAIPPNVFVPAGTAIKHAALSTSGWNRL IVEKPFGHDLASCNELCDAMGALYDEDAIYRIDHYLGKEMVQNLLVLRFSNATLEPLW NRRHISSVTITFKEDIGTMGRGGYFDSYGIIRDVMQNHLLQVLSLVAMEPPVRCTGDD HATFVRNEKVKVLRCIEPVELDDVVLGQYVGNAKEPGYLDDKTVPPGSTTPTYCTAVL RVNNARWDGVPFIMKAGKALNERKAEVRIQFREAAGATQMFPNMVIPRNELVLRLQPS EAVYLKTNVKSPGLRTTPISSELDLSYAARYADTHMPDAYTRLMLDVLRGYQSMFVRN DELQAAWAIFTPLLQEIETKKVKPLPYAFGSRGPVESDDLSAKHGFIYHQGDYKWQPV TSSL H257_03638 MIGHCNFCMFRVLRKASRHAAMATAALHRPYAIGHLSRAFPAVS VHQLRLNHTMMPPILSTPIDLESDSDDDDDEDVDGLSEDNSHGFANDFIPLFRNEATP KREKKFRLSNKYTPCHVHDYSTGMRRFVDSFKDVPPPFGFNGLGELVYMRTYSRDKAD GTKEQWYETVERVVNGTYNMQKHWLEHRGLGWNGREAHRSAREMYRRIFAMKFLPPGR GLWAMGSPITEERKLYAALNNCAFVSTEDVDRRFSPAEPFCFLMDAAMLGVGVGFDTK GAGKIMVKGPKHGGTVEMVHIADSREGWVESLRMLLEAYFLHRPVPVFDYSQIRPAGM AIKGFGGISSGPDSLQAMHRTIMATLDPLVGRPMTVRGIVDIMNHIGVCVVSGNVRRT AEIAFGDAHDPAYVALKDYTQHPDRAAYGWTSNNSVFASLGMDYAPICKQIVQNGEPG FAWLQNMQEYSRMDGVPDHRDARAAGGNPCLEQTLESYELCCLVETFPANHASLDEYK ETLRYAYLYAKTVTLGQTHWPITNRVMLRNRRIGCSMSGIAQFITQRGLHELKDWCMQ GYDAIQAYDKTYSDFLAIPRSIKTTSIKPSGTVSLLAGATPGMHYPESRFYIRRVRLD RGSELLPALRAAGYHLEPAAEAPNETLVVSIPVDVGENVRTLSQLSAWEQLSLASFLQ RYWADNQVSCTITFDPVLEGPQLEHALAYFQYQLKGVSLLPKVPLGAYAQMPYEEISE ATYKSMVSALSPVSFREIKTRGGPVEVPDRFCDSSSCEIK H257_03638 MIGHCNFCMFRVLRKASRHAAMATAALHRPYAIGHLSRAFPAVS VHQLRLNHTMMPPILSTPIDLESDSDDDDDEDVDGLSEDNSHGFANDFIPLFRNEATP KREKKFRLSNKFVDSFKDVPPPFGFNGLGELVYMRTYSRDKADGTKEQWYETVERVVN GTYNMQKHWLEHRGLGWNGREAHRSAREMYRRIFAMKFLPPGRGLWAMGSPITEERKL YAALNNCAFVSTEDVDRRFSPAEPFCFLMDAAMLGVGVGFDTKGAGKIMVKGPKHGGT VEMVHIADSREGWVESLRMLLEAYFLHRPVPVFDYSQIRPAGMAIKGFGGISSGPDSL QAMHRTIMATLDPLVGRPMTVRGIVDIMNHIGVCVVSGNVRRTAEIAFGDAHDPAYVA LKDYTQHPDRAAYGWTSNNSVFASLGMDYAPICKQIVQNGEPGFAWLQNMQEYSRMDG VPDHRDARAAGGNPCLEQTLESYELCCLVETFPANHASLDEYKETLRYAYLYAKTVTL GQTHWPITNRVMLRNRRIGCSMSGIAQFITQRGLHELKDWCMQGYDAIQAYDKTYSDF LAIPRSIKTTSIKPSGTVSLLAGATPGMHYPESRFYIRRVRLDRGSELLPALRAAGYH LEPAAEAPNETLVVSIPVDVGENVRTLSQLSAWEQLSLASFLQRYWADNQVSCTITFD PVLEGPQLEHALAYFQYQLKGVSLLPKVPLGAYAQMPYEEISEATYKSMVSALSPVSF REIKTRGGPVEVPDRFCDSSSCEIK H257_03638 MIGHCNFCMFRVLRKASRHAAMATAALHRPYAIGHLSRAFPAVS VHQLRLNHTMMPPILSTPIDLESDSDDDDDEDVDGLSEDNSHGFANDFIPLFRNEATP KREKKFRLSNKYTPCHVHDYSTGMRRFVDSFKDVPPPFGFNGLGELVYMRTYSRDKAD GTKEQWYETVERVVNGTYNMQKHWLEHRGLGWNGREAHRSAREMYRRIFAMKFLPPGR GLWAMGSPITEERKLYAALNNCAFVSTEDVDRRFSPAEPFCFLMDAAMLGVGVGFDTK GAGKIMVKGPKHGGTVEMVHIADSREGWVESLRMLLEAYFLHRPVPVFDYSQIRPAGM AIKGFGGISSGPDSLQAMHRTIMATLDPLVGRPMTVRGIVDIMNHIGVCVVSGNVRRT AEIAFGDAHDPAYVALKDYTQHPDRAAYGWTSNNSVFASLGMDYAPICKQIVQNGEPG FAWLQNMQEYSRMDGVPDHRDARAAGGNPCLEQTLESYELCCLVETFPANHASLDEYK ETLRYAYLYAKTVTLGQTHWPITNRVMLRNRRIGCSMSGIAQFITQRGLHELKDWCMQ GYDAIQAYDKTYSDFLAIPRSIKTTSIKPSGTVSLLAGATPGMHYPESRFYIRRVRLD RGSELLPALRAAGYYTPP H257_03638 MIGHCNFCMFRVLRKASRHAAMATAALHRPYAIGHLSRAFPAVS VHQLRLNHTMMPPILSTPIDLESDSDDDDDEDVDGLSEDNSHGFANDFIPLFRNEATP KREKKFRLSNKFVDSFKDVPPPFGFNGLGELVYMRTYSRDKADGTKEQWYETVERVVN GTYNMQKHWLEHRGLGWNGREAHRSAREMYRRIFAMKFLPPGRGLWAMGSPITEERKL YAALNNCAFVSTEDVDRRFSPAEPFCFLMDAAMLGVGVGFDTKGAGKIMVKGPKHGGT VEMVHIADSREGWVESLRMLLEAYFLHRPVPVFDYSQIRPAGMAIKGFGGISSGPDSL QAMHRTIMATLDPLVGRPMTVRGIVDIMNHIGVCVVSGNVRRTAEIAFGDAHDPAYVA LKDYTQHPDRAAYGWTSNNSVFASLGMDYAPICKQIVQNGEPGFAWLQNMQEYSRMDG VPDHRDARAAGGNPCLEQTLESYELCCLVETFPANHASLDEYKETLRYAYLYAKTVTL GQTHWPITNRVMLRNRRIGCSMSGIAQFITQRGLHELKDWCMQGYDAIQAYDKTYSDF LAIPRSIKTTSIKPSGTVSLLAGATPGMHYPESRFYIRRVRLDRGSELLPALRAAGYY TPP H257_03638 MVRDRGARRQRHIQHAKALARTPRYNPHLNTCMSCPTWHTHPTG LGWNGREAHRSAREMYRRIFAMKFLPPGRGLWAMGSPITEERKLYAALNNCAFVSTED VDRRFSPAEPFCFLMDAAMLGVGVGFDTKGAGKIMVKGPKHGGTVEMVHIADSREGWV ESLRMLLEAYFLHRPVPVFDYSQIRPAGMAIKGFGGISSGPDSLQAMHRTIMATLDPL VGRPMTVRGIVDIMNHIGVCVVSGNVRRTAEIAFGDAHDPAYVALKDYTQHPDRAAYG WTSNNSVFASLGMDYAPICKQIVQNGEPGFAWLQNMQEYSRMDGVPDHRDARAAGGNP CLEQTLESYELCCLVETFPANHASLDEYKETLRYAYLYAKTVTLGQTHWPITNRVMLR NRRIGCSMSGIAQFITQRGLHELKDWCMQGYDAIQAYDKTYSDFLAIPRSIKTTSIKP SGTVSLLAGATPGMHYPESRFYIRRVRLDRGSELLPALRAAGYHLEPAAEAPNETLVV SIPVDVGENVRTLSQLSAWEQLSLASFLQRYWADNQVSCTITFDPVLEGPQLEHALAY FQYQLKGVSLLPKVPLGAYAQMPYEEISEATYKSMVSALSPVSFREIKTRGGPVEVPD RFCDSSSCEIK H257_03639 MSSITIAPSTSTSSEQRSNNNNNPLGIFRQFFDWGHKKKQAEKA AAASRPTSSTIAKLQPTSSNGAVVLDQPGTPLVRLQSTPKKAAAVLFDEQYEVLKHLG NGSYSQVKQVTHRSQGGTFAAKLVEKQVLSKTDRVALSQEVLILSRMDHPNVMRLHEV LEDESKCIMIIECLDGGDLFDRVTQKGKLADAEAQGVMAALVEAVFYCHSHCILHRDI KPENILMSSAGIKLCDFGFAKQLNSVHERATDSCGTPGYAAPEVLNGRSYGFEVDVFS LGVVLYILLCGYPPFPMKLQKLRKHNFEVLFPPKEWNHVAYSTKELIKSMLAVDPALR PTALMLKQHPWIVQGRRVRPPATASSADLHAAFTSGAGVSAMKYGRQGIPHATCISLC PTCHMVWWRPKDLDRTTSIVHKLFSPRRSALNSPHAAQWESNNCHNHPSKGILISEIH QIVQGLKTPVFERSQSSQLVAERCCSIVTETRTLDLEFPTKQMCDAIVALFTQVVTAA TQLPSN H257_03640 MLCLTVRCHVLVSRYPDNQTCISSVKMSVVDKVVSGGGRLLALS FLQRGGTFLMNMLTLRHLPTHVAGVGFSLELVLSTTFIVREGLRLASLREPGIVLTSG KTAPTVGLRQLINTAWLCTGLGWLCTTAAAAFMAPRLYSVDAADFSSFQLTLYLYCIS AAVEFLTEPLYILAHSSLIFHLRVHAQGWGFFAKAVVQVVAVLYFDLGMIAFGVSQVA FAIVQGVIYWHYFARQLSDPSCPLHVGSDLLPHITAGVRPSLLHFWKTLTFQSILKYL LTEGDRLVLSAFETAQQQGEYAIAFNIGSLAPRLVFLPIEDAAKAMFSKLLTSPSSPQ SNDTSNAKTDALHMFRLALKCMTLLGLVFVFFATNYARTLLFLLAGYAKTLDNSAPVL STYCVCVYFLSVNGICEAFVYAVGDEAALQRLNKFLVLFFAITSASAVLLIHTCGLGS VGIVLANCVNMACRVVYCLRYIHRFFKPDSAASWTSLYLASLPHPVVLAAMAVSWVVT YASEAHFRGPNLAHHALHVVIGGVCFAVTALLLWTLDRPFLTQVAALRKQKSS H257_03641 MLSRVALRTARLSKPTAAAFHTGAFRQQEEKKDGEVAIPADNRS FLNKYGLDDPLISLPIIAAVSIPAISNGWYELGAETQLACCFALFVTSAYKYGGGAIG SYFESRADAILAEQNAVEDANLALAKETLKAHESILTIKKDIATLGVAHEEALALLCQ VQNAKLRHKTRDTFVKNLDAIYQLEQSYNQELQNAMIASATAAVRKTISAGKKETKAE AFQLALDILSEKNIDESKPDAVAAAFGKELRAFAEHLEAQQGTVVKLTEAEQKELEAG LDAFFKKIDIHAGELKTPTEVKVELL H257_03642 MRQGEAVKFSRGMEAIQAHDMRQKGAKAPTTVTLGVKGKLTNQE MEILRQQLAKATAYDHTPPDKAATRQQNIKRPPKFIKATKSGVHDQVIPIMTVAARPA KKPAAAIQTQVATLNRCEPLYVPRTTKGINQLDKDRLQDEYTTKPRKLSTVPLNLMDP TAADDDNNGETKYRKRLGPEQIPQVFLL H257_03643 MNEPVAGYIEIKSRFLPTDLKLGYAPTPSHGMCLASGLDRKVMN VTHWYDGVTLFLRLWMSWFTVDLHTRRPMIGRKNVRPAAIKATTAVCMPASAPTLIGE CGVPFNILNDGAAYAIGDFFNCR H257_03644 MVVSWYHLELRDGNFVDDDGRVVLLKGVNLGGSTKIPSSAASAA SISFVIRPRSTKHTSPYCSGGDSIAFTSSRRGKPPSMPELASTTPSTSRTSARFSVAP GVLIITNQSKSFYSATTNIHSMVQLARVVADLDHVMGFGMITSSMMMT H257_03645 MNVWQWIATAIVVLVGGWAYVNSKTSSSTTGAASSLKRKPKKKS KKKKPGLDASLNTNVANQGKDDSSNGDEADNASSKDPPTITDYFDESESESDDGLSAG KVLSQKHFGMAMLGGSRKLRPTAPELQLQEGQRVVARFKQQSEWFPGTVTKVQRGNLY IITYDDGEVESKVPIQYIRVTNESSGDTGGNLDDDGAAEDGSDSESSEDAVDNEWEVV STKEYKKRPVVRAAVSDAVAHPSGLTKKQRESRRKKEKLKEQKELVRAQAQDTGLHAR WGGTKNTWRSS H257_03645 MNVWQWIATAIVVLVGGWAYVNSKTSSSTTGAASSLKRKPKKKS KKKKPGLDASLNTNVANQGKDDSSNGDEADNASSKDPPTITDYFDESESESDDGLSAG KVLSQKHFGMAMLGGSRKLRPTAPELQLQEGQRVVARFKQQSEWFPGTVTKVQRGNLY IITYDDGEVESKVPIQYIRVTNESSGDTGGNLDDDGAAEDGSDSESSEDAVDNEWEVV STKEYKKRPVVRAAVSDAVAHPSGLTKKQRESRRKKEKLKEQKELVRAQAQVRVTCTI H257_03646 MASHRRQMSFNSYNATVMDLHIAATNIQTWWRRWSAKAKLYSSL LRGKPESLGALLVSMTTELASLRAFTGDLEAKNRRLFQELEALQHEKLDLRAHSTSEQ KRLTEEITSLRALIHSHLDDGGAAEATAQPFTTIPSSVALKASEVRQAEEPEEDQVDR KYWRQAALAAQTDAAAAKSRARHAETKLCELLALHSMQVDECKGLQAKLVLARLAAVE SDQRWTALNDKYDRVSAHADDLQEQLRRSQVEAQSQYSLWVQSQTDLEAVKVERGDLV QDMAVIRAANDGLRKDNECLQAMQDEVAVLKRSINGLKGTVTAKTAEAKAFQAYGASA REHLHSQGMQLQQLSQFQNKFRSVGTVALTALRGLKHAAWDAKDILVTWQSEMASTVA LLQTKLANACQFVHLAHIEHKLLRHALQNSTASSIHLHEQLWKVHRNALFVCQVLTMA DDDSKPTNNNPDTTTTSHSPRITANFETGDVLLTSPDSVPLTVQFDQVYSNRGRGWSN AESISPAIQSVLAGYNACVVTFEGQPNIEANTMHDDMSTSATAQVLNELFHQCTGLFG FATIHCSLSYLGIYSEHVYDLLSICEDDINDTPLFRGGSFSDACCPSNNHPSPPMVVL DIRSAQDAQIAVAEGLKNLDRLMQYFPTMEHLTHRLVTVCVSVVRAFEHPDKATSEPT KSKLQIVELASGDGGRECTWQDTERIRATISAENSLHAFTSCLGDLRQAAQTERGGVG DDISMRYHASKLTLLLQDCLDDHAKLLVLCTFTTTDNHQPWRSIRTMQILHLFRDTMA SKTREQPLHDDNHHAVDDSHSLFLRYGGEHVTWQAPVSTRGTSSQNPWDVELDAIKGR NAMMVQSMDLSVEANPPSPPSRGNNNHPPNNHATTPTLPPDRKVWARHSKSVKPPSRR SIKPSPVHPTTSSHATTLLPESAPSYSNPHHHFLSKRLPFR H257_03647 MSPATPPRHVVVLVLGDVGRSPRMQYHAVSLSKMPNTKVTLVGY EGERCVPQLLAQPNIHLRTFAPVKVSRSLFVLSGPLKVLIQLCQLFWILLFTLGSIDV LMVQNPPTIPTLCVAWLTCKLKRAKFVIDWHNFGYTVLALSIGESHAFVKIATTVERV FGQLADANFCVTKAMQGWLQNHWRIHATVLYDKPPEFFKPASIAEKHELFTRLADQLP APWVVPSGNTTKPPKPMDTNATLLTTEIHSAVHDRLDRPAVLISSTSWTEDEDFGLLF RALVLLDARIVDDPAYPDVLVVVTGKGPQKAMYLKKIQDMQLVRVHITTIWLEAADYP IMLGSADVGICLHTSTSGLDLPMKVLDMFGCQVPVCAVKFACLHELVRHNENGMVFED SVELCDQLQSLLIGFPKSEKLDLLRQSLESVEHWPSNWNQHALPVFQRLLERA H257_03647 MSPATPPRHVVVLVLGDVGRSPRMQYHAVSLSKMPNTKVTLVGY EGERCVPQLLAQPNIHLRTFAPVKVSRSLFVLSGPLKVLIQLCQLFWILLFTLGSIDV LMVQNPPTIPTLCVAWLTCKLKRAKFVIDWHNFGYTVLALSIGESHAFVKIATTVERV FGQLADANFCVTKAMQGWLQNHWRIHATVLYDKPPEFFKPASIAEKHELFTRLADQLP APWVVPSGNTTKPPKPMDTNATLLTTEIHSAVHDRLDRPAVLISSTSWTEDEDFGLLF RALVLLDARIVDDPAYPDVLVVVTGKGPQKAMYLKKIQDMQLVRVHITTMYSFVILVP LYSNAQSQLA H257_03648 MQAANFAPSMTPRPQKAAPSPRKARMLNHKAPSVSPIKVSASLS LSKHAPHEPVEEPALRPSKLKWDGDTHAVRNLQSHIHFGTTAVSGVGEIVLVFDGYGI HLTTDEAKNLLCEYEENNDLNLPYQEFVDNFALMLRSKESGKRHVIKKTRLTEHVKRL QTFQHGVIQEMNELLKLRLRDSWSTFRETLRGLDKDKSGFLSAEAFLKVLRKFNIPIT MESLESLMLRYDANGDGIVNYAEFIAQFGASFSNYNAERVGNSILQHTAHDFSVAAVD EKEQSNFLRGQVRKLVDDKVAATWTNLRAAFLELDADKNGILTPDELKRMLMRFQIDL TDGQFQQLLACYDTNNDGQVNVVEFFNHFGEEIKFGDAVTPSSSPAKSKFVLAERTSL VMGEKVHQNDLPNIKEHFSRLEDATWHAMYLDFVDADLQKTGWIPRAQFLHILSMYMG ELPNKNILSIFRSCGSHHNDLMNYRDLVKAYRPKVMGLYAPHPNKNTMNAPKQSPTEY LLMETSIREKRSQMEMGVWKMLKNEVIAADVKRIGRVTADCFTSIVKHHMHLRDEQIA FLCLFYEDKANTHHTCSIRYSSFLTDYDTAADDDGSLRGGGYTREDDEDDDVYIPPHM PPPQLRFGRPPDNSVKAAIRQHLSSIEAALLLADTDLKGMIGRDEWVGILHDHHIKCD ASQYDELFGRYTNASLQTLRYRELLLDIESGIQGKTVEGGGFGGLGGLSATSGGASTD IAGNGAIRTLDEAKAMLRHHLTSSASSQRRVYKYFSLVDTTKSGQLPYPEVRRVLEKI GLVFGDIDVFTAVMSYYDVDNTGMVPYLQLLHANGGKDPDKMTGLSDLASNCSYYSAI SIAPKAVASGPRRAQLAKSHEMITQVINHHVEDGKAAVGGAIDAEDKMKALLAKRWKT ILKMFQQLDTEKRGTISQASFKKVMDNVGLTLTFEDVLRICKKYDSDNSGRLQYHAFL KQHVQGKSTLSEFAPLKMDSKEVHNLPALSPRKSRVPDDVRGTLKQKWKSVYASLKKL DATNSGRLSPQHFRHLLEWFGITLTDDNYYMLLKDFDSMDDGHVNYNTFMRACLE H257_03649 MDDDELARNHQEVSTFTTIMAPLVQSALARVAAATPTKPLRPFS ANTMLVPKHRSPPSIIGPTLVPMSPSVGHTPIRPRHAASSPNANGKGAASDDQVRGAE AAAATTTAMMPLTKPRPNTAAKMKSQPKSNQVDVDRCDATCAGGLPPVLATKKAAAMH PPSRPPTAARQLHSPCNPGKFTRLTTSTASTTTSNALQRAKLLANKLRPKSAIVQPSS MATVHAKKKRPRPPSAWLMIQSQAATESLGPLSPTGEVDKVSIDLTKQEVIRQRDVTA SAYKVFNDIKHTRDSKMVELSHLHRQVALLSKEMTATSSETSAATTLSSQTRLLQLQI AHDKDQSNKCRQYKRVLEHMLERTKLDAAAIVARTKVTRHHTLVVEKEWRALDSRKYT LENAAAHTLKRLDQLRLDQSAKRDDQAATLKCLRSEAEQSQELHRRRVEADRKRRNLV MTFRSPHGGNARPSLSRMDTHLLLLRESALTSREAEFDWLVDKTGETDVTLLLDRFVQ HDHDMEVLRQLDADGVAAHMRLDKEHARLQAEVYELRTCGSDQVMDAQRKVKGMLEDE LWVAQANEESAREEMHYHQAIVTAMHQGLHSILQWLQCVDPMGCSEFKSQPLETLPLL CIQLVQTHLAGLEMYSTQALQELLEKLPVSLWPMSQPHDSVTDDGVDTDESSHQSNNY ENAARQSHVARILEPETFSKQDTFQNDSTK H257_03650 MINVQEVEAKVTALEGRLGAVESSIGGAVGGSSSDDLVALQKQL LVSLRGVRSALRDEDKARAASQSTSASHEALLEENAALKKQVAKLNYRIEHLLRHVPQ PE H257_03651 MDAAALPPLTGLNGNAITPQEAYVTLRDAMRDASHSDVALRVHF TSNFMTLSDNGVHNLFQVLEYYLVDDTHMTMPEFLASRSELYGPVNRNKCEEVWNGDH IAYRCRTCGLSDSSCMCVGCFDPAQHENHDYRIYRCSYGGCCDCGDDLAWKATGFCSR HQDTAGVGAPVELPTLEATRLHVAVDAILHFGNLVVFKIYEENTIQDNLHQSNTFTHL EQGGSRRRLQSRVSSSFSELSSTSQSLLARFSLSLLWLQSIARTCVPYRTAVCERFLQ PIHGLRSFADGSADSLAFWLTFGVLLPVDSCDAVGVLYLKLLMDKPFKKEFSLRFLDA YAFYARLYLGDSTVEGSSRRHLSRYIDRLFCQLFHSSAQVDAIDAMPHQYTDSTILPF VDVTSRRRLRTLHGLAMTTNSAMTLSESLAYCSLQEMATVVRATAGPTLRTGHMLIKQ RVYARFCSELRCLLVHPDFCARTIVHSWHATQLGRVSVYQALLDILTTMQCMDGQTKQ AGRHVEFESDSWQPAFVLDYEVLLVWQYVVHAFQHRLANSIDKGDVVAMWLNPVVAQM RRWWDADGRGKCEASGVLNVQHQLDFYALYRVHEEWSLHLPLHHLLGSLLDEAHRFDA HELLWTLLQRQDREFWFRLVLHPIHVHLFVRSIKCHAWVLNGRSMFHQVVHYHSRHWR YHGLHNDLFALQLAAAALPPSALTTLVLSQWLPHVTTHMQMLVEGLQLLLQVALDPTK IAALSPWDLLVRDVVHWLAIGPLTRTELHAKCDLRLVEKVKADTFEEDEDIIGRVLAQ VGRLASTGPIGDSRSPQMILRELEGGAGGSCTYVLDPSSWALVCPLFESYTATDIQLC DQNATSHDPHLILRPRLHLLAPAFHSRGNMHAIVATHILACRNVLAVIVWVLCDYPKD ESLVQMALFYLDMATTVLPPHQVTPPPYTTVHPHVNAIAAKFAGNTWWDHLVCDLSSS LSSSSSVLSLVSALLGSRFNRLVQSIVDRIQSATNVHHQCPSTDARSNSSIVDTVTSS TRPSSSSPPPLSAKERQAQVLAKMKAQQQSFLINQVDVPPDKLPLLFNSTASDDEAFD HMTIVPSSVTLDDQPDVCSLCHDHVTDQVDGLHYMGCVTPSNIATLAQPPLASTNIQC HIRLCGHVVHRPCIWAYVASLYSSNDERLLSRDDAEFLCPVCRRLCNTLVPVALDHHS SAPSADCLLQGAAIRYCEDDVEMDSAPDKLVETALDSLANQVHEYTCQPHTTQQTLTE LFLHTLFLTHLALPAACGDDDDSSVTTFLRRHIPAASQLTLRHLLGLLAPSQSAVEDA GTDVVAVVLHAMTRADTLQAKAAALASTLQDALLACHVTADYSTLSSTLRQLGVLLFA LNIPNPRLHDEAAAPAQVGHANSMDAWLRFVGVPPPLATLSTTATLLDALDHPSDSAT RFHLQRLVWRQPTAFTLQPLPPLYVHIYLQYCQNPVRCSTCHQVPAHPALCLLCGALV CCFSSCCATEDGVGECTTHALKCGVGFGCFLLLRACTVLLLLGHGRCCIWGSVYLDRN GEEDAHFRRGKTLYLNQERVAALTRLVVLHGFTENTAILNNTSRRDGSRY H257_03651 MDAAALPPLTGLNGNAITPQEAYVTLRDAMRDASHSDVALRVHF TSNFMTLSDNGVHNLFQVLEYYLVDDTHMTMPEFLASRSELYGPVNRNKCEEVWNGDH IAYRCRTCGLSDSSCMCVGCFDPAQHENHDYRIYRCSYGGCCDCGDDLAWKATGFCSR HQDTAGVGAPVELPTLEATRLHVAVDAILHFGNLVVFKIYEENTIQDNLHQSNTFTHL EQGGSRRRLQSRVSSSFSELSSTSQSLLARFSLSLLWLQSIARTCVPYRTAVCERFLQ PIHGLRSFADGSADSLAFWLTFGVLLPVDSCDAVGVLYLKLLMDKPFKKEFSLRFLDA YAFYARLYLGDSTVEGSSRRHLSRYIDRLFCQLFHSSAQVDAIDAMPHQLRTLHGLAM TTNSAMTLSESLAYCSLQEMATVVRATAGPTLRTGHMLIKQRVYARFCSELRCLLVHP DFCARTIVHSWHATQLGRVSVYQALLDILTTMQCMDGQTKQAGRHVEFESDSWQPAFV LDYEVLLVWQYVVHAFQHRLANSIDKGDVVAMWLNPVVAQMRRWWDADGRGKCEASGV LNVQHQLDFYALYRVHEEWSLHLPLHHLLGSLLDEAHRFDAHELLWTLLQRQDREFWF RLVLHPIHVHLFVRSIKCHAWVLNGRSMFHQVVHYHSRHWRYHGLHNDLFALQLAAAA LPPSALTTLVLSQWLPHVTTHMQMLVEGLQLLLQVALDPTKIAALSPWDLLVRDVVHW LAIGPLTRTELHAKCDLRLVEKVKADTFEEDEDIIGRVLAQVGRLASTGPIGDSRSPQ MILRELEGGAGGSCTYVLDPSSWALVCPLFESYTATDIQLCDQNATSHDPHLILRPRL HLLAPAFHSRGNMHAIVATHILACRNVLAVIVWVLCDYPKDESLVQMALFYLDMATTV LPPHQVTPPPYTTVHPHVNAIAAKFAGNTWWDHLVCDLSSSLSSSSSVLSLVSALLGS RFNRLVQSIVDRIQSATNVHHQCPSTDARSNSSIVDTVTSSTRPSSSSPPPLSAKERQ AQVLAKMKAQQQSFLINQVDVPPDKLPLLFNSTASDDEAFDHMTIVPSSVTLDDQPDV CSLCHDHVTDQVDGLHYMGCVTPSNIATLAQPPLASTNIQCHIRLCGHVVHRPCIWAY VASLYSSNDERLLSRDDAEFLCPVCRRLCNTLVPVALDHHSSAPSADCLLQGAAIRYC EDDVEMDSAPDKLVETALDSLANQVHEYTCQPHTTQQTLTELFLHTLFLTHLALPAAC GDDDDSSVTTFLRRHIPAASQLTLRHLLGLLAPSQSAVEDAGTDVVAVVLHAMTRADT LQAKAAALASTLQDALLACHVTADYSTLSSTLRQLGVLLFALNIPNPRLHDEAAAPAQ VGHANSMDAWLRFVGVPPPLATLSTTATLLDALDHPSDSATRFHLQRLVWRQPTAFTL QPLPPLYVHIYLQYCQNPVRCSTCHQVPAHPALCLLCGALVCCFSSCCATEDGVGECT THALKCGVGFGCFLLLRACTV H257_03651 MDAAALPPLTGLNGNAITPQEAYVTLRDAMRDASHSDVALRVHF TSNFMTLSDNGVHNLFQVLEYYLVDDTHMTMPEFLASRSELYGPVNRNKCEEVWNGDH IAYRCRTCGLSDSSCMCVGCFDPAQHENHDYRIYRCSYGGCCDCGDDLAWKATGFCSR HQDTAGVGAPVELPTLEATRLHVAVDAILHFGNLVVFKIYEENTIQDNLHQSNTFTHL EQGGSRRRLQSRVSSSFSELSSTSQSLLARFSLSLLWLQSIARTCVPYRTAVCERFLQ PIHGLRSFADGSADSLAFWLTFGVLLPVDSCDAVGVLYLKLLMDKPFKKEFSLRFLDA YAFYARLYLGDSTVEGSSRRHLSRYIDRLFCQLFHSSAQVDAIDAMPHQYTDSTILPF VDVTSRRRLRTLHGLAMTTNSAMTLSESLAYCSLQEMATVVRATAGPTLRTGHMLIKQ RVYARFCSELRCLLVHPDFCARTIVHSWHATQLGRVSVYQALLDILTTMQCMDGQTKQ AGRHVEFESDSWQPAFVLDYEVLLVWQYVVHAFQHRLANSIDKGDVVAMWLNPVVAQM RRWWDADGRGKCEASGVLNVQHQLDFYALYRVHEEWSLHLPLHHLLGSLLDEAHRFDA HELLWTLLQRQDREFWFRLVLHPIHVHLFVRSIKCHAWVLNGRSMFHQVVHYHSRHWR YHGLHNDLFALQLAAAALPPSALTTLVLSQWLPHVTTHMQMLVEGLQLLLQVALDPTK IAALSPWDLLVRDVVHWLAIGPLTRTELHAKCDLRLVEKVKADTFEEDEDIIGRVLAQ VGRLASTGPIGDSRSPQMILRELEGGAGGSCTYVLDPSSWALVCPLFESYTATDIQLC DQNATSHDPHLILRPRLHLLAPAFHSRGNMHAIVATHILACRNVLAVIVWVLCDYPKD ESLVQMALFYLDMATTVLPPHQVTPPPYTTVHPHVNAIAAKFAGNTWWDHLVCDLSSS LSSSSSVLSLVSALLGSRFNRLVQSIVDRIQSATNVHHQCPSTDARSNSSIVDTVTSS TRPSSSSPPPLSAKERQAQVLAKMKAQQQSFLINQVDVPPDKLPLLFNSTASDDEAFD HMTIVPSSVTLDDQPDVCSLCHDHVTDQVDGLHYMGCVTPSNIATLAQPPLASTNIQC HIRLCGHVVHRPCIWAYVASLYSSNDERLLSRDDAEFLCPVCRRLCNTLVPVALDHHS SAPSADCLLQGAAIRYCEDDVEMDSAPDKLVETALDSLANQVHEYTCQPHTTQQTLTE LFLHTLFLTHLALPAACGDDDDSSVTTFLRRHIPAASQLTLRHLLGLLAPSQSAVEDA GTDVVAVVLHAMTRADTLQAKAAALASTLQDALLACHVTADYSTLSSTLRQLGVLLFA LNIPNPRLHDEAAAPAQVGHANSMDAWLRFVGVPPPLATLSTTATLLDALDHPSDSAT RFHLQRLVWRQPTAFTLQPLPPLYVHIYLQYCQNPVRCSTCHQVPAHPALCLLCGALV CCFSSCCATEDGVGECTTHALKCGVGFGCFLLLRACTV H257_03651 MDAAALPPLTGLNGNAITPQEAYVTLRDAMRDASHSDVALRVHF TSNFMTLSDNGVHNLFQVLEYYLVDDTHMTMPEFLASRSELYGPVNRNKCEEVWNGDH IAYRCRTCGLSDSSCMCVGCFDPAQHENHDYRIYRCSYGGCCDCGDDLAWKATGFCSR HQDTAGVGAPVELPTLEATRLHVAVDAILHFGNLVVFKIYEENTIQDNLHQSNTFTHL EQGGSRRRLQSRVSSSFSELSSTSQSLLARFSLSLLWLQSIARTCVPYRTAVCERFLQ PIHGLRSFADGSADSLAFWLTFGVLLPVDSCDAVGVLYLKLLMDKPFKKEFSLRFLDA YAFYARLYLGDSTVEGSSRRHLSRYIDRLFCQLFHSSAQVDAIDAMPHQLRTLHGLAM TTNSAMTLSESLAYCSLQEMATVVRATAGPTLRTGHMLIKQRVYARFCSELRCLLVHP DFCARTIVHSWHATQLGRVSVYQALLDILTTMQCMDGQTKQAGRHVEFESDSWQPAFV LDYEVLLVWQYVVHAFQHRLANSIDKGDVVAMWLNPVVAQMRRWWDADGRGKCEASGV LNVQHQLDFYALYRVHEEWSLHLPLHHLLGSLLDEAHRFDAHELLWTLLQRQDREFWF RLVLHPIHVHLFVRSIKCHAWVLNGRSMFHQVVHYHSRHWRYHGLHNDLFALQLAAAA LPPSALTTLVLSQWLPHVTTHMQMLVEGLQLLLQVALDPTKIAALSPWDLLVRDVVHW LAIGPLTRTELHAKCDLRLVEKVKADTFEEDEDIIGRVLAQVGRLASTGPIGDSRSPQ MILRELEGGAGGSCTYVLDPSSWALVCPLFESYTATDIQLCDQNATSHDPHLILRPRL HLLAPAFHSRGNMHAIVATHILACRNVLAVIVWVLCDYPKDESLVQMALFYLDMATTV LPPHQVTPPPYTTVHPHVNAIAAKFAGNTWWDHLVCDLSSSLSSSSSVLSLVSALLGS RFNRLVQSIVDRIQSATNVHHQCPSTDARSNSSIVDTVTSSTRPSSSSPPPLSAKERQ AQVLAKMKAQQQSFLINQVDVPPDKLPLLFNSTASDDEAFDHMTIVPSSVTLDDQPDV CSLCHDHVTDQVDGLHYMGCVTPSNIATLAQPPLASTNIQCHIRLCGHVVHRPCIWAY VASLYSSNDERLLSRDDAEFLCPVCRRLCNTLVPVALDHHSSAPSADCLLQGAAIRYC EDDVEMDSAPDKLVETALDSLANQVHEYTCQPHTTQQTLTELFLHTLFLTHLALPAAC GDDDDSSVTTFLRRHIPAASQLTLRHLLGLLAPSQSAVEDAGTDVVAVVLHAMTRADT LQAKAAALASTLQDALLACHVTADYSTLSSTLRQLGVLLFALNIPNPRLHDEAAAPAQ VGHANSMDAWLRFVGVPPPLATLSTTATLLDALDHPSDSATRFHLQRLVWRQPTAFTL QPLPPLYVHIYLQYCQNPVRCSTCHQVPAHPALCLLCGVTKSCYLELLLQMQMFCMLN AEGRIDGGGGGMAYI H257_03651 MDAAALPPLTGLNGNAITPQEAYVTLRDAMRDASHSDVALRVHF TSNFMTLSDNGVHNLFQVLEYYLVDDTHMTMPEFLASRSELYGPVNRNKCEEVWNGDH IAYRCRTCGLSDSSCMCVGCFDPAQHENHDYRIYRCSYGGCCDCGDDLAWKATGFCSR HQDTAGVGAPVELPTLEATRLHVAVDAILHFGNLVVFKIYEENTIQDNLHQSNTFTHL EQGGSRRRLQSRVSSSFSELSSTSQSLLARFSLSLLWLQSIARTCVPYRTAVCERFLQ PIHGLRSFADGSADSLAFWLTFGVLLPVDSCDAVGVLYLKLLMDKPFKKEFSLRFLDA YAFYARLYLGDSTVEGSSRRHLSRYIDRLFCQLFHSSAQVDAIDAMPHQYTDSTILPF VDVTSRRRLRTLHGLAMTTNSAMTLSESLAYCSLQEMATVVRATAGPTLRTGHMLIKQ RVYARFCSELRCLLVHPDFCARTIVHSWHATQLGRVSVYQALLDILTTMQCMDGQTKQ AGRHVEFESDSWQPAFVLDYEVLLVWQYVVHAFQHRLANSIDKGDVVAMWLNPVVAQM RRWWDADGRGKCEASGVLNVQHQLDFYALYRVHEEWSLHLPLHHLLGSLLDEAHRFDA HELLWTLLQRQDREFWFRLVLHPIHVHLFVRSIKCHAWVLNGRSMFHQVVHYHSRHWR YHGLHNDLFALQLAAAALPPSALTTLVLSQWLPHVTTHMQMLVEGLQLLLQVALDPTK IAALSPWDLLVRDVVHWLAIGPLTRTELHAKCDLRLVEKVKADTFEEDEDIIGRVLAQ VGRLASTGPIGDSRSPQMILRELEGGAGGSCTYVLDPSSWALVCPLFESYTATDIQLC DQNATSHDPHLILRPRLHLLAPAFHSRGNMHAIVATHILACRNVLAVIVWVLCDYPKD ESLVQMALFYLDMATTVLPPHQVTPPPYTTVHPHVNAIAAKFAGNTWWDHLVCDLSSS LSSSSSVLSLVSALLGSRFNRLVQSIVDRIQSATNVHHQCPSTDARSNSSIVDTVTSS TRPSSSSPPPLSAKERQAQVLAKMKAQQQSFLINQVDVPPDKLPLLFNSTASDDEAFD HMTIVPSSVTLDDQPDVCSLCHDHVTDQVDGLHYMGCVTPSNIATLAQPPLASTNIQC HIRLCGHVVHRPCIWAYVASLYSSNDERLLSRDDAEFLCPVCRRLCNTLVPVALDHHS SAPSADCLLQGAAIRYCEDDVEMDSAPDKLVETALDSLANQVHEYTCQPHTTQQTLTE LFLHTLFLTHLALPAACGDDDDSSVTTFLRRHIPAASQLTLRHLLGLLAPSQSAVEDA GTDVVAVVLHAMTRADTLQAKAAALASTLQDALLACHVTADYSTLSSTLRQLGVLLFA LNIPNPRLHDEAAAPAQVGHANSMDAWLRFVGVPPPLATLSTTATLLDALDHPSDSAT RFHLQRLVWRQPTAFTLQPLPPLYVHIYLQYCQNPVRCSTCHQVPAHPALCLLCGVTK SCYLELLLQMQMFCMLNAEGRIDGGGGGMAYI H257_03651 MDAAALPPLTGLNGNAITPQEAYVTLRDAMRDASHSDVALRVHF TSNFMTLSDNGVHNLFQVLEYYLVDDTHMTMPEFLASRSELYGPVNRNKCEEVWNGDH IAYRCRTCGLSDSSCMCVGCFDPAQHENHDYRIYRCSYGGCCDCGDDLAWKATGFCSR HQDTAGVGAPVELPTLEATRLHVAVDAILHFGNLVVFKIYEENTIQDNLHQSNTFTHL EQGGSRRRLQSRVSSSFSELSSTSQSLLARFSLSLLWLQSIARTCVPYRTAVCERFLQ PIHGLRSFADGSADSLAFWLTFGVLLPVDSCDAVGVLYLKLLMDKPFKKEFSLRFLDA YAFYARLYLGDSTVEGSSRRHLSRYIDRLFCQLFHSSAQVDAIDAMPHQYTDSTILPF VDVTSRRRLRTLHGLAMTTNSAMTLSESLAYCSLQEMATVVRATAGPTLRTGHMLIKQ RVYARFCSELRCLLVHPDFCARTIVHSWHATQLGRVSVYQALLDILTTMQCMDGQTKQ AGRHVEFESDSWQPAFVLDYEVLLVWQYVVHAFQHRLANSIDKGDVVAMWLNPVVAQM RRWWDADGRGKCEASGVLNVQHQLDFYALYRVHEEWSLHLPLHHLLGSLLDEAHRFDA HELLWTLLQRQDREFWFRLVLHPIHVHLFVRSIKCHAWVLNGRSMFHQVVHYHSRHWR YHGLHNDLFALQLAAAALPPSALTTLVLSQWLPHVTTHMQMLVEGLQLLLQVALDPTK IAALSPWDLLVRDVVHWLAIGPLTRTELHAKCDLRLVEKVKADTFEEDEDIIGRVLAQ VGRLASTGPIGDSRSPQMILRELEGGAGGSCTYVLDPSSWALVCPLFESYTATDIQLC DQNATSHDPHLILRPRLHLLAPAFHSRGNMHAIVATHILACRNVLAVIVWVLCDYPKD ESLVQMALFYLDMATTVLPPHQVTPPPYTTVHPHVNAIAAKFAGNTWWDHLVCDLSSS LSSSSSVLSLVSALLGSRFNRLVQSIVDRIQSATNVHHQCPSTDARSNSSIVDTVTSS TRPSSSSPPPLSAKERQAQVLAKMKAQQQSFLINQVDVPPDKLPLLFNSTASDDEAFD HMTIVPSSVTLDDQPDVCSLCHDHVTDQVDGLHYMGCVTPSNIATLAQPPLASTNIQC HIRLCGHVVHRPCIWAYVASLYSSNDERLLSRDDAEFLCPVCRRLCNTLVPVALDHHS SAPSADCLLQGAAIRYCEDDVEMDSAPDKLVETALDSLANQVHEYTCQPHTTQQTLTE LFLHTLFLTHLALPAACGDDDDSSVTTFLRRHIPAASQLTLRHLLGLLAPSQSAVEDA GTDVVAVVLHAMTRADTLQAKAAALASTLQDALLACHVTADYSTLSSTLRQLGVLLFA LNIPNPRLHDEAAAPAQVGHANSMDAWLRFVGVPPPLATLSTTATLLDALDHPSDSAT RFHLQRLVWRQPTAFTLQPLPPLYVHIYLQYCQNPVRCSTCHQVPAHPALCLLCGVTK SCYLELLLQMQMFCMLNAEGRIDGGGGGMAYI H257_03652 MEGPMNVNVGILGHVDSGKTSLVRSLSTHLSTAALDKNPQSKAR GITLDLGFSSFLLPLHDDVASSDAAQITLVDCPGHASLIKTVIGGAHIIDMALLVIDV VKGIQMQTIESMVLAELSTPHVLVVLNKVDLLPDATRPAQIAAMTKTIRDFLSTSPTL HAAPIVPVSSGDADGTRPPLGMPALLAAMRSNLHVPARSADGPLVYAVDHCFPLRGKG TVLTGTVLSGQLRVNDTIALPMLGVEKKVKSLQMFHASVDKAIQGDRVAIRVHGLDAS AMERGLAITPHSLSFSSNLVLRVHQIRFFSLPCASGTKVHVTVGHTTVMAKATYFYHK AHVASTISTSTSTTASTSPPPPTFDPSVEYSYLAVLDPLTSSDQAEISTPRVVFVLLQ LDREVLCPPRSHVVCSRLDTDVNKHVCRIAFHGRVDAVIENVATSIRIGKVKERRGVV DKTLDDPTVVIVKDLFRKETNWDVFRGLRVQNVRTKRVGQLDGPFGKAGKVRAVFPND DVAVPGDVVVLRFTKILFQDKHDRSLGQSPTIYNDQGGSATTFKEAKGLTGGNKSEGG STSAALLASVECEKKCRTGLVERLKGETAANGSNPSVIAAGLFATAEEAAEFIGAQVA TDAAEVGYVEALFGKAGKIRVVFPDGGTLAKVGQTLRLFEK H257_03653 MVALPLAVTQTLVIGTAVWSSVAAADSACPHVHDPHSRLASSLL AYTGMDTQDVLHGIALPTSLSTCVGSLDAATLMQAGSVILETPTCFRALDWLTTLSQL TPSAAGLLTPSFFDSLVSKDIAYFDALCAPMRDVLPCLRGALLPILMPVLASQPCCAA LLDDSAAQFGVSFDTFVVDAINHVADVVCSTQTPGFNDTYQPCGYTLLASVVATSSNR LQQLVWTVLDALQLPNDQGALAATGAPITTTRNTSTTLFMAPMLPDACAIPLDIFLGW VRLLPIVTSTPVSADLTLSALFEDDQCVPGGQLLQALVQTFPKAISDDLYSIVGAWIT DDTTCFHLANGYTTGIDRFQTTVDTFSQTLDNDNR H257_03654 MATDLEYSRAMLEALATTSSDDLRQALQDLALVRGDLKQLAFGD SMDLACRLAMVLGTLCVDNTDASVRLIDVARSLLMSLLEHSNGVLARSVPEVFERLLD ALAVTTTSQQSVSAHMHMILFTTLSVALECVGLPKTDPLWHRLDPVLDPIFASIATKP NSSNLSPSTAPWRGLLDDSHDVTAVRDIQTFLSSAVSATQTPLQTQLYSLGHWAALFT GVCLCLTSIFLGTLGTAALNVVLGVGTSMIVYCVHTLHSSWNQSRRRQSLLRVALRRC ELPYTGPEWCHLPPKPKSAPSSANQPPTSGPSRRLATIDEYADDDPVERKLKDLSSLP PVDASLLASLNPSEMLDALQRLQALLATADVSPETRAKVERGLQVLEHIQRQTPESPL D H257_03655 MEHTNSTSGKNDEAPSPAPHSHRHHDNDDDGRKPESSDGDATSQ SGRKKRGPYRSKEVIAEERAKLEAARNMKRMKRMMENKAKEEKRRLRELRRQAKSSQD PTVKRSKSLWSPEATQACVHVSLAIRKQYDSIRDERPKWQPFYLDHLHQHDEPALALW KPNEIRRHLDELLFQYQHERDHLREWLEPLVFDDIVELNELGLTPLRPPGLLDEVGLD PTSFIIDDAKKYDLKDMTLAESNQAIMSFLAEQSRLAQQNQIIFMQQMQQLQQHQAQQ SAVLLSALESLGASRKAASSPHAAEKIDLIIMATQDRQRNFKKGIDVEDIRRRREDTT VRIRKEKRDEQLQQKRRMAGGQMDVSAPTDAVDNHLQQRINELPEMCSDLHSPDPVKQ LNAVTKFRKLLSIEKNPPIEEVIRLGVVPVFVEFLKFEANPRLQFEAAWSLTNIASGT SQHTRIVIEHGAVPVFTQLLLSHDEDVREQAVWALGNIAGDSPECRDVVLNCGALQPL TQQLSQNSKPTMLRNATWTLSNFCRGKPAPPYDLVRPALSTLAQLIFSQDEEVLTDAC WALSYLSDGGNEKIQAVIEAGVCKRLIELLMHPSPSVQTPALRAVGNIVTGDDIQTQV MLNLNVLSCLTALLHSPKKGIRKEACWTVSNITAGNAQQIQTIFDHDIFPVLIDYLGT ADFDIKKEAAWAVSNATSGSAEQIRYLVHLGCVGPLCSLLELPEPKLISVALEGLENI LAAGERQGEADGTHVNAFVSVIEDCDGVTKIENLQYHEQTDIYNKALGLIERFFQGEG DDDVDGAGVQDGRFQFGFDPTANTTFSFGPAAQ H257_03655 MEHTNSTSGKNDEAPSPAPHSHRHHDNDDDGRKPESSDGDATSQ SGRKKRGPYRSKEVIAEERAKLEAARNMKRMKRMMENKAKEEKRRLRELRRQAKSSQD PTVKRSKSLWSPEATQACVHVSLAIRKQYDSIRDERPKWQPFYLDHLHQHDEPALALW KPNEIRRHLDELLFQYQHERDHLREWLEPLVFDDIVELNELGLTPLRPPGLLDEVGLD PTSFIIDDAKKYDLKDMTLAESNQAIMSFLAEQSRLAQQNQIIFMQQMQQLQQHQAQQ SAVLLSALESLGASRKAASSPHAAEKIDLIIMATQDRQRNFKKGIDVEDIRRRREDTT VRIRKEKRDEQLQQKRRMAGGQMDVSAPTDAVDNHLQQRINELPEMCSDLHSPDPVKQ LNAVTKFRKLLSIEKNPPIEEVIRLGVVPVFVEFLKFEANPRLQFEAAWSLTNIASGT SQHTRIVIEHGAVPVFTQLLLSHDEDVREQAVWALGNIAGDSPECRDVVLNCGALQPL TQQLSQNSKPTMLRNATWTLSNFCRGKPAPPYDLVRPALSTLAQLIFSQDEEVLTDAC WALSYLSDGGNEKIQAVIEAGVCKRLIELLMHPSPSVQTPALRAVGNIVTGDDIQTQV MLNLNVLSCLTALLHSPKKGIRKEACWTVSNITAGNAQQIQTIFDHDIFPVLIDYLGT ADFDIKKEAAWAVSNATSGSAEQIRYLVHLGILYSIQANIYIYMSATRI H257_03656 MFLPAHHLLARVVVGAVLAVPTVYFATVLFPAIRHVPLSEGFSH IRSNVWATSALIDYVAGLSFTLPYMWFRSPNSIVGVLVVLLCTTMGNVVSVALFIALI WTSRGTLRQAVLPLDHALHAPNTNTWGVVVYQWIVSILGLIYWAYLFYAAATESVPDG WAFIRSDTWSYVTLVDVLTGISMVVTYVLVRELRDGNILIALLWVLGLLCLGNGVTIV YLLYVSAGPMAGRSLQEVFLWGEAGPSNQDTDT H257_03656 MFLPAHHLLARVVVGAVLAVPTVYFATVLFPAIRHVPLSEGFSH IRSNVWATSALIDYVAGLSFTLPYMWFRSPNSIVGVLVVLLCTTMGNVVSVALFIALI WTSRGTLRQAVLPLDHALHAPNTNTWGVVVYQWIVSILGLIYWAYLFYAAATESVPDG WAFIRSDTWSYVTLVDVLTGISMVVTYVLVRELRDGNILIALLWVLGLLCLGNGVTIV YLLYVSAGPMAGRSLQEVFLWGEAGPSSERAPLVKAH H257_03657 MVEEGGEEGGALGSLFGRVDVSAKITKEFLADMANDGGLVDRVD QGVVDLTKSLMAHLSDSNANLTTKAVHVIGVVVASAGPSMAKLANLVVGVADNKKAMR AFVAAVRDDGAQDPVGRAELLGWTVEMTQTVAGKMDLRSLVETTIDALSDKSTAVRHK AKLLLVELFKSVGRDAVQVGYGQTARTATTAFGGGDVERKPTKPPAAAAVSSSSYFSS LVKNVPVRGGRTAAPSPKANLSCPSASKPTSPKQRYTPFGQLAREPSSMYKSSQLKQL ATPLKSQTATSIPSTSSVFPPPTMSSPVKLPLPSSSSSSGNIPASSSPKDTLLLFVPL ERLVMRQGLTVIPGELKAGKDAVKSLYSRSSIGDDVFIQEKPRQRDRRPCVAGARRGV WHMASLCVVTISSTFRHAPYVGRV H257_03658 MPLSTQSTTALRCGFQNFVDQASPVACKVVFGVVPEWLRNSALY RTSPGMFDIPSLDPKAKHETIRHWFDGLGVMHQFNIRSDGSVRYHNKLIATGALEAIQ AGANPILFGPPLDPCVSIFGKVAAMINTVVFSPHAKPEASNLNVTVSKMPHSLVPNTV AVKSDFNMLQLVDADTFETKRFVKYSAYDDRLGGAVSAAHEEYDPVTDTYFNLNIDFM GNHTAIFSLDSSGAVREAVIHTPRDRPVSYIHSFSSTTKYLVLTTPPAFVNGLKVLYE HSYSQALTWQREKQCLFYVVDRATMTHVATFESTDAFFFFHSVNAFDDGDDIVLDMIH HTDMDIIHRLNVRTILEGSALTAPSRLARFRLRAVTSHPLEPPKASPSFPKVELPQLW NTPSSVVELPTINERYRHNSQYRFVYAVGTNSPHAAFFEGVVKMNLDTGEEVWWLAGD GQFVGEPIFVPHPQAEHEDDGVLLSVVLDGIANQSHLVVLNARDLSVVAKVASPVVVP LGFHGMHTAK H257_03659 MRLPPLFLVLASVVASIGRAEDIQSDLSAEIKSTGSAGLAETYN RTIHLGESTSIKLAYSNSRFIYIRFSKLDLPPGDILTLSTNETTVVYKGHGRRRHRPT TTIHDDTSFYSDRLMGDAVEVTYTPDKANNDKKHQSSKNYRSFGISIGSYIRGVARVS STDGTSLVNPACVSAAPAWRPASCFKESDPQVYKSSRSLARMVMLGSSSSVAQYATGF LVGCSGYFLTNEHNVRTQTQVDATDFGFLAASPTCDDVCNRRSLGCPPKLLLRGSATL VAVDTSLDYALLRFDSHARRQLKRLNVAYLPLRKDKANWTRLTGERIYVPQHPDGTAA KVAARLKSGHDAVIVDANVTNKCGKRQLGYMADTIGGSSGSPVVASTDHTVLGLHHCG ECNAGADGMALRTAICIHDILVDLKKKQVKLPSCFTGDIGQDD H257_03660 MTLAYDVARGACAAIWLVLHMFVLFVYQTATCTLRPFSRAHFRR AIGRCFAMYMEIIACCFHPCDLVVSGNVPTDTSKPMIILANHQVDADWWYICELLRAH GGAGNVKIAMKHTLRSLPVLGTGMSMLDFLFLRRDIKVDRVTIQAYMQSFVQDNFPFW LVLFPEGTTIFTESVLKSHEFATAQHRPVLHRVMLPRSTGLKLMLDAFKDSPVQPTIL DVTMAFPSYSGEVPTYEMGYDRHVDVDLPSMKKLLMGHGPSKVHFHCRHFSMADVGDD VQAFLDALWVDKEALLNEFIQHQGFESKQHSTRVLRPTASWQAVGRLWLAGGVSICFW PMLLPCYVLGTVVRSAFSGTKVVPQSMAAY H257_03661 MVGRPASSRRQPSAKAAAPPRSSTSSSVSREPSLPPPPIVKVSV RNLRLRRGGNITYNLKMATCLMSRQEVVVSVVLPESVHGISVSPSEVLFTRSNFRQPQ AIAVHATDTTDLLRFSIQHIPTLTWLLGPDQEAATVAPVVVQVMPKQALFVFCFGSGL YGRLGVTHPFDKRDASACTPTPLGTKWLVPAQVACGKAHTAIIDANAHLYCFGRGSEG QLGQPHVDHVKAASVVPKLMNMLVTHVSCGANHTLCIVNNMWAYAWGDNSSGQLGLNL KAKHHRTPSRIHHLPDVRSVVCAGDHSFALMASGNVFATGSNIGFGDTTTRATFTQNP HLTHVHHLASGMYHAIAHTKAPLAVVVWGCGGNGRLGLGDVESRMTPADLTDFRGTRV LQVAAGGTHSALLTEAGDLLMWGGNAYGQVGDGLYSDRLVPHRLHMFQGKFVRAISLG EWHSVALVDDACVYAWGFGEEGQLGLGDDRSSSLPLVVNPLSGTAPVSVCCGGAHTVV VTTLETSCRTQQEKDRQFSECAATRERRRLTWRKSMQWKGKHPSRKRGVDINRLLQPA QHITSSQDTPPKHQPAKMPSRPQTARPFRDTVRPIHDDASMNQVMNHVVPWRERPLTS RTSLRTALRQEFHAIAMLQKPVSTPSCANSASTRVSAALVAQEAAMKTKTMLAQVDPF DTSLLLACKHDPPSRPKASQPPRNIVQRRPQTAPSRQYYGHPPSSETATPLHHDDSLS ALLDNDDDDDRDIDHVLSQEMHWID H257_03662 MLRATSRRATLVARCFSTAQQATAAASITQQQDPSHIILSHAID HVKTKGWSVDSLGSGARDAGYPSVAHGMFPRGPIELVEYFMDDLQHKVQDKLAAETID LPVTDRLKRGIRFRLELLAPYISVWPQAMALGALPQNAPTTVKKLAEMVDDIWVYAGD RSTDVSWYTKRAVLTGVYTATELFMLTDQSPNHEDTWRFLDRRIEEAIALGDIPNNAH DVAGMLSIGIQSLLSTAAALAGPLTTQVVQQVGQHVPNPLTAFPSTAFPFQTPSSSTP VAPTAAPVDTPASTSETPTSAPLDTTPPKPSQL H257_03663 MPMAVPEESPESINLPRLRRAAGTSSAPKLQIPPYFAWDKWNDQ GSHVRQASPVGSETESLKLRAKANLDLLHERSARTLQFIKSRHANPTVVSDVEKKRLL CKENIQALQAQLATPILSSVHRHPPVTRSALRSHSSSDRIPTKGNKHVQFATTADEHF MTVPDVKSTLETHLKARLLKARAPPATTQTKSQRETRFGSTLRRLSSKRHPQTQRTSS MSQTQSDVPSPSPPSEGAVSATRPRRLRRHPATSSAPDLPRRFAFLRPAPRKFVYFKS PLVVRALMHVKCPHILMDGITMLCRRCLAISQIKSTKLRQCILVWRFDDDGGDTHGDW RTPSLCTQGTLHTARFVTTRQNTTLNFAPRIVAPNACSSISHTLD H257_03663 MPMAVPEESPESINLPRLRRAAGTSSAPKLQIPPYFAWDKWNDQ GSHVRQASPVGSETESLKLRAKANLDLLHERSARTLQFIKSRHANPTVVSDVEKKRLL CKENIQALQAQLATPILSSVHRHPPVTRSALRSHSSSDRIPTKGNKHVQFATTADEHF MTVPDVKSTLETHLKARLLKARAPPATTQTKSQRETRFGSTLRRLSSKRHPQTQRTSS MSQTQSDVPSPSPPSEGAVSATRPRRLRRHPATSSAPDLPRRFAFLRPAPRKFVYFKS PLVALPGDKPNQINEATPVHSGVAIRRRRRRYPRRLENPVFMHPRYPPYSSLRHYTTE YHAQFRPPHRRPQRLLLN H257_03663 MPMAVPEESPESINLPRLRRAAGTSSAPKLQIPPYFAWDKWNDQ GSHVRQASPVGSETESLKLRAKANLDLLHERSARTLQFIKSRHANPTVVSDVEKKRLL CKENIQALQAQLATPILSSVHRHPPVTRSALRSHSSSDRIPTKGNKHVQFATTADEHF MTVPDVKSTLETHLKARLLKARAPPATTQTKSQRETRFGSTLRRLSSKRHPQTQRTSS MSQTQSDVPSPSPPSEGAVSATRPRRLRRHPATSSAPDLPRRFAFLRPAPRKFVYFKS PLVALPGDKPNQINEATPVHSGVAIRRRRRRYPRRLEVCPDFSETSEIFL H257_03663 MYDTHEQSLKLRAKANLDLLHERSARTLQFIKSRHANPTVVSDV EKKRLLCKENIQALQAQLATPILSSVHRHPPVTRSALRSHSSSDRIPTKGNKHVQFAT TADEHFMTVPDVKSTLETHLKARLLKARAPPATTQTKSQRETRFGSTLRRLSSKRHPQ TQRTSSMSQTQSDVPSPSPPSEGAVSATRPRRLRRHPATSSAPDLPRRFAFLRPAPRK FVYFKSPLVALPGDKPNQINEATPVHSGVAIRRRRRRYPRRLENPVFMHPRYPPYSSL RHYTTEYHAQFRPPHRRPQRLLLN H257_03663 MYDTHEQSLKLRAKANLDLLHERSARTLQFIKSRHANPTVVSDV EKKRLLCKENIQALQAQLATPILSSVHRHPPVTRSALRSHSSSDRIPTKGNKHVQFAT TADEHFMTVPDVKSTLETHLKARLLKARAPPATTQTKSQRETRFGSTLRRLSSKRHPQ TQRTSSMSQTQSDVPSPSPPSEGAVSATRPRRLRRHPATSSAPDLPRRFAFLRPAPRK FVYFKSPLVALPGDKPNQINEATPVHSGVAIRRRRRRYPRRLENPVFMHPRYPPYSSL RHYTTEYHAQFRPPHRRPQRLLLN H257_03664 MGAGASSSTPGLEAMPEPQQAQLRATFQVLVAAGVSEADAAAQL HAEVTTSALTVQIDLTELPAAVSSAVERGKTPLVVDPSGKAATFYSYRPVTVIDGKAM ALDKSMRKVPVLEVLDGARQRLVGALKTGVPVVIAMDQCVVDFANTFNDAALVASGTV THDPSMRWFPVELLFRRAGKAIVGDAVLESLYRQADKADTAGVVSCRNKAEFYVVLLT AFEPKDFEKYLFGNDMGLLRPTSMYEFIVVNQDHFPSDNDD H257_03665 MQQYVVFPIMQFKVETELATVVQGSVLLCRDRAAHALVVVKRVV ATRDSMAEARLHLRLPRHRHVLRMRRSFHVDNMLHMVLDYCPNGDLFAELRRHTRLPS STALQYMTQIASAVLHLHTQRVAHRDLTLENILLDANGACQVCDFGLAVVLPVTCNEP VGKVPYMAPEVYAGDDYDPCKADVWALGVMLFMLVTGVPPIQRPCRTDKRFVMLEAYG VHSLVNLWHMDALFSESTMELIEMMLEVDPLQRLSMKEVTTSLRIELKACKPSCMWDE LWQMLLNWGAAATARRCWSGTNKRLGCGQVAALSSGPDRHLDEAHLLQSLDHFNIIRY VQCLHDKDAVWLLMEYADGGDLGAFISDQKDKGEPVPEAEVMRLLIQLCLAVEYVHSR HILHRHQTTMIPTNVFLSRDGIVKLGDFGIARTLDHTLDAAQTQIGTPLYMSPEICNG EDYNTKSDIWSLGCVLYELVALTSPFQARTMPLVTCNGPLVRQLLSKSPMDRPSATDI ISDAFVNSYIMRIVSDVPRNPTLPRRLPSVFQPVDTLPNATPSSKKSLYDYLPAYHPP PPAAPPQHINHPSPSSLADIARQKFLDNQRAGRAYKDRMDALNMRHAAPPFHDAPSPP SSSILRETPVLLSYEELKSPSF H257_03666 MKRRSDGRASSIVFNLRHRELQHGSRPYGRHSSACTPTWNSSTM NPSQHVELDAKRPLLQTLRSDRQDVYMSHGDTYEHQIPPFHVAFSEKRMHGTLLAVAD EEGWVSLFATHDRKPRMLPPHHQACDHERPVSKFSAHQNAIFDLIWCSDDAHIATASG DQHLSVWDVETSAKLCHFEGHTMSVKCVRQMPGNPNVFASGSRDGNVFFWDTRHPYRA AGSSTSTLVQTFRPVESCLRCHDFYSPSSTSTDRRKKRRTSIPNAQRSVTCVEFTGDG HELISSGAVDGMVKFWDLRTLTSDNLKLTRSFSCLNPNGRRYGISSLTLDHTKTKLLV SAASNDIFLYDLLRFASTDPVATYHGHKNSSFYVKSGFSPDSNFIVSGSVDQNVYIWD VRADGGGAPAAVLRGHEGEVSSVAWCKADFSKIASCSDDGTVRVWHMGRDQDADAAEG EASSCGRGCALGRANPLPPLSSPAILHPSTASISPPAAAASVATTAPAHQGTLHHFWS RTSSV H257_03667 MKTIVLAAAVAIVHAAFNGDETVASLRDVAAFVQYMADYDKDYR HHGDDHPLVMKRFKAFQTNLRRIEEHNRGYDSGEHTFALGLNHLADLTDDEYKRMLGF KRSGAPSLATSTYRNQNDNVPDAWDWRKHNVVTPVKNQGQCGSCWAFSAVASFESAYA LSTGTLESFSEQELVDCVDGGADDCSHGGEMAHGFVEIIENHGGKLEKEADYPYTAQS HGKCLAKDDKAIGHFTSYVNVTSGDEDALKGAIANHAVASVAIDASSFLFQLYRHGVF SWSLCKNGYNELDHGVSAVGYGNYKGKDFWLVKNSWGTGWGLDGYILMSRNKDNQCGI ATDASFVVMPKSANHSKIQDASKFDILQDLPWN H257_03667 MKTIVLAAAVAIVHAAFNGDETVASLRDVAAFVQYMADYDKDYR HHGDDHPLVMKRFKAFQTNLRRIEEHNRGYDSGEHTFALGLNHLADLTDDEYKRMLGF KRSGAPSLATSTYRNQNDNVPDAWDWRKHNVVTPVKNQGQCGSCWAFSAVASFESAYA LSTGTLESFSEQELVDCVDGGADDCSHGGEMAHGFVEIIENHGGKLEKEADYPYTAQS HGKCLAKDDKAIGHFTSYVNVTSGDEDALKGAIANHAVASVAIDASSFLFQLYRHGVF SWSLCKNGYNELDHGVSAVGYGNYKGKDFWLVKNSWGTGWGLDGYILMSRNKDNQCGI ATDASFVVMPKSANHSKIQDASKFDILQDLPWN H257_03668 MNRLLVRLQPHFHRRCLLLQRSMATEAERAGAAVEPKGYEPTLF DKIISREIPSTVVFENDKVLAFRDISPQAPVHVILVPKVRDGLTRIANAEERHKEILG ELLYTASVVAKNEQLDEGYRIVINDGPRGLQSVYHLHLHILGGRTLSWPPG H257_03669 MHAAGSTWTRPTMTSTKAWCCCAGVVRSPFSLGGTLSIASLRFC GPGLAQMHSTPRTRLATPRTWQRTLEGPGRTGPRRWFGATWTSGSCPGRMSWIETGRG SGGWRRGQGQS H257_03670 MYRRCAAPLIAANSSTRAPSVHQILGIPRISIKANMVRPRPLSL RLTSTSATPPSSTPPPTAVAKSGPLQKFKDLWNTYGWVGVGTYLGVYVVTLGSMFAAI ETGLLSTAAPSNKDDTNGSDDESFNIVKATNKLTDWTKSMGLGAHFNVPDVSPTTGSF LIAWVATKFTEPVRLAVTLMITPRLARFLKARKA H257_03671 MAKFVSNALLKAGKKVVAIGKNYEAHAREMGALHAPKEPVLFLK PTSSYVGQGGSILLPPGIGSVHHEVELGIVIGKPGYDIDESRWREHVAGYVLTIDMTA RELQATAKKGGLPWTRAKCYDTFLPISDVIPAAQVADPQDLNLWLNVDGVPRQRCNTR DMVHKIPFLIAHISRIMTLEEGDVIITGTPEGVGPVEPGNIVTAGIDGLVEVTFPVVA RVYSNL H257_03672 MADPSTKPTERAPKVTAHIGQLKFRGAGAAIRSLRNLHAPKKQT TRTSSKPFVTPYVGLDTKIVQLCESNVPLRRRLAHLSMVHADEVDGDPEDDLELIGGD GLKDPHEDELLALLRKQDGAASSCVSHPSIQRSKSALVLPRAVDHDVADAIQHDLVTS VPSVQWTAAFEIPYLHFKRKRRGSTLSSAQMFRSFLLTPAFRQMHAAAYGTIRLDDDT TGEFATSHDDVDTTQKRGLQKRFQKLAKPIRESLELSLSSHMLTTLEHMTAMYTAARR DFLAGMSVYSKHERHQNHLAKHQAAALMDKRDKLRQDVERTTQHLAALARLTSPKSSS QTFHVTTPRVPDFLKPMTKRPTKEEQHSPAWKHIKDSELCNTTRSLRASVAYAKAIHT DGIGGGITTAAILARQHEAMAGYILYIQRLYRGHLARRFVWALKWMCVMWRHIFLPDD GPDGHPVGGAALDRGFLRLDDFEAMLEYFSYQPNPERTYLAGFALTVPPSRLGPQFVE YKRFKRYWHRFMYRPMGAGDRIRFVLFVRNRQTVVAAMDGKSRMDNAQSYGGGGGSME ALAQWKKYSLLQQQQHPQQRKGTSGDDIVDKYQSHLSSLNDETKAMGLRARRQLLPKH EQHARGWNLVRHVVEANTMRQSKYETVQHLVSHLQDVDVLDGRRRISTLREDIQASQG NEEGGSMMKSPTKLQQILQRLIVAVKDARDLVTYMEDVGLEPHTSELQRRLEDHDTMP PPACLARLDKIKHVRGYTKLVDLLDRQHSLNQLFQDSWYAFSSRKTIMGAEGRKVVTS TRNYVLESMQLLSLVWRQLRTAATSSVTKTGSMRNLHIMKRTEQFHGLLMAYDINIRN MSVVLEGYDDDAATFGPLS H257_03673 METTWKELKKNLSVIEKAVNANQKEKLARVFRTTTAVRRGLSAV ELKRAIAEVLAEDNESRSLLIKYVSLVKPDESDDVDMNAGETDPVVNDVEKDDHLDRS DLIEVEIYLSLLVISKLFKAQLGRESQALTDAVRVRSAQFNRRSLDIFASRALTYYAY AHERFGNNYAGIRPVLLAAHRTACLRSDEIGQSTLLNLLLRNYLHENLYDQAFKLVSK TTFPEAVSNNQFVRYLYYVGKIHAVQLDYTDSYTKLMQSIRKAPQNTAVGFRRTVHKL AIIVQLLMGEVPERSIFNQDEFQVALEPYLKLTNAVRLGNLEDFTTVLTHHAETFKTD KTYTLILRLRHNVIKTGLRKINTSYSKIRFTDICAKLALDTPQNAEFVVAKAIKDGVI DAVIDHKNGWMQSKETVDVYVTNEPQQAFHKRITFCLDVHNEAVKAMRYPPGAYKKDL ESAEERLEREKQEEELAKEIEDELDDGI H257_03674 MCIILDECVLLIVLSLQALTILPAIAVTREVGLAVLSLYLITAL FSVTYAFLYTLRECCPCINALQRHGSKFFYVLHIGLIATTVATISILLEPFLSGVDFS EYCLTNALDHNLSSTGCLKLQGYTVVALMTLTLEVGLSVYMLVLGRRISKKHAVEYAR LVREKSLSDAELPSVVRGNNSFQKKTGKATHGTTAEVI H257_03675 MHQAAVHSSGGIPSAPHSVATPQPKQQLRECEAPCRDGSLNFDT LSLLTPADRSYCQQLTFGSSARGCFNMEPNMTFLNQAMCGFTPRPVLNAQSYFVNRME LNPDRFLRRELPTLLRRSAEKLAEVVGADSEDVVFVPNISHGVNAVLRSMDLQEGDEV LCMNSTYPGVFNTLRHICYMTQEVVELKVVDVKLPLESYESLIQQLAAAITPNTRVAV LDHITSAAALVLPLDKLIPLFQKRGIPVLVDGANAPGQVPLDLRQLKPDFYVGTCNKW LFGSKSSSFLYVDKEHQSMVRPVVTSLSYNQGFIEEFAVQGTKDESNYLTICTAIDFY QNLGYNRVCAHNKSLIDWASSYLASLWGTEALLPPWQRAPFASAIRLPIQWPVKANGE PLTADEQCQVCCFVMDILLDYYQLATKVIPIEGSLYVRISAQIYNERADFERLGVAIQ GLTNCSSFIEMFSTMRV H257_03676 MYIVSADAPMRTEHCLVSQALVEVPAVGIKMRPERAERSPPFLQ DLTFMTRTWASIAGYVRFVVVPIEKGDLSQRSIALRHFSSRQLQLAQQSPQTRTPPTQ PCHSVPGKRSQVQAGRHSSELRRRRRLWQGRDAT H257_03677 MEAATLVVTPLVKNVDKIPGIHPNVDEGQTDDDWEMPLLFMTHM PVNFIQNIDVAAMSTFSAEYNDDGSQDECEPRTSPPKAGKIQEKGNHRAQSRKQSNPY AKPRVRGSVSDLQTSFSAL H257_03678 MGLETGTVTPQVTVGRQCHVGSIDLVQAELPPPHVKEDACLVEL HEHTPSDEKSSRSWRAEYMTAAAFVAVTLASYPYTGGIRSWHYVWWCGWLTAVSTGVG ALPFLWVKDIDKFWLGVCNALAAGMMLAATSCLLYEGYLVKSHDRDVVSVNLRVFVGA FAGVAFIKVTKILLDGHEDVKLGGLDGLDARKALLIMAVMTLHSISEGIGVGVSFGGD GGDRRGLMVSLTLAIHNIPEGLAICLVLIPRGLKLLPAVLWCVFSSLPQPIFAVPSFL FVETFLPILPCGLGFAGGAMAYVAICELLPESVEDTENKLATAVSLVLAFVSMLNIQY VLTGEL H257_03678 MGLETGTVTPQVTVGRQCHVGSIDLVQAELPPPHVKEDACLVEL HEHTPSDEKSSRSWRAEYMTAAAFVAVTLASYPYTGGIRSWHYVWWCGWLTAVSTGVG ALPFLWVKDIDKFWLGVCNALAAGMMLAATSCLLYEGYLVKSHDRDVVSVNLRVFVGA FAGVAFIKVTKILLDGHEDVKLGGLDGLDARKALLIMAVMTLHSISEGIGVGVSFGGD GGDRRGLMVSLTLAIHNIPEGLAICLVLIPRGLKLLPAVLWCVFSRYYQPTCDTKWGY TT H257_03679 MDKKAVTLDAEMVIFRAECHVAQCKSNQYQPYLHSGPQRQMPCV VQVPKNAFLWDWREGIMGFRDLDDPQCVISRCRCGYVFNCVYFHKGGDGKEKCAVALK LMDKRLITLEGDDLEAEVRAMRMLQHIGMDSALRSRHAIRWETAECPYNHYIATEYIS NGSLISYMHKRFGEIRSDVHRYLGARANPVDAGKRVGTWFLHDVVLPLFHQILCALAY IHTQNVCHLDFDPYNVAVDRHRVVRLLDFGSSQLMDGRSSVGGGRDFPQIKTKLLYRS PELRKNNRDRATYQRFVAHRPPHATFRDEQKLIPPGFHGAKSDLFSAGVVCLEMTMFG FNYVGCTGPAFVSMQNPQYRDQFYAHVAKQCTTQTCVFCAHNLPIPPFILQTISKMMA PNPADRIHDAVALASEWKTNWDALLHKANDDVSRQVQHHQQPAAAAFTPSPVVARPTG SAAGQPTFATAC H257_03679 MDKKAVTLDAEMVIFRAECHVAQCKSNQYQPYLHSGPQRQMPCV VQVPKNAFLWDWREGIMGFRDLDDPQCVISRCRCGYVFNCVYFHKGGDGKEKCAVALK LMDKRLITLEGDDLEAEVRAMRMLQHIGMDSALRSRHAIRWETAECPYNHYIATEYIS NGSLISYMHKRFGEIRSDVHRYLGARANPVDAGKRVGTWFLHDVVLPLFHQILCALAY IHTQNVCHLDFDPYNVAVDRHRVVRLLDFGSSQLMDGRSSVGGGRDFPQIKTKLLYRS PELRKNNRDRATYQRFVAHRPPHATFRDEQKLIPPGFHGAKSDLFSAGVVVHMHALLS ILLPFCDGLVRCT H257_03680 MNLAAVVTKLTKQNIALQVKLERLKKQPNHALLEGNQLEAATQH TDLTWVGSSLQTHVHGEEESKRRRLRSLVRKIWFQHALQSCKAFVLWKERTTFRPPPT ASPEPVAKAVTLVVNPSPLRRRASSAQITSVVQPHYLPKALKSRPSTSTNVVHQSSQT CHPMPTSTLVLPASHVPTRSPAPRIPWSSSTACIPSASTPNVLKATSMSFDSTTHPPP TCLPAHLHKPRTLAHGLSMPLIPPLIPPEPSSGRRIQVTIVTTPVAPTSRLSQHPGGP QPKTPPALPKAIALRRPSRRHTFPTTPLDL H257_03681 MPQTLLNVTQCSLPSAATVTAKQFKLFVKFVQAVAGNRRIVTKW LLWRGANSCINQLNEEGRGALHIACYHGNIDMVNLLLTQGADAHLMSMEEGGNLQPLH FAIMSGRDDVVIALLLHNVNVNCLSENHETALHFAVQMKQPRIVDLLLKHNANQDIRD MNGKTSYELALDLDHNAVLDVFAANQRLHRRTPKRSQFFN H257_03682 MYETHHHRRSALDEYLSSVDHAPHEEAEAQHFHAPSITSDLRTK VLQTVLPIVVAAIRQIAPSHTKAEMLKDLAFAHVVQKSKRVATRIPTPSPRSFGEVPL QQHRLTSSFRSIVRDRTSHLVCSASLFAAITTTFITTSAPSSWLGWQQSVGFIGAGLT LLHVLFPLFDLAVMLFYFVTCRRQCRFLASQIALVHQRKHDLQHQCNQVLRQIKAAAA AQRGYLLDDEWMPPIGRLEANENAPHLSCVFLRQTLHAIYMSIAHSNSSGHLPPLPLA HHEPQASRHPSLLLMALSHQHNATMQFLDQALAALSFDDDQSLAHVLREIALWVDRLQ QATAVLDDATKQVDLTVDPPEVDQASRTSATTTIPSSSSCHHLQQQKMLGNTLKTAVA VLFAADSRVDDPETTRQCVARVGELLAAATATWTKWQAGVVTDGRHPQTPTDNPGPDD SDQVDDANQERPSMALDDTTEAFTQVFTALSTGQLGREAERHDEAMDTGMRMMQTFDH VVNELQDVLVRRPLPEERVQGHDHAPVGLPTTTKTSNEVDAAFALPKKVSSELHAALF KLQQMPVAEEEFQSFDDDESDDESF H257_03682 MYETHHHRRSALDEYLSSVDHAPHEEAEAQHFHAPSITSDLRTK VLQTVLPIVVAAIRQIAPSHTKAEMLKDLAFAHVVQKSKRVATRIPTPSPRSFGEVPL QQHRLTSSFRSIVRDRTSHLVCSASLFAAITTTFITTSAPSSWLGWQQSVGFIGAGLT LLHVLFPLFDLAVMLFYFVTCRRQCRFLASQIALVHQRKHDLQHQCNQVLRQIKAAAA AQRGYLLDDEWMPPIGRLEANENAPHLSCVFLRQTLHAIYMSIAHSNSSGHLPPLPLA HHEPQASRHPSLLLMALSHQHNATMQFLDQALAALSFDDDQSLAHVLREIALWVDRLQ QATAVLDDATKQVDLTVDPPEVDQASRTSATTTIPSSSSCHHLQQQKMLGNTLKTAVA VLFAADSRVDDPETTRQCVARVGELLAAATATWTKWQAGVVTQDGRHPQTPTDNPGPD DSDQVDDANQERPSMALDDTTEAFTQVFTALSTGQLGREAERHDEAMDTGMRMMQTFD HVVNELQDVLVRRPLPEERVQGHDHAPVGLPTTTKTSNEVDAAFALPKKVSSELHAAL FKLQQMPVAEEEFQSFDDDESDDESF H257_03682 MYETHHHRRSALDEYLSSVDHAPHEEAEAQHFHAPSITSDLRTK VLQTVLPIVVAAIRQIAPSHTKAEMLKDLAFAHVVQKSKRVATRIPTPSPRSFGEVPL QQHRLTSSFRSIVRDRTSHLVCSASLFAAITTTFITTSAPSSWLGWQQSVGFIGAGLT LLHVLFPLFDLAVMLFYFVTCRRQCRFLASQIALVHQRKHDLQHQCNQVLRQIKAAAA AQRGYLLDDEWMPPIGRLEANENAPHLSCVFLRQTLHAIYMSIAHSNSSGHLPPLPLA HHEPQASRHPSLLLMALSHQHNATMQFLDQALAALSFDDDQSLAHVLREIALWVDRLQ QATAVLDDATKQVDLTVDPPEVDQASRTSATTTIPSSSSCHHLQQQKMLGNTLKTAVA VLFAADSRVDDPETTRQCVARVGELLAAATATWTKWQAGVVTQDGRHPQTPTDNPGPD DSDQVDGVLD H257_03682 MYETHHHRRSALDEYLSSVDHAPHEEAEAQHFHAPSITSDLRTK VLQTVLPIVVAAIRQIAPSHTKAEMLKDLAFAHVVQKSKRVATRIPTPSPRSFGEVPL QQHRLTSSFRSIVRDRTSHLVCSASLFAAITTTFITTSAPSSWLGWQQSVGFIGAGLT LLHVLFPLFDLAVMLFYFVTCRRQCRFLASQIALVHQRKHDLQHQCNQVLRQIKAAAA AQRGYLLDDEWMPPIGRLEANENAPHLSCVFLRQTLHAIYMSIAHSNSSGHLPPLPLA HHEPQASRHPSLLLMALSHQHNATMQFLDQALAALSFDDDQSLAHVLREIALWVDRLQ QATAVLDDATKQVDLTVDPPEVDQASRTSATTTIPSSSSCHHLQQQKMLGNTLKTAVA VLFAADSRVDDPETTRQCVARVGELLAAATATWTKWQAGVVTVDILMSSCTASGGWLT LQTAGRATPTDTHGQPGAR H257_03682 MYETHHHRRSALDEYLSSVDHAPHEEAEAQHFHAPSITSDLRTK VLQTVLPIVVAAIRQIAPSHTKAEMLKDLAFAHVVQKSKRVATRIPTPSPRSFGEVPL QQHRLTSSFRSIVRDRTSHLVCSASLFAAITTTFITTSAPSSWLGWQQSVGFIGAGLT LLHVLFPLFDLAVMLFYFVTCRRQCRFLASQIALVHQRKHDLQHQCNQVLRQIKAAAA AQRGYLLDDEWMPPIGRLEANENAPHLSCVFLRQTLHAIYMSIAHSNSSGHLPPLPLA HHEPQASRHPSLLLMALSHQHNATMQFLDQALAALSFDDDQSLAHVLREIALWVDRLQ QATAVLDDATKQVDLTVDPPEVDQASRTSATTTIPSSSSCHHLQQQKMLGNTLKTAVA VLFAADSRVDDPETTRQCVARVGELLAAATATWTKWQAGVVTVDILMSSCTASGGWLT LQTAGRATPTDTHGQPGAR H257_03683 MSSKRRRTDSPSKEEVEYGHVDVAASKVVALLPTVANEPTTGTI MDGPKLLAELDEKYTFLASLLKEFRDELNVPSTTPISSDQGWFWFMEMKFLITFLQQM SYMELALSSTKPDSLTHFAANQAQKNRVHQCDRDEMIARLNFMERLHAYVSCVGVARL AITSPLPRVHRLATQYDLTAKELDLFQLMVIFQGCQSTTVRSQLIEEDVNRKMMMFQR LACISEIDLEEFVDDTRVHVKEGTVIAEPDNYTTVLSLSSICVRVCLGRTLTPNHMLK VSQTALEDLLRAEGCVVEQALELGDDRRLVGHNHTKRHEASCPSPSDTNPPSPRGDDM GFEEDGVVNGDNDDDSDDNDDEKDEDIASFIGTYKSTKPRRQPNQPHRQDKAADLTGD ASSFEPYNPHNQLEYLEDRFQVVAFAIRASGARVKDQMKEAGTKQPWGESYGNPMTAG RRELKAKQRVQDRKVMNRLSLTRAGEIPLPRLEEMATKFKLNTFEQNVIVMLIGKTIS PVLKNLLEGVDTSAVQRMDESITVNQILSVFCDTFQEQVAHRVFFYKSARLLQRGLVK LNRGRWHSSGGDLVDQRVELDRRVLDWVVGLDTEINELVEGSDLYNPKVQLSQVVLPD EYKQTILSTVTAYEHFRTYRKTSGLDQTLTYGTGLVLLLCGASGTGKTMTVNAVAHHL KKRVLLVDFPSLQGKASQDRGGECDADLRGLFREADMSNAILFFDECESIFKQREMGG DRLLNALLTEMERYEGIVFLATNRPFDLDEAMHRRITAVFEYKAPDHIQRREIWRVLV SDKLKCCPEIDWEAIALKYELSGGFIKNAILSALLKAIGRNSTDPVINHDDIVTGCAL QMRGSLHMKTFDHRVVPTTGLDALIVADAVRATLAEVVQFEKARNVIYGQWNFDFGLT TKYNKKNQKGISVLLIGPSGVGKISAAKAIGFEVGRPLKLVHFAQLQCDSAADTRRAL QSTFDDARLMDAVLVLEGFEMFGMDVHGQVDADSPRFRVEAQRLMDMVDTFPGISILV ATTASSVTSLDHEFSRRLKFLIEMRPPTASLRTLLWRSFFPPTAPLAPDVDFDKLGMR FELSNDAMSNAVFRAAAMAALRPEATRRITMKDLVNAAELEKKKGRNNEMRDRLFV H257_03683 MSSKRRRTDSPSKEEVEYGHVDVAASKVVALLPTVANEPTTGTI MDGPKLLAELDEKYTFLASLLKEFRDELNVPSTTPISSDQGWFWFMEMKFLITFLQQM SYMELALSSTKPDSLTHFAANQAQKNRVHQCDRDEMIARLNFMERLHAYVSCVGVARL AITSPLPRVHRLATQYDLTAKELDLFQLMVIFQGCQSTTVRSQLIEEDVNRKMMMFQR LACISEIDLEEFVDDTRVHVKEGTVIAEPDNYTTVLSLSSICVRVCLGRTLTPNHMLK VSQTALEDLLRAEGCVVEQALELGDDRRLVGHNHTKRHEASCPSPSDTNPPSPRGDDM GFEEDGVVNGDNDDDSDDNDDEKDEDIASFIGTYKSTKPRRQPNQPHRQDKAADLTGD ASSFEPYNPHNQLEYLEDRFQVVAFAIRASGARVKDQMKEAGTKQPWGESYGNPMTAG RRELKAKQRVQDRKVMNRLSLTRAGEIPLPRLEEMATKFKLNTFEQNVIVMLIGKTIS PVLKNLLEGVDTSAVQRMDESITVNQILSVFCDTFQEQVAHRVFFYKSARLLQRGLVK LNRGRWHSSGGDLVDQRVELDRRVLDWVVGLDTEINELVEGSDLYNPKVQLSQVVLPD EYKQTILSTVTAYEHFRTYRKTSGLDQTLTYGTGLVLLLCGASGTGKTMTVNAVAHHL KKRVLLVDFPSLQGKASQDRGGECDADLRGLFREADMSNAILFFDECESIFKQREMGG DRLLNALLTEMERYEGIVFLATNRPFDLDEAMHRRITAVFEYKAPDHIQRREIWRVLV SDKLKCCPEIDWEAIALKYELSGGFIKVCTR H257_03684 MTKKSNRPRVDLRIVVDEAPDVVVRAADSMQPPPPLAGCGVNDN ESGDEAWWLSGYCLPPPGHLGAHSVPVTSSKDRRLDVFTTPTQEARPTPARTCFDHFV LRPATSSATLQAKVPSVEPSVPMLSSVGHRRGGAVLLPSISSLISISPPTAKRSSADA VLDLHEITNNRLVKQFKLV H257_03685 MAGRKKATPTEKSSRPELVAFVTSVANESSPDYRALSARRPSAL NDIISVLRNGANHIHERAVAARALGLLMDRDVALRQRMKVDSDTLVDSLLQIINSCRH AKSQSTEYRKMHVNCCLVISMVMDAPRGDHAAVGSVVAINSDLLKLTAPPAPLVHPPL KSKSSTPSSSPSAAYSPPTVSTAPPSSPSRNQHDADSPPRTTTNKMSRKRSLASTSTT PPSDTGLDLVFRPPTTSRHRSAHSGPRPVSMKSVLFGDEGRVMGLTPSGDNLPIYEFN ATFSGYSVPNVYFPFHRPNTSAGTPPPSYTSRDMMRPTTISKPSRAIKSSHQTHALTS TPNIIFPSKLYRDSLQSSHRPRPFVMPPDYMLDMLKTNQMPLSLPFSRHSTCRADSSD SRIQPTPWGEVNRVVLGTSFAKYPQLQSIAFIAEPTTSREQVVPYNNESKCIGGGRTH DEEDDNNLDINSVAYKRRRLLAILHAPIDSTSDMQTLAIKEHTTIMTGFSLTLHQLHD DERSIMTNLSQTILEQRNQLPLKFLFELPGGIGYCRDRLQTSMALWVEEFEVNQQRAA WLQWKALVETFRFKDRMGDFVRQAALKRMRLAMDLMVKAFVHKGWVKWVFTTQIDIWH FRDRHARKIQPHLRRHFAKKAFLASHDVKPVGGCFTDMFLAPPRPNLPFQIPLRVRLE RRQLWFAAIAVQAPYRGRRFRKFMRTQRLAATKIQAASRRRQARLKYVAARAKVIRLQ AHIRRHLARKAFVRLRNATMMVQRNLRGRMARQFVRLVVLATRRQGEWRWQTVQPLLR LARGHLARQQARAIRAYHANRLRSALLIQKAWYTYNNEWTTFLLLGCLREREVDEKRW ERDLHLFHRHQSAARIQTEFRAFVANQRHTMALRIQLAYHCHVARRALARLKARVIAH RRIKWWFRAHHARRHRLATRIQYWWRKAVPGRMLRHLAYVAKVIEAIERRQARVREYN AATTLQAIVHGVWIRYRVKKTKSAMVIQRNVRRRQAQQLAKRLRYDRRVRIATACLDT VYRQVVFRVLERRNLQFRACATQIQRMYRGQKTRQSLAEHWRWLDERTRMARRVQRLW RQTADKRFAKRLLTLQRRRVANPFTAISSLSAVVDTAIEKSLVHFDPYDLLVGLTLIG WLRRLGLDEYYDVLYQHGYTTTTSLSSITDEFLQSTCQIKDKDTKQLFLTGMRYRQWQ TDVVEQRKVVAKLEKAAARLDAHFQKALKGLREQQGVVLRWQHKAEKAQAEATEFAHP PKAVRRKLQRAQVALAAAESALEACVKAKGEKEAAAGAAKDKWMQAKADLKPMEANEI KAVFIRQAATYVDSAETIKTLFLDYFPNMDFRAVRFVESLHDKPVTLAQLVRFFGRFT TISDVKFNTALLTTSPHDAEIATHEHKRLQLCTDVLQFAVERCGDLLHVPILGMIDPR QAPSDLSSSVLTVVQGLQQTRSQPLPERPLTLRICLAELLQMEACAMKVQRLWRTRQG RHMAIALRDQQLRSHLAESYAAERNRQNVRKVWEDDLKKAQAMLQARTDAAREAELVA ALSMTLRFGYSQEWDDDHQAWYYMHMSTGEKLWDRPSFNRDEFKAVHLLQRLVRRYLG KCRRVAYKRSLDRWAKYERDKVVWDAQWMDRKRFVTLRIGDIQTTTPATIVTWRHDSS HPFSRSALSKGAVLPAIPSTADTLNAWTTLLEQAYHKSVRKDHLTHMLLPVYARPPNA HAAATLEFLRLFSHLRDALRPTGSVKLSMAYTKVDMPFGWSEVPQDTVYYLHEPTGAV SWDVPEFTFDDEYAARKLQSAYRMFQGRKAFKRMLHTFSFVDLLHASIKHGAGVGWVG FGLEGMSLPVYLSRVGLVKQIPSITKTKLNIESFWTVPDDKWLGLGVVWSKEEKALLA TAPRPPLGALNGDKHGFHILPTEKVLTQLLMAHFTGQQGRVQSIVRAFRDLPFPVSYK QLEMYIRGYTGRPAQAAENVMEIVPHGSTTIENEVDLYKLFRHALRRCAIVATNLKLN PLAKRLDHVLHVATSILGVACDLKLAVAATPLSLNDLAWVGRTLPCVKGLWENNFRQS GKLTVAQAALWLRQEGVEFMLQYIRSTVAVQSTYRMHVIRKWYMVVVAHRNYSALTIQ LAWRCSRARSVRSQYLAEQTSDYEQHYVEASQLYFFVYVPTQERINVSPVDAYGAPIA YRPVVLDRVTRKLILAWPWLASSNQAPDVAAGNVFESNVVCSVCDNERASRVCDVCCT SRGDYIYYCFACYCSAHPPALTWHTYQPLNRLQALALRCVECTRLSSHRCLVCKEDYC DRCVARIHSKGKRATHLIEHYEPKSQVCIECEQRVALKVCTVCADALCEDCASRTHAR GNKAKHVMDPLLQPLPPGSEHCIQCKSRVADRTCRHCAGPVCHVCLDTSHPALCLDAQ FEAAKRVLLGDNVCVDCGKPADRVCETCGDKYCSVRWMGNPGCFERFHAKGKRIEHVA ASLDVPPLVLSPEALALEKKVAAHKHAMQAAADVAAKAQEAAEMDERRQNERVQKAVA ADVQRAKRLVATEVPPPESSDVSATTPERRTVVFRKPKKQAPRCTTQGCTAEALRKLP FCPSHCTAQNLLAMGHDAKGAARIMAELEKVKGRHAHEAEFGVSLLEKVRREFKDMAF LINEK H257_03686 MSQVMELINQAHMSLDAAEKVDLLQRVKEIVLERSPNLGRSIPE YKALVDAIVPFSNERNVAVAKFVLQFIEDIMEPAKNPQIKTPTILALTRHALFEACSS VLTINAGPSSIRKALRVLDKFIPGTIYHIWAQPLDTYDPQVWDFLVRTIHVMRQTLHQ FQDAEAVLWTIRILESCALHFSHAFDSVYRDPARSNVQPDAVHLGTIASNHAFVRVDV VNDLSLSIVQSLVDRLQDNPMLAFGRREFVTLVHSLSLVAALRPGFVSIVVPALLGIS GVIDRLAPAAQDTIVHTVKANLLKLLHLPSTEPHRNAITSFLMTLDLSERAFKALTKS KERRRKYVSAPSDASLKNVKRDAAKMTFESPLAKRSKREPGASVESVANMSTESVIRL VLDNMAHLPSVPPLNGASKLELLHTPSGLKDRIHNILSRLATPSSVLAIKEAAAKKNV RDPRLRGRDNKKEVPPSLVRVFDDDALEAVTDMICANAKTLVEPIIAVTKDDVTREYN AIRVNIKPVAVDWCKQMATQTIHRLLSNEYGVVVSGKESVREALVCRLATSRWLVDDD KCKPHKIVLDFVAENVHKRHGIAVALLYHEYTTSLYETMDSPSSRGPPPPPPRVYLHL VSLVCNLVRTKLDPQIAADKKLFYTILGHIPSLSPDVLKLLSLQFHNPNDKDRVTMGV VALRNFITERTTGQEACLHVLLHYATHAEESIRNPTIRCLANQIYPLPNLQHIIEAHA IQLMQSLCLPPDDASLEPAHNDHANADADNVDMGPDTLIKPEQHPPPSNDVNIKLEQD DRALDRHLPASSAGIVPSSPRRSYYLDQIQSPDMHDLLMAHAKSLQDEHDFARCPETE DQVLQRMELFLGLCAKRPDLFARFVTTYAATSPQVQHVLLVSVDKLIKLLRQKEGEAV VLAQLAAFPPNALDFVCHVVKVLAGLSKDPSTLVEPLVQLYLDHKHAIPDAVSVLVPV ASDLASDRVMEILPALFDLPLPRLVEVMHELLKPIPLKVDATAFLLALHHIPDEHEKQ KRILRAIGICLEHPVAFPVDVMTAVTSTLVGESPVPKYTLRTMIQAVQMHHKLRKHTA TCLETLRGRQVWTMDESVWIGWIKCAVVVQPHSFKAIAELPVAQGRQVLESDDGKDLV DQFKLFCKDLTNLSHDWRAYLKLKNDPDSELQVVLNDVASANDGAQPTTTTEDDDNDM DVLNSLVHAAS H257_03687 MGNVESQAGARGAAKPSSSRVRSLVAFTTRGPRKQVASSSAVLE LPSVFTPEGFQRRHVEKLCLDEDRHPMQYNRRVSFSPTCSITSCESAPASPVHSVRVS DFEKLKVIGTGSMGRVLLVRKKSSRQLFAMKIVFKAVANAEQIWSERDVLGGTAHPGL VHLHWAFQTPSSLFLVMEYCPGGELSTHIQASPRGYFTEGAARFYIAELVLALEHLHR HGVVYRDLKPENVLLTADGHAKLVDFGLAKFGILEPTHGTTTMCGSFEYLAPEVWTGN EYGTAVDWWSLGIVLFEMLTGLPPWYNSSDSFSSPNEYTKARSSPLAIPSHVSPDAAH LIQSLLIPRPSARLGSQRGSAEVKDHPFFEGWNWKAMAHGALPPPISPCESEQSILHA TNFDDQFTRMSVGNRLSMEDFSDLDDDDAFVGFNFEAPSACA H257_03688 MTNENVADTRLMATYKKSKFFYELDKICAVPKPSGECAVDPFDE MLANTPHFASIHAFVEESQREEEDLVEQIGIEEPHPSDAPEFSSPQTQTLLLPVTQTQ IVADIVPETMLDRTSSALVDGKSTSNDKVASDMMEIVPETKPSEGEAALALPHSIPVA ISPTTVLEPHASPPSAHNDDTSTSLDESSIVPSISFHVDDSRDFVGDASSLYVNDSLA AFPPLPPTPTDDPPTPPTQQAVTTTTFLRPRSRTIPVASAADYYDTDHMAEIIPCWLL GPRCQLHDPHLPHPQLLFYIQALDMFLHASSTPSLSYLRTAVVVARRVFVELWHRHVL TTTSSSSDSVVTFDLEHYLTRGNTLPGVCTPVWRYLEAQWHVHEGRVLHTTSFYAQPA SDTHQQSPPESLRPTIEALRAMQYYGHRHACASLKALVPHYMQQATDSRLQYRHVVAA DDMHLALRGLQAKLKCPVIPMGAFRRGGVFLSVLDVLAIITSSVTSIVSLLQQVKVLE CDTVHVTSSRIVAPIRFKTHHILVDLKVYAQPAASFAMLYFTGPASYVASTLLAPMMM EEEGRVESREVTFDLWYTWLLRKHGADVLHTTVTDEASACRVLQIPYNPPKDRLV H257_03689 MSLANRVALVTGAASGLGRATAERLVKAGAQVILCDLPTSDGQN VARNIGPNAHFSPTDVTSEEEVTAALDLAESAFGKSVDIAVNCAGIAVAARTVSKKGA HPLYQFQNVLNVNVSGTFNVIRLAAERMSKTKGDSAGERGVIINTASIAAYDGQIGQV AYAASKAAIVGMTLPIARDLSTSGIRVNTIAPGLFRTPLLAGLPEQVQNELGLTVPFP SRLGKPEEYAQLVQSIIENRMLNGEVIRLDGALRMPPK H257_03690 MEQTLDGGAKQPPLSHAFQHGGHSSTTSYPTAVDSGYRPSGNAP VTNKYHSPRHGHHEYHSNVDRHHAKAKVHTTEGGVYSPAQASLPLHHNPNSVQAPYNP TLVTAQSNSDSRYFNPNVINPPLPPLPPPSLASATPTSYSKPSPSDAYHRPAQDASLT YPRPHQTYPSNQHFHPSSTPSTSSSSQSLEFVKCQRCGDKGHIAPPCPTRRPYHPSSY SKHLPPRTCKYWLNGNCHKGDDCTFVHGLVPDANGMVYPPTHMLRHQGGYPPMDQTFY YPPPPMPHYSYDPNAHRMTPPPDEALEYHPPPPAQGYGGAPPYYPYDNSYYPYPGHRS LYSTPPPAAATYHQQPPPPPPPLHVPSPNYPTSKPYNYYAQPQPYHPRQGYASFPADQ YDHVESFASSDGTTPSTRYQKDLPSTADLQPLPSTPCSPSLVPAPTAASDATDSMAAL TIETSSSEQAEGVDTRSKSVTSPTEATTKAADRKKKKKATSKPPPPPPAHAEVPVTAK GLRNDTGDNNCFLNVVVQALFHLETFQSRWADTASHVCAGGGRCVYCALASVFALLGR SSTPTTTSTTTSSSTSVHERQGVSSDALRKVLSAISTSPLPAAEERYKAGSMDDAAEA HETILRSLHESLSSAAAAAAATPCPCLAHEVFGLWVGEEAECGQCGMSMETLPYDAMV LHVATESIKEVTSKKHKTHQRAFDDVLATVWAAAGSSKKCARCRHDKVYESRLQLKQV PRMFTVGLTWKNNSANVATLKAIVAAMEPSIRLGRVFPNMVAEGRLAGPDQGSATLLA MYCFFGHHYMAFIYKVATREWLSFNDTVVRRVGTQWSDVQAACIENHYQPYVLFYDVA PPPTKLGNQETVLQFTIGDFVMSVASEVDRENWKTNVDDVHAPVDHAPRKYHTRQQQH PPHYHPK H257_03690 MEQTLDGGAKQPPLSHAFQHGGHSSTTSYPTAVDSGYRPSGNAP VTNKYHSPRHGHHEYHSNVDRHHAKAKVHTTEGGVYSPAQASLPLHHNPNSVQAPYNP TLVTAQSNSDSRYFNPNVINPPLPPLPPPSLASATPTSYSKPSPSDAYHRPAQDASLT YPRPHQTYPSNQHFHPSSTPSTSSSSQSLEFVKCQRCGDKGHIAPPCPTRRPYHPSSY SKHLPPRTCKYWLNGNCHKGDDCTFVHGLVPDANGMVYPPTHMLRHQGGYPPMDQTFY YPPPPMPHYSYDPNAHRMTPPPDEALEYHPPPPAQGYGGAPPYYPYDNSYYPYPGHRS LYSTPPPAAATYHQQPPPPPPPLHVPSPNYPTSKPYNYYAQPQPYHPRQGYASFPADQ YDHVESFASSDGTTPSTRYQKDLPSTADLQPLPSTPCSPSLVPAPTAASDATDSMAAL TIETSSSEQAEGVDTRSKSVTSPTEATTKAADRKKKKKATSKPPPPPPAHAEVPVTAK GLRNDTGDNNCFLNVVVQALFHLETFQSRWADTASHVCAGGGRCVYCALASVFALLGR SSTPTTTSTTTSSSTSVHERQGVSSDALRKVLSAISTSPLPAAEERYKAGSMDDAAEA HETILRSLHESLSSAAAAAAATPCPCLAHEVFGLWVGEEAECGQCGMSMETLPYDAMV LHVATESIKEVTSKKHKTHQRAFDDVLATVWAAAGSSKKCARCRHDKVYESRLQLKQV PRMFTVGLTWKNNSANVATLKAIVAAMEPSIRLGRVFPNMVAEGRLAGPDQGSATLLA MYCFFGHHYMAFIYKVATREWLSFNDTVVRRVGTQWSDVQAACIEVG H257_03690 MEQTLDGGAKQPPLSHAFQHGGHSSTTSYPTAVDSGYRPSGNAP VTNKYHSPRHGHHEYHSNVDRHHAKAKVHTTEGGVYSPAQASLPLHHNPNSVQAPYNP TLVTAQSNSDSRYFNPNVINPPLPPLPPPSLASATPTSYSKPSPSDAYHRPAQDASLT YPRPHQTYPSNQHFHPSSTPSTSSSSQSLEFVKCQRCGDKGHIAPPCPTRRPYHPSSY SKHLPPRTCKYWLNGNCHKGDDCTFVHGLVPDANGMVYPPTHMLRHQGGYPPMDQTFY YPPPPMPHYSYDPNAHRMTPPPDEALEYHPPPPAQGYGGAPPYYPYDNSYYPYPGHRS LYSTPPPAAATYHQQPPPPPPPLHVPSPNYPTSKPYNYYAQPQPYHPRQGYASFPADQ YDHVESFASSDGTTPSTRYQKDLPSTADLQPLPSTPCSPSLVPAPTAASDATDSMAAL TIETSSSEQAEGVDTRSKSVTSPTEATTKAADRKKKKKATSKPPPPPPAHAEVPVTAK GLRNDTGDNNCFLNVVVQALFHLETFQSRWADTASHVCAGGGRCVYCALASVFALLGR SSTPTTTSTTTSSSTSVHERQGVSSDALRKVLSAISTSPLPAAEERYKAGSMDDAAEA HETILRSLHESLSSAAAAAAATPCPCLAHEVFGLWVGEEAECGQCGMSMETLPYDAMV LHVATESIKEVTSKKHKTHQRAFDDVLATVWAAAGSSKKCARCRHDKVYESRLQLKQV PRMFTVGLTWKNNSANVATLKAIVAAMEPSIRLGRCDD H257_03690 MEQTLDGGAKQPPLSHAFQHGGHSSTTSYPTAVDSGYRPSGNAP VTNKYHSPRHGHHEYHSNVDRHHAKAKVHTTEGGVYSPAQASLPLHHNPNSVQAPYNP TLVTAQSNSDSRYFNPNVINPPLPPLPPPSLASATPTSYSKPSPSDAYHRPAQDASLT YPRPHQTYPSNQHFHPSSTPSTSSSSQSLEFVKCQRCGDKGHIAPPCPTRRPYHPSSY SKHLPPRTCKYWLNGNCHKGDDCTFVHGLVPDANGMVYPPTHMLRHQGGYPPMDQTFY YPPPPMPHYSYDPNAHRMTPPPDEALEYHPPPPAQGYGGAPPYYPYDNSYYPYPGHRS LYSTPPPAAATYHQQPPPPPPPLHVPSPNYPTSKPYNYYAQPQPYHPRQGYASFPADQ YDHVESFASSDGTTPSTRYQKDLPSTADLQPLPSTPCSPSLVPAPTAASDATDSMAAL TIETSSSEQAEGVDTRSKSVTSPTEATTKAADRKKKKKATSKPPPPPPAHAEVPVTAK GLRNDTGDNNCFLNVVVQALFHLETFQSRWADTASHVCAGGGRCVYCALASVFALLGR SSTPTTTSTTTSSSTSVHERQGVSSDALRKVLSAISTSPLPAAEERYKAGSMDDAAEA HETILRSLHESLSSAAAAAAATPCPCLAHEVFGLWVGEEAECGQCGMSMETLPYDAMV LHVATESIKEVTSKKHKTHQRAFDDVLATVWAAAGSSKKCARCRHDKVYESRLQLKQV PRMFTVGLTWKNNSANVATLKAIVAAMEPSIRLGRCDD H257_03691 MARRLAKELAEFNKNSPEWCTVGPVDDDLLHWNAMVVGPENTPY AGGVFSIDLVFPAEYPFKAPKVKFLTRVYHPNVKSQSGEICADIINESWGPTLNVLHC LTALKQMLEQPDADNPLEPEIAKQLHGSRDVFNDTARKWTKDFAS H257_03692 MVLQPQLDVDEFPRDKAATTRAAGGAVTTYATTFQPPDWIVYPS EASSAVRFDVMRASKTCGVCELGKKLMSIFGREQDGSDFVLANPSVSRKHAAIVHCAK GGVYIVDLMSRHGTFVGKAKLPPHDPTLLHEGDIVTFGQSCRTYVLKGVDPSGLSHAP KRTWRRLSLPSFFGKDGNNGGAKKAGPSPRKKCSDVTVKLVQKICSGTLTDDRIKDFA NHVSELDGEHVEEVAYLLVDKVRVNSSNAHRVVLALLADNLGLNEFEANLSTIVQVSQ TNLKARKILQVIAEARLDSGPKSTVDSDDSDDDVQPDDGGYAPPGAPDESPYTPPVIP YVPPAKASSDVGPSSAADQRERVLSDEGKRLYLSAIGATEYAGDEEKEAAAADDDLED EAGSRSGFNFMTAAPPSAFGFLSGGDEDKEDDDDVAAAAFDVPPGFLTDPSMDPQDFE NLWQSATASEEWAVDILPSFDTDFMEQCVAYLGHVNILASGVVGGVHKFYYYAEQASN GTIFMVEIQVIESVGELSATFKWIELGLLYDDGHLLFIQLFKDCLAPCYVRDHPRTAA LLRRASAVAPTRNDGEDEPIVVSFEESLLAYPELDPASFEALYLAAIPISELEDPEGR DLTGTDSVIAQFQAKRLFCLASGAMESMDKFFFYAQLVHVKWLFFVELSISRPDGAIT ALLKLHAPNASERDTDQIAPLFVALVEALLADLE H257_03692 MVLQPQLDVDEFPRDKAATTRAAGGAVTTYATTFQPPDWIVYPS EASSAVRFDVMRASKTCGVCELGKKLMSIFGREQDGSDFVLANPSVSRKHAAIVHCAK GGVYIVDLMSRHGTFVGKAKLPPHDPTLLHEGDIVTFGQSCRTYVLKGVDPSGLSHAP KRTWRRLSLPSFFGKDGNNGGAKKAGPSPRKKCSDVTVKLVQKICSGTLTDDRIKDFA NHVSELDGEHVEEVAYLLVDKVRVNSSNAHRVVLALLADNLGLNEFEANLSTIVQVSQ TNLKARKILQVIAEARLDSGPKSTVDSDDSDDDVQPDDGGYAPPGAPDESPYTPPVIP YVPPAKASSDVGPSSAADQRERVLSDEGKRLYLSAIGATEYAGDEEKEAAAADDDLED EAGSRSGFNFMTAAPPSAFGFLSGGDEDKEDDDDVAAAAFDVPPGFLTDPSMDPQDFE NLWQSATASEEWAVDILPSFDTDFMEQCVAYLGHVNILASGVVGGVHKFYYYAEQASN GTIFMVEIQVIESVGELSATFKWIELGLLYDDGHLLFIQLFKDCLAPCYVRDHPRTAA LLRRASAVAPTRNDGEDEPIVVSFEESLLAYPELDPASFEALYLAAIPMYVRLSTSCL IHV H257_03693 MVSTRPYTVLSLSANATVDYTALSNVAIVFNPGDSIKTVTIPVL TSGVHAYTTYFEVYLSSSTVGTSIDVARASSFVAVLGSVGSFSFASNNFTFLETDGTV HIPVMRTGGACGAVTLEYELENQALTTAIVGTNFVLDSPVVTFADGQTMANLTVDIIH TATYEYYSLFFVLELVAPTDRGQLGSAPLTRVIISDAGDAGIFEFAASTTICREDNGT AIVWINRTVGMSTSAVAPVLLTVETVAGGNATEGSSRAFDYQHASQVMSWADGETVKS FSISVFNNAVYDPRLKSIWIRLTAVSGGATIAAGHDTTVVYIVDDRDAGTFSFDTSNY TVSEGAASVNLLLKRSGELDPLGINNYTSGTVTVDVLTYSGVVRPGLSLADDGFDYGV VSDLRCTHVSPCTAVAGQHYTALPVTTLTFASGESQKTISISIQNNDLYEAPNRVFKV LLRNVQGGAHIGLDYEHPIEWSPSWMTLDASRALVPNYISAVVTIQDDGDPAVLISKA SLSTSELGQSDTYSIVLNAAPTSPVGVELTVDNPQVALSVAQVVFTTANWNVPQTVGV TAVDDAVAQGVHVVHVTHVTTSTDARYNGGARQTVGSSGVVYGSQVYTERRGDYDQGN DHHAFPWDASTFGVQTAPSSPILNAFVLDNDYASIVVVPEQIRHTVNTPSNFVCARQN GHVASVTVALSSKPTAAVTLTLVPASTDVVVAPWNVTLTPSTWRSGGIVTVAYSGSTS STTRVDISSASTDAFYSAKSSAFYVEGFPASGIALDKTTAIYYENGSDDSVVDYTVRV VSEPMHWEIGSRSNNSSSTAVPYTTVVLPLDDVSASKASSSPSISLENGSILLVATNS SDSTNSSSIQKVSLLRFSRGSGVVARTGSPRVGLALLRLYRLMGGDNRGLGGVQVGVF NPPAPPSWNESSCDGACVTSLLPAATSFGFVHPTSLCGSTDVLPQGSFNATLNAYASS PGWIDIDVTAAWNTNATDLTDITFALVALQKTSFVYENVDEIQFASLDHPMEALRPHV QLTASGLVNVARQEIASQSSSDNVNASQPLAGGPTALTRDAWWDVTWSAPRFIESIVV NMVVPMPQSSSSILLTLMKDANTVTSTTLPVVTTDSFSSVQLAWHVHGQTGAVDPLSM YYRPNLTALVEATSFRIDTQNAAFTLLSVQVFQVPMAASRVAIGSYLPSPSSLLADVN ELRLDTDDPIVADHRPTSATNICRNELLFTAGQWQDAQTVHVSVINNDVATGPRIAGI SHTSFSSDPDYDSPQVGLCATSGCTSPLQTTMALTVLDDDEAGVVMSTTTVNLAEGAS VYPGGPIPTTVGRWQPSNPIWCSWNAQTQENTTCVGVFQGTPYMTCLVPSAPLFANGS AWLLVPVTPPQPTFRLREVHVELPVASVRAVKTISLWTSDQSLTRNASTWTFVGRQTT QFGASAVGFMDLNEAMILSYLAVHIEASYDVTTHCVDVSSIELWGDVVREGGSVDITR LSKTHASGALASVSVRLLSEPLAEVVFSPVLMSTYLVGFDGRNGSQNAASLHQVTGGV YGYASYSAMLPTALHFNASNWNQAQYLVLAAVDDNVGVGNRTATCTYTTWSADTAATF HESAVSPSVAIQSTTTLPQAYQYNVTTFVVSDTLARDPTWPYHVTPTQTPSTGSITMT ATITEDDSPGFTVSPAPLEVIEKSSQDLNFSVVLDSQPTASVTVQLSVGLLVPSAQPG LVSMQPSSLTFTPELWFVPQYVFVTVPYKAGFEGNESSTVRYAPTVPKKHSELIVQFA ATSGDPAYHGRNVGTNDASSVAFRAHGIPLIVDDIDTGCAHGYEYTCANDQPCTSLDP LYGNRCNCSGIYGMRDCTGTCSSDSDCSFSRIEVILSCQAAASSMSTASCVLPSSFSP FEFVSAVHMALMSLEFTSADGTAYPKQPNRAALDTSLYIVRVDPVPGIPSMRVVLDVA DTAPAFPAASKLQALFKNGFMSQAPIFATSLVRVSVMPRAASASIVLWVFVGLVSVGA AVGTMLTLRKRQVNVPLLVAPHDNVHDLIHDTLPLVR H257_03694 MGEESDAPSSTAPAATKAGGTASSTEVGNAAVLLDARILLSLVG CARHTEVTISMPTRP H257_03695 MSGAPVQVLPLDDGAKAGDVQITSSVPVEAMEASPDVHTVAMSM LILKNTALERTAATGVHVSPNHSKNDDFHAGEHIVSGQVSPSFHRSTEASHAANSPSW DESTTDDQSNTWEQSQLYTPTQLQASAVSMEETKAKEKSRRRYAKRSPVFVFCQVSGC TKAATNVTKGLCTSHFKERTEDTPSHDRHTFSMREKVGDLFVCTYPECTKGARSKGLC KRHGGGKRCSVFDCPRSDQGGGLCIKHGGGRRCGVEGCKNSSQSRGLCKRHGGVREDR GKYMTSRGVAASACPSVVPVECRIQD H257_03695 MSGAPVQVLPLDDGAKAGDVQITSSVPVEAMEASPDVHTVAMSM LILKNTALERTAATGVHVSPNHSKNDDFHAGEHIVSGQVSPSFHRSTEASHAANSPSW DESTTDDQSNTWEQSQLYTPTQLQASAVSMEETKAKEKSRRRYAKRSPVFVFCQVSGC TKAATNVTKGLCTSHFKERTEDTPSHDRHTFSMREKVGDLFVCTYPECTKGARSKGLC KRHGGGKRCSVFDCPRSDQGGGLCIKHGGGRRCGVEGCKNSSQSRGLCKRHGGVREDR GK H257_03695 MSLQITRRTTTFMPVNTLLAGSPSWDESTTDDQSNTWEQSQLYT PTQLQASAVSMEETKAKEKSRRRYAKRSPVFVFCQVSGCTKAATNVTKGLCTSHFKER TEDTPSHDRHTFSMREKVGDLFVCTYPECTKGARSKGLCKRHGGGKRCSVFDCPRSDQ GGGLCIKHGGGRRCGVEGCKNSSQSRGLCKRHGGVREDRGKYMTSRGVAASACPSVVP VECRIQD H257_03695 MSLQITRRTTTFMPVNTLLAGSPSWDESTTDDQSNTWEQSQLYT PTQLQASAVSMEETKAKEKSRRRYAKRSPVFVFCQVSGCTKAATNVTKGLCTSHFKER TEDTPSHDRHTFSMREKVGDLFVCTYPECTKGARSKGLCKRHGGGKRCSVFDCPRSDQ GGGLCIKHGGGRRCGVEGCKNSSQSRGLCKRHGGVREDRGK H257_03696 MLHVSRLLRSRAPSSLARQPSSSLLPSMATRAFTSKAATDDQSW MGYGFGAAALLLASGASMNQTDNCGIVGVVSKHQEANTFLLEGLTILQNRGYDSAGMA TSKHDGGLPITVTKFASVQGTADSINLLRETKDDHAKNTVGIAHTRWATHGGKTDENS HPHLDQNKRVAVVHNGTITNYNELKNELVEAGVKFSSQTDTEVIAQLIGQIMNEGADC LTATRLALGRLEGTWGLCVMARDEPGKVIVARNGSPLCIGYGSNSMYIASETTAFTRH TKRFLSLQDGEVAVVKADTAELNPTHPDDLTTLQRFPTSRLGTAPDVKVRLSPAPFPH WTIREIMEQPKAVASSLGYGGRVSDDHVYLGGLEAEKDKMLKIKHLLISACGTSLNAG KYGAKIMRTLGAFDSVSAEDAGECTNERLPRHDGGLLVVSQSGETKDVHRVLQLQGAQ EMPMFSVVNSVGSLIARTTKCGVYLNAGRENAVASTKAFVTQVTVLGLIAAWFAQNRP ESVNRGKLDELIQSLHRLPITIGMALRTRAQCIEVAQKLMPSEHLFVLGKGYGEPIAY EGALKIKEITYLHAEGYSGGALKHGPFALIEGKEGQFGPTPIILVVLDDEHGHLMKTA AEEVRARGAYTIVITDNPAMCEGIADSIIPIPNNGPMTALLASIPLQLIAYELAVKRG INPDVPRNLAKAVTVD H257_03697 MGDVTRQQQRGHVLPRDVFKTLVRLEAKVDWQVSEVDMADQLHL PHSYVDPVVTLASQFNGPGPGQYDPANASTLGPHAHSASSHSTTLPTSSFSTTTRRQL LFEPQYVKPHETYREGPFSTSQSTSALPPSLWPHNKYSDPRRCKATTRPLPRRRKNSL RSTMTPLPDPPPPSPTKPINGDSVAFSFPRGHRTDLVSHSTPVGVSAATYDAQSTWTE EVEPPRVVVGFQSSVVRVPRWMPNPDVLAPADQYRKCVVVERRRQVYPQSNGDSNAHS SLDSDGHETSLQRVPGNTFDPFQWLRNQPDGLDKVKALNARLVELTTSDAPNQSRNVD APPTTNAADFSDKGAADDCVVKPMAITVVLPAGLALTYRVPPTRSVASLKHAIAKKLT TKRSRETKDVRDDIVVPGMLTLYLKGLKLVDTNDLGGSGVQDRSSLVYVEGRRLATYY VLQHMHNAIHVQIPETAFIDDEGVMVDWYFVMQSEQVVRKKKKIQHTNVMLFELRLQE LAAARGASDLAVLWDNQVELLNEAALDALVRTLCLRESNMMALNPNLSIPRKHGHGRF CLQECHAPRGNWRYVARYHQKAVNVIQTPYLRSMDITRIGQDKAPADIEAILRQNDMT VEWTFATPQGGRREVPFLIGAQRIRGTDGVVDTAKSEPFTRLKRELEDLRLPAKSDTS DVAVHPRQVVCRHCREPREVELSKELAKTHTLLRQALTNLQHSQEQLEAARVEATGLK KKVADLDVTVYELHNQLKREGSQAKSTIQSLQLQLDAQMTQVQDTQEGIAQAQVATSE MAAALAEAHRQLAQVRTSADDQISALYDELKLMEGALNDRDTPEPSQRPPAKKRHGSR RGSTSA H257_03697 MGDVTRQQQRGHVLPRDVFKTLVRLEAKVDWQVSEVDMADQLHL PHSYVDPVVTLASQFNGPGPGQYDPANASTLGPHAHSASSHSTTLPTSSFSTTTRRQL LFEPQYVKPHETYREGPFSTSQSTSALPPSLWPHNKYSDPRRCKATTRPLPRRRKNSL RSTMTPLPDPPPPSPTKPINGDSVAFSFPRGHRTDLVSHSTPVGVSAATYDAQSTWTE EVEPPRVVVGFQSSVVRVPRWMPNPDVLAPADQYRKCVVVERRRQVYPQSNGDSNAHS SLDSDGHETSLQRVPGNTFDPFQWLRNQPDGLDKVKALNARLVELTTSDAPNQSRNVD APPTTNAADFSDKGAADDCVVKPMAITVVLPAGLALTYRVPPTRSVASLKHAIAKKLT TKRSRETKDVRDDIVVPGMLTLYLKGLKLVDTNDLGGSGVQDRSSLVYVEGRRLATYY VLQHMHNAIHVQIPETAFIDDEGVMVDWYFVMQSEQVVRKKKKIQHTNVMLFELRLQE LAAARGASDLAVLWDNQVELLNEAALDALVRTLCLRESNMMALNPNLSIPRKHGHGRF CLQECHAPRGNWRYVARYHQKAVNVIQTPYLRSMDITRIGQDKAPADIEAILRQNDMT VEWTFATPQGGRREVPFLIGAQRIRGTDGVVDTAKSEPFTRLKRELEDLRLPAKSDTS DVAVHPRQVVCRHCREPREVELSKELAKTHTLLRQALTNLQHSQEQLEAARVEATGLK KKVADLDVTVYELHNQLKREGSQAKSTIQSLQLQLDAQMTQVQDTQEGIAQAQVATSE MAAALAEAHRQLAQVRTSADDQISALYDELKLMEGALNDRDTPEPSQRPPAKKRHGSR RGSTSA H257_03697 MGDVTRQQQRGHVLPRDVFKTLVRLEAKVDWQVSEVDMADQLHL PHSYVDPVVTLASQFNGPGPGQYDPANASTLGPHAHSASSHSTTLPTSSFSTTTRRQL LFEPQYVKPHETYREGPFSTSQSTSALPPSLWPHNKYSDPRRCKATTRPLPRRRKNSL RSTMTPLPDPPPPSPTKPINGDSVAFSFPRGHRTDLVSHSTPVGVSAATYDAQSTWTE EVEPPRVVVGFQSSVVRVPRWMPNPDVLAPADQYRKCVVVERRRQVYPQSNGDSNAHS SLDSDGHETSLQRVPGNTFDPFQWLRNQPDGLDKVKALNARLVELTTSDAPNQSRNVD APPTTNAADFSDKGAADDCVVKPMAITVVLPAGLALTYRVPPTRSVASLKHAIAKKLT TKRSRETKDVRDDIVVPGMLTLYLKGLKLVDTNDLGGSGVQDRSSLVYVEGRRLATYY VLQHMHNAIHVQIPETAFIDDEGVMVDWYFVMQSEQVVRKKKKIQHTNVMLFELRLQE LAAARGASDLAVLWDNQVELLNEAALDALVRTLCLRESNMMALNPNLSIPRKHGHGRF CLQECHAPRGNWRYVARYHQKAVNVIQTPYLRSMDITRIGQDKAPADIEAILRQNDMT VEWTFATPQGGRREVPFLIGAQRIRGTDGVVDTAKSEPFTRLKRELEDLRLPAKSDTS DVAVHPRQVVCRHCREPREVELSKELAKTHTLLRQALTNLQHSQEQLEAARVEATGLK KKVADLDVTVYELHNQLKREGSQAKSTIQSLQLQLDAQMTQVQDTQEGIAQAQVATSE MAAALAEAHRQLAQVRTSADDQISALYDELKLMEGALNDRDTRVCTRQTLRGFAVTKS MILDMCS H257_03698 MALTCPGPIRFLSTMTTHIPMPLIRIANAGEVHPFGDERTVHQA FPAGIPSAESDPFLMCDSFAFASEGISSDPDYFPINWHPHRGMDILSYIKTGVGRHGD SMGNRETFATPGMQWISCGSGIEHAEGGATRDGEVEKGFQIWLNVPASKKLADPAYGT EPASSIPTVELADGVQARLLAGPFLDGRTGAFKAVQPVQMVDFELEPGSKLTYSIPNG FDTCMLYVYEGSGHVGGKPAGPNHVALFDATSDASRGFELSAGSSKVAAMLFAGKKLN EPIAWHGPIVMNTRREIQDAFDEIRGGRFPPKRVPWDYKDLSAFPKDKLPKV H257_03699 MDIVEEDGAGDDGPTKTKAAPPKAIPQGFDGDGRRIIPPQLQAE FTTNEGEELIALFESADEDKSGSVDEQEFRKLLVRMDICIADDEVDKLVVEVDTNNNG LIEWDEFVSMVVKAKRGDVRFSKLHAMTASLKTTPVALLEAEAGKFGLTVAFRLLEER KATSYNPKTYVMQVTITTSERTTESYEAIGFSSREAKFKVAELALVKMRKMKPGFEFP AGVIPPTWDDWTFNNLNKGVAPLKILKTLVDKGFTPAENLTFMKRVSIHVSYLHVKAT YSDTTLVYPNSMALTTPWCRWVDEQVARGMDGPLVLHALCHNGGGYNPSKDPHFVQRL TKMGATSPYDFWQCVHAGNLAETKLFVCGGQNVNEEKLDRHAKTAYTPLQLAAKHGYL NIVEYLLQHGADVGAANSFRRTALLFAARHGHPAVIKLLLRHGASILDRDNLDNTPLH MAAMAGCTRSCVELLTFEEEYLRSCIVNTNKSLSFMDESNSFRGILRRLFGDMMHAKL PRNVRPTFALAWLPEAVDLAYTKIIGDNPVVSKYVQRPSPQVIGRMIHRFRHLQNDIP DTGDEVEVLQMEQDSRQCVLNADHLQVYIEQCFLEAYKNTTNRQGRTPLHLACDENLV CTHEGAVRTLVDMFGCDTHIQDNMGRTPLELLLQRKYRPGSPKDNRELEVTLQSARHR RRTNHAVQRQLEKDAVKRRGFEESLLQQLPRQPETNDVDLMHAKDTAIVKSTIAGWRE YEDGLSHNHFYEHIHTGQLQLHMPDDVRAALELRKQWYVRKLSARLLEKRGTWTMHKH AKKQRVFFYNTETGQYQWTKPAVIDGWVNIPPNIKRTLESSPDLDEEDSDDEEEGEHR EAIDQVSQKLLRVFGSWEEHHDAVTGGRVYYNTDTRQLTRTKPDAVYKDELKRQAYTL LIQTAQFKDRIGLWDKYYDGNTDHCFVYNRATGEGRHETEYNEADLRQEAMSQAAQDA TGQLVRRITDEELSKQRDSEQWLHVLQRARRRDTLKTLVKKDVVDEATRRLNDLNDSV LRKYKTQSSL H257_03700 MGYRDARIATEQQALRKARALGLFVSEKIVVPLTRASVEAMEMT QWLQGEEAESQSIEDTDANPSDSARRRLVRLMEDAAWRLDGQHSLCFWGCRLWSLVGS QKNDHEHDECKRRYVQKGNHSHSFGILMVCRLGCPVVHEAFQWQQSHGGDHTELEWHE LYECNSRLIKCPRDCGAWVPNDALQHHTDFTCVKRPVPDLECRVGCGKVFNGANNRIL ELEQERKWHEMEACPDRIVVCAWPGCQEAMKAKDRPLHRKSHLCASGITTFKTNGSFN FVVPKDCKHIKVQAWGAGGGSGVLHGYKFGHGGGGAFVEAICPVHPGETLMVVVGEGG HGGVFGQINPSQTLDGLPLVSQVGQAFGGLPGGGSGHSSNNGWACGGGGGYTSICRKG PFGIQTLLLVGGGGGGGCRAGLGGGDDQPIDESVKVDARNGGMGSTPLQGGSPGQVST PNPAFGATGGQLYQGGSGAEFGGGGGGGYFGGGGGGFSPGIVGGGGGGSTLVDRSLVE NVVVEKADKRVPGGVDRHPPAPTDDDDCGGVSGEGGLGTLRGVCAGNDGCVRVALPGF YSNMDFDTSVHPST H257_03701 MWQRSLTFRAAAHKSFGRSSSRLVEASVPSSSHAAYPFATRWLS SSPVASLQKCASTGNWKSALNILAELDQRGETDAEAYELAIEALGRDHKFEAMEMLMN TMKNDGVVATSTTVDMLVQAHMAHTNGLKIIQVVTDRLRENNPVSLPAFQAAMGECST LGKVEYPETMLQLLRDTPNCATPLSADEYAALIRCFGVCKRSDLSMHALHLMEEKGIE GTVDVYTQLIRAHISVGAVNQALHVFSLCDRRGVVLGENIYAATIGKLCDKKGFWLAT ELFATMDAKGVHASHYCMAKMILAYIRTNNPEAAHAMWARIRDHDRPATIATYMGIMH DCVVTGEMDILLDVFSQMQLRHEKLPNVAYSFAIRGMGRQGDTRGALELMETFVDTFG PPIDATTYIAVFNALARSPADMPVETTRAAIMHYWDMMVRHVPELHAPAYASAAGAFA SIGALDSLERLLEHTRENLPADSNVLMYSGIVSGFAKASVDYSEHIRTFIQRMIEAGA PVNDASVRAASDAFVKYEHWNYMEELLAIMEPSAFNRPQGVVGDFLSKLLEVNHWPLA RKTINAALAWDIQPHIRGKPQVLQTLADSTHESPEWKIAYSLALETVSFTTINDEHVF AVCNAMKVLYRAERHALVARLWYALKSKTHGPFPIDAYKCIVLTSLTSGFPKAATAAA TEMISMLHRYHQDIVDTSDVADVVSVILSAFAQHNDWDMVTTLFELMEMHNFLPNGFA YLGALRAYAQLDQTDNVHRLLTAFEDYMSTTHMDPSEMSNTLSSLTSMYATKRNDDMV LTVFELMNKFQLTPNSYAYNAAIRAYSRRQQLDKVEKIATFLAKSDEPVHERVLTSIL NSYLLVQDIPAIERVMKQFKCDPNAVLQSYFAFNKFGPVVTLLKSQGNYLERRACQLT PAMQTNGLKWLLARGATTQAADVALFMLQNDYHILPHMFEHILDSLSLECEFEVGSTL LEAYNSKRTFLNKSSGVVDSIITMMGNTKQYDGILDMLTESTQLFDVQQYGLGMFLCM DGRAHVHVLKIFEKMRQRFIEPNGQVFCLALDACQALKDTRVGKLIIQDIVRHKFEKK IAKELHARLEFALRPEALALDPTLVERVATLTLFLESCGLPIPHTFGGKLLLKSTSDR LTLPTRTRIYASLKASARLHQSAPTRPWWKADSNGARNNGKF H257_03701 MDAKGVHASHYCMAKMILAYIRTNNPEAAHAMWARIRDHDRPAT IATYMGIMHDCVVTGEMDILLDVFSQMQLRHEKLPNVAYSFAIRGMGRQGDTRGALEL METFVDTFGPPIDATTYIAVFNALARSPADMPVETTRAAIMHYWDMMVRHVPELHAPA YASAAGAFASIGALDSLERLLEHTRENLPADSNVLMYSGIVSGFAKASVDYSEHIRTF IQRMIEAGAPVNDASVRAASDAFVKYEHWNYMEELLAIMEPSAFNRPQGVVGDFLSKL LEVNHWPLARKTINAALAWDIQPHIRGKPQVLQTLADSTHESPEWKIAYSLALETVSF TTINDEHVFAVCNAMKVLYRAERHALVARLWYALKSKTHGPFPIDAYKCIVLTSLTSG FPKAATAAATEMISMLHRYHQDIVDTSDVADVVSVILSAFAQHNDWDMVTTLFELMEM HNFLPNGFAYLGALRAYAQLDQTDNVHRLLTAFEDYMSTTHMDPSEMSNTLSSLTSMY ATKRNDDMVLTVFELMNKFQLTPNSYAYNAAIRAYSRRQQLDKVEKIATFLAKSDEPV HERVLTSILNSYLLVQDIPAIERVMKQFKCDPNAVLQSYFAFNKFGPVVTLLKSQGNY LERRACQLTPAMQTNGLKWLLARGATTQAADVALFMLQNDYHILPHMFEHILDSLSLE CEFEVGSTLLEAYNSKRTFLNKSSGVVDSIITMMGNTKQYDGILDMLTESTQLFDVQQ YGLGMFLCMDGRAHVHVLKIFEKMRQRFIEPNGQVFCLALDACQALKDTRVGKLIIQD IVRHKFEKKIAKELHARLEFALRPEALALDPTLVERVATLTLFLESCGLPIPHTFGGK LLLKSTSDRLTLPTRTRIYASLKASARLHQSAPTRPWWKADSNGARNNGKF H257_03702 MGRRKTSTKKIVTRKKQVVARVFKCPFCSHDDSVQCKMDRDRNV GHLTCRICSESYQSNINYLSEPIDVYTDWIDECEALHTNQ H257_03703 MESLLAVVEELYLLNKRFTLERIQRVWETYRRWLNPHMMDGGYS LTLDEFLYIVEGTSADEDVEARQLFDRMKVHTSTNQRDQMDLLEFLLTFTVLSRGSWE KKCQFMFQLLDFDIEDEIAEDELAMMITIVCDGLRKFRVLDCIPSFHEIGAMAARGFL QNDIAYGSKMNFTQFLTWCIFHADPQSLMDFITCGYRARALIHNMSAVVHAKQVYLTS DPYYLLQLALHPAPDRPVLRGTVRVVCGPVVGQVTSRECRVMYEFNAAPLTSVSCCAF RPTDPATHMSSDVGSSHFILDERVELALRPYEPLVAHFKALTPATTYILCLMGNDMAP SDANAAVAQVTTLPTTTAAMSIQFFNHWSPKTSHSTTTLGHNERLSYDAAFDKLHHAP PTSQTISVHWNVGCMAPRMVLETLRSLGVAQPTRRLDEDIACSRVASHFRASLAAYHY VYRVSSNLFVTSWSHVMYGHFTPEDKTALSQIPRHQVEWLEAKVQHMCISYLHSLWPA DTDSISFGGDVRLLLQRSPHDDIARRLLAGPTTGTVVVVTSIPLLPLAPSNSSVDLVD SFMTWKLRANTSRLVVVACAPPFSPGYMAKVSLQNTSSSFVHLVCGSLRPTLPFASDS TASLASYVLDKFVVETTSVRVDTHIATVVCIQTSRRSENESTVADDVTVELVNTQLPE PLQLLVGPVVGLVTSSEASILLEVNREVVLVCHVIDRLTQATITCHQRTVAYRPVVVQ LTNLLPQRCYDFKIQGLPPSLQSTATFHTRQVNAPALHVTCVSHDQLFPRQDQAVPFS MPVSAWQDLATNELTFPSSDATLYVGQHLSTMDAAHEAMRVWEADPSNTDGISTCFRH AIRRHWQENQLILRRGSHWFVGSGWDWSQYTVLHLSQRVVECAERVAWEYQQHAQTSA QALHRYSYHTLTGHAAIGVLHLDVLEHRLATTHTYLQHTPELLSPEQWALVDQVLAPT SSTAHCLAITCDVPIVWHVARSNVEPTLLWRDWIMYPTELRKLLELVAAWKAHDEARN VLFVCGGPLGVKSTIQINETGVTVQQLVVGPVANPVESTIIPKSGDFLDRFTVDHSCS SRTSDTQYATVTAVPHPSLAQFCVHQVVTHQPSAKVLVGPVLGKITATSVRVLLEVNS AVDACTCVCTNVQTQERHIHTRSMQASLPAAFVVSDLQPLSHYAISFEGIAWTVDTHV TTFQTPHNHPFAFDCVLVHDSDWRNLTDNDGSLWREILTSAPYHATDAAATATNNGDG LNRRDNLWQTIHDTTTALPLRRPLLLVHIGGQVHMKHAFTDKELVALVRRMLDMPKDE WNRLMRPEIQHRMQQVYRVQWNIPPFRDALRVCGNVMLVDEPDLYFSASLVESTFDDR LADVAEIVQFIREIAQFVWLYYQNQLWLDVVPDDVLATRTASFVQYGHCTLAILNKNL NPPVVDDPPPSSSTTTSKKPMAKRNSTKSAGIDLTKVAQANNLLPPAAWLVLDDAIIG AKHNCKLMCVVVCCDLLEASMASIFLIGVTRLLEKVFDWKNQRLNDRNVAILMQSTTT TDTYIVTDQRSHATLRLIPVGSITSSRRLNAPSYPIDGGHFSKRFTFARDVMAGRDGK PSSQAENAVDGTKGYGHVHVTSDLDVMQWYHVAHWLPFTKPCYAITGPIVGRMQVVEP DNDQTFDATVHVCIVLEVNAAATITCVVVDVLANIELRFAQAFQPNTPTTIRCTNLEP STRYAYTFEGLANRDDRQGVFHTPDAQLNAINIVAVSTNFPQDRATSSPNLWEALHTR LQVPWHGIDVVAHVGGQAPMQSAANECLGWLQSQPKKLDDVTMWKERVRTRFQQEYRV VWNTPFLRTVLSHTSQLMVLTAADVASFFGRSKASLVKEGRSEEDVALMQLVVACAKD VAALYYGALGWRNDGDAPLDGERAKNGDGEDDEIDANNDVSTRTRNAKDEFYSIRMGL VAMFVFDMRATEDGDLVTCNKRLATPPTERPLISEDQWLAFESVCRKKSVRVLVLLME FPLLLSGNVSAFAAAFNGGGTPNQENDDLYNTTHLAAHWLACPAQLHALISLLFRWKQ KIDGRDVVVVSGNLRFGLDTFIQDTSTSFGFHNYVTGPIAAAGRPFGYEDAGTTMDKR IAFTHRFAPAVANYVLVEISILEETLPDHTTAHSALVNGDVVHADNVRVLHDLKRLNR WPQWWRRYCPMAATAFWTDLVVKSEPPHVQQYIRADTALTNLLKPLYAKYNFIDSTRM DALQSTPSSPTAAWTRFQNVLREVWQAMPMAIRQMCADVRDEFVVEIARAQVQLDMSG PIDMTAFQSICKDILTCAARTHVAMTLHKDDDDQATASKREETARAKELERRANVGAE LRRKQEEAHLAELQQRSILEYAQEKNRLEKARQDAAVAEREAAKAAKKAARDAEKERQ RDEDVSIRKEKSALKQMKLTLDEQTAAGGPSDESLDKEMEWTRRSRILQARIQRREEQ RYREGVRRESKQAKKNSVS H257_03704 MLSSRLALSVRRVSSVAPFHIAIPVHNLKAAQAFYGGLLGFTEG RSSTQWQDYNMLGHQLVVHEVGPTYKGIDYHNPVDMDDVPVPHMGVCLSVDQFHALAK KVQSKGIPFIVEPHLRFVGRKGEQWTMFFKDPSGNNLEFKAMTNHENLFAKYVEE H257_03705 MIIRQLHGTTEETEPVAMLLPYTLALTMSAGMSLATCFTVFKWT NVMRDAGHGTMFMVFASEGLWSLLSLARMVGVYINNRLSTLEYGPILHMTISSEIFFN TTSLWFMAVAYEIQRRALNARSVQSSRVAMTWYTTVIFGLTLVMHITMTLLELLDVKI PITTNNGDVEMQHLVDHVLNYMTWFTWGTRSVSVVFAGLVALWLYWQRARVSFHRLPT ALMWIVSLFFVLNMPYLVQHTLRDVGVIEPNESPMLTSALKCATFLHGAIISVIMGQA VGGFDVFFHVSRQFGGAKHLEFFVFSESQSVIRY H257_03706 MTVRRYLHGSGDKREEPEAMLLMYTWVLAMSAGMTLATCFTVFK WNDVKRDAGHGTMFMVFMSECLWSLMNLIRNFVVYVNNRQDTLDNLSILRLSIPCEVF FNTTSLWFILIAYEIHRRALQPRSECSSRAAMLRYSLAIYGLAVCMVVSLVACDALDL EFDTIDDETGKPKVERVAEFVLENMSWATWAVRLASVFLAGAMAVLLFRKRHHVAFHK LPAALVWIVALFCLFNIPYLVLEPLCDIGVINVTLVPWMPSALKCATYMHGAVIAVIL GHSVSGFDQFFLVKPRLRSKAIDFFVCSESQSAILS H257_03707 MAASMCIECEDTEASLSCVECDDLYCDLCFQAQHHSGSRLRHTR TVLATSSSSVPPPSPLVELPIIDVVPIQVDETMEDVSMDQATLAKETQLILRRAKVMP MRLSDSERVLFNLLDAGLNVSEYTDKVDILSYQSPVKRILNELTDLFNIMSGMLVASD FRNGKKRIAGQKYHDNADFFKAVFEIGRRYKIMNPERMRNNYGKMIYLLQDASMQEIE DHFEFSCIEPTHTVHSFLADREGLDLLQDADVPVATRSLADSCGVGQRAIKSAAIDAI ISRHTTTLLGADDIRRVLSSIDDNNSYLALNCTPITRMQALLEQYFQSPAPFSLEIRA GKNGARLSHSHKTQYAYAMQSLSLWRNITLEMFPLWFAVEEDLIHGGGYRLRDTGQGL NRMQRAPHTSQLIHAILSHTQKTSPMGWVGSSAIHLGDHNVPNALMFIDKYTQVSRIL SPVVQTCDFVTRMQHDVYIQGLGGAEFVRQSILADFFKHAFDGSGADNFFDAGSCIDG RLTSAWNWCSKIEKKSFYHVFLMAGFVGFDGSFEK H257_03707 MAASMCIECEDTEASLSCVECDDLYCDLCFQAQHHSGSRLRHTR TVLATSSSSVPPPSPLVELPIIDVVPIQVDETMEDVSMDQATLAKETQLILRRAKVMP MRLSDSERVLFNLLDAGLNVSEYTDKVDILSYQSPVKRILNELTDLFNIMSGMLVASD FRNGKKRIAGQKYHDNADFFKAVFEIGRRYKIMNPERMRNNYGKMIYLLQDASMQEIE DHFEFSCIEPTHTVHSFLADREGLDLLQDADVPVATRSLADSCGVGQRAIKSAAIDAI ISRHTTTLLGADDIRRVLSSIDDNNSYLALNCTPITRMQALLEQYFQSPAPFSLEIRA GKNGARLSHSHKTQYAYAMQSLSLWRNITLEMFPLWFAVEEDLIHGGGYRLRVLLFLS NSIVNSFGVLIVVLGTHCAS H257_03708 MPMSNTSSSKGSTHEDDQPLSKQRDMPRRAPKRNHRKPGLLDPL GELVVVPIVDESPAPLSRVTRSPDRLSMRNDQRQDAEFARLVGAAQASIQANADAMDA AAAVAAVLSHVEERHYASLALRKQHIHVLQQLEADLEAFEQSMDGTRQRFRAVANQHL HAIAELLLRHEASSSSYVPPPAASTSSVSPSGVTADENSVAMHLHEAGAAYKKAGDQR HECVPVLAHKAQGDRCFHDAIMLVRRGGGGGDTDLDTAVLRMAEAATAYAKYRESPLF DQCQLMLSVAVNDIAWSHNALNDATYSLDLRIKSARRDFVESEARACMVEALESAKQG IVQASGGQYDVALLKHVQRCNQYMSWLGRHNPATLAATGVTPAVTAALERKVHKSSIA YGCRTLPGRSEYECEQLVGHWTALAKGDVELATVLVTESALQQATSAALTASRDKQFP ALLVLSDTLLTALGGTSVYWVLSVYNCIMSMVASMPPAFTLTWLPLLCQMTAAASDPV IVPALLRRLEHLMATKQVVHHVVSKCGLVALVQTLRDSDLSHQDIMQAIVAVVAKCTA VHPKCFNDDAHLCVVLPVLCAMLLRHAKSPALVADIISLLTSLFSNCTNLTASNAADC QLVAAVAPALAACNPPCQASALLLLRDLAQSDKRVLAQCRQHRAALVSAISPMDPAWI VAFSDQLTS H257_03708 MPMSNTSSSKGSTHEDDQPLSKQRDMPRRAPKRNHRKPGLLDPL GELVVVPIVDESPAPLSRVTRSPDRLSMRNDQRQDAEFARLVGAAQASIQANADAMDA AAAVAAVLSHVEERHYASLALRKQHIHVLQQLEADLEAFEQSMDGTRQRFRAVANQHL HAIAELLLRHEASSSSYVPPPAASTSSVSPSGVTADENSVAMHLHEAGAAYKKAGDQR HECVPVLAHKAQGDRCFHDAIMLVRRGGGGGDTDLDTAVLRMAEAATAYAKYRESPLF DQCQLMLSVAVNDIAWSHNALNDATYSLDLRIKSARRDFVESEARACMVEALESAKQG IVQASGGQYDVALLKHVQRCNQYMSWLGRHNPATLAATGVTPAVTAALERKVHKSSIA YGCRTLPGRSEYECEQLVGHWTALAKGDVELATVLVTESALQQATSAALTASRDKQFP ALLVLSDTLLTALGASMPPAFTLTWLPLLCQMTAAASDPVIVPALLRRLEHLMATKQV VHHVVSKCGLVALVQTLRDSDLSHQDIMQAIVAVVAKCTAVHPKCFNDDAHLCVVLPV LCAMLLRHAKSPALVADIISLLTSLFSNCTNLTASNAADCQLVAAVAPALAACNPPCQ ASALLLLRDLAQSDKRVLAQCRQHRAALVSAISPMDPAWIVAFSDQLTS H257_03709 MDLAMTSPCDPDVAAASAAETVAPPTPQEPPPPGNDLIDIACIL EFTSPHKDAFDEFILASFNRLHMPMVKCSRKSRVDLVDGRAVKEICTQKYRCDLGGRP TPKKDGVKTRRSKSKRLDCSFSFNATMLKTTRVWGITVESKARILGSWGSEHHGHCHV LDDQQLRVRRLAPDAADVPDANRLDHTNGQWVFDSVTSRLRHHPNGTSSRPSHPPSHH RPSSVEAVTTTNPNPSTEDPDPTSLGSLMAQSSSILTALMQAETLEASAKSEAVLRSV HDHANTLRLALEQLTATIKAHHTHKQQP H257_03709 MDTTRASGVDLEKYRCDLGGRPTPKKDGVKTRRSKSKRLDCSFS FNATMLKTTRVWGITVESKARILGSWGSEHHGHCHVLDDQQLRVRRLAPDAADVPDAN RLDHTNGQWVFDSVTSRLRHHPNGTSSRPSHPPSHHRPSSVEAVTTTNPNPSTEDPDP TSLGSLMAQSSSILTALMQAETLEASAKSEAVLRSVHDHANTLRLALEQLTATIKAHH THKQQP H257_03710 MRSALLRSVALRPSHKAHMPMWMAAFSSTTEPVTPAARKLAFKK KLDETRAQALLGGGIKRQEAQHKKGKLSARERLELLLDEGTFREYDMLKTHRCKDFGM EAQQYPGDGVVTGHGLINGRLTYVFSQDFTVLGGSLSETYAEKIVKVMDKALKMGAPV IGLNDSGGARIQEGVASLAGYADIFQLNVLASGVVPQLTMIMGPCAGGAVYSPAMTDF VFMCRDTSYMFVTGPDVVKTVTNEEVTQEQLGGAVTHTKTSGVAHKAFDNDIEALREL RRFFDFLPLNNKEKPPVKDTDDTRFRAVPTLESIVPPDPNVPYNMHDVIHQLVDDGDF FEIMPAHAKNILVGLARFEGRVVGIVANQPTELAGCLDINSSVKAARFVRFCDAFNIP LVTLVDVPGFLPGTDQEYHGIIRHGAKLLYAYAEATVPKITVITRKAYGGAYDVMSSK HLRGDVNYAWPSAEIAVMGAKGAVEIIFRGQNVEANTADYEEKFANPLVAAQRGFVDD IIEPTTTRLRICEDLDALQTKSLQNPWKKHGNIPL H257_03711 MSRWALVGLACAGVTAAAVHTKAMCIATHHRVLFMQALHLSSGQ CRADDETYWSDLNAGTNSLQTYVPPRAHVRPNLASNWAVAIAPGDAIQKYQLIERTAV LGLCGHDAFEHPTSLVVVGDDDAPHATTSSPSYRVPWTQMQLGMDGHDEPLLLEGPSL EYQCSTDHIESIFVNQNYRLRKKFDGGAHGEVWRAIRTSYDDVDDDDDDNNAHEETTS FVLKRMFVELGEATHLSGQREVHFGHQLRGEPHVARFVESFYRDSVVVDANATSLQEL WLVFYDEGISLRHYMYSKTKSHMSVLVEPSLFWKRMRLEDDGAGVYKEILRQLLEAVA VLHDQGITHRDIKPSNILISQDDNFIVKLADFGSAVDTFTHEHLYGAKGPSQAEETRE YQPPEVLFHGDDVPYDYAAPTSYDLWSVGVVALELLLGSPHVFSISSRARAKVDLHLR DKPPSLARYHADYALVHRSCNFGTFNTTIQARDPLGRGLTDPYGLHLLWQLLQWDPAK RISAREALVHAYFQGPYVCNETGRHFPTEADLVLHQAFLHTKRTLHRSFVLQRHDDLP DEYFCSCGRAFSSVDACNRHLHARRHATPEQSTCRYAAAKLREQLPPPRPVVDSTAFA HGHAMFNGRRRYMEDTIAIESHPAYDLYVVLDGHMGLGAATFVRQHIGATFALLFADI VGRPANSSSNSSTSSTTSKAQQRQRLLEDLALRQTLADVHAAFLAHADDGDFSGTTCT LVVHFRHDRRLVVANVGDSRAVLYTDGMDHTQDNSRRAHGVQLTQDHSPHDPGERRRI ESSGGFVSFVGVWRVMGQLAVSRSLGDRHLSQYVSCDPTIYHVALPPSSGFVVVASDG VWESMTSADVGQFVSERLRTDADLYEIAADVVVEAFVRGSSDNLLALIIVFDDSSSED H257_03711 MSRWALVGLACAGVTAAAVHTKAMCIATHHRVLFMQALHLSSGQ CRADDETYWSDLNAGTNSLQTYVPPRAHVRPNLASNWAVAIAPGDAIQKYQLIERTAV LGLCGHDAFEHPTSLVVVGDDDAPHATTSSPSYRVPWTQMQLGMDGHDEPLLLEGPSL EYQCSTDHIESIFVNQNYRLRKKFDGGAHGEVWRAIRTSYDDVDDDDDDNNAHEETTS FVLKRMFVELGEATHLSGQREVHFGHQLRGEPHVARFVESFYRDSVVVDANATSLQEL WLVFYDEGISLRHYMYSKTKSHMSVLVEPSLFWKRMRLEDDGAGVYKEILRQLLEAVA VLHDQGITHRDIKPSNILISQDDNFIVKLADFGSAVDTFTHEHLYGAKGPSQAEETRE YQPPEVLFHGDDVPYDYAAPTSYDLWSVGVVALELLLGSPHVFSISSRARAKVDLHLR DKSEAIRTKSYLLHVLTEFCIFQPPSLARYHADYALVHRSCNFGTFNTTIQARDPLGR GLTDPYGLHLLWQLLQWDPAKRISAREALVHAYFQGPYVCNETGRHFPTEADLVLHQA FLHTKRTLHRSFVLQRHDDLPDEYFCSCGRAFSSVDACNRHLHARRHATPEQSTCRYA AAKLREQLPPPRPVVDSTAFAHGHAMFNGRRRYMEDTIAIESHPAYDLYVVLDGHMGL GAATFVRQHIGATFALLFADIVGRPANSSSNSSTSSTTSKAQQRQRLLEDLALRQTLA DVHAAFLAHADDGDFSGTTCTLVVHFRHDRRLVVANVGDSRAVLYTDGMDHTQDNSRR AHGVQLTQDHSPHDPGERRRIESSGGFVSFVGVWRVMGQLAVSRSLGDRHLSQYVSCD PTIYHVALPPSSGFVVVASDGVWESMTSADVGQFVSERLRTDADLYEIAADVVVEAFV RGSSDNLLALIIVFDDSSSED H257_03711 MSRWALVGLACAGVTAAAVHTKAMCIATHHRVLFMQALHLSSGQ CRADDETYWSDLNAGTNSLQTYVPPRAHVRPNLASNWAVAIAPGDAIQKYQLIERTAV LGLCGHDAFEHPTSLVVVGDDDAPHATTSSPSYRVPWTQMQLGMDGHDEPLLLEGPSL EYQCSTDHIESIFVNQNYRLRKKFDGGAHGEVWRAIRTSYDDVDDDDDDNNAHEETTS FVLKRMFVELGEATHLSGQREVHFGHQLRGEPHVARFVESFYRDSVVVDANATSLQEL WLVFYDEGISLRHYMYSKTKSHMSVLVEPSLFWKRMRLEDDGAGVYKEILRQLLEAVA VLHDQGITHRDIKPSNILISQDDNFIVKLADFGSAVDTFTHEHLYGAKGPSQAEETRE YQPPEVLFHGDDVPYDYAAPTSYDLWSVGVVALELLLGSPHVFSISSRARAKVDLHLR DKPPSLARYHADYALVHRSCNFGTFNTTIQARDPLGRGLTDPYGLHLLWQLLQWDPAK RISAREALVHAYFQGPYVCNETGRHFPTEADLVLHQAFLHTKRTLHRSFVLQRHDDLP DEYFCSCGRAFSSVDACNRHLHARRHATPEQSTCRYAAAKLREQLPPPRPVVDSTAFA HGHAMFNGRRRYMEDTIAIESHPAYDLYVVLDGHMGLGAATFVRQHIGATFALLFADI VGRPANSSSNSSTSSTTSKAQQRQRLLEDLALRQTLADVHAAFLAHADDGDFSGTTCT LVVHFRHDRRLVVANVGDSRAVLYTDGMDHTQDNSRRAHGVQLTQDHSPHDPGERRRI ESSGGSA H257_03711 MSRWALVGLACAGVTAAAVHTKAMCIATHHRVLFMQALHLSSGQ CRADDETYWSDLNAGTNSLQTYVPPRAHVRPNLASNWAVAIAPGDAIQKYQLIERTAV LGLCGHDAFEHPTSLVVVGDDDAPHATTSSPSYRVPWTQMQLGMDGHDEPLLLEGPSL EYQCSTDHIESIFVNQNYRLRKKFDGGAHGEVWRAIRTSYDDVDDDDDDNNAHEETTS FVLKRMFVELGEATHLSGQREVHFGHQLRGEPHVARFVESFYRDSVVVDANATSLQEL WLVFYDEGISLRHYMYSKTKSHMSVLVEPSLFWKRMRLEDDGAGVYKEILRQLLEAVA VLHDQGITHRDIKPSNILISQDDNFIVKLADFGSAVDTFTHEHLYGAKGPSQAEETRE YQPPEVLFHGDDVPYDYAAPTSYDLWSVGVVALELLLGSPHVFSISSRARAKVDLHLR DKSEAIRTKSYLLHVLTEFCIFQPPSLARYHADYALVHRSCNFGTFNTTIQARDPLGR GLTDPYGLHLLWQLLQWDPAKRISAREALVHAYFQGPYVCNETGRHFPTEADLVLHQA FLHTKRTLHRSFVLQRHDDLPDEYFCSCGRAFSSVDACNRHLHARRHATPEQSTCRYA AAKLREQLPPPRPVVDSTAFAHGHAMFNGRRRYMEDTIAIESHPAYDLYVVLDGHMGL GAATFVRQHIGATFALLFADIVGRPANSSSNSSTSSTTSKAQQRQRLLEDLALRQTLA DVHAAFLAHADDGDFSGTTCTLVVHFRHDRRLVVANVGDSRAVLYTDGMDHTQDNSRR AHGVQLTQDHSPHDPGERRRIESSGGSA H257_03712 MRRIPFLVFSLCGMHVARAQSLGDVPFRTVEALWSFHDGLDDWA QSSTDTMRAEINPRDGYIHGTVLADAPFVDSPLLDLEIEDRHHFVVRMAYDGACAQAG LHLERRGAPVTPKTNPKAPFVNPIVVRFPITSDGSQHVYYAPLFPYVQGDISRIRFFP CLSGNDGRHQGNTFHIDWIMVAKAPTVTKVRGCIDRYSQVPLLQPLLAPSANISMITT LTNGIHPVFSTLFHAMILPFASTYNCYPGDEITVQGRNFGDAALVHINGAPCQNNYPT LESIPELASDAPDEEVLTCVLPANLPRGPAVVTVTNERYRGLVFNGTMVAYAVPVQLT TAPSLSNVMAHAVDITWTPPPNDVWTCLTITGYLVIVRRLRDNVTVTTMLGNVTTTTL ATLSAGAPYSVTVSAVVESQNTTDWQQVDMYGQRLALPSAIVGLPSPALVFSTLALDF EFTTFSAQATLNHSISVPTAATLGPTGDVGGQGLLGLTLVGHAHVEHCNATSACCDFD STGQTCAFTCRGSTPSHISSAPQSTSNSGSTTATTAGVSLLTLVTNVTSTPTSSPPLR PCGPALRLTWSAPFLTGAAWYPRRQNVREGFATSFQFRLSNPSLQCKVMDDVSTHCRA RGGDGFAFVIQNEGGASIGRGGHEVGYGGIRNAVSVEFDTTYNADTADMYENHVSIHT RGRSQPNSAHHAYSLGATSEVPDLTDRVHTVHIRYVPVLDDDMPFAPHFQASAYVSQF FAKTTGASAWGSAGVGCLQVTVDDRTVLSVPLHLDNTLDLTGGRAFVGFTAATGAVAW QAHDILSWQWESLRMFPLPPAPFTHV H257_03713 MTSQFVRSSKYRHVYIEPAKIEKCYTNLRLATATGEQNYIKANT KFFAVAIQAGGGAFAVVPYDKVGKFDSDYPLVGGHRGAIMDFDFNPFHEHLIASASDD TTIKIWGIPEGGLTETMTEPLVDLTGHGRKVTLLGFHPTASNVLASTSADYTVRLWDI EKGSEIANMEYNSENLLQDLAWNYTGSTLITSSKDKLVRMYDARSGGLSGSVQAHEGS KSVKLAFLGEKNLFVTLGFSRQSQRRMKVWDPRKLDKELHKVDIDQAAGVIMPFYDAD TNLLYLCGKGDGNIRYYEMNDAEPFAYPLTEYRSTTAARGMAFVPKRACDIMSCETAR LLKLTTNAVEPLHVYVPRKSDAFQDDIFPDTFSGVPSHTADEWLAGSEKTPSLSSLNP RNFGEITSRGKAGTAAPVSARPTRVVRTASGTPPPAPVAAGSGDLQHQLSSANAHIQQ LEAKVAELESKLAAAGIAH H257_03714 MNDGQFSFATKLGKMVEWEDISRWFVKAPSWVPYVVISSAAALL LLIMACTCRKVCCRRRQSNYEAITKDLEGEEREFELGLSSDEDDLDGHVVGFNDEELK QMEMLESYGVQTDQMTPDADLPPLSPTAATTSFRPKKAHLDGN H257_03715 MDYEGKLSKSASNQLVQSIRESEIGRTKHTGRDDRATSEQVLDP RTRMMLYRMLNQGIVTEINGCLSTGKEANVYHAVLGSGGEGAIKVYKTAILVFKDRDK YVSGEFRFRHGYCKSNPRKMVKLWAEKEMRNLKRLREAGIPCPDPIMLRSHVLLMTFI GHDGYAAPRLKDARLSESQVRSAYVSCVKTMRVMYQVCKLVHGDLSEYNLLYYKSTLY FIDVSQSVEHEHPHAMEFLRKDCKNITDFFSKAGLDPMTTIELFEFVIDPRVLSEEDV DAILDTIQLNIEGRSTTRTNEEIVDEAVFMQTFIPSSLSQVLHSERDQLAYAEGRMEK SITMAISRLDVQPRLMDLLNVDELEDLDGLDDESDDDEDDDENEASGDDGGDDGDDDD ETESDSEDDEEVRKQKAAFRAERRDEREKLKLAEKLDKKSNKKNVKEEKREKRATKIP KHVKKRHKTLAKQKGKK H257_03716 MKWWAGLGVGVVCGAHVLPLQRWRFFNVADPMLLNHPHVDFMTT IPGTAHTHLRDARVIPDPVAGYNERRLQWVAMATWVYETSFTLTSSRADATLALDEVD GVASILVNDVVVATTANSFVSYSVNVSSFVRQGNNTVQVRFEPLLNYTRDQAARYPYI LPATINPNTWAEPTQRVFLRKAGSDFGWDWGPAFLTSGLRGNVSVHWTDDHTTAIDCR IRDYTVDQHFPNATDFAVHVTVCLHLTGSNCLGVTAELSVDQHVVATSPLHRRHHVVL RHTLLAPTLWWPNGYGHPHLYAINMSLISTARGKIPRVVHTRLGRVGIRHVQLRQDDS LRSPSNRDAGASFYFQINRQPIVAQGANYVPLDAFYLPPVTAMAKRRHLLESARSAHM NMIRVWGGGRYEEDEFYEMCDEVGLMVWQELMFACGTYPRTRSFLESVASEVQGQLGR LQKFTSIVVWGGNNENEAMFDQFASGAFMPPRVAFNRDVAVVDYTKLFVDVVQPVVAS LDPSRPFVDTSPSNGVYGSTPIYTKRWGNTSDVAYGDVHYYNVVDDCMAWQHLPRANF VSEFGFQSFPSHASLVQVTDATEDWASVAAMEKFLAFRQRSPNGTERMVHQVRMHFPV LLPTTTGKNPKTDVHRYIAQWVHITQLQQATCYDMAISTWRRWGVMGMLYWQLNDVWV GPSWSSIEVDGRWKPLHAIAKRAFEPVRSVTYVNGSMVHVTLVDDRRQRTTLSHVAVT AVLRALPHGQVVKAVGTWHATKSREDVWHQELIDLFSSSTCLPTTCMLDVTLDVRGAN RDLTFFAPFKDLLVSPGACPVHARIASENATAIEIVVETSIAAAALFVTVAVMRGSRE VVGQWSDNAFHLVPDDGSRRVWLRHIRPHAEVAPEEALRVTATCLQDMMPATNKVWQN TEPTSKLS H257_03717 MKTTVLITTLCATLVAGLHGDNNQAMVAGSHKRNLRAEQAALLP AQGQSQIIGGDENIPRPGGGFAAAEPVEPVEPIAPVEPIAHVEPIAPVEPIEPVEPIA PVQPIEPVEPIAPVEPIEPVEPIEPVEPIEPVEPIAPVEPIEPVEPIEPVEPIAPVEP VQPVEPQEPSNDGSTVDGP H257_03718 MKTTVLITTLCATLVAGLHGDNNQAMVAGSHKRNLRAEQAALLP AQGQSQIIGGDENIPRPGGGFAAAEPVEPVEPIAPVEPIAHVEPIAPVEPIEPVEPIA PVQPIEPVEPIAPVEPIEPVEPIEPVEPIEPVEPIAPVEPVQPVEPQEPSNDGSTVDG P H257_03719 MKTTVLITTLCATLVAGLHGDNNQAMVAGSHKRNLRAEQAALLP AQGQSQIIGGDENIPRPGGGFAAAEPVEPVEPIAPVEPIAHVEPIAPVEPIEPVEPIA PVQPIEPVEPIAPVEPIEPVEPIEPVEPIEPVEPIAPVEPVQPVEPQEPSNDGSTVDG P H257_03720 MKTTVLITTLCATLVAGLHGDNNQAMVAGSHKRNLRAEQAALLP AQGQSQIIGGDENIPRPGGGFAAAEPVEPVEPIAPVEPIAHVEPIAPVEPIEPVEPIA PVQPIEPVEPIAPVEPIEPVEPIEPVEPIEPVEPIAPVEPIEPVEPIEPVEPIAPVEP VQPVEPQEPSNDGSTVDGP H257_03721 MWSRVAAIGARRFASHAHKHVPEQFVRDQIVSVTLLDWEGSRHV VQGRVGQSLFEASRLSGLDIIQDDTLGGGGAAYSAIRTPEFTENLFGEGPASFLSHVV VSNEWVDKLPLPTDREVRILEDVPEDDWTKNSRLATEIILTKDLEGLVVAVPEAQPIE TFTYTNSYEDEGPPQYTMFPPSLRGD H257_03722 MDVPGAIHARLARGGDGTKRVLLQFQGIPTTKLHPVGYQRDEVK CQGNRSSPRAPEVTTTTTTSAMSKLFHELELEINSPDVAEDEPVILVETPQKRKSITR QLEMMICGAAIELPTDEWGNGRRRRSLSDSSMLQLEEELLRPLDIDSAAAHGRRSPVK TDQHPLALDTKAPSQPQDDGAVKRKLKKVPRPKLSSDKLWAAWRRKTKA H257_03723 MAADGELLYKGLLNLRYGMALSFQEYYCVLTDTGVFTIYASEDA AIAQPTTFVHQARLGGTKEWNGKTMFSTLDNSFKFVTDKGKEYSATGHNRNEVTLWVK SIKAITDPESHEGQKLAKAKRKQVRERERERQKQDEYEARAKAHSALPSASSTTDVSS QPPISYLTDPRRTRLDARNFPKYRQHLQAAKLETRLTAALDETKPLEVAKKKKKKKRQ STMAALDDDDDLFGGPPAAPAPPSTYTRKGAAARPDEHDELAAKFINLLSLGFSHNEV KAFMAAEGHAADDLNATSRKLQRGVGSPTKKPRRHTHGGSATPLPVPPPPPIAADPPK KVSFFDKLKQGFRRD H257_03723 MAWPYPSKSTIASSQILACLPSTRPRTRRLRSRQRSYIKLVWEG QRYTYMMRHFLCVYVSMAINLAWFQEWNGKTMFSTLDNSFKFVTDKGKEYSATGHNRN EVTLWVKSIKAITDPESHEGQKLAKAKRKQVRERERERQKQDEYEARAKAHSALPSAS STTDVSSQPPISYLTDPRRTRLDARNFPKYRQHLQAAKLETRLTAALDETKPLEVAKK KKKKKRQSTMAALDDDDDLFGGPPAAPAPPSTYTRKGAAARPDEHDELAAKFINLLSL GFSHNEVKAFMAAEGHAADDLNATSRKLQRGVGSPTKKPRRHTHGGSATPLPVPPPPP IAADPPKKVSFFDKLKQGFRRD H257_03724 MDSDFDMDESDLLFGNNEDSHSNDDDRTADEDGTASGSDLDDDI MQQLPSRPTVKPMAGLAIPTGPAVHTAAPALMRSRSMPNTANFMSSNEQKWRAKYLSA LKLPSTAVTVAVDEKTAALPSPSVLNNIPRHSKASSALSPSVRSVRTRKMSSEPIGIP AQQLSQSLSNVQHLDWRRLESSERYQRNLNQYELDDHRGNYEFVPPHQMVQRDCFSLG MKHHFKPKQGHI H257_03725 MTETTSRSKVKPRSASSAHRRHSRSSHSDEDTKSSLSSPTSTTA TTTTTSHDIQGHFKQFASKPRNLLYVDYLEQKSDSDFVRTLKSIDEASVCPTLRRGSY LVQAINPATTATTNSCRGPLRDGNPPQPSAGAASAAKTCVPLVRPPIVDVRVCVACAD SINQDNPKASSIECTPAAAAVLLPPALVKALSSPASFALPPSPPVSSNYHGHPLPPLI PKSTVRRLPLPQSDTSWSDRGIAAPIADDGSAHGLRVCCGDQVVALAEVQALDEQPCV FVVLNMHERTIGLQTPRVESDPTPRTDLLNVHHDLIMLEFHHTVLKLLLADETTKHHC TPQRRTTL H257_03726 MNRYKVTKQLGDGTYGSVLKAVNRTSGEVVAVKKMKKKFYTWEE CMQLREVKSLKKLNHPNIIKLKEVIRENDELFFVFEFMENNLYETMKKRDRHFPESKI RNLMYQMLQGLAFMHKHSFFHRDIKPENMLVKGDVVKVADFGLAREIRSRPPFTDYVS TRWYRAPEVLLRSTTYNSPIDAWAMGCIMAEMFTLRPLFPGSSEGDQIYKICSVLGNP THASWPEGMKLAAQMNYRFPQFVPTSLQSIIPHASPEAIQLMQDFMKYDPNQRPTSSQ ALQYPFFQVSVNIPSSLSTPNAPPQPTSLAGTTTPVEKSPSTTPLSSKSPTSNYGPVP PPSYQQPAIETFNAASTNFRTNSSNALPTAAKIAPRNAIAAAGNTLFGSGPGDPLGPQ SGVLDTTAQGNRYVRQARYGPGMGNSENHENGAAPDLLSGGKRPFQSSYNPNVPLVPK PTATTNPTGLGSQLYSRHNF H257_03727 MATRDLTRPFVNLRTDVKAKVTRRRQMASHSPDAHHEANSLMRN AEMRSDIDLEAAAALAQDTPLWVDAVTDVNIHVARVKELMEKLTKIRTKRLMVRFDDS ETDHEREIESITADITAEFRKAEGILKRKMNGKDGVTDADAKTRQNVQRALATQLQTL SGEFRKAQKEYLDRVKTQRQGPKEFDFLSEEKKPKRSTGIDGGFMQAQLAEVELAEDL INERDREVQQIATSIQELATIFKELAVLVIDQGTILDRIDYNMEQVVERTQAGIVELT KAETIQKSGRPMKCIGILLALITAMTIILMLKHLH H257_03727 MATRDLTRPFVNLRTDVKAKVTRRRQMASHSPDAHHEANSLMRN AEMRSDIDLEAAAALAQDTPLWVDAVTDVNIHVARVKELMEKLTKIRTKRLMVRFDDS ETDHEREIESITADITAEFRKAEGILKRKMNGKDGVTDADAKTRQNVQRALATQLQTL SGEFRKAQKEYLDRVKTQRQGPKEFDFLSEEKKPKRSTGIDVRNHRAGGHS H257_03728 MQSNARAVISSFLVLADNEQLPPPFLGPLVQHLNCDPTSAAPPP TLYRLGPHSCREFKGGHASVEHDVVGIAYSIKTTRTSVYFWFHSTVRLDVAKQSLLAL LQHVRESHVQTVIRLPGVDKSYCECIHDSMSAAHWNRENGYELHVLDAAVPVKATALG ASWNVDGETFEMDSANSSDAASILSLASVGYDPAYILELLKHPIFSQLMRVVRLASTK RAVSWSLVHSDFSIGLLGTVPAYRRKGLVGLALSSTVEAYRATGMTNDNARFGVQPHC FVHWSNTASQQLMASLGFKPVPNKTFHWMRLHA H257_03729 MTSSTVISRFLSLALGEPLPSPFLGPLINSLVFSPTRVPKLYVL REHSAQDYDDDARAVDTAHDPVVGIGFSFISDNQHERFGLHFWLRSTIAPSTATQSLS LFLRHATAGMDPHMVGLRAVEHNHYVAIQDIVNRVLWKDTNGCGLYLLDAATPVTSVA LGATWQVDGDTFEMDSALPSDAPAILSLSSIEYDEDYIYSLLKHPVFSKFLRVVRVAA TKQPVSWALVHNDFSLGLVGTDPAYRRKGLVRLAFGSTIEAYRDAIRVADVDWFGLHQ YCFVFSDNVASQQLMASMGFHQVHDKIFHWMGVLV H257_03730 MNGLAKASLVAAAATAAVVSFATRKIASTPLSSLHSSNLIARFV STPDQHVDVYQVTLSKPTSVEHFAKAFFQSPVFQVERVLLSLAGAAKTTDAEIDAMTF ASGDHVATFRVIESKQDEILFCWDDTEAWNGHSWVAVKDNGHTLLFGSTLRNRRAFIR RVMPLHLMYAQIVLASTKFQLERTTS H257_03732 MMARGHKLLDVSTPCYIPATIAGNMPHLTKDNCEKLSDLKLVGV NYADLFSSLAALEKTGTTFAEFLHLPNDSQVVFSVTDVLANPIARNTATHRSLESTNG RKKITPREYMAAVNTYKPSSFVALADEVDGTFGAKRQQNAMENSIVWLDECLSLRDPS SSPSRIFGVLCGGDIPRLRETSAVETCKRTIDGVVISGLGGGETLEFRHQVLELYAKV VPTALPRLLLNVGNPLEVLAAVASGVDAFMSSYPYIVSKFAYALVFWIGSPSTSNEPG SSDESATKINLRDKKYDRDMRPLLPGCPCFACTHHTRAYINHLLNVHEMLANILLYMH NLHHYFAFFKAMRRHIGNGTFPAFHDEFAAKYN H257_03733 MATPRAVQEDDVERLVKCRTRSEVEARKAAESTMKRAMKEATEL KKELMILRKEKEDSERATTAPVVSKPDTKRALSDDSVKKLAKRDKEIEALREALAQKD VENNALQGTMQTMSQRLEQASQDVQRLSDENAVAVETAKKTLTDLRQAKSSLDELKRK HQKAAKDWKDERHALVAENAQLTQRQDELQSAAGQSAQLKKQLAQARDRLTSIAHEYE ERLLEREATHDATVKGINQSWQTKLDAVVAASVCDVAAASRDAKAAADATHAAVIGRL HEELHLEKEENVDLLQTVKSLQDKLVVLQDVAREAELAKQQALVDAQQARDMCTTAED AADACESHARKYKEEGAVMEEQLHLIDNALKLRGISIDFLLKKANGNNNNNSCDEVAI KKDKGSWKLKSSKPEGTPPPDSTTTRSKRK H257_03734 MMLLRRSYRSAVAGVGRRTFSAPTPKPTRLSQEVDKKWQAIWDA KQAAAASPAGDKPKFYCLSMFPYPSGQLHIGHVRVYTISDCISRFKRMQGFDVLHPMG WDAFGLPAENAAIERGISPADWTLSNIAQAKVQMKALGIRFDWSHEVTTCQPDYYKWT QWLFLQFLEKGLAYRKEALVNWDPIDQTVLANEQVDSQGRSWRSGAVVEQRSLSQWFL GITSYADALLDDIDSLKAWPDAVKRMQSAWIGRSIGTQVQFKTAILDTPLTVFTTRVE TLYGVSYIALAPEHESLPAILAHVPLSQRPAVEAYVAHVKSLSKDDRNNGSTTAGVPL GLSAIHPLTHQSVPLYLAEYVLPGVGTGAVMGVPAHDDRDALFAAHHNLPVSVVLSND DTLVASGRFTGLPASAANDQLTDYLAELNQGRRHVQFRLRDWLVSRQRYWGTPVPVIH CPVCGPVGVPLKDLPVELPSLMDPTMDLKGTGGSPLARMAHWKSCACPSCGGPAERDT DTLDTFVDSSWYYLRYGDASNTSVPFTKSNLTKWMTNGGVDMYIGGIEHAILHLLYSR FVTKFLADHHDVPTSEPFKQLLAQGMVLGRTYKSPSTLRFLKPDEITVDKADGTVREA ATNDVVVTAWEKMSKSKYNGVDPQDICRQYGADVARLLVLFKAPPSHELEWDEADLLG QSRWLLRIWGLVVEHNHALAAAATTSQQGRYSQTGGEEALALRVAVHTAIKKVTEALH ETQSFNVAIAELMKLSNRLGGLAHLHGSKDFADALHALVVMLAPLAPHNASELFAALQ VDQPTLTNADVHDQPWPTHDDAVLASAQIQVVVQIRGKTRETLVVPADADAATLEALA LQQPNVAKHMEGHTIRKVIFVPSKKPGQHSLLNFVI H257_03734 MMLLRRSYRSAVAGVGRRTFSAPTPKPTRLSQEVDKKWQAIWDA KQAAAASPAGDKPKFYCLSMFPYPSGQLHIGHVRVYTISDCISRFKRMQGFDVLHPMG WDAFGLPAENAAIERGISPADWTLSNIAQAKVQMKALGIRFDWSHEVTTCQPDYYKWT QWLFLQFLEKGLAYRKEALVNWDPIDQTVLANEQVDSQGRSWRSGAVVEQRSLSQWFL GITSYADALLDDIDSLKAWPDAVKRMQSAWIGRSIGTQVQFKTAILDTPLTVFTTRVE TLYGVSYIALAPEHESLPAILAHVPLSQRPAVEAYVAHVKSLSKDDRNNGSTTAGVPL GLSAIHPLTHQSVPLYLAEYVLPGVGTGAVMGVPAHDDRDALFAAHHNLPVSVVLSND DTLVASGRFTGLPASAANDQLTDYLAELNQGRRHVQFRLRDWLVSRQRYWGTPVPVIH CPVCGPVGVPLKDLPVELPSLMDPTMDLKGTGGSPLARMAHWKSCACPSCGGPAERDT DTLDTFVDSSWYYLRYGDASNTSVPFTKSNLTKWMTNGGVDMYIGGIEHAILHLLYSR FVTKFLADHHDVPTSEPFKQLLAQGMVLGRTYKSPSTLRFLKPDEITVDKADGTVREA ATNDVVVTAWEKMSKSKYNGVDPQDICRQYGADVARLLVLFKAPPSHELEWDEADLLG QSRWLLRIWGLVVEHNHALAAAATTSQQGKLQ H257_03735 MPPTPERRPSSEYGTCAACENAAAHDKLMQLSQPWNPFRRPTWQ SAIVTTVGAFIIFLANQLTTLLVGFYPLYAQQSLGASEFQVSALFSVYPLCIMVACPS GSFLATRLGRNAVICLGLFVSGLSTIWFAYCDNVNLLVALRGIQGFGAGMSIVGSVSM ITEQATMTVTNAISITELVVAVAFVTAPAIGSVLYGWGGVSLPFLASGVAQLACLMII PSLFVEYGLPDGLLFEVARPGAEPTVPLRFLDVLTPTSVVCLVVTTVAMGGFGLVDPT LGTHLQHSLGAQHTAIGIGFSVSALLYVAGDHAFAYLTMQCGCKPVILLGLTCLSISF MCLGVPSLAGVHDSTTALWSLDGIALVLFGSGTALAIAPGVPLSLTSLEQVNFTEARS LLIGLFGGAVYFGQAVGPWLAWSLSQVVPRTNGSPLPWVFTLYGLVLGVVWAYVFTCL PSGDEIQAKAYSRTFSLQRQVSEYGQFVSIDDEEDEEDEGDSDTHLLAFETGSYGSMS PPKPF H257_03736 MDPRRLSIADFLGKYTTGSSRNRNTTDMSNPPPSLTTVSPISPS PEVAPPLSCFTDDVATMPTAKLLQHGEQFAKYFLSQCGRARHVSRRLRYSTSNGRGEE KAKDVYCKYDAAAKTYSVHAVTEIQAGLKEVLELLAGDIDPTSSRHGFNVFLWRVFGS ALDSATNLRCTKERRRKRRVQDWIGEPEECPVPTTDNNDDGSDDEGSDDDVFLTMKKI KQSYEGAAVKQTTFVQSNFFLRKSKAEWLLLDYIQKRSDSSIVRVFKSVDNTTSYPQL HRGKVIPRHTRILFGFHIEELSFHNGGVCRVTFFGSHEATKATHASHRLLQKLGESLH TIDLAVLRRRLGHKAQLSKALPPSAALLGDMCVHCRKFTNGIVCRLCAGHVCAVCSSI EEVEAGLHNVFELRVCGPCVELTKQRHLANMKRQQRQQQTHPPLYVHPIRDTSPLLVV VGLSQRGMFRISEATLEGSYHDMLDALQTTPSSDDDADDNMSSKSP H257_03737 MASPTPDSVNSDAITLPKELRSGDDAQRVESATLTRESGAHEAF ARSRMDGFVYVETPPYMHVLDGHCRFEFEIGTSPLMVVLGHPASGKSALLVNWVHQRL KKQQPGPEVIFQHYCGCSYESVKLSVFLFRLMDHLKAAFSLRDFELPHEHEEEKLKFS LARCLDAANRTTTQNGKRKNIIIVLDGVDNLRTEDGGESLSWLPNTLPPGVRILVSAT RPPKTTQLASCRWIVRKDFTYDADDDLLYDASLPPNAADTHCVKELRRRNAGFLVVEP LDERMCTKIIHEHCTRNTPRDLASVHCILDSKGSSCPLYLRLVLTMLDQSSHHPQPEY LQSLLLHASDFPSLYEMVLQQWKAILLADLVDTLDHLTCKAAASVDLPPQLDRPDSRK RNSRRDSEHMTLVASQLSPEEDEINRTKMQMERRALLARHALSLFTVSRFGLSEKDFH HLLEDAAPRHIRTLLLQLLMPHLMVIYRKDSDAVLYDISHNQLRLLARYGFLKDDGLR HGYHKAIASYCEKMPTCQRRIDELPVQLECCGLWSQLQSCLVDIKMFQLWWHERNRQD FLAYWKTLRSYFSTHDPVDDYIRSLDEYIEVEGPSTEQLLSLFLTITEFLRSWQRNDV KVKPEIHMHRPDPPQLKEFINSQGNFSLSHLTDVESKQIQGIVDYLCPHHDDGYYVRR WLWTQFPLIAVSFENLFLKKFTSDALAAWSGVGEMDGGGDCPVSLPSSDKPKDKPTGA SPSNSCSTHKSSHTILPKSIGAAICESRKRILARHSPKKNSQAVLELLNPEVSGAFEF GDSGSEISLSIPALRDQLRDLRARYDKLKFISKERHDALHVLESKVNDAKANTSHQHQ NSRLRDELLEQIRVTTLDSTHGRQKSDYYKSILRQCETNPARDPNIIESSEMNVRKMK QDITDLQQKAQVIGYERRLAAIEIPKLTTAIQEKLQIHQVALSRLKWRHLQNFRQMQW ETKFRIRSKEMKKQAEGDLSSAQEEAMVVRLKEKVEHKQEANSLRVKNLQDLKVYKGS AFMDDGLLGVLRHVGINEADQVLLRWYDQFEHAEQLDAEQKAGEDQVTACRHELEALR QEFQNLKLGQTTVKAGKTPASSLTGTSRSVGLLPTASIDGNGIHHSSSGNFKGHNLKQ VEQRLAEATAVSQQKKQRALRLKGLSENLHLGLLHLAVMLGVKASQGMDSIMLANAAE HSVRGLIGEDGGSGAPSNLALRKKNSMRKGITQTQAPVQRSAEDIQRYNLRVRTSVSP KKRFAGVECHGDENGDDSFSDSDDSDASGHGEEAVRERADIKASSVTEVRTQTGGKRP AKRHGKKKPTSSPSGATTVVAAMATNAAD H257_03737 MDHLKAAFSLRDFELPHEHEEEKLKFSLARCLDAANRTTTQNGK RKNIIIVLDGVDNLRTEDGGESLSWLPNTLPPGVRILVSATRPPKTTQLASCRWIVRK DFTYDADDDLLYDASLPPNAADTHCVKELRRRNAGFLVVEPLDERMCTKIIHEHCTRN TPRDLASVHCILDSKGSSCPLYLRLVLTMLDQSSHHPQPEYLQSLLLHASDFPSLYEM VLQQWKAILLADLVDTLDHLTCKAAASVDLPPQLDRPDSRKRNSRRDSEHMTLVASQL SPEEDEINRTKMQMERRALLARHALSLFTVSRFGLSEKDFHHLLEDAAPRHIRTLLLQ LLMPHLMVIYRKDSDAVLYDISHNQLRLLARYGFLKDDGLRHGYHKAIASYCEKMPTC QRRIDELPVQLECCGLWSQLQSCLVDIKMFQLWWHERNRQDFLAYWKTLRSYFSTHDP VDDYIRSLDEYIEVEGPSTEQLLSLFLTITEFLRSWQRNDVKVKPEIHMHRPDPPQLK EFINSQGNFSLSHLTDVESKQIQGIVDYLCPHHDDGYYVRRWLWTQFPLIAVSFENLF LKKFTSDALAAWSGVGEMDGGGDCPVSLPSSDKPKDKPTGASPSNSCSTHKSSHTILP KSIGAAICESRKRILARHSPKKNSQAVLELLNPEVSGAFEFGDSGSEISLSIPALRDQ LRDLRARYDKLKFISKERHDALHVLESKVNDAKANTSHQHQNSRLRDELLEQIRVTTL DSTHGRQKSDYYKSILRQCETNPARDPNIIESSEMNVRKMKQDITDLQQKAQVIGYER RLAAIEIPKLTTAIQEKLQIHQVALSRLKWRHLQNFRQMQWETKFRIRSKEMKKQAEG DLSSAQEEAMVVRLKEKVEHKQEANSLRVKNLQDLKVYKGSAFMDDGLLGVLRHVGIN EADQVLLRWYDQFEHAEQLDAEQKAGEDQVTACRHELEALRQEFQNLKLGQTTVKAGK TPASSLTGTSRSVGLLPTASIDGNGIHHSSSGNFKGHNLKQVEQRLAEATAVSQQKKQ RALRLKGLSENLHLGLLHLAVMLGVKASQGMDSIMLANAAEHSVRGLIGEDGGSGAPS NLALRKKNSMRKGITQTQAPVQRSAEDIQRYNLRVRTSVSPKKRFAGVECHGDENGDD SFSDSDDSDASGHGEEAVRERADIKASSVTEVRTQTGGKRPAKRHGKKKPTSSPSGAT TVVAAMATNAAD H257_03738 MPIVEAGSNFMKCREYVDVAVSIVQDAIDHREDWIDDARHDDRG WKLTVNKRNMQVFRHKSSPALLPSESAATMHSQYRQPSPHGSSSTSSNSASSCTFLTV GYLTTTIDELHTALYATTSVDDQVMHSLLLEKEFMTSHVLQSLTETAPPSLDPHLPDF CGIKYIKMRSRFGMHPREAVYLEYLTLREDNTLVKVVYSVNNYLPLHKDHHRAVLRDV WLFMPAPNGKIQVVAKTFHDMPGSKLLNTDQSALSFWCIYDKLTSLSYIRRLLSSTGA LGGRNSVSELARSLPATLESFQRAANGHPSNVRSHCQCCRRKFTLFHGKRFTCAQCDM TMCSNCHLRVVYAVQASLDPTPLDQLHATAMTVHNNVICLVCVHRSKETFSDFIKVKA PEIDDDAWEAAQGNNVGGRFHTSSHATFKDATVSSYSTEGMRTPPPLTHHFHTAPHPH SRAGSLPASAAGTTHRENDDVFQEMRKSIAIQESILSAMRASWHGHTEQEQYVQQQPH QRPSEFTFDRDSDRFEEVVE H257_03739 MTTPTNFATTSYHPPRAMSPAKQSTRGSLSLPSFGRFLTCSTPP THTLKRLPPLTPSSDTHFNPFLTVLGSPKPTVDATPCVFENCDKFAKVNQLCLGHFRV VTTTTTTFITRRQAAVHPASPSASDRPKYANRRCKHLGCSKYGLAGGFCISHGGGKKC LDDECDTTAQSGGYCKSHGGGSRCRVENCPRIAKRKGVCKEHGGRHLCKVDGCGKCAH KSGLCVGHGGGRKCSVDGCAKTAQGKGVCYSHGGGKRCSLEGCNHAARRGGFCITHST KE H257_03740 MPPPPSTKPRPPAKRLVTAGQGLSTSLVNAAALQDHLVRSLNLS MPARVKLGKTKAALPPSQPSSRSTPPQVQTPDLTTGYRNVWVCDSCTLENAEESGSRC SACGSFKPNNRRSKLSLAQKKGLVQAPPPKLSQNQWEDCEAKAEERGDTMHPCSICRE SFGVQPKVILSCSHMFHHNCLASFERFLRTNQRVCPLCRKQNYQKRHTNQGERGYRIQ CAVKIQTFVRGYFARRRFPALLRQYFKSGNGSPRRRQEFYASKISNISDRIVDAIEAR EDSIDALLATFDKSLTLSRHVFNNEQCDDDGGHVMTSDKWNAMLEKAALRDEKECPIC INAIDSSTAKLVALLSCSHVLHADCLHAFEAFNIYEVHLCPVCRSNYESRAVNSDMTF AA H257_03740 MPPPPSTKPRPPAKRLVTAGQGLSTSLVNAAALQDHLVRSLNLS MPARVKLGKTKAALPPSQPSSRSTPPQVQTPDLTTGYRNVWVCDSCTLENAEESGSRC SACGSFKPNNRRSKLSLAQKKGLVQAPPPKLSQNQWEDCEAKAEERGDTMHPCSICRE SFGVQPKVILSCSHMFHHNCLASFERFLRTNQRVCPLCRKQNYQKRHTNQGERGYRIQ CAVKIQTFVRGYFARRRFPALLRQYFKSGNGSPRRRQEFYASKISNISDRIVDAIEAR EDSIDALLATFDKSLTLSRHVFNNEQCDDDGGHVMTSDKWNAMLEKAALRDEKECPIC INAIEYVHLYYAS H257_03741 MHCKLTWRVSSSEGHETAFTCETFNRHNGRLGNMTAQLWNADVT KILESLRGKLNANGAQQLSDLRLHFAQMDWKGEGFLGRKEVEVCLNHFGLFPTSQELG TLLRVYGSASTGTTSPQLAWAPFVDALESRLSATCRGAVRQAYESIGRRSNNRLSVDE LASLGRFEQHPLALRGITPASVLAREFAIGLAKAQATTTAPSVTFEAFEAYYHTICHA IANEQDFLSMMQGVWDVDELKVTPQVPLFGGHKTALVRKLEAKTHDTELPREVLVRVL KKFDKQEKGSLTQSEFAQAIEVFGFILTPQQLNDTFQRGETDKAGKLNLAWFADFICT H257_03741 MHCKLTWRVSSSEGHETAFTCETFNRHNGRLGNMTAQLWNADVT KILESLRGKLNANGAQQLSDLRLHFAQMDWKGEGFLGRKEVEVCLNHFGLFPTSQELG TLLRVYGSASTGTTSPQLAWAPFVDALESRLSATCRGAVRQAYESIGRRSNNRLSVDE LASLGRFEQHPLALRGITPASVLAREFAIGLAKAQATTTAAYYHTICHAIANEQDFLS MMQGVWDVDELKVTPQVPLFGGHKTALVRKLEAKTHDTELPREVLVRVLKKFDKQEKG SLTQSEFAQAIEVFGFILTPQQLNDTFQRGETDKAGKLNLAWFADFICT H257_03742 MNVFRFASKSVSLRCASSHIAKFSTTRLTFPTSVTPRWSATAAA IKSVPSPPRPQYFPSTALPVDPHSGDAKRVISNEERIEITWADGHISPFHHIWLRDNC PCEQCRHPIAEERMHDTLSIPLSIKPSKVAINDKYLEVHWNDQLSHTGQRQDVHVSRY PLSFLHEHCYKRQSRLAKPKYDETLWDTAAIKTNMPRTCYDTIMHADDQGLLDWLDML HAYGFALVDDVPPDGLHDVSERVGPIRNTFWGPTWSVKSEPKPMNLSMTSHTLHPHTD FGWSEAPPGLQFLHCLAFQSPDAVGGESTLVDGYAVAEWLRNKHPEAFDLLSNVSIPH VFSSQDLFFQHKAPILSVDPTTKAVRDVRFNQANRSPLHLPPPLVRPYYEALQLWTQA TRAEENLVHFRLKEGQLLVFNNRRLLHGRHGYNAQRTWRHLKGCYMDLEDYKSRLTML RRRHASRPFSTERYIRPSGYDAAALDPGYDNYNDQFVTDALTNVQHVIASGERYDDGS RLKDVAKARTAAFRNLDEGTKADYVYQCSLYDHDIKVNLVPRLQGMLRKLEGDHIRLG TGAKVDLFEHSLQCATRAFEDGADEEMVVCALLHDVGEMLSPCNHGEIAGAILRPYVS PERYWMLAHHEIFQGYYYFHHVGGDRHRRDAYADHPTYQLTVDFCHKYDQAAFDPSYE SRPLHFFEPMMQRVLDRKAYWFQPDHPKTGCVTGSSLAA H257_03743 MAFFVYPMCPIAVASHACRVLAMACTVVNQVLPTKTSVCSRRHL VLDLQSPGSDNNDQLVNHVMHVVYFHTNQFIQDRDEALRRELWAPAHLAAYYRDLTVE APASEKAFGHLE H257_03744 MSMKKSVFLAYAEDSDEDDTIFSRDQGDKSAKSSKQKQKQKAKK QEENALKSLAMTSSSKKSKKKKGQSNGAAVDVVAVDEAIKQVTVEETPPAPVAVVPTA TYANASAAAKKTAPAASTSTPPPPLQEAPRTQAPPPSVPKPKQQPKVAPKQQQQQVAQ KQPPPSPSQPQVKSNDKYIPPNARNNPSAQGRQGTPFQSVTHLERVASPPPRSTPSSS GVTYVPSHIQVLLPGQPEQVLDVEDVMQRAQYFKQTAESLLTVNRNGEMENSQLREHL TQALLRLEQVEHENRVLQQLNLNLQTEVVALRAPSTSAFNHAAAAAVRPPGL H257_03744 MSMKKSVFLAYAEDSDEDDTIFSRDQGDKSAKSSKQKQKQKAKK QEENALKSLAMTSSSKKSKKKKGQSNGAAVDVVAVDEVEQAIKQVTVEETPPAPVAVV PTATYANASAAAKKTAPAASTSTPPPPLQEAPRTQAPPPSVPKPKQQPKVAPKQQQQQ VAQKQPPPSPSQPQVKSNDKYIPPNARNNPSAQGRQGTPFQSVTHLERVASPPPRSTP SSSGVTYVPSHIQVLLPGQPEQVLDVEDVMQRAQYFKQTAESLLTVNRNGEMENSQLR EHLTQALLRLEQVEHENRVLQQLNLNLQTEVVALRAPSTSAFNHAAAAAVRPPGL H257_03745 MDQSLDDVIKSKQAVAKAAAVAAKKRGGLAKHHQKRPQTSLHAG NAKNHATRHRHASAARDNSAVDSLGTAEQLGMSLDALIATKRTSVKARPTPVANQGAK LRATAVHGAQTKRQAYWNQKRGLPAAAALPTVTIRNHSTSNQRHRHSHAAAASRPTND NMLRRVNLGNRRQQHKQPHHPQHANDDDDTPPRLQAWEQHSLDHPTKFNLPDGTNFKI SIDLDRVSSVVGINASSADVSLRQ H257_03746 MAASREGRLRSRDMSRNDGAMDSPSSSPDTMSRRPGRRRSLASP VGPPLLDAEDTPAVRRGGSSVPVLSLSKRPTLSWIIGGWVMDLSMYAPADAPPPVHME NRSHWPRAFLDYDDPSIMDDAVLAHRGDAIVDLVRAECAARMLRLPDKSHQDDIVRKT FDGGRCLYVVHASMDIPSSFDDVVSILTHRSFFGHVFGHQLLAATELRRGTAAKAATP PSPSTTTTTLVQRLHLHVPRGYKRQQAMNVTFLDHVHDSSHAPPSAAATPTWTRVFKS IDRQLAPCSSSSTPSLATATSASSTRMTHVLGGLHVESLSASRCRLSFYGDSCVPSVL AVKMDGAHAFLDAFGQSVALVHRMLRRRRKSHRHHLHFLHPPTYPSSPASDDTEWDAA DLLRQHNLRSSPPHARPPTTSAATTTDAIDTSRCRVCAVRFHYFRGVHNCATCLASFC AGCLRRHTLLCHTPSLSSPDSSPAPSPTHQLPTDLTSMLKPAYWQQCVAEPLRQSLSA NHFSALLDEQEGRLLRRQYRAASFD H257_03747 MQPGASFTFSDIQHIMRSSRTSANKSMPPALGPAAASRLAAPWA FLNYEPTIPVDLLLERGVGLVRYVRQECHRGHGASSSPGRAIKDGARNVMHVRVAADL HGVSISRVLRTLAGPTATSFDDFFDRLLGDKLEGMTLHRNACADVHGRPWVDPSRVPP RMASMGSLYYDDSSLFKKVTRELVYLDYMHIHSDKRSITRVFKSVDSDDPTTPHKKHI ERHKHILFGYCIDDFRHLEDRNDLDDDDDDDKSSVRVTFYGEYAMAPEPCRLGREAKH FLEKLGTSVQLLQRMLACHPPKLSASHITQGCQSCFKSFSLFRSPISCVTCTHWFCAN CVSPQDSSLPSGHRRCHACHDTSRRRHRRSRRSRHSRSSLTDGATSISSTPTNNHDDG SKLPTPPSQSATSKLALQAPCAQCVVRSATLACRLCRDLCCQPCCRVERFVDPHEGGS FEVWTCINCIAQTTAEMSPIPPPQVKLQPTPPPSPKKPPPHPFTKLKAAASIDPINPR PSSVLLFNYLMRISEGSESSDHNSPACVGSPSSPPPTTSAAPPIGSPVQATSTSSPPA PPPLYLPAELASDQPGTEPSPAAIDQIRTTAVLDLDTNDALDAICLQAATKMECTFAF VNLIYKGSFMLKGAAAIDTAMPTSVPRDCQLSTHSSTLPLLVPDAEMDPRFATSPLVA GKTHIRFYYGLPLVTTTGVLLGTVAVADVYPRVRVSVAQRDELLEFAHQVATLISNRA THD H257_03748 MNWSLYSSTTYALEHSIATRASSHSASYAELDEMSKHAASTSAG VTASSAADGDGGCDEADVDGDWSSRGGAAGLSSLLELGGRRSSLSLGGTAASELSMVG LDGSTLMSSLKRRSAGANRRMGYMAMRSSTLEKLVSWSTAAELGTVLVYVSRSRMCIS SERADIRGRLGTRPNPSFKSSIVHVGGGDEDGDDNSDDSGDSWWLADWGKSRAVDPGL CWTTCGDNRRTGECGRLEGGLHVRHTTLAWPVSAHWPQKNMPQTTHWASDCRVAHVWH LAGRSVDLRWGGSPRLLSLHPSSSSPSSSRTGANARGLWFETSVVPTERGTTGLSWCW LEALIWTRHIKHTGTLN H257_03749 MDLSHEAMLMYVRSIKASPPVADAAIDPKKGRVKKFFKSLSFRK PKNAEDDDDTRWSDVFRSDGRKRGDSFFRRLSFLQPRDSESLSTFDRPSFLDHMVREK VSVSPTSTDATSSSSETLSDLSPAHAARRSPPLRRNSAAAAVDSNAQHTMTVRRIGIP AHPSQLQVQPRRHSDLASYHVPTSRQADNQLVAVQEEAESASSMDDAKDAALLGDVTV IAAEGSTLMEIEDVNVVEDSQDIMDKPTMVEALNTLEMTIEDVDVKDNTQEETMATTR EAAAVVGHEAVAMPLAVVRLPVIKVIRPQPAPTLLSYQVDDHNSAWFDETFAMMRATN F H257_03750 MNQAGDATDDDATLPVPHYMLPNFSSILPEYANSEAEHIKCAFS TGNYTSILKMPTRLAPTAVTHARQDAMDENRRNAARGPPKMVTKNGLFNQFEYTPSRF SLSEEILRAERLESEAKRLEIGGKDFVCSSGARKLKFEDGFDDKDYVYPHMEVHYHDA IDAAVREKWMEDKKILYGPFVPGGRTKAIGAPPTRKMLPDILKELTETIVNDWDECKI EIAPTEDGNIAIRFDLDTLGGVEHAVTAYMNVLCNNHHLTTKYALLKVVEDWNTKPGD NGLYFVFRPPWIRNPIPESFMDLTLATADENDLG H257_03751 MGCQQRMHRVLGRVPVLHLVVNEHARTGIIKVASTHHCNVQGLP RLGEFGLRLAGKETRDGLGRDCWLDGAVIRDQLVRDVTVVDGDPHAVVAYVAHGGGLG VGEDLEVGGRELEAALTSPSRVHLVCQVNHGVHFKRQVAEEVCLVRFSAHDVDGERGA LEATAPRLDTHGNERSHHPRPDDRRIRLVDVPCEDELCATTTEWIWKAVHVQKCHDTA GICGGKVLADDAAHGRPDDEPLCGLRKHSRKDEVHVFDHVVGAGRHPRDVAVAQPNAK AVVRQDSVATLGQFFVQWTDGCHGRAEAVGDAHWRKARVGGWSEANCEIVPGTVVAVG NADELQVGDVCAESRAVQELEVTFVVAVVDNRSNQSDRHDEPYANKECKASNGKGRKD QREEAFADRFQGT H257_03752 MGNDQSTAATQVVDDQGNDHVGFRVLGIQENSPAAVVGFVSFFD FILSANGIRLDTKDSTFMELIARSEDKPLQLSVYNIKSQTTRELSLTPSRNWPGKGML GVTIRFDSFDGVEDHLLHVLEVAKKSPADVATLEPGADYLLGTPERVFRDPEDLYDEI VEHLDQPFQCYVYNAKTDQVRLVRITPHDRWGGEGYLGAEIGHGYLHRLPASVHATIG ESVGFVSISSQAKAATDYFATSAPAADRPAVEEVQPTQEDTSAPILEEEHNDVAPLAV DEPTEVAPSEDPEAGLPASVLASASINNVEESASPSVGPPTPPKGPTYPPPVGTGIGF PMSTVATYIDPMSPKAAHE H257_03752 MLGVTIRFDSFDGVEDHLLHVLEVAKKSPADVATLEPGADYLLG TPERVFRDPEDLYDEIVEHLDQPFQCYVYNAKTDQVRLVRITPHDRWGGEGYLGAEIG HGYLHRLPASVHATIGESVGFVSISSQAKAATDYFATSAPAADRPAVEEVQPTQEDTS APILEEEHNDVAPLAVDEPTEVAPSEDPEAGLPASVLASASINNVEESASPSVGPPTP PKGPTYPPPVGTGIGFPMSTVATYIDPMSPKAAHE H257_03753 MMMSRLINHVARSSRRVVATSSTATMRCIHVSTSPSLRKASVVC TSRLTMQSQRANFSTISDHSAVTANSDAHGAKSLDLWHKTQDLYVRALAQDALAQYEL GLMYLDDDVADDDSSSNVVEDEWTLDAETLRQRASSSSPDLQDIKSIRKHARKLYKEY KQSTQKSATISPLVARTTSADLDDVYGSITQPWLDGSRLLAPLSSESEVDDTVIMQVL GGSNQAKGVEWLRRAADNGHRDAFVRLGNLCMAHDPPLVHAARAWYSVIAFCEKPHPD ALYNLGMLLYDDHPTAVPTPTVANLPLAMDCFMKAAEVGDPSAQFFMGHVLHVGNESV AANPVSSRMLLEQAATQGHGGALYYLAQLHLSGAEAMHVPVDLDKALTYLRLAVDEDE ADALVCMADMYREGLPPVVPVDVQAAHDLYERAAAMGHPEALCTLGALAYANRLYEPA FQYYQAAADRHSMAAWKNLADMYYAGVGVPQNKKTAESILDMLRKMDTP H257_03755 MGNILSPVDTINYNFVAGVYGLCTVLFVLLLVIQRYTDSVEGFY IVFAPFLPCLLWSLVVRRNWLAKEAALLNDPKKTE H257_03754 MDKLLTEYLATVKQQHVLHGIPEAESQFLLQQEAASKRPYCDPS FGQVDVLVIVSALDECTIALQELGKADFVQPLDWDFLPSSAVLATVRCVLLLFNLDAG KASPAVVWSGLWAAWIVKNIDTHVGGWEWLASNEPVGLFTKPYELCTVHLRAVQTIHR PAMYAVADDDPSWQRMPAYLCLRNWVAAAVAYLDMKIHCIPPFPLHGYVTSVTAPPKR TPKENVWFTALTDDHVPLYFNRHLKTLTLDRPVEFDGANVVVPRTIEACMMEMLMGDP VLRADVEARRAQMDVDQDKDNEWVECVDATTGTRFYYSFQRVKLAYTRPASKNIVIAD KSVAYRCVLHIQAAYRRRQAMQFVNQKRQKTRKLPRFTSRNFF H257_03754 MDKLLTEYLATVKQQHVLHGIPEAESQFLLQQEAASKRPYCDPS FGQVDVLVIVSALDECTIALQELGKADFVQPLDWDFLPSSAVLATVRCVLLLFNLDAG KASPAVVWSGLWAAWIVKNIDTHVGGWEWLASNEPVGLFTKPYELCTVHLRAVQTIHR PAMYAVADDDPSWQRMPAYLCLRNWVAAAVAYLDMKIHCIPPFPLHGYVTSVTAPPKR TPKENVWFTALTDDHVPLYFNRHLKTLTLDRPVYGILTPTLGVCMRL H257_03756 MAAPPTPSQAEGLSATDEKRHRFYACELIQEAGILLQLPQVVLA TAQSLLHRFYARQSLFDFDAFRAAMGCIFLASKAEEQPRRVKDVVQVFFRMRNRRMGL GLSLLMPSDPRFATWTDWLVMVERQVLIEVGFSIDGTTEHPHKFLLYYIKLLECSDAC AQQAWNYVNDSFRLDLCLRYDAHVIACAAISLAARVLQHVLPLGWEELLEVDVPDVIS VAREMLALYEHPRVRWLEPLTEVNPFEVTRHDKVEAPTNLPPVEHPAVPPQPPPPAVI ARTPLVNRMQAPSYDDPIIVPVEALAETEIAVAAIDAVGAEHDETSAGTTTTDATVAG VEAKSSDTTHVVEVRRN H257_03757 MERYTMIEPPSCTAPEASDTPLPLLLPNVVLATDNRTAEVVTIK KLQIIADKATCRVNDHVAMERRVYKHVTKMGGHANVLRLRESFQVQGIEHLVLDHCPR GSLFDVLKATTDKRFDAATSLTYVGQIADGLVFLHSLGYAHCDLSLENVLVDDANMCK LCDFGFAADATTKQVAAVGKYFYMAPEVHDTSSRGYDASQADVWSLGILLFIMLTGVA PFRQARVLDDRFERFKQHGLAALCDELHVSHLIPSDAFDALEAMLQVHPSTRVTIKDV VAAVGSTSCNQRQSDVASRTSSESDSTTSHRTLRRKVSLFRKVLDPFKRTKTLSQSTF H257_03758 MPQSCRYINVLSEPPLSAAVAHWIWSLVGHMSHTCAAARCNVPM DLVGQHGVAVWTGHDPMSSQTHEEVQETSFRAGTKRDDIISVGWTCFIQDKSVW H257_03759 MRMDLLYLAWKLHAACGLLRDRPADVDIALDDNTNDPTIAREAA TTPDINEALIEIFIQAGRREEERLQVLEAKLEGRGDYKKR H257_03760 MSDTNSSPNNFVRTWTSGDGTLSGLDSLLPGRLVLSYDPSLNEP ATVVVTSSSQELAELVQAEIVPGRKADFYVTGSERVLKLSFPPQNIDISASLLVEVKF NHPISTLTTTTETIVSSGTLSLQNKAANVRVSSLGSKNVWVESANAVTVSKLDITAEG HGIVYVTAPAVTSTKRIKLDVLGSGSVAIQAASVSTDDLKTSVLGSGSAYVHGTVDAS DLHSEVLGSGSISYYPAGHCGSSKISLLGSGNAYVASVACTTTKVEVLGSGSAYVQTV DTLSRSGFGSGHINYFNATPAHLPKEKKHQWYWSPRTPKVVPTLENKFVTFEVAAEPA PLKEGVPVRVYQTVGWFSWPSRGGNVVTDGSSTLTTRLGSGVGPKTAEEFGVGSLAVV LVAIVAFFVFKKNQRRGYAVL H257_03761 MTDIASGDDETIVVPGVHVRSWTTSSVRLGGLSIALPGRVVVSY APNTTSTTTTTLITVTSSTRALLSLVHVDVNMTADDVNQMTLRLATSDVDAAGTLLVH VALGNPVHVFSTVAETLVQTGALALPSSSATPMYITSYSNAAVWIESALPIAVPGLSI TVGGAGDVHLTAPSIQVQRNLKLTIFAQGSVSIQAHTITANTIKSEVPGRGSVYVEGH VEAPHLINDLLGMGSVNYFPSGRCDDSKIDIVGSGNAYVGSVVCATTSVNTVGNGDAY VQVVDTLARTGFGSGSINYFNVTPLHLPGNAAGQSFPFLRQPTVARTDTNKHETVQLA PQPPWHEGSYVLVRLTTATLRWPPMVLMDKLFADGMTYDRDLDEGAMGDVVGLAMVFV LGLVLIAYKRFRRGGYQLLQ H257_03762 MSAIVTAPHTFTTSWNTSTPIEGVLLQFPGRVFINQNPSLKAAA RVVISSDSQAVVDLFAFNATKAVGDSINAGCNRRYAIDRANQTYLDVSVASAVNAHAA GSLLVLITVQKPVSWVKSTADTVVVSGALVNGPSKFVSITSLGAGNITTTAKYPVTLS NLTLSTTGTGKVQFAATTTFNTTAGVSLVIAGPGSVALQAGRTLNIPNLTTTVTGSGS VFVTTNGTLTAQNIKTYLFGSGNVSYYPARGSTVNNTINLFKSGHVYTGSVLAQNATV AVSGAGGVVVQVNDTLTTSIDGAGSVAYYNKTVNPVHVSKPKGWWVFTSSSAVATTNN TFNVYKSVAEPAKVPLNVTIDLNQTLFSRCFVQRFETAVDTTALSSTISSPQDVASVA CVALALLALVVLAIFKGKLRTKGYTALPK H257_03763 MSITAPNTFTKSWNTTASSIEGILLQFPGRVYVNQDPYLKATAL VEVTSNSQAVLDLIAFNATQAVGNVISVGCNRHVALAQSNQTYLNVSATSDVDAYAVG SLLVRVTVQRAVSWIKSTADTVLVSGSLVNGANRAVSIAALGTGNITTTAKYPVTLSN LTLSTTGAGNVQFAATTNLNVTNGLSLLIVGSGSVALQAARDITVRSLQTSVAGSGSV FALANGSLKAQNVRTSLAGKGNVSYSTPQGSTINNTISAAGSGHVYSGSLFAQNATVT LAGSGDVVVQVIDTLTAIATGEGKVLYYNKTGNPAHLPERKGWWVFSSPSAEAIGVNP TDQFTLAPEPLKEPVNVRIELNASSLSHCVVQTFKSGGQVSLAASGAQSPVDVATLGG VAVAFLMLIALVMFKKQKRVAGYVALPK H257_03764 MNIAVGAVSSSLIGFPPPPPAAKRPLEDAESSKQPAAKKRRSLS KAGLTEEHQLELFLGKIKRQIHEKFGVEHVQMSHESRNVTISCWQCGKQIKIATGHVH LRSMNSHLERCKGSAAMMPKPGEVDDQTKKGARRSSKKALSEENLGIMFLENARRQLK EKFNVDNVTMVHKGRNVCISCWQCGKAVKIAGGRLNLSNLKAHLDRCKPSKKPKRVLP AQPAAVNTFLCAGLASEEIRNYCSNAMRMFGGCQRREEILKSLFNIRSFKNLTEEQLE VFQRHEFATRKWNIVGTTVFSVDCTKVSAIGKTCHECLSLYRNKNFVNTLCKYRSRAQ KLADGVINENYVKYIPRMYVQHPGAKLAQSFENQRFKTVI H257_03765 MVQAPQTPTATEAQHRMQISFAADAFVAWRGVGRGVAGGAWRGV GRGVAEAEAGFFAEIGGTTMVTPGKRVWASNARLSSYTSVALLLSSPSGLDRNASRWD NARLDDDRRWLWSRLRCGFGQTLSHSAEGAGFSALRCTRVTWQPWHTCMETTSPGADS TSWCRVHFEHMAAWHTWQKCARRKRWKDLLHVWHARGNVAVKFNDDDEGGGIDVA H257_03766 MFPRVLDPRFLGTQPSAAGKHNADDAKALVPPLQQQARAPRRTD SVSSTASVASWPSVFDEDTNGLTDHDLLQRGYDVAAYVMEQCRVLPANTVDAQSKVLT IVNTFIVPGTMNEVLDVYSRDQQLGFQAFLLRVFQDSLTEAMCVRRVTQPLRRPSSRE GDDCSSNNDEYYTASNHSSGSGSSSTTTSTIHDFTGCTTSIKAVKLNEKRLFRTSTHD LHLLDFVQKLSDTTFVRVFKSLDAPRPHHKHDSRVTNVLFGFHMDEVHSGGVKVTFYG QHVGKKNSFAYAMLHQLSGSVGLLATAVWRRRLVRLCVARPTPSAATTCHLCSHNLSR RTQTQCRLCDHTVCTHCSQVERAESARCIEVDLRVCNVCLVQCQANLVLTNKAPDAKH PQYLTTDDDEEGRCLPRISEVLGTGQVRHRGHSTAKAHAPPTAAAPLSSSSQPIASKG RVLSGVLGYSTPRGATGHYVAQPGGPSTGPHYDDLDRPMSSTHGTSSSASRPAPRRVL SAHASSTSGDAIPRQRGASGAAITRPALDTIRISVQAETLRQNAVSNTELTKRLDKLC ESLAGALDCHHGYVSLLYKGGFVVKATSGMAQTIRITRTDPLSVATLLKGGAAPLVVP DATIDPRFNTSVRVTGRECVRYYVGLMLVTSDGIELGTVSVSDGLPRARFDATHRRLL HWFASTIVDEIEQCCR H257_03767 MSRRLTLGRVLEPLLLSASVTVPSHYPSKAEYVHQLIDTYYLPV FLWAEKQLRSPCLVLGLSCVQGGGKTTMSSYLETLFRATGRTCATLSLDDVYLPHADQ LKVAASNPHNPLLEHRGNPGTHDLNLLMSLIDDASAGRDVLVPRYDKSAYNGRGDRFP KDKWVRYPGPVDVLLIEGWCLGFEASPTDPPGHLRPVNQALREFDRVHAALSALIVVH VDDARWVYTWREQAEAQMRDQGVPAMTSTQVSDFVDRFMPAYDQYLPALYATDGHSLV HRVPRLTIDIDVDRKCRGVVARESIDQSVNATANY H257_03767 MSSYLETLFRATGRTCATLSLDDVYLPHADQLKVAASNPHNPLL EHRGNPGTHDLNLLMSLIDDASAGRDVLVPRYDKSAYNGRGDRFPKDKWVRYPGPVDV LLIEGWCLGFEASPTDPPGHLRPVNQALREFDRVHAALSALIVVHVDDARWVYTWREQ AEAQMRDQGVPAMTSTQVSDFVDRFMPAYDQYLPALYATDGHSLVHRVPRLTIDIDVD RKCRGVVARESIDQSVNATANY H257_03767 MSRRLTLGRVLEPLLLSASVTVPSHYPSKAEYVHQLIDTYYLPV FLWAEKQLRSPCLVLGLSCVQGGGKTTMSSYLETLFRATGRTCATLSLDDVYLPHADQ LKVAASNPHNPLLEHRGNPGTHDLNLLMSLIDDASAGRDVLVPRYDKSAYNGRGDRFP KDKWVRYPGPVDVLLIEGWCLGFEASPTDPPGHLRPVNQALREFDRVHAALSALIVVH VDDARWVYTYVGFNPVGCIHTQHNIMTTGGENKPRLR H257_03768 MSTLNEMPVEPPMTESAAFLQAHPSSPPHRSPHTPFQLKFTCVG HKKAISSVEFAPTGHILASASPDKTVKLWDASSGSLKTTLEGHDQGISDISWCQNAKY VASGSDDRTVKTWDVEQGCTVATLRGHTNVVFSVNFNPQGTLIASSSFDDSVRIWDFR TGRIARMIPAHSDPVTAASFNRDGTLLVSSSYDGLCRIWDVASGQCLNTLTLSTDATS NDPIVPVSFARFTPNGKFVLVSTLDGKLRLWDYVRSRVLKTYSGHVNASFCIFSAFSV VGDKPHVVSGSEDGNVVLWDVQTQSIAQIIPAHTDAVLAVAANPMRPMLATGALEKDK TIKVWEA H257_03768 MHAPRCGCVAPDKTVKLWDASSGSLKTTLEGHDQGISDISWCQN AKYVASGSDDRTVKTWDVEQGCTVATLRGHTNVVFSVNFNPQGTLIASSSFDDSVRIW DFRTGRIARMIPAHSDPVTAASFNRDGTLLVSSSYDGLCRIWDVASGQCLNTLTLSTD ATSNDPIVPVSFARFTPNGKFVLVSTLDGKLRLWDYVRSRVLKTYSGHVNASFCIFSA FSVVGDKPHVVSGSEDGNVVLWDVQTQSIAQIIPAHTDAVLAVAANPMRPMLATGALE KDKTIKVWEA H257_03769 MDHAKAFLDLLGSSSDAISDAQVRDSFRAEYDKLPAVINDLVSD GRIKIFQQGSNLMYGLVAKEEAERMRGLTVEQRGVLHEIEKAGNKGIWTRDIKTKTNI PEVIIRKTIRLLETRHLVKAVKSISQKNKKLYMLFDLVPSRDITGGPWYNEQEFDHDF IDTLRNFVCQFIRAKGIVTLKQITDKVHESGIAKVSLGPDEMMSILNTLIYDDRVEEV RAARLANGEWRDVSYKVSREVTDFDSLSDTPCGICPVFDQCADGNIISPATCIYLTKW LDIQDEF H257_03770 MKFSYEFSHLCGTVYTQGNLVFTPDGNSLLSPVGNRITVFDLIN NASRTFAFESRKNVQTMAVSHDSRLLIAVDEDGHSLLVNLKKGIVLYRFNFKAPVRAL RFSPDNRFLAVSHGTKVQLWKAPGLKREFAPFVHHRTYGGHHGDVLSIEWSPDSKFFL SSAKDLSVRLWSVQPYPNFTPYTLVGHRDVVIGAFFSADGQTIYTVARDGGAYLWEHD GGVAPVENDQEDAIIPVVARGVKWSTKHKHVFKMEYAKVDCVTFHADTELLVVGFGNG TFGLYSLPHFQDIHTLSVSQHRISAVAINSSGEWLAMASQHLGQLLVWEWKSETYVLK QQGHYFDLNVLAYSPDGRLLATGADDAKLKLWDTTSGFCYVTFHEHAAPVTGVAFTAN GQAVVSCSLDGTVRAFDLNRYKNFRTLTTPNPVQFLCLALDTSGQLVCAGTLDPFHIY VWSMQTGRLTDVLSGHTAPITSLAFSPSTTEELLLASGSWDHTVRVWNVYQNKPFIEP LAHSSDVLAVAFRPDGKQVCSATLNGHLNLWTVADGELVGEIDGQKDVAGGRHSSDAM SAANNTRSKHFTSVCYSAEGTCILAGGQSKYICIYQVSAKTPMLLKKFQLSQNRSLEG VLDRLHSGEMTEAGAKSQLNLDLDPLSASTAVDNQLVGAKRAVDPGARRQGMEVLSKA VLFSPTGRSWAAATTEGLLIYSLDETLVFDPFELDEDITPDTIKRTLARQEFTKGLLM ALHLNEELLLRLCLESVPVDSIPLVAQALTDTYLKRLMALLAKGLSISPHLEYYLTWT LAILNAHGPIIDAHSVEFLSTLRALQKSLVIHFNDLSRVCNDNQYTLDYLRNLSQFKL KHEGRRDDDDNQ H257_03771 MDPFQSRLQQRALRNPYKPHADNTSVRVKMGLGVLFFVGIVMFF NSGPASGTVVAPKLRQDGGGRYAALAVLPPTPMSVMTFNVRFASANDGWNSWDHRKEH LIDLINRYKPSVMGTQEGLKDQLAEIHANLHGNYERFGVEREANGEFEQIFYDTDVVT KLDGGNYWLSDNPEVPGVTAWDAPCVRMVTWCKFELKATRQQFVFINTQFDHRSELSR VNSAKLIWRRIQDTWQPDMPVFVVGDFNTYRHTSVYSYLTTDAAGPRFAEAWKTADKT IGDVSYTYHGWAGVDNDGEKAADVVRAANHIDWILYRPTTMKVLSTQVVTESRNGRYP SDHYPIHANILFPSTKDVPV H257_03772 MSVRCGTSGIASLFCLGLCAAFASGSSTLVARNLVYHVDPGQDV VITLKGYSMAGRALTVSIATLPSAGFIYQLSQVYSDYGYDPKKTPTAITTVPTLVTGS NYRVVFSRPFSNSPLDSKFAEFTYTVNDGVTTSAPGTITISQGPAVMSSQFYVDAEGW GIRNNNNFQPVYDPTSCGIMSYYIYGTEAVIQTQPTSTDDAQLWYFTAPSKFLGNQWA TYGGTLTFTLSASEGDFSSSSNLNTPATTPLVILECATCNLNAGVRLAWPQTLSPAFT GPAQTFSIPLSETAGWVTDPKNTLLPWTPPSQCVLMEVLSKLSGVSILGDFTRRSETV ALDAVVLSHGPGQPLACYGAF H257_03773 MVLARPPEVTPEKEPRGLPHIYPSGLVSVVEFAVVCAATKCIYA APLALLVAMAWIQHHGFWYVNAAVVVAYLPTYFNGASDKVTPSQGGVQWDALRTHSVW HIMLRHFRLDCIRHCPLNPAERCIFGFHSTSAKCPPSVVLCGGMWERLFPHHPALVLL PTPYFYVPILREVLLWLGCVEATETVAHDALNNGLSLLYYPFNVRIENEVTVGGAPNA MSLAFDSESQPSLALVKLAMMHGVSLIPVLALCTPANSERRLRWMPLLPAWLEHAVAW MSSVVLSLGAIGTCKSKATVVFGSPLHVERNARPSHEEVQEVYATYSRHLRDLFALP H257_03773 MVLARPPEVTPEKEPRGLPHIYPSGLVSVVEFAVVCAATKCIYA APLALLVAMAWIQHHGFCDKVTPSQGGVQWDALRTHSVWHIMLRHFRLDCIRHCPLNP AERCIFGFHSTSAKCPPSVVLCGGMWERLFPHHPALVLLPTPYFYVPILREVLLWLGC VEATETVAHDALNNGLSLLYYPFNVRIENEVTVGGAPNAMSLAFDSESQPSLALVKLA MMHGVSLIPVLALCTPANSERRLRWMPLLPAWLEHAVAWMSSVVLSLGAIGTCKSKAT VVFGSPLHVERNARPSHEEVQEVYATYSRHLRDLFALP H257_03773 MVLARPPEVTPEKEPRGLPHIYPSGLVSVVEFAVVCAATKCIYA APLALLVAMAWIQHHGFWYVNAAVVVAYLPTYFNGASDKVTPSQGGVQWDALRTHSVW HIMLRHFRLDCIRHCPLNPAERCIFGFHSTSAKCPPSVVLCGGMWERLFPHHPALVLL PTPYFYVPILREVLLWLGCVEATETVAHDALNNGLSLLYYPFNVRIENEVTVGGAPNA MSLAFDSESQPSLALVKLAMMHGVSLIPVLALCTPANSERRLRWMPLLPAWLEHAVAW MSSVVLSLGAIGTCKSKATVVFGSPLHVRIAPVGTPGSNHVALG H257_03773 MVLARPPEVTPEKEPRGLPHIYPSGLVSVVEFAVVCAATKCIYA APLALLVAMAWIQHHGFCDKVTPSQGGVQWDALRTHSVWHIMLRHFRLDCIRHCPLNP AERCIFGFHSTSAKCPPSVVLCGGMWERLFPHHPALVLLPTPYFYVPILREVLLWLGC VEATETVAHDALNNGLSLLYYPFNVRIENEVTVGGAPNAMSLAFDSESQPSLALVKLA MMHGVSLIPVLALCTPANSERRLRWMPLLPAWLEHAVAWMSSVVLSLGAIGTCKSKAT VVFGSPLHVRIAPVGTPGSNHVALG H257_03773 MVLARPPEVTPEKEPRGLPHIYPSGLVSVVEFAVVCAATKCIYA APLALLVAMAWIQHHGFWYVNAAVVVAYLPTYFNGASDKVTPSQGGVQWDALRTHSVW HIMLRHFRLDCIRHCPLNPAERCIFGFHSTSAKCPPSVVLCGGMWERLFPHHPALVLL PTPYFYVPILREVLLWLGCVEATETVAHDALNNGLSLLYYPFNVRIENEVTVGGAPNA MSLAFDSEVGRHSVDMLSVMI H257_03773 MHLCGSVGPTRRHGLDTTSWLLVTPSQGGVQWDALRTHSVWHIM LRHFRLDCIRHCPLNPAERCIFGFHSTSAKCPPSVVLCGGMWERLFPHHPALVLLPTP YFYVPILREVLLWLGCVEATETVAHDALNNGLSLLYYPFNVRIENEVTVGGAPNAMSL AFDSESQPSLALVKLAMMHGVSLIPVLALCTPANSERRLRWMPLLPAWLEHAVAWMSS VVLSLGAIGTCKSKATVVFGSPLHVERNARPSHEEVQEVYATYSRHLRDLFALP H257_03773 MHLCGSVGPTRRHGLDTTSWLLVTPSQGGVQWDALRTHSVWHIM LRHFRLDCIRHCPLNPAERCIFGFHSTSAKCPPSVVLCGGMWERLFPHHPALVLLPTP YFYVPILREVLLWLGCVEATETVAHDALNNGLSLLYYPFNVRIENEVTVGGAPNAMSL AFDSESQPSLALVKLAMMHGVSLIPVLALCTPANSERRLRWMPLLPAWLEHAVAWMSS VVLSLGAIGTCKSKATVVFGSPLHVERNARPSHEEVQEVYATYSRHLRDLFALP H257_03773 MAWIQHHGFWYVNAAVVVAYLPTYFNGASDKVTPSQGGVQWDAL RTHSVWHIMLRHFRLDCIRHCPLNPAERCIFGFHSTSAKCPPSVVLCGGMWERLFPHH PALVLLPTPYFYVPILREVLLWLGCVEATETVAHDALNNGLSLLYYPFNVRIENEVTV GGAPNAMSLAFDSESQPSLALVKLAMMHGVSLIPVLALCTPANSERRLRWMPLLPAWL EHAVAWMSSVVLSLGAIGTCKSKATVVFGSPLHVERNARPSHEEVQEVYATYSRHLRD LFALP H257_03773 MAWIQHHGFCDKVTPSQGGVQWDALRTHSVWHIMLRHFRLDCIR HCPLNPAERCIFGFHSTSAKCPPSVVLCGGMWERLFPHHPALVLLPTPYFYVPILREV LLWLGCVEATETVAHDALNNGLSLLYYPFNVRIENEVTVGGAPNAMSLAFDSESQPSL ALVKLAMMHGVSLIPVLALCTPANSERRLRWMPLLPAWLEHAVAWMSSVVLSLGAIGT CKSKATVVFGSPLHVERNARPSHEEVQEVYATYSRHLRDLFALP H257_03773 MAWIQHHGFWYVNAAVVVAYLPTYFNGASDKVTPSQGGVQWDAL RTHSVWHIMLRHFRLDCIRHCPLNPAERCIFGFHSTSAKCPPSVVLCGGMWERLFPHH PALVLLPTPYFYVPILREVLLWLGCVEATETVAHDALNNGLSLLYYPFNVRIENEVTV GGAPNAMSLAFDSESQPSLALVKLAMMHGVSLIPVLALCTPANSERRLRWMPLLPAWL EHAVAWMSSVVLSLGAIGTCKSKATVVFGSPLHVRIAPVGTPGSNHVALG H257_03773 MAWIQHHGFCDKVTPSQGGVQWDALRTHSVWHIMLRHFRLDCIR HCPLNPAERCIFGFHSTSAKCPPSVVLCGGMWERLFPHHPALVLLPTPYFYVPILREV LLWLGCVEATETVAHDALNNGLSLLYYPFNVRIENEVTVGGAPNAMSLAFDSESQPSL ALVKLAMMHGVSLIPVLALCTPANSERRLRWMPLLPAWLEHAVAWMSSVVLSLGAIGT CKSKATVVFGSPLHVRIAPVGTPGSNHVALG H257_03774 MGHFSTIKNMPPAILYFDWLSRDGFLRLIGLADIESRDRGDDSH KYSNLVSNMREVKKSGSAVSKPAAAAASKDDGVVRLHHPDPSFCDTLPSFLFNALELA ISIIAIHYLVWPVFTGVFLYYIHTLGYTYVSVALILAYVPTFLNNAHMKLTPKEGGMQ WDWLRQHSAWKFMCSYTKLEIVREAELDPTKQYVFAYHPHGILILSRISTYAGNFEAL FPGIVARALGATPMFYIPMAREICLWLAAVDASRKNAERILKDKMSVIVYPGGSKEIF LTDPKSKQTTLVLNKRLGFIRLAVRYGADIVPTFVFGEKWMYNIWNPPKSVRHFFLST LKVPLLLFWGRGFTWLPKRLTGKRKFGVVHGKPIPVVQNENPTEEELVKIHTLYVEEL NDLFLRYKAQFGYDDDETLVIQ H257_03774 MGHFSTIKNMPPAILYFDWLSRDGFLRLIGLADIESRDRGDDSH KYSNLVSNMREVKKSGSAVSKPAAAAASKDDGVVRLHHPDPSFCDTLPSFLFNALELA ISIIAIHYLVWPVFTGVFLYYIHTLGYTYVSVALILAYVPTFLNNAHMKLTPKEGGMQ WDWLRQHSAWKFMCSYTKLEIVREAELDPTKQYVFAYHPHGILILSRISTYAGNFEAL FPGIVARALGATPMFYIPMAREICLWLAAVDASRKNAERILKDKMSVIVYPGGSKEIF LTDPKSKQTTLVLNKRLGFIRLAVRYGADIVPTFVFGEKWMYNIWNPPKSVRHFFLST LKVPLLLFWGRGFTWLPKRLTGKRKFGVVHGKPIPVHFRCIYMYHLSKPMNDGGDDNI GACL H257_03775 MDTALPTPRPKDPARNMTDEAPRTVRHVYMHESGGAYMARKDDD MTTETTTSQAANDSAREFLESFWSAPPQPDPYVAGPPPSRLDCAPPPAPSLSAAYFVG LPAAIDETKEPGLLPTPRHTAPDPRRSSPAFTSVPTHDDGRWTGLETRPRSSTRFHTP SVSPQPRETTKPADPRIKPADPRSQPVVPGTAKLPDLRLRLARPLNSSINPRSSSRET SLPRNKRTPKAMSPPLCAIQTTPQVESLKPRDPRIKAAPIVHSTTAARTPAAAPVGVT TAAPRDPRRLAQVQLELKPSGSAPLSPLESLVVTPQVEPAVPRDPRVKAFAHTSTPVH DRTHVAAAVATATAPPRDPRLVAQAAPRDPRLVAQVATPQVEPAIPRDPRVKAATVVH NSTPMDDGTIGEAAIATATPRDSRRVAQEATPQVDPTTSRDPRVKAATAVHSTLHVQD RSLAAAPAAVATQVGPTKPMDPRVKAAANTALSSLPVQGHSITAPPVATTTPRDPRLV AQAQRELTPAQSSPLRPSVSQVETVEATQPRDPRAKAVAVVDSSTILESRTVAAGPQA VATTATSRDPRLLAEEQHEPKPSDSSPLSQQVESPQPAEPTKAIDPRVKPAILVHSTP PVGQDRTAKATPNDPRLLAPRAQDDLKPSFEAKGATTLSELSKPPVDPRVKKATVVPP VGKLGSSSPSAVAKSSYSTHETQTQQELKPSNSSPLLRPSQFEGSLTTARLTEPAKPL DPRVNSVSNVHSTLPEEAQTIAAAPVAVVTPRDPRLRAAQAPNEQTPTESSPLCPHPF DKPSTALVVQSSTPAKAPTIADPIAEARNGSTLEAHADLKSSPRHPIQSEEGPVATHH VEPVDATDRQMMTPATLVSSPLPDENRAPVDVVTATPLVAQDYELTSDQTLAEGLVAA ATVPPSDLASTFQAQTHDEMNNSAESSPSRGLHLETKLATPTPINAHDPRVTPPSASI GYTSLSADTQTSPVDTSESLRLAPHEVQSADEEGKPSQSSQLQSPCEVATLPPEPTNL LDRLPIASAPFDPRNRLLPATAVAASFPRTNQLILDTSAPVGVPSPDVGDTTTTQSDV LQHQRHPLALGLIKCELPDVARAQGVAQNPPRDHGMSPATTTAHLASASAAIVGHTPD DGASDNDTELPPLKRRAPSPSLKCEVIAPTMPRPAVFELEDSDDDDLQIVQVVAPSGK KRRLSRSTAAIDLTFDSDDSDAQPITSVLPRRGFHSDAQVVDLT H257_03775 MDDGTIGEAAIATATPRDSRRVAQEATPQVDPTTSRDPRVKAAT AVHSTLHVQDRSLAAAPAAVATQVGPTKPMDPRVKAAANTALSSLPVQGHSITAPPVA TTTPRDPRLVAQAQRELTPAQSSPLRPSVSQVETVEATQPRDPRAKAVAVVDSSTILE SRTVAAGPQAVATTATSRDPRLLAEEQHEPKPSDSSPLSQQVESPQPAEPTKAIDPRV KPAILVHSTPPVGQDRTAKATPNDPRLLAPRAQDDLKPSFEAKGATTLSELSKPPVDP RVKKATVVPPVGKLGSSSPSAVAKSSYSTHETQTQQELKPSNSSPLLRPSQFEGSLTT ARLTEPAKPLDPRVNSVSNVHSTLPEEAQTIAAAPVAVVTPRDPRLRAAQAPNEQTPT ESSPLCPHPFDKPSTALVVQSSTPAKAPTIADPIAEARNGSTLEAHADLKSSPRHPIQ SEEGPVATHHVEPVDATDRQMMTPATLVSSPLPDENRAPVDVVTATPLVAQDYELTSD QTLAEGLVAAATVPPSDLASTFQAQTHDEMNNSAESSPSRGLHLETKLATPTPINAHD PRVTPPSASIGYTSLSADTQTSPVDTSESLRLAPHEVQSADEEGKPSQSSQLQSPCEV ATLPPEPTNLLDRLPIASAPFDPRNRLLPATAVAASFPRTNQLILDTSAPVGVPSPDV GDTTTTQSDVLQHQRHPLALGLIKCELPDVARAQGVAQNPPRDHGMSPATTTAHLASA SAAIVGHTPDDGASDNDTELPPLKRRAPSPSLKCEVIAPTMPRPAVFELEDSDDDDLQ IVQVVAPSGKKRRLSRSTAAIDLTFDSDDSDAQPITSVLPRRGFHSDAQVVDLT H257_03775 MDDGTIGEAAIATATPRDSRRVAQEATPQVDPTTSRDPRVKAAT AVHSTLHVQDRSLAAAPAAVATQVGPTKPMDPRVKAAANTALSSLPVQGHSITAPPVA TTTPRDPRLVAQAQRELTPAQSSPLRPSVSQVETVEATQPRDPRAKAVAVVDSSTILE SRTVAAGPQAVATTATSRDPRLLAEEQHEPKPSDSSPLSQQVESPQPAEPTKAIDPRV KPAILVHSTPPVGQDRTAKATPNDPRLLAPRAQDDLKPSFEAKGATTLSELSKPPVDP RVKKATVVPPVGKLGSSSPSAVAKSSYSTHETQTQQELKPSNSSPLLRPSQFEGSLTT ARLTEPAKPLDPRVNSVSNVHSTLPEEAQTIAAAPVAVVTPRDPRLRAAQAPNEQTPT ESSPLCPHPFDKPSTALVVQSSTPAKAPTIADPIAEARNGSTLEAHADLKSSPRHPIQ SEEGPVATHHVEPVDATDRQMMTPATLVSSPLPDENRAPVDVVTATPLVAQDYELTSD QTLAEGLVAAATVPPSDLASTFQAQTHDEMNNSAESSPSRGLHLETKLATPTPINAHD PRVTPPSASIGYTSLSADTQTSPVDTSESLRLAPHEVQSADEEGKPSQSSQLQSPCEV ATLPPEPTNLLDRLPIASAPFDPRNRLLPATAVAASFPRTNQLILDTSAPVGVPSPDV GDTTTTQSDVLQHQRHPLALGLIKCELPDVARAQGVAQNPPRDHGMSPATTTAHLASA SAAIVGHTPDDGASDNDTELPPLKRRAPSPSLKCEVIAPTMPRPAVFELEDSDDDDLQ IVQVVAPSGKKRRLSRSTAAIDLTFDSDDSDAQPITSVLPRRGFHSDAQVVDLT H257_03776 MERRGDVRWPSWLSCHGGGVVVVWLLGPYWAKDLDGGSSRWLLC GGCLPRKLGWVYAIDTWLASSICKMSSSVSKMRPSVPDIVMMTSCISLIANHLTDVPR RSMMGLSVCSGKNSIADCGTTVLTVSSSSRFVGKSLLKSASFCMIRFTAFREDDSDPC TSRRRRVVPRGKSSTGVSWKRAPETYAICFSTAPPFAMSIVANVLGTNTYVLPLSPTS GPTFVVVLQPVVA H257_03777 MQAALIHACKYGNVNDLRRCIEVEGANVDGEGDDWSPLMWCAYY GHVDAAKALIEHDARVDVVFDGKDAMDCARDNNQMAFVTFLSSLPSKKPLVVRHKDTM PTISSDVLLHACKTGNVDDARVCLEEGIDVRGKGDDWSPLMWAAYYGHIDVAATLLDH GADIGYVFVHDGMTATECAKANNQMEFVSFLKKQAKAGRHVRFTSSTVDRPSQPLLPS SCGPAGCCIII H257_03779 MGEAPSWQHMWGARVKMPCDMEDDVLEDVIKSVTQRLGQFDTEE WEKKGLQVCDDIRDHLDKRWDPHWVVCIGRNFGSYVTHVTRHFVYFYYNEKAILVYKA G H257_03778 MPYTNVSTLDPDDEGNEPWRVTLLRALIHGDYDIMVRDVTSNVA SIAQKLTTHMSRGKLEWETVEWWQLQDATPLFVAAVFGHPKLVRWLLKHGAERSTTCY LGQTALDLCGEHATDAAAVDECRRLLKEPPKIPDPPGKPSFQCHITTDHVFRHIQVAE ESDKGVLRLVEKRVPHVVRKCVASLRWTTPLSNGKMIEKYEARYRTHVPGDKSMVRGW RSQTTAHSRLQDAQGCTVDGLQLGTTYEVQCRAQNAVGRGDWCAIELLITPSVERGGG DSEENESDDTNHGDGS H257_03780 MQMLGATPGLPMPRQRRWLFLLVVLLCACNTHGEATATSSPISS SPPRKPHTTKIATTTTTQVPATTRTTSSPTTTPPLVTTKTIATPAETLLGPLALDNLA ASTTTVSPTHAGLDQLEEQLHLMEELEASVVAGLNNMDSLLSSGKKPGSVLNSRLKSN LMEVRLNLHKLAANLNLTLNQLDSVEKDTEVKEKQLEEVLQKQAADENAHELEARGAD AVDYESGRLKNTSNMVNLSDAQRQQFEKVKNQADPAVLHYDFGLLGQIALLFGVSAVG GILSTSINLPPTVGYLVGGAVVGPSGLGLVHHFKEVETISLFGTIFLLFAHGAEYSVH RSTDEVFKLYLVSGMVYVACTIVCVSFLAVMLGWTISLSEGIIVGVGVCFTSTAPLSE YIRTYNIRHTSFGKLVSAIIAIQDILMSFVMATPDWFAAKPKGTWVSVAVCKTFVAYA VVVLFTMGMHRYVVPSLLEFLVSMEKLHHSPLVLLGIVSVCLFMSLFTESVGLSLECG AFFAGLAFQGASNLKATLSSIRVLDNLFGSMFFACIGMILNPAFLLRNCGTVCGMMLC VCTIKAAMSLCQVGEVALIFMIKAHATQLVSRSLYLQFLAATSVFLGLAPLLHRNLNE LNTFHFASVVKKKSEFDSHDDDDDDGDSALLTVLPSHKRHNVEH H257_03780 MQMLGATPGLPMPRQRRWLFLLVVLLCACNTHGEATATSSPISS SPPRKPHTTKIATTTTTQVPATTRTTSSPTTTPPLVTTKTIATPAETLLGPLALDNLA ASTTTVSPTHAGLDQLEEQLHLMEELEASVVAGLNNMDSLLSSGKKPGSVLNSRLKSN LMEVRLNLHKLAANLNLTLNQLDSVEKDTEVKEKQLEEVLQKQAADENAHELEARGAD AVDYESGRLKNTSNMVNLSDAQRQQFEKVKNQADPAVLHYDFGLLGQIALLFGVSAVG GILSTSINLPPTVGYLVGGAVVGPSGLGLVHHFKEVETISLFGTIFLLFAHGAEYSVH RSTDEVFKLYLVSGMVYVACTIVCVSFLAVMLGWTISLSEGIIVGVGVCFTSTAPLSE YIRTYNIRHTSFGKLVSAIIAIQDILMSFVMATPDWFAAKPKGTWVSVAVCKTFVAYA VVVLFTMGMHRYVVPSLLEFLVSMEKLHHSPLVLLGIVSVCLFMSLFTESVGLSLECG AFFAGLAFQGASNLKATLSSIRVLDNLFGSMFFACIGMILNPAFLLRNCGTVCGMMLC VCTIKVTFVTSIMWFFRIPLQKSIKAAMSLCQVGEVALIFMIKAHATQLVSRSLYLQF LAATSVFLGLAPLLHRNLNELNTFHFASVVKKKSEFDSHDDDDDDGDSALLTVLPSHK RHNVEH H257_03780 MQMLGATPGLPMPRQRRWLFLLVVLLCACNTHGEATATSSPISS SPPRKPHTTKIATTTTTQVPATTRTTSSPTTTPPLVTTKTIATPAETLLGPLALDNLA ASTTTVSPTHAGLDQLEEQLHLMEELEASVVAGLNNMDSLLSSGKKPGSVLNSRLKSN LMEVRLNLHKLAANLNLTLNQLDSVEKDTEVKEKQLEEVLQKQAADENAHELEARGAD AVDYESGRLKNTSNMVNLSDAQRQQFEKVKNQADPAVLHYDFGLLGQIALLFGVSAVG GILSTSINLPPTVGYLVGGAVVGPSGLGLVHHFKEVETISLFGTIFLLFAHGAEYSVH RSTDEVFKLYLVSGMVYVACTIVCVSFLAVMLGWTISLSEGIIVGVGVCFTSTAPLSE YIRTYNIRHTSFGKLVSAIIAIQDILMSFVMATPDWFAAKPKGTWVSVAVCKTFVAYA VVVLFTMGMHRYVVPSLLEFLVSMEKLHHSPLVLLGIVSVCLFMSLFTESVGLSLECG AFFAGLAFQGASNLKATLSSIRVLDNLFGSMFFACIGMILNPTNHAKFGSL H257_03781 MARKQKGAAIKRKAVAEVEVAPVEEVVEATAEEAHTESDEEGPA GSGAENDVVGEGDDDLGEDDHNEDAPGSDDGENGEDNDDNVPDLRESKKDKKNQDGEK KGVLYMSRVPPFMKPDQVRRLLSEYGAIGRIYLVEEDKTARKRRLKSGGNRQVNFTEG WIEFKFKKDAKRVAKALNTTPIGGKKRSKYHDDMWNLKYLKGFQWTHLTEKVAYENRV RDQKLRLEVAQAAKENAAFLDRVDQAKKLEKMVERKHALHPETASVPEHIRRTFRQNE TVDKAAHGSLDGTNDVLGKVFKKRKTQ H257_03782 MSSTAAKDDSTSPTSADKSPPSSWRIFLLVGLVAAAVVGGFQWY TSTTTSSSSRVLLESIDGPKTVTVYDNGQSSGGVQVTLFPSHVPSGHALAAYLSEFIV VDGIQLATLPPTPVVADRVYTGHGMLVSSFDDIVHGDRLYLVAPGLLFVWPFVTLGHR VHLTAKLSPTTKPIVLESFSDSPRVFHVHNFFTDAEADALVDRILTIDDDLDKLQQSH VGHVSGAKKVSRHRTSENAFDQVSDTAVSIRKRSFDLLRVHPYQDDMSDGLQLLRYTQ KQAYIAHTDYFSTHTSSDWNWNPKAGGSNRFATVFLYLSNVTAGGQTVFPLADMPPGH DHPPMSPDVQELAQSLFEDNSWEKDMVTKCSTKLASYPRKTHAVLFYSQKPNGELDPQ SLHGGCPVLEGTKWAANLWVWNKRRYGLDQARTDKLNVRFVNPSSVAVDLAWKESKMA TIPAGHSVPYSSFHGHVWSFRQAGTDTVLHTHTLSVDDGVDQTISLPTSTSGAVGDEL H257_03782 MSSTAAKDDSTSPTSADKSPPSSWRIFLLVGLVAAAVVGGFQWY TSTTTSSSSRVLLESIDGPKTVTVYDNGQSSGGVQVTLFPSHVPSGHALAAYLSEFIV VDGIQLATLPPTPVVADRVYTGHGMLVSSFDDIVHGDRLYLVAPGLLFVWPFVTLGHR VHLTAKLSPTTKPIVLESFSDSPRVFHVHNFFTDAEADALVDRILTIDDDLDKLQQSH VGHVSGAKKVSRHRTSENAFDQVSDTAVSIRKRSFDLLRVHPYQDDMSDGLQLLRYTQ KQAYIAHTDYFSTHTSSDWNWNPKAGGSNRFATVFLYLSNVTAGGQTVFPLADMPPGH DHPPMSPDVQELAQSLFEDNSWEKDMVTKCSTKLASYPRKTHAVLFYSQKPNGELDPQ SLHGGCPVLEGTKWAANLWVWNKRRYGLDQARTDKLNVRFVNPSSVAVDLAWKESKMA TIPAGHSVPYSSFHGHVWVEYIIAYCVTLNHHRIFF H257_03782 MSSTAAKDDSTSPTSADKSPPSSWRIFLLVGLVAAAVVGGFQWY TSTTTSSSSRVLLESIDGPKTVTVYDNGQSSGGVQVTLFPSHVPSGHALAAYLSEFIV VDGIQLATLPPTPVVADRVYTGHGMLVSSFDDIVHGDRLYLVAPGLLFVWPFVTLGHR VHLTAKLSPTTKPIVLESFSDSPRVFHVHNFFTDAEADALVDRILTIDDDLDKLQQSH VGHVSGAKKVSRHRTSENAFDQVSDTAVSIRKRSFDLLRVHPYQDDMSDGLQLLRYTQ KQAYIAHTDYFSTHTSSDWNWNPKAGGSNRFATVFLYLSNVTAGGQTVFPLADMPPGH DHPPMSPDVQELAQSLFEDNSWEKDMVTKCSTKLASYPRKTHAVLFYSQKPNGELDPQ SLHGGCPVLEGTKWYDTL H257_03782 MSSTAAKDDSTSPTSADKSPPSSWRIFLLVGLVAAAVVGGFQWY TSTTTSSSSRVLLESIDGPKTVTVYDNGQSSGGVQVTLFPSHVPSGHALAAYLSEFIV VDGIQLATLPPTPVVADRVYTGHGMLVSSFDDIVHGDRLYLVAPGLLFVWPFVTLGHR VHLTAKLSPTTKPIVLESFSDSPRVFHVHNFFTDAEADALVDRILTIDDDLDKLQQSH VGHVSGAKKVSRHRTSENAFDQVSDTAVSIRKRSFDLLRVHPYQDDMSDGLQLLRYTQ KQAYIAHTDYFSTHTSSDWNWNPKAGGSNRFATVFLYLSNVTAGGQTVFPLADMPPGH DHPPMSPDVQELAQSLFEDNSWEKDMVTKCSTKLASYPRKTHAVLFYSQKPNGYQVPY EIDRTHP H257_03782 MSSTAAKDDSTSPTSADKSPPSSWRIFLLVGLVAAAVVGGFQWY TSTTTSSSSRVLLESIDGPKTVTVYDNGQSSGGVQVTLFPSHVPSGHALAAYLSEFIV VDGIQLATLPPTPVVADRVYTGHGMLVSSFDDIVHGDRLYLVAPGLLFVWPFVTLGHR VHLTAKLSPTTKPIVLESFSDSPRVFHVHNFFTDAEADALVDRILTIDDDLDKLQQSH VGHVSGAKKVSRHRTSENAFDQVSDTAVSIRKRSFDLLRVHPYQDDMSDGLQLLRYTQ KQAYIAHTDYFSTHTSSDWNWNPKAGGSNRFATVFLYLSNVTAGGQTVFPLADMPPGH DHPPMSPDVQELAQSLFEDNSWEKDMVTKCSTKLASYPRKTHAVLFYSQKPNGYQVPY EIDRTHP H257_03783 MLTSAQIEEFRRNGVLVVPNVLNEAEIQAAREGLHADLKSYGVD HDNLGDTAHNLRNLSSTGGAGGILDLFYPSWRLSVAEHEGVFAVISDLWDATYANATD PADLFYHPFDRFPGKQGFMYINRVCYRVPDAISTMPLDQEGVSRKKKKSLQRSLTPHI DCCPTNLYESGKVFPRWRPIQCITVLTPNLDPSTGGFEAVAGFHREFSSYFKGTSAAD TGRPPVCLGDFSPLRMQEDKAVIARYKHVPADAGSVILFDWRIPHANSYRHVGNIPRE VVYTGFLPNVPMNRTYAVEQLRRYLARLLPADHWQKDTTDKAVDETFSKHEFTALGRK LMGLDPWPEHSPM H257_03784 MNDPDDCCCGFIPCLCVTEAQVQQAKRLDDLRTMFRTTTSACDI CLMLPCLCDGDALEEEPMVAPSAPAKKVVPTDPYGRVELVCSDGATATDAWIASELDD VLKPHQRDGIKFLLQHVSKDQGCILADYMGLGKTIQLISTIHSFLVDGLSRDTRPTAL VLCPTVCILNWVQEFHKWLVPSSLERCPIYQMDTTNSYKSNTASRIQILEDWKASGGV LIMGYEMFRLLLNPSRVVHDPVIEVVSRVGTGVLDVSEKKQGLIDRQLRQLTSLLCSP GPDLVALDEGHRIKDPSSILCATLENVATRKRIVLTGYPLQNSLAEYWCMVNFCRPGF LASYDEFRQTYERPIMEGDVAKSTQLTALLAPVVLRRGRDLLNAHLPVKKEWIVHCQL SPLQHTMYIDFLDRDRHQNKHWDLFTTYATLLQIVNHPDVVHRRMQLLCDKGPDDDHD EDDDVDVAIVDDWRPVLSSTAKPKPKKRKRGIDADARAAMAWAEPSLPTTYVPGDAAH SGKMVVLLQLIRESQQAGDKVVVFSQSVTTLQCVGHFLDTTQDEEEASVNEGTATTTV KPRRRCRRHPTAKKNPTADTSRNKHQRGYLVIDGSLSSSKRMEHINTFSDAKSKVNVL LVSTRAGAEGINLHAANRLVLFDVSWNPSHDHQSMCRSHRIGQTKDVHVYRFVSHDTM EEKIYHQQVKKVGLSSNVVDANMYSHDGAGMATTSFFAAPQAPATADDMCKPHEPSGD AVLDKCLEQVGQWVPKYFEAVAAPTLADTE H257_03784 MNDPDDCCCGFIPCLCVTEAQVQQAKRLDDLRTMFRTTTSACDI CLMLPCLCDGDALEEEPMVAPSAPAKKVVPTDPYGRVELVCSDGATATDAWIASELDD VLKPHQRDGIKFLLQHVSKDQGCILADYMGLGKTIQLISTIHSFLVDGLSRDTRPTAL VLCPTVCILNWVQEFHKWLVPSSLERCPIYQMDTTNSYKSNTASRIQILEDWKASGGV LIMGYEMFRLLLNPSRVVHDPVIEVVSRVGTGVLDVSEKKQGLIDRQLRQLTSLLCSP GPDLVALDEGHRIKDPSSILCATLENVATRKRIVLTGYPLQNSLAEYWCMVNFCRPGF LASYDEFRQTYERPIMEGDVAKSTQLTALLAPVVLRRGRDLLNAHLPVKKEWIVHCQL SPLQHTMYIDFLDRDRHQNKHWDLFTTYATLLQIVNHPDVVHRRMQLLCDKGPDDDHD EDDDVDVAIVDDWRPVLSSTAKPKPKKRKRGIDADARAAMAWAEPSLPTTYVPGDAAH SGKMVVLLQLIRESQQAGDKVVVFSQSVTTLQCVGHFLDTTQDEEEASVNEGTATTTV KPRRRCRRHPTAKKNPTADTSRNKHQRGYLVIDGSLSSSKRMEHINTFSDAKSKVNVL LVSTRAGAEGINLHAANRLVLFDVSWNPSHDHQVRILVVKWT H257_03785 MQPPCSVPSPRPLRLVRSPSDCTERKKMAAKRYKVVLLGEGRVG KTSILVRYIKNEYDDRQVSTLQASYLDKKLSVDNNNVQLSIWDTAGQERFHALGPIYY RDADGALLVYDITDEESFQKVKTWVKELRRIVGDDIDITIAGNKIDLHRNRKVTEADA VKYAQSVNATHFHTSAKLNKGLDDVFVDLSKRMLARGGSRSKKNKQSALIADDEPVAA PSYGPGSTTDATSRTRDGGRNTIQIVDDGRGSTGRGAATGGAAAAAGGGSNSSNSKKG GCC H257_03786 MTAPLTAQKLSSGCTRAQRCIGIKTSADGKLVFEAQGNCVVVRS TKTGEVVHHLRSASPPSDPTTNAHAEKVRLRYRNQYIRAHFETQYKNPHPDDDAATVA AHKAVWIQRQVDKFVIPADFLSHVTAFALHPTKAGQLLVATADHMLRVWDIAQGSVLE SFTLDSPAVWLHASPVDPSLLLLVLNETARLDRMHAVALSKETKEAKKNDGAAPAIPD DLTAEEKKAALKQRKKDEHRPKWMLNLHGLETTHWKLVSYNLSKGAVEEEHLQRKFMP FYGAHMQQRSIPSDYIAAVAVIASSQLFYLRVGVKNTGDVAPRVVTVNRFEHVRQLSC VTVHPSSDEVITGDSMGQMQVWRHLDTAGKGLQPSKLHWHAHRVGCVAYSRDGSYVAS GGEEFVLVLWHLESGRRQYMPRLSAALSGIATKADGSGYLVACQDNSILSFNPVTNSH EWQKGGLGRVGLSASKTLVGRRMVVEPWANTLAVQAKSLVGHIQFYNPLQDRVVATLA LTQRNQVSRTDDEAPIRTYASQICFAPAARTMATVTTTLDECVLRFWSRKSDGTFAMH TDVDMPHGDHVVTATASHGGVVVTADDHGEFRLWHDPTSNGWTCRSLAQFRQVSIGAV AFSHDGSLLAVAYGALLTLWDPVTNVLQSVLSYPNAPIKDIVFAAHSPHVAVRTPSGV YVWSLLTTTVAWFYNLPATCLALSPDSDQLVIAVVTKAKTLDVTTHVLAFDIASPHPT ALHRLPQVDTAAIVFYKKRLVVMDTVSHVYVVGDEHAVVTADAVAPVRLQPESSALQK MYGPSLAPAAVSQANDNDSHIGGEVRSRDKGLFDAPAHVLPPLRSLYRSFLDTILLAK DEGTNGSSGREGSRVRKLDESSSVPAAKKHKDGALVLREEKPEDTYAALKKVFSKK H257_03787 MMGAYVAKLMHMMDSFATKKRRILLLGLDAAGKTTILYKLKLHE TIHTLPTIGFNVEIFQYNNVQFTAWDIGGQEKLRGLWHHYYKNTDAVIFVVDSNDTLR LQQASDELHHMFQEIELQNAKLLVYANKQDLPGAMTTSDIADKMQVESVTSHGYYIQP CIALTGKGLYEGLEWLSKELV H257_03788 MESVQVRCECISDVAAVDGTSERSEKREFQLAQWQQAVGARLPH GGFNVFPHWFPSIVGVEYIDVEAVLVPFVVANAVVLAPEQQVLALGLVHADNHAPIGD DQVFETSCPGTHLQVHRVVSKDHHITKHLPHDCHDFVLKSGGWRRVAVVVGGCKVGQV AKVEKDHFAGMHVKPVLDRH H257_03789 MDAGVRYFDIDSCAFDEKGKRVAPYVCHGQVKLLAQWYQSTETG LTLIRDWLVANPREVVFLNFGDVNDFTAVNAKKEATSTVQLRDEIASVVRSVFQDMAV LRNDPWDAQIKAGNATLQQLIDANRRVVVSIGKASDPSPTYWGQDDRVCNDVWYDDSL QADWIHNNYKWTPVLDFVETRMRQPCAAQPGVLNKLEFVFHTALGGTIDSHHVGDTLS TYMEALKTENDASPSIRRSPYFPFNLVLTDHSDKWRELYPQWHNNHLTFLGH H257_03790 MKAVMVLAVLTLPGMTATTTLRQASGDMPTDSRVTDGGNNNTME KMLTSTTAVSSTACNGYVGYCNQTLGQVLWIGAHNALTDTGFALQRNQFVSGPALLDA GIRYLDIDTCAFVANAKRTVPMICHGYEWYLAQVHQPTVAGLLPIKQWLDKHPHEVIV LNFGDIADFTAVNIRGIATSTLQLRDELSYVVRQVFGSMAIWRDEPWDAAVNSNKATL GDLIAANRRVVVNVGISRSDSPNAWGQSDRVCREAWYPVALEWNDDHTDYNWAPVVQL VDDTMRSPCATNPQLLNKLEFQFHNALGGSIDAASVGVALNVYMESLVRRNGPIDKAP YFPFNLILTDHADKWKSYYPNWHRRHLKYLER H257_03791 MESSVRYGGDGWTSTTMMMTPVARSVAGEVLWAPMPGESWVRNG PGWLVLAAVVVTTLEGAKSSLTWPPLGRAGWRWWWSTQRTCRLVAAVSMPCPMECVDT TEERTDEHARMRVMLLPSLAVLQGLRPFLTIESRRQWRAWHRCCAGSGTRWMVAQQEL EHANRWVVEWSMSKVFEVQDSGTVWLDSRPC H257_03792 MNKFTGAESARLLSVLTEAVEKLTLLSHLPYINDSDDTRADVAL AQSALLHAFPPPSSASGLYDQQQPSATTVLSQLFAQEDVLLQATDGGKLDLMHDGGGD GGSVAFREAMALARSFCRVVRHDASAHAVLTTVDSHRGSSGGPAQSRNTSSSPNHTGH IIHTHKSTSTNHHRSGLVALSGYVAAVRDQAAGTLSTSVEQDEATRQMMGDMETRIRE AENDYKQMTVDVRAQREMRDVDVRRNAHKIHALTNELHDIEQGADQAATLIDQDAKQA EFSLLNGYEGNVTQCRDESDLLSVRCTKSKDEHHVQEDGHRKRKLKAAVEVSNQIEQY DHVLGALQAQIDAARAAMATEVAEVSRLSAVFHRLDENYRLAAADHARWDAEEKERLA KEAAFVKMVARIQASYRGYMARKALRERKKKGTKKKGTKKKAVTKKKKTKA H257_03792 MNKFTGAESARLLSVLTEAVEKLTLLSHLPYINDSDDTRADVAL AQSALLHAFPPPSSASGLYDQQQPSATTVLSQLFAQEDVLLQATDGGKLDLMHDGGGD GGSVAFREAMALARSFCRVVRHDASAHAVLTTVDSHRGSSGGPAQSRNTSSSPNHTGH IIHTHKSTSTNHHRSGLVALSGYVAAVRDQAAGTLSTSVEQDEATRQMMGDMETRIRE AENDYKQMTVDVRAQREMRDVDVRRNAHKIHALTNELHDIEQGADQAATLIDQDAKQA EFSLLNGYEGNVRYTIRIIGEKDRWRLVLIRGLHAGYPMPRRVGLVECPMHQEQGRTP RARRWPPEAQVESSGGSEQPDRTVRPRARCVASSNRCGQGGDGDRSG H257_03793 MIFIRIKSTQTKGTMVAVEAAPPTANGAAAGVAPAAEEQGGMGW FSLLRMVVLWYGINKVTSYLWTGSFNGAQPADQPNQSPQRQQPVAIHPGSSPQLDLGD DDVPESPVVSQLGSGLESFDPNFNPFRKFAAISPSGKRLPAHTNLFPLGVSLDLLVYV TESVEFDFDDASTAAAVWSEPGLTYDYVDADPERKTQLNVTVSDRVLNHNATLYAHAF FTRSSHSPNPRHANFDSTATVYRQVELITYRPRAKKTKRRNLLHAAAAEVDDSDDQQA DDLVTTYVAHWKPSLIVNLVCDHTSYARGTAPLPFIAPHMQVDPISGQYLPILYLNDF WVLEDHLIRVNQSTTTLSLEVTYYPMSLIKFGLYQQMTQNFRNQQTMGTGTKKDADAI KKLFIETNPYLLAVTVVVSILHTVFDMLAFKNDVSFWRKQKSMEGLSVRTVVLNAFFH LVIFLYLLDNDTSWMILFSSGLGVVLDVWKIHKAVKVTRDASSGKWSVQGEATYDSST AEHDRVAVAHVSYVMYPLLVGYAAYQLGFSEHKSWYSWVLSSLTSFVYAFGFIMMTPQ LYINYKLQSVAHLPWRAMVYKSLNTFIDDLFAFVITMPMMHRLACFRDDVIFFVYLYQ RWIYRVDKTRVNEFGQGGGDDGDQAPELLPAAAIANANAHEESETVAAVATPSPTPEP PSGPRRRSKLDKST H257_03794 MTRSSVVLTLLGGLGAGIGLGYVAASRLVAKKVAQKVLTKPRLY IYDHCPFCVRVRMIFALKEVDVELVFLANHDEDTPIGLVGSKVVPILETPDGVVMPES MDIVRYVDAHYGGAPILAEADPTREDLKKWIHDSADVMRRLYHPRFQAGYFAEFAQST SRAYYKAKKEKSIGSFAVAIANSPAYIDALNGYLLDLEGLLKTPQSVNGTLSYDDIDL FGRLRGLTIVKGVVWPARVRAYIDHLSTATDVPLLDSIARF H257_03795 MVVPSIKERFPSRSKRVVLQHDNATLHGSIDEDTLAAVSTDGWT FVVRRQPPNSPDLNVRDLGFFASIQALQYKMVSRSMDDVIEATLSAFEVLSSDKLSSI FLTLQAVMCLVMEHHGENNFKLPHLKKHTLRRAGTLMANVTCPASLFFHVNSFVQQSL SR H257_03796 MASSHTVPFKPQLFAVKSVAATVRKIWRDFKSGSMTSKKKGRVG PKPCHTPAEVTEIVRSVPARDRSTMHHMASLTKISVSTLCRHLKSGTINRRSYRLKTL LTDSHKFERLTFSRAHVNIQLDAMNDYISSRARDAASAVESCEPDESPGPAEFDFSDM WDVVHLDENCFNADKDCRNT H257_03797 MSVGGVSKSVVLAVLGSLGVGASVGYRLAASLLTNKAAAVQTTK PRVYIYDHCPFSTRVRAIFALKGVDVEIVFLQNRDEATPIALVGSKVVPILETPDGLI MKESMDIVRYVDTHLGGKPILAESEAASREDLKMWLQDSADVMNRLYHPRAEVGYFAE FAEPASRAYYRKKKEPSIGPFELAIANSPAYVETFNQFLVELEGLLRTPKSVNDTLSY DDIDLFGRLRRLTIVKNVVWPPKVRAYIDHYETVTGVSLLDSIAQF H257_03798 MVMRRLHSAVALLAVGLAPTDGFSGDVTAYSDKWQGGNCGFNSV GGDGQSYFAALNAPQWGNKMQCGRCARVACVSPACASRPAIIVAITDQCPECKTGDLD LSIQSFKALTGHDPARYKIDWQFVECPVEFVKGSLEFDVKEGSNAFWWALQPRNFAQP IRSVELKAQGRDWVTLVDPTTNGIDAFFFLDQDKGGLPGGPCQIRTTSTSGEVLVESF DQLPTSGTLYGTKQFTRTSTTVGQENPNPSSTTKSPSSSNPPSASTTSTPTSTRRPDD SIATATQLTATTTLPPITPSSPRPSPSSGYGGGLPHCNPSTVSYELKQGQGGFALQVY ITEASNNDSWTVDATASAVTTSYITGIYEPWNCEWSRTNATLHLKPVSSRRSFGGPNH VGVLGNTTDVLILTSITFSTPSGACSIPSVVGTSPIGFAAAEMSEEGPSAGAIVGVSA ATIGLVAAVVMVIRVRRQAKANTPGFGGMLTPIDFAVSTPVAVL H257_03799 MEYNVTWGDGTLGLTLRAELGDDMPPVVGRITREGSAAALAGVA VGHLLVSVNGVETARRGYTAVVHILKTIPRPCVLRFRVPKSLAIHKNNRHSEGTRANG NSDNRSVRTLYRQASAYGDGRDHSTWSEPFAYPRQQQHASSSPRENRSTSSLLATNLA SPDPTRLSDRTSQGSDHRSSNQRRSGGNSLPPAFPTEQPTMSPSHAGSITSDQVLLLP PPPSSSGRPKREMYTVEWVEGPLGMIFRPDDIDCHIPCIRKITGKGLGSRGIERARVG DILLEINGASTKEIGFRQSISTLKSLEKPAILKFKRMRRRVSRSRDKEAASESGMRTV PPPIMEDQEEPAARIASPAPTPHHPTTAPSPLISSDTTTSSMQHLPLSAKLTSDAGMY DIVWREGELGLKLKPTASDVPMVSRLTGKGSASGLHNAHVGDVLVTVNGKLVDESSYT STLRLLKHTPKPIILRFRPVVRDIHLPHHSMHPGDAASSSSSSSFHKRDQIPLDVAKQ LVATSQGLDIMTDDFAGVSMREIEEGSKEANYLRVAAKAFVAIQADKKRGQKKAELTR AEREAQVLAEALEQLKDQARKYEEKLAKQKTERILLPATADKSKAVIATANSLFAELK SSDKFFSRDSIDFTHVEVNQDHLDKMRDDMLKDLSSITSSAPTLDSALVIPAAAQKCC FQCATVETTLLPFYLDDSDNQWYCETCWVQYYGDPILDPVIVVQEDAAAENDVPSTPE AAEGAVAPVKSGGGSRRPSTREGLSLLKEIEEKQARREAERMIKELEELRRSSSATGS SANTVVNAIASSERLSQPSSTDRMERDKRGKEELARHLQAAEAKAKADGNDALARKLE AQRKRSLVDVTSSSMLLRSTSDGAMSVSSIRDSNTSPTAKSDDEMHVRAYDEDEDTYD DEEYDQDTELLQNREDQNFAMAKELQRMHEVVHQAHRMSMMMLGEEEDEDQVSDFTGL SEDQVNLFKKLALESDHRVSLATQEYFRGASDDSDSDSEGDDDDDGGDGVWI H257_03799 MPPVVGRITREGSAAALAGVAVGHLLVSVNGVETARRGYTAVVH ILKTIPRPCVLRFRVPKSLAIHKNNRHSEGTRANGNSDNRSVRTLYRQASAYGDGRDH STWSEPFAYPRQQQHASSSPRENRSTSSLLATNLASPDPTRLSDRTSQGSDHRSSNQR RSGGNSLPPAFPTEQPTMSPSHAGSITSDQVLLLPPPPSSSGRPKREMYTVEWVEGPL GMIFRPDDIDCHIPCIRKITGKGLGSRGIERARVGDILLEINGASTKEIGFRQSISTL KSLEKPAILKFKRMRRRVSRSRDKEAASESGMRTVPPPIMEDQEEPAARIASPAPTPH HPTTAPSPLISSDTTTSSMQHLPLSAKLTSDAGMYDIVWREGELGLKLKPTASDVPMV SRLTGKGSASGLHNAHVGDVLVTVNGKLVDESSYTSTLRLLKHTPKPIILRFRPVVRD IHLPHHSMHPGDAASSSSSSSFHKRDQIPLDVAKQLVATSQGLDIMTDDFAGVSMREI EEGSKEANYLRVAAKAFVAIQADKKRGQKKAELTRAEREAQVLAEALEQLKDQARKYE EKLAKQKTERILLPATADKSKAVIATANSLFAELKSSDKFFSRDSIDFTHVEVNQDHL DKMRDDMLKDLSSITSSAPTLDSALVIPAAAQKCCFQCATVETTLLPFYLDDSDNQWY CETCWVQYYGDPILDPVIVVQEDAAAENDVPSTPEAAEGAVAPVKSGGGSRRPSTREG LSLLKEIEEKQARREAERMIKELEELRRSSSATGSSANTVVNAIASSERLSQPSSTDR MERDKRGKEELARHLQAAEAKAKADGNDALARKLEAQRKRSLVDVTSSSMLLRSTSDG AMSVSSIRDSNTSPTAKSDDEMHVRAYDEDEDTYDDEEYDQDTELLQNREDQNFAMAK ELQRMHEVVHQAHRMSMMMLGEEEDEDQVSDFTGLSEDQVNLFKKLALESDHRVSLAT QEYFRGASDDSDSDSEGDDDDDGGDGVWI H257_03800 MRSQRGPPLRSPRHSPTSGSNNDEPSSTVLDPALADPIRRQVQS CRKADFHEVLGGTSHTPSVLRRIPLSPRCDVDTAQAFRDAVREDIVLNGVQFVGDHRT EAFVAAVKRIVEKYISTPKKSLHVSDRVMRSCSRTHSGADSYFALQELFGHPDLLIKP RQDPPPPPLDVTLGVDRKGTLKCRICAANLYGLYRHDDIEQEVVYGRTAVVPPFVLVD TVIVEEINFTTDVATRYLSVRSPVPDVDVHSELRELF H257_03801 MGGGVSSPLEPEAPGSLKLFHSLKDEYSDLVTQDIADEVMFETL KQMYVQAVHESDTGTPRALYKRGSWARQNSEKSKKAKRANVFTPGLGLQTVPRVDDVK QPTKSPDVMKLLRDNIQALLFEATTDDEMDKVVAVMTDMHVRAGDVVIQQNDHGDKFY VLEHGSCEFLVNDVHVGDVEAAGHFGELALIYDAPRAATVRATRDCTLWTLGRNEFRT IQAQSSEDSLAKRSHWLRNVPIFSSLSARQLSLLNRAFEVVKFEPDEVIVKQGAMGDA FYIVSAGTVLCTMDGSKRNLHIQATSADEVARLGEGEYFGETSLLNDQPRNCTVRAVG SVKCLRLHRSDFDSMLGPLYAILEMNAFKRILRMFDIFKALVDEEMEHLTTHFEIVEF GCDTVIFTTGDVAEYFYIVRTGSVSLHPGADGSSSVGVDPVTLNVKDYFGAEVFQGTT YHSTVRTGDEITSCFRLSKTFVNQDLASGRNCCLRPESQKAIHVNPLSLLDLSDLVHI GVLGEGSFGRVSMVQAFVDAHEYLLALKCVSKSHVLECHQQEHIMRERSILKDLPYHP FIVQLHATYQDQNYLYMLMELVQGGELFGLLHTNIFDRPLVEEDIKFFAANVYLALEH MHKRDIAYRDLKPENLLFSENGYLKVVDMGFAKKIPFSITDEHGRVEVHARSYTLCGT QEYLAPEFVLNTGHDLAVDYWAFGILIYEMFLGYTPFETPDGDIAKLFKNIAFVRTGA NCVQFPHESTVDYPIACSFIEGLLHGDPTKRLGMGQNGSHEIRNHPWFEGLDWDKLRD QELSVPYLPQLNGRYDTSLFEGDQGIRSSDMDYDGAENYLFDGF H257_03802 MCGIAIVVGADVQEHTTAAVVAVSRRGPDHVGTYERRVASIDRT LVMVSAVLHLRGTQLCEQPVHDRHGNVLLWNGEVFGGASIPIYESDTKYVSHRLASVD DDSVSAEVAATLVVDALSIIQGPFAFAWLHVSTNTLFYGRDGLGRRSLVVHTPDDAKR SYFLLASVALNSQRDGWKEVACTGVFSLDLNMLGALPRLHPWPVRVVSVASPRCLAPL PPSVVALGTSFDTDPFCDHAGMMLAAKGLLQVLSAAVAKRVESIPTQGHADSARVGVL FSGGLDSVVLAALCHLHVLPTEPIDLLAICFDKDHNSPDRRAATASWTELKHLFPTRQ WHFVAIDIASHQVATHQPHMLALMQPCKTHMDFNIGTAFWFLARGEGRLVVEPPTSDP TDLSSSEPPGVVEPLALFESTSGFCPVRTCRPARRPHPGCALNSHLCRPCCTKIHKLA MTLKHTSTHPQQIISAIATLESMGISGDKLQRLLAFVPLDQPRGCRPVTSLKALPSPS DDDTVTLGESYTSPAKVLLVGIGADEQVAGYGRHKHAYVTGGWDGLRAELDKDMRRIW QRNLGRDDRMIADHGREARFPYLDEDVVAYLRSLPLDHVVDFAQPRGVGDKLILRIVA RQLGLKHCTALAKQAIQFGTRIAKQSNERVVGLHPKGTTEFTG H257_03803 MKVALLGLAAAITVVAQDNSYNQQPSQYPQPSSGYGGYSDDTDE PSGYGSKPTRKPSSYHTKKPTSGYGDDNDAPSGYGSKPTRKPSSYHTKKPISGYGDDN DAPSGYGSKPARTKKPSAGYADKDISGYAMLGYGTKAPAGYSKETRTPKPRPTADPKK PFNQFYDNLKLCKAEGDLALCIATSQSRHDCLGDRRVKSCTSQFVTGLCSELATTTTG AYDTGYFKATTDSCVDAALADSLLVYNRMLREVNTALSITTQFDQWTFTAVKLALAST RNHADCVIKSFFNFLSQSAKSKVLRKQDTECLDKALNNDSCEWAADATDGWNLPSFLK RYGDLAFNQAIKYMKQRFGTSHESQLGHVISDLLEAVKDQETQFVEFINTNYDFTKRT TSVQVCTNTLPAHSVGLTKEGVVVGADADKILFHLPLNVGDWSPTDNDLGWTAETNTD WKTTKTDKVAPIVKTCDAFYQATKAAYEKSEAAASEWKPYVLASGKYVIGHRVANSNV ECVKPSVGTAKCAEYDTSADAATAVAALPATPSVTVFAVGDKVDDAYQSFVVAASIRP IPESDKCVGLSGHFIKFLETGETQQIKFSDPTVTFDGTTHTVPSPYCKTASWTEPRSC SSHLTQWEQHVACCKSYETVLAEVQAAWDAKYSRPTSRYSKQAQRVYSEPKSKPERPI AVPDKYDTEDAVYEKVLTSKADTAVSQNGALYSVALTANQVLETKDITSVDAALEAFD VPYWVRWGQHCAQVYKAATSKTPVIAFASKWCEKVKTWLKDGDKQAKIAQVAAFAADK DNKAWLDHATTDAEFIVDGAPLSVPADTDDVHTHSHYLDSSSAFKNLCKTLKRSWELD TVVPIVSTKCFPQSCVLAQLAKCIDVPEKEETGYDYVTKPQPGYNGYRNSYYQRSMVA LETVNTGVLAMAGFVAGAAVVVVAQVMLKARTTGAASSYLLV H257_03804 MCRVALFWAILLALWGDCCHWAKPFTQWTEHVYGKVAGDGVEYS SFQVEKGVSEVQVTFSRSDLRGRVVAPMLYGKLGSIPTLTSYDWNFNGTLDSFWFTES IPNLKTGTYYIALWGGDLPGTINNFGIGNSTNVWYYLDFTSIGCLDPDKAGYSCNIQV ASTAQRVATAYPSQSVVNTTAKQGVPITGCMDYISNLQFFSIEITSPQFSLVTTLSIP QTFARNDVFYWALYDSPANPLDPAAIPLIDGAGYLTDGNATLKQDVPTLGTYWMLVYM DAPPKTSRCFRSTGVAFTLAWQAESCSLTPRADMCHTSWLRMNEARSDPSRNQSVLDS WFLADSPVLIDVGSFAYAAGYTVHIRDNYAGSNLIMHLVTTSTTTINLANVTLLIRAD GLPTDAIYDYKLSGQHAMELSARRAKTFLDFNENALRERMKEPVTPLVTVAWPMLRFP KVGKWNVVVRSSPTQSWKHMLVLQSSPCPVGMCGDHGACEVSTTYQGLVLGTCQCIYG YAGDYCDHLYLENYAAQSYFLILSNFAILPAAIVSFQRSFYVEAFMFLSLGVLSSLYH ACDINWVCVVQYTYLQKLDFIFSFNSILLCLFHLSGVRPKRKAIMQFVGLTLLVILIA IDPTTMTNWLIIGGLGGGQLVIAWTTYIVLARVVMGRSTKVRRILYSFFFLSGNFHVP LLVLGVLLWAAGLICWFLNRGPSYWLEHSLWHIFAMTAAGTLMACRKSSWYKILDDNG IDALPRVHSPISKRPFFGTNEELKTGGNCVLAVTVIPAPSTPLQNRSLSLDLGELPQI GE H257_03804 MCRVALFWAILLALWGDCCHWAKPFTQWTEHVYGKVAGDGVEYS SFQVEKGVSEVQVTFSRSDLRGRVVAPMLYGKLGSIPTLTSYDWNFNGTLDSFWFTES IPNLKTGTYYIALWGGDLPGTINNFGIGNSTNVWYYLDFTSIGCLDPDKAGYSCNIQV ASTAQRVATAYPSQSVVNTTAKQGVPITGCMDYISNLQFFSIEITSPQFSLVTTLSIP QTFARNDVFYWALYDSPANPLDPAAIPLIDGAGYLTDGNATLKQDVPTLGTYWMLVYM DAPPKTSRCFRSTGVAFTLAWQAESCSLTPRADMCHTSWLRMNEARSDPSRNQSVLDS WFLADSPVLIDVGSFAYAAGYTVHIRDNYAGSNLIMHLVTTSTTTINLANVTLLIRAD GLPTDAIYDYKLSGQHAMELSARRAKTFLDFNENALRERMKEPVTPLVTVAWPMLRFP KVGKWNVVVRSSPTQYSLGFAHSIATTLMGYVPGCRSWKHMLVLQSSPCPVGMCGDHG ACEVSTTYQGLVLGTCQCIYGYAGDYCDHLYLENYAAQSYFLILSNFAILPAAIVSFQ RSFYVEAFMFLSLGVLSSLYHACDINWVCVVQYTYLQKLDFIFSFNSILLCLFHLSGV RPKRKAIMQFVGLTLLVILIAIDPTTMTNWLIIGGLGGGQLVIAWTTYIVLARVVMGR STKVRRILYSFFFLSGNFHVPLLVLGVLLWAAGLICWFLNRGPSYWLEHSLWHIFAMT AAGTLMACRKSSWYKILDDNGIDALPRVHSPISKRPFFGTNEELKTGGNCVLAVTVIP APSTPLQNRSLSLDLGELPQIGE H257_03804 MCRVALFWAILLALWGDCCHWAKPFTQWTEHVYGKVAGDGVEYS SFQVEKGVSEVQVTFSRSDLRGRVVAPMLYGKLGSIPTLTSYDWNFNGTLDSFWFTES IPNLKTGTYYIALWGGDLPGTINNFGIGNSTNVWYYLDFTSIGCLDPDKAGYSCNIQV ASTAQRVATAYPSQSVVNTTAKQGVPITGCMDYISNLQFFSIEITSPQFSLVTTLSIP QTFARNDVFYWALYDSPANPLDPAAIPLIDGAGYLTDGNATLKQDVPTLGTYWMLVYM DAPPKTSRCFRSTGVAFTLAWQAESCSLTPRADMCHTSWLRMNEARSDPSRNQSVLDS WFLADSPVLIDVGSFAYAAGYTVHIRDNYAGSNLIMHLVTTSTTTINLANVTLLIRAD GLPTDAIYDYKLSGQHAMELSARRAKTFLDFNENALRERMKEPVTPLVTVAWPMLRFP KVGKWNVVVRSSPTQSWKHMLVLQSSPCPVGMCGDHGACEVSTTYQGLVLGTCQCIYG YAGDYCDHLYLENYAAQSYFLILSNFAILPAAIVSFQRSFYVEAFMFLSLGVLSSLYH ACDINWVCVVQYTYLQKLDFIFSFNSILLCLFHLSGVRPKRKAIMQFVGLTLLVILIA IDPTTMTNWLIIGGLGGGQLVIAWTTYIVLARVVMGRSTKVRR H257_03804 MCRVALFWAILLALWGDCCHWAKPFTQWTEHVYGKVAGDGVEYS SFQVEKGVSEVQVTFSRSDLRGRVVAPMLYGKLGSIPTLTSYDWNFNGTLDSFWFTES IPNLKTGTYYIALWGGDLPGTINNFGIGNSTNVWYYLDFTSIGCLDPDKAGYSCNIQV ASTAQRVATAYPSQSVVNTTAKQGVPITGCMDYISNLQFFSIEITSPQFSLVTTLSIP QTFARNDVFYWALYDSPANPLDPAAIPLIDGAGYLTDGNATLKQDVPTLGTYWMLVYM DAPPKTSRCFRSTGVAFTLAWQAESCSLTPRADMCHTSWLRMNEARSDPSRNQSVLDS WFLADSPVLIDVGSFAYAAGYTVHIRDNYAGSNLIMHLVTTSTTTINLANVTLLIRAD GLPTDAIYDYKLSGQHAMELSARRAKTFLDFNENALRERMKEPVTPLVTVAWPMLRFP KVGKWNVVVRSSPTQSWKHMLVLQSSPCPVGMCGDHGACEVSTTYQGLVLGTCQCIYG YAGDYCDHLYLENYAAQSYFLILSNFAILPAAIVSFQRSFYVEAFMFLSLGVLSSLYH ACDINWVCVVQYTYLQKLDFIFSFNSILLCLFHLSGVRPKRKAIMQFVGLTLLVILIA IDPTTMTNWLIIGGLGGGQLVIAWTTYVHTPCIHAAIVLHLWP H257_03805 MTPWRPLYLRRRLREANGEAKSSSDSTVLTKTDRHLTSRSNVRC LLDLIWLEWPTLCSGIVGLSVSSLTNLLFPKVLGAALDVACGRPPPRNMSHKAFFLTI LSIFVSGATASFVRVYCLGSVAESTAKRLRDRVYAAYITKDMLFFAQSERTELVHRLT HECQTAADAVVNIIADGYRSLNSSIGASIMLFRLSPTLTLVSLSVLPFLGAGAMTMRL YLKGLERQYDATLAALRASADERLGGIETVKLCTQETTELRSFVQQTATVAKAGRRAK AVEGFYMGGLSLSINLSLSSVLWVGGSIVGSGGLTTGELTSFMMYSGFMCLGFAQLST LGSKVRATNEATAVLFDLVDPNQSQLKAETTLQLEDVTASSEKKDEIEGAIALNHVTF GYNDHGPLFKDLTWHVPAGSTVALVGASGAGKSTVAKLMTRLLEPSSGRVTLDGIDVS TLDKEFLRRHVAMVPQDATIFAQTAHAAIKYANPAASDDDVRAAAALAHVHDFITELP QGYDTVVTQSNVSGGQKQRLALARALLTRPKVLILDEATVALEGSTERGILSRVSAGQ TTIVIAHRVSTIRACATIAVLQEGAIAEVGTYDQLDRDGTIFHSLVATQVIDASE H257_03806 MLASFIRRTQRHAPLRPCFSSIASNEPYRRGNTWPSLTLSEEAK VMKELDAIKTNLESTPTAVSVDYWRDVGRTLGKCRSSDLLEAARPLVTKTSLLASPVG VVHNEMIRILLNLGLLDDVIAMAKSGALPLTSRTVSRILGACVRESNKDKFVQGFELF DLACTQQQQVPHMSTFHSLFILCAKSNDQARLAQVKRYMQQHNVAMDAVCHGICLRMD CRAGNVTEAIARYHQLTRDGMTLPVNHLNDFLDDLTESDHYDDAVQIFETAQTNMPIK PWGKAPPLDETAGRLPLNVISYNIMIKLCGKEHRMDEAFKWYEAMKATGLKPSTVTIN TMLHGVFHGQFRTVNAAAIYSGLAGLGAIVATALYSSDLSESTGAIGITSAVAASMAV GIYVNPFGVKKAIYPNESGQREPIPQAILRRLDEEEHIGRLMFLWQELLGYGLTPDLA TFDILVRTCVRKRHPELAATVLLDATNPNSIVSRRKAKGPSYHFELSLATTVQLLQAL VAQNLLPLFDQVFTLANGTHRFQDITKRVPEKGGRGGIRYTLGVFQTPKATALALVKL LNPHMKHSMPSQPISFEVQNSHAVLDVLDAMYPATRALFVMEDVQGAGHGLGVLPLNR QRLQAHFKSRHR H257_03807 MATPSSGVQVSCRFRTCTDVDAQAGAVACVEFPDPYTVLLSQAK GHHTTRHSSFARVFSGESTQDQVYEHVGAPVVDELLQGYNYTILAYGQTGSGKTHTIL GSKADPGILPRLVERMFDATAVLARDEAIVVSTSCFEVYQERIGDLLTPSNVSLRVRE DNDKGIWVEGATDMVVRTTAAAMKAIHRGISNRSTGSHLMNAASSRSHCIFVLTITRP TASGLKQTGKLFVVDLAGSEVVRKTAATGKRLDEAKYINKSLTALGLVINALTDGKSK HIPYRDSKLTRLLQNSLGGNAKTHLVLTCSSSSDNLEETLSTIRFGSRAQHIQNAPHV NAEKSTSDYKQLVADMERKVEALSKYIAQLTTSPATPTICDRCQQCLHLTHQEMSIAP IPPLMTQDDDAPSACAKCHSTSARLILCDGNCGLYWHRACVVGHDDEDNDAINHLEFY CPSCQLGLVTGDHSSVQVEITRLKQSLHLMKQERDDAAQRATVDKHLFDFADQKKSDI HRQLESTIASQDQRIQGLLQQQELWQRQVQDANQRCQATQHELAAVQRSNQLATDQNQ AELDIVRRALESYERDHQQLQGQVHDLQKRLAASDARSQEHLDQLEECRAILARKDED PSRLHRGVSHSQSFPMLVKPALSPPKLPLRPVTVFGCSSSIDDPRLQLQSRGNIQQWW SGPQDVSVVATPVCTKVPHDEQLVHHNNLAEDVVPSGDTKTPFKARLVGLLASLQEET DAFKDLGDKINQEHTRQKTRQKTRRTRRLLPDLQTSDEFSDSVTGPQR H257_03808 MKKAGTPYRPATTPSPRKDRSREATSVLPNLKFNIAHASIQKEI NILLNVDPTTDETFQTTLRLTKQPSKLLNQGSSSQRISVSLPSPHRYTPRAETRQAIK TFHRLFVPEPERPRRKPSVSPLNLDEDIDSATNKFGMPEEDDTYIAHVTTMITNKAGK AINIPKTPRHNGWNIKDVKNNYGWGGKVQFMAQVRNTNHDSNVIAPSSQQPDESRSPV KVDAADMEHVNLDNMLCAEKYIHTCFKMEVTPEPLIIPKREANGIDLSYYGTGSKPMD ALSSCLGSIPKLQTLVVKENRLSDAAIVNILQSSDFTRLLTLNLTRNRIRQRSTHAIH TFLNQNRVVQTLVLSDCDLHSDFIKCVEEPLAKNPSLTVLDISKNKLDDVCATALATI CKDNSNLTTLDLSWNSFRSKGAAGLAEALQQNQCLQNLLLSWNGIGHGDGAHRLAHAL RNNGYLQKLDLTGNSITTDATLALANMWAESSVLEILILDQNPIGTEGLRSIFRAYSN PATKTQGRMIQFDSCTLHHNDPVFDPNSCSGHHVIDMDNVHTFWRALEVLRLVNLYPS ACEFENVVHTTPLDAKHLTFHRLAVGAPSVAEENPMATTTSTGGGGAPLMVHLMALAS HSTPWHVPLTGTLSFDFKYHTKVHNPVATDLDAVTVFWNIYSTLEFDSDRVKYIKMYL NDHYVTAAQARHFLTTVTSIDSHTDLVHLLLQKVSDTENLLAWASKPQLPTKHQSFLN QCAGKLLYFDGSMPNGAYALDLSSAIDQRIASQLFRISGDDKLYNKQHDGANTSQTGN WECFRNETLDGDRYAFNRSFGLPEKGLFAFDFVVTSRLPLATPTISPELFETVCRQAG ASSPQSLPSRSDMHRAQTAKPSHTFSVTVALPPPKAVTHETYEARRAEMKRMFGLGHV GVTATQVLELAECFRDVDQGRVDCVVTMLNHVLDLGDLFTRVRASWAPSEVTSLVHRV GWLNIWNPAYAEMDYTLNLTVWEDHQMALILAQLGDIEPGENWVDETYNNLFGWELPL SWVQGKIPHVGRLYLRYTTGPNHKYRVVSAREELKKRTLSGKVSVLETVPGNGKSTDL RPPSIVRRKSIHQVAL H257_03809 MKILIVNGFANGSHERYLHFKQLVMHALRSIAKLDVTGITIVEK HRSDLSEYIFELHTGNADPAAITHFDGLDFVFLDGDCTIPPWHPGYKKVCTLVKMCMM TGKCLFGANFAASVLAYLCSTGGEMIHALNGNGRGAPLKNVQSMPAPVDDDFQPHAVV LDSDTGDYYAYNAGRLEWEPKGNTGLVLHSSDRDRDFGARPNSARAGTRRRDGKLDHL TPCLSRLADLKCCGRLEMQNHVYLKGLRHGQFIVNCTSKWDLDERITCTSGNKYTVVV DSPRGPLLIEFGNALCAHFSISNEYPETATILENFVVSKFDQIKLHEHVDRSYMSSVS GMLSSSGGAGPPKHIASLSANFEPPAPPPRLDSTTHGKTSPKKCRPLSAASSTVKAKP RPHSATPTMVAKLGQVNRLRTDNNTTDNQTERTDGSPEKKHDPATPIPPVANNHPSGD MKFMPPKAKASRIKVCRVGDPHKPYCAYQRFQKMEKTAALGGTYYSVVNDAPYVGMFE KHVVEGEKSKLRWMGGPFRTTFGKASTHVHPEEGILGAKYPYNVRHIPANVLEPARTS DHPKPKSKVKTKRIT H257_03810 MQTESSQCAYAGWPECFGNPLERASHADHSKRLLLKPPLLPPTM QDHSHVKRILQIRPPLAPQSQAYLATPPACAFSIHCKRTLATRKCYHCAKFDVQRTGY YCDECFVARHPPLRLTHSWTFLKDERDSKADWIKHLTQLKLEQDFHELRGMLDQTSSF LDTAAKAGASHHPTETKVKKAIEDISTIDSGIRTLMGRVKKSLKCKHLTRADAVRKIQ DMWKVRKARKHFKALLRSIYKRMEDPVTGKVYYFNTLTEMAQWDKPLGLGSDDYAANK TKKKAIMTRVWTQSDAAEFIQRAYRRRQATATVRQLIHKLYRKVKDPASVYYCVGMYY YYNKQTGEVSWTKPKLLGQHGDIAVESTAKHHARATVKADPPNEIDAAQRIQRMFRCG MARRQMHGMISHVYVKIWDDTRAQFYFYNTSTKTVSWAKPKWVDEDDLMSPRTHQAFL LQEKVDAIRNGPPDAAVQYLQRLIRRRQARRRLQVMLAEVYEMVLDEATGEYFYHNKK TGNVTWTKPPLI H257_03810 MQTESSQCAYAGWPECFGNPLERASHADHSKRLLLKPPLLPPTM QDHSHVKRILQIRPPLAPQSQAYLATPPACAFSIHCKRTLATRKCYHCAKFDVQRTGY YCDECFVARHPPLRLTHSWTFLKDERDSKADWIKHLTQLKLEQDFHELRGMLDQTSSF LDTAAKAGASHHPTETKVKKAIEDISTIDSGIRTLMGRVKKSLKCKHLTRADAVRKIQ DMWKVRKARKHFKALLRSIYKRMEDPVTGKVYYFNTLTEMAQWDKPLGLGSDDYAANK TKKKAIMTRVWTQSDAAEFIQRAYRRRQATATVRQLIHKLYRKVKDPASGMYYYYNKQ TGEVSWTKPKLLGQHGDIAVESTAKHHARATVKADPPNEIDAAQRIQRMFRCGMARRQ MHGMISHVYVKIWDDTRAQFYFYNTSTKTVSWAKPKWVDEDDLMSPRTHQAFLLQEKV DAIRNGPPDAAVQYLQRLIRRRQARRRLQVMLAEVYEMVLDEATGEYFYHNKKTGNVT WTKPPLI H257_03811 MFRRVPKPAVLLPLRSMLASSYSSTAGKVIRCKAAVAWKPNAPL SVETIEVAPPKAGEVRVKVIANALCHTDLYTLNGEDPEGLFPCILGHEAGAIVESVGP GVTSVQPGDHVIPCYTPECQKPECIFCESPKTNLCPEIRATQGKGVMPDGTSRFSING QPLYHFMGCSTFSEYSVIAEISAAKIDPSAPLDTMCLFGCGISTGFGAVFNTTKVEPG SSVAVFGIGAVGLAVIQAAKQAGASRIFAIDPNPTKFRMATQLGATDCIDPTASDTPI QQILVETTKWGVDYTYDCTGNTEVMRAALEASHRGWGESCVIGVAAAGHEIQTRPFQL ITGRTWKGTAFGGYKSRTQVPQLVKQSMEGKLPIGMLFSTNTTYWALYIYIYLFLVDH FITHKFQGVDAINDAIKALKSGNCLRAVVTY H257_03811 MFRRVPKPAVLLPLRSMLASSYSSTAGKVIRCKAAVAWKPNAPL SVETIEVAPPKAGEVRVKVIANALCHTDLYTLNGEDPEGLFPCILGHEAGAIVESVGP GVTSVQPGDHVIPCYTPECQKPECIFCESPKTNLCPEIRATQGKGVMPDGTSRFSING QPLYHFMGCSTFSEYSVIAEISAAKIDPSAPLDTMCLFGCGISTGFGAVFNTTKVEPG SSVAVFGIGAVGLAVIQAAKQAGASRIFAIDPNPTKFRMATQLGATDCIDPTASDTPI QQILVETTKWGVDYTYDCTGNTEVMRAALEASHRGWGESCVIGVAAAGHEIQTRPFQL ITGRTWKGTAFGGYKSRTQVPQLVKQSMEGKLPIDHFITHKFQGVDAINDAIKALKSG NCLRAVVTY H257_03811 MSLCAGVMPDGTSRFSINGQPLYHFMGCSTFSEYSVIAEISAAK IDPSAPLDTMCLFGCGISTGFGAVFNTTKVEPGSSVAVFGIGAVGLAVIQAAKQAGAS RIFAIDPNPTKFRMATQLGATDCIDPTASDTPIQQILVETTKWGVDYTYDCTGNTEVM RAALEASHRGWGESCVIGVAAAGHEIQTRPFQLITGRTWKGTAFGGYKSRTQVPQLVK QSMEGKLPIGMLFSTNTTYWALYIYIYLFLVDHFITHKFQGVDAINDAIKALKSGNCL RAVVTY H257_03812 MKLLHPSLLAVASLASVTTAADTISVLGAGVFTIKDGRTPCSGS NTDIIGACPESQNGLQFGSCCVEIPGRSSVVMGCAPLQSAADSCANVAARLNAQKAAQ PTDAPATTAKNPSVTLEPSLLTTLSPSTKTEAPTQNTAPTLPASLVIETTSAAPVAAT TTTAAPKAADEIALQQSPMSDAADSSTALSDTTFSNANSILVGASIIGVAVAVIGGVL FVRKNKDRSSNVAASPSTPPQPASMAAVAAFPQETPYNDDDFLTPKDDLVCL H257_03813 MTQLTPNAVPTLHNRQNPDGFEPWVQVIDLKKIVSASGKGGDRY RLVLSDGQYYMSGMLSTQLSSTLEDGSLAVNYFIRLKDYVGNTVQNRKILIVLGIADI QPGYERVGAPESIDKAGGAPAAAPVTTTHHHAPAPSLYQAPPAPAYQAAAPQSTYLPH QSTAPQAAAPRNPYQKTTTPVKNHAPVVREDPGIRVSDIASLNPYSGGRWTIKARVTA RSPVKNWTNARGQGKLCSVDLLDAKGGEIRATLFNDAVDAFYDRLIPNGIFYFSGGKI KMANRKFSAINNDYEVTFDTSSDIVAAPDDHAIQAVSYNFKPIASIESLPVDSTIDLI GIVKTVGPCTELTSKAGKQLQKRDFTMVDDTLAEIKVTVWSERATDPACDGWANQVLA IKGCRISDYSGRTLGTFSSTSFVTNPALPEAARLLSWYSAGGASTSTKSLSSGGSGVG GGMGPFDQRSSIADIKDKRLGYGQKPDYITVKGTVSFIKHDTGVWYQACVKCQKKVVP DAAQNFNCEKCQTVYASCENRYILSMVIQDASGSSWTSCFNDQGKIVMQGKSADELAE LKDTNMGLFEGSFKQAQFRPYLFRMRCKAEPLNDEVRVKAQIVNLEALNYVTESTELL DAIAKLA H257_03814 MMEPKQGAENRTIFQEDGICKKAEGDMWARQGKFDKAIMCYNKA CFVNPDDCTLYSARGNAYTKLCDFKSAISNYKKLLSMQSNPPQAIKEEIAEVFNAQGY SYLIEKEYTTAIVYLTDAVALDALQANYWLHRCLAYIGLENWTKALKDIDHAICIDAN DADILVLRAKLNWKLKLIDKGNNDIARAFKLNPNHPEVLDFEKKMWYQSQHLHDLACR HIMNREFAKSLDCLNSCIEFNADDVKILVLRASVHRELGDYDAAMADVERASQACFRK QRQSDHPHTSDPDELDEHVQELFDSQSSAFGPPISHIGEHPEITRQRNLILNDIAVAE IQRGHFDAALNAMNMAIASEVATASRFDGRAIDYRFYVNRGDCYRALSKNQAALADYN SALEVQPMDADIHTRVAVIRYHFGLEQFNRGAFDKAEVEFSLALRHNASVYHYYVRRG DCYRYLEQNDLAFQDYKQAQLLNPVDPDVKAKLRQYQPNVPSTWHTPPTPTIQSSSSN MPPTSVRARVYLDKSLKKAERARRAAAHAQKAYTALQQGLPDLTSPTRRRFPG H257_03814 MMEPKQGAENRTIFQEDGICKKAEGDMWARQGKFDKAIMCYNKA CFVNPDDCTLYSARGNAYTKLCDFKSAISNYKKLLSMQSNPPQAIKEEIAEVFNAQGY SYLIEKEYTTAIVYLTDAVALDALQANYWLHRCLAYIGLENWTKALKDIDHAICIDAN DADILVLRAKLNWKLKLIDKGNNDIARAFKLNPNHPEVLDFEKKMWYQSQHLHDLACR HIMNREFAKSLDCLNSCIEFNADDVKILVLRASVHRELGDYDAAMADVERASQACFRK QRQSDHPHTSDPDELDEHVQELFDSQSSAFGPPISHIGEHPEITRQRNLILNGILISS LHPESISRIRTTGIDIAVAEIQRGHFDAALNAMNMAIASEVATASRFDGRAIDYRFYV NRGDCYRALSKNQAALADYNSALEVQPMDADIHTRVAVIRYHFGLEQFNRGAFDKAEV EFSLALRHNASVYHYYVRRGDCYRYLEQNDLAFQDYKQAQLLNPVDPDVKAKLRQYQP NVPSTWHTPPTPTIQSSSSNMPPTSVRARVYLDKSLKKAERARRAAAHAQKAYTALQQ GLPDLTSPTRRRFPG H257_03814 MMEPKQGAENRTIFQEDGICKKAEGDMWARQGKFDKAIMCYNKA CFVNPDDCTLYSARGNAYTKLCDFKSAISNYKKLLSMQSNPPQAIKEEIAEVFNAQGY SYLIEKEYTTAIVYLTDAVALDALQANYWLHRCLAYIGLENWTKALKDIDHAICIDAN DADILVLRAKLNWKLKLIDKGNNDIARAFKLNPNHPEVLDFEKKMWYQSQHLHDLACR HIMNREFAKSLDCLNSCIEFNADDVKILVLRASVHRELGDYDAAMADVERASQACFRK QRQSDHPHTSDPDELDEHVQELFDSQSSAFGPPISHIGEHPEITRQRNLILNDIAVAE IQRGHFDAALNAMNMAIASEVATASRFDGRAIDYRFYVNRGDCYRALSKNQAALADYN SALEVQPMDADIHTRVAVIRYHFGLEQFNRGAFDKAEVEFSLALRHNASVYHYYVRRG DCYRYLEQNDLAFQDYKQAQLLNPVDPDVKVRTIVRFAEIWRLASRFENRVDCI H257_03814 MMEPKQGAENRTIFQEDGICKKAEGDMWARQGKFDKAIMCYNKA CFVNPDDCTLYSARGNAYTKLCDFKSAISNYKKLLSMQSNPPQAIKEEIAEVFNAQGY SYLIEKEYTTAIVYLTDAVALDALQANYWLHRCLAYIGLENWTKALKDIDHAICIDAN DADILVLRAKLNWKLKLIDKGNNDIARAFKLNPNHPEVLDFEKKMWYQSQHLHDLACR HIMNREFAKSLDCLNSCIEFNADDVKILVLRASVHRELGDYDAAMADVERASQACFRK QRQSDHPHTSDPDELDEHVQELFDSQSSAFGPPISHIGEHPEITRQRNLILNGILISS LHPESISRIRTTGIDIAVAEIQRGHFDAALNAMNMAIASEVATASRFDGRAIDYRFYV NRGDCYRALSKNQAALADYNSALEVQPMDADIHTRVAVIRYHFGLEQFNRGAFDKAEV EFSLALRHNASVYHYYVRRGDCYRYLEQNDLAFQDYKQAQLLNPVDPDVKVRTIVRFA EIWRLASRFENRVDCI H257_03814 MMEPKQGAENRTIFQEDGICKKAEGDMWARQGKFDKAIMCYNKA CFVNPDDCTLYSARGNAYTKLCDFKSAISNYKKLLSMQSNPPQAIKEEIAEVFNAQGY SYLIEKEYTTAIVYLTDAVALDALQANYWLHRCLAYIGLENWTKALKDIDHAICIDAN DADILVLRAKLNWKLKLIDKGNNDIARAFKLNPNHPEVLDFEKKMWYQSQHLHDLACR HIMNREFAKSLDCLNSCIEFNADDVKILVLRASVHRELGDYDAAMADVERASQACFRK QRQSDHPHTSDPDELDEHVQELFDSQSSAFGPPISHIGEHPEITRQRNLILNDIAVAE IQRGHFDAALNAMNMAIASEVATASRFDGRAIDYRFYVNRGDCYRALSKNQAALADYN SALEVQPMDADIHTRVAVIRYHFGLEQFNRGAFDKAEVEFSLALRHNASVYHYYVRRG DCYRYLEVCTYPEKRRGSV H257_03814 MMEPKQGAENRTIFQEDGICKKAEGDMWARQGKFDKAIMCYNKA CFVNPDDCTLYSARGNAYTKLCDFKSAISNYKKLLSMQSNPPQAIKEEIAEVFNAQGY SYLIEKEYTTAIVYLTDAVALDALQANYWLHRCLAYIGLENWTKALKDIDHAICIDAN DADILVLRAKLNWKLKLIDKGNNDIARAFKLNPNHPEVLDFEKKMWYQSQHLHDLACR HIMNREFAKSLDCLNSCIEFNADDVKILVLRASVHRELGDYDAAMADVERASQACFRK QRQSDHPHTSDPDELDEHVQELFDSQSSAFGPPISHIGEHPEITRQRNLILNGILISS LHPESISRIRTTGIDIAVAEIQRGHFDAALNAMNMAIASEVATASRFDGRAIDYRFYV NRGDCYRALSKNQAALADYNSALEVQPMDADIHTRVAVIRYHFGLEQFNRGAFDKAEV EFSLALRHNASVYHYYVRRGDCYRYLEVCTYPEKRRGSV H257_03815 MLVQSVHVIKFVNTVEKGKKATIYVAEVDDGHKTWTVDIRYSKF HEFHHALMKTDRNVVGKLPFPNKDLFSNQSPNHRQKELNKFVSQLLPLFQSLSMAAQI AFFELMEVPKHEFHATLVDTKDTSQPQTTVDVPEKRVSKQSISSTTTTELDDDNQRES LSDLSVQHIVEPLSPVASSRSAASPRHDPSEAETVDIPNNSNELLQVAVHHVDAVSER IHDVQGDPSTEDDVSSSTNDTEVLTETVEASGSIASVEPPTRSLLFLAVETIPSTTPE RSLHAWEASSQGKKAAVHLCRYIIARTFRVLYPSLFMES H257_03816 MDYRKRNRFESMNDDSYSKTAEGGQVAIAAAPSRRKQQRRQLQR AMSLPNIQLQMERKPDPPSIFPDVDVRSLRLAEVRSWVCPSVKSPPPSTTEPQVLATT PPIPPPTENLHLLEKHLDDAIEAMRIELSLDTGVMSKDGREVAPSNLLLPSHTAAANP VSTLGTSSSSLRKEGSVGGNGLTIMSSSTPTSSSVATASPHTPSPLPQAPASTPKKRF SLRLQLDDMPTPQQAENRANRAANRLLASRDAMDPTDTGPVSGMQKAMLAFRLSNHSG SDNDPSSPHDGGELGRFSNGSSYSPNHGRFTTQNVLVSEAGIASPDSSCLHLQENVVQ VREVGRGASGVVYKAVHLPTLKVVAIKEIPVYGKSQRRQMVRELHALYANLVPLDDKN NRSSTAIRLPSPYIVSFYDAYVDKQKNCISLVMEYMGVGSLQDVVLKCGGIAEPLVAR IAASVLRGLQHIHGNRMVHRDIKPHNLLLNHQGDIKISDFGLARTLNDNATQTKTFVG TLLYMAPERIGGGDYAYPSDIWSFGLVLVSVALGRYPLPTHDGFFGLVDSVANEGYLK LPPVFSDACRDFMDKCLAIEPEDRWTAEQLLRHPFLVQNPPETTLKLWKQFVDTICEP RRTELEDISDAVYSHIYQNIQNYTTTTTPQSDYGMSMLSDTPRHIMSVPPVERSLQLG LSKYLDLPASVVYDKFEEKRQLYKEKLWDDFTCFTPRSWSNSPGDGRRASLMGSAASS PHLPAKQQHPKTLPRRRPSFWQRMQESLKQTLGGASSSSTSKPSK H257_03816 MDYRKRNRFESMNDDSYSKTAEGGQVAIAAAPSRRKQQRRQLQR AMSLPNIQLQMERKPDPPSIFPDVDVRSLRLAEVRSWVCPSVKSPPPSTTEPQVLATT PPIPPPTENLHLLEKHLDDAIEAMRIELSLDTGVMSKDGREVAPSNLLLPSHTAAANP VSTLGTSSSSLRKEGSVGGNGLTIMSSSTPTSSSVATASPHTPSPLPQAPASTPKKRF SLRLQLDDMPTPQQAENRANRAANRLLASRDAMDPTDTGPVSGMQKAMLAFRLSNHSG SDNDPSSPHDGGELGRFSNGSSYSPNHGRFTTQNVLVSEAGIASPDSSCLHLQENVVQ VREVGRGASGVVYKAVHLPTLKVVAIKEIPVYGKSQRRQMVRELHALYANLVPLDDKN NRSSTAIRLPSPYIVSFYDAYVDKQKNCISLVMEYMGVGSLQDVVLKCGGIAEPLVAR IAASVLRGLQHIHGNRMVHRDIKPHNLLLNHQGDIKISDFGLARTLNDNATQTKTFVG TLLYMAPERIGGGDYAYPSDIWSFGLVLVSVALGRYPLPTHDGFFGLVDSVANEGYLK LPPVFSDACRDFMDKCLAIEPEDRWTAEQLLRHPFLVQNPPETTLKLWKQFVDTICEP RRTELEDISDAVYSHIYQNIQNYTTTTTPQSDYGMSMLSDTPRHIMSVPPVERSLQLG LSKYLDLPASVVYDKFEEVRVGVTVSHI H257_03816 MDYRKRNRFESMNDDSYSKTAEGGQVAIAAAPSRRKQQRRQLQR AMSLPNIQLQMERKPDPPSIFPDVDVRSLRLAEVRSWVCPSVKSPPPSTTEPQVLATT PPIPPPTENLHLLEKHLDDAIEAMRIELSLDTGVMSKDGREVAPSNLLLPSHTAAANP VSTLGTSSSSLRKEGSVGGNGLTIMSSSTPTSSSVATASPHTPSPLPQAPASTPKKRF SLRLQLDDMPTPQQAENRANRAANRLLASRDAMDPTDTGPVSGMQKAMLAFRLSNHSG SDNDPSSPHDGGELGRFSNGSSYSPNHGRFTTQNVLVSEAGIASPDSSCLHLQENVVQ VREVGRGASGVVYKAVHLPTLKVVAIKEIPVYGKSQRRQMVRELHALYANLVPLDDKN NRSSTAIRLPSPYIVSFYDAYVDKQKNCISLVMEYMGVGSLQDVVLKCGGIAEPLVAR IAASVLRGLQHIHGNRMVHRDIKPHNLLLNHQGDIKISDFGLARTLNDNATQTKTFVG TLLYMAPERIGGGDYAYPSDIWSFGLVLVSVALGRYPLPTHDGFFGLVDSVANEGYLK LPPVFSDACRDFMDKCLAIEPEDRWTAEQLLRHPFLVQNPPETTLKLWKQFVDTICEP RRTELEDISDAVYSHIYQNIQNYTTTTTPQSDYGMSMLSDTPRHIMSVPPVERRYVVY DPVSHKKGYD H257_03816 MDYRKRNRFESMNDDSYSKTAEGGQVAIAAAPSRRKQQRRQLQR AMSLPNIQLQMERKPDPPSIFPDVDVRSLRLAEVRSWVCPSVKSPPPSTTEPQVLATT PPIPPPTENLHLLEKHLDDAIEAMRIELSLDTGVMSKDGREVAPSNLLLPSHTAAANP VSTLGTSSSSLRKEGSVGGNGLTIMSSSTPTSSSVATASPHTPSPLPQAPASTPKKRF SLRLQLDDMPTPQQAENRANRAANRLLASRDAMDPTDTGPVSGMQKAMLAFRLSNHSG SDNDPSSPHDGGELGRFSNGSSYSPNHGRFTTQNVLVSEAGIASPDSSCLHLQENVVQ VREVGRGASGVVYKAVHLPTLKVVAIKEIPVYGKSQRRQMVRELHALYANLVPLDDKN NRSSTAIRLPSPYIVSFYDAYVDKQKNCISLVMEYMGVGSLQDVVLKCGGIAEPLVAR IAASVLRGLQHIHGNRMVHRDIKPHNLLLNHQGDIKISDFGLARTLNDNATQTKTFVG TLLYMAPERIGGGDYAYPSDIWSFGLVLVSVALGRYPLPTHDGFFGLVDSVANEGYLK LPPVFSDACRDFMDKCLAIEPEDRWTAEQLLRHPFLVQNPPETTLKLWKQFVDTICEP RRTELEDISDAVYSHIYQNIQNYTTTTTPQVDIIRIVMQRI H257_03816 MDYRKRNRFESMNDDSYSKTAEGGQVAIAAAPSRRKQQRRQLQR AMSLPNIQLQMERKPDPPSIFPDVDVRSLRLAEVRSWVCPSVKSPPPSTTEPQVLATT PPIPPPTENLHLLEKHLDDAIEAMRIELSLDTGVMSKDGREVAPSNLLLPSHTAAANP VSTLGTSSSSLRKEGSVGGNGLTIMSSSTPTSSSVATASPHTPSPLPQAPASTPKKRF SLRLQLDDMPTPQQAENRANRAANRLLASRDAMDPTDTGPVSGMQKAMLAFRLSNHSG SDNDPSSPHDGGELGRFSNGSSYSPNHGRFTTQNVLVSEAGIASPDSSCLHLQENVVQ VREVGRGASGVVYKAVHLPTLKVVAIKEIPVYGKSQRRQMVRELHALYANLVPLDDKN NRSSTAIRLPSPYIVSFYDAYVDKQKNCISLVMEYMGVGSLQDVVLKCGGIAEPLVAR IAASVLRGLQHIHGNRMVHRDIKPHNLLLNHQGDIKISDFGLARTLNDNATQTKTFVG TLLYMAPERIGGGDYAYPSDIWSFGLVLVSVALGRYPLPTHDGFFGLVDSVANEGYLK LPPVFSDACRDFMDKCLAIEPEDRWTAEQLLRHPFLVQNPPETTLKLWKQFVDTICEP RRTELEDISDAVYSHIYQNIQNYTTTTTPQVDIIRIVMQRI H257_03816 MDYRKRNRFESMNDDSYSKTAEGGQVAIAAAPSRRKQQRRQLQR AMSLPNIQLQMERKPDPPSIFPDVDVRSLRLAEVRSWVCPSVKSPPPSTTEPQVLATT PPIPPPTENLHLLEKHLDDAIEAMRIELSLDTGVMSKDGREVAPSNLLLPSHTAAANP VSTLGTSSSSLRKEGSVGGNGLTIMSSSTPTSSSVATASPHTPSPLPQAPASTPKKRF SLRLQLDDMPTPQQAENRANRAANRLLASRDAMDPTDTGPVSGMQKAMLAFRLSNHSG SDNDPSSPHDGGELGRFSNGSSYSPNHGRFTTQNVLVSEAGIASPDSSCLHLQENVVQ VREVGRGASGVVYKAVHLPTLKVVAIKEIPVYGKSQRRQMVRELHALYANLVPLDDKN NRSSTAIRLPSPYIVSFYDAYVDKQKNCISLVMEYMGVGSLQDVVLKCGGIAEPLVAR IAASVLRGLQHIHGNRMVHRDIKPHNLLLNHQGDIKISDFGLARTLNDNATQTKTFVG TLLYMAPERIGGGDYAYPSDIWSFGLVLVSVALGRYPLPTHDGFFGLVDSVANEGYLK LPPVFSDACRDFMDKCLAIEPEDRWTAEQLLRHPFLVQNPPETTLKLVLSFTQYVVDF H257_03817 MAEYNQPVVVDNGSGVLKAGFAGGELPQVVFPSYVGTTKHLRMM AGGAYESGDTFVGSRVQQHRGLFSIKYAMEHGIVTDWDRMQRIWEHMYSKDMLNITSD EHPVLLTEAPLNPAANRVKAAEVFFESFNAPAFFVSPQAVLSLYASGRTTGVVLDVGD GVSHVVPVYESFTLPHAITRMDVAGRDVTNYLQLLCRRAGYNFQTSAELEVVKEIKEK LCYVAFNPTKEEQLASHHSISDATSGNPSHASSEYRLPDGNILQLGPEKFRAPEILFR PDLIGSEHTGVQDCLVQAILRADLDLRRTLFSQIILSGGSTLFPGFGDRLLSEVRKKA PKDIKIRISAPPARQYSTWIGGSILASLATFKTMWITKAEYEEHGASIVHRKTI H257_03818 MAEARIELLNVTEGHVVRHPLLLLEGKFTCDWELPDNVLAEVHV NDSATSWPVSHAGLFKALIPLPNYGRHEICLNIAETYEIVSVEYAPSTRPHRVHVYYQ KGHGSAGTFDAPPGVDNSEAAAIKKIQFNAALLQTAMAALLGSPHTDTFSLEVDDNGQ PVVHVVESSFTDDVARSIPEHDLIGRIEEDLRAQGFISNDNLGKHIVLLGSSTYDAAL TAPKGHTALGGGVVGVFGTCGLHTWASHVGNVMQSFLDTTRIDPAILWDDSAGRGTYA ANYATGLGAVLHELGHTLGLNHSTHGIMARGFDDLNRLFCVVQPRPSSAGASVAAFSN AFPDGKLFLNYDSVQDVVTPDGAHWHRASALKLRQSPWLAVRTSIDEASHDDVPAVAW GAASVVGPVGCGAGPQVAFGTDSKDVAAFLITSTGPSGVSAIEILTNAGLNDLLFCGL AASGSQDLFILMDGEFIVQVDVRAKAWVDAIRFHTNFRVSRFYGGKGGQLHVLKAPPN HALYSLFGTTGKDNVGSVGAYVAPVPRQYLSSPTHLTPPPVAAAIIPSPPSTSIFDQI GSFFSSETTATTAAASPATSGAYAATGAGADGDQEAFTTKHITSMGAILLVCADEAIM SFRVLSRKEYSDAFHSGYYAGPNELAFVLAAHEVIIQVDVRSSGWIHGLRFHTNVRMS PWYGGFDGNEHSFMCAPEAHISGFYGSHGPQYLGTLGTYFEPVPRQLPRPEPVPHATP FPSVNGNAGIHIIWIRQSPHAPGLLATSNLAEDATTFNHVFPLQPGEALVQVELTRQD DNRVVGVCFHTQTRSSAWYGSVNGTYDVVVAPPNFAFSSVAVLPDGALEHTFESEERC RVAEVPLVENGEAAVDDGTAVSFHASSEAGLAFVVLSQFNNGDPLADHVVEVPTRGDA ALLPRSWRLSTTYLKSKVHPRALTEYAIEVVDAAGKATLSPVLLPCVP H257_03819 MVAAIFSPAVAPHNHNQHSGELYVQQRRHVPREVSLYPPQIISD AMPRQHSDFFSNVPYFAIATTDSHGRPWATLVTGPSDARPVSTVSSDKLHVQSQLPTD DPFGACVTSSNSTALWAGIGVDFSNRRRNKVAGYVVSSSFDNGMLRMDLTTNDNMGNC PKYITVRDLVYAPKPHPSTISNTFHDPATNDTVALTDEEIEHVHRASTLFIASRHIDP TDARSTDMGLNHRGGAPGFARVGEAGTTINLPDFSGNRFYQSLGNIQTDHVAGLVVPC FVTGDLLYLTGHAENLFDDDATRLMPRVTLLTRIVVTAKVFIKAALPFDLRGGVESLS PYNPPLRYLASELAAQGKTLGGQGLNVATLAQVTRVTSNIAAFTFDLAAPVTFVPGGF AVFDFSQFFDKPYMHMHNANPKLVNDDFVRTWTISSSPPYSLEKGEFAPTSRITCTIK HVPGGTVSSFLHTMVSRGFQVPLLGTGGEFSPFSSPPLHSLPAKMLWVAAGVGVTPFL AFAEAVAASKASVDVTVFLSGRGDEGLGLVQALRRTGMSKVILFDSTAKASTSNAVAR RLQLHDFKGVVDLPHRHAYVCGPSDFMQTATTWLNQAGAPSVHKESFAF H257_03820 MLSPPGILHATAVERSAIVDTYLESTQLQGGLEAYWSTLMSSTC LSPNPYPQLLRHFRSLEGQSEPQEFRPSAVQSINVPPNSLNVGSVAGGVFGHARLLSR IDGPSLMHLSKRLGCTWYKQQRDDSYKATVMTSLVGPAVLHGKGYLADVHSVQLEQHV LVEGEKLERAITVFAQLIAKDAYDNQTSATIMNQGVWVTIPSFKKSDRHTEKLWTLDA IQSCRVAFISAVKTAVAARVPCYVVEFRHSSTNSERRPSSPRHDDGQTGSGVSYVRVH RTFVMHFKPPNEPTRSFSVNQLRPLLEGVFFTEVAMTTYISVVLQGDDTAAATVAFTK PFVTSVLDHYTTGNYLEMMYALVHHICVNESSLDVMPQLCRVTCGLPGQLVQLARTSA LMHEMLQLHVSNPAIAHLHAVPLREWLTEFAAHATTFLGQNTHSRFLGVRQRLDRLVA SVCDVPERFMAEVATVSIVMDACKHIEMLVNHVAQCVTRDMMETMSCDRVSHPIYVAN EMAQLAAKVDSLKSSKSKPKPPAPDSKIIITSVIVEPLKSTTTVYGIDVEQEVQSAVG ALAYPPRIIREAVYMQYIVDTRLDVAVESAIASILVDGMPGNPFPSLIKHLRAFASRH AMSLTAKAPQLPEVQAVLRSTANKDNCDDVESCNRIVESHGLFGTPYSLSYVPRSLVS MAAKLQSWPLFPLHYSSPSALFTVQTWTSLYLRRYYSWLEQEPSQVDLIEHFQVTSLH PTLQLTLKASEVFSDAVLQDALGLGDHPHIALVWLQTAEENNDHIRFKVDGDEVKRQR DVVRRRVEDAIRLKQSVQVCVYHAHTLVVKTYVLHVLDGNNRRRCFAPSWLRSPQAPQ VCFHKQSAEWTCGLMDIPSPSDALARNNTEDDDDLVDAYDRMFRQGIRHPHLFASTEP ALRAMYTSPVVALKALESQGHVYATVCRTKIPLSDFKDGIERWIANIQTAIDTPATLD MLWLFASWKFPLERALAARSMAHIQNLIEGLTGFVLAMRLEGQHDVHLNNRLPGMQRA N H257_03821 MAQGSTSLKKKNVKNAAAKPTKNNVQYRHKLNSAKKFTKVGNAT TEVRRGCNGFKRRGDASVTGFINRNIEELMATRVLQTGSSIALKDIRAVGKERLKEVN KNARTKKRSRVEEKLAEVEKAIKDAEMGPN H257_03822 MSTTAVKFAVAAICVTGLVFLRKRKLQRLHARIIYHRDKDLGSR FGMDVGGTLGKLVYFEREGDSSNVIPDLGDVHSYLVDTEYYGKSVQRDGGMMLHVPGG GRIHFLRFETDKVEFVVEFVLHRCFHRDIRTMACTGGGAFKFSKLFEDHLGIALQKCD ELEALIRGMVFVMRHVPDECYTFKNVALHSQGMGEATKQIMSTPQSELYPFLLVNIGS GVSILKVTSETEYHRVSGTSLGGGTFLGLCKLLSKYKSFDEALDGSMQGNSQSVDMSV GDIYGGNYTQFNLSASTLASSFGKMGTKYEPKHGLRDEDVARSLLIMITTNIGQVAYL SALRSQTQRIYFCGNFLRQNEIASRQLAYAIDYWSKSKMQAQFFHHEGFFGALGALLT HHKELHIEL H257_03822 MSTTAVKFAVAAICVTGLVFLRKRKLQRLHARIIYHRDKDLGSR FGMDVGGTLGKLVYFEREGDSSNVIPDLGDVHSYLVDTEYYGKSVQRDGGMMLHVPGG GRIHFLRFETDKVEFVVEFVLHRCFHRDIRTMACTGGGAFKFSKLFEDHLGIALQKCD ELEALIRGMVFVMRHVPDECYTFKNVALHSQGMGEATKQIMSTPQSELYPFLLVNIGS GVSILKVTSETEYHRVSGTSLGGGTFLGLCKLLSKYKSFDEALDGSMQGNSQSVDMSV GDIYGGNYTQFNLSASTLASSFGKMGTKYEPKHGLRDEDVARSLLIMITTNIGQVAYL SALRSQTQRIYFCGNFLRQNEVHVVVVVAV H257_03823 MRQRIKHVHFRHISRTVPFIEFWLGDLEFLGGVGQGICVIALND VNKDPLAKVGRDRERAFGQCWQRDLVVHVVVEIDMEMKNAAVVVVVQELAIIRLNTYS I H257_03824 MRRLMWPSLAVLGLLPGTSWSLPSVSNTAIANDPEVANWCKGFD EFVLMADSSIALEAQSISQTVVGGGSRLLPHMAFNNTVLEDEYMFYQVCIARHEHEHI VHVNLTSISGDANMYLATDNPVPRRGQSSWIAQHPGNDHVALPTYLPEFPRDAKHMAL YIGVFGYGPGTSQYNLTVSIHDLPQNSDIKSRQEYYDHERDQLLQEKQRRHLRSAT H257_03825 MRRFFVTHAASVSVLVLLAVNTIVHAAVDVTFHSLWSASPLHHV VITTNDHWQDVPDAQVYTFLARPTTVLVSYSVTVFPEIASLPSTGGFAIIDETKAKGS DDLLAFRVVVDAFPARQSGASTGYFQTESSIVSGYWATSLAAGNHSIHLQWKKLGMYV TKWSINPDIGTGFSGGCSLVVAAQRAGMWYSQPLTAIAVLKPLEWEPVMTLSFTLATK MNVRVLYHIPVRPDTLRVDGAGYALDEVDAVVDVDGSRFRETSATVLTQAKFSQPGVL LGDVTLPLTRGAHVVTVLWRLSSPTGRKWRSMPSLYDGFMMGRLLAVMGETLNDVVYV SKDPTIYSGVPSSQWYNVGSPLRFHVAATSNVLVQYFLPVQFLRHPSFLSFNQLDVGD VGARLVVDNQPYRTTGATISGASRGAQTAQGNMVLSLHSGTHVIRLQWQYTQPGGLEA QDMVTILNHLSNNNQVVELSVQMDLWVDSPVLVAPVAIHGVENQPFTILPPVTVQDAS PDSVVEINYAVVVSFAAQKGRLDVLPSPSSAMTFLSQNTMQGPLSDINEALATLTYTP PASWYGTDTITLTVADAKAYDVEPVFGPATVVAVTVTHTPRPPGLVLPLVQSSVAEDG SVVVQGLSVQGDFTSVTDNGLFISQTVVVSLQVSSGLLSPHASENVVFTLGSGSGDAH IRMQGNVTDINHALASIVYTPDEDFNSMQHVESLEVIATDAKSQLTATASIPIQVLHR NDPSTVDTVKPSVDLRGYSLQVAPSAAGEIIFVRLQVHSPLGRVSLPSASTNAVFATS ARTARTLVVHGVAADIQQTMQTIRYSRHGAFYGNDLVSIECSASPTFETSDQSFMQLQ LSKVTASLNAGVQLSSIAPTHGRPEGGTVVRLTGSSLDGAGLSCQFGSGELVPVVASS STQASCTASSAGSTKDTFVVVTDGFAMWSNIMRYSYDDPWVIASVTPSAVTQASVLVW SGLHLPNAINMTCQFSTGASTRATYISNRQVECNMPPLVGPTTQLDVVFSPNNGADVS SVYTLTVYGDLKVVRMWPDQGPSGGNTPLQIQVTIVSATMFCRFDGDHKVTATVVNAT TIQCVTPYFSKRGHVSVSVSLDGKHFVAASSMFVVYDTPHVTSIFPPLVRPADFVTVQ GIHFPVPNDVICLWQSAATGAVLAQSALVPSNMGTNNSTVRCQVPTTLQTPVEVQVDL SFNGIDFTADNVIVTVVTTLFSIAPTFVWNVGLFKDIWITGPNGHLDVAGSALQCQVG SSISPVAATVINSTTATCRVLSTWLQSDLETTLTFGYAGSRLPLGTFPFTFVSPMTIT SMAPRFGPGGAAVTMILAKPLSLRMQEAVGCTFGNLYARGQVVNGSVVTCVVPMTDSP TTSVPVSLVVDNQLASWTPVYFTYIVPPTMLDIIVVQRNPTIVVVRTTGPLLPNLSCL FGNDLITPATTRMDSLEVECMAPPPSSSVSGSMQVQLLYEMMPYAVNTLTYQPLPPAQ VTAATPNVGFIQANTTIVLQGSGLSVPLVCQFTCYPADSPFSTSTALLLSSSMAQCVA PSLPSPALTGCSVLQVVVLESHTVLWSYEFRYIDQSMLQIPPVVGRPTLQLNAPFVVD AMWDLVVPPASNLIACSFDDFSDLGSSVVVTPAISATRLSCHVPRGAIGVGVVRVLWQ EYAIFTTDIEVIPPARVDSYTPSIGATTGGTRLRIRGQRFHPALPLQCRFEGSLAVNA QYMNETLVECVTPPRAQLNQTNVEVVVGRQVVSLQPGFTYLDPPTLVKAIGGLLSVSV QVSLNSRPQEPFDVYCNIANITTFGEWTKNSTSVRCDGGGPSSVSLSWNTQEWSERLP IHVSSSVTSLWPMKVFARQAPQLIKVAGQGFFLASHCVVGTKRRVVVNATDDIVFCLV HSDDLGDNAVSVSISVNNGQIVLASNLTVAVFPAPTLEAIEPAFGSVLGGTLLHMWGS NLPVEDGSAWCQFRPTVASRAIIWNTSLATCTTPPFTSIDGDTDRVLVTLWVSSSDVS TNAVAYGIGASPQLTLVLSPGFLWTNATPQPLSVRGTFLRDSKWMSCRLMCSDGPGIY EVVEMTAAQWIDETEVTCVGVTWPIAGSLAIQVSNNGFDFDSNTLHIPVVNVPQIHAV SPSQGPPNTVLHIQWTLDPAFNLTQVACLLQQPTDDGVVAASTTSSSLTIPAVSFNHT VVTCIVAKDTRPSTALIWLVVNDHPQRIPTMAAFQITPQPILDRVYLQRGLLGSSVHL VGTNFPSSATCLFRVNISEVTVLATVTNASFAACTVPAMVRQGQVALGGSSFSIFQDV CGATVNSFWPALGRTGGGTQVILQGSGFADSAALRCQFGDVDVPAMWLSPSNVSCQTP PSQHGNASLVSLSLYGCGNGTSTFFFYYIDPPTIDYAERHDDVGEIRVFVSSATAGWP SNVSSVTCHADNRLVQGRWFVGMNRVTCPVSVSVHRVGLSLNGVDVSNVVLVRDNLLV LVDIVPRMGSVAGGLVSLISTVFNASRSYGCVVDRQVRVDLVVINATHGQCMLPSFDG SDTTTHSISYFENQADYGDTAPQSNTLVYWVVQPPQVDSIDPVLAFQSTLTTVALLGR NFIDSPMYQCRIGNTSLPANWISPTQLNCVIAVELHANEYSVGLLFAADASNFLVPQP LKLTILPDPEIAFITPTHGNELTTITIVGSSFPQLVMCAMDQAFVQPTFVNQTMVVCA VPPLLSIPSVLKISLAVNGHTSLASVLFSAEAPPAILQVFPATIASTWTSPFQLMGLF TNTTLQVQCRFESTSWPLVKTTMGTIGNQSTLAFCPPPKVSPSLRIALSVSTNGQAFS NRISVSIVSPATLASVQPSTVIFGPNSTSAFVSLLGYNMPTECTCEFRLAGQAVAVSS SATWVSSFKVNCIVPPSLGVNTYAITLVYQGMPLAQNALRVELAPVPTVIQVYPTATA TLQNSSMTIVGSAFSANTRFMCSFGDKFVQAVRTNSTMLSCLIPSTAVPNVVAFTLTG NDVVVLVNQSFTYFATPQVVEIVPSILHPTTRCDTVDIRGFDLVPIRTCHWVAQPTTP TVVVTRALYVNSTLVQCNLDTVLATGTYNVYVLWNGHDLSRVGTVQVVPALHLTSMVP SFGMTWQSEVVLFGSTFSPRETVWCYYDLIHVEAIVVNSTALRCNLQLSPAQIKTHHV VYVAVTTNQVDYSNALPFQFAPEFYVRAAMPATGTVAGQSEVYIVGYFPVQSGTFECR FGSAFVPATPLNSSYLVCLSPPSPTSSGVPLAVAWNDLVVDNTLSFEYVDAFKIVRVR PIFVPVDSAVNLEVTTNGGLSHEDAGSIVCGDFALAHIVNSTSMVCPFSSNRLGIHTI YMQNNRQRTVLGQVQVTVLSVLDIDNVTPTQISEAGGAMVTVRVATDDIMSLQCRFGA NSSTVQATYVSQRHFTCAAPPSKPGPVTVSFSYNDFATPAMASWSLQYRAMVTLHQVT PRVGLTLAASSTEVMVRGTNFWPSVHWQCLFGRSNVVPAVFVNSTAIACAAPAFVSDT TVDVFVSWNRQETSTNSVLFQVISIPTTLAMRVARQDQMNATVVVYGASGHFTPGTYT CGVYTTANNNNVSGPIMVAASLVNASSGLECIVPSSFVTTDLTVDVWFQTSKVAASVG FVVDSAADVLLSGSYFVQEPRVQLFGVGLHTHLLCDFGGSVVGVENVLPYVIQCTLPR STTPTTTLVVRAGQSLVVLRSYELPRAVPPVVQAVLPNFTSSFMLVNADSTTVEIIGT HFQPLASAYCVVNSTVRIPLQFVSTSSMTCILSSRTTTRLPLGDAIPFGIEYGDVVLF STVWKTSTGPSLKAMPPLITYGSKMDLRGTSFLPSLWIRYGNDLVVPCNVTSDSVATC PALASGAHPTEWDVALSTNEGRQFVSFPTFVLAMKPAEAEVLDVWPLIGFENTSTRVT VEGYSLDTLETLTAASSSTVQCLFGTVASAAVVASPTELHCMAPRQAPGLVTLSIVPA TMFRQLKSFTFQYIVRPVLVSVQPTVGSGGTLVTVTMSFIPFTPTLSCQFDVDMVPAM YVNSTTIVCQAPRYHSAGREALRVFLDSENEVMPSTSASATFEFRPTPVVSSVWPDYM LDKADAILTVHGFNLDVNTSCVLACISSSNQSVLVHINTTVISPTEVQCAVKKHGRSW PTDVSLYVSTLESIHSNAISIKVLHAVQPQQWTLSPKSGSIQGGYSIQITGAHFPMLT VTCWIGTVQARGMWVSSHLVTCRLPAAPDTSLRQVTLQFGEITIPTPLDFQYTANAVV QSCTPSTGIANLPTFIDVAGMHFAFSQDVQCQFDLVSTPAIHLSSTSLWCLTPPRHVE GTATFQVVSGLDVLWTSTFTFIPMPTLHRPPYPLVGWPSSTVHLMGSHLMYVAYCQFG DATIVPVQTKSSTLVTCTVPNNTLGMMSTSSVSVRVTADELLPTTPLPFTYIRPMSIT SLAVLSSHVLDVIGHFYDALDRVDCVFGLAGNTSGAVVSSTRLKCPIPDRQNTTDELA TTPFSVVWNSCNEIPTTPPLPTKVTFTFPKPVRVSKLAPDRGSVDGGTLVRVFFASVP STTTSVKCIFGSQYTLGSYEADRRSVVCVAPRGTPNESVDVSLVVDTALIETTLKYQY VVVPDVLSIAVNWINEMDLQLRATVRPPLSSNATVWLRANGGVPCRGVILSQTEVSCA LTNVVIHTTHPTDEWTMELSVNGVDFKALSTHLYIRPEHMQVFEITPAYAMVNTSQQV MVTGRGFELCHQQHRGAFCTCAFGKVSTVAQYISRTLLTCDAPRAFVGEAVPFALIAN GTIVPTSGSAGLTFAVVHSTVDALYPLSGLARGGAVINVRGYDLDPRLGCWFDDKIFF PATVLNSTWLQCVAPARRTSSTVALAIVLSLDRQQISPAVNFTYVDTPVVTQMSPPAI SDMGSRTVSLVVESFVAGMDWSCRFETSSGHNFAAPGVWYAENSTFACNVPNIKDSTA VLVHLVLNGNEVIETGWQVDILASNTLMSVVPTLIPRDIGVPIVVQTTFVIPRSVSLQ CNFIGPNTTAYTVPALAVSTTSVACDSPQFALAGTATLTLGMYGQAYSTNALSMYVYD RPLGVSVLPAFGPVGGNVSVRVLGTNFLPSLDLACRFGSSIAVLGRYISSNEVHCVAP PSIIAGMVDVFVTLNGLYFDPLSLQYEYLPEWHIRTVTPSNAPISGGTAIQILLASAT SFNESVSCSFEHGGIVPARTLSNNTIECVTPPFDRPTSVRLGLQTSSSGLTLAQSATL IEFVLPIQIQSTAPTAGIERTKLAVHIYGYNFRPTVQCRVNHTIIEGGAMLMSPFHVR CVIPAKLAQDVGTLDVTNNVIDFALAATVHFYPPLIAVKVSPHNGPVTGGTPIRLYGA NMHLVSLCRFDRILMPVTHIQPNEIECTTPPHAAGVIEVALSGNHRDFTPALVGFEFQ LVPQVSLVLPLQGQVNSLVQVTGSGFVSPAWCRFHSEMVEAQVLSSAALTCMAPPLVL PVVNGKVQTKVPVEVSLNEGHDWSFNQVMFEYVRPFSVRRVSPLVGSESGQTAVHLYG RGFQPSKRYTCYFDTSDVLAIFVSAKQLVCKTPAHLPGTVALILADEQANTHSIPFVF RYTPAITLHDVTPSIASSNGGDTVWLVGLHFYFSFAMACHFDSTVIPAVFYNATAVSC VTPPHISGDVTLGWSANGVDVEPSGSILFTFVDPPVIAAVSKVSSALGDVVQVTGRHI TPQTVCWIDGYETRSVVVSTNAINCTVPPLENRPTVGTLQLIRDTSVRSNVARLLYML PAIVRNIVPPFGSTRGRTPLRILGQNFNRSLHLACVFSANATSAELDRTVATFVSPYE LHCLSPPTPEPQAMQVAVFQYDVAVSSTSGSFRTIPFAQVYQVTPDHALHRGGQSIVV RGAHFVQSSALRCAFGTQLVPAAYVNSTCVRCIAPAHETGSVAFGMSNNNVDVEATDD GVQFTFVQPLFVHSISPASGSVVGNTTIAITGSGFGPALMCWFGDVSVVAVVTSNASA VCVAPPAQKDFKSTSVMLGVSVGSQPPLVFVEFTYVVTNRVERLTPSQAFSVGGTTLM IQMTRATQNVSCWFGNQLPIAATRVSSTQFACVTPSAPVGIVNLTITSGTHGSMDMLP FEFVPTPKVLNVAKPTTSPLNGGSDIEVLGFHVEFVRQCLVGGAEPVPAYVVDHSVHC ISPPQLDPGSYPLVLLSPYGSIDTTFRVQYADDIAVVVAEGFPDESTRPELLLVFPDS VETSGGSVLRIRGRGFQNSRFLACQFGYDSVPATYLSSTLLTCVAPRHVPATVVLEVT CDGVTFSLSGTEIQVVHDAVVTQLSPGHGTPHGNTLVTIQGSHFLPNSPHLVCRFGPV RVPATYVSSNVIQCATPPQEDTASSVVLVHVSNNNASFTSHGLFFTYSPTPWISSIWP MRATQIGGTIVTVRGYHFTPRQVFCVWNVLPQRTSLAQVVTATLLRCVTPRNLPVGPL TLQLTTNNQDLSTGLNLVITPNVVLTKLVPSIGPALRGRTLVHVVGSGFENQVELSCR FGTAQVAATFINSTLIQCESPPHSVGTIPLQVTWNGVDEAVDALPFQFVDDLEVSVLT PMHSLVTGQRPVFVKGLNFLNSSSLACRFGELMSPATFISSTLLVCIVPSRVGNLVDP VGLVSFDVTCNGVDFTSSGLQFEYLGACPALRYCTDHDIALVPNGTFPASDGRNFSQC PPSTFQPRAGQPSCLPCPVGFYCPDFGLSKPILCPAGFVCDRHALRTPETMCPEGHYC LPGTKSTNVLDFQNRSDYVVNSETGITTFDVTSRSWSVVPRPSPATGSRRLEQPPNFV DPQCLTRQCDFNTSNLLAEKPFVCPLGTFCRSGVSTPSMQLQNFSSPQPCFRGFFCSR GSTSPEGQGPCPSGYYCPTITDAIACPRGSYCPGVGNVKPIACYPGSYQPLDTQPMCQ LCPVGYICPGFNRTAPELCPAGFVCSSWGLSVPVVTCPSGFYCNEGTWSSDPAELTQL RPIPCSRGTFCYAGVKQDLTIDWLPIAPEGATAKQTCTEGTFCPEGTVASTLCYPGHY CPPGTQFPLQVPLGTFSQREGSIAPTLCFPGTFSTFKASTECRVCPAGYACAGYGVYI PSICAAGYYRSVADSVTCRLCPEGTWSPDTGLADISYCQPCPAGRICGFQGLNNLNQS IPCPSGYVCGEATTRERQYFHMCPGGYLCGTETTQPKQFDHICASGNVCYRGTKDTES TRFNCPQGSFCPAGTADPGVKETQCPLGTSSQPVSNELTDCSILPVAVCDKSPVDTSY YPVFSYVFQGTTYQYNSQTNIGRTPEIQILKKILPVNLSASAAPWVNDTIDVIRSCPT VVPSVGGTLVTIVGRNFLPSNRLTCEFQLLGGDLVFMSVPAAYVNSTRVMCRTPPFTF VADSSQLEADVMVYVTNFGVHRSATGASILYTSNPITVDMDCGYNDDEEGPRPKALGW FALRAFSQAFLSFDLRAIPADMVYDEHYKIALYVTPSICQDEQCDGRGILKPPGDDTE TSPCRQPIVLPSWITSSDFEQRTVVNLTVMALEDMLIKPEIHLLYGLFLAAEDFFVNT TQVDITSPARANVTQGIVADSRPLSSVISFEERLVPREYTFVAIYRHEYSLVTPVPLN LPPRFDQFERGRVLIKANVSVGSDQPNLRDIPTPLPLNYWKLPYDTLETTVAKTAKYR ETFQGLSAAHDTYAMEQVVLPYLPFFSHCRTYDSYIPIYDLLESPVECQLPGLEVFDR NWWRRTFPPIPNQDDIRVVAPTDVLEEPVADYCYRQLQCNYEEDLASVDVNPRWYEAK DLTHLFDMLNEPVMYAQYLQGGDLYNTLLAAANSDIFVPVLVDRTAAERIEGGCLLQC YPRSVTLQLMYYQVTSELKRLVSATLVFDEFDNDASVSDYTLKVEYAPLNYIELVIHF AFDLNVFMVLFSCIGFTCTAIASVFWLITRLTTRIRDPPKLRYLSYLALIAPPPTIGI VLASVPCATVIGAFYLLLNGDVFGDYKANAYPWSPWGSEFWGLDNMKNHYMALQVDPT MVQTLRHGRVGLCFFILAIFLLCEGVMIFIPRTISISERAAEDKGGDDESLWKPTPWR RANVILTCAVVSLFLVMVIEYSFWIDFGANIFYTQLSFELVVPTVIMVVDACVSDSLL YAPIVCVINVIFAMVLMAAPDFLGFVIASFTAFGLVLVRRVYMKPTFHAIVQTLKETA AASKGLAKQAATMMRFYLGRKPKKPPVADGKSADATKKEDDPPLPPKVDTDDGGGATV EPIIDCCMEYTMTTLAMFFQPIVIALLMVFRAETSMSDNWSIRHQDMEYYFFYFTLLI PFRLLADVFILHVIELFRGWKLYDYFVYCRYRFIQREHRWKGMEHNLDECIEQGVRHL DQMCFSSQYYFMCAIQTWGMLSLILAIEIMVRNQYNMFGDPAAIYLIPFMLSVCVFTR NMCLYISRKFAMYKLRHENTAWHNAPDDDDSGVPDWEELERIKGASHEAFLMNQRLTS ETFRFKFLNYNRPWIVAQLPNILTPRTLRRARPYLITQFSKILSSLNPNVSDDDDDDG KPHFGPVSLNAPSRDLIRLWLAKARRRLRLRLAVQPLINAARKVECESCLSRRQLQVE MVIPIEVMGDKFERSFPSDEFDVAEWKKYFAQHQKFKTLCLSCLAKQKLEMRMPALGS TGDHADDDEAAATLGFGQVYLTAASRALMLKWYRLGQDRVFGKTGKRRAVANVSDDDD DVATRGAIWANRPVRLNAASTAVALKWMVSARLNLKAKVQGKKVTPLETSAKPKRKKP PTKETMKAQRTKRK H257_03826 MSMKVVNPSKGSESAGNSASFSSSGGTLKFKGCAHFRQRLICAT LSGRRIRIDNIRGDSEEPGITEFEANFLRLLDSITNGSQIEINETGTVLKYTPGFIVG GTIEHDCGTKRAIGWFLEALVALAPFAKRPLVATLKGITNDDVDASVDFFKAVTIPLI KQFGLDEGLDFKVKKRGAPPLGGGEVIFRCPTVRQLKSIHLIDEGFIKRIRGVAYCTR VSPQTANRIVDTSRGLFNKLLPDVYIYSDHYRGTDSGLSPGYALSLVAESTTGVLLGA ETAATSGSLPEDVASMASHLLCEEIQKGGCVDTSNQCLALLLMTLSPEDVSKVRFGKL TPYSMQYLRHLRDFFGVTFKIKADHDSKSVLLSCLGIGFKNLSKKVT H257_03827 MDGIVLDDEILQKIYAWIDEVPLSRPKKNMGRDFSDGILAAEVV AFYFPKLVQMHNYSSANSVTQKQYNWGTLNRKVLKRLGFQLTKRDIDDIVQCKPGAVE EFLSKLQVKIANYRLRRTSSTDVGNDNATSPVRDLSGHTKHGGDHINDDDTPQSDHSS EFAPKRSEVRDAKTKDDHSDDYPGSAASFTSKTSHKSSVDHSDVQLELQEKTSIIDEQ RETIQILEQKIQKLEQLVRLKDGKIQTLVAKLRTQK H257_03828 MFTTFAAPPVALSNRKLRENVAAHRPELIPLFKLYHKAENSQQQ PWEMESPVNAVKLSTFLHSKMLLSPELNRNSPCYIARRIIQLYIKLKYIATFPPNEID EYGSLGDQEYDEVRMVRFLLHNGQPASDTEHIYRLASMLGISYHGDLWVDVMKFVTCA LPFAEHTESLLVRGSDDRSILDPTTKTNKYNTSTIPSVQASQAWISRASCTSSSISLD QFAVCEALRQELLLASLSINNDNIRDIFDRKMHHVRLRLANCLGLRARFDDHEFQAII SPSGTDAELLATSCALARLEAVHSDAHTTPGTVTSIVTAQGEIGRGSVAASSGQHFSK LTPSGEGVEVGTSLCAFPSCRVKCIQVPARNEDGSVVDTDTTASQAVTNALTANPNNV ALLHVVMGSKTGLSCPSLNAVQALTSTYGTRLVVVIDACQMRLNRGALVDFIQRGYIV LVTGSKFYAGVPFCGSVLIPAQSVYELNVANPSVCFPAGYGDYFTKFDFPPFCMQNVR AALPSRMNVGLLLRWETALVNMEVYASIPPAMVAQISHEYIERTKSMLATHTHVALLD PFDEAAKALKPKPPVSADTGLPIQPLDTIVSFHVVDSSKGFLSVDKLKVVHLLLSKDI SVVVAGTNDVALAQKKCLVGQPVSLGKLPYGVIRIALGADMVNRIFQGTQTMSELVLE DAIILRKIELILNHWELLCARFVDIPTASVAMSAATSVDTTRPSPPPMWNFSAKSAHY SSVVRHMVEGGLITIPRTMLYDLDAIDMAFQALVAPFPPHFEHRFAVAACPLSFFLRR AIENDVGLTCTSIEEVHHALRLGCAPHKIVYTAPHKSPRDIADAINAGVEVNADSFDE LEIIRTHAQQRFQSNFPECTPRYAGELPRIGLRVHACLHTTAPKWSVGIPLTKRNRAK LVQAFHDNPWITGLAVACCAECPIGVTPMQQLAHGARVVCELAKEVDAAVGEDRVKVL NLGGRGLTANFESDDVSPTLAEFVDVLKNDAPKVLERNNGRTVVTEYGSYVSAKVGWT LHQVECVRESSSPVDDVEDDEHVLNMVVVQPDDAFFTTVRTPSTTSLSQPPFQHRVSV FKSDGLTSTAKLMTQEVVCFCASNNDSDSVRFRQVSMPQVERGDFLVLHDTGARNNVI GNGAVYGYRKHDDEFKVVLLKPGHTLEQAIQSWS H257_03829 MPTTTTTFPTSWSFVPTTTERPDNNSTGKPATSRIELSASIHGT TMLNAKRTGTKPTSMVVPWVDADNSMRLVAI H257_03830 MANTDKRRNWTPEEDVVLLINSPPTYPLQLTRVKLRSPGNPWRT KEHRKFDMASAKLSGVDQEELEKHTLLDDLLPLLDFGGLGY H257_03831 MLVLLTVVLAFMMPASGQPPTKEECAKHGPNTTCHRNIAFVCGS DGQSYDNHCEFLIAACASNSHLSLHARGHCDDIRPTTDECDRIGPLCPRIYIPVCGSD GRNHGNSCEFQIRQCKDPTLRYRGNGECEVKRPTKDECNRGRHLKGLH H257_03832 MLVLLTVVLAFMMPASGQPPTKEECAKHGPNTTCHRNIAFVCGS DGQSYDNHCEFLIAACASNSHLSLHARGHCDDIRPTTDECDRIGPLCPRIYIPVCGSD GRNHGNSCEFQIRQSVVLIFSYSSAPS H257_03833 MPASGQPPTKEECAKHGPNTTCHRNIAFVCGSDGQSYDNHCEFL IAACASNSHLSLHARGHCDDIRPTTDECDRIGPLCPRIYIPVCGSDGRNHGNSCEFQI RQSVVLIFSYSSAPS H257_03834 MSVVHRFAYATLVATDSYAVGAEVLRASLLATKSPYTLVILHTP TVSESVVENLRRLENVQVVPVAWLYPRPDQATSYAFDRFKDVWKKLRVFELTVYDTVA FLDSDMLVTQNMDELFTLIGDAPDTLVASLACTCNPMKIPHYPTHWQPENCPYWKREH GGMVDSNIVPKYFNSGMFVLHPNMATFNRLVSRFQDEPDLSRFVFADQCFFNENFPNF HVASYKYNAVKMLQSAHPATWNMEVGRCQDYFSSGLAAGSEERAFHSHETMGRRSNRS RSRGSSLPRFVQNLVGHKGQQRPRRRLVDVIVVAPASRWKLLRTRASQSCHKSSCCHP PRTYRAAIQAYAPLNYKDWHHADGTTAMQSEPNL H257_03834 MSVVHRFAYATLVATDSYAVGAEVLRASLLATKSPYTLVILHTP TVSESVVENLRRLENVQVVPVAWLYPRPDQATSYAFDRFKDVWKKLRVFELTVYDTVA FLDSDMLVTQNMDELFTLIGDAPDTLVASLACTCNPMKIPHYPTHWQPENCPYWKREH GGMVDSNIVPKYFNSARPSNCSPKLVDMATFNRLVSRFQDEPDLSRFVFADQCFFNEN FPNFHVASYKYNAVKMLQSAHPATWNMEVGRCQDYFSSGLAAGSEERAFHSHETMGRR SNRSRSRGSSLPRFVQNLVGHKGQQRPRRRLVDVIVVAPASRWKLLRTRASQSCHKSS CCHPPRTYRAAIQAYAPLNYKDWHHADGTTAMQSEPNL H257_03834 MSVVHRFAYATLVATDSYAVGAEVLRASLLATKSPYTLVILHTP TVSESVVENLRRLENVQVVPVAWLYPRPDQATSYAFDRFKDVWKKLRVFELTVYDTVA FLDSDMLVTQNMDELFTLIGDAPDTLVASLACTCNPMKIPHYPTHWQPENCPYWKREH GGMVDSNIVPKYFNSDMATFNRLVSRFQDEPDLSRFVFADQCFFNENFPNFHVASYKY NAVKMLQSAHPATWNMEVGRCQDYFSSGLAAGSEERAFHSHETMGRRSNRSRSRGSSL PRFVQNLVGHKGQQRPRRRLVDVIVVAPASRWKLLRTRASQSCHKSSCCHPPRTYRAA IQAYAPLNYKDWHHADGTTAMQSEPNL H257_03834 MSVVHRFAYATLVATDSYAVGAEVLRASLLATKSPYTLVILHTP TVSESVVENLRRLENVQVVPVAWLYPRPDQATSYAFDRFKDVWKKLRVFELTVYDTVA FLDSDMLVTQNMDELFTLIGDAPDTLVASLACTCNPMKIPHYPTHWQPENCPYWKREH GGMVDSNIVPKYFNSGMFVLHPNMATFNRLVSRFQDEPDLSRFVFADQCFFNENFPNF HVASYKYNAVKMLQSAHPATWNMEVGRCQDYFSSGLAAGSEERAFHSHETMGRRSNRS RSRGSSLPRFVQNLVGHKGQQRPRRRLVDVIVVAPASRWKLLRTRASQSCHKSSCCHP PRTYRAAIQGM H257_03834 MSVVHRFAYATLVATDSYAVGAEVLRASLLATKSPYTLVILHTP TVSESVVENLRRLENVQVVPVAWLYPRPDQATSYAFDRFKDVWKKLRVFELTVYDTVA FLDSDMLVTQNMDELFTLIGDAPDTLVASLACTCNPMKIPHYPTHWQPENCPYWKREH GGMVDSNIVPKYFNSARPSNCSPKLVDMATFNRLVSRFQDEPDLSRFVFADQCFFNEN FPNFHVASYKYNAVKMLQSAHPATWNMEVGRCQDYFSSGLAAGSEERAFHSHETMGRR SNRSRSRGSSLPRFVQNLVGHKGQQRPRRRLVDVIVVAPASRWKLLRTRASQSCHKSS CCHPPRTYRAAIQGM H257_03834 MSVVHRFAYATLVATDSYAVGAEVLRASLLATKSPYTLVILHTP TVSESVVENLRRLENVQVVPVAWLYPRPDQATSYAFDRFKDVWKKLRVFELTVYDTVA FLDSDMLVTQNMDELFTLIGDAPDTLVASLACTCNPMKIPHYPTHWQPENCPYWKREH GGMVDSNIVPKYFNSDMATFNRLVSRFQDEPDLSRFVFADQCFFNENFPNFHVASYKY NAVKMLQSAHPATWNMEVGRCQDYFSSGLAAGSEERAFHSHETMGRRSNRSRSRGSSL PRFVQNLVGHKGQQRPRRRLVDVIVVAPASRWKLLRTRASQSCHKSSCCHPPRTYRAA IQGM H257_03834 MSVVHRFAYATLVATDSYAVGAEVLRASLLATKSPYTLVILHTP TVSESVVENLRRLENVQVVPVAWLYPRPDQATSYAFDRFKDVWKKLRVFELTVYDTVA FLDSDMLVTQNMDELFTLIGDAPDTLVASLACTCNPMKIPHYPTHWQPENCPYWKREH GGMVDSNIVPKYFNSGMFVLHPNMATFNRLVSRFQDEPDLSRFVFADQCFFNENFPNF HVASYKYNAVKMLQSAHPATWNMEIQVKGKFPSSICTKSGGPQGTTTPPPPPCRRDRS RTGESMEAAAY H257_03834 MSVVHRFAYATLVATDSYAVGAEVLRASLLATKSPYTLVILHTP TVSESVVENLRRLENVQVVPVAWLYPRPDQATSYAFDRFKDVWKKLRVFELTVYDTVA FLDSDMLVTQNMDELFTLIGDAPDTLVASLACTCNPMKIPHYPTHWQPENCPYWKREH GGMVDSNIVPKYFNSGMFVLHPNMATFNRLVSRFQDEPDLSRFVFADQCFFNENFPNF HVASYKYNAVKMLQSAHPATWNMEIQVKGKFPSSICTKSGGPQGTTTPPPPPCRRDRS RTGESMEAAAY H257_03834 MSVVHRFAYATLVATDSYAVGAEVLRASLLATKSPYTLVILHTP TVSESVVENLRRLENVQVVPVAWLYPRPDQATSYAFDRFKDVWKKLRVFELTVYDTVA FLDSDMLVTQNMDELFTLIGDAPDTLVASLACTCNPMKIPHYPTHWQPENCPYWKREH GGMVDSNIVPKYFNSARPSNCSPKLVDMATFNRLVSRFQDEPDLSRFVFADQCFFNEN FPNFHVASYKYNAVKMLQSAHPATWNMEIQVKGKFPSSICTKSGGPQGTTTPPPPPCR RDRSRTGESMEAAAY H257_03834 MSVVHRFAYATLVATDSYAVGAEVLRASLLATKSPYTLVILHTP TVSESVVENLRRLENVQVVPVAWLYPRPDQATSYAFDRFKDVWKKLRVFELTVYDTVA FLDSDMLVTQNMDELFTLIGDAPDTLVASLACTCNPMKIPHYPTHWQPENCPYWKREH GGMVDSNIVPKYFNSARPSNCSPKLVDMATFNRLVSRFQDEPDLSRFVFADQCFFNEN FPNFHVASYKYNAVKMLQSAHPATWNMEIQVKGKFPSSICTKSGGPQGTTTPPPPPCR RDRSRTGESMEAAAY H257_03834 MSVVHRFAYATLVATDSYAVGAEVLRASLLATKSPYTLVILHTP TVSESVVENLRRLENVQVVPVAWLYPRPDQATSYAFDRFKDVWKKLRVFELTVYDTVA FLDSDMLVTQNMDELFTLIGDAPDTLVASLACTCNPMKIPHYPTHWQPENCPYWKREH GGMVDSNIVPKYFNSDMATFNRLVSRFQDEPDLSRFVFADQCFFNENFPNFHVASYKY NAVKMLQSAHPATWNMEIQVKGKFPSSICTKSGGPQGTTTPPPPPCRRDRSRTGESME AAAY H257_03834 MSVVHRFAYATLVATDSYAVGAEVLRASLLATKSPYTLVILHTP TVSESVVENLRRLENVQVVPVAWLYPRPDQATSYAFDRFKDVWKKLRVFELTVYDTVA FLDSDMLVTQNMDELFTLIGDAPDTLVASLACTCNPMKIPHYPTHWQPENCPYWKREH GGMVDSNIVPKYFNSDMATFNRLVSRFQDEPDLSRFVFADQCFFNENFPNFHVASYKY NAVKMLQSAHPATWNMEIQVKGKFPSSICTKSGGPQGTTTPPPPPCRRDRSRTGESME AAAY H257_03834 MSVVHRFAYATLVATDSYAVGAEVLRASLLATKSPYTLVILHTP TVSESVVENLRRLENVQVVPVAWLYPRPDQATSYAFDRFKDVWKKLRVFELTVYDTVA FLDSDMLVTQNMDELFTLIGDAPDTLVASLACTCNPMKIPHYPTHWQPENCPYWKREH GGMVDSNIVPKYFNSGMFVLHPNMATFNRLVSRFQDEPDLSRFVFADQCFFNENFPNF HVASYKYNAVKMLQSAHPATWNMEEVKNVHFILTKPWDVDPTDPGQGEVPFLDLYKIW WATRDNNAPAAALST H257_03834 MSVVHRFAYATLVATDSYAVGAEVLRASLLATKSPYTLVILHTP TVSESVVENLRRLENVQVVPVAWLYPRPDQATSYAFDRFKDVWKKLRVFELTVYDTVA FLDSDMLVTQNMDELFTLIGDAPDTLVASLACTCNPMKIPHYPTHWQPENCPYWKREH GGMVDSNIVPKYFNSGMFVLHPNMATFNRLVSRFQDEPDLSRFVFADQCFFNENFPNF HVASYKYNAVKMLQSAHPATWNMEEVKNVHFILTKPWDVDPTDPGQGEVPFLDLYKIW WATRDNNAPAAALST H257_03834 MSVVHRFAYATLVATDSYAVGAEVLRASLLATKSPYTLVILHTP TVSESVVENLRRLENVQVVPVAWLYPRPDQATSYAFDRFKDVWKKLRVFELTVYDTVA FLDSDMLVTQNMDELFTLIGDAPDTLVASLACTCNPMKIPHYPTHWQPENCPYWKREH GGMVDSNIVPKYFNSARPSNCSPKLVDMATFNRLVSRFQDEPDLSRFVFADQCFFNEN FPNFHVASYKYNAVKMLQSAHPATWNMEEVKNVHFILTKPWDVDPTDPGQGEVPFLDL YKIWWATRDNNAPAAALST H257_03834 MSVVHRFAYATLVATDSYAVGAEVLRASLLATKSPYTLVILHTP TVSESVVENLRRLENVQVVPVAWLYPRPDQATSYAFDRFKDVWKKLRVFELTVYDTVA FLDSDMLVTQNMDELFTLIGDAPDTLVASLACTCNPMKIPHYPTHWQPENCPYWKREH GGMVDSNIVPKYFNSARPSNCSPKLVDMATFNRLVSRFQDEPDLSRFVFADQCFFNEN FPNFHVASYKYNAVKMLQSAHPATWNMEEVKNVHFILTKPWDVDPTDPGQGEVPFLDL YKIWWATRDNNAPAAALST H257_03834 MSVVHRFAYATLVATDSYAVGAEVLRASLLATKSPYTLVILHTP TVSESVVENLRRLENVQVVPVAWLYPRPDQATSYAFDRFKDVWKKLRVFELTVYDTVA FLDSDMLVTQNMDELFTLIGDAPDTLVASLACTCNPMKIPHYPTHWQPENCPYWKREH GGMVDSNIVPKYFNSDMATFNRLVSRFQDEPDLSRFVFADQCFFNENFPNFHVASYKY NAVKMLQSAHPATWNMEEVKNVHFILTKPWDVDPTDPGQGEVPFLDLYKIWWATRDNN APAAALST H257_03834 MSVVHRFAYATLVATDSYAVGAEVLRASLLATKSPYTLVILHTP TVSESVVENLRRLENVQVVPVAWLYPRPDQATSYAFDRFKDVWKKLRVFELTVYDTVA FLDSDMLVTQNMDELFTLIGDAPDTLVASLACTCNPMKIPHYPTHWQPENCPYWKREH GGMVDSNIVPKYFNSDMATFNRLVSRFQDEPDLSRFVFADQCFFNENFPNFHVASYKY NAVKMLQSAHPATWNMEEVKNVHFILTKPWDVDPTDPGQGEVPFLDLYKIWWATRDNN APAAALST H257_03835 MLVLLTFVLAFLMPSSGQRLTKEECARPRACTRELDYMCGSDGV SYGNPCLFRFAQCDNPKLFLRAIGPCEDPLVLCPLSCGYSYAPVCGSDGITYANDCEF RKAKCHTLSLSIVKANGACEAETPLHEEDRHDDCPAACIALWDPVCGTDGKTYGNSCE LAMAKCKQGSTSTLAILHKGECTDKKVHERIAGHDSLVIVAACVAFLAAASSVENDTS DHCASIRGCTRVYMPVCGSDGVTYGNDCLLKLAQCSEKTTLELVSEGECSEKRELSEA CPNTCIEIYQPVCGTDGNTYDNECVLRQETCRAHTVVGIAFEGECSEKRELTEACPDA CIEIYQPVCGTDGNTYSNECVLRQETCRAHTVVGIAFEGECDVHANVHVRQADPECPQ ACLEIFQPVCGSDGVTYENECSMKRDACAKHIKLTVVRQGDCDGKWPLGV H257_03836 MTDAVQTWKAQLVECDPTSDQWKEAAQHMREYAADFVNGLKRRP NSRAVDEVRALIPDDLREELEALPVAQFALGLIFYRPFQDYNRVYRHFSHEYAKELSM QARKDFSEFDTTSFVYGEISFFPFADILDSISADIPVGNGVFYDLGSGVGKAVMAAAL VHKFHKAIGIEQLKPLVDFSHARVDRLMETNDVAGQTISYLCGSFFDHKWSDGDVVFC HSTCFSPRYVFVISLPMVGCLDTKDMMDSMWERISKDAEQLKQGAYFISVSHILASPL FEVLRTLTVQMGWGNCTVYIQRRRRIGRWASKMLKGSATRTDLQRPNTKQSELRE H257_03836 MTDAVQTWKAQLVECDPTSDQWKEAAQHMREYAADFVNGLKRRP NSRAVDEVRALIPDDLREELEALPVAQFALGLIFYRPFQDYNRVYRHFSHEYAKELSM QARKDFSEFDTTSFVYGEISFFPFADILDSISADIPVGNGVFYDLGSGVGKAVMAAAL VHKFHKAIGIEQLKPLVDFSHARVDRLMETNDVAGQTISYLCGSFFDHKWSDGDVVFC HSTCFSPSMWERISKDAEQLKQGAYFISVSHILASPLFEVLRTLTVQMGWGNCTVYIQ RRRRIGRWASKMLKGSATRTDLQRPNTKQSELRE H257_03836 MTDAVQTWKAQLVECDPTSDQWKEAAQHMREYAADFVNGLKRRP NSRAVDEVRALIPDDLREELEALPVAQFALGLIFYRPFQDYNRVYRHFSHEYAKELSM QARKDFSEFDTTSFVYGEISFFPFADILDSISADIPVGNGVFYDLGSGVGKAVMAAAL VHKFHKAIGIEQLKPLVDFSHARVDRLMETNDVAGQTISYLCGSFFDHKWSDGDVVFC HSTCFSPSMWERISKDAEQLKQGAYFISVSHILASPLFEVLRTLTVQMGWGNCTVYIQ VRVTSNSVHDT H257_03838 MYSLQVKSKLSNSSSSSSSNGSKSIQIEGASRDESSLQYDNDDI LDYDDDNHYDPGGRPLTPQSWVQKIGSAMFQKIKITPSTDAPIVVIKQAVPASPPQPV QPNKTTTCVPHRPSKFHLHLDTQPDETRIAMPPSSTFPDDDRDVPKPRGGSIGHQSIE FIKVYCSPKRQPQSPKIQPQRDPPASRSSSSPVRRTDVNNFLHDDDLSPMKRPEVRGD VVPVLQSFFARPKPTKGRTKHYAHTVDKMIHRQEQYKRDLARHDQLRASAAALSCKTN NMDDDDTVVCRREALRTHARSLKRQRMADLAAAKAVLHARDEMDRRCFQDTRESWEGA FEADVAELAVAFAKASHHDDSRHRRPMTTAGLVVPQAQSQVKRDKVLLLLRAQTAPTT PQTLPSAYNPSRAPSPVMEGVLPGGDDDESISKLHPLEAPSGYDWLDRSQSVEAAEKA TSGLSDSDMLAASMLSENDAHDDASSRADTVSGVCGHPSPSDKSTL H257_03837 MVNDKKRQGHECGTSFRAKVWCNWDCCGVVCAAISWFLILYAEY VVVGVIIYPWMGPSILGLVHMCLFTTTCFLALVSHGKAMMTDPGSVPEDAIPVALKHA PKEELNRLEEQRYRTCRRCKTFKPARAHHCSICERCVIKMDHHCPWVNNCVGLGNHKF FLLFIFYVFLLSAYALSLVFTRYAHCIDETCASNGLMHVVCLCMEAVLFGLFTMCMMC DQYSVITTGTTQIDRLKGETSENLGVREVFGGTSNNLAMHWFFPVNIWFPESIKDQLL GYALEELTVENDPTDVLLMSGEMDVFLPHGDTVLTEKLQGGWSVEKHVLPKEDIHVV H257_03839 MASEPAWACVASSAFPLIYSYSKDLTLGGTRSRSMEKDHVKLIQ ALEQQLKLKGRSVANKAETLFFYSHFPFLTPIELELVLLRLSEQFCAPTSSTSFRVAI LALFERLQGHFPNKSISDVSKITTPIAQVVAQHDLVETRVLALRVLAILAPFCRDDAT AHTAILHSIASSQHSEVSVAVATAVALVPHSPSFQQVAAQTFLSDALQLHTLPLLPLL CADSSIAHEQYAKCHSFLHRGVYHNDDGVVEYASIRWVAPILTTMTQLSILDLTSTRL TSLTALFRSLLAPSRVNASLQRIVLRCCCCLTLAPPSTPSHILDDLLHVVAGTIYPHA CSDDVDMDMLVFFERWSQHRALPEDLTVPRQLFARQAAPRASAIFARMLFHQARHQPL SIDGDHRPTTSSAAASVAVTCLVQVLVEAPVKIQPTIIKVVEGLATSCPRVATALLSR LCQALLESNCLSSASAMWVLVSHLASIGSVPDTIQAAIVATVPSKWTANESTTALAMV VTLLRSGGGYSSADSRRQGILVAMTTHDDSYALYQTARECILHGAFDIATNILSRVKA HCASERTGHWMAALHAWTSAEGLLMSQPSIVPSVGLVHLHAAITSLEAAATSTTPMDF PLAFSNFRVGYLTTLQSLFQHMFEVQIAGTSSSVGASSSAQRRRLGDVARDFTLHATT VLSLGRASWSHEDLLLLAAHGHLCHVLHDAVHRFFVMPPLNSSETPVHQPHSIRQWPG SVAGTCHRLTTPSPLWHFCNALDSELPHVPVPASLRDAAALVVDLARAAIAVPCAIPR QFFRTTSVDVPCDVQIASASLKMMSRSVLGLAAHTPTCHGHLHVSMDLAHARHGSPLR DHMSPSWRLVFEGALDNGFAFTTHAEYAHDGKKRWQGALPLQVDAGGFGAQGTSCALI GHLWLVNSHERWLVAERVLERTVVVY H257_03840 MVGWGGPLMVLLAVLLLSTGTHDVHAACPDIYRWEPSTQRHEVV FSYSNREHEVWMSQDPRQRKWFLTISNAVEAKKTHVKVVERKHSYPILASIISLVVLL TTIFLIIRFTT H257_03841 MSRPQKKPLQPWAEQMISAYHLPPLDDDDYFVQWETGRLGVNIS EDKESELPYVSKITDAQSPLAAAMEVGDFLLCVNELRAEENSFNNFLRLLTTKQKPVL LRFRRGTPATRNRIIANHDAKYPPRSSSLQRKSSKEDLSSRTSSSLRPILTSDATIST SSSRWSSQLSPSVVASSSFARTRSGHQADISLSPLPSPPPPMLPSEHGRSTSIPESRV MPPSSSQQRSPAPPAGIIALPTTSSTPPPPHLLASSSSSSSSSISDDAAAFIFSWVDG PLGVFFGEDDATQLPVVTRTLSHASPLITANVQVGDTLVSANGLLSRDHPFAEFFAAL QKMTKPIQLVFAPSIAPLADDVSMLSTPDDAITGDHVTTSHEPDQGGHHRPNPPPPRS PASRGIDVGAKGEPLKDSDKKPTAATTTISPLGPNHVIPQTASPSTTKAPVMASRGLL PEVPPAAAPPMVLDERPYTTDDDDDNDDVGKATSLLHGHPSNTKQHDEDEEDEDDSSV ITDVDLDLESADDDDDDVAEDAVMPTLEFIKGAPSPKHQGERGDAGSQPPTHSTDGTP LLVRPTIIPTTSSSGGVPPTATSSNNNERTGGGRRSRSGGKSKRNNYTAGPRLPRVNE LEEQTIALLEPNSVNMKLTVRGRLKSQRVSKADTPDSAMYLVKWKENRSIGVQLRECR LAKGVYPMVVLVCRDPCCDALRHVHIGDLLVEINGRDTAMMSVKKTVAFVKTCTKTAL LKFKRGPGISVSRVSA H257_03842 MQQLEIRRSCRSFVDLLVEINGRDTSMMSVKKTVAFVKTCTKTA LLKFKRSLCISMPRASA H257_03843 MLVLLTVVLAFLMPASGQRPNCALYGPNTVCHRNINYVCGSDGF SYDNPCFFKIAQCANPNLFQRAQGHCDDIAVRPTKDECDRDDGTCTREHNPVCGSDGK TYGNPCVFRAAQCKDLSLTKQSDGKCEETEC H257_03844 MRPALELYKLRSKKSAFRLHHCWLILKDAPKWSVAMEPQEAGSE PASVTLAPVSAMASMLTVLSRSNPKWSRRSWNAMLLLVTVAN H257_03845 MRESLRRFCKAVQCEFGPTYLRSPTESDMRALLAHSESVGWPGM LGSIDCCHLAWKNCPKAWAGQYQGKSGEPTVVLEAVSDTRGRIWHCFFGMPGANNDIN VLDNSSLLFDAIHGTTPRVEFSVNGQTYDRPYWLADGIYPKYSVFVKIISNPSTTKEA HFSKAQESRRKDVERMFGMLQARWHSHESLPTLEQGCHEGCQMDQREVMAVPPSEWAI NSPPPTRASGNVAHAERLRGAFASVIDSTVHQRLQNDLVEHQWRIRLHNSEK H257_03846 MKPSIILLGEQNWDQWRTYIRGRLLTKGLWYVMDVTKSGDRRVG ESTEAAKTRLQTDMDKAYGIIIEGIEPSQYQYVEDAVTAIAAYKALSDHHKPKSKIDK LALSSELPPVARMLDCVHIDEKWFYITQVNRRFYLWHDEPPQRKAQSKRHITKIMFLC AVARPRHDSAHRKIWDGKVGLWPFVETQLAKRRSKNRDRGTPVTVLMTVTKPVSPWCV EDAFENLAWRVLDKTFMTLQKVMEEAMRSSGDNVYKLPHLKKDIQFKTGVTELRPSCD EDVLLAIESMENRLKDEQRR H257_03847 MESYKGQSTPSIACIVIHGPESASSQFDHTELEEQTIALLEPNS VNMNLTVRGRLKSQRVSMADTPDSAIYLVKWNENRSIGIQLRECRLAKGVYPMVVLVC RNPCCDALRHVHIGDLRVEINGRDTAMMSIKKTVCENVHQDRLAQYWASRACWAWHVV TVPFGTKEQVGVGALSNLKEAGVGVAETIRAAYQVDVAVACSVGPKSSALFLRRALA H257_03848 MAVEYVAAATPVNIKDVDALDKFETESEEIPQAVLDELKAKYNW IYYAFFFLDGAVMWAYFSCLSAQDFYATKYPSVKFSFLTTMFLTWPLSLGYVIQMWGG LDHALGHRLRMIIGFSLIAATGVVIIIHDWFDLSETTGAYLCLSMFAVSGAAHSVSEP VLYIIAGLFPDEKFTNAVQIGDSMAGCVNVVAATIIRLIVGGYKSESGSTAVNVSFYL FMGLLVLVSFLAIYIHEKLSNIPAVKYLLDRADRDHKKKALVSLRELWSNYFRVAKLI AVPLVAQYMMFFCTLTLFPGIGCTSSMHVIDPKSNAIAWYCSPGIIASFSFGDLAGRY LCNIKVVWSFFSAKSIFVISFARWVWLPLLLMGLYASPLYAFIHAQTFGLFWQIIMYF LFGITGGMFATVTIGMAPLMVSQADRQAASALMVMALYLGLSTGSTFGYAIGNNHYFN VGL H257_03849 MLHPALLCSTSLATAVAAVSLYHWIWSRKSNHAKPSGEAAASVR DGHGGLIGNTPLVLIPSLSKATGCRILAKAEFLNPGGSSKDRVAKGIIEDAEARGLLG PGGTIVEGTSGSTGISLALLARAKGYNCLIVMPDDQAKEKGELLQKFGATVEFVKPAS IVNAKHYVNQARRRASEIPGGYFADQFENLANTDIHYRTTGREIWQQTHGALDAFVMS AGTGGTIAGVSRYLKEMNPAIQIVLADPPGSSLYNKVRHNVCYAPQQSERTVRRHRYD TVAEGIGIDRLTANFLLAHVDDAYVVSDADAVNMARHLLREDGLFVGSSSAVNCVAAV RLAKKLGPGHEIVTVLCDSGQRHLTKFWNDDHLKAEWQLEATATDLSFVDISTGQP H257_03850 MHKATSTPTTNVYVLTCCACIGGFLFGYDTGVISGALVSMQASG NQFLLTPWQSETVVSAAILGAIVGAAAGGFGNDPVGRKPMILLSSALFTIGAIAMGLA STVTALVGGRFVVGIAIGISSMTIPVYIAETSLPEFRGTLVSLNTLMVTFGQFFATVF DGVLSSTPDGWRYMLGAVALPSSIQFVAFLVLPESPRWLYDKGLVTQAHDVLAHLRGQ KSDSFELEWATMLQIKSHQSQSSSWQDLRHPAVTRALVLGCGLQVLQQLCGINTVMYY GATIMQMAGFSDPSTAIWLAAVVALSDFAFTFVGIYLVDRLGRRPLTLGSLLGVTVSL LALGGAFYMARLTSMQLRGGDSNDGCGRLTACFDCAASAACGICDAVCVPGTATGPQG YYSCLSYTYQTCPSQSTAVASSMWHVVIVVALFVYLACFASGMGTRRTTLRRQKANCY VLRMHAVDNQRRNLPPACPQRGHWRRHDRQLGLQPACVVYVPYDCGRVGSTWRVLALR GDCGDGWNACVCAFARNERRASRRHPEAIPPARPRQLRASAVVGTTRNSLNAGDAAHI GCMPSLFNNQKIFRHQSII H257_03850 MHKATSTPTTNVYVLTCCACIGGFLFGYDTGVISGALVSMQASG NQFLLTPWQSETVVSAAILGAIVGAAAGGFGNDPVGRKPMILLSSALFTIGAIAMGLA STVTALVGGRFVVGIAIGISSMTIPVYIAETSLPEFRGTLVSLNTLMVTFGQFFATVF DGVLSSTPDGWRYMLGAVALPSSIQFVAFLVLPESPRWLYDKGLVTQAHDVLAHLRGQ KSDSFELEWATMLQIKSHQSQSSSWQDLRHPAVTRALVLGCGLQVLQQLCGINTVMYY GATIMQMAGFSDPSTAIWLAAVVALSDFAFTFVGIYLVDRLGRRPLTLGSLLGVTVSL LALGGAFYMARLTSMQLRGGDSNDGCGRLTACFDCAASAACGICDAVCVPGTATGPQG YYSCLSYTYQTCPSQSTAVASSMWHVVIVVALFVYLACFASGMGCMPWTINAEIYPLH VRSVAIGAATTANWVSNLLVSYTFLTIVAVLGPHGAFWLYAAIAAMGGMLVFAHLPET KGVLLEDIPRLFLPPDHANYEPLP H257_03850 MHKATSTPTTNVYVLTCCACIGGFLFGYDTGVISGALVSMQASG NQFLLTPWQSETVVSAAILGAIVGAAAGGFGNDPVGRKPMILLSSALFTIGAIAMGLA STVTALVGGRFVVGIAIGISSMTIPVYIAETSLPEFRGTLVSLNTLMVTFGQFFATVF DGVLSSTPDGWRYMLGAVALPSSIQFVAFLVLPESPRWLYDKGLVTQAHDVLAHLRGQ KSDSFELEWATMLQIKSHQSQSSSWQDLRHPAVTRALVLGCGLQVLQQLCGINTVMYY GATIMQMAGFSDPSTAIWLAAVVALSDFAFTFVGIYLVDSLLGVTVSLLALGGAFYMA RLTSMQLRGGDSNDGCGRLTACFDCAASAACGICDAVCVPGTATGPQGYYSCLSYTYQ TCPSQSTAVASSMWHVVIVVALFVYLACFASGMGCMPWTINAEIYPLHVRSVAIGAAT TANWVSNLLVSYTFLTIVAVLGPHGAFWLYAAIAAMGGMLVFAHLPETKGVLLEDIPR LFLPPDHANYEPLP H257_03850 MQASGNQFLLTPWQSETVVSAAILGAIVGAAAGGFGNDPVGRKP MILLSSALFTIGAIAMGLASTVTALVGGRFVVGIAIGISSMTIPVYIAETSLPEFRGT LVSLNTLMVTFGQFFATVFDGVLSSTPDGWRYMLGAVALPSSIQFVAFLVLPESPRWL YDKGLVTQAHDVLAHLRGQKSDSFELEWATMLQIKSHQSQSSSWQDLRHPAVTRALVL GCGLQVLQQLCGINTVMYYGATIMQMAGFSDPSTAIWLAAVVALSDFAFTFVGIYLVD RLGRRPLTLGSLLGVTVSLLALGGAFYMARLTSMQLRGGDSNDGCGRLTACFDCAASA ACGICDAVCVPGTATGPQGYYSCLSYTYQTCPSQSTAVASSMWHVVIVVALFVYLACF ASGMGCMPWTINAEIYPLHVRSVAIGAATTANWVSNLLVSYTFLTIVAVLGPHGAFWL YAAIAAMGGMLVFAHLPETKGVLLEDIPRLFLPPDHANYEPLP H257_03850 MQASGNQFLLTPWQSETVVSAAILGAIVGAAAGGFGNDPVGRKP MILLSSALFTIGAIAMGLASTVTALVGGRFVVGIAIGISSMTIPVYIAETSLPEFRGT LVSLNTLMVTFGQFFATVFDGVLSSTPDGWRYMLGAVALPSSIQFVAFLVLPESPRWL YDKGLVTQAHDVLAHLRGQKSDSFELEWATMLQIKSHQSQSSSWQDLRHPAVTRALVL GCGLQVLQQLCGINTVMYYGATIMQMAGFSDPSTAIWLAAVVALSDFAFTFVGIYLVD SLLGVTVSLLALGGAFYMARLTSMQLRGGDSNDGCGRLTACFDCAASAACGICDAVCV PGTATGPQGYYSCLSYTYQTCPSQSTAVASSMWHVVIVVALFVYLACFASGMGCMPWT INAEIYPLHVRSVAIGAATTANWVSNLLVSYTFLTIVAVLGPHGAFWLYAAIAAMGGM LVFAHLPETKGVLLEDIPRLFLPPDHANYEPLP H257_03851 MTLVPLRIGLFGCGTVGGGVFQILESRAAFLKSIGVNATIEKIC VRNATKPELVALVKGHSTTITTKYDDILDDSSINCIVELMGGVTDAKDVVFKAIQKNK HVITANKALVANHMTELNELLQAHPTVRFGYEASVCGGIPIIHTLQSSYSGDVIKEIA GIMNGTTNYMLSKMETEGASYEAVLKEAQDLGYAEANPSADVDGFDVQAKIAILAKLG FGCVIPPSSIPTTGITRIKAIDFEYAQLMHSTIKLLGVAKRSNPHAPNEISVFVSPIL VPRSNVIGSCGGASNIVNVVSDNCINGAYVGQGAGRFPTANSVANDIVRLAQGVVPPA AFAATQQVTIQNDFAGKFYMRIRISDGLGIVRRVGEEAEKAGISIDSILQLPIQDRRN VDFVVTTDVTQLSKVHVMAKGIEGLPFVLEPPLFLPIL H257_03852 MDFAALLREEKRKAVAARQQATATGVIQDQSLVSPSVDGAVECP TLDATPLPFRHRLPVDLNSYQVCKSKVSSVYYIPNWLSGDEEAAILERVHAAPSSAWV QLKHRRLQVWGGSVTNTYTPTPLPSWLQVLSASLVDTRVFDSTHAPNHALINEYKRGD CILPHEDGPMYHPLVAIVSLGADAVMTFRRHLRHLNQSDDPFELNVERRSLLVFMHEA YTQYLHSIDNVVQGTRVSLTIRHALQHS H257_03853 MGVVQRVLDWPHLPMVVFNLILPLVIFNVAKEHTSQIVAVFLSG IPPMGKTLVQVFAYNKKDVISIVQIISTAFSVVIMLFTDNAKVLLVKDSLSTITVGIL YFVSVAYFHEDLFFTFRRHFSSKTPEEMDALYALPHVQRASRYLSVVWGFGMIIEAFI RITLIVLLSVQTMVYVSPVIPMVCFWPLGFWSFKYLQKHEVVEGAENDVTKPLLPTSK ELDYQVDSLA H257_03854 MDNKDDQDAEHKSDEDEAKKTTQRVGPRSMLEGTEDDKVEYRSI SAMSAAAPPAFGRSFGHMHGPALGLPAPFSMRKAASAVPKASQFAAVPAPSTIPMLDT TSYANTSVKPLPAAPFRLELHSHFHVKNSSVQRVCMVIGQKLLDLGTDFVFKPLKGKW KVSKVDGSSMVEFNVALFKTGEAEHVVEFQRRQGDIVSMMHLYGEVAQACKKQQMLTG AGALKPLKHTRPAASPTSPQSAPWSTSDDMKAAVQSIHQMMASHHHDVQIQGILASIS LSSVTSTYRDCLSPLVPLLVSLAHSTVDQVKRCASFALARLCNDPECRRAFMNSDGWE LVVKLAAGGAGISLDCQRESLHVLEILCPLYSHELSEADGAAAVLTLLQDWQSIPDPR LKKHACGAHHALKAAGMLAQ H257_03855 MLVAKSTTLNDLVSDTTLKSKAASFLEAPHLAQPHEDFTDGIPY LYVGQGELAYSYHSHPLALVSDDATTCCIVMLHDSARFCLAHVDSSKQVRFLFDHWKS TRAVDDSSTTKVHVVGGYEDENGTGHAIVESILSAMQVGPRPFQVELWVTGPLNTLPP NASSAFALPRARGALCIGSAAGCAASSVDFAPNTYRGPLFNQRMACTPSTPLHLLKTC PALEYEPKFQTWIDDASDEEFLSRWSTSPYAEGPKFVADVKARFQFCIDQVGRHHQHT VLHRTQRYQYDCETHEWVGVL H257_03855 MLVAKSTTLNDLVSDTTLKSKAASFLEAPHLAQPHEDFTDGIPY LYVGQGELAYSYHSHPLALVSDDATTCCIVMLHDSARFCLAHVDSSKQVRFLFDHWKS TRAVDDSSTTKVHVVGGYEDENGTGHAIVESILSAMQVGPRPFQVELWVTGPLNTLPP NASSAFALPRARGALCIGSAAGCAASSVDFAPNTYRGPLFNQRMACTPSTPLHLLKTC PALECTLGPYTRLSLTLQPSDEPKFQTWIDDASDEEFLSRWSTSPYAEGPKFVADVKA RFQFCIDQVGRHHQHTVLHRTQRYQYDCETHEWVGVL H257_03856 MTASIESTPAEVQVDLNEVLEVADGKVPVDDEAEEVVDEKLKQV EDELKLTREQEDEPVDDAFKSISYAKRVEKLDSLLEKAGAYSKFLLSNMEAAPVEANV VVEAAAPKKRKGRQPKVKEGASKLQKINEHQASAHSVSEMKFKQPSLFTGGTLRAYQL EGIQWMCNLFENGLNGILADEMGLGKTVQVIGMLAQLKAKGVRGPYLVVAPLSTLSNW YNEFRKFTPTIPAMIYHGTTQERKQMRVQQLKRGTQTNADFPIIITSYEVIIRDASSF NAMGYVWKFMIIDEGHRLKNMNCLLMRELKKCRSENRLLLSGTPLQNNLSELWSLLNF LLPDVFDDLALFQSWFNSSNATNASTSTNDIISKEDVLTNQKQGQVVSKLHEILRPFV LRRLKVDVVLDVPSKTEIVVYCPMTDLQASYYKLIATKELAQALTAKHGKSASTAMTL RNMAIQLRKCCNHPYLFDEETDATGNVVTGDHLIQAAGKLVVMDAMLKQLLERGHKVL IFSQMTRVLDILEDYLVHRQIQYCRLDGSTHFTERQRSMDTFNDPSGGLQVFLLSTRA GGLGINLTGADTVILYDSDWNPHQDNQAQDRCHRIGQTKDVVVYRLITENSFENRMLQ RANAKRTLERVVLSRGEFSSASGEKAPTHTSLTMEELEGLLQDDIQIRQEATGGIAPD ELTLLCDRPQVIDAFVKKTTDVPRETKGYYVVDTAASRMMGMDSFT H257_03857 MPVERRQQYLNICRSNVVRVFPSTSEHADDVAVFNANQAATLER GTFVLEDPAGEATTSADLVAMTQRHVIHQSHAQRAPPSTASKGKHKWAWKSFVPKTKK KPTQHLQEDHESNPQPSPSTSESPRSPPVPAPAKQPRRMPTLAPPANQAISMPSTASH FSIPPVHPSSLPIESRSSTSKMTPDALSLAVDQVLNDDDW H257_03858 MTDRGVPIHHRDNPYPEPDTPPPLTSGNEGPYVDDGNICATPKP RSSPYDYCPATPPSGPRTPLTPIASTPRPAQPRPSMRISTSTTSYSHQPSPAPPRILM MPRRSEPQVQLTYLILPQTRGRSSTSAFHLRRPGSIVDTRPRLAPLKSKARPSEKEKM ETEVLPDYVVDHMFAMTPRSTSSSAAPGDDFIPSQSPIAVIEESSPASDEMKVETVLT TANHLGIAVFVDMMLKLVMFLVFMSYIDPIRMLFSIFGYSSSFSFSIRQYFLFTTFCA FDILFLVVWGWTGFGDKQLYRTTLAISNVLILVVEMVCTGRLLVLLRNLTPHQSQELQ DR H257_03858 MTDRGVPIHHRDNPYPEPDTPPPLTSGNEGPYVDDGNICATPKP RSSPYDYCPATPPSGPRTPLTPIASTPRPAQPRPSMRISTSTTSYSHQPSPAPPRILM MPRRSEPQVQLTYLILPQTRGRSSTSAFHLRRPGSIVDTRPRLAPLKSKARPSEKEKM ETEVLPDYVVDHMFAMTPRSTSSSAAPGDDFIPSQSPIAVIEESSPASDEMKVETVLT TANHLGIAVFVDMMLKLVMFLVFMSYIDPIRMLFSIFGYSSSFSFSIRQYFLFTTFCA FDILFLVVWGWTGFGDKQLYRTTLAISNVLILVVEMVCTGRLLVLLRNLTPHRTCPSI AKTIDFF H257_03858 MTDRGVPIHHRDNPYPEPDTPPPLTSGNEGPYVDDGNICATPKP RSSPYDYCPATPPSGPRTPLTPIASTPRPAQPRPSMRISTSTTSYSHQPSPAPPRILM MPRRSEPQVQLTYLILPQTRGRSSTSAFHLRRPGSIVDTRPRLAPLKSKARPSEKEKM ETEVLPDYVVDHMFAMTPRSTSSSAAPGDDFIPSQSPIAVIEESSPASDEMKVETVLT TANHLGIAVFVDMMLKLVMFLVFMSYIDPIRMLFSIFGYSSSFSFSIRQYFLVRTSII KIVYTLYNGYSYDIVNLKCIINRASTNDGGSSYNACC H257_03859 MDELCERQRHHPPLSACPDLARIPTANAGFHLFVGSAEAASNAT MMQELGIGAVLALGTKALSEVETVSIDILDMEQAFLMQHFDACFAFLHRQEQRHVPTL VHCVYGQSRSAAICVAFLMHSEQLSLRQSYDRVQAARPCIYINSGFLSQLALFEAMQC QLLGSSPAHATFRLRWTYERHVNHNKGPVMLYPQPTAMAAPTIKRIFCKKCNLHLGVA DNVVRITPKSSQTRPTVPLFQVHHGHKGGSPATSCGSLYVEPLPWMHLEVIILIYMT H257_03859 MDELCERQRHHPPLSACPDLARIPTANAGFHLFVGSAEAASNAT MMQELGIGAVLALGTKALSEVETVSIDILDMEQAFLMQHFDACFAFLHRQEQRHVPTL VHCVYGQSRSAAICVAFLMHSEQLSLRQSYDRVQAARPCIYINSGFLSQLALFEAMQC QLLGSSPAHATFRLRWTYERHVNHNKGPVMLYPQPTAMAAPTIKRIFCKKCNLHLGVA DNVVHHGHKGGSPATSCGSLYVEPLPWMHLEVIILIYMT H257_03860 MRTKGSGMKKAAAGSKKLIWRGKPVTEHDIKVYKLISLIPAGKV ATYGTVAKAIQSGPRGVGQALRRNPFAPQVPCHRVVSASRDLHGFRGSTDPKCNDLKD KRTLLTEEGVEFNDTKVDVSCMYVFTQDDLDQLEAKSP H257_03861 MATSDFTIATLVDMFPNVPLGRIRTVLASTHGDMDAAIDMLLDP SNARKRKAKPDIRCFFQSQSVARPPPKKVHITSSTPADSLHLTMASLVPWDPAKDPQK PKLLTLHTLNQHVPCLSLQTDFLPHDQASDMLTEMMAASADWIRTKWVIVDREVESPH HTQLYGLEEAHLARKADLYTNTGTAATNMQQFTPMLHAVKDPVEAAVNHALASRVRHP LEAPGPWVANLALGNVYRTSDQSVGSHSDTMTELGPRPTIASLTLGAERVFRIKRLAT STTPAQTFNVKLPHNSLLIMFPPFQECYRHEVPPQQPWQVKVHPVAGETRVNLTFRMM RANYVRHMPQCRCGKPAVLRTANRPSKKHVGEYFYMCAGSVPASCSYFCWLRDRLPLL LEGAATATASRDYGVDDQKS H257_03862 MNVPLKYGSDTSGHLDHIAVSAVHSRWAIKVGGAFVAINLATLA YIVVVARQNTDTLMAIQREQRHQVPAILPSKFAVQNVTPRRDQGHRGTCWDFATISTL EWSYRDHGLRNGWLQSDEYVAFSQQAYGIEVMRLCTGEPTSPQQKACRTAGSNISNNS TDGGEPALLYYLRNGLKNSLLPTAVCPYFKEGHDHDCPGLDEALALNPVRFNVKSIRT LYDTPSIKQHLALNQQSMIVGTPEVNVNHYYPCIGPFLADRHCQLETCTLCPPSFALT TCCVPVFDGDNPNMEGEYFAHAGMVLDDGHAMELVGYNDAFQNHEGEVGGFIVKNSWV PAENTGSHSLQWWLQDVSAWGERTICPNSYNPINWYACGGVEDELTAFPGASEGIDAC MSNVTRMFAKTNVQTLDLKCSDATKCKVSDDVTYYVRNTTTWGDRMTLMCMWEHDAKT GSARDFCLIPMLEQNLAATFKPKVVLDNDPDRCGFNFLSYEAVTQYKTLFGDFEVDSF DIEWHPSSYVANAADYPHFDYSLLRASTLRQHQTDLDGPNPYAKVV H257_03863 MSDDARVVRIPLKYGGDDLQVSPRQAGRHSRWVWIAGGVFLVAN IVVLGSIVIVGKSVGESLVHIKSVESQQAIQALSASTLPSKFAVQHVTPRQDQAHRGT CWDFATIAYLEWSYRANGVANGWLKAEEYVAFSEQAYGIEIMRLCAGPENSPQQVACR VAGDFIWNHTTEGGEDYDLYYLRDGLKNSVLPTAVCPYFKQGNEDVCPGLDAALEHNP VQFEVKSISTFYDDPTIKVQLFTQKKALSIGTPVVSVSHYYPCIGPFLSDPHCQKDKD TCTLCPSDLSQTTCCVPSHGGHNPNMEGEFFAHSRMSYSGGHAMHLVGYNDAFRNHEG EVGGFILKNSWADSQTRGSHSLKWWLQEISDWEERTICPNSYNPTNWYACGGTDNNAD LVSPTNATATVVYNKGIEDCLTDTTRMFAKTNVQTLDLKCSDATQCKVSDDVTYYVRN TTDWGDRMTLMCVWEHDAKTGSARDFCLIPMLEQNLAATFKPKVALANDVDRCGFYFF SYESARQYLRQFGGFFVNGYDIEWHPSSYVANADKFPSHNYTLLQQSTFRQNTDDFVG PHPYAHAIKSLP H257_03865 MAKPSGLRTYPVEQKAESTHGSKRSFLEQQAREDHKTNRGGCDF VSEADVFVPYRVTELTPPWSAPVPAYMTDTASALSAHSFLKNDSNASKGESSHDLSFE SRCRHSSIVRRATRLMPTLVRFRMSDAEHALLLLEHGAVDFQPDVLDVDWL H257_03866 MSAALGSSAVKPVIKNSTMDQDLLDEVIWVAQAAIESEVNEQFI AAKIKRHFELKYHGMLWHCCVGRNVACYVTHEQSKFLYFYIGQMAVVLFATA H257_03867 MLKVHRKAGELLLEDCRKGDSSWLRVSLPSSKVDKVSGGDAFAS SKPLIDLEEVARVKDASDEPPVEVKALYGVYSLLSGPYLAFIKDNARVIGKGPNDESI YQVLELEFIPVSEHAERHFQSHASKQEKRDQSIYLNMLKTIGKTKMFYFSFEYPLTLS AQRHALATASAAKLPAHARADESFFWNKPVLEPFLHSKIKLDRWIVPVINGFVKVVKG VVMAANTAPVDFFFFTRRSWHRVGTRFNVRGVDKDGHCANFSETEMIVQKGDGGLCSY VQIRGSIPLYWDQMVTLKYMPRTRYAYSQSDLIDWNELAFRAHFDNVIAKYGHVTVLN LIDRNGKSATVRDQNQLGSAFQKYTKKYNQNQSSGHDDDENVMTSTSSSPSSAAASLR LRKASSTVKDSISAASSTSSSLFAQPIAYIWFDFHDECRKMQWGNLAKLMESASECFA KYDWFEVDADGRVLKKQKGVFRVNCMDNLDRTNVVMSLVARRTMLLCLRLDSNDMSWL DSPFDQFESFFKNAWADNADAVSVMYAGTGALKTDFTRTGKRTLAGALQDGINSVTRY YLNNFTDGIRQDSLDLFVGNFTADRRTDSPFTVQQQNTFVFMLAEVLLLGIFLTGVSL SLHWNEDIRIRLRDGVAAAAVGLVVLAGLLLKKGSFRSVGRHCVCKPAFCSTGYIRRP EGAK H257_03868 MSTTTAVPTTTTPSLKHKTRKLKNMLLLSQLSPLPIYEVGHDVD TSEQATGQFGCVFPHIDHRMLDEPGTARGCPVGSADQSRRLANVFTELEARFWKKVNA MADRVLVYQRPNTTSTSKEFIPTHNDPSNLLFNSWFESGNLECAYRVHNRNYATYLPQ CTKHLNQSKLAAPKACRGGLAPPTEVLLPAVVDQEYDLYCDCDTYTFGHVQWYYFQVK LRDLIPPGKTSLIVRFNIRNMMKRDSLYNSGMLPTVYSEAKAAQGLAGWTHAGVEAYY YQNSDEFDHPRRRWRTKRYHYTLSFVYQFDTGVDTVYFAHCFPYTYTNLQSYLKSLLA CPLRSPNVRRRTLCPTVCGNACDVLTITEYTNEPRVLMLRTGVVLTARVHPGETNGSF VMQGIIDFLTGSSKEALRLRQCFVFKIIPMLNPDGVIHGNYRCSVAGVDLNRRWSKPC QDSHPTIFAAKHMIMSMRNARRVVLFCDIHGHSRKKNMFVYGCKPYFTWSKLEAAKMR LFPYLLSKASSAEEGGFFSFPDCTFNVARSKRSTGRVTVWNDIRILNSFTLETSFCGS GENQVKYHPPTSPSSRGGGSSHTEAATMSTTHYRVRDLVQSGEKFCCALANYGRLLAI EPVDEASVPPLPVDPDAAAGGPMDVMADDDGDDTCHSTPLHPLNRHRQNKDDNDDNTF ELSADAILLLDEISTVVPLSAETDCNSSEGSAGSDSNPSEDNKDDDEIQADTRWQALL RHRARSRIVRRSPRLIVDKDMSPTSQSPLVRRPSAKNVLKALPRSPPRPTTVPVANPT PSDETDLTPIRPTPAATGLQVVTKDPLAAPHPRRLSLWTGVQGRSKDANAAIAGLHRQ LIKRRISLTSPPKSTTSASHR H257_03868 MSTTTAVPTTTTPSLKHKTRKLKNMLLLSQLSPLPIYEVGHDVD TSEQATGQFGCVFPHIDHRMLDEPGTARGCPVGSADQSRRLANVFTELEARFWKKVNA MADRVLVYQRPNTTSTSKEFIPTHNDPSNLLFNSWFESGNLECAYRVHNRNYATYLPQ CTKHLNQSKLAAPKACRGGLAPPTEVLLPAVVDQEYDLYCDCDTYTFGHVQWYYFQVK LRDLIPPGKTSLIVRFNIRNMMKRDSLYNSGMLPTVYSEAKAAQGLAGWTHAGVEAYY YQNSDEFDHPRRRWRTKRYHYTLSFVYQFDTGVDTVYFAHCFPYTYTNLQSYLKSLLA CPLRSPNVRRRTLCPTVCGNACDVLTITEYTNEPRVLMLRTGVVLTARVHPGETNGSF VMQGIIDFLTGSSKEALRLRQCFVFKIIPMLNPDGVIHGNYRCSVAGVDLNRRWSKPC QDSHPTIFAAKHMIMSMRNARRVVLFCDIHGHSRKKNMFVYGCKPYFTWSKLEAAKMR LFPYLLSKASSAEEGGFFSFPDCTFNVARSKRSTGRVTVWNDIRILNSFTLETSFCGS GENQVKYHPPTSPSSRGGGSSHTEAATMSTTHYRVRDLVQSGEKFCCALANYGRLLAI EPVDEASVPPLPVDPDAAAGGPMDVMADDDGDDTCHSTPLHPLNRHRQNKDDNDDNTF ELSADAILLLDEISTVVPLSAETDCNSSEGSAGSDSNPSEDNKDDDEIQADTRWQALL RHRARSRIVRRSPRLIVDKDMSPTSQSPLVRRPYAKCQPRMSSRPCRGRPHDRPPSQW RTRRRRMRQTSRPSAPRRQRLDYKW H257_03869 MKLFQVTIPNDQHHKVVTVLQDDLNLENVTSIEARTSSIKLGVG VQFGFCDVMSPTTAGSKNSMRSRRGIFQKASDVPAIPVAEIYAHIEASTTLSRDSIGM LFISSYGFHSWHGLAGDSPTCVVASMLLSPLMVGPILGCSFGYTIRDRNKVSERPLQR TLCIGCAITFLLGRLVGIVLVPYADDVKWPTVEMSSRGQAVSLIFGAMVAALSGAGVA LAESNANISSVVPHRQL H257_03870 MTTSWFESADLVSRFKELHSSNPNAGSPSTLSANAIPAVVKARL AKAGLAFQTLSPLLQQAVLWDMGLIVGDVNGVDTLMQVLVGANTTMSSIAYTFAEFSA SPAGKGLEQTSCAFNGGTPFLRQKIMAGLALLPSLKCAVELVSVDGQSALLAQDATNA ATFVPEPRLYKHQDATQGWTMPAIHFLPRIAQGKPMEAPWGECPKNADHQALIIPCEP KFFRAESANTFLPLPSPVMTAWLEEFKAANPHIVSTHTLPPPSSTPNVALIVCVVASG LIAALLIYLLCRRHRCHRDLETTCSNTTTLNDGIAGGGEGAYNPPSTSLNPHRCGSST VKSGPSTTANTDDYHHRHHTSSLGGINLYAISLYRIEEADVFIHRPLGSGAFADVMLG DYKGREVAVKRLLPGRATVREVQALVDEITLLAGFSSPYIVEFVGATWDKPIDLACVL EFMDLGDLRHYLSHQRPREFLWQDKLACIHNIVEGLVYLHSFPIIHRDLKSRNILLDS TKGTKLTDFGVSREQSQETMTNGVGTGRWMAPEILKYNHYSVAADIFSFGMILSELST HQLPYTDRLNPYDGKPLIDTAVMAMVISDEIKPTFAPDMPMFLKDMAMHCIAHEATER PTAAVLSYTLRQHMTGTIEEETS H257_03871 MDSIARHLQLWEELPPPSRLNPGLQYFKSVIYKDSTIEGSHLMR EHIAAVEAAHSAAMLAQNVHREHRELIDMADTDVSSSSFNHQEKILAATALERNLQEQ KTKYLAWSTHQNRLFRDYDGLQNVVTHHAKIRWWGCGRSNALARRGSSVSVDMALWVR TPASFCTKCRPDLGATSPRARVADNHTGAIKSPVQPPQLVWSDGMLWLKIYATMPVQS SQLALTSSIKPNRQLTVARETSKAISKHLKSMEGSQGAAVCIHGICNSMRQNRSADVQ IAGCLTLTKAVTTGNHGGFREMVERIAAAMATHPANPSVLYAAMPLAYSILEVTTNQT VATERLA H257_03872 MAGFSSPYIVEFVGASWGKPIDLAYVLELCMDLGGLRDYLGHQN PRDFQWQDKLTCIYSTVEGLTLGWYTEHSTDTMTLGVCMYRWMAPLILEYNHYSVAAD IFRTVCFCPEFSTHQLPYCDRTNYRDYWLLIDTAIMVVVVSGIIHPTFSNMPGLLNDL ALECIDFEPRNRPSVRDGIYNCK H257_03873 MPPPPPYPLKMSTTAKPKQPKASHYLGGSNNLLQERKRREVAER QVKETSANLHTLAVDLVPKVNALAHAVQVLEFENTQQLDLEAVSQDTFLSLQQQVEVL RQHQLDMQVKLHKAMDAKLERAQHEMRLDHEAVVMTVDNVKIEVDSMKEQLAIIRGEM DSLRNAANAKLSQTQSLLDNIRDQSHKDSQLTSHSLHDLDMKIGALDSRLFALDKEHL KLRLCLPPSLAARTCFDTSAPHSNDLNPQHHHHTNNNHGSSQRLEQLHLEMESMMHDM GTQRSADRIQFEAISNRVREMAKAHHQKHELVLVELQEMHDKLAQATTKWPVEVAHRL ECMRQTWEADIASLKLAANTWAATTSNSSQEATIIDDGRHAATKDLLLALQARIGDAE HKMGKLSTAVHAHHIGAGTQMVKVQKDMGRVQGRITRSHEIVMQQLVNFHDLLAELRQ AVAATTRPPTSC H257_03873 MPPPPPYPLKMSTTAKPKQPKASHYLGGSNNLLQERKRREVAER QVKETSANLHTLAVDLVPKVNALAHAVQVLEFENTQQLDLEAVSQDTFLSLQQQVEVL RQHQLDMQVKLHKAMDAKLERAQHEMRLDHEAVVMTVDNVKIEVDSMKEQLAIIRGEM DSLRNAANAKLSQTQSLLDNIRDQSHKDSQLTSHSLHDLDMKIGALDSRLFALDKEHL KLRLCLPPSLAARTCFDTSAPHSNDLNPQHHHHTNNNHGSSQRLEQLHLEMESMMHDM GTQRSADRIQFEAISNRVREMAKAHHQKHELVLVELQEMHDKLAQATTKWPVEVAHRL ECMRQTWEADIASLKLAANTWAATTSNSSQEATIIDDGRHAATKDLLLALQARIGDAE HKMGKLSTAVHAHHIGAGTQMVKVQKDMGRVQGRITRSHEIVMQQLVNFHDLLAGTVQ FRDMCHLMVW H257_03873 MPPPPPYPLKMSTTAKPKQPKASHYLGGSNNLLQERKRREVAER QVKETSANLHTLAVDLVPKVNALAHAVQVLEFENTQQLDLEAVSQDTFLSLQQQVEVL RQHQLDMQVKLHKAMDAKLERAQHEMRLDHEAVVMTVDNVKIEVDSMKEQLAIIRGEM DSLRNAANAKLSQTQSLLDNIRDQSHKDSQLTSHSLHDLDMKIGALDSRLFALDKEHL KLRLCLPPSLAARTCFDTSAPHSNDLNPQHHHHTNNNHGSSQRLEQLHLEMESMMHDM GTQRSADRIQFEAISNRVREMAKAHHQKHELVLVELQEMHDKLAQATTKWPVEVAHRL ECMRQTWEADIASLKLAANTWAATTSNSSQEATIIDDGRHAATKDLLLALQARIGDAE HKMGKLSTAVHAHHIGAGTQVHTQTG H257_03875 MDLAIRVNVRYAAPPNSHIKDALRLFKSPRWVQSIIRYIMPQLN SVSPASAACVDALKDSVVPVTNGDACVVCMDSFDNDCVELPGCRHKFHLSCIDPWLKL HSTCPTCRHQLPTDAFSNYSVYAINTTIILQQSQANMPTAQLLELPASNQVIRAVVNA RVRRNPPTTSNSTLTSSSATTTTAAYCSGLSTMMPPVMNARILQQGHVGDLDSYDSLD SPLHDCLPTPRLSTRHHRSHLAQVPLMQQTPAPPLTRRRCREVANSSNNHKRARLATS PPPEIIASSV H257_03874 MGIAIIEEAGDDPKLAQCLAILAGKSDEHKFAGLLMVTKHLQTE DESALQRIRKAVMDTAGISFFVRLLHTQGGGDGDNAAADGDGDISPFQALALNLISSF CQDISLAREFATTAVVSVVLDVLPTAVSTTNTVVLQDCVQIIHGLLSFDVLTTHSTWK DIVVREIHRCSVSTPVQNTSLTVLLSLLQASPTVSDNELAILCDSFAAISTPSSAKSV LQDFFLGTLGSYDRTLVHSNVKSLARGLFGAWPSHVHDDVTRRDSSLQLLALLLSSCG SSAWLFQSDQPWVHIALQLAAIEAKLLLDDAESVLIDHVVTPTPVAADDIVLKRVCRL LPASYGILEAVLGGLMVDANTAALSHTTLLQLKDSLGQVFTVVIEFLTTCRDALASSN LTFPRNDLDPIVVATIRVLGAWMAEETDLLADQLVELVPFLVTFTPTSLPPIPSTDEQ PWDSDDEADDLSTAPDPTDVVPFLLPGLLQLTALNAGAAAVATNDAVLQRIMQFTGVV CNRMIAAGNEGVGSLTMCMGIYLNVLLLASPTPASRRLLVKALPVWQSLAVLTWRHVI ALDPDMDDQNDHPSDMYLLLLHIAIVVALVQPSAMTSTTQLAACTKWIQQHAPSMACE DAYDLHMLALRVLK H257_03874 MDTAGISFFVRLLHTQGGGDGDNAAADGDGDISPFQALALNLIS SFCQDISLAREFATTAVVSVVLDVLPTAVSTTNTVVLQDCVQIIHGLLSFDVLTTHST WKDIVVREIHRCSVSTPVQNTSLTVLLSLLQASPTVSDNELAILCDSFAAISTPSSAK SVLQDFFLGTLGSYDRTLVHSNVKSLARGLFGAWPSHVHDDVTRRDSSLQLLALLLSS CGSSAWLFQSDQPWVHIALQLAAIEAKLLLDDAESVLIDHVVTPTPVAADDIVLKRVC RLLPASYGILEAVLGGLMVDANTAALSHTTLLQLKDSLGQVFTVVIEFLTTCRDALAS SNLTFPRNDLDPIVVATIRVLGAWMAEETDLLADQLVELVPFLVTFTPTSLPPIPSTD EQPWDSDDEADDLSTAPDPTDVVPFLLPGLLQLTALNAGAAAVATNDAVLQRIMQFTG VVCNRMIAAGNEGVGSLTMCMGIYLNVLLLASPTPASRRLLVKALPVWQSLAVLTWRH VIALDPDMDDQNDHPSDMYLLLLHIAIVVALVQPSAMTSTTQLAACTKWIQQHAPSMA CEDAYDLHMLALRVLK H257_03876 MDISRISKLGKLFRKKKGLDLRPQSVYDLQKFRDTYLAAAARHH VTPPPCIDKMIYRAISTQKDITTMDFREENITDDQLLALVETLLEMPLVSTLDLRDNS ISDRGVRGILELMRHQLVLVKGSPGSLLPSSSPPLSHDKLPGHTRLLTCVQLKGNNVS DELSQHIRQYSAVLLREDKRLEIQAVLVQIDYNASGGVDEGELRVALKLCGGGDEPTK KDLTYFSDQLSAMTWQNDGGFNARSCLENLLLAKYAKSPPKRDTAGMPPWDSLVQIRH AELVKPLGLFVVDSRVGGSRPPASPYSKPANHEDELSSAASSPEYPRRNSPDLKSLLH EEPVVGKTNGEPIAAPIENAIVLHEEPLVDDRPCIPFEDNDVEVGGDENAASDDDRDG FHVHIEVEEGGTVDTPVSDDLNVEQADDGEADQDYAAASSFDEGYQDPSPKKPETTAL DDYADTILYDEDKGLPIATEVPSGADASAVPEVVETTLPEVCLVNDVVVQQQRRTVAK LQHNDIRTGLSLSRAFEAIDFVNVVAVVLSNNLLESVAFLKDMECMRALRVLDLSNNQ LTKLAASNMVGIRNVEVLDLSKNHFKSICGIEHLTKLRALSFEGNFIRCAKNLECLEQ LEILNLSYNAIIVPQSLRLLSMNKNLTHLNIDENPVVSQGKHRANSAHILNIIPTLRS LGCIHLASLIIKDKKKQHPPEGVSTTSSTSMFEFLELPRPWVDSACDLLGLVCDPPHD APPSPVPKVSRTHQKSKDEQRSKALPHHVAARKTPPVKPPPAATAAAAAAATSSKPTV SFSSQQKVAIALSTPRQVSAACNGPPPGVLCHPPRPTRPTSQVKINVAAVPLTKNFLQ PTKASLYNHAEHKKARDKAKKKKKPANALYKRLKRREDQLRHAVATSPVKLVLAETQL VDLPVLDPTSKWTASPTKTLYESSVKPGPLIVTSTDSFLAQIRLNEFITLVTEDHATA STALDILLGLCERSSGDMTTFTAYTANLESLDIFADLVVSPSIQAVLDTATVESSTQP LPLLALLAELNLLKQTLKQLVHHVATTATGLGSTQLRAMCASIRSGQLRHLLPLTPLT VVQPPKLEVAPIVPPQHPLAAATVSGTSLDLLSPVHNPVVPSTVTQNDTLDLEDDSPF DLNSGNDDDFLADAANVLASTDESATSSLYPEAPTTLNGASPAAQVSQDDSSLEFDLA VIAETIPIPEVDKLTSPTPLHDDPFDADDVFLEDLPAASTTQAVPDGTTANETLDGGG HDDDDLNAVVPVDSTLAAAHDDGLDENEVEAADDVAGGDDKAVDDDGDDMKAEADEED EGDEEALTFGDWEQGFDEGSNHHYWFNNVTEVSSWTPPEGWPHPFDTQGAADDEEGEE VDDDVADNEGNTTDDAEMSLEARIAMALGGGSAANDGDDDDDEFDFADDALPDL H257_03876 MTWQNDGGFNARSCLENLLLAKYAKSPPKRDTAGMPPWDSLVQI RHAELVKPLGLFVVDSRVGGSRPPASPYSKPANHEDELSSAASSPEYPRRNSPDLKSL LHEEPVVGKTNGEPIAAPIENAIVLHEEPLVDDRPCIPFEDNDVEVGGDENAASDDDR DGFHVHIEVEEGGTVDTPVSDDLNVEQADDGEADQDYAAASSFDEGYQDPSPKKPETT ALDDYADTILYDEDKGLPIATEVPSGADASAVPEVVETTLPEVCLVNDVVVQQQRRTV AKLQHNDIRTGLSLSRAFEAIDFVNVVAVVLSNNLLESVAFLKDMECMRALRVLDLSN NQLTKLAASNMVGIRNVEVLDLSKNHFKSICGIEHLTKLRALSFEGNFIRCAKNLECL EQLEILNLSYNAIIVPQSLRLLSMNKNLTHLNIDENPVVSQGKHRANSAHILNIIPTL RSLGCIHLASLIIKDKKKQHPPEGVSTTSSTSMFEFLELPRPWVDSACDLLGLVCDPP HDAPPSPVPKVSRTHQKSKDEQRSKALPHHVAARKTPPVKPPPAATAAAAAAATSSKP TVSFSSQQKVAIALSTPRQVSAACNGPPPGVLCHPPRPTRPTSQVKINVAAVPLTKNF LQPTKASLYNHAEHKKARDKAKKKKKPANALYKRLKRREDQLRHAVATSPVKLVLAET QLVDLPVLDPTSKWTASPTKTLYESSVKPGPLIVTSTDSFLAQIRLNEFITLVTEDHA TASTALDILLGLCERSSGDMTTFTAYTANLESLDIFADLVVSPSIQAVLDTATVESST QPLPLLALLAELNLLKQTLKQLVHHVATTATGLGSTQLRAMCASIRSGQLRHLLPLTP LTVVQPPKLEVAPIVPPQHPLAAATVSGTSLDLLSPVHNPVVPSTVTQNDTLDLEDDS PFDLNSGNDDDFLADAANVLASTDESATSSLYPEAPTTLNGASPAAQVSQDDSSLEFD LAVIAETIPIPEVDKLTSPTPLHDDPFDADDVFLEDLPAASTTQAVPDGTTANETLDG GGHDDDDLNAVVPVDSTLAAAHDDGLDENEVEAADDVAGGDDKAVDDDGDDMKAEADE EDEGDEEALTFGDWEQGFDEGSNHHYWFNNVTEVSSWTPPEGWPHPFDTQGAADDEEG EEVDDDVADNEGNTTDDAEMSLEARIAMALGGGSAANDGDDDDDEFDFADDALPDL H257_03877 MKQGKAKIELGKVRAAVASPVLPKRHHNNQTTVRCVQSNDDVDA ILMHQLPATLDLRPHAALVTDHVLVELAIAIMQRKLTISHLRIAGCNAFSAVGMRSLV HAIGPHLKSLDYSASIVKRDVLKVLVTRLEDLRELDFSSCATLSSDILRDFMPCCNHT LEKCNLAHCNLVNDEALCWLAGTLGVQGGLTQCANLKSLNLAHSPLVGDRGLAALGIG CGALQFVSFEGLINITDAGMVKFVAGCMALRVLHLKRCVQVTDRSLAAIGAHCHRLRS INLCGCGRITTTGMTTLVLGATQLQAIDVQGCNLLTEEVLCVVATNLPALQLLNVNGC QQITDNGIRTLADHLPYVTSATHFRGLEPLANATNLKFATHQKTIAHSAAIRLQAWYR GHLGRIQAASWRRIQLELPAAKRLKQWYMMLRLVREVNRRAFATSVARRSATTIQALV RGFLVRARLDRDANNMFRLHVGNVAATKIQTRYRGHFVRHGTTMVNQALHRMRVRVAE QRRVRCAVMVQRSYRARLNRCRLVEITHVNSLRRRQCHDAASKLQRLYRSRAARAQTA VLRRAMEEHKAWKRHQVRVAVRLQSNWRRHAARRTIDAARVAHAERVAKRHAGATAVQ RVGRGWLGRKKALVRRMQWMAQTAAAKRLQRWWRRCLAPRANTVAYQSLVAQIKLQLL AEAADAEAKTEAMLQKDRRKHDMDSASEADSEDDWYAYPGTNGQPWWFSPSRDQRQIV RPNCYAIAKSMVGLGVRVYWPFEATWFQGRIVKYCTSKRKHKIEYLDGDKEWSVLDDV EVGHLQLFNHECWLMYDNYVASERATKAALYVNVRLQRYDVATFAWKTGKIRWFDDTS GLFCVAYDDAASAVNGSVGGDEMVDLLGCEDDFQLQDRRSFEWMGPGAYFFGPAYAAT RVQDYMDYTGPYEEPMPNDVVDGGGGYGDDGNMWNNEGGEAVAYGDWTENDYATNDWN DGNLDSTWDSGLIADDGGFTAADALDVQDEYDGGDDADEDEDDEEGSDDDDDGGDDGG GEDDEGRDSDDDD H257_03878 MLARLVRSSRGRRGAMNFSATPFVPPHDKPHARSIIVETVLDED DDEEDMEDMVVIGPAGVEWGGPQRGGKYKEPTRFGDWEKKGRCCDF H257_03879 MLWKVQLFQEWYAMEGCGSIDLGENRPLGYQGVDLAPSISPLAM SPSSRFAATVALLAALCPRLGADATTAVQNKRYCEILFVTSINGSFVADVYNTFGHND CPVALWNAITPENAKSDPKTVAVVLNGPRYWKMDEFGPLTSPIVKESVVKFVGGLNMS LVGRVIIPMPSLAPPSYSMSTVTRNADWIWRAGTMGYFLTNTTSGDSFIMQSNKATSS ETSSLQSLESRFKTLPSTWTFTAMRLSEDLNVTTPSLVGGAATFGIVIQDEFQNTYSY TKDVAALAARAGLLTVPSTATSAEALSMTGSLVMLVLPILVFLQLVY H257_03880 MSVCMPPKSMTISTTSTESSTPISTPTLLPGTGMSIPSPYMLSG RKKSSSFSDLGDYDECSDIGTPEKPGMFKPPPIHEEMMDTAFRLNDPTQDRASLIDSG ITFLAGEVLKLRVDNVAYIVFYKPDASTGVIPNTGGDSSPSFNPMVSTDVSPPSPVDL ASSIMTYDSSSGMTAPPKSFGAMGAAGHKVLTTDCFQRYSSTRGGTLGRGLFRASTHV GSALVKGTSTLISQTYEGGARGGVFGFAKGLGLGVWGFGSHTVKGAFRSVGHMTNVVG EMVLGMDPHFSLDGMLILTNYRLVWTSTAGDMLNIPLASVVNMETAVTASHVLLLECK NLLRPKFAFADDSVAASVIDASLALYTSGSYSFSNVHFEAIRSGACLEDVDGQEASSV DLYDPIVDYTRLGLVDDTDESLWQLVDNFEYRLFPTYPHTFVVPSGLTHDDLVELSAY RSAARVPAVVWRHPHTKATLCRCAQPRAGLSGFASEADKKIVRLLTTGSTFYFFDARS QMAAAGNVAHGKGTEDVRNYPNTELRHCDIVNIHGVRSSYVALAQVCQPNAHGTPVNP DALSRTLWLQHLSSIMFTASSVSQLLCGGESVMVHCSDGWDRTSQVAGLLEVMLDPYY RTLRGFLELMDKEWCSFGHMFRWRGGAGDSPNAQELEEQSPVFVQWLDAMWQLWRQVP WAFEFSDELLTTVYSHVYSGLFGTFQYNSEREKRAKEVHAPTRSLWRYLLSRQHEFVN VQYNARQSLALRGRPLPVLALESDLMLWDAHIACADPICRKYT H257_03881 MGDVEAKKKHVRELALRGEWTMASAIKMREEFHQIERTYGKKML QKATAYAKKSSRTQLKSPCDRDLLVQRQIEQKRLLALDKLQKKQAEATRRIQQPHAIP DQPTNRVRTSPSPPPQSYPVNNIPQVKPYAISDFNRLLADSIFDDEFCDLVHSLLS H257_03882 MPGTGAPLSPKQGDSKRLKTSEEGESVDYHVILFYKYVEVPDPT AEKTAQHDKCVELGLLGRSLVSEEGINATFAGSLDKINEYVAYMCSHPVFSMTADDFK RSMVVATAPFSELVIKYVKEIVSTGGAVAPPPVTMTDAERGYLTPQQFHEAMADKDKS NTVVLDVRAHKEFLVGHFKDAIDPQVKNFSEYYTFLDQQHIPSFKQDKPKVLMYCTGG IRCEKASQFLRSRGVTDVYHLKGGIHKYLEQFPDGGYFKGKNFIFDKRVLMGPDQSDV VGSCIECSSPYDTFNGGKVCSVCRDLVLVCDSCYASRHGEVHCGDHQYLKHAYFTFIQ YFSADELKRQVAELDQVYASLLQEPDVAKRSKNKRRTVRKQLEKVKARLAVVEADPSV VETRSGFHCRTCGSTACTGNCWGFWTDAQ H257_03882 MPGTGAPLSPKQGDSKRLKTSEEGESVDYHVILFYKYVEVPDPT AEKTAQHDKCVELGLLGRSLVSEEGINATFAGSLDKINEYVAYMCSHPVFSMTADDFK RSMVVATAPFSELVIKYVKEIVSTGGAVAPPPVTMTDAERGYLTPQQFHEAMADKDKS NTVVLDVRAHKEFLVGHFKDAIDPQVKNFSEYYTFLDQQHIPSFKQDKPKVLMYCTGG IRCEKASQFLRSRGVTDVYHLKGGIHKYLEQFPDGGYFKGKNFIFDKRCERYSFNIVL LLKIWTFLLNRQIHFVLNFGDGIHTNFRHTLIRCW H257_03883 MSAVGDDEYESSDDDDELSSSSGEDESSDESSSGTSDGDDDNDN QAKDQTDDDLAKAKAVADDVAAIKRLANDMQKIYLRLKYRHDGPKESAVMTAPLSPKP EEHEELPSTEPVSKPLRPRPLEIPTEPSTIGFTKTDAATDSDDLHLPPTVPAIASLVK LPFEDVLSKSIESKHSNVLAKGQIDTECKLQMELKHTMPLHLLQYMLGTPQVKTEDAT SRTINGNDDDNDTLESPSRRRLSQRPNPPRSMPYGKEDSTVQLQPAPTLRRPAQVEPT DSTVNRRVPHQLSSSVAPLPPSWTNDNYGDEAELPPVITTEVATPPKVPPAKISSLNH PEGEVVHATNDEELEFNQQGGDESSVPVDVTPPEDVALPAHETTDPSAHPGEAANDTM VMSQPSEVAIVSDKLASVDNESMVKQSSVPPAMVPSAPPQTSDSSSLTREEAAQPLVQ PSPQSSAPHTTTTPNAQGTVVSPLTRQPTATASSRHLSMPGRLPSQRMTPPGNTAPVD VVLPPPTMMERQPPDTTSARAGQMMSPQPAPLSTMQQSLAEPLEDTPLTSRTPITRLD SVSAISTPPSGTLPVNSTASPANHAIPSPASVPSMSPAPITTANAPWFSPPTSPVFSS PLSSPPYKPRPTMAFSSDIPSPMASRAYTFDTSMPKDLRVTSPPMSPSPDKPGLMTST RSFYSHQPPLPFGGSTSTTTTIEYEVNAMHYLLFGPPP H257_03884 MIYVGDAGRVGDGVNGHHVYPVRCSLFGPSITEVDRRYSDFAWL HAQLAKTCPGCILPPIPGKVVGILHSREFLEARRIGLQKFLTNVAAHEVLMHAPSFRQ FLQASTVDLSALKAKAKVVNDPKQALTNWFGKAVQKFSEHDKVQSLAARATGRDVVAK PKSDQDLAFDQIAGYVTMLDGHAKLLQQKVLAAYRANRATSAAYCDVIEATSELAELE SSLPEMKSVGFQSVMEIVDIRAKHLDSDWAMLTDAVDDFSRWITSVQAALATREDRRF AYQAQLAAAAKLSGASPEAASESPDKQRSSFSSFLGQSSASHVNAAVQVAKADFEAVH ERVMSEVLKFREEKASVLKVMFLQFASLQLDNATEFSETLHRVVPKLKDTSTAPVAAG HVSSVYLSTANDQRSSQYQDFEEVIQSNQTPATKTRKNSSHSLDDNVLPVTPYADVSL H257_03885 MTKICAAQAPMADASSTKPNQRVVWNRPMSAFSLIIALNIVSMP LRAYLTEALPWTGKPQPLEWNGTTYAANSTWMILQRMQSLYNNDTLPAHAIIFKDPTN YTTVMRYTTHALARGTPCDENVHLLPGAIFYGHGMRKAFCQFVQDNSTSASMAHCQHM RQFGVTITEQCVWIHAQPDEASRVVYQTLYQWEVAWFLWAKLILRCVLTGYVVWEMRL HYYDHCVALVRALETYGMPDPLSPLHHIEVYIGDPTCLILGNPLVSLCFVIDFWMSVT SVGEVMLQLSQLDDLGYFLSGCIYSSRTVWFAYFSMRYSTIPIKWWGVHDWVVPLDPT AIAIGAFLLSGPFVYLNATTPLVWLLYTLWSIGVPEPDMDDSTEIIPALMPPVSRRQH SATETADQSRRYSSMEFNDFNQRMVLSILQPSCINLVRSRGGSVYVLYDLSATFQNMP LFRHRSADCFVVGYDATSTPIEHLRLSLLQCVDLSRNGRSSISVCGMTHDAAVCILNT KPCLATSPIATLRIHPGASSSKWLL H257_03885 MTKICAAQAPMADASSTKPNQRVVWNRPMSAFSLIIALNIVSMP LRAYLTEALPWTGKPQPLEWNGTTYAANSTWMILQRMQSLYNNDTLPAHAIIFKDPTN YTTVMRYTTHALARGTPCDENVHLLPGAIFYGHGMRKAFCQFVQDNSTSASMAHCQHM RQFGVTITEQCVWIHAQPDEASRVVYQTLYQWEVAWFLWAKLILRCVLTGYVVWEMRL HYYDHCVALVRALETYGMPDPLSPLHHIEVYIGDPTCLILGNPLVSLCFVIDFWMSVT SVGEVMLQLSQLDDLGYFLSGCIYSSRTVWFAYFSMRYSTIPIKWWGVHDWVVPLDPT AIAIGAFLLSGPFVYLNATTPLVWLLYTLWSIGVPEPDMDDSTEIIPAVVAMTTIMGF VPVVLVVSIKLSQHLVATLMPPVSRRQHSATETADQSRRYSSMEFNDFNQRMVLSILQ PSCINLVRSRGGSVYVLYDLSATFQNMPLFRHRSADCFVVGYDATSTPIEHLRLSLLQ CVDLSRNGRSSISVCGMTHDAAVCILNTKPCLATSPIATLRIHPGASSSKWLL H257_03886 MTRAPPPLHDLWTRNQLQRAKLADLKQYLMEVKLPMAGELRDVA HRVKLHMDVVHEKLTIHVDGTSVSPFDLKPAQLRKQVALLGKDPQGNKDELLTLLIEH LQSTSTSSMPSRSDDLKATPGVKQAKAILELAATDDYSAILSASGIDVQPSSSTAIMR KAYLKLSLLVHPDKLPKDFADATRAFQALVTAYEMLSQPPELITAVPAKAKHGTMEIM RSNEGCHRTPVHCPRCHQAWGLPSHGCEPFDFNFLMMGLKTFHCATCLFEFGCMSAEH HCPHCHRRVEYHPNDFHRHLTCASCSKTYGFYMYKISNRREQEYRAAIRATQETKLKD KARKDERTKRASGRQTKSDDMGSTQGKETLFAMNLLDACPRCGDGDVDPTEDSRMSHL RTCTNATKIQAYQIKMRHLSQKQEARTVASENQDEVQNAASWEFLGGKSSDMWLLTAT QLQHMAKGYQLDATGTREELIGRLVRYRNTLDAKNMVGNGGSSNSATNKRKRGPVSLE DLPQDMESMSVAQLKGVCAAFGIACSKRTKQGIIDAIEQAVLGKEAPLRLASV H257_03887 MADCELYSRNGRVVGIKDDEADEITVMQFNVLADGLCDLRHDKG GFILAPPECLPWSYRATCTSEMDSGSDRTEQLDRLGYSGHFAAKRESPCLEYSDLPDG CAIFVNSTK H257_03888 MCDLLVQHRFEDDVAPTEYFAFGYDVVDGRPSALLYQMNRSLNT FLFHWDGTYKINKLAYPVFVCGISDVARVFHPVAFFLIGKESTSEYAWAIRSLIDIYL AVVGRSLKIKFVMGDAAQAPAQSLLVAQPSSSSGLAGTGPASSTGHRSRPD H257_03889 MSQISVHSLFNLHLSPHGNKQVRKLDQKSQTLFAWIVSAPDPLD MASPYCALVPVLFRTQQTVLIQPNAVILCRPMTALHRTRKRHTSPRSD H257_03890 MSPYVSQRHSDNVMTYSECASGSCSAGLNCRKNAIQRHRYPKTT VVECPKFQYALGLEEADTKILEYVGERIGKAEFTRRKLMAWMDTLPPSHENKGYNSES YAFL H257_03891 MGTGRWDLTNDEREAILLETLLKSSGSYCTRLPKGFGEYLANKY SCHVVTIRKVLARAKAQGVGGINMVVSVVNLKKGKAKLLEIGTWPFVETVLFLVHKVL PAIIAKWPTNDRHVMIQHHNARAHVTESDIKLRYTELTKQGWSISLTPQPLNSPDTNI LDLGFFAAIQSLQHQKSACSIDDLVAHVADASVEYPFERLDHTFLTLQSCLIETMKVN GDNTYKIPHMAKEKKQRLGILPRNVVRPVDTFDAARAVLVGADSERLEREFAAEFAEA IAVNELAYQLEEMALIDPEGVDDMIGVLNDVGIESISIDE H257_03892 MADCEQYPRNGRVVGIKDDEADEITVMQFNVLADGLCDLRHDKG GFILAPPECLPWSYRRNLILAEIARYAPDIICLEELDHFDWMQDQLDRLGYSGHFAAK RESPCLECSDLPDGCAIFVNRIKHLHIKHMCAPRYEHDDGRGAALPSNQLALVAHVLQ NEQSLVVVACTHLKSTKSHQGEVIRLSQAKQLHAHVLRHGGADIPTIICGDFNATPDD NDKYAAQAIPAMLDLGWTSAYAQAGSTPNYTTWKTRPGVESKHVIDYIFHNDKVQLLR VVDAPEDVEPSGLPSLRYPSDHIALIATFQIT H257_03893 MLSTIARRATLRTAGARSMAAKAGAFNWQDPFDLNGALTDEERM IRDSAQAYCQQELLPRIVKANRNATFDKNIMKEMGELGLLGPTIKGYDCAGVGYVSYG LIANAVERVDSAYRSAMSVQSSLVMWPIYKFGSEEQKQKYIPQLASGNLIGCFGLTEP NHGSDPSSMETRARLDGDHFVLNGAKNWITNAPIADVFIIWAKDDHGDIRGFILEKDM PGLSTSYIEGKATLMASATGMIAMEDVKVPKANMLPNVKGLKGPFTCLNSARYGIAWG ALGAAYSCLDIARQYTLDRSQFGAPLAANQLIQKKLADMVTEINLGLFACLQVGRLQD QGPVAPEIISVVKRNSCGKALDIARTARDMLGGNGVSDEYHIVRHSMNLEAVNTYEGT HDVHALIIGRAVTGIPAFVPRMAP H257_03894 MDSQLSFPQLPGQSWARLVYEAYPLRCLCWRITALKLCLNLYEV PVPGKDVVDLVGDAASQRLAYKLASESIVLLQNVNSTLPIAVSSRIFLTGPSIASIGN LCGGWSLAWQGLSSDNALFPHGQTVQDALRVACPSCAIEAISGVDIHGAYTEDVLVDA VKKASRSDYTVVVLGEGPYAEKQGDIDDLDLPRGQQEYVRALAATGTKVVLVLVQGRP RLLRGLPDKASRSDYTVVVLGEGPYAEKQGDIDDLDLPRGQQEYVRALAATGTKVVLV LVQGRPRLLRGLPDVVHAVLNAMLPCELGGAAIADILLGSVNPSGRLPFTYPKTMADS AVPYYHRQNLGCVVNETFGECEHEGPFGAGSSYSTFAYFNFTLSVGGEPGQYDFSLGH GGEVASITLHTTKELVYAWAEVPTPLEAATPSTLGEVSTVLNPVANMYVAASGDI H257_03895 MTQVDIATVLNSDMTLNATKVEAMAKLHVGSYLTSPFAEGPVGS KAGWTATEWRALITSIQLIHAVHSKHPILYGLDSVHGANYVTNAVMFPQQINAAASFN RKLVRDMGDVTGRDTVAAGIPWIFGPILDVPTSKMWARVYETFGEDPYVVAELGRAIV RGLQDNHTIAACFKHFIGYAATPTGHDRDPVTLSDYDLLNYHMPPFVAAIDAGVLTGM MGYMSVNGKPMGVNHKLLVKLLRHDLAFKGMLVTDWAVINDLHNFHHVAASDRDAVRQ TLSHTSIDMSMVASDTLFINHTLALLKEENQLHVARLRESVRRITALKLCLNLYEVPV PGKDVVDLVGDAASQRLAYKLASESIVLLQNVNSTLPIAVSSRIFLTGPSIASIGNLC GGWSLAWQGLSSDNALFPHGQTVQDALRVACPSCAIEAISGVDIHGAYTEDVLVNAVK KASRSDYTVVVLGEGPYAEKQGDIDDLDLPRGQQEYVRALAATGTKVVLVLVQGRPRL LRGLPDVVHAVLNAMLPCELGGTAIADILLGSVNPSGRLPFTYPKTMADSAVPYYHRQ NLGCVVNETFGECEHEWPFGAGLTYTTFEYSNVSLRVAEGHTVDINVTVRNAGSVAGQ EVVLLFVRQDTRQGNVPETKRLIKFEKIQLGAGNAQVVQFRVGATDVGIYTNTIGRGL HRVVTDGNYTLMFKADTKCNSSMPQQPLCTTFQAKTVSTSKWEYSS H257_03896 MDDRRRAGSDAAGEDGGVNDKITVRPEFVLGVRGAVQSNVEFGS DTHLLFPAAHHVCLFNLDRRTMEFLHPTRGIRSVQSMCVSANKELLAVCEQQAVRYSK SISDQLGVSPNQISIYKLSSRSRLKTLPSQSHSPILSVAFSADNKTLATLEDAPSYRI GYWKWSTSKLVAHAQCPSRGMRIRICPVNANFVTISGPMVLRAWTLSSAGDLRMTNLI PQIREQEHFVDHVWVREFLVTVSEIGTLLTFRATDDDGVELVHSTKLSQLSAHLTLGK IETITASSKGFVLAGAAGCFGVFEFSDDPKDPFILIRALSAGDMTIESLAISPNCDTV VAYTSNQRLVTFAMGSIDIVQDNSHAEFRDLIPNGTHCGGILTFDICLQKPIIVTCGT DKTIRSWNYHLAAYEVVAQLSEEPTTISLHPAGFQIAIAFKERVRLYNILQDGLRVLR EVSMKAANVLQFAHGGHLLACGAGLNVYIYRTHSCDLVHTFTGHINVIQCLRWTIDDS ILYSAGNDGAIYCWNIHTGNRCDDMQLVVKHTKFTSAVIDADNPKYVAVGGSDGKLRE IIAGEEANCVDVGTPLTHLALTKSNRWLFVSTRVGTVYVFAWPLGAQTTPVHDISVHS EPITQLCLTDDDRYLISSSDDGCVSIFRVDDNSGYLDVDLVHRKLPAMTTDAVLVSRE EIEDKNEQLTDLQQKYEQVKSDMDFSLHSKENEWIDRLRFLKEECERSLVQERIRYEE LEQRYQNSLRKHSDEVAQKESNHATFSQELENRYEHKLAMEIARYDRLSEEMETTRQQ CAMLIEAQDKQQKVVLENERRAANSRAKEQVELIKRVKEDLTYNHVKFEEILSQQEED YEFQIQKLKQEYEGQLTVERQNTAIKETQITGKNSKIDSLKKKIQELKANASARDILL STEKAKTVKLETALANYERHFDGLQGSIDEKEKAVQGLKASNRVLESFRFVLDHRVEE LQSEKSPMQKLISGLESHIHDVQEEMVDQFHQKDLAEETLASKEIKIKALTNEVNALR LITRKKESEIGAMSREFARIVLISNPKELERAVKEAYIVYVKGEAPKDKRTRSILSSS SKGDDDPQSHAALAGLGGDDNREVVQEACKQMQFMHRSVSTLKNALHHAKAEADHRHR EAVTEGNILLQDMNHLRKANKTLELKVKEMESALYLATQSHDGKKKSKLPQQQLNVDA KPGGSFLSPLKKSASAALTAQRLVVGSVLPFRAIEQAKDASRVINAATAMEYRQQIDA QRKEIQRLKTQVGLLLNENATNGDPATTTTSTNHPDVLSATNSKAKGVHLSATQTAHS ITRRQSFVFSSDPSFANEPNLDQHHCDNSSSPNKSDSAAAT H257_03897 MKFPVRPAASSTLRRALSTTAADETVKHPSWFLKLVNNNDYDVP RLPVPQLNDTLEKYLKSVQPLITPDEYKEHKKLVEDFGLGQNRSVGRTLQDDLIKQEF VNAMGRAYPFSYIEAWWDAMYLGGRYPNPINVNPGYGLVNEPAGSVLADPLTRTSTIV VSVLKWFDKVKSGTLEQDPKQCMAAYAKQLGTAKIPQPSMDVLKFHPRSEHIVVLHRN AFYKVQVLDGKKIVSQESLQASLSHISTHGVSASTAPNLAVLTSEDRDVWAAVRSDLR QEPVNQASLDTIDSALFVLVLDDTTYTDDSALSAATLHGDRGANRWFDKLQLIAYPDG HVAVNFEHTFSDGTNWNRWLHEVWHDVHQTDSGYAPLVAAKGSFDTPPLSFTSPLAFS LDAAAHKAIEAAEARAAADTANNETHLLRYKGFGKNTIKTWGLSPDGVVQMALQLAFF NQHHRLPPTYESCSTRGFFHGRTETIRSATSEALRFVSAVSSGASVASQREFLVKAVD RHVANAKEAQKGLGVDRHLTMLNHVAGTSGVSHDFLTSTIRRNATNFQISSSNVTMPF LDYFCFGAVAPTGYGVGYLIQNDHLPINITSFVDCPTTSSPKFAQALTEALDTVHAIA QSPK H257_03898 MKATTGFLSSFMPGKKSSAPPTPTNSGPARTSDFPSSTTGANPF ASIAQPITSTTAGNPFAASSSLSAEPPAKNPFETPSANPLPPPSAPRTQSGHASNNSS PSSNPFATPSSSSRNLSIDPTMPAATIRSTDSNSSAGSHHSFSKSSKPPPMSSSTTPT SSMRGMMSSASSSVSKMTSAFTSASVIMAPVKGIGAMTSAAGSVVGSAMAPVGKGLGV MTSAAGSAVSSAADMLMPADFPKLFASSKTDISGFYHPDMDNDSTSNDVSDETREFLA VSGHNLHSVLSNPRGGNWGDALLDALTLPGETEAVLSAMLTLPTISRRDFDGYMKNLS ESSALYAKNHERPVREQLASANVPTVQAANEDVALCFQAVPSLYFKPDFDLTNPATFD AVVATDSIQVSQEKLSGYLDRVEVSLLRQVSSRSDRFFEASTSQGDMQKRVTQACDQV RHLRTTMDRLRNSLADKSLAILQLHRKQKRLVDLHELMVQIEDLKHTESSVEALVHGH DYTGALDVIDRALTTIHQMTGIHCVRAVGDKLLAYRSFIGVQMAARFTSVVTSPDWPF FDATLAQKNNSTTQPPSSLAYQRLQLKQKETTEEMQQLMDALFRVDLVPDVMAKYRGH MTDEIKIVVKTVVSETIATSSSSDPAAPDVSSQLRALSSEEFLNCVQMIFEHLLVVLQ RAMSVQTMLAHMYNVAAKSDDDVADTSEPRRDAPAAADWSPDCDTDASVHDEGGSERL GEWQEKKKASKIIKELEDAIRKTCEFSQRSVSNLFGVRKEVQANYTMPQLRSLYDATM AFVVQIEQSTGKTDYTLRGALFNQLKLFLEKYHQAQTTKLVSTLNHELWKNAEISAAR HAALVDVATGKGVSLVLSHDQSVGADTAAPLKQLTLPTASFRVVWSVLLAMEIVMNYL SLAVNFPVLATDVLQRTTEILRLYNSRTTQLVLGAGAMQAANLKSISAKHLGLASQSL EVIVAYIPHIKCQLSALLTQRQKLLLDDLDKVLQDYVEHNSKIFGKFISIVEDQIMKQ FLEHIDRDVDYDDPTLVLPTAPLKGIAQNTVKLYQVLSPVLPPLQMQAVFSRVFDMLE HKMPSCFKAVQPHTAAGKRRVVADVVAFVDSFHELRGVVDLRGDQLVAHFKSSYE H257_03899 MSVIQTPHMVSIGMYSFWPTALENSGGSSEVEHLSVPETLYQRL VDQDHRQHRAFGFIRESYRAWRVTALQVKKAKQTLSPPSSPIVKVEVEEVVMTCGDDC EHDALLLADHPHQMSPLVSVVKEEVLVGSNVSVDMPPALSDSCTDSMERTPPHHVDGD DGSILSTDKKRKFRKCGTSNATRVLRDWLFDPHNQEHPYPSEQEKRRLSHDSGLTIKQ IGIWFRNARQRLCAPSPTKATINQEQATAFMTSVGLRIDETTPLRRGAWSPAENAFAQ KLIDQFTQGKMPLVEGTPLRSFLAVVLHCHAMRISKKFVRECSVGKITFRRDRLFHES EHDACMRDLLALKAQVQDNATAVTSKKIMETEAEAIKVQEWFRTTGEASTTPIHDVVG SASTTTTPKMEGGGMLLGGFPSCNALDRHLHDQLLEDLDFDFDGDLGWGELDKAVSQI FFP H257_03899 MSTVTMAPSCPRTKSANFENAAHPTRLESFATGSLIPTTKSIRT LRNKYIFFPPPPTPPPHALVTHNVPRVQEKRRLSHDSGLTIKQIGIWFRNARQRLCAP SPTKATINQEQATAFMTSVGLRIDETTPLRRGAWSPAENAFAQKLIDQFTQGKMPLVE GTPLRSFLAVVLHCHAMRISKKFVRECSVGKITFRRDRLFHESEHDACMRDLLALKAQ VQDNATAVTSKKIMETEAEAIKVQEWFRTTGEASTTPIHDVVGSASTTTTPKMEGGGM LLGGFPSCNALDRHLHDQLLEDLDFDFDGDLGWGELDKAVSQIFFP H257_03900 MQQEGECTKLCANGCGFFGNAASGGMCSVCWKKTMSNRQVETDA YTSPPTTTQAAVPAPLVDAVVPTPAVASPVNTPPAEEAVEPVVVQKNKGRCWECKKKV RLTGIECRCGFVYCGSHRFADQHNCSYDFKTADRAELARRNPGGGAFGKIDKL H257_03901 MHFECDGSQKLVVQRPSAMDLYGDLDKYTNVPATGQSGLGFTSG GGAKDSMNPSKAAASSKSEVAPPAKSSAGSSSALKFIPRRRREEPVPRPSPLTTGSSD SGISTRFSPANATTSLKTSLGMAAFTPVSVTRSKHAVTDTPADDVSFSAVDAKAMDLH RPDSVVEEYDPHRPNDYTAFCEEREIRQKNAEVRADLERRQKRLERERLRDREQLDKD LEAGRVPNLATTTVPGGRGRGMNLPAWMMKKIEGTAEHETSSTPSRPQHPSPVQGQFD DVGHASTTLRPDASDKTSAAPSSSQPPAPSGGAAAKATTPHVHAASTVFPLNAALTAV CVGPVEVVKKARLSRFGQRSDVDTKQSVLLLLNMVAPGDVDDDLNDEVREECEGKYGP VAQCIVFEVPFRVPPEEAVRIFVEFVHESDAAKAMAGLNGRFFGGRKLAVTKFDKAQF DRRDLAP H257_03901 MHFECDGSQKLVVQRPSAMDLYGDLDKYTNVPATGQSGLGFTSG GGAKDSMNPSKAAASSKSEVAPPAKSSAGSSSALKFIPRRRREEPVPRPSPLTTGSSD SGISTRFSPANATTSLKTSLGMAAFTPVSVTRSKHAVTDTPADDVSFSAVDAKAMDLH RPDSVVEEYDPHRPNDYTAFCEEREIRQKNAEVRADLERRQKRLERERLRDREQLDKD LEAGRVPNLATTTVPGGRGRGMNLPAWMMKKIEGTAEHETSSTPSRPQHPSPVQGQFD DVGHASTTLRPDASDKTSAAPSSSQPPAPSGGAAAKATTPHVHAARPVEVVKKARLSR FGQRSDVDTKQSVLLLLNMVAPGDVDDDLNDEVREECEGKYGPVAQCIVFEVPFRVPP EEAVRIFVEFVHESDAAKAMAGLNGRFFGGRKLAVTKFDKAQFDRRDLAP H257_03901 MHFECDGSQKLVVQRPSAMDLYGDLDKYTNVPATGQSGLGFTSG GGAKDSMNPSKAAASSKSEVAPPAKSSAGSSSALKFIPRRRREEPVPRPSPLTTGSSD SGISTRFSPANATTSLKTSLGMAAFTPVSVTRSKHAVTDTPADDVSFSAVDAKAMDLH RPDSVVEEYDPHRPNDYTAFCEEREIRQKNAEVRADLERRQKRLERERLRDREQLDKD LEAGRVPNLATTTVPGGRGRGMNLPAWMMKKIEGTAEHETSSTPSRPQHPSPVQGQFD DVGHASTTLRPDASDKTSAAPSSSQPPAPSGGAAAKATTPHVHAARPVEVVKKARLSR FGQRSDVDTKQSVLLLLVRCMSSCDIV H257_03901 MPELSLCVLPGSAGSSSALKFIPRRRREEPVPRPSPLTTGSSDS GISTRFSPANATTSLKTSLGMAAFTPVSVTRSKHAVTDTPADDVSFSAVDAKAMDLHR PDSVVEEYDPHRPNDYTAFCEEREIRQKNAEVRADLERRQKRLERERLRDREQLDKDL EAGRVPNLATTTVPGGRGRGMNLPAWMMKKIEGTAEHETSSTPSRPQHPSPVQGQFDD VGHASTTLRPDASDKTSAAPSSSQPPAPSGGAAAKATTPHVHAASTVFPLNAALTAVC VGPVEVVKKARLSRFGQRSDVDTKQSVLLLLNMVAPGDVDDDLNDEVREECEGKYGPV AQCIVFEVPFRVPPEEAVRIFVEFVHESDAAKAMAGLNGRFFGGRKLAVTKFDKAQFD RRDLAP H257_03901 MPELSLCVLPGSAGSSSALKFIPRRRREEPVPRPSPLTTGSSDS GISTRFSPANATTSLKTSLGMAAFTPVSVTRSKHAVTDTPADDVSFSAVDAKAMDLHR PDSVVEEYDPHRPNDYTAFCEEREIRQKNAEVRADLERRQKRLERERLRDREQLDKDL EAGRVPNLATTTVPGGRGRGMNLPAWMMKKIEGTAEHETSSTPSRPQHPSPVQGQFDD VGHASTTLRPDASDKTSAAPSSSQPPAPSGGAAAKATTPHVHAARPVEVVKKARLSRF GQRSDVDTKQSVLLLLNMVAPGDVDDDLNDEVREECEGKYGPVAQCIVFEVPFRVPPE EAVRIFVEFVHESDAAKAMAGLNGRFFGGRKLAVTKFDKAQFDRRDLAP H257_03902 MSGGGGAPRRGDKEAPWDDMVIPAIAIHVHRSSQLNRSQHWDIY EATFQGTIVAVLRYRESSRQQALWDATLPYIPRLFASVHPNLVQFLGVVVDTDDVRSS MLVMERQATSLYDLLHVHHIALTDAAVVHIALDILHAITYCNGCGLGHLTSRKVLLDA GGNVKLLGLFQRDILDIAGVPNVITPYSPPLLQQPPPADAIAMADDMFVFGVLLWEVC CGELPTVELHHRIAQVSVRHPQTEFESLVRRCLDETPGNRPQPSEVCDTLLKLQMSLP LLAGAEAAVAMRFGAVEARQSPTAAPGPTVVARRLEAVEAQVLEEQRNFDVVVGQLEF ANTEIATLNGLLTGKENERLEMHAQVVAALAQKDEVEQAMRDAIDARDKWAHQVKTLE HQVATLNARNQSQLNDMQHCKREYDHMRVSVQAIEQERVEMAQHLAATKDAMAKEKAL SDELNVRWQQTIKRVEDERRLRDKAERVVAEVRDQNKTLLDQVKRWHPDTGSDTLERT QAHDAQVQSLEQHIQKLLLEMVEFRGNMAAMEATVQAANSALQEAQTQCTALQDQFTT TQRLLWQAQDACKSHERTIQSYETTTAALETKLVSVQSELKATENALTRELKKRQDEE LALKSRRCLDLTCDAPPFLIQPSGYCKGCDERRERDKADRVSKLALARQNQPPNQLVR DAYGRGVPTLLELLDNFHTHVDVLVAGFKQLHWACETNGSVKDSLGDAGAFKQIVTWM GMYPDDVALQLAAIRLVGVLAFNHDVNRVRLVCEGCLEQVLGAMARHVSDKAIQQASC TTLTNLAHNCEGNRRKILLQLGIERVLDSMQAFPHDTSIQQGCCWALISLAGSGTFLL DEIALCPSCHYGLDRIMYVDFMCEHIAARGGVGGIVAAMLNCHADAAVQYYGSWALLN LVAGLESVQTFAKHEGAVEVCEAAMACFADHGGIQDKAGTVVTVLTDISAQENDTEDS H257_03902 MSGGGGAPRRGDKEAPWDDMVIPAIAIHVHRSSQLNRSQHWDIY EATFQGTIVAVLRYRESSRQQALWDATLPYIPRLFASVHPNLVQFLGVVVDTDDVRSS MLVMERQATSLYDLLHVHHIALTDAAVVHIALDILHAITYCNGCGLGHLTSRKVLLDA GGNVKLLGLFQRDILDIAGVPNVITPYSPPLLQQPPPADAIAMADDMFVFGVLLWEVC CGELPTVELHHRIAQVSVRHPQTEFESLVRRCLDETPGNRPQPSEVCDTLLKLQMSLP LLAGAEAAVAMRFGAVEARQSPTAAPGPTVVARRLEAVEAQVLEEQRNFDVVVGQLEF ANTEIATLNGLLTGKENERLEMHAQVVAALAQKDEVEQAMRDAIDARDKWAHQVKTLE HQVATLNARNQSQLNDMQHCKREYDHMRVSVQAIEQERVEMAQHLAATKDAMAKEKAL SDELNVRWQQTIKRVEDERRLRDKAERVVAEVRDQNKTLLDQVKRWHPDTGSDTLERT QAHDAQVQSLEQHIQKLLLEMVEFRGNMAAMEATVQAANSALQEAQTQCTALQDQFTT TQRLLWQAQDACKSHERTIQSYETTTAALETKLVSVQSELKATENALTRELKKRQDEE LALKSRRCLDLTCDAPPFLIQPSGYCKGCDERRERDKADRVSKLALARQNQPPNQLVR DAYGRGVPTLLELLDNFHTHVDVLVAGFKQLHWACETNGSVKDSLGDAGAFKQIVTWM GMYPDDVALQLAAIRLVGVLAFNHDVNRVRLVCEGCLEQVLGAMARHVSDKAIQQASC TTLTNLAHNCEGNRRKILLQLGIERVLDSMQAFPHDTSIQQGCCWALISLAGSDFMCE HIAARGGVGGIVAAMLNCHADAAVQYYGSWALLNLVAGLESVQTFAKHEGAVEVCEAA MACFADHGGIQDKAGTVVTVLTDISAQENDTEDS H257_03903 MLNPDDSTSPMPLKGGISGVSEGDAEICVSHSDASPRRRIKMSA ITLRRRRDGEEDKGNVFWNAVTVLSVLSLDRVQQVNLISMSIDKIFSVVSEEKTTIYL HNTSKAAFNYEDHVLTIGRVKSAADDDLPYYGLNVYHNGTIKWFMRMHVTCPTVGTMS FQQPLRLEVVGGDLHLMTGQATGDDASTSPVGNVFINALSSRGSIQLNAESWLLPVQV GEHVSWRRCRWPLELPTRPRSFKYKPNVVNASDFVVDVNATVTVTAPHVDVVTAAGRG TSLSLALIWLTRMVGDEVEASRFTTSLLALGNVPSTGLFEFDLAVHGLRAEMDELNDV ETLLLHMAKCQVVRQTRSLAKEEGVEVKVVVVEATVDVDEWHCLDDDEAIAPTLYGRS IVQFQGEVALSATHSVECASRLRRNRGLTPAIHSLTYD H257_03905 MVTSSARRIVVLALGVLAWTLVPTLLRVVTHVAVALVAFLILAS VQSLMEMSRIVHTSLLSTAAAEFYFGRFGSYAPSAKLLHVGFRPDSIRRVPPSAYLHV TYGLDKSVADELGTLVNILVADFVTFWYKQLTTDELFASTVKLLLCDILGGLSVRARR AFHLHGTLSAVTESLQVVQLQLAWFRDLYAELCDEHPHAFGDDSHPSLELRRRLLLDV VVGQHHSKLHPGALDSCAYLKQLSMNLLALVRPDFDDKCNPQQSFVSFPYLAWHFTSE ILARCVLTPLLSFCNPEHSNPLVASMLEPFQVRELELDTKAKRPLASYTASRAPKCSF DDAQLVNHLVSLMGELDSPDSSGPQLLFPLVSSAETANSTTAGTSAQKPPLPQKSKHK KTRSLLDVAKMKARFSKRLASDRSSTDSAVDVNEFSKYTDDNGDLQQPQHQQPSSIGH DIVQQVDHAIGLYVALDPATLLTSGRTKELHGLVSSLEEVLLFGFKASEPPPSYSSTT LPLDDASYWTYLSQRRLQTAFWNDRVKLVQSLPVPRASDGHFSARGVQWLLLALEEGE LWEYFTAMAVVESSVTDSFYESYAVLRDKELTSILLAALFRLNGMRVALHLQTLGHDR LTLSKQNLHSTETLAFGVTFVVEEAWECQRYLPLQGWLKSSDKRKRDDARLPTSEWVW DGPWTLEENPEIDGDGGNDSDDNIVSRSETETRSGWLYSKTSKHVGLHAKESRLDCVR RRKWLRRRKTLPLVLVPAVHPLHGVIHEVAPRSNQDKEPAASPTPNDKTAAPLQDRTA CQLCQRLSMEDRSTFTCPRCACSVCFSCSNHCVTDTNESTLRVCATCYDAYATQLRLR LTARATRVVDHTMSASDVTRFDLHVTTGDGHHWTGRKSQEDFDLLKAALCADPDIDPS HLTTRSSLTRLHPQESDESMVNRFLEEILKSHTICQTHAVQQFLLDVAAGATAVDTKD ELTSNKSTNNQSTRQGQVLLQKLEMHAFKMMDEMFELDDMNRMRRKLLSVTRTFIRVS FNATCHRIVETQFTEWTHPKRIASLLFDLRATAIPPDGVYFQASTPSTPTKVQDQARA CRSALFRTCPPAFVSLLGESSARHGCLKLFEFLQHEVLVKNLVFSLLDVLLYKVFPDM PSLKTKKPPSVPQPT H257_03906 MASASNEWAAPPSLDNADVEAIDRFDEGENDDDNSDESDDEEPE GDDEQTIVDAGRHKRMQRIQKVLYDQLVGNDTQIALELREKEEELRRAKTKREDLGVE LYGVQQQLAQLQMALEGAHNKLNDTHENRFKAEDNLDEVKDEFKKKKAVVEKSKVQMH KAQVELDALNQTLRQVEAYNDEMKSEIARSQRAAHKAEETVTNLEKQKKKQDLYIDKL TEEVKSLKENIQLYGAQLKVQAQETEAADETLKEAAKQMEATAFEKKQLMQQWKSSLI GMQQRDQALLATQTAINAVNEQELAVVSEIRGYKTSIQKAQTVSETLTGTIDRFDSEN RFVEEQLGAIQQDMDKLSERYDMLQKSLKQTDAQVDKVSQDAKRLDGDTASVTQNLET VQKERHALEDAIQAQKNTQTTMNKAANNLVKETQKIQTTIHEKEILYANIKNEMARVN VDILNVQAHTSQLKDTHDKLVTDLKTKDLAVEQSELEIRQRNDEIEKKMLRLDRLNKK YEQLVSNMVDENTGPLEATIKNIKKETLQKKKENVDKQREWLQIQTSLVHLTAESEEI GEKNQVLKSKTSILEQKQLRLVNEFNNFKTEVKELKAGIATMHIDTVKLNELISRHRD KQEALTNVNYSLELEFKRELKELEAESISMEAQANSIKQEKQMLLDQIIEVERQIMLW EKKIQIEKETQAALDPEVGQAEAKNMEREIHRMRLRLEALERDQERMIFEMERAIHKR DAIAMRGRGKKESDMTQASLLSKVATLQNKARQAAKETAALEKAIKQKSIQSEDISFQ MDKISQDLKTQEDRAATLQRSINHALYEKQRCIDTEARKQRMLKKWEQICQGKGFDPT DEDRIHADKDKAMTDTQKIRDMIDTLQQQHPHLKEVLTRVAMLSEAQ H257_03907 MVTVSLHKVCYVTETAQYTLVRDHVTRALPFRSNESQVLRSIDL VKIIYGVANHHALSATFGDWHVDVTVEELHSRRCVRWMSPHSVVQGVLHGQVRFEPAM LKPGEPLASWYGLRYGAFWVEKLYIESRSVRTHHFALKLTTQ H257_03908 MHLTPFSCLPLAAAAALCASLVHGCSDFLLNSTTYVVSARTMDF KIDLRTLVEIVPRNTLIQELIVDECTDCPDYSWRTKYGFVGLNTLGINAAADGLNEKG LAAGYLFLTGSEYPAVDVADAAIHPIISSFVTYILGNYATVDEVKAGLPNLQLRGFDG KLQALTTPGLTVFLKFPLHLPIHDASGKSIVVEFIKGKLHMYDNPAGVLTNDPPFLEQ LALVAHHDNTVTGTQDLTFQGGYSPIERFQRLTFLNRHGAAAFLPNTSYSVATPDQAA VSAAVHIINTVTIPTAYLGGSGATQYTLVRDHVARVLYFRSNENQVLRSIDLTKIDFG DPTNRRALSVNFGNWHVDVTVDALQSTARSADVPPRSVVQGLLHGDVSATTLEVAATA ASTGSSSFWVGASVGVVGTALVAAFVLTQQRKRTEEYTPLV H257_03909 MSASTHKAKLAAFSPCQSFFVSISDDNRVKVWDVASGSLRQELK ERDHLTYKYTSLAWTKASPWAASSSSSSKKGTKRSATSDLGVLALGTTTGAIVVWNLE KGEVGVRLARETSENGHAAAVTDIVFASSGATLFSSSNEKNVLEWNVKDASVTRKFKV GSDGASKLALSKSDDVLAVGSSSIKLFDVASGKKSKKLTSGHATAVSQLAFSDCARYL FSSTGERFINVFDVSAESTDPLYNFAADTSLATLVTRVLVAKKAKHSVATVASVADNG SVFVWQHAMTLSSKPVLAATQITASAAVQLAAFSVDNTNAVIVARGSIHKPHFETVAF EKDGAIVSAHVTLSALDTSSLLVAKKSKVEKNAEDVAKKGDAHVPTLVERGTAKTSTM VDATAGAVVDEAEETEETEDDDEEATLEDRLQLLRDDIDDNEDIEDDALTTVTPRPHT LSRAKAQASSTSLVSVLEQALQSKDNALLEHCLRIHDPKVIDETCRRLNTTRVFPFLL LLVEKFEKRPTRGATMCQWIKFLMLNHTAYLMTVPDVIDKLSTLYQSLDARVKVFPQL HKLSGRLNLVLGQIAGRSNVEVVDDAPDVVYNEADDYEEDEEEEEEEEHDEEDDDDEE DDE H257_03910 MMNCFEDLDALRREYDEAFKGLLDRAKHELQHTTSSLDHANAGI QSLRTENENLVRQLAGAGVRATQVDDLRTHIEHVESLNAALQRQGEQLAARETEYRLK LAAAELQVLELSDQTAALSYLQRRVQDLEAANLTLTQDNTVLRENNTELNTIAMELVA RLEKDELQHSKPHHDVD H257_03911 MVVPVAWSVLALHFLQLLFGRAIASPPFQRHVVHVKVNDRTMAC SLEGCLLVCNIDQIMLNVCAAFQIDAQSCGFEDCHLVCNNGATRHDLCKKTHLKGARQ LSISTRQLGASIFVDDAPPLWPKGQICYKIDVDVNASAVRFALSHWQATTPLRFIPCQ EDVRPDTTSCCAPCGDYLHFQNGSGCFSSVGYIPGLCGTGGQPLVLAASRGIGNIIHE IGHAVGLVHEHQRADRDSFVKIYPSNIDPLYLPDFDKGKLGDLTVFGAIDDGSGGTDG AYEYDYDSVMHYGLHDFSVNNLQTLLPITPTGRIREDCFYRVGQRKGLSPGDVQMIRG LYQGEILTTTT H257_03911 MVVPVAWSVLALHFLQLLFGRAIASPPFQRHVVHVKVNDRTMAC SLEGCLLVCNIDQIMLNVCAAFQIDAQSCGFEDCHLVCNNGATRHDLCKKTHLKGARQ LSISTRQLGASIFVDDAPPLWPKGQICYKIDVDVNASAVRFALSHWQATTPLRFIPCQ EDVRPDTTSCCAPCGDYLHFQNGSGCFSSVGYIPGLCGTGGQPLVLAASRGIGNIIHE IGHAVGLVHEHQRADRDSFVKIYPSNIDPLYLPDFDKGKLGDLTVFGAIDDGSGGTDG AYEYGWIASMHRVFTEL H257_03912 MVFAEQAQQRAKACCANPKLVITYLWFIILFFAVMFSLGAMVFA ANNNGDGPQYSKSLGFAGIWMMFLVIALSIGGTMVMRKYQTPIAVGFFIGVVIMMSFN MFSLSVLCAGAAYLAKRSNNGTLNDGNWKGPVHSDEASAVFSFFMFVLYFAFAVVLVK HRAVIIKDGISNELPEKLPTVAPQSSISPKGAKLEEIKTTSSAPPPVSV H257_03912 MVFAEQAQQRAKACCANPKLVITYLWFIILFFAVMFSLGAMVFA ANNNGDGPQYSKSLGFAGIWMMFLVIALSIGGTMVMRKYQTPIAVGFFIGVVIMMSFN MFSLSVLCAGAAYLAKRSNNGTLNDGNWKGPVHSDEASAVFSFFMFVLYFAFAVVLVK HRAVIIKDGISNELPEKLPTVAPQSSISPKGAKLEEIKTTSSAPPPVSV H257_03913 MALELATVKPGDGTNFPKDGDTVRVHYLGKLADGMLFDSSRERG RTFEFKVGVGQVIRGWDEGIKKMSKGQIARLELPPSYGYGEHGYPPIIPPHATLYYEI ELLTFCNTT H257_03913 MALELATVKPGDGTNFPKDGDTVRVHYLGKLADGMLFDSSRERG RTFEFKVGVGQVIRGWDEGIKKVVRWTLSQVMCQANHGSR H257_03914 MYSLDVKRLQDKKQRDAAWINKKLSDDSPRAKESRIQRVYAKST DGMYNEYNQRVGSWATATVDESPDWKSNYGHLTSAVANPMVWPREPQKELGSSFMDGG KYTPHGIYRGGGDCLVSPIPPDPIKPVFDHGSYDDPVDSPFRRRAKTKEIQGPLRYNT VIREYPDIPHDFDSTWIEPKPLPQWRFPDPSKWSGGEFGATFNSRDVATSLLDGIGMQ KHAPSIAATERFVVPRRTNPPFPSLKKGSGGHSPVCRAKSAPHEKLYMNSLLSVNTAA SHIVLRELSPHKHTMQRHQK H257_03914 MYNEYNQRVGSWATATVDESPDWKSNYGHLTSAVANPMVWPREP QKELGSSFMDGGKYTPHGIYRGGGDCLVSPIPPDPIKPVFDHGSYDDPVDSPFRRRAK TKEIQGPLRYNTVIREYPDIPHDFDSTWIEPKPLPQWRFPDPSKWSGGEFGATFNSRD VATSLLDGIGMQKHAPSIAATERFVVPRRTNPPFPSLKKGSGGHSPVCRAKSAPHEKL YMNSLLSVNTAASHIVLRELSPHKHTMQRHQK H257_03915 MQKQYGRIPLWQVTATDLAKWRPVYGEQKLANEDTSSTEVVHGG ASATASADAVVPVGNPDVRGLTCGTCRLEFSDVKSQQAHFKSDFHVYNLKRKSKGLEC VSEDDFSAFLASSQARRRRSVDAGNETEDDAPHPLDISLSSDDDDDDETNVLTAKEPL QAFTDSTVVYKVYNAAFSTWSDKIKGPWVASMDMLQALTQPSSTFQWAVLLFRAGRFA GAVFRKDKVLVHKAFQRYTTRRKQGGSQSAHDASSGKAKSAGAQLRRYNEVALQQDMV DLMQSWATELRGCDRIFLGSAKTSRGHFFDKTGLSAADPRLRLVPFGTLRPTYDEVCR VRAVLGSVHFSPYRASEFEAKPKPISASVTHRRPKHVPDAAPSPADEEEPSENVPLPP PPPTPELIQAVESGEVASLTALLANVDVNAQHTDGSARTALHVAVQLNQIAATEVLLQ HGASPCALDDRQRVPYVLTTSKEMRNVFRRFRADAPDRWDYVVAKVPDALTDEMEDAQ KAKAKEKKKRAAERKKETKAVEAEAKRVQEAAAADAAARRAKESACAACGQPSGTQPF SRLTYLYCSTTCVHNHKRQLMADAALKRFGN H257_03916 MQHAFIETRSWARALWSFRCIFLFNFTLFCAAVGFALNMVLLCP ESPIMYGPDMGKEHAIFGKQHANVVTLNVGGRVIQTTRATLLKHDGSYFDAMLTSGLW QPDAQGGAYFIDLDPGYFDRILNYLRFGDLVLEGLADSETILVIRMMQYLSLDPPSAL GLLWDPSLRGHLSLSNQCRTITAASFSQFAALGMQSTRVFSLLIDEITFILDKPSFRV GFANRDGFESETTSAESTLCVVGWRSNQPRF H257_03917 MEPMGSWTNRLQTPPTVPVAPGRTAFVIANSKAMGDSSFCSYND QKVVSKAFCLVLNNSFTRMNAGIDSSAGIQDAHSQHINSGLFPIGLPAKTVPVLRSCF VVHVIFVNASCQKTQFSWWGVPRITLADSVTMCSMSVVTQKCPAKAVSDQLPSPNRPH NGIDFGSGEHGLSLERLQAPQTDTT H257_03918 MVAPLGLRSLMPYNRPPPERRSRQPDRFYSVDQANVYHSEANST IQKDLTEMALSLLPPHVVSSPMLDMGCGTGLSTQHLPPHSVGVDVSLSMLQLARSSNS LTPSSYVCGAAFSLPFRSHTFDHVISISMLQWLSPDQLTQCFMEIARVLTPRGSAVLQ VYPIDLDHANEMLAAAAKATNSSPVLVADFPHPNSAVKWFLCVDACASPLSSAFGHDK CPLARRLDGTCAYRYRHRRGLEYGRLLHEHVQYAWHAYRKVKRDMQWHGRESHESGAA KAAPTRHNKERRVFPNEARLVHRLVEELPTTNDTGLTLDDLKANAKTVAEIMHATFES LE H257_03919 MAMAMSMGTMNQVFVEGAAEEAPRILRQDQLMLPHMYAPLKSTS ACHECTKAFTTFRRKYNCQMCGEVVCRNCTVAYVAEVSKDVIDAKVCMSCVSIVEAEY HASLSTSASFRSSPQISQLRNTALSASYRSSSGSVDVGSYSVEAQLDELRTARSMPAF SPALEDYSSDLNALSGVNYPVQLVTATTPYEYALDYSWANPWPKPPVVPNDAQRVQVL RSYPILDDGSVQSYGFGAICDFAAQVLRCPVAVVTVIDEKYVRFQASVGLAQDKIPRS VAFCAHALVSKEPTVVLDTTVDTRFLANPMVTGAGIHFYASAPICAPSGHVLGTVCVM DQSARPLGVDVSLLEVLANVVVKKLEDSERRRLPSTSSMRRSSEDRGASSSQDGGKGT DSGHRRRSTSSSSSTVTRSHRTSSSDVASSSTTNHPPYHPPYPEDLHRVSSVGSSVAA GGASRDSSMGLTDGPNNNQPPQQQQFFLAPNELKPRTQWVSDSKRHDCQVCNRKFSMF LRKHHCRVCGEVICKNCAMSTMLVKRDKKVPITACLDCLRSRTTDAPPLPPNSHSTRR RRPVDEDTIILHPHGGSTTSPEAHNTLEGSSKSSPVDTPVATPCDLVEPEPAEIIPVL LRYQAYDVDTNLAMYSNHELVLDSSSAVAPVARDFSQQEIQSMLVRLLSQSNDIQHQI AQVAPPLPASSRRSVSR H257_03920 MFHRRKKDGTSPQTAAVLPCLPSPQCMVLLALVEHLAAHKAHKS KLFQSEGRPSERKVLLEQFYAGVHTASLKSVSSRSMSFVLRHVLVTQYAPLLPYVAYD KLVAAMHASNLTVFTAAVQVEVTAMPISHAKLLHGLLFLMRKVSVQLTVAEDGLVTHL GVHLCRPSEHPLALKGSVGVRRDMCRRLLLVLTSLRFPDEPPLVVAPSSGLRRRSSCR SWTLALVQDTERLLSHIVASSDSKRDLFLRLPDAATVHALLSNSVPAAECSLHDVAGA VKTTLYRRDALVPVEIYALCDDPDARAFSLALSCVPPFPRQILVLLLRCMQQAMLDGA SVDLLSASLSCYIFHELKFIGPVQDDHRHHELDRLAIQVLGCVDGILTRHRHNLLKPL KAAVWVVRVGLGVNRHRQVVGQKALPFMDVQGDNSVGTASGHTAAKMENTTRPCDDVT MKLLLEVKTTSTKEALDDHTGHAQNVLVEPLSLTTAMDSTAVVVEYDNARTAPAVKAA SLHDQTWQLAHEAADEPNSSEAVQIDDSPHQQVAAVAVSLPSSKGASPVRVAILKAIH KATTSIISANPNTEASPRVQEVRGTTMTSRLPTKVSVSDDVHSKNAAIASSTTLPLTN GSAPAMTTQNALSNGAVTSSSPVVALDISSVATKTPIHQSQLTEEVSASHPQGDSKMV SKSTCKAVTPDISPTTLMISCDAMAMANNTAGFPLTLCCDSEPPPPLHHSPHDDMTRR LLQQQPRSLADEIAMWEHWSSINVFDSTGGSCVPWDVIASFTGDQSSAVCRLDAYHDV PLVQPAALWRVLFSIYDTYKASKRELEWCDVQNLCKDCSLSSQHVHVWDLFARLRAPS NMGLGFHEFYVLLAHLEPLDAPSASTTPLHDILLYYLLPGARRNGAEAAIERVAATTR PRWWSHAFKRLLYPSMASIKQVFQRFAANQAKSTVDTAASPMCFGFPQLSALLHALCI VPELATEAHVRAQFNLLLDNQDESPEVSFSMGLAWVLELGMELLSHPVLKPIYPSDAD KLLVMVDVWGLGDVTFVSNAT H257_03921 MAVVLDLIRVTEAALDHDEAVAHVTHESAGGISTFIGTTREDFQ GKKVVHLEYEGYVPMAEKELRSICTSIRATWPGVVGIAVFHRLGVVAVKEASVVIAVS SPHRREALEAVAYAIDTLKARVPIWKLEKYEGDDRMWKENPEWRQTMVPMAAAIE H257_03922 MEMLARIEGALLGLYVGDAVAMPVHWMYNLQQLEADYGQITGYT KPKDTFMGSIMNLSSTGGGGRGSDKGDVVGSVILHGKKQFWVRGGNFHYHLGLQAGEN TLEAQLARLLVRTLSTPSSAATTPPAAAFQAAYIEFMTTPGSHNDTYASTCHRMFFAN WAAGMPPNDCPDNDGHNVDAIDLLTLTIPVILKHASSPADERNRHVREIIAATRHAPT MTKYAETYADILVAVLHGQDLRTTISKHGGSDVASSLRRKDPMVACYMESSFPALLHF AYKYADSPEAAVLANANAGGENVARGAALGALIGAAHGKMGFPSWAKDELYAKTAINS EIDHFLSSLNTCS H257_03922 MRTFASISASSIGENTLEAQLARLLVRTLSTPSSAATTPPAAAF QAAYIEFMTTPGSHNDTYASTCHRMFFANWAAGMPPNDCPDNDGHNVDAIDLLTLTIP VILKHASSPADERNRHVREIIAATRHAPTMTKYAETYADILVAVLHGQDLRTTISKHG GSDVASSLRRKDPMVACYMESSFPALLHFAYKYADSPEAAVLANANAGGENVARGAAL GALIGAAHGKMGFPSWAKDELYAKTAINSEIDHFLSSLNTCS H257_03923 MDADESYFSRLWEAESPEALLEVLLEIQSKIDAMTARDGGNVSA VCIISTLDSHERQNARLWTSTAIDIKHAIHDRVVETPATPRKLTLVKEASDPSYALNV LMRLTSFGRSMSGAGGGSAATTPGGGFHHTHHPSLEHNIIDQQPSATDSLLRSLGSLM GFVETCYCQICLEYVDVATTIALDACGHRFCIECLEGYVTSKITDGMVYPTCFFAFPP EDGGAVPSTCHAAIQATDLRALITSEDVWAKYEKFKFNKEHANARECPHCHHVQVSAA ANPTISCDACRQVYCFSHSNAHPGMSCADYEKARRAEDKLNHAKISQIAKFCPGCKSP VEKSGGCNQMKCITCGINFCWLCGQEVGDGVFPEHFQWWNLAGCAGAQMSEAATRKPS FAHKAMMFLFRLALCVIFGPPALVLTLACSALACCCVPCLISDRDSAMSAFLGCFCIS GWVFMVPVVIVLALPFLPFVLVASYFWPEWMQQFWQDQNEGTEAEAEMDVPSVAARGR GAEADLSGPYQSV H257_03923 MDADESYFSRLWEAESPEALLEVLLEIQSKIDAMTARDGGNVSA VCIISTLDSHERQNARLWTSTAIDIKHAIHDRVVETPATPRKLTLVKEASDPSYALNV LMRLTSFGRSMSGAGGGSAATTPGGGFHHTHHPSLEHNIIDQQPSATDSLLRSLGSLM GFVETCYCQICLEYVDVATTIALDACGHRFCIECLEGYVTSKITDGMVYPTCFFAFPP EDGGAVPSTCHAAIQATDLRALITSEDVWAKYEKFKFNKEHANARECPHCHHVQVSAA ANPTISCDACRQVYCFSHSNAHPGMSCADYEKARRAEDKLNHAKISQIAKFCPGCKSP VEKSGGCNQMKCITCGINFCWLCGQEVGDGVFPEHFQVRPVPPSHRSYFAWSRVMIST YLCTSRPTCVLLDLLVYFSTYLCTCCGRRIYNVSRGKSNM H257_03924 MSLFEDVLIVEFEKKTQTPQIAWRYLTDAACAHVNNNSTIPIGL AAICAPAAGKCQKRGMEFTFTISDGRARQQYGFVKQLFVASTDEELSTHVVCILGPKP MYVWFTWILRLVHARLLHDPSRGSAVELLNAIRKAGTTDHSDFTFRLALDHGASPALY TFPLHPPGAFGMRTMEPHILNNRSFTNKLQSPTVLLVLLSALLHEQKVLLVHDERDVL RGTCQLLLRLLAPFTWKHLLIPVLPEELLHYAHAPIPYLMGVTTDAYSKASSIITNAI VFNLHEERIELRNLTDGFPTLCCDACPVIPPASTATVPFAKLSTRHTSPNSNHSAVDT FRHDLQHCFMNTPDGIEACVNAFFFHVFGQVDVANKSGIIQDVMDQFLRTANAIHPPS LVRFLTALSSREVLLLYFAHVVASPKGAHFHGPAAVCGRSADPSSYVSLKRLLQKRYE RLRGLATRGIVCRSATYVQEYPSIVQILNGFCGHGSTNGNVDRGWTTKELRPLADASY HMDQCALMVDILWERLGDSNFSTLSAVLHMLVFLAIHGCEIAMEYMRFKECQRDHCQF LQRHPAKSIVDNAAMLLDFMASPHRWFAMRASRSQELWLSTVVFPRLDIRPMAKGVPS FAALHHTIGRFHQPVHSVNLLDLDYGVPPSSSSSGVKMAAVNDDPFDTNAAFPTHWG H257_03924 MSLFEDVLIVEFEKKTQTPQIAWRYLTDAACAHVNNNSTIPIGL AAICAPAAGKCQKRGMEFTFTISDGRARQQYGFVKQLFVASTDEELSTHVVCILGPKP MYVWFTWILRLVHARLLHDPSRGSAVELLNAIRKAGTTDHSDFTFRLALDHGASPALY TFPLHPPGAFGMRTMEPHILNNRSFTNKLQSPTVLLVLLSALLHEQKVLLVHDERDVL RGTCQLLLRLLAPFTWKHLLIPVLPEELLHYAHAPIPYLMGVTTDAYSKASSIITNAI VFNLHEERIELRNLTDGFPTLCCDACPVIPPASTATVPFAKLSTRHTSPNSNHSAVDT FRHDLQHCFMNTPDGIEACVNAFFFHVFGQVDVANKSGIIQDVMDQFLRTANAIHPPS LVRFLTALSSREVLLLYFAHVVASPKGAHFHGPAAVCGRSADPSSYVSLKRLLQKRAT YVQEYPSIVQILNGFCGHGSTNGNVDRGWTTKELRPLADASYHMDQCALMVDILWERL GDSNFSTLSAVLHMLVFLAIHGCEIAMEYMRFKECQRDHCQFLQRHPAKSIVDNAAML LDFMASPHRWFAMRASRSQELWLSTVVFPRLDIRPMAKGVPSFAALHHTIGRFHQPVH SVNLLDLDYGVPPSSSSSGVKMAAVNDDPFDTNAAFPTHWG H257_03924 MSLFEDVLIVEFEKKTQTPQIAWRYLTDAACAHVNNNSTIPIGL AAICAPAAGKCQKRGMEFTFTISDGRARQQYGFVKQLFVASTDEELSTHVVCILGPKP MYVWFTWILRLVHARLLHDPSRGSAVELLNAIRKAGTTDHSDFTFRLALDHGASPALY TFPLHPPGAFGMRTMEPHILNNRSFTNKLQSPTVLLVLLSALLHEQKVLLVHDERDVL RGTCQLLLRLLAPFTWKHLLIPVLPEELLHYAHAPIPYLMGVTTDAYSKASSIITNAI VFNLHEERIELRNLTDGFPTLCCDACPVIPPASTATVPFAKLSTRHTSPNSNHSAVDT FRHDLQHCFMNTPDGIEACVNAFFFHVFGQVDVANKSGIIQDVMDQFLRTANAIHPPS LVRFLTALSSREVLLLYFAHVVASPKGAHFHGPAAVCGRSADPSSYVSLKRLLQKRYE RLRGLATRGIVCRSATYVQEYPSIVQILNGFCGHGSTNGNVDRGWTTKELRPLADASY HMDQCALMVDILWERLGDSNFSTLSAVLHMLVFLAIHGCEIAMEYMRFKECQRDHCQF LQRHPAKSTQNNRSQDRLNMY H257_03924 MSLFEDVLIVEFEKKTQTPQIAWRYLTDAACAHVNNNSTIPIGL AAICAPAAGKCQKRGMEFTFTISDGRARQQYGFVKQLFVASTDEELSTHVVCILGPKP MYVWFTWILRLVHARLLHDPSRGSAVELLNAIRKAGTTDHSDFTFRLALDHGASPALY TFPLHPPGAFGMRTMEPHILNNRSFTNKLQSPTVLLVLLSALLHEQKVLLVHDERDVL RGTCQLLLRLLAPFTWKHLLIPVLPEELLHYAHAPIPYLMGVTTDAYSKASSIITNAI VFNLHEERIELRNLTDGFPTLCCDACPVIPPASTATVPFAKLSTRHTSPNSNHSAVDT FRHDLQHCFMNTPDGIEACVNAFFFHVFGQVDVANKSGIIQDVMDQFLRTANAIHPPS LVRFLTALSSREVLLLYFAHVVASPKGAHFHGPAAVCGRSADPSSYVSLKRLLQKRAT YVQEYPSIVQILNGFCGHGSTNGNVDRGWTTKELRPLADASYHMDQCALMVDILWERL GDSNFSTLSAVLHMLVFLAIHGCEIAMEYMRFKECQRDHCQFLQRHPAKSTQNNRSQD RLNMY H257_03924 MSLFEDVLIVEFEKKTQTPQIAWRYLTDAACAHVNNNSTIPIGL AAICAPAAGKCQKRGMEFTFTISDGRARQQYGFVKQLFVASTDEELSTHVVCILGPKP MYVWFTWILRLVHARLLHDPSRGSAVELLNAIRKAGTTDHSDFTFRLALDHGASPALY TFPLHPPGAFGMRTMEPHILNNRSFTNKLQSPTVLLVLLSALLHEQKVLLVHDERDVL RGTCQLLLRLLAPFTWKHLLIPVLPEELLHYAHAPIPYLMGVTTDAYSKASSIITNAI VFNLHEERIELRNLTDGFPTLCCDACPVIPPASTATVPFAKLSTRHTSPNSNHSAVDT FRHDLQHCFMNTPDGIEACVNAFFFHVFGQVDVANKSGIIQDVMDQFLRTANAIHPPS LVRFLTALSSREVLLLYFAHVVASPKGAHFHGPAAVCGRSADPSSYVSLKRLLQKRYE RLRGLATRGIVCRSATYVQEYPSIVQILNGFCGHGSTNGNVDRGWTTKELRPLADASY HMYATNRLQIRRNHGDIPT H257_03924 MSLFEDVLIVEFEKKTQTPQIAWRYLTDAACAHVNNNSTIPIGL AAICAPAAGKCQKRGMEFTFTISDGRARQQYGFVKQLFVASTDEELSTHVVCILGPKP MYVWFTWILRLVHARLLHDPSRGSAVELLNAIRKAGTTDHSDFTFRLALDHGASPALY TFPLHPPGAFGMRTMEPHILNNRSFTNKLQSPTVLLVLLSALLHEQKVLLVHDERDVL RGTCQLLLRLLAPFTWKHLLIPVLPEELLHYAHAPIPYLMGVTTDAYSKASSIITNAI VFNLHEERIELRNLTDGFPTLCCDACPVIPPASTATVPFAKLSTRHTSPNSNHSAVDT FRHDLQHCFMNTPDGIEACVNAFFFHVFGQVDVANKSGIIQDVMDQFLRTANAIHPPS LVRFLTALSSREVLLLYFAHVVASPKGAHFHGPAAVCGRSADPSSYVSLKRLLQKRAT YVQEYPSIVQILNGFCGHGSTNGNVDRGWTTKELRPLADASYHMYATNRLQIRRNHGD IPT H257_03925 MVVGPPGVRVLHGLVATVAFFFCNFSTLEAVLLDILLDLGSRIV ATALVVPVHVSSKYVRLKDPTFHLNGDAPHIRFDLAYTSNLKSITKLFHNPVLFQQLQ TTAIRPAMRRVLPSYVLEQRRLNEEDNAHHIKTTSCAICWESCGDIDFCSSPPHHLPC GHGFHLSCIREWMKRDATCPLCRRALPSVQDTFEIDHVATTLIPSNSRLSRGEMVVVL KRRRSEVDSNMGGFPIRCTVDGHVLSMLQWRRLGLRWFKVLLDDWLREYVLQIVAFAL CYKSLLWGVSYIAT H257_03926 MLQRTAVRAARAATPSSLRALSTAASDLKLQTSTTAKNVKYFKI YRWDPESKEKPYLCTYPVDLNECGPMVLDALIKIKNEQDPTLTFRRSCREGICGSCAM NIDGGNTLACLSPIDKKKDTTKIYPLPHMYVIRDLVPDMNNFYAQYKSIKPWLQSDVV KSDNTEYLQSKEDRKKLDGMYECILCACCSTSCPSYWWNPDKYLGPAVLMQAYRWIED SRDTKTLERLEDLNDAYKLYRCHTIMNCTKTCPKHLNPAKAIGKIKKKLAVLH H257_03927 MDASGIKQALGLFLTQLPDEIILEDHETIEDALSRLRVALQNMC TSTPAFWKLALVTRMKDLVRDNMASIIEGTVEGAMQVDPSWMTLAAPNDDVPDTNNPL DAILDDMLAKHPVAFLNLQRQLSDKVAADVRGIMDYLQKHVKSSADLHQLFRDSANTL VNTVDTTMPSTRPIHQTQPRRDTQVFSLDNLGDDDEEWGDGRGEGASEFSMDAMISRM QPQHSSNVSSRADFIQHLERIPIDRIVDADSDLLRFCNALVPLFFHVDICDRVVAFYW KLVHATDDDIAIQCTLYVDLIATLLALSPSCLFLRKQSVERGAPGTQPRHHHHHHPPD DHQRPLLSVSRLVYSFLKLLPNKWIYAPNEVRANAMLATCMFLCHSVDDVAVFSHGGG ISSEAPKSSCVLPPSSLLAYLDAPSLWFMQWQLKSPVKQQWLRIVVQSGLLRHLVARL VFAHNRMDLWRKVHRSASPPAAAVLSRRQSAKAATVNVPPQHPSTSHTYGVLHQRLLI QTVHMLVAVLPHSTIHDAFPVEVTNPYKESPTRRTKESRAACLEPDEATNNLMFNLSR ETTSTTPGSTPPQRDVSDTITVSYDAVCLHAMLMCMLEGWTADLWDAQQPPASASTSS GPDFTDALFSRIRNPITYVTLLNVLSTSVASFQRDPTTIPLAQDTNSFRFPYEASSGN MFQSGHSKAQLMHFVTNVIPRQVNTTTTPTTAAIHCRILANITHNWLPRVIRAAMSSP SQGICECVVVLLSEQWCNVDIVKAVAASVSQTPSPLLHVLRIPSFVPAMRAHVIGLPA ADRELIVSDLCGTALGLLHFHDLLVPQAPLLDATWAPPPPRRSMLRICQLANVPAVAK GWLEHPTRLERWRQAFERIQDHPDGLEAVYGDLAQCPYDLRTEVHDWWHFRRLCATPY AAHAAFPPHKVFGPRCDDVQTYLMSVFCFDLSATTFDGAEAVCDKYMESWFPPCTCHR RRRPPTHSEDATTNDDDDGVDQSTTGCMLDPPSWMQHSVRQILAGVGGAHEWKRSCSM DTLPSVPGVPCNPDTNRVLQDTTAAAALTALHLSVQQVLERVAASTSSLDFHEHVVDA LWHTLDCLEDIWGVSTSGPSVEMTSWMGDILWAVVCSGTSRSSSRLNESNVRQKQPTA DADDGSVDRALSWANKWMKAYTRRIYHPFSSAEGCSSNSSSSTLLRTLFQAMGTAAAD PFVWTCVMMFAARKVDAEVVTFFTTLRNHDNMAAYLWPQLQGHVHLNRPLTRVAAAVE LILHADFPHIELALRRLDCPVLSLVLRWQQGCFWNVLNWGDIMGFVTLVCVHGVDSLV YLYVVVLHHIATHQLDAVATGAALLQLQITPRLSWSAYRPLFNRLRKAHYELVAEILA QPVQSDATPQYTHGIAGSNLTSNSPTATKSEKYLRST H257_03928 MSFSFHTGNMSDVDMMFDVDPVPFGDIDISSPDADLDRHDLDML INPSSHHLHTISGPDIFQTYHHHQQQHSNHHMVPPSAPAYGSQQSTRATNEPIKVETS RQHVPPSTTNGFRLPSQNSGAVSPPSSETSRSHHHFQTDEELLAQPVGSLTEEEKKLR RRAQVAKSARKHRKGVKEELEMLRQQVKYLQEQMAIKCAVVAEDDAARKRQKAENGGV SPVAAAAATTPASTSPQTSFHHIYAHLNANVDDRRLALINLADRTMSHAVSMVMADQT RDFPYFDVSLNNRGPDMQFQLVRGKVIPDVDHRTLACACWNSVLDFSFDIQRRSLKGL VEYEKIMNLDDDTRYGRIKMPILKSSKKDKQLIFMESLFLVRRKIYDKHSVIMWNSID HDDLFPEHADGNTLRNVEVGCAVLEHKTMPNGDKSTIMRCVVRSMPPVRALAEPRGKI SEAFLTTWCRCSDFFDDVTRTELRKFAARQTTPTVTLQSQS H257_03928 MSFSFHTGNMSDVDMMFDVDPVPFGDIDISSPDADLDRHDLDML INPSSHHLHTISGPDIFQTYHHHQQQHSNHHMVPPSAPAYGSQQSTRATNEPIKVETS RQHVPPSTTNGFRLPSQNSGAVSPPSSETSRSHHHFQTDEELLAQPVGSLTEEEKKLR RRAQVAKSARKHRKGVKEELEMLRQQVKYLQEQMAIKCAVVAEDDAARKRQKAENGGV SPVAAAAATTPASTSPQTSFHHIYAHLNANVDDRRLALINLADRTMSHAVSMVMADQT RDFPYFDVSLNNRGPDMQFQLVRGKVIPDVDHRTLACACWNSVLDFSFDIQRRSLKGL VEYEVGKTLSHVMG H257_03929 MQYAQPSISQAVRPSPPPLPETRAAPTMMMQMDSHEQPHSFLGT SRPVPSIHLPPLSSLRPQTNPPAPQSVPSPPSYTSTPVSRLSSPTYGLPPRPASFAYA ASPPSPKTYATAVLMSSALHSRPVIPSHDSTHEAGTRSPSSDEDDSTLSSEVKKVRRR EQIARSARRHRSKQKEELGTLRHQVFALQSDLERLRATHKSVHPNGNDFTEWEEKALS QRRKRKKAEDDNEFLRANLKEQSIYTERVRDMWIKSPLLTFPMPPNGTYNDRSHTFNR LTGDAAARTATLNQIAQVRMDLAYEFVMRETHAFPSIPGHLDIKLNGTGPEIDIKLIR VCEIDGFDHHQVVEALVHSVLNIQKDADSAKLFMVDACTRYGRAAVPLYIERAKYFME SLFVIRHESNAELGVVSWDSIDEDELYPLEAESTIRNAEVGSVLLNTNFRPDGSKYTI LRSVFHSHPPVRNLAKPKSKINEAFLMLFCRRAEALEKQMRQKLSAHLY H257_03929 MQYAQPSISQAVRPSPPPLPETRAAPTMMMQMDSHEQPHSFLGT SRPVPSIHLPPLSSLRPQTNPPAPQSVPSPPSYTSTPVSRLSSPTYGLPPRPASFAYA ASPPSPKTYATAVLMSSALHSRPVIPSHDSTHEAGTRSPSSDEDDSTLSSEVKKVRRR EQIARSARRHRSKQKEELGTLRHQVFALQSDLERLRATHKSVHPNGNDFTEWEEKALS QRRKRKKAEDDNEFLRANLKEQSIYTERVRDMWIKSPLLTFPMPPNGTYNDRSHTFNR LTGDAAARTATLNQIAQVRMDLAYEFVMRETHAFPSIPGHLDIKLNGTGPEIDIKLIR VCEIDGFDHHQVVEALVHSVLNIQKDADSAVRPV H257_03930 MKQPARRKRRAKGGDDGGTVPPDKNDFFKKRTLKMHTIVATDEA EEDVTIMPSFAGWTFACLGLSAAEETKVREIVRLHRGRMDDGRLDGGRRHLCRYSTCS HVVTGYWLPVTIEADDSAVYVTLHWLEQVHATTQNLPLDSSILFQPPPFHRHSFHLAY PHEYIITDSFDPAMHVELPCVPSSKLCFDIPRWPYVVALLTRPIPDVDALEHVIHLIT GQQGTRLRCLRRAIDEYVEDATTLFTVTLPYMVSTALALPLLFPSPVPLLTRQVPSSV TLTKRQAACLIIHAFLCTFTAANTSFNHFHFFDVFAPSAPPDWSNAATTDQDATAVQK LVTVLHYFSRFTTDNLCDNTNHRYDQQVITYARHVLDTTTPSTDSGMAGDSGGWADTL PWTSVHVHANGAIEDDVGAVQVDFANKFAGGGVLGHGCVQEEIRFLMNPECLVACLLT EVLDPTECFVISGTEQYAASSGYGSTFAFAGGVLDLVPVDVNMMRDTVIVGIDATKYY RNNAWHQFRPEDIKRELDKAMVGFQRLPDESPTQRGRRPVATGNWGCGVFKGDVELKF VVQWLAASAQHRPLHYYTFGNSDLATRLAQFVQTVTTSTLSVAQLRARLLDNNAPNCT LSLAEYTRQHRRHRPSVCAYITSTLLPHIRHSPSTVSQAAADVQSTN H257_03930 MKQPARRKRRAKGGDDGGTVPPDKNDFFKKRTLKMHTIVATDEA EEDVTIMPSFAGWTFACLGLSAAEETKVREIVRLHRGRMDDGRLDGGRRHLCRYSTCS HVVTGYWLPVTIEADDSAVYVTLHWLEQVHATTQNLPLDSSILFQPPPFHRHSFHLAY PHEYIITDSFDPAMHVELPCVPSSKLCFDIPRWPYVVALLTRPIPDVDALEHVIHLIT GQQGTRLRCLRRAIDEYVEDATTLFTVTLPYMVSTALALPLLFPSPVPLLTRQVPSSV TLTKRQAACLIIHAFLCTFTAANTSFNHFHFFDVFAPSAPPDWSNAATTDQDATAVQK LVTVLHYFSRFTTDNLCDNTNHRYDQQVITYARHVLDTTTPSTDSGMAGDSGGWADTL PWTSVHVHANGAIEDDVGAVQVDFANKFAGGGVLGHGCVQEEIRFLMNPECLVACLLT EVLDPTECFVISGTEQYAASSGYGSTFAFAGGVLDLVPVDVNMMRDTVIVGIDATKYY RNNAWHQFRPEDIKRELDKAMVGFQRLPDESPTQRGRRPVATGNWGCGVFKGDVELKF VVQWLAASAQHRPLHYYTFGNSDLATRLAQFVQTVTTSTLSVAQLRARLLDNNAPNCT LSLAEYTRQHRRHRPSVCAYITSTLLPHIRHSPSTVSQAAADVQSTN H257_03930 MKQPARRKRRAKGGDDGGTVPPDKNDFFKKRTLKMHTIVATDEA EEDVTIMPSFAGWTFACLGLSAAEETKVREIVRLHRGRMDDGRLDGGRRHLCRYSTCS HVVTGYWLPVTIEADDSAVYVTLHWLEQVHATTQNLPLDSSILFQPPPFHRHSFHLAY PHEYIITDSFDPAMHVELPCVPSSKLCFDIPRWPYVVALLTRPIPDVDALEHVIHLIT GQQGTRLRCLRRAIDEYVEDATTLFTVTLPYMVSTALALPLLFPSPVPLLTRQVPSSV TLTKRQAACLIIHAFLCTFTAANTSFNHFHFFDVFAPSAPPDWSNAATTDQDATAVQK LVTVLHYFSRFTTDNLCDNTNHRYDQQVITYARHVLDTTTPSTDSGMAGDSGGWADTL PWTSVHVHANGAIEDDVGAVQVDFANKFAGGGVLGHGCVQEEIRFLMNPECLVACLLT EVLDPTECFVISGTEQYAASSGYGSTFAFAGGVLDLVPVDVNMMRDTVIVGIDATKYY RNNAWHQFRPEDIKRELDKAMVGFQRLPDESPTQRGRRPVATGNWGCGVFKGDVELKF VVQWLAASAQHRPLHYYTFGNSDLATRLAQFVQTVTTSTLSVAQLRARLLDNNAPNCT LSLAEYTRQHRRHRPSVCAYITSTLLPHIRHSPSTVSQAAADVQSTN H257_03930 MKQPARRKRRAKGGDDGGTVPPDKNDFFKKRTLKMHTIVATDEA EEDVTIMPSFAGWTFACLGLSAAEETKVREIVRLHRGRMDDGRLDGGRRHLCRYSTCS HVVTGYWLPVTIEADDSAVYVTLHWLEQVHATTQNLPLDSSILFQPPPFHRHSFHLAY PHEYIITDSFDPAMHVELPCVPSSKLCFDIPRWPYVVALLTRPIPDVDALEHVIHLIT GQQGTRLRCLRRAIDEYVEDATTLFTVTLPYMVSTALALPLLFPSPVPLLTRQVPSSV TLTKRQAACLIIHAFLCTFTAANTSFNHFHFFDVFAPSAPPDWSNAATTDQDATAVQK LVTVLHYFSRFTTDNLCDNTNHRYDQQVITYARHVLDTTTPSTDSGMAGDSGGWADTL PWTSVHVHANGAIEDDVGAVQVDFANKFAGGGVLGHGCVQEEIRFLMNPECLVACLLT EVLDPTECFVISGTEQYAASSGYGSTFAFAGGVLDLVPVDVNMMRDTVIVGIDATKYY RNNAWHQFRPEDIKRELDKAMVGFQRLPDESPTQRGRRPVATGNWGCGVFKGDVELKF VVQWLAASAQHRPLHYYTFGNSDLATRLAQFVQTVTTSTLSVAQLRARLLDNNAPNCT LSLAEYTRQHRRHRPSVCAYITSTLLPHIRHSPSTVSQAAADVQSTN H257_03930 MKQPARRKRRAKGGDDGGTVPPDKNDFFKKRTLKMHTIVATDEA EEDVTIMPSFAGWTFACLGLSAAEETKVREIVRLHRGRMDDGRLDGGRRHLCRYSTCS HVVTGYWLPVTIEADDSAVYVTLHWLEQVHATTQNLPLDSSILFQPPPFHRHSFHLAY PHEYIITDSFDPAMHVELPCVPSSKLCFDIPRWPYVVALLTRPIPDVDALEHVIHLIT GQQGTRLRCLRRAIDEYVEDATTLFTVTLPYMVSTALALPLLFPSPVPLLTRQVPSSV TLTKRQAACLIIHAFLCTFTAANTSFNHFHFFDVFAPSAPPDWSNAATTDQDATAVQK LVTVLHYFSRFTTDNLCDNTNHRYDQQVITYARHVLDTTTPSTDSGMAGDSGGWADTL PWTSVHVHANGAIEDDVGAVQVDFANKFAGGGVLGHGCVQEEIRFLMNPECLVACLLT EVLDPTECFVISGTEQYAASSGYGSTFAFAGGVLDLVPVDVNMMRDTVIVGIDATKYY RNNAWHQFRPEDIKRELDKAMVGFQRLPDESPTQRGRRPVATGNWGCGVFKGDVELKF VVQWLAASAQHRPLHYYTFGNSDLATRLAQFVQTVTTSTLSVAQLRARLLDNNAPNCT LSLAEYTRQHRRHRPSVCAYITSTLLPHIRHSPSTVSQAAADVQSTN H257_03930 MKQPARRKRRAKGGDDGGTVPPDKNDFFKKRTLKMHTIVATDEA EEDVTIMPSFAGWTFACLGLSAAEETKVREIVRLHRGRMDDGRLDGGRRHLCRYSTCS HVVTGYWLPVTIEADDSAVYVTLHWLEQVHATTQNLPLDSSILFQPPPFHRHSFHLAY PHEYIITDSFDPAMHVELPCVPSSKLCFDIPRWPYVVALLTRPIPDVDALEHVIHLIT GQQGTRLRCLRRAIDEYVEDATTLFTVTLPYMVSTALALPLLFPSPVPLLTRQVPSSV TLTKRQAACLIIHAFLCTFTAANTSFNHFHFFDVFAPSAPPDWSNAATTDQDATAVQK LVTVLHYFSRFTTDNLCDNTNHRYDQQVITYARHVLDTTTPSTDSGMAGDSGGWADTL PWTSVHVHANGAIEDDVGAVQVDFANKFAGGGVLGHGCVQEEIRFLMNPECLVACLLT EVLDPTECFVISGTEQYAASSGYGSTFAFAGGVLDLVPVDVNMMRDTVIVGIDATKYY RNNAWHQFRPEVHSSPSSI H257_03931 MALGFGSSSSKLGDSTAVATTAPPESRTGKFFRGMLGNSKSSKK ISTHLSSTSSVAKSTSSVSDDEGDLERSGYSAEHKQHNNGKKTSLISSFQENHDGHHE SDFYAPTSKSGVLVKQANHLKNWKKRFMVLRGQSMFYYVSGTSSEETFPRGVISLSGV DVHPLDTAKFKKQFCFEISHPNYRSLYMVAKNEADLVQWLSSIKSASLPVRDGVADVR ESKEQLYQLPALEDVPAFERVYVCRKKMAFCRPRYLGLSDKEKYELRDRQIKLMQDIH TYCDTYPTLMADPSLFKELLHMTSSFLFRPFPRVPAQDPNAVFFEETSPDTDAAPFSI QDLMSDMLSAEDQEWSVLSTCYDILVRAIEYIDQLDKQVRKDFFTPRFVSQLVALFKS PSYKERQLLKTVLHRLYYKLTQRRALIRKEIANVFYEYVYESSNYYGVTELLEILGSI INGFACPIKEEHVVLLVKSLVPLHSTQAYTSYHQQLMYCMIQFVSKDHVLYTPIARGV LKYWPVGNAFKEIVFLIVLEELFEYVLAESDLAPVARTMGLRLGKCMSSIQQQVADRA LACWNSPACVRVMNTYEKVGQDMFDLIRPNLVATMLSHWNVLTQQKARTAYKTYYNMG YEKAGNALDNVNDDNESELNSDESRFHKSQSPKHEATLYGSDNNEDGQEDKEQQHAHD EEGGGGGGMGGVA H257_03932 MVGFGRVSTWLAAALAIAALSSSVDAVDRSKFRRCDQAAFCSSQ RQTVGSSDTSTYSLLAKPDAATSSSSVYYFSLVPSTSKKPLHASLSFLQSGAVRLRTS EVAFDGALFDAHNAENDLTKPRWQPKDVLVDTTHSSFERTTSSPLTSIAAEDVAFLST ADVPTLVVLRGKPRAFAMEVYVNGELVVSTNTLGKLHYDARKDKNNDSNAATSSADDA ASGVDVHGGKEIVDYGEDGLAIYADGTHQVKGTTETPAPVDDSSTWQESFGGHSDTKK FGSTAVGLDIHFHGQDRHLYGIPEHATDFVLKDTLSRDNTPVTDPYRLYNLDVFEYEL NEPMALYGHIPMLMAASPSNTVGVFWYNPSETFVDIATPSTTQKSTHWMSESGWIDLF VLPGPTPAAVSDQFTQLTGRASLPPVFALGYHQCRWNYKNELDVSRVDQGFDTHVIPY DVLWLDIEHTDGKRYFTWDQHAFPTPVDMQASLSAVGRKMVTIVDPHMKRDANYAVHT DAQAQQVYITDENGNEFDGWCWPGSSSYVDFTSDKARRWWASQFRLDKYIGSTLDLYT WNDMNEPSVFNGPEVSMRKNCLSRAGVEHREWHNLYGYYMQRATMEGQLVRQLPEVPP SDEPIPLTAAVERPFVLSRAFFAGSQRYGAIWTGDNKADWGHLNYATKMLLSMSVASL TFVGADVGGFFGNPDAELVTRWSQAATYQPFFRGHAHHDSDRREPWVFGEPHTGRIRE AIRRRYVILPYLYTVFHTCSVSGLPVMRPLWMEFSTDAKAFGVEDAFLLGGDILVHPI TSAGTTSADVYLPGTDVWYNINESYKRLVGGTTYSVAAPIDYIPVFQRGGSIVPQRWR VRRSSALMRHDPYTLVVALNHAKAAVGELYVDDEHTFAFETDHKFTQVQFAFEYGFLR SHVGSVGFDAADVKIERIVVVGLQQKPTTVKLFSGDGDVVELETAYDAIDDALIIRKP NVAVTSAWTIQFV H257_03933 MTRSNAKVTFHLPFIHGDKAKSTQTKEEQVNRLNSFWFCEAQRP PSITDKDDRVEAPKASNIYVTENRRLQSLLVRRKPQHRVKPKKVPRPRIVDDLISLEY RYQQSAKVVQRAWRRFSARSFWQRYFLAIKAAVTIQRHVRGILCRKFVRVWYRSRLRF VSKIQAVFRGHLSRRVLQSQLQWEHYNVNVIQRWSRGHFGRRRARAARRHMAAERIQC LWRGVQSRQQSDRLWLGAKATTIQRHMRGFLARKHTQCQSQRCHAAAVAMQRLFRGTL ARTRIDAMLRDRETHNRKVVMNVLDAEIAWQTAYIDKLQRRLAKSRLEVTVVELEVEL HRLHVQINDMECIYIDMSDQRAKMSPRAITDGWLSEMEMKMATQRRMITAAKLDAIFG HGYTFKQHDAKLTDHRDRLARATFRKHQLVKWRTEEFVDYWTREMNHLARVRDEAHRR NVAEQRRKWAVKFYNKSGKVQLHRPAPRVDTTFSMANTNLLATSSATTDSSDDVIATL SDQVKLVTVQSQLAQATEMFTPLLQRFSSTHSAVHQMTRDCKPNLPPLADNFVNQAAA EAQVSSDSVTTMPISPTKSKLKSPTKAQASLLAPPKPPLRSATVPWSLLDQLEAEKVK FKTEQAARKAGFTTNKHRKEVPVPSNS H257_03933 MTRSNAKVTFHLPFIHGDKAKSTQTKEEQVNRLNSFWFCEAQRP PSITDKDDRVEAPKASNIYVTENRRLQSLLVRRKPQHRVKPKKVPRPRIVDDLISLEY RYQQSAKVVQRAWRRFSARSFWQRYFLAIKAAVTIQRHVRGILCRKFVRVWYRSRLRF VSKIQAVFRGHLSRRVLQSQLQWEHYNVNVIQRWSRGHFGRRRARAARRHMAAERIQC LWRGVQSRQQSDRLWLGAKATTIQRHMRGFLARKHTQCQSQRCHAAAVAMQRLFRGTL ARTRIDAMLRDRETHNRKVVMNVLDAEIAWQTAYIDKLQRRLAKSRLEVTVVELEVEL HRLHVQINDMECIYIDMSDQRAKMSPRAITDGWLSEMEMKMATQRRMITAAKLDAIFG HGYTFKQHDAKLTDHRDRLARATFRKHQLVKWRTEEFVDYWTVCIVFYCHSWVQDKVL GRIDVLVYDSTWS H257_03934 MLLSRTLSRVQGSSWMQVQKHAAMSSTSAAAASTTSVAPPAISL ENKPWSSDSKRTGLLAVKMGSMHIYDDWGLAHPVTVLQVEECQVTQVKTKEVHGFTSL QLGVGLRKERNITKPVLGHLAKAGVPAKRELHEFRVSDDALIPAGTTLNALHFSPGQF IDVCGTSKGKGFQGVMKRHNFGGQPASHGNSLAHRAMGSAGQCQDPGKVWKGKKMPGR MGGKRVTRDNLWILKIDPERNLLYVKGSVPGAPGGVVRVTDARKKKFEESATPPFPTF IVAKGEPLPAPVLAPKAEKDPYNYEEQ H257_03935 MATSPRASSRYFTIEDAKASFNLFCCVYGIGTLGMPGNFARAGP VCGIVALAYMGLVNVYASVKCSRVMLLAPRSVKTFADLGEFALGPRGRVAVVMSQMGV CLFVPCAFLVLGGKLLDVIIPDAFPPVMWSILMSISILPIALIPTMKEGAGAALAGCL GTILADFMALGVLVHHLYHATPVSVPTPAISFDSIASTFGNLSLAYGAAIVIPDLQRQ HSQPSRMPRVVFVTMTIVTVLFVAIASTGYAMVGCQIPGNLLFAISGPELGFTAERGV VVLAFMAMQLHITIGFSVLLHPAFYYAEQAVLGLHMSPPPTFQALSDSDTCSTSDNDH DSTNTFAASHSNPSKLPRPADAPPHPPSAMEAATLANPAESDESDDASSLSGLRTQSS SSVSSTLPDEEDVPKSDSSSNLVACCILRTSMVAALTVVSVVLHDHFHELVDLVGASS VSLSCIVLPILCYLKVCHADIGIVERAFCYFTIGVCSVLSMYVTVQSGRQLLASTADH IVFPYCPLPYQHVAYTNATFYSNTIPNP H257_03936 MLSMDTLHNVDTIGLAAIDLTSNLFVMDAPVPAPSSPAYVRSLA FGSLSPAVAIPLCRNTRLSTYQHNGALYVEAITRNTDMDELIVLIWTDGGEFDVGLRR FLTTSPEGRGYLDSMSQRPFLSTEDEVTYLASFNVTTWLTQYQNSKQHGIQEYAWIQP TLGGVHKLAVMVLPFQPRQAFWTTVNLCCGFYYDLWCAKCVNGSLIRTDPQFWWQASR H257_03937 MEFPLQAVVAIHRECTTLAFDTTFVCNTNAIHSGSTMRALLFLG TSIVSSLIFFEQLRGSSPVMRPPTLTAPCTVADPPTVAPTSWWSSLLLVVGFAYIVGT LASSVMYLMVLEGKMSNDFWWQGFNSTGGHRFLASLLTSQYKTGDMDLTALPIYGAFN TTNDAVDFSLPHSMNIPSTSIDQRRHTGASLHARMLGGVPWLATQYCWVDFDRKWELA NSRLR H257_03938 MQLSTPPCTWRRCYETSTWTRLTRVGRIPSRSPSATNFVRAELG KGWLQQMWGISARSVATEVGSWQAYSLTSFDLQWQNYYLTKFTTRRRCRIRLERSTPS RSSEATHCCDCRKRAHLQCTGHERATCGVWSPTRRRLVTVR H257_03939 MALLYVVRHRGRVEAWNLLKLNHVEGDCPMLTLRAISAICLLST SQLALVQSDHPGYFTKFESMLPQVVGDLQTIIVSAEVCWHTYILNDVLSVVTKGYTRH YCHGAPAAHRAVDGPD H257_03940 MELEIQVVEARNLASINILGKPNPMCALVMGNHTFSTKGNSNAR APTWHETFTIPITDANTEILQLVIKDPNYVKNGSVICNCELPISTLCHGQTKDTWIPL QTGTKKAGELHILATLRQQQPNLQQNEATLAIQPNTQQSTNKSFEFNIRVKAGKDLFD AQTFGKQDPFCKVTIGDKTFQTRVHDNGGRNPKWDEAFVFRLTDPHLDQLTIHIEDSN TVSNSSIGTCQLPVSIWSGGRSVEQWYPVNHGGKQRGEILLAVQLVEVSATGGAAVPL ALASGKGKAFELSIRVKAGKDLFDAQTFGKQDPFCKVTIGDKTFQTRVHDNGGRNPKW DEAFVFRLTDPHLDQLTIHIEDSNTVSNSSIGTCQLPVSIWSGGRSVEQWYPVNHGGK QRGEILLAVQLVEVSATGASA H257_03941 MATAATASAPPVDGKKKKSAAAYSKEDSHEEEKVPLFAAFLCYF NFAILITFGRIRDFFGWWSGYSRYHSKGDSKDGCADMFIPWENFYTNRIYHRVQDVFN RPVSSAPGARIDVIQRVSTDGNKSMQWTPKTQNCINLGSYNYLGFADDWMNTCASMVL PEVDTHTVCSNSPSAEFGTTPVHVELEALVAEFIGKEAAIVFNMGYGTNSTSIPALMG PGTLVLSDALNHTSIVNGIRASGAAVAVFKHNSAKHLEVMLRTKIAAGQPRTHRPWKK IFVVVEGIYSMEGEICKLRSIVDVAKKYKAYMYVDEAHSIGALGDTGRGVCEYTGVSP SEIDILMGTFTKSFGGMGGYIGASKEVVSLLQNASSGHFMGTSLAPVVAAQVLASFKV IMGRDGTNIGATKLKALQDNANFLRQGLMDAGMVTLGQFDSPVIPVMLYSISKIAEFS RQCLLRNMAVVTVGFPATPLLLGRVRFCVSAAHTRADLEEALKNLADVAKVCHIRFHK HMFG H257_03942 MGMLLGKHRYNSDESVELLRETKYFSQWTLADVRELHARFQKTW GFAITESQLESLILLKQPEAVSSKEIFAVLDATRDGKQDGRIDGLEFIGGLTIVCQGT FEDRARFAFEVFDFNLNGSLSPIELALLMKSCYSGITVLTGGRIALVPSIPTFVEVAQ QAFSRFDKDQTDALNYDEFVTWARSNRDFMIYMEAFRMISETAKERVPEAEWLQEASD DDSDIELECVLPAVLAPSSSITPSPSPNQYEFEPWMLAEPSRAPAVAPPPRLPPVNLS LEWVYGYRAHDTRNNVRYTATGDIVYTVSRHAIVYNSSRHEQRYYQGHRNEILCLAMS PSGDKVATGDVGADCAIHVWHPVTMECLALLSQFHDTGIALLTFSNRNDLRLVSVGLD ENHRIAVWDWSAKTVLASGIGSTQKALAVALHDNGSELVVAGDKSLEFFTVEHRILKK ERASLGTKGLLQGFLSVVFFQQYVIVGTSLGQLYQFQGKQLVRTAQAHPEKESVNCLF MCMGSFFSGGKDGTIRQWDTTLQSIGHTVDLSTLNLNMHDYRIASLCYRSGGVHGGYL LVGTRSSVIIQVEEATNVVHRITAFHQTQRCTGLSTTNKRAEFVTCGDDRKIRRWSLR KRQQVHSLALLQLPPGRCIEYSTDAEWIAMGCTDGTIVLVDHALTAMHLNFRHAFKEI VAIKFALGDRLLAASCANGVIYLYRVDVSSGRVQLSRHALLKPMPNEVATAASTLDFS VDGRYLQSQHGPTLRFWDVLCASRVFVMQKIRDATWHSWKSTIGHSIQALHGVQDQVA SVSVNHRHNLVAVVTHDGYVGVSTYPAVTAQTLQKQVLGHGRSPSQGLGRGMVHCGFT RHDSVLITAGSHDRCVCQWKLTRETVDEQPKPLRELSEASRMDMSMHTTTPASRLPNT ALSTLLTPSYYQPNPAYKAEAPDLDLELVWVHGINVTEGSAKLGVTDAGEVVYAAATI GVLFDYSTRKQRHYQHHKKSITSVAVHPSGKVAATGSSIEISFWNTTTLETLGVLPVS APVTLLAFKHSGELVVAVLSDAVHTVVCILWKEGHVVATAQNTLDQVLACSFTNNDAS FATCGVDHVMFWTVVNNHYLRSQRGIFGRIAIIQTLTCVASVGDLKTITGAQDGALIV WDDHHACQLITAHAAAVTCAVYQPSLKHVVTASADGAIFVWLCQPSHRKDYLVMLGRW QPVGAPTSILGLAAKEDLTFAVTDECTMLELAPSCFEWLNTSMAPKPTQPQILMQWHG QSSGTVSGLTCHPTLDIIATSGTDKSLRVWDLQTHLQVKYKTLPAPAKALAYSKTQDD KARFHLAIALTTGSLVIVHDTTLDDVTSIECCKQACVDIKYSPCGKLLALACTDASIY VYAIKDNLTYALHAKCEPKGTMSRHPITHLDFNFDSTILRSNALELQCAFWDVSTGQH LEHSVSARETHWHTCTCPSTWSLLGANIDGTVGLSCADRVHSDEHVVGTAKFSSILPV VAVGDMDGHIQLIWYPCVEPSASKLYGGHASPIHCIRFTRHNRFLVSVGTYDRTLLVW ATDYVSEANERRQAQAASPPAAPVTVHHTAANSTKVHLADERLLAKDDIDKDFEGGKG DEFMAVKPWVGAIREPTNWTSKPDDNEAPLSSLELSFVYGYRGFDTRNNLSFGAGANT IIYHAAALGIVYDKQHHRQIFHYGHTDDIMCLAVHPEGHLVASGERGRTPKVILWDAN SGSSLCVLSGFHKRGVSHVAFNTKGDLLATHGMDDDHSIAIYNLQGKLVAKATASKQS ILGIAFMDKDGISVGEKSVLFWSVSQSNVSVKKGSFGKGDSRSTVLCAVFVLGEAVTG QADGSLYQWKGRNCIALVKGHEGAVNCLCYDVTTKSLLSGGKDGVIKFWGASFEVLMQ FDLKSASGGGGSIRSLSIDNGKVLFGTQACEICEVDVTDLRKPAVPMRTFIEGHGGGE LWGLGAHPEKQQIVTAGDDGIVRLWDAPNRSCLAKLPLHKKCRAVGLSPDGNHIAVGA MDGSVTILKGGLEGVVVELRVSIKAISVVKYSFDGKTLAVGSHDQRIYLYTAPAYSKR CVLRGHSSYITHLDFTLDTHYLQSNCGAYELLFWDVASGKQVTSANTLRDVKWHTWTC TLGWPVQGIWPERADGTDINGACRSNSHKSLMTVDDSGHVNLFRYPCVQPKSKSRQYL GHSSHVTDCTFTKGDMFAVSLGGGDNAIFQFKYIEK H257_03942 MGMLLGKHRYNSDESVELLRETKYFSQWTLADVRELHARFQKTW GFAITESQLESLILLKQPEAVSSKEIFAVLDATRDGKQDGRIDGLEFIGGLTIVCQGT FEDRARFAFEVFDFNLNGSLSPIELALLMKSCYSGITVLTGGRIALVPSIPTFVEVAQ QAFSRFDKDQTDALNYDEFVTWARSNRDFMIYMEAFRMISETAKERVPEAEWLQEASD DDSDIELECVLPAVLAPSSSITPSPSPNQYEFEPWMLAEPSRAPAVAPPPRLPPVNLS LEWVYGYRAHDTRNNVRYTATGDIVYTVSRHAIVYNSSRHEQRYYQGHRNEILCLAMS PSGDKVATGDVGADCAIHVWHPVTMECLALLSQFHDTGIALLTFSNRNDLRLVSVGLD ENHRIAVWDWSAKTVLASGIGSTQKALAVALHDNGSELVVAGDKSLEFFTVEHRILKK ERASLGTKGLLQGFLSVVFFQQYVIVGTSLGQLYQFQGKQLVRTAQAHPEKESVNCLF MCMGSFFSGGKDGTIRQWDTTLQSIGHTVDLSTLNLNMHDYRIASLCYRSGGVHGGYL LVGTRSSVIIQVEEATNVVHRITAFHQTQRCTGLSTTNKRAEFVTCGDDRKIRRWSLR KRQQVHSLALLQLPPGRCIEYSTDAEWIAMGCTDGTIVLVDHALTAMHLNFRHAFKEI VAIKFALGDRLLAASCANGVIYLYRVDVSSGRVQLSRHALLKPMPNEVATAASTLDFS VDGRYLQSQHGPTLRFWDVLCASRVFVMQKIRDATWHSWKSTIGHSIQALHGVQDQVA SVSVNHRHNLVAVVTHDGYVGVSTYPAVTAQTLQKQVLGHGRSPSQGLGRGMVHCGFT RHDSVLITAGSHDRCVCQWKLTRETVDEQPKPLRELSEASRMDMSMHTTTPASRLPNT ALSTLLTPSYYQPNPAYKAEAPDLDLELVWVHGINVTEGSAKLGVTDAGEVVYAAATI GVLFDYSTRKQRHYQHHKKSITSVAVHPSGKVAATGSSIEISFWNTTTLETLGVLPVS APVTLLAFKHSGELVVAVLSDAVHTVVCILWKEGHVVATAQNTLDQVLACSFTNNDAS FATCGVDHVMFWTVVNNHYLRSQRGIFGRIAIIQTLTCVASVGDLKTITGAQDGALIV WDDHHACQLITAHAAAVTCAVYQPSLKHVVTASADGAIFVWLCQPSHRKDYLVMLGRW QPVGAPTSILGLAAKEDLTFAVTDECTMLELAPSCFEWLNTSMAPKPTQPQILMQWHG QSSGTVSGLTCHPTLDIIATSGTDKSLRVWDLQTHLQVKYKTLPAPAKALAYSKTQDD KARFHLAIALTTGSLVIVHDTTLDDVTSIECCKQACVDIKYSPCGKLLALACTDASIY VYAIKDNLTYALHAKCEPKGTMSRHPITHLDFNFDSTILRSNALELQCAFWDVSTGQH LEHSVSARETHWHTCTCPSTWSLLGANIDGTVGLSCADRVHSDEHVVGTAKFSSILPV VAVGDMDGHIQLIWYPCVEPSASKLYGGHASPIHCIRFTRHNRFLVSVGTYDRTLLVW ATDYVSEANERRQAQAASPPAAPVTVHHTAANSTKVHLADERLLAKDDIDKDFEGGKG DEFMAVKPWVGAIREPTNWTSKPDDNEAPLSSLELSFVYGYRGFDTRNNLSFGAGANT IIYHAAALGIVYDKQHHRQIFHYGHTDDIMCLAVHPEGHLVASGERGRTPKVILWDAN SGSSLCVLSGFHKRGVSHVAFNTKGDLLATHGMDDDHSIAIYNLQGKLVAKATASKQS ILGIAFMDKDGISVGEKSVLFWSVSQSNVSVKKGSFGKGDSRSTVLCAVFVLGEAVTG QADGSLYQWKGRNCIALVKGHEGAVNCLCYDVTTKSLLSGGKDGVIKFWGASFEVLMQ FDLKSASGGGGSIRSLSIDNGKVLFGTQACEICEVDVTDLRKPAVPMRTFIEGHGGGE LWGLGAHPEKQQIVTAGDDGIVRLWDAPNRSCLAKLPLHKKCRAVGLSPDGNHIAVGA MDGSVTILKGGLEGVVVELRVSIKAISVVKYSFDGKTLAVGSHDQRIYLYTAPAYSKR CVLRGHSSYITHLDFTLDTHYLQSNCGAYELLFWDVASGKQVTSANTLRDVKWHTWTC TLGWPVQGIWPERADGTDINGACRSNSHKSLMTVDDSGHVNLFRYPCVQPKVRCPTRR LC H257_03943 MARRLNGFDQPTVWHEFTPLAIKHNAVNLGQGFPDWPCDAFVKD AAKIAIDADVNQYARPGGHLRLVREVAKHYTTSLARPVPIEPTTEVAIGVGASEIMYS AMMGLVNPGDEVILIEPAFDIYASQVQMAGGVCVFVPLTFNESTSQFELDLPAMEAAF TSKTRVLVVNSPHNPTGTVFPKQDLEQLASVVKCFPNVVVVADDVYEHIVFAPLTRFA TLPGMWERTITVGSAGKTFSVTGWKVGWAVGPPHLIKWLNLANNWVMFCVAAPLQEAV ATMLKKAVRPFESFSSYYAYLGDKYLKKRDWLAAALTALGIPVVLAQGGTFLFADVSR VAVPASYLDDGNTPKDYAFCRWLTIEKQVTTIPTSAFYSHTNKANGHCFVRFAYCKSD VSLALAIERLQSIQLLE H257_03943 MMVGFPDWPCDAFVKDAAKIAIDADVNQYARPGGHLRLVREVAK HYTTSLARPVPIEPTTEVAIGVGASEIMYSAMMGLVNPGDEVILIEPAFDIYASQVQM AGGVCVFVPLTFNESTSQFELDLPAMEAAFTSKTRVLVVNSPHNPTGTVFPKQDLEQL ASVVKCFPNVVVVADDVYEHIVFAPLTRFATLPGMWERTITVGSAGKTFSVTGWKVGW AVGPPHLIKWLNLANNWVMFCVAAPLQEAVATMLKKAVRPFESFSSYYAYLGDKYLKK RDWLAAALTALGIPVVLAQGGTFLFADVSRVAVPASYLDDGNTPKDYAFCRWLTIEKQ VTTIPTSAFYSHTNKANGHCFVRFAYCKSDVSLALAIERLQSIQLLE H257_03944 QIAVRIVAAPSPAVVNEAPVVDVIQVATVEEPKVVAIPAVAVVA EQVLAEEAPVTDASPVVDILAHHVVKTDVAAVVDVAVVARVDELEAGDIPVGQDDTIQ VPEVTVPVVQAPTLKDEVVVDHVAVVDALADVEEVTDSDEVVPEAGATKAVTRQDAST VQTTVPPLGSIAVVPVNVFPTPVTVSTTPASSVEQPPADVTSPPVDRERPNLLSIDDD DVVYASSSDGKSRSVDGSSGSVEFSTTDDERYSTSPLTDEQRKRRNKKRALKRRSRKS AADAKLAQVATTDSP H257_03945 MGRKDIKQILWTGEMDEALLKEVVRLGPFEVGHGKVTATWAKAA VAMHEYDPNLSGRICQARCDTILHDFARDNQASMRASGVYEDDDDMTKLKQDVLDIRE DSKSKRTRKHENEQDRQDELELAGEKACSEAEEWVSKRMALGVAPTVSKRDASREPTD LLLAFETKRHDDDHAYRMQRLKFEQDEQEIRRAEQRHMSMLLEKLIDKLGNLLSAVMN CCTSWFLTFTEN H257_03946 MLGQIPLCPCGHPSTGALFPPTSLICYNGADKVLRCQGCLCSSP ATRCARPLDRCNRHFGRSPSSLSNIFLFVVDHVHDKYKEILFLDRERIAPQLARFSRA VANKGGEVYNVWGFVDGTVRACLRPTNGTMQRSVYNAHKRKRALKFQTLVTPDGIAHV FGPVEGRRHDLTILRRSNLEAVLRSDKRFDGYIIYGYPAYGKSAHFASPFGGRNINVA QKYVNKSMSNVRVSVEWSYSQIVRYWSHLDHRTKMCLGTSPISKLYKVAILLTN H257_03947 MQAHEWTASVAPHAEHRTPVKDIGTHSFRKGVASELSNTPGGPE AVHVWLRAGRTLGSVQVRYIFASSGGGQFVGRAAAGHNMKDNEFLCLPPHFKDVGLSN ERWKAALPGPSATTTQIHHLMGASTSPEPPASVNGVATEPR H257_03948 MQCKESNPAGAQSKVKKSVSEFLGFGQSTIGRAIAEWRKNHDPS FEASQRVRLSNRRSPADHLTTELGDIIAAANQQCLPVSAESLSKTTGRRARGDRKTRF YLAESEGNVAFRAKNIRKKLANPGVVGTKNSVLFGEWVNGSIFTWLFLRKSKRKRAVV ADVETMTILGTLQARFSRTDGACYHKRITNPCAKVSSRWADIPAWLAAKGIDVDPKLT KAELLVMIKTSRDGPRYAPQLIATEYGHTMYYTPPYHHELQPIEIIWGIVKQ H257_03949 MARRLSTAMVVMNARLKKFMGERRPPCVDSASPTPHHQDYETKS ERIGISSRHPYTYTAKHRSTTQRPAKATRGAFKEDMRTDAAPTPAIYPNWQRT H257_03950 MTEFGDKSMGALVWVPHAVEVWKKAQIIQKLSETQVEVRFVSDG VEYDPEDGKIKTYDVREIAKLAGEVSSNAMPICNTFDKLGVEDMCTLNHLHEPAVLKN LQLRHAQFVPYTYTGQICIAVNPYKWLDLYSKDLYFDYLNLPRNDLAPHPFALSSSAY IDMNKLGIEQSILVSGESGAGKTETVKIMMNHLASISGGGAHGSLVIDQVLKSNPLLE AFGNAKTKRNDNSSRFGKFAQLQFNAEGLLVGARCETYLLEKSRVVGQAVGERNYHD H257_03951 MTEFGDKSMGALVWVPHAVEVWKKAQIIQKLSETQVEVRFVSDG VEYDPEDGKIKTYDVREIAKLAGEVSSNAMPICNTFDKLGVEDMCTLNHLHEPAVLKN LQLRHAQFVPYTYTGQICIAVNPYKWLDLYSKDLYFDYLNLPRNDLAPHPFALSSSAY IDMNKLGIEQSILVSGESGAGKTETVKIMMNHLASISGGGTHGSLVIDQVLKSNPLLE AFGNAKTKRNDNSSRFGKFAQLQFNAEGLLVGARCETYLLEKSRVVGQAVGERNYHIF YQVFSLAQELKAELFLDGDVADYSFVHVGAGSKVDNTDDSVFLQETKLAMDTIGIDAR EQRGIFEIVSSILNLGEISFKADTTEKCSVVTLDRVASVAKLLQTEEETLVSALTHRT MAARDETFTIPLSADQAADLRDAFAKGIYSQLFDWLVARINKAICSTTRNVKHHIGLL DIFGFESFDHNGFEQLCINYANEKLQQKFNSDIFKTVQTEYVAEGIPLELVSFEDNQP ILDLIEGRAGVIDLLKETGVLAKGTDQMFVSKVIAAAADHKNFEKVRTNPMQFKILHY AGDVTYDGDRFLEKNKDTLPVDLLDLLASSESSFIRDVFPDLAVPRKDTKKHKHPSKA RKQGGGFLVGATIANSFKKQLGELMDQIAKTTTQYVRCIKPNANKSATEFDRLMVVDQ LRCAGVIAAIRISRAAFPNRLSLVEFAKRFDVICPSKLRHAAPAVMVMGLLEKLLGKT TDSTQNAKFAIGKSKVYFSSGLLQHLEDQRAVVLRTQATIIQAHMRGYAKRKKFLAHK AAAVLVQSMVRMHTSHRQFRVMKAGTVLLQTRVRGWVARRKFRVALAKDRQRKAELKR AAEAKARAEARARADAQAAAAAAARAEAEHNARSVAAARAQAEHNARPLASDALKSSL IKEDEPVDTSSEGSDGDRLSAGSQSILASAASRVAEAEAAAMEAQKAAMAALTHNRVI QAENEALRAKMAAYVSEHADAELLRENERLKHQVLTLQSKLVRAQEVSLISAKVVDSR MTFREGRQFVEYKLQIETNNRGTLFVWHRYSTFRNLATTLQTKNGYRRKDIPDLPNKQ MFNNFSDKLIQERVDKLNQFLEAATMTDYLQWGIRVDQDTCVYKRRTRSSSDGRDSMA GPPRESMAVTMYDDDSARDSMAPTSSSTSSTSSRESFSLRQSFSRTFGKK H257_03952 MNGMASKMRNPRGNAVAPGGGPTAANTKKAKAAIPGMHRSASKQ RVMNMQAYDKEYFDHNLGPGRRSSITAKPKHSLKTIKDSKFSRSYRQIKHKAQDSKTY IINPKDANSVKWDIFMGILIAYSGVTVPLVVCFPGFQNQSDWSTVSTVVDVCFGIDIV RNFVVGYHDERDELVVDHVDIAKKYVRTWFVLDAVSTIPIESIISLIDPQADVSHSYA SIQLFRILRVTRIVKLARLAKLRTFFSKAEETFGLNAGVVRLVRLILLVLFVSHLLAC FFHLLGQPNDPPSHEPLDNMPDSWLCTADKPKRCLRGETDSVRYLYSLYWVITTLTGV GFGDVIIVTAFEKLYAIFAMIIGASVFGFVIGNISTLLESMDKRAAMYQMKMMLVKDY IRTRNLPVDLRVKLRRYFEHYLSRASLFDESSILGEISLSLRNEIVHETCKDIFQIPA FSLINPQFVMDMAICIKPLFLLAESVIAKEHTVGREMYFLNSGVVAMYNSSLNGKKVL LEVMSENAYFGEASLLYFALRENTFQCITNCDMYTLLKEDFDVLVEEYPETEGILIEF YEQRKRLYQETLNMTVQRYSYYEKIKHDEFKMSKFEDLCPHIKVCYNGKLAAIEQLPM DILKNIDFNIAPKVSLFNSSLAKKVIVDGIKDQDLTSKSLSAQLAQIIDPNNSKKLQW DVWLGVLILYNVISIPIQVAFQSNTEDQQTLADVIKSDFDIVVDCFFALDILLTFRTA VYDLSGNIVTAPRRIATQYMRMWFWVDFISTFPFGTFINNSVVGSVDVSSKTTYQNLK LIRFARLTRLLKLARLLKFNKNFTSVEDVIDLSPAASRVLMLFLQVCMIAHMSSCAFF FVGVTSEDLYEGSSWILDQNLNNATLGEKYVTSLYFAFTTMATVGYGDILPITHLEVV YVTFYMLVGASVFGYIIGSMSSLVDQMQTKNTVAKEKMDRVKDYMKERKLPKPLCARI RRYFEFYMTHKEISDESAFLDELSDDLRTQLVLHLNRDVVSKISFFSHQDDACISYLM GILYQECCTPGEFVFYEGEYGRHMYFLVKGSVEVVIGAGTSKEIVCKVLTEGSFFGEL AMLLSSKRCASVRAKSFGILYVLSRNGMDRIRTHYPEISSQISREIRSKLLKIKIDTL AQPDASNLVSHLPMEETFAVEMEKPIEDAFALIDQVLNKLMVFYGGGEKGKRRSVACV VQHLKKFDFNTQTYDDAAEASGPRKSVAPQSLAGRYISGNPKVAMAKAGKKIANMNKF LMSMGADKISRFQSMPRRNSAITTSQSLREVRELARPNDAVKEVDDLPSTPKYTELDH QFLKRDPTVGLLPVSPCPGATHPY H257_03953 MKLGRIVALGLLLAASSTAEHDHSHVHSHDHQHDHHDHHHHDPH AKNHHLDQDTAVDATSSKQEEVVEVVVDDVGHTHHVDHTSREEGHSHQHDEDHGHSHD HRDLRRPIPGPVESSVAPSDHSHEHAHEHSHSHDHSSNNHAHHGHADAASLLEGKLPT PSDDHRFDASLWAEALFATALVGLAPVLLLFFIPLGRVNLASQQPLLRIFLAFAAGGL LGDALLHLLPHSIPTGHHDHDHSHDHAESEAGHSHSVADLAPYLWMLAGLMTFLMLEK FVRAQTRGGGHGHSHGGPREEAKKKPSNKAETEGGPVIAAAAYLNLAADFSHNFTDGL AIGATFVHGRSSGWQTTVAMLLHEVPHEIGDFAILIQSGFTRSQAMWTQVYTALGAMV GTAVGLLIEAQTAAWITPFTAGGFVYIACTSVFPELLEDSSLLQSLLQLLAMATGVAL MLLIALYE H257_03954 MDTTESGHVDEAAAESSTLLPFSDDKLAVCLEVLAAIATDMNVV ELPAMRNFRKVLAPVSDYMESRKFKGKGRKKYLEDQELRREKEARNIKRKMNDHKYIN SATLRRARVEKLNELLEQGKGEEENAFLPLIADGAADESAPTLLLEDGHHPVIVPKVE LNKQRSCYTCKVRYEALHHFYDQLCPTCAEVNYTKRMQSADLHGKVALVTGARVKIGY HTALKLLLAGATVIATSRFPHDTAERYAAHPEYATFKHRLHVFGIDFRDLVHLEHFLD HVLQTYTRLDVVVHNACQTIRRPTTYYAHMMPKEATPFAQLSPDVQTTVQQHHAFTDT LHRHDAMLADGRKSAAATGATHMPSSIPSALKSQVPMLLEDHDMSSSLFPQGRTDVNG QQLDLRTTNSWVLKMGQVTTPEVAEVFAINTLAPFIMNSRLRALMEKTDAKAKYIINV SAMEGKFYRYKTPNHPHTNMAKAALNMMTRTCAEELSKHGIYMNSVDTGWINDENPLE KAHKYAETANFQTPIDEIDAAARILDPVFVGYNTHKNAFGLFFKDYHETEW H257_03954 MDTTESGHVDEAAAESSTLLPFSDDKLAVCLEVLAAIATDMNVV ELPAMRNFRKVLAPVSDYMESRKFKGKGRKKYLEDQELRREKEARNIKRKMNDHKYIN SATLRRARVEKLNELLEQGKGEEENAFLPLIADGAADESAPTLLLEDGHHPVIVPKVE LNKQRSCYTCKVRYEALHHFYDQLCPTCAEVNYTKRMQSADLHGKVALVTGARVKIGY HTALKLLLAGATVIATSRFPHDTAERYAAHPEYATFKHRLHVFGIDFRDLVHLEHFLD HVLQTYTRLDVVVHNACQTIRRPTTYYAHMMPKEATPFAQLSPDVQTTVQQHHAFTDT LHRHDAMLADGRKSAAATGATHMPSSIPSALKSQVPMLLEDHDMSSSLFPQGRTDVNG QQLDLRTTNSWVLKMGQVTTPEVAEVFAINTLAPFIMNSRLRALMEKTDAKAKYIINV SAMEGKFYRYKTPNHPHTNMAKAALNMMTRTCAEELSKHGIYMNSVDTGWINDENPLE KAHKYAETANFQTPIDEIDAGTRVSESSIVCCCFERLLIVDICADSQQLHLSMY H257_03955 MVPTLHAKYERAHQDLPKLPSKTLLNPPPHQTRPHRETNASPLR STTSPGTSASTNTRSSVDAQKHHDAGESGAYVRHAIDADHPPPRNSWRKSIPSPRKDE H257_03956 MGGADNENAVLIAILDAEVVDVRVTTSKDGIPRTEFKLIIWTDR RGQLSVWHKSKTFLNLSTTLTIQQLHQGQPPFDYPSYEAPTMDQINAFLRQAMASSLE WGIRVDADTVVYKINKSTTSDTGYHRSSSSVVNHDSGVVSHEEPSSSSEMSLLKASIV DFRIQTVHAGTNDERECVQYCVVIETSTHGSLQVWRRYSTFRDLANSLELHDALPASP LQPNQLTGGQISQRVAQLNQFLETATTEPSLEWGIRIDKDSCVFKRATKPATDEYQHL SHFQLMQAAPEDHQVSLITVAVKGYRLSGKNAQGQCSIKYNAQLECLSNMHGLCTYSI WRRYGTFHELEKSLQLAGSPRLSDPGEPVHHDKSLIDQRIRKLNRFLDFISHTDDLEW GIRVDAETIVYKRRVV H257_03957 MRDYEVTDEDKRQVEHLLAVLHDDMADESFVYHTPCSELSFEYN TPGPQKKKKRPGSCNSSKVNNTSFFPDYTSQELQPCEADNDKVASSLCQRLADLSPIT MHGIASVAQKLDFDRTLSFDDADDGGMNHLMAGGDAMDGVEHDASVTELHAAVRPSYD KDDVNMEEAEQIEEFEELDVQQPPDEIASGMEWTMLLDHFDIRDVASDKESPVALDDV PTQRRHSGNDFDFATDRKLKPPPRRRRRLRVPDTPPPSNASDVSFGGASDTSPAKQAS HTRRQPVPPSSLMCSDISSIDDSYTCSRLEDDTPPCSTVESTAGLHLARVSSGTGSDV KPLTLHGHQQHAVEWMQSREQSAAKPFRGGILADEMGLGKTVCCLSLVASTNTRQKKQ QRKRPTLIITPLSLVHQWEQEIKDKSTLSVGLYHGASRKRFHNSHELYAFDVILTTYD TLRIKEAAYTRPTLAAASSRRWIQTKRSRDGKPLASKLHKVYWERVILDEAHLISNSV TARAQAACQLSARARWCVTGTPIQNRLDDVHTLFRFLGLPAVESDVHLEQLLEQCMLR RLKTALPVPLPTKTEHLLKLTFATDAEIAWYAAVRQSTRDQVHEHLQARRPGRHIFEL LLRLRQVCDSPRLVPQDHTSLSTVHMSTKMHVLFDHLQRAKKEGAAVLVISQWTSFLD MIQDQLDVTNPAIRCGRLDGRMSAASRHDAVQLFQHTNQVDVLLMSLRCGALGLNLTK ARYVFLMEPCWNPSIEAQAVDRTHRIGQAHPVHVYRFLMENTIEEKMYELQQHKRRLA STVLNAKQTAAARRTSHDWAALIA H257_03958 MAAAFGETSMGALVWVPHAVEVWKKAQIIQKLSETQVEVRFVSD GVEYDPEDGKIKTYDLRCAGVIAAIRISRAAFPNRLSLVEFAKRFDVICPSKLRHAAP AVMVMGLLEKLLGKTTDSTQNAKFAIGKSKVYFSSGLLQHLEDQRAVVLRTQATIIQA HMRGYAKRKQFLAHKAAAVLVQSVVRMHTSHRQFRVMKAGTVLLQTRVRGWVARRKFR VALAKDRQRKAELKRAAEAKARAEAKARADAEAAAAAAARAQEDKEKARALHHQTETS SHYDHSNQADDDEPVDTSSEGSDGDRLSAGSQSILASAAARVAEAEAAAVEAQKAAMA ALTHNRVIQAENEALRAKMAAYVAEHADAELLRENERLKHQVLTLQSKLVRAQEVSLI SAKVVDSRLTFREGRQFVEYKLQIETNNRGTLFVWHRYSTFRNLATTLQTKNGYRRKD IPDLPNKQLFGSLLSDRSIQERMDKLNQFLEAATMTDYLQWGIRVDQDTCVYKRRTRS SSGADGRDSMSLRATDIRESMVVSASDVPSPRDSSRQSMSLRESLFGRRKS H257_03959 MSTSQFSYSSARLRRVEYLQFGVFSPDEVRQMSVTKQIKVNDRI IPDGITRPETFINGQPVIGGIGDPRMGTCDFRARCKTCDCTYSGSGAKVNDCPGHFGH IELARPMFHVGFIKICKQILSCICFHCSKVLVDERDHRFRAAMRQKNGQRRLKMVYEI CKNKGMCEYGDESNMEKVQEGWNLGLQGGITNEQAPKDVGHGGCGGRLPKYRQVGISL QVEFPETMEDIPGSGDKKQNLPADKVLSIFKNITDADCIALGFNPRWARPDWLILTLI PVPPPHVRPSVAIDGAARGEDDLTHNLASIVKANLALLNCVKKGEPSHIISQFEQLLQ FNLSTFVNNEQPGLPQAQQKSGKPLKTMRQRLRGKEGRIRGNLMGKRVDFSARTVITA DPNLAIDQVGVPRSIAMNLTVPERVTPFNMVLMHQLISRGPLEHPGAKYIIREDGNRI DLRYIKSKSELALKCGWIVERHLRDDDYVLFNRQPSLHKMSIMAHRVKVLDWSTFRLN ISVTTPYNADFDGDEMNLHVPQSMTARAEAQELMSVHKNIITPQRNAPVMGIVQDSLL GVQKFTKRNIFVEKDLVMNMLMWVYTWDGKVPTPAILLPDKSQAGQYRPIWTGKQIMS TILPNINFIGYCSTHESDQNKKDCDLPFRRKMSPRDTHVIIQNGELLAGIIDKKTIGP GAGGLIHNTVLELGHDEAKRFLGATQYLVNQWLVWHSFTVGISDTIADVSTLKNIVDI ITNAKIKVQDLVVTGQKGKLELQPGRTMIETFEMFVNTVLNSARDQSGREAQGSLDET NNIKATVTSGSKGSYLNISQIIACVGQQNVEGKRIPYGFHHRTLPHYGKDDLGPESRG FVENSYLKGLTPQEFFFHAMGGREGLIDTAVKTAETGYIQRRLVKAMESVMSRYDGTV RNSNGEIIQFLYGEDGMDAVWVEKQNFDGHTLNRAKFEAKFKLDPFDDQLGTVPHCPD ELYMDPQIITDIQSNPTTQLFLRDEYIQLQKDRLNLRVILGSRGQGQESDQAAQVPVN LRRLIQNAQQLFSISLLHPTTLNPQNIIQGVRDLCREIVVVQGDDHLSIEAQENATLL FQILLRSTLAVKRVLLEYRLNDSAFEWLMGEIKSKFLSSLVAAGEMAGVVAAQSIGEP ATQMTLNTFHYAGVSAKNVTLGVPRLKEIINIAKDVKTPSIQIYLKPDCAHDAEKAKQ IQSTLEYTTLMDVTASTAIYYDPDPTSTVVEEDADFVASYYDVIDEDTPLARSPWLLR IELNRIMMADKNLEMKEIALQIENEYGQDLSCIYTDDNADKLVLRIRIMSEEEDKVSQ NGSASVGQEDDTFLKRVEHNMLTQMRLRGVPNVKKVFMRENPQNQWDEEKGFIMVKEW VLDTDGTNLLDIICHESIDASRTISNDIVEIIEVLGIEAVRRALLNEIRNVISFDGAY VNYRHLACLADVMTFRGHLMAVTRHGINRVDSGPLVRCSFEETVEILMDAAMFSQGDE LAGVTENIMLGQLAQLGTGVMDLVLDANKLSQAIEYDASEIENVMREFSKDYTTPDVN TPMATPWGQTPMYGTPAPGTPGYGTPGAGSPLMSPSGSFSPFVSFSPHNVPASPYSQS PGYAQSPGLNPTSPIYSPTSPAYSPTSPAYSPTSPAYSPTSPAYSPTSPAYSPTSPAY SPTSPAYSPTSPAYSPTSPAYSPTSPAYSPTSPAYSPTSPAYSPTSPAYSPTSPAYSP TSPAYSPTSPAYSPTSPAYSPTSPAYSPTSPAYSPTSPAYSPTSPAYSPTSPAYSPTS PAYSPTSPAYSPSSPAYSPTSPAYSPTSPAYSPSSPAYSPASPEYNPSEGSYGGGSSS GRYTD H257_03960 MQRRESLSSSTGGTLVWVPHDKQVWKRAQVLQRISEFLIQVTLV ADDTSDAYDPENGTVKTYDVRDIAKLAGEVSATAMPICNTFSKLGVPDMCTLNHLHEP AVLKNLQLRHSLFVPYTYTGQICIAVNPYKWLDLYRKDLYEKYLELPRNDLAPHPFAL SSSSYIDMQENGIEQSILVSGESGAGKTETVKIMMNHLASISGGGAHGSLVIDQVLKS NPLLEAFGNAKTKRNDNSSRFGKFAQLQFNAEGLLVGARCETYLLEKSRVVGQAVGER NYHIFYQVFSLPHDVKKTLCLDGSVGDYAYVMVGEGSKVDDVDDSEYLQETKDAMDTI GIDYREQQGIFEVVSAILNLGELAFKAESSDTSSVLSLDRLHVIANLLQTDGAALERI LTNRTMAARGETFTIPLSADQAADLRDAFAKGVYSQLFDWLVARVNNAICSATRNVKH HIGLLDIFGFESFDHNGFEQLCINYANEKLQQKFNSDIFKTVQTEYVAEGIPLELVSF DDNQPILDLIEGRMGLVDLLNETGVLAKATDAAFVGKIHEAFSTHKSFEKVRANPMQF KVRHYAGDVTYNGDNFLDKNKDTLPPDMLELLAASTSPFVRDVFPSLDTKSHQKPGRR QGFLVGATIANSFRRQLGELMDQIAKTTTQYVRCIKPNANKSATEFDRQMVVDQLRCA GVIAAIRISRAAFPNRLSLVEFAKRFDVICPSKLRHAAPAVMVMGLLEKLGITDTKSS QNAKFAIGKSKVYFSSGLLQYLEEQRTVVLRAQAVRIQAHMHGYAKRKQFKAVINAVR TIQTKVRGWLARRRWLLLCRGFPRLQAAFRGGVQRRRFRVLVAETRERHLLAQAQAKA ELALSLQRQQQQQFDHLPSPEVALATTSVSPTQTTANNSPYFHGDIPDSPKSKYPTAE SSVSTKMRDEWTKIVDEASATAEQARVAAKEAMHLNAALQVENEQLKVKLAKNAREYA DDDLRMENERLRAQLSALQSKIIRADEVALLAAKVVDTRITYRDGKQFVEYKLQIETN IRGTLFVWHRYSTFRNLASTLQTKNGYARKDIPELPNKTLFNNFTDKTVQERVEKLNA FLEAATNADYLQWGIRIDAETCVYKRRVKGGSGGSSSYRDSMVSRDTMANAIPTGHSP TNSDHSTNSMSSVTSSSRDEGSLLRRSFFGRSKR H257_03961 MSSSHKEIKLPSGWTRIDSKSRPGECYYLNKASGEKTWKLADIP TKESSKRKRSSDDSTSEANKKHSPHTHVQVLHLLVKHVESKRPASWRQDPITRSKDVA RSRLEGLRDQIVLAGKNPDAPNALRDKFQELATTESDCSSAKRGGDLGKFTRGKMQPS FEKASFALRVGELSGVVESDSGVHIILRIK H257_03962 MSPTVVDVKHLEAKIKEDPKHANELLKIMECMKGHDKAAAIAAV QSLRRLFLYFAEKGELKVPSHVEDADPISAYSKWLWEHYVAFLSSVIAWISEDDEALQ VTALRTAMEIVAQQGTYKGLQSDTAFGNETFVRVVRQLVSAPDVKTSEVLSVFKGEYL NAYTDVQYFTLRNLSQLLETTTKVGPRIISNSLALLDSITIPTSDDDVMPFLVAPRKS PAVDVAVDDDTPDGSTKRSISDSSSSGPDKKKAKTDPSLYDLREHQRVFSVCWIALLK HKLSTDTYKVVLANLPDKIMPFLAEPLLLADFLTDSYNVGGITSLLALNSLFVLIQQY NFDYPAFFTKLYQLLADDTLLRSKYRKRFFKLLAMFLSSTNLPAYLIAAFAKRLSRLA LTAEPGAILFIIPCVYNLVLRHKECLQLIHRTTTLSVADRAAEKREMLTMKNHIDAAA KEISKTSTRIELSGGQDPFDNDTNDPLVCHALKSSLWELFSLKQHYHAGVATKAKMFE EKLRCQMIDLADDVDISYASLVDDALKRREKQHVALAFEPCVSVLTPTDPIAQIFAL H257_03962 MSPTVVDVKHLEAKIKEDPKHANELLKIMECMKGHDKAAAIAAV QSLRRLFLYFAEKGELKVPSHVEDADPISAYSKWLWEHYVAFLSSVIAWISEDDEALQ VTALRTAMEIVAQQGTYKGLQSDTAFGNETFVRVVRQLVSAPDVKTSEVLSVFKGEYL NAYTDVQYFTLRNLSQLLETTTKVGPRIISNSLALLDSITIPTSDDDVMPFLVAPRKS PAVDVAVDDDTPDGSTKRSISDSSSSGPDKKKAKTDPSLYDLREHQRVFSVCWIALLK HKLSTDTYKVVLANLPDKIMPFLAEPLLLADFLTDSYNVGGITSLLALNSLFVLIQQY NFDYPAFFTKLYQLLADDTLLRSKYRKRFFKLLAMFLSSTNLPAYLIAAFAKRLSRLA LTAEPGAILFIIPCVYNLVLRHKECLQLIHRTTTLSVADRAAEKREMLTMKNHIDAAA KEISKTSTRIELSGGQDPFDNDTNDPLVCHALKSSLWELFSLKQHYHAGVATKAKMFE EKLRCQMIDLADDVDISYASLVDDALKRREKQHVALAFEPCVSVLTPTDPIAQIFAL H257_03963 MELSEWIHTAHAPIILLNASDEAQRICRKNGGLSVDQLLNAFGV FPRADTPVRTINGHITLPELRLRFISASKFKTSSSEEAAVKLNHVVATSASSLMARSV LPLDLAPVTTEDDVAPFLRSIGGDPMPWYSAFKHEVMESFQCDDTSMMYHPHALLLVV SSTEADPRGTFHQLGAPANLPSVFHDGLYDTNVPKYYLVLHDAVETQHTSIDAGAIYR NLNLPPNSGGVVRINSLSAPVANDVWSHHPYVRGLRPSEEEQPLPYGCFLSDDDRLAL RTMVWEFGVKFVLPAMEAKLLSLNETVAAVRKGVRNALKAWWRKPKETSGTLNRVYLY RFDSIESNMRLLADIAFVIRDYDLAYNMYKLVRDDYKNDKALLHCAHASESIALCLYM MKGPPADIHHALDTTAAIYARLQVASPTLSLVRHAARTAILSSEIFSHMLSEHMDAAS AALIRGSSATDPKLSGLGICSAVFLERAAYCDLQARNPKFRKYGFRMVMAGHVYSLLG YEPHAARCYSCARAVYANSRWHHVDDHITGTLAKQLVGLALPQQAIALLLTRIGSGRH AKGQQLTLLAEFYDLVSEYLQDHSAVGISNFHVMDSGGQSAKVLLIKALVIPAIHDPS VVVFAPANALALDDVAASQPGRDKLDWKQQLEYLLMKEERIEQLATTSTQSSWLDLSV SSAAALQLPLPLRGSKKHKHATDPPPVYMVGEAVYVEFTVKNPLAVEVVLKQLHLFGS VQGSSSCATSIDFPVSQDEMGVAVVTVDRQDISLPPHAEAVVRLSVVPHDAGVVRIQG VRWALNAHVHGEHTFDLPGMLLQDSLESRATRARAPNTTLVCDIQPAMPWLGVSFTRD GNPLPTPLMCLEGEVLTLDLHVANKGSYALSHLTLVCSSWLYVVEGVAAGGDDDAAVV TSRVVGASGHVTTLPTLTLNPNESKSFKVHCSSRTLGPQNVRFLFRYANANNSTRRVV PVEFDIEVLKGLTVSHAIHPSYNDITEYVLALSIHNGRKDSAKEPVPSMTIPSVTSWS SSWKIQPFQNSSDAPSSLVPPRPASVLQWQESSTLYFRVSRRVDGDVANTVRLGGEVS PVLFPCAEFLCLDHAASRITALKQSKALATGGSTSSQSHALRSIQSVRRENQGHLHPP TKNHGEITDSGRVKPTSREALLVSPETDLHLIVLWEEGTGAVGTGVQTTTPRRRCVGQ SNLVHVPIRSPDSSTVCPLTMTLSYPRHVVLGNAATNVDVTLHIRNNAAPNSPGITFT LEMLEPDDEHPSVRTSSSPSSPRVFWAGCTRHTFWNVQPNASVDVRLSACFVSAGIYD LNRFRFVVARPDNPKPLTVFFPVEYLIQVATETY H257_03963 MELSEWIHTAHAPIILLNASDEAQRICRKNGGLSVDQLLNAFGV FPRADTPVRTINGHITLPELRLRFISASKFKTSSSEEAAVKLNHVVATSASSLMARSV LPLDLAPVTTEDDVAPFLRSIGGDPMPWYSAFKHEVMESFQCDDTSMMYHPHALLLVV SSTEADPRGTFHQLGAPANLPSVFHDGLYDTNVPKYYLVLHDAVETQHTSIDAGAIYR NLNLPPNSGGVVRINSLSAPVANDVWSHHPYVRGLRPSEEEQPLPYGCFLSDDDRLAL RTMVWEFGVKFVLPAMEAKLLSLNETVAAVRKGVRNALKAWWRKPKETSGTLNRVYLY RFDSIESNMRLLADIAFVIRDYDLAYNMYKLVRDDYKNDKALLHCAHASESIALCLYM MKGPPADIHHALDTTAAIYARLQVASPTLSLVRHAARTAILSSEIFSHMLSEHMDAAS AALIRGSSATDPKLSGLGICSAVFLERAAYCDLQARNPKFRKYGFRMVMAGHVYSLLG YEPHAARCYSCARAVYANSRWHHVDDHITGTLAKQLVGLALPQQAIALLLTRIGSGRH AKGQQLTLLAEFYDLVSEYLQDHSAVGISNFHVMDSGGQSAKVLLIKALVIPAIHDPS VVVFAPANALALDDVAASQPGRDKLDWKQQLEYLLMKEERIEQLATTSTQSSWLDLSV SSAAALQLPLPLRGSKKHKHATDPPPVYMVGEAVYVEFTVKNPLAVEVVLKQLHLFGS VQGSSSCATSIDFPVSQDEMGVAVVTVDRQDISLPPHAEAVVRLSVVPHDAGVVRIQG VRWALNAHVHGEHTFDLPGMLLQDSLESRATRARAPNTTLVCDIQPAMPWLGVSFTRD GNPLPTPLMCLEGEVLTLDLHVANKGSYALSHLTLVCSSWLYVVEGVAAGGDDDAAVV TSRVVGASGHVTTLPTLTLNPNESKSFKVHCSSRTLGPQNVRFLFRYANANNSTRRVV PVEFDIEVLKGLTVSHAIHPSYNDITEYVLALSIHNGRKDSAKEPVPSMTIPSVTSWS SSWKIQPFQNSSDAPSSLVPPRPASVLQWQESSTLYFRVSRRVDGDVANTVRLGGEVS PVLFPCAEFLCLDHAASRITALKQSKALATGGSTSSQSHALRSIQSVRRENQGHLHPP TKNHGEITDSGRVKPTSREALLVSPEVRPCFTLLFSTLDTQLAGFDRPI H257_03964 MVTVVDVGEIPLHAKHVPTNELPKDHAVGPLVTLRHANVNILEL QERLRLLEQTMGIWDPANQVGNVPIRRAGHDVWGIDKIMLVFCDDYMKNVYEFPWLAT WIDVLQPLFDLLNVPLNRVMRCLLARMPADSDIPVHNDTGFWVDKCHRIHVPVFTDPA VEFQVGRDETSMLAYDFAEGNIYELNNASKHKVHNYWSHPRVHLIFDYVDAAYPLAST PRIHLTPDMVLHQTRRSVDVSTLHGSRVPPSFVVIGAQKAGTTSLYDYITQHDLAIPS IRKETHYLDWRWDASLPPLDDPNGVAKHKAMYLRYFRTDILLPNPSIQSGEATPSYLL GGSIVIDRFKALMDDQCKILAILRNPVDRAFSHYNMTADTQGNPEQLRNRGHAALAGR SFDEIVKAEIAELEALGIHPDMSFDAFDDVFLKSRVNFTHGGHSFVGRGLYALQLAGW YQAFPSSRIHVVNMDDMKTPTGLQNVMDTVFSFLDLPPYAIQDTSAKNTRAYAAPLNA DTRQRLEMFYAPFNAKLKTLLEGSSTTSFSWAV H257_03965 MEVDKEVAQLLVEIKRLGSEVVGDDGEKKFGVAFGVLFDDERCQ DLFEALMGTLRAAKRKRVINYPGQLLLKGAHDNAFIRLLE H257_03966 MEENIDVCIRVRPLNERETKAKDAHILHCVSSMNAISITDRYGT PLPGPAFQYDHIFSESVPTSTIYNEVAKRIVLSTLKGINGTIFAYGQTSSGKTHTMHG DVHTELGILPLAVEHIFSYIEQSTDRDFLIRVSYVEIYNEVIRDLLCDDKDKCQTSLK IREDPKKGIYVESQEEIITDYDAIFRLLDQGEQRRTVGQTTMNERSSRSHSIFRIVIE SKKKSAPTNTRGSVDDAHGGVLVGVLNLVDLAGSESVRHTASEGMRLREASNINRSLL TLSRVINSLAQGAESIQNAPFRDSKLTRLLQSSLAGSTRTLIICCVTPSDRHLEESKS TLQFAARAKNIQLSASVNEVLDDQAQLQRLKREVVDLRHQVDNNPVVHALKAENDALS EVKSLHEAKIQRLLGLMATSATINAPPNAKPKPKRTRETWGPGDVLHSLQQRSSTSLE QVILHELQTSSQVAKKQRKARRLSDEQASTTTDDDDADHHHLVTHLKSQLDAKDRMIR QLQSSECAACCNHEDKLKQLETLLHQADADRSALESDLEQARAEVQQAQCKQAELTQK VEAFDQLLEESETSASEAMEQNMLLETQHCQVQVQLADQLNKAHDAELALVALQEKCD RYEAALEVSSNIGAANNEALDDLVITEKVEAMLQAQAEQHAKEVMSWAAKIADLEQEL GRLRTNEEAKSMQVAAASALQAENQRLREESVTHESALAESKAAVEALEQAAADHAKT LLDGCIVQTTATDDDHATQLLAELRTAKDAEIATYKAANRDLLTEFEQATLKFETEVQ SVKCELEAIASQRSALQLDKEAAHAEIGQLQATIAQQAERLGDLMQQVASGESASVRL EELERCNALHQEELRRLERIADDALAAKTQLGRDLEHAEVAKTRQLHEQAQTTSVTLA QVVADHDQAQVISNETIDRLTTANRKLTQQGETLRVEVTKLNAERTSLLERTEELSKQ VEAMTLELASVVDEHKVKLNESYQSLEVDMAKLEQSHGEEREVLRKQVEDLQDQVINA NIELLQKQEKIAGLVVEIEASQAAVANLADIPALEDRLANMATLNNELQASADKHAEA AATLEDIVANLKGDVSTLKAQLEAQTVSHQNKVVQVQELQDAHSALELALQTMQSKNE MLQESLQSTNAHCATVEAKVGALLESLADKDGQIVQLQEELQTAELTNAQTQAGLDDV VAERTKALADEAKRMGLVNKELRVGVDESNAMWREKVDSIVASRDAEVAALAGQIQAL ELKIHDMEERNSNGGATSPDEVRSLNAQLGTLQNELDAQLEEKEALYDKIDELEKAAL CNVQNQHDHVRMLQRQWDMEKATLTDRLGVQRDRINKLELVKMTKGHLEVFEKLKLNI KKKTDEVAALQQQLQASRNDDGMALMEERWRYEQTERKVADAKLAEVKLKLHTTQNEF QIKTSEVASLQNAIVQYEGHIDTLENQIRVHGTSSTEIDDLRRHVADLERLVQSQSQQ LRGHQDNIQSLTQLRDQLAQQVAELQQLVRDKDVQLKRCEDDAASKQQEWQVANEDLH EKFHADLRYLEKENLELHLELKQTKRLMSSTGKGIASPPLPRARTSLSEIEQPKYQSP QLPSPSSLTKSFLGPSKALAVDDNDKENTPAVDITTTTSSSGGGGGSDGMPSECNQQ H257_03967 MMKQIKLSALIDRSKDLTKKRPSRAKRTLTWWKEHYGKSLGDAT TNATTTVDSVYRAIVDNDTQALDRLVSEHPMLAFTKLFGPGKRTALYVASMLGKVECV RVLIAHGADKHLACDGYPPVQVAGLCTPDPVPAMRIKYLLGAFSTPQVLLRRKACLPG SKRCRVEIHFSEPIWDFVQDDIQVDGCQVTSLSMYRADFFVAMVDITAEDDDAKRPTV QIPAKVARRQHTNRLNDASPVLYLS H257_03968 MGFQHQYWSSTDTLDLQRIGGLTKVSSTPTPWLATLVSSGEVGW FVYILNDVFSLFTGDATASYGWKHPAMVWGIAAVWGLASPVRYCATLDRLCTVQSVDF AATCTSATIQIGRFSRFCGLLGISVVCGGVCFAFDRYTLHMTTTTHSVNSADKTRPTT PGSKFLSASAHYMFESGQWRVNGLYFLDRASAVITGLVCVQVGHKLYVVDVKKWRAFA LDMTGFRQDVDAMLSKHLFARAIPLVE H257_03969 MSITAELSKIAKIQDQKEKLTAYKELVDATFQDFTSLKATFDHS LDESVQLAVSRGLLTHFASSVLVRIDPDVHAWKKDLLAYCLNKIKPRILSFEEADIVL REVLCDLLMDEEDYIEAAKTLAAINLESSARQYTDEEKAEKYVKIAELYLQEDETVDA ENFINRASRVIHAVGQSNWPLKLRYQVSYARILDAKRKFLDAALRYYEFSQSKPDEVD PDDLLQLLSKAVTCAILAAAGPQRSRLLATLYKDERVKASEHAAILEKMYMEQLLRRG DIAAFDQSLLPHQKAQLANGFTVLEQAFLEHNMLAVSKIYTSMRFQELGTLLGVDATR AEKVAALMIGEERMNGSIDQLHGILEFQSNVDALHGWDDRIQTICLNVNACAENIQAT YPQLLA H257_03971 MDGLPTSFQSTKKAYFNKKVKNPGGNASGATMMTSSTPSPHSAP SSNSSKRFRHDHGTQRQPPHHHTNKNQSHYSPTVDSPSRHHSSTANGRAYFKPSFLED PWATLVQSQQHPPLPPPRPATSAPPLPPLPPLPPARPGMSAPPLPPLPPLPPPRSGMS APPLPPLPPRQHHAPSTSSLFRPSCLEDPWSQLISS H257_03972 MATKAAELQIPSVVPHSTATTLASSPGHNKNKVAAVDSNVSMST MLPSLSLGDKSNFSLDDIALHFLSLYFIKLSTNKVLTHVEDAFTAHYVQIQRINHMRG MIATILFFAMVIPYDYQALYTRSTGGTTTSNGTTTTNLELCRIAVFGSGGGVLAFKVT MLLRFALVVPLLCVNIWCVHHASTKTYLRVTYVSHFFLGLYFLVYNKVTCDFGVTWLC LVVMYFYSCTPLRFLHIMGSSAALLLIYPVIIFPECPNEYTQTIIREYLYAWGFFVLI SFPSQSREFAIRVSYMSETLLLSQQRQLEQEETRSKLLLGSMLPASVIAQLQSGRELI ADAYPAVTVLFCEVCHFDDISGQLAPAQVVELLNTIFSKFDLLVDSHSVHKIETIGAV YMVAGGCPDRTINHTKLVANLALEMVASMPEVRAKIRKTFKWAANMHEIHIRIGINSG SLMAGVVGIRNPRFKLFGDTVNVASRMESTNLPGHIQMTEWTANALKDDYVMELRGTI TVKGRGDMLTYFLQNRKDGTAVIMAPILVPTSPPSSIVAVPAALPPPKPVQRMSMVRA SVVHEVMPSPDAEARNAIMKLIPTSDASLKLRSATRRHNMSSIPRVGSVHEEGELGDG DLLNIQDEVDMSAAEVVPLPSALMGLPEGLTKRNLCLSIHANSSFEKLYRAENEKGGL RFLRYSIFLGGAMKVLVMTMYQTLSLLQARAFDDSQFLFFINICITFPTLVLSLLFTF TPGYTRYRQIATLTMVLFMSVILNVEAVATAGKGHIYYSVFAMYQCHFSILSFSLRLF VGLFIFAMYLVTFMIIEDPPHLFIPVVDAQGKASTYWDSNGIGYLRNALYIFVFLGAQ TWVVFNMEYKQRINHFRDLVLNAQQQKLLEEQDRVSKLLLNLLPETIVMQLKTSPEQT IAENFDNVTILFTDMVNFTSYSSRVTAMELVQFLNDMYTRFDTITEKRGLYKVEIIGD AYFVVGGCPLVTNVDALAILQAGTIRQQNKLLYNVVVGLCVCVGMDMLATLPMLRRNS GNPNLNIRIGVHSGPVVAGVVGIKDPRYHLFGDTVAIAQHLESTGAPGRIHLSESTYS RIKNTDPNAFKFEYHKMLTLSGHHSKIRTYFVTSTTT H257_03972 MATKAAELQIPSVVPHSTATTLASSPGHNKNKVAAVDSNVSMST MLPSLSLGDKSNFSLDDIALHFLSLYFIKLSTNKVLTHVEDAFTAHYVQIQRINHMRG MIATILFFAMVIPYDYQALYTRSTGGTTTSNGTTTTNLELCRIAVFGSGGGVLAFKVT MLLRFALVVPLLCVNIWCVHHASTKTYLRVTYVSHFFLGLYFLVYNKVTCDFGVTWLC LVVMYFYSCTPLRFLHIMGSSAALLLIYPVIIFPECPNEYTQTIIREYLYAWGFFVLI SFPSQSREFAIRVSYMSETLLLSQQRQLEQEETRSKLLLGSMLPASVIAQLQSGRELI ADAYPAVTVLFCEVCHFDDISGQLAPAQVVELLNTIFSKFDLLVDSHSVHKIETIGAV YMVAGGCPDRTINHTKLVANLALEMVASMPEVRAKIRKTFKWAANMHEIHIRIGINSG SLMAGVVGIRNPRFKLFGDTVNVASRMESTNLPGHIQMTEWTANALKDDYVMELRGTI TVKGRGDMLTYFLQNRKDGTAVIMAPILVPTSPPSSIVAVPAALPPPKPVQRMSMVRA SVVHEVMPSPDAEARNAIMKLIPTSDASLKLRSATRRHNMSSIPRVGSVHEEGELGDG DLLNIQDEVDMSAAEVVPLPSALMGLPEGLTKRNLCLSIHANSSFEKLYRAENEKGGL RFLRYSIFLGGAMKVLVMTMYQTLSLLQARAFDDSQFLFFINICITFPTLVLSLLFTF TPGYTRYRQIATLTMVLFMSVILNVEAVATAGKGHIYYSVFAMYQCHFSILSFSLRLF VGLFIFAMYLVTFMIIEDPPHLFIPVVDAQGKASTYWDSNGIGYLRNALYIFVFLGAQ TWVVFNMEYKQRINHFRDLVLNAQQQKLLEEQDRVSKLLLNLLPETIVMQLKTSPEQT IAENFDNVTILFTDMVNFTSYSSRVTAMELVQFLNDMYTRFDTITEKRGLYKVEIIGD AYFVVGGCPLVTNVDALAILQAGMDMLATLPMLRRNSGNPNLNIRIGVHSGPVVAGVV GIKDPRYHLFGDTVAIAQHLESTGAPGRIHLSESTYSRIKNTDPNAFKFEYHKMLTLS GHHSKIRTYFVTSTTT H257_03972 MATKAAELQIPSVVPHSTATTLASSPGHNKNKVAAVDSNVSMST MLPSLSLGDKSNFSLDDIALHFLSLYFIKLSTNKVLTHVEDAFTAHYVQIQRINHMRG MIATILFFAMVIPYDYQALYTRSTGGTTTSNGTTTTNLELCRIAVFGSGGGVLAFKVT MLLRFALVVPLLCVNIWCVHHASTKTYLRVTYVSHFFLGLYFLVYNKVTCDFGVTWLC LVVMYFYSCTPLRFLHIMGSSAALLLIYPVIIFPECPNEYTQTIIREYLYAWGFFVLI SFPSQSREFAIRVSYMSETLLLSQQRQLEQEETRSKLLLGSMLPASVIAQLQSGRELI ADAYPAVTVLFCEVCHFDDISGQLAPAQVVELLNTIFSKFDLLVDSHSVHKIETIGAV YMVAGGCPDRTINHTKLVANLALEMVASMPEVRAKIRKTFKWAANMHEIHIRIGINSG SLMAGVVGIRNPRFKLFGDTVNVASRMESTNLPGHIQMTEWTANALKDDYVMELRGTI TVKGRGDMLTYFLQNRKDGTAVIMAPILVPTSPPSSIVAVPAALPPPKPVQRMSMVRA SVVHEVMPSPDAEARNAIMKLIPTSDASLKLRSATRRHNMSSIPRVGSVHEEGELGDG DLLNIQDEVDMSAAEVVPLPSALMGLPEGLTKRNLCLSIHANSSFEKLYRAENEKGGL RFLRYSIFLGGAMKVLVMTMYQTLSLLQARAFDDSQFLFFINICITFPTLVLSLLFTF TPGYTRYRQIATLTMVLFMSVILNVEAVATAGKGHIYYSVFAMYQCHFSILSFSLRLF VGLFIFAMYLVTFMIIEDPPHLFIPVVDAQGKASTYWDSNGIGYLRNALYIFVFLGAQ TWVVFNMEYKQRINHFRDLVLNAQQQKLLEEQDRVSKLLLNLLPETIVMQLKTSPEQT IAENFDNVTILFTDMVNFTSYSSRVTAMELVQFLNDMYTRFDTITEKRGLYKVEIIGD AYFVVGGCPLVTNVDALAILQAGTIRQQNKLLYNVVVGLCVCVGMDMLATLPMLRRNS GNPNLNIRIGVHSGPVVAGVVGIKDPR H257_03972 MATKAAELQIPSVVPHSTATTLASSPGHNKNKVAAVDSNVSMST MLPSLSLGDKSNFSLDDIALHFLSLYFIKLSTNKVLTHVEDAFTAHYVQIQRINHMRG MIATILFFAMVIPYDYQALYTRSTGGTTTSNGTTTTNLELCRIAVFGSGGGVLAFKVT MLLRFALVVPLLCVNIWCVHHASTKTYLRVTYVSHFFLGLYFLVYNKVTCDFGVTWLC LVVMYFYSCTPLRFLHIMGSSAALLLIYPVIIFPECPNEYTQTIIREYLYAWGFFVLI SFPSQSREFAIRVSYMSETLLLSQQRQLEQEETRSKLLLGSMLPASVIAQLQSGRELI ADAYPAVTVLFCEVCHFDDISGQLAPAQVVELLNTIFSKFDLLVDSHSVHKIETIGAV YMVAGGCPDRTINHTKLVANLALEMVASMPEVRAKIRKTFKWAANMHEIHIRIGINSG SLMAGVVGIRNPRFKLFGDTVNVASRMESTNLPGHIQMTEWTANALKDDYVMELRGTI TVKGRGDMLTYFLQNRKDGTAVIMAPILVPTSPPSSIVAVPAALPPPKPVQRMSMVRA SVVHEVMPSPDAEARNAIMKLIPTSDASLKLRSATRRHNMSSIPRVGSVHEEGELGDG DLLNIQDEVDMSAAEVVPLPSALMGLPEGLTKRNLCLSIHANSSFEKLYRAENEKGGL RFLRYSIFLGGAMKVLVMTMYQTLSLLQARAFDDSQFLFFINICITFPTLVLSLLFTF TPGYTRYRQIATLTMVLFMSVILNVEAVATAGKGHIYYSVFAMYQCHFSILSFSLRLF VGLFIFAMYLVTFMIIEDPPHLFIPVVDAQGKASTYWDSNGIGYLRNALYIFVFLGAQ TWVVFNMEYKQRINHFRDLVLNAQQQKLLEEQDRVSKLLLNLLPETIVMQLKTSPEQT IAENFDNVTILFTDMVNFTSYSSRVTAMELVQFLNDMYTRFDTITEKRGLYKVEIIGD AYFVVGGCPLVTNVDALAILQAGMDMLATLPMLRRNSGNPNLNIRIGVHSGPVVAGVV GIKDPR H257_03973 MAPSLPLPKNYFTCPPLNVMETEYLMDTARSNSIDVIARTTVAD NDKSGLIKWTLDCHDGEQTIYRGDDWDAPQGVQSFLGVFELKATLDEVAGLYACHTDD TMAEFRRSVAKNVLDMQQLYVLSAPSDDNPRHFVGIKWAAVASPGMVRHRDWVLLESH HDFEWEGRRGWARTTKSIDLPCIPSSSTDDWNLVRGFQLRSGFVFLEAPHKPGTLHAM QLHQFDLLGKLPSWIVALGIKKRCRAFLNLAKYVRELRLGKTVAAFVPAHEWAVKDLR SHCRGCRKRFLPLVHVKSHCRACGEVFCQHCSKHWSVNLALSYAGTCEETTTGSGGVA SCHRTSNQHSHPPHSHQSARGLVRLCMTCSITPNTLLSDIEVATRTSPTSTLVVPTAQ MGLSKREQGHNRTMKEYMSGDAPRHTQSMHRGSSNQYGFHRSPSTTTSSYHSSSEAFF GHPNGLVDTNQQRPRNDLIPLADPNDE H257_03974 MSFDCKACSNGCHSSCQRASSNNQGQSYCYTGSSVSVSTINGLS SCKSFSETNAYCCANDVQPTISPAARQDMCSTTFSSCDEIQASAAYSCYSCTTSNDGS GTYQVLAGLPNSVTCSGSIVAAVTAVVPLTVAPQYPTSIYGNVVAVSFASTVLLCFVA YVFRDRSKTSRKSASWLRLPLPWGQGLDLTLIGKFAIAIDAYWTKVLEDGVRQALVPY VVAETALLDLIWCFPRGKPHTSKWLTWGAFASDLLLKASIAIMFSSVNILAYDGCQVS VVNCHEGGTSVVTSSSSCFSNGIAVDSNDLQFSGTAYYLVPIVTRVLGYGIAAIRALL CDNHAKTFFVFAAVHGTAAVVAGTFVMKFQSSTSSATTDQRVKAIMSTLGMGYVGNLF EIPFAMLQWGMGMLCLGCWATVDRNGYDQHVAWLASEGNPPPMVKPVQELTAPPLPVD AVKLEFVAAPAHP H257_03975 MVAISFTPLYGVQSTERSCCYLLEVDDIGILLDCGWTDDFDVAL LEPLAKVIDKVDLVLISHPDLAHMGALPYAMGTLGLKAPVYVTLPVYRMGEIVLYEAY QARTKDDLEFNLFTLDHVDQVLETFIQLKFSQKLKLSGEGEGIYITPHAAGHLIGGSI WSIAKETDEIIYAVDYNHRAEHVLSKTVLDTFTRPTLLITGSMSLDKQQPKMRDRDEQ LKDEIVHVLRRGGDVLIPCDSAGRVLEVLHVLDQYWIKLKLKDPIALLHTMSFYTPKA AQAMLEWCSERISKNFDIGKPNPFNFTHVNLIHNLDELDRLPSPKVILATSTSLNHGF GKDLLMKMAPLSKNGLVFVSTPVPGTVAATIHPGTIVKLKVSRNVPLEGAELLAYEAK ERRRLIDEAELKAKEIEEAALEDMMMTIAEYESDDEGQPPTNAPGAQGPPTDKAAPMQ LRGSFKVGFGQFATAKFPMFFTMDTKCEWDEYGEIIRVDEFKDVHQAKARLRKAKAAS SSSKEDVDMGNGDDEDDDVNGLAAADRRPMKTVHSSQHIFVRIVVSNVLANQMRFYVL EWTNHKPFWVLIFRQIQCTVQFVDFDGVADGRAIKNCLDNVKPRKLILVHGTPETTTE LQEYVQTTIEQCEAVYTPHANECIDIESDTTVYKLSLKESLYSSAFFQKVGSHDVAYI NATAEFHGATCIVDVAEQEYHTPMLLSQGKVTLAMLKTLLARAGFEANFYGGMLVCDD GVVLKRSRNNEVVMEGTLSSSYYRIRDILYQQYTLV H257_03975 MVAISFTPLYGVQSTERSCCYLLEVDDIGILLDCGWTDDFDVAL LEPLAKVIDKVDLVLISHPDLAHMGALPYAMGTLGLKAPVYVTLPVYRMGEIVLYEAY QARTKDDLEFNLFTLDHVDQVLETFIQLKFSQKLKLSGEGEGIYITPHAAGHLIGGSI WSIAKETDEIIYAVDYNHRAEHVLSKTVLDTFTRPTLLITGSMSLDKQQPKMRDRDEQ LKDEIVHVLRRGGDVLIPCDSAGRVLEVLHVLDQYWIKLKLKDPIALLHTMSFYTPKA AQAMLEWCSERISKNFDIGKPNPFNFTHVNLIHNLDELDRLPSPKVILATSTSLNHGF GKDLLMKMAPLSKNGLVFVSTPVPGTVAATIHPGTIVKLKVSRNVPLEGAELLAYEAK ERRRLIDEAELKAKEIEEAALEDMMMTIAEYESDDEGQPPTNAPGAQGPPTDKAAPMQ LRGSFKVGFGQFATAKFPMFFTMDTKCEWDEYGEIIRVDEFKDVHQAKARLRKAKAAS SSSKEDVDMGNGDDEDDDVNGLAAADRRPMKTVHSSQHIFIQCTVQFVDFDGVADGRA IKNCLDNVKPRKLILVHGTPETTTELQEYVQTTIEQCEAVYTPHANECIDIESDTTVY KLSLKESLYSSAFFQKVGSHDVAYINATAEFHGATCIVDVAEQEYHTPMLLSQGKVTL AMLKTLLARAGFEANFYGGMLVCDDGVVLKRSRNNEVVMEGTLSSSYYRIRDILYQQY TLV H257_03975 MVAISFTPLYGVQSTERSCCYLLEVDDIGILLDCGWTDDFDVAL LEPLAKVIDKVDLVLISHPDLAHMGALPYAMGTLGLKAPVYVTLPVYRMGEIVLYEAY QARTKDDLEFNLFTLDHVDQVLETFIQLKFSQKLKLSGEGEGIYITPHAAGHLIGGSI WSIAKETDEIIYAVDYNHRAEHVLSKTVLDTFTRPTLLITGSMSLDKQQPKMRDRDEQ LKDEIVHVLRRGGDVLIPCDSAGRVLEVLHVLDQYWIKLKLKDPIALLHTMSFYTPKA AQAMLEWCSERISKNFDIGKPNPFNFTHVNLIHNLDELDRLPSPKVILATSTSLNHGF GKDLLMKMAPLSKNGLVFVSTPVPGTVAATIHPGTIVKLKVSRNVPLEGAELLAYEAK ERRRLIDEAELKAKEIEEAALEDMMMTIAEYESDDEGQPPTNAPGAQGPPTDKAAPMQ LRGSFKVGFGQFATAKFPMFFTMDTKCEWDEYGEIIRVDEFKDVHQAKARLRKAKAAS SSSKEDVDMGNGDDEDDDVNGLAAADRRPMKTVHSSQHIFIQCTVQFVDFDGVADGRA IKNCLDNVKPRKLILVHGTPETTTELQEYVQTTIEQCEAVYTPHANECIDIESDTTVY KLSLKESLYSSAFFQKVGSHDVAYINATAEFHGATCIVDVAEQEYHTPMLLSQGKVTL AMLKTLLARAGFEANFYGGMLVWI H257_03975 MVAISFTPLYGVQSTERSCCYLLEVDDIGILLDCGWTDDFDVAL LEPLAKVIDKVDLVLISHPDLAHMGALPYAMGTLGLKAPVYVTLPVYRMGEIVLYEAY QARTKDDLEFNLFTLDHVDQVLETFIQLKFSQKLKLSGEGEGIYITPHAAGHLIGGSI WSIAKETDEIIYAVDYNHRAEHVLSKTVLDTFTRPTLLITGSMSLDKQQPKMRDRDEQ LKDEIVHVLRRGGDVLIPCDSAGRVLEVLHVLDQYWIKLKLKDPIALLHTMSFYTPKA AQAMLEWCSERISKNFDIGKPNPFNFTHVNLIHNLDELDRLPSPKVILATSTSLNHGF GKDLLMKMAPLSKNGLVFVSTPVPGTVAATIHPGTIVKLKVSRNVPLEGAELLAYEAK ERRRLIDEAELKAKEIEEAALEDMMMTIAEYESDDEGQPPTNAPGAQGPPTDKAAPMQ LRGSFKVGFGQFATAKFPMFFTMDTKCEWDEYGEIIRVDEFKDVHQAKARLRKAKAAS SSSKEDVDMGNGDDEDDDVNGLAAADRRPMKTVHSSQHIFIQCTVQFVDFDGVADGRA IKNCLDNVKPRKLILVHGTPETTTELQEYVQTTIEQCEAVRPSHTKRSSHDDGVGECC RCTRHTRTSALISSPTRPCTSCRSRNRCIRRRFFKRCDLYTLHAIRTGWSIHRLGATT WHTSTRQPNSTAQHASWTWPSKNTTRPCYCRKARSRWPC H257_03975 MVAISFTPLYGVQSTERSCCYLLEVDDIGILLDCGWTDDFDVAL LEPLAKVIDKVDLVLISHPDLAHMGALPYAMGTLGLKAPVYVTLPVYRMGEIVLYEAY QARTKDDLEFNLFTLDHVDQVLETFIQLKFSQKLKLSGEGEGIYITPHAAGHLIGGSI WSIAKETDEIIYAVDYNHRAEHVLSKTVLDTFTRPTLLITGSMSLDKQQPKMRDRDEQ LKDEIVHVLRRGGDVLIPCDSAGRVLEVLHVLDQYWIKLKLKDPIALLHTMSFYTPKA AQAMLEWCSERISKNFDIGKPNPFNFTHVNLIHNLDELDRLPSPKVILATSTSLNHGF GKDLLMKMAPLSKNGLVFVSTPVPGTVAATIHPGTIVKLKVSRNVPLEGAELLAYEAK ERRRLIDEAELKAKEIEEAALEDMMMTIAEYESDDEGQPPTNAPGAQGPPTDKAAPMQ LRGSFKVGFGQFATAKFPMFFTMDTKCEWDEYGEIIRVDEFKDVHQAKARLRKAKAAS SSSKEDVDMGNGDDEDDDVNGLAAADRRPMKTVHSSQHIFIQCTVQFVDFDGVADGRA IKNCLDNVKPRKLILVHGTPETTTELQEYVQTTIEQCEAVRPSHTKRSSHDDGVGECC RCTRHTRTSALISSPTRPCTSCRSRNRCIRRRFFKRCDLYTLHAIRTGWSIHRLGATT WHTSTRQPNSTAQHASWTWPSKNTTRPCYCRKARSRWPC H257_03975 MVAISFTPLYGVQSTERSCCYLLEVDDIGILLDCGWTDDFDVAL LEPLAKVIDKVDLVLISHPDLAHMGALPYAMGTLGLKAPVYVTLPVYRMGEIVLYEAY QARTKDDLEFNLFTLDHVDQVLETFIQLKFSQKLKLSGEGEGIYITPHAAGHLIGGSI WSIAKETDEIIYAVDYNHRAEHVLSKTVLDTFTRPTLLITGSMSLDKQQPKMRDRDEQ LKDEIVHVLRRGGDVLIPCDSAGRVLEVLHVLDQYWIKLKLKDPIALLHTMSFYTPKA AQAMLEWCSERISKNFDIGKPNPFNFTHVNLIHNLDELDRLPSPKVILATSTSLNHGF GKDLLMKMAPLSKNGLVFVSTPVPGTVAATIHPGTIVKLKVSRNVPLEGAELLAYEAK ERRRLIDEAELKAKEIEEAALEDMMMTIAEYESDDEGQPPTNAPGAQGPPTDKAAPMQ LRGSFKVGFGQFATAKFPMFFTMDTKCEWDEYGEIIRVDEFKDVHQAKARLRKAKAAS SSSKEDVDMGNGDDEDDDVNGLAAADRRPMKTVHSSQHIFIQCTVQFVDFDGVADGRA IKNCLDNVKPRKLILVHGTPETTTELQEYVQTTIEQCEAVRPSHTKRSSHDDGVGECC RCTRHTRTSALISSPTRPCTSCRSRNRCIRRRFFKRLGATTWHTSTRQPNSTAQHASW TWPSKNTTRPCYCRKARSRWPC H257_03975 MVAISFTPLYGVQSTERSCCYLLEVDDIGILLDCGWTDDFDVAL LEPLAKVIDKVDLVLISHPDLAHMGALPYAMGTLGLKAPVYVTLPVYRMGEIVLYEAY QARTKDDLEFNLFTLDHVDQVLETFIQLKFSQKLKLSGEGEGIYITPHAAGHLIGGSI WSIAKETDEIIYAVDYNHRAEHVLSKTVLDTFTRPTLLITGSMSLDKQQPKMRDRDEQ LKDEIVHVLRRGGDVLIPCDSAGRVLEVLHVLDQYWIKLKLKDPIALLHTMSFYTPKA AQAMLEWCSERISKNFDIGKPNPFNFTHVNLIHNLDELDRLPSPKVILATSTSLNHGF GKDLLMKMAPLSKNGLVFVSTPVPGTVAATIHPGTIVKLKVSRNVPLEGAELLAYEAK ERRRLIDEAELKAKEIEEAALEDMMMTIAEYESDDEGQPPTNAPGAQGPPTDKAAPMQ LRGSFKVGFGQFATAKFPMFFTMDTKCEWDEYGEIIRVDEFKDVHQAKARLRKAKAAS SSSKEDVDMGNGDDEDDDVNGLAAADRRPMKTVHSSQHIFIQCTVQFVDFDGVADGRA IKNCLDNVKPRKLILVHGTPETTTELQEYVQTTIEQCEAVRPSHTKRSSHDDGVGECC RCTRHTRTSALISSPTRPCTSCRSRNRCIRRRFFKRLGATTWHTSTRQPNSTAQHASW TWPSKNTTRPCYCRKARSRWPC H257_03975 MVAISFTPLYGVQSTERSCCYLLEVDDIGILLDCGWTDDFDVAL LEPLAKVIDKVDLVLISHPDLAHMGALPYAMGTLGLKAPVYVTLPVYRMGEIVLYEAY QARTKDDLEFNLFTLDHVDQVLETFIQLKFSQKLKLSGEGEGIYITPHAAGHLIGGSI WSIAKETDEIIYAVDYNHRAEHVLSKTVLDTFTRPTLLITGSMSLDKQQPKMRDRDEQ LKDEIVHVLRRGGDVLIPCDSAGRVLEVLHVLDQYWIKLKLKDPIALLHTMSFYTPKA AQAMLEWCSERISKNFDIGKPNPFNFTHVNLIHNLDELDRLPSPKVILATSTSLNHGF GKDLLMKMAPLSKNGLVFVSTPVPGTVAATIHPGTIVKLKVSRNVPLEGAELLAYEAK ERRRLIDEAELKAKEIEEAALEDMMMTIAEYESDDEGQPPTNAPGAQGPPTDKAAPMQ LRGSFKVGFGQFATAKFPMFFTMDTKCEWDEYGEIIRVDEFKDVHQAKARLRKAKAAS SSSKEDVDMGNGDDEDDDVNGLAAADRRPMKTVHSSQHIFVRIVVSNVLANQMRFYVL EWTNHKPFWVLIFRQIQCTVQFVDFDGVADGRAIKNCLDNVKPRKLILVHGTPETTTE LQEYVQTTIEQCEAVYTPHANECIDIESDTTVYKLSLKESLYSSAFFQKVRLVYASCD TYWMEYT H257_03975 MVAISFTPLYGVQSTERSCCYLLEVDDIGILLDCGWTDDFDVAL LEPLAKVIDKVDLVLISHPDLAHMGALPYAMGTLGLKAPVYVTLPVYRMGEIVLYEAY QARTKDDLEFNLFTLDHVDQVLETFIQLKFSQKLKLSGEGEGIYITPHAAGHLIGGSI WSIAKETDEIIYAVDYNHRAEHVLSKTVLDTFTRPTLLITGSMSLDKQQPKMRDRDEQ LKDEIVHVLRRGGDVLIPCDSAGRVLEVLHVLDQYWIKLKLKDPIALLHTMSFYTPKA AQAMLEWCSERISKNFDIGKPNPFNFTHVNLIHNLDELDRLPSPKVILATSTSLNHGF GKDLLMKMAPLSKNGLVFVSTPVPGTVAATIHPGTIVKLKVSRNVPLEGAELLAYEAK ERRRLIDEAELKAKEIEEAALEDMMMTIAEYESDDEGQPPTNAPGAQGPPTDKAAPMQ LRGSFKVGFGQFATAKFPMFFTMDTKCEWDEYGEIIRVDEFKDVHQAKARLRKAKAAS SSSKEDVDMGNGDDEDDDVNGLAAADRRPMKTVHSSQHIFIQCTVQFVDFDGVADGRA IKNCLDNVKPRKLILVHGTPETTTELQEYVQTTIEQCEAVYTPHANECIDIESDTTVY KLSLKESLYSSAFFQKVRLVYASCDTYWMEYT H257_03975 MVAISFTPLYGVQSTERSCCYLLEVDDIGILLDCGWTDDFDVAL LEPLAKVIDKVDLVLISHPDLAHMGALPYAMGTLGLKAPVYVTLPVYRMGEIVLYEAY QARTKDDLEFNLFTLDHVDQVLETFIQLKFSQKLKLSGEGEGIYITPHAAGHLIGGSI WSIAKETDEIIYAVDYNHRAEHVLSKTVLDTFTRPTLLITGSMSLDKQQPKMRDRDEQ LKDEIVHVLRRGGDVLIPCDSAGRVLEVLHVLDQYWIKLKLKDPIALLHTMSFYTPKA AQAMLEWCSERISKNFDIGKPNPFNFTHVNLIHNLDELDRLPSPKVILATSTSLNHGF GKDLLMKMAPLSKNGLVFVSTPVPGTVAATIHPGTIVKLKVSRNVPLEGAELLAYEAK ERRRLIDEAELKAKEIEEAALEDMMMTIAEYESDDEGQPPTNAPGAQGPPTDKAAPMQ LRGSFKVGFGQFATAKFPMFFTMDTKCEWDEYGEIIRVDEFKDVHQAKARLRKAKAAS SSSKEDVDMGNGDDEDDDVNGLAAADRRPMKTVHSSQHIFIQCTVQFVDFDGVADGRA IKNCLDNVKPRKLILVHGTPETTTELQEYVQTTIEQCEAVYTPHANECIDIESDTTVY KLSLKESLYSSAFFQKVRLVYASCDTYWMEYT H257_03976 MQIFVKTLTGKTITLDVEPSDSIDNVKQKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLDVEPSDSIDNV KQKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGC H257_03977 MRRTTLGSISSSQLNAQQNTTNPSRLPLAKPLQPPASNRQSMTS VAHRRASVLNRSSLSRNSLSARMSMNGMPRGSQNGSGRRSSTIGGRGSRMTDPRPIAD RNFMAMSIRHLIEFLTDRHYDHPISHQLLYKPMKKDFVNIMHFLFRELDPNYEVSAKI EEDVANCFRTLKYPFPISKLALSSVGSPHSWPPLLAAISWMIELLSYDAIVQDEALNN PDHNNGHDDDSDMFAYLTVAYKTYLSGSDDEYELMTRRMEESMDRKNDQIQQDTDVVR HENDELRRQIDALQNGQSLVSLNAKKRDFQGDVDKLQTIVKTYEASKVKAHDAIRVFE QRLAKRQQVYQTQQSAMAELQRRIDTQELSSDDLERMTSERGRLQEMLLNVEKRYKHI QASHWQKETEISQFMDRIEDLVNTYMSYCRRLKLDDRKDAHGVEYAIQIDAHSGGAKA AAALMQHLKKTIVPSLQAFRRQRVDRLDRILDKGVEAKVRIGHATSSMNEAVEAARLI DVQERKLDESIRKEQEAMDVALERKSREIEELELELERLQTQDKDDMSGLGRADKLLR DAKAAYADMSNQYKHEIESRLRFIQHAISMCIAFKEHATKTMTDADRYLRSQDTRVSS TSS H257_03978 MSWLKGKMRAAANMVAQAAEAVAPSLALSLYKTPVEEFHHRWTT VSLFLDALAAEDLPDAQQRAKLSDSNTRDNLAKLVKLLYAEEDALDRQVYVVEEYSIN ARPCMEYLLEHNVLQNLCAKAALDSPCGLMVLVLIFLTDLLRESRINYPILPTRGVYR SVCELIQAAMVREVEDDMVQKCLLHCLHALWVKLKGDPVQTEFFFLRIYKPVPGDQPG NLVLSPSKISELVLFTGLLPHMYRDGKLGHKCREALVIAAGLHEPGLSRFILHMTPFC NYAVTGVIQAFDALPKAIGVKNEKTFRSVHPQAIVLELETLALRLRFCCTLAMVGTYQ GVDTCITKDILTQFHTRFLQGPLLEALLDPSEAAARTGIHYTCIVLDLLVACGRSPDA NPMLHLTLSFLLHHHQSKGGGFPSSVHTTPRRSACVPVVTASNELALAPPHSSSSTPV EDNTVRLLSELLHRTNSLSPSLSVAAIDLIALLLRLNAPQVDEVLLPPPVFAPSSSNA GHPTRSNHVAWFASRFPHSLLSTLDDSLWHTIIQAHTSPTLALPPSPWSSGSFAAIPF AASDWNGFVVSLMSYVVAAEQRVLREKSTALVANTLAELCSSDDTDEDSEGDEPPALL PPRCQTRRRWHVRVPSLSSTDVVDSIVCTTPSASSSWGPFEAMVVNRLERWLDNSLEE NVALSGLVVLLAERVPHVVFAWSSSPSTDDKHSLRSVLEDVHGQAYARIRRLPDRAAT AARLAAVKARLMTPQHDDKHDDDKNDDDHVLHQSFDGPDLLLVSFVVLEEMLMELVAT LVAVETVRALPEKPEGVYLEANHPVTTRSYPDDDDNDDDDNVLTQSQSQTNEAKVDEM DFLLTSAASQLASIMMTRSAAVP H257_03979 MMMPPPSNIVVVGGGYIGVQFAQELAKHLTPSQASITLIEKNDF TFHCVGVPRALVDPTFVKKLFIPLDHALPSTATILRGIVDKIDGKVVVVRPIVDDKAQ STPTRVPFDYLVLATGSSYVSPIKVPLQEYTRASVEAAITATAEHIKAASSVLVVGGG PVGVEIAAEVAAAYPSKHVTILERHNRLMHNAGVKDAFREQLTAKLAKLNVHVVLGER LIHDSEPPASAVVTTDRGTSIDADMQLLCAGMLPNVDLIRRLDPTLLSIRSRGIRVNG ACQIDDRRYSHVFVVGDASDHPTPKLAYVGAFQAKHVAAQLAKVVVSSTTSSSSSRKE VVLEPYVPPTSEGLLVPLGPTLGVGQVPVLGGIVVGDVVVRFIKGNDYFASTFLDQWH TTEDGAAIPPKKRSGGWAVLVVAVAVAAWYFSKS H257_03980 MLVGRFLSRTICNVVGYAYPIYMSVQSAKKEDATDEHIQWVVFW TVNACFAVFEILVDFLGNYIPFYYEAKVCMVAWLALPQFRGALQIYDNLIAPSFVKYE KALDAHIDLASDKLSQLCRDAASMAMQKGAGAIVQGQQYIVMQAMQQAWKVPTSPTNA EALSTSAPSIATPPSSPTTTPSAIVVNAPHTSTKDVVDDASPPMPSLEPPSFPPPPAT PSHRVIGLPSTPDASSRTASPPLPEDRPSPSRQDKEAELLGHFRVLLSRGLKVRHQTK SRLLRLSPSGNYLYLESKSLRGPNAPVVVSLLTIQVVDALGSSDVYLAVETHSDKITV EAEAKKTRDLLVAGLRLLALAFQKQGNRALLRLGDIAIKQTKQLAFDNLLSHCHRRNT DMRLD H257_03981 MTLPLDTRKYILVSIPASNYVQKARWGLRLAKIDFTEEMHAPAF HRFSTRPKGGSSVPLLYCPDTKLSLTDSDPILSFCGQHVPSLYPHEHVKALELKYDTD FGPHARRYAYGFIFAKKGSTFKHIIVDSLQGTMESYVVSCVLPMLQIMLTKAFNVTDA GVERSWAKIDHVFNEASAILGDAPLGSTYLAGPSFTAADISFCSHASLLLGPPQNPFL HPHFQPDDMPLTFRARYEHLRTSKAGQFVLYCFEHHYPSSDDL H257_03982 MGPRSVLVTIPASNYCEKARWGLRLAKIEFVEEMHAPLFHYLST KPKGGRSVPLLVTSESTTLKDSNAILSLCGTALPSLYPHPVVKEKELHFDNHFGPAAR RFGYGLMFSLGPSVAKRIIVDPLQGTAEAYAASALFPLLKSALIKSLNITDKGIERSW AKIQTTFDEVNAILGDKPLGTQFVAGDSFTAADISFCSHASLLLSPPQNPFLAPYLNV SDAPPMYQARYHELAASKAGQFVLWCYANHYPPMHPNNQPAKL H257_03984 MMSDTPLATSPPASAAAIGHGLPAVEIFSGWMQIKTQSYVNVRP RHVRYSVLAVHPMKPTSIMMYTFKFQPSTDELAAALTSTKQTYSELKFVPWDKRNCGF FLHALDKENRPCVLEVDVKTTELFDSWANNLTSESVWRNVAAAALEKLPKSNTEMWRK GASIHRGLQNGTGENNCFLNVIIQSFWHLVPLRRLLLDVTIKDLPASDDDKANGGGET QDGAAAATNVLKTLKATMMSYEDPSSGSLHPKELRQGLSLLYKTDQLFAEGSMADAEE TLLTILNLMHQQSDLIQLTETERAALKRTRTVASKSVDGYVEKPVAIFDPNSIPHIVF SHQIYDRNVCQSCHHASSWELCSNLVFSIYATAALSLQQTDMGSMLKHLPDAINGDLG TCHVDTCNGKLATERVIHRFPPVFAMSLLWSSNSPPKEDIQALLSTISDTLDLSEAFQ VDGEAAKMTQVMHGIRCQYRFKGFVCYYGKHYFAFFFSTAHQRWLLFDDNKVTDIGKW TAVVDHCVKGRYQPVLLFYEIPDARKDSSIGLFRGETVVALPEERTTTQASPPSPPLG KSKLLAALSSSASPPLRSDTCVSTERGPAPVVRTLQDADKSLSFLPTSASKLWQNITA VNEAIAMPPRTNIMLKPAQPHEYDVEFPVDAVVLGLYLEKLDDDLCVTAFPRTPHGAM LEAEASGVIGLFDTVVMANGHPLGHYSVERALKMIQAQKRPLRIRFKQSQRVQTLLDM GFTIDQALDGLRAARGSIDAAAEHCFTSQT H257_03984 MKPTSIMMYTFKFQPSTDELAAALTSTKQTYSELKFVPWDKRNC GFFLHALDKENRPCVLEVDVKTTELFDSWANNLTSESVWRNVAAAALEKLPKSNTEMW RKGASIHRGLQNGTGENNCFLNVIIQSFWHLVPLRRLLLDVTIKDLPASDDDKANGGG ETQDGAAAATNVLKTLKATMMSYEDPSSGSLHPKELRQGLSLLYKTDQLFAEGSMADA EETLLTILNLMHQQSDLIQLTETERAALKRTRTVASKSVDGYVEKPVAIFDPNSIPHI VFSHQIYDRNVCQSCHHASSWELCSNLVFSIYATAALSLQQTDMGSMLKHLPDAINGD LGTCHVDTCNGKLATERVIHRFPPVFAMSLLWSSNSPPKEDIQALLSTISDTLDLSEA FQVDGEAAKMTQVMHGIRCQYRFKGFVCYYGKHYFAFFFSTAHQRWLLFDDNKVTDIG KWTAVVDHCVKGRYQPVLLFYEIPDARKDSSIGLFRGETVVALPEERTTTQASPPSPP LGKSKLLAALSSSASPPLRSDTCVSTERGPAPVVRTLQDADKSLSFLPTSASKLWQNI TAVNEAIAMPPRTNIMLKPAQPHEYDVEFPVDAVVLGLYLEKLDDDLCVTAFPRTPHG AMLEAEASGVIGLFDTVVMANGHPLGHYSVERALKMIQAQKRPLRIRFKQSQRVQTLL DMGFTIDQALDGLRAARGSIDAAAEHCFTSQT H257_03985 MGELHARYKYRADRMTYACPGEDEGKTRGQQSRPLLEKRVRSYM SFTLSSDLFRRVRSDLNNVQILKLGGFDLGDRGAWVLGKALASNESLGLLDLGFNGIT CKGVEQIADALEEGKNRTLKTLYLSGNGVGVGGAARLSAALRSNHSLKTLYLSGNGIG DEGVKHLADMLRVNTTLTSLYLGTNGIGSGGMAHLADALTVNHHVEELMLSQNRIESA GVHSLVDAFSTAILPLQTLEIGFNNIDAAGVIALAEMLESRPNRLQNLYLDNNPVGDA GAAALGRCIATTTSLRVLDLSYAHLSLLGFRDLCMGLRESKTLMGLLLDGHDWASTKY MQRPPPNVASLAKDDAYQYAAKCIVTALQINPSLPLVKLTGVNLSFAPGGFNVDVPEA VELTAPQPVCALNERIMDHVRRLAVPDSVAETSADTGEREATTSREGAVVDQSRKVLD KIAALPFDADELNALCAYYCADLLQPPRNDVAHHPTKRRRLSVDHSNEAIVSSSTSTS SQHHNTSPCRVAVYPRVESRLRTLARTTADEQERHCKVLTVLRQLHYLVKSLQTMKHA SALIDTVLGDEADGRVASASSSSSASPS H257_03985 MGELHARYKYRADRMTYACPGEDEGKTRGQQSRPLLEKRVRSYM SFTLSSDLFRRVRSDLNNVQILKLGGFDLGDRGAWVLGKALASNESLGLLDLGFNGIT CKGVEQIADALEEGKNRTLKTLYLSGNGVGVGGAARLSAALRSNHSLKTLYLSGNGIG DEGVKHLADMLRVNTTLTSLYLGTNGIGSGGMAHLADALTVNHHVEELMLSQNRIESA GVHSLVDAFSTAILPLQTLEIGFNNIDAAGVIALAEMLESRPNRLQNLYLDNNPVGDA GAAALGRCIATTTSLRVLDLSYAHLSLLGFRDLCMGLRESKTLMGLLLDGHDWASTKY MQRPPPNVASLAKDDAYQYAAKCIVTALQINPSLPLVKLTGVNLSFAPGGFNVDVPEA VELTAPQPVCALNERIMDHVRRLAVPDSVAETSADTGEREATTSREGAVVDQSRKVLD KIAALPFDADELNALCAYYCADLLQPPRNDVAHHPTKRRRLSVDHSNEAIVSSSTSTS SQHHNTSPCRVAVYPRVEVRIVVVCPVNHCVGNAQKARYIPCCVESAADVGANNSRRT RAALQSSHGAPPVALFSQVASNDEACFCTHRHCARRRGRWACRQRK H257_03986 MVQTLGDAVAMGVDLATSIKFKNATWINNTFVTDVYEYHRSNMS GTVMSVLAEKACALLDENPECFTANWELVSMQFRFWLYLQTPIFAVSVLFEWLEMARN PYIERLRRLASYSAHAGAGLQLISSLVCCGAWIVRAGSMRLNPATWAVESLLLMFCAF SYSLRWISAPNKVYHCLQLNNLFDLLSITSHFALGTSVAVGKLRYSWLNFAFLRSYII YHVLATVFDRYKTNYSMQLGRILVKTACLIFFAAAVLYSLEYLGEIPDTNSFLVHVYM CPTPAGELIPSNNTDGFESGLCTERMSLFTSLYFMLVTVSTVGYGDITPKTVLGRFLV LFFIPAGIYFFAAETANLVAIFEDRRLGRRRYALKRHTPHVVLTGNPAAVQVLDFLRE FFHPDHDHHLSSSHPPPSSAPPGIPSGATRRQRHAAARTRHMELVVLVEFHGHADAEA AFHRVVLAYIDAHPHMHGKVTLLSGSPLSDPDLCRAKIRDATAVFFLPNKYAKLANEE DAANVLRVLAVTNVVADASHLFAMVVNAENHKLLEATGIPADHLVCSDEIKLGLMGLS CRCRGLSTLMANLIGSFDMQAFEDVVRRNNEDAGNVGDQVPLWVEEYVTGAAKEIYAC HLDERFHGMTFLDAAHMIFGDTNGQVLLLAVQDDDHHHDIVTNPGHTFVLARATKVYM IAESVKTVAPFAAVGQALHTSKLMGLLKVRNHLVKAAHRARHTVEKRIPKSVRDYIGR MVTLEKARPPPPALLNAGGHIVICSGPSGDQTIARLVNFLRPLRKDHVTAPVAVVIIH PTEFKDSAWAQLSVFGDVYHLHGSPQKHSSLVRAGIYKASAVIVLDQGSEEGNLIDSE AIFKTMLIDAAIQDAPHMAHPRVQFSIIELKEEHFNKYLDILHGSPTTDDPAIPSAIH VHSLRRSSNQSATPMLTGTPLSPQTLAAHVRPPQMSSRSQGSRLSRASQATSRITQGG GGRRLALQRSYSMDITQWVSDKWNTFKDVWREIRAALFRNGLARGVKDDDGDRRGTVN KAYALMDQFNVDDETFFQERYISGGLFPAYVADELLIQSFYNASINVFMRHVLDGKSI FMLYDIPVQWRHLQLSYGDLVSRMTRQHAHALPIGLLRAPSFLNGAAKPYVYTSPYAH TIVDPHDKVFVLINHSAIHRVARKLQRRFAARKRWRQTVAAAVAAAPQGQAAP H257_03986 MVQTLGDAVAMGVDLATSIKFKNATWINNTFVTDVYEYHRSNMS GTVMSVLAEKACALLDENPECFTANWELVSMQFRFWLYLQTPIFAVSVLFEWLEMARN PYIERLRRLASYSAHAGAGLQLISSLVCCGAWIVRAGSMRLNPATWAVESLLLMFCAF SYSLRWISAPNKVYHCLQLNNLFDLLSITSHFALGTSVAVGKLRYSWLNFAFLRSYII YHVLATVFDRYKTNYSMQLGRILVKTACLIFFAAAVLYSLEYLGEIPDTNSFLVHVYM CPTPAGELIPSNNTDGFESGLCTERMSLFTSLYFMLVTVSTVGYGDITPKTVLGRFLV LFFIPAGIYFFAAETANLVAIFEDRRLGRRRYALKRHTPHVVLTGNPAAVQVLDFLRE FFHPDHDHHLSSSHPPPSSAPPGIPSGATRRQRHAAARTRHMELVVLVEFHGHADAEA AFHRVVLAYIDAHPHMHGKVTLLSGSPLSDPDLCRAKIRDATAVFFLPNKYAKLANEE DAANVLRVLAVTNVVADASHLFAMVVNAENHKLLEATGIPADHLVCSDEIKLGLMGLS CRCRGLSTLMANLIGSFDMQAFEDVVRRNNEDAGNVGDQVPLWVEEYVTGAAKEIYAC HLDERFHGMTFLDAAHMIFGDTNGQVLLLAVQDDDHHHDIVTNPGHTFVLARATKVYM IAESVKTVAPFAAVGQALHTSKLMGLLKVRNHLVKAAHRARHTVEKRIPKSVRDYIGR MVTLEKARPPPPALLNAGGHIVICSGPSGDQTIARLVNFLRPLRKDHVTAPVAVVIIH PTEFKDSAWAQLSVFGDVYHLHGSPQKHSSLVRAGIYKASAVIVLDQGSEEGVLIIYI LYIHIYMYIAPL H257_03987 MLTEVVQVKQFNDYGFECWGLFAKEDLPKGTLVWYAEGHDVLET FTKAEILAHPEQETLITYSYMRGDDKFCSTLNPSSDPSWYFNHSCMPTCWYEGDERIT TCRDVKKGEQLAYDYSCTETESSMHYGLRCLCGTAACRGVLTFSEWRSRAYVRKNKGH LNDYVWEKHAENSWYDSRTEVRAKGGDSRGLFARIQKDAVIKAGEIVVVFSGKIVHRN EVSEPGAISKRDLEMSLQVAPDLWQIPSWKESGEKYDTSDFINHSCDPSCGMWDSVTV VAIRDIHPGDEITIDYAMVNDGSINTMTTGDSDAFECQCHSANCRGNVTPSDWKLPEV QARIGQYFAPFVKDLVRRRAHVSP H257_03988 MPAFQFLKLDDTTNMASSIATGFVRKLYRMLEEEDASIIGWEPS GTHFTIRDEDLLNSRVLIKYYRGKLTAFRQQLLNHGFERDGALSETYVHPCFVRGNPA ALSQIVFAEKPKIKGPPRKASTKKDTTKAARPEPYPTRKPANIQLVPGSEEDVVWKFL INVCYSDDKLAFNPASLTSNPGFTPSMVQLTIDDATPAPCFDGPNPLFAPTASNFGSK SSSLPPMLAPPASFQVLKPAPPLQSSPAASSLFAPPSSTSRLVMSPTKPPSSGGSLLF QRPLHSTTTIPAPPPPSLLFRPPGATAITPPPAVPTPLPSANPLFSHTSPATNASTSG TNPLFDKSATPTGFGALPLSSPNGLPSSMGKDKPLFMRDNSLGGDQWQHLVSTSVDRF MKFSDTFDSPEDTFKFVLEERQRLGAEKTKLAPDQSTGLFSGLANQGPDALISFLMTS SMDLLQKSVDTFETNQLQQEQLVQQRDGHTTEDDDDVDDEWEDGDDAHL H257_03989 MTTLATASSDVTFLRKVFRILSHENALVVAWDVCGTQFTVKSTT TMNTSILPHYFRSTGDMTYATFRKHLVAHGFSMAHQSHDSESFRHAEYTRSSATALAS TMKRRRLVQPKHSSSGNVPSCHLHQQQPTRHNQRADNPSNNNDNLWQLLSVVCTMQTN PLFELPAIRSDPCTFAVDGWADLTTPAVVCL H257_03990 MMWTITVNTNKRHDIFQQQHGWIGLTPREPRRRCTRGWFTVNNF LRVFVGGVSQVHHVAMIECSAIGLNTHIAENYLGRSCGVVAGLETLAGFSASAALKVA TRSF H257_03991 MTPSSPLTSVVQLQELATVERVLETVDNRTHGPWRSRACGFVVH KPFMQHVKNAAMYVLHELIRAKDNLNESLKQG H257_03992 MTYRLIYALHQAPSPDSWKLTSAAKLMWPLPSATVWSLNVNKPK ENSGDRDGEDAFCATDVLRTSLVAQTHHLLEPLLQVLSAHSWQRTIWHTSGSY H257_03993 MADTSTCRAGMDGLMKEFRDPKTYYNGCPDATLTMLDKFKVDMS VRNVECQNPGCWWAYLIVARFVTNDNINCPITDVSTNTIVPPGKVNCTAEESKPPPGP TRPFDPTPLPASSIPSTGSSATDSTSPLLSPTSITSAPLVAIGVSSTSSVAIVVIVAI VVVGLLGLFIVWRLRVVNRDNPGDDYFRELHHNDASKHGLVKQASSTARGGTASTDAS MHVPDGEDDLDLLSLWRIPPAEIVMEHRLAEGAFGQVWRASYCGDAVAVKVLHKHKSA MADIRLFIDEIKLVAKMDCNAIVQFVGVTYHRLVDLQLVTEFMAGGDLRTVLQESDDV SFPTSQKLECAIRIADALAYLHVMEPKVLHRDLKSRNVLMDPIKGAKVTDFGVSREAA FDQETLTQGVGTYRWMAPEVLVDGHYTTSADMFSFGIILTELDTHQIPYADRMNANGA ALSDTAIIAQVMRGTLRPSFRPDAAPWFVEFASKCLENDPVNRPTAMEAAYFLRMALR RDHGSM H257_03994 MRPRGSRSDTDHVREAIQDAIQQRVHALEFAGDDKISHENNLIC SSLREDVVSVACRVLDHCIQAESLDTTDARLQLQFVRRMLSKAVELDSVAAQLGNQAT HDLVATTPSNVHEDALAPSIRLTQHLKAPLPPAARGGIKVSAAKASTSMSSKLKRN H257_03994 MRPRGSRSDTDHVREAIQDAIQQRVHALEFAGDDKISHENNLIC SSLREDVVSVACRVLDHCIQAESLDTTDARLQLQFVRRMLSKVTTIPIMTCKNDMELG CGTRLCRSPTR H257_03995 MADQVPRRQSPSIGIHRELPSGQQSQHNNPQRRMSDHVYPNHHA GRRSLDENSANSLDVDMGYGSDGGSNGQQHRHHPVPVNLQQHVGRLPFSLLAPPPLSL SSEGASSHSLPNMHRSPSEQRLPAQQHNSFKLDPVTNGNLNLNLSMNMDMYAMNVVEE GRRVVRAFLQSAKCYDVIKNSGKVVVFDVKIPVNLAFFALVEHHIKSVPLWDADAGRF VGMFTATDFVNILRHFYPRGSMAAVADHSIASWKHMSAALHLSPPEFDSSQMIYISPE ASLFDACVSLQRHRLHRLAILDTAQNSVLSIVTHAGILEYLVSTFREQRRLFDQSIAD LGIGTFTNLVTVPEDMPLIHVLHALMERRISAVPIVDATGAVMYLYSVSDVTELVKDR ALSQLDAPVGEILRVQMAEGVLGDNLHFCSTQDTLHMMFEKFAATKAHHLVCADESNR CVGIVALSDLFNYFLQ H257_03995 MADQVPRRQSPSIGIHRELPSGQQSQHNNPQRRMSDHVYPNHHA GRRSLDENSANSLDVDMGYGSDGGSNGQQHRHHPVPVNLQQHVGRLPFSLLAPPPLSL SSEGASSHSLPNMHRSPSEQRLPAQQHNSFKLDPVTNGNLNLNLSMNMDMYAMNVVEE GRRVVRAFLQSAKCYDVIKNSGKVVVFDVKIPVNLAFFALVEHHIKSVPLWDADAGRF VGMFTATDFVNILRHFYPRGSMAAVADHSIASWKHMSAALHLSPPEFDSSQMIYISPE ASLFDACVSLQRHRLHRLAILDTAQNSVLSIVTHAGILEYLVSTFREQRRLFDQSIAD LGIGTFTNLVTVPEDMPLIHVLHALMERRISAVPIVDATGAVMYLYSVSDVTVCHAGC LWTYSLDSNVSCVADSYTLPGTGKGPSVVAAGCASGGDSTGANGRGRAGRQFALLLDA RHAAHDV H257_03996 MVYKVHAISPVSAKVVAVGEINRVIVYILRVDCAGRTTYVSRRY SEFRELMKDIHGHATARRRVDSCEICSDVVSLGLNRSDGFPRRKLLHSESLAVGRMDE LSVFVKNLMISTQGLLEESCDIPAKLRAFFLLSPLETSSLTDTPNTNNHNNEMRYLTT GQVRMIHQTDAADFQNDTEINSPPTNALHRQHSLVIYQ H257_03997 MEASTHPLPPPATPSPPASPTRKLSLNDRPKLARTPSATIQVVE TEVAAVKVDDAGAPHRVNDYVVVKQLGEGAFAKVYLVERTKDEATKQFAMKVFNKSFL KRKREFKKVDGKMVQTNAFQKVQKEVAIMKKLCHPHLTKLYEVIDSPDDDKMFLILEL LSGGQIMEFNAKSLRYTYPEEGMEEAARLLMVQNCLLDIALALEHLHANHICHRDIKP ENVLVTDTGFYKLGDFGVAYMFTEDNASNALQLKSTEGTYHFLAPECTTGEPYDPFKV DIWALGVTIYAMLFGTVPFGGHAETVPGILTSIRDDPLVFPSESSIPSDLQELVLAML DKNPTSRISVGDILHHAWLVEHSVLKRPSPTQLVEVTVEDIDLAFTPINNFILMTKLK MKMHGRLSHARLSLSKRFSSSSSPGSPPRSPDRNPPSMREFHDDDLHAVQAPEPIEPS GNSNTSDACSLM H257_03997 MEASTHPLPPPATPSPPASPTRKLSLNDRPKLARTPSATIQVVE TEVAAVKVDDAGAPHRVNDYVVVKQLGEGAFAKVYLVERTKDEATKQFAMKVFNKSFL KRKREFKKVDGKMVQTNAFQKVQKEVAIMKKLCHPHLTKLYEVIDSPDDDKMFLILEL LSGGQIMEFNAKSLRYTYPEEGMEEAARLLMVQNCLLDIALALEHLHANHICHRDIKP ENVLVTDTGFYKLGDFGVAYMFTEDNASNALQLKSTEGTYHFLAPECTTGEPYDPFKV DIWALGVTIYAMLFGTVPFGGHAETVPGILTSIRDDPLVFPSESSIPSDLQELVLAML DKNPTSRISVLFSIKE H257_03998 MARSRSSASSPRRSVPAAKPAAAPAVQQSAPAPAQVQSGGGLGS GLLSTVAEGMAFGTGSAMAHRAVGAIANSIGGSNDKTPATTTTTTATAPTAASSLSPP ESNSCFNDHKAFLDCLQTNKNDVASCQFYFDQFNMCKSQTTF H257_03999 MARSRSSSSPRRSAPAAKPAARPAPAPAPVHAAPAPAPMQQQSG GMMSGLLGTVASGMAFGTGSAIAHRAVGAVANSFGGSSDEAHHETPAAVPAAAAPAQN ACSNDHKAFMDCLNANQNNVSSCQYYFDQYNRCNNNGQSSFSAN H257_04000 MATADEEVKQTDVAQVEENDDGVPSQVNEYKVGSFLGEGAFSKV YLCHTTVDFLRTNYALKILNKSFLKRKREIKMVDGRPVHSNAFHKVVKEVAIMKKLRH PNLTKLHEVIDDAEHDKMYLVLELVTGGQVMDWDQQAMVYKYLGEDKPAPVSVVQTCV LDIALALEYLHANQICHRDIKPENVLRSNDHYKLSDFGVAHMFADDDANELKNTEGTY HYLAPECTVGKAYDPFKVDVWALGVTAYAMLFRRLPFAHSREKMTGGALAIMQCIRED ALEIPTPDVDDDCLDVLRRLMDKDPETRITLAELKNHPWLQRAKQALLEQDEESAALA MRLSVVSISESDIDLAFTPVNNLMLMVKIKMKFGAKLRGIRSSIGDRKNSSSEDNNSL PRSPPASFVPVSAPPPLTLFEPEAGQGMGDNVVHEDLSRPTSSACNDNAEASIPRSPP KRGRSLTDKFFQRQSSKTNDGKKQKDCRIM H257_04000 MVDGRPVHSNAFHKVVKEVAIMKKLRHPNLTKLHEVIDDAEHDK MYLVLELVTGGQVMDWDQQAMVYKYLGEDKPAPVSVVQTCVLDIALALEYLHANQICH RDIKPENVLRSNDHYKLSDFGVAHMFADDDANELKNTEGTYHYLAPECTVGKAYDPFK VDVWALGVTAYAMLFRRLPFAHSREKMTGGALAIMQCIREDALEIPTPDVDDDCLDVL RRLMDKDPETRITLAELKNHPWLQRAKQALLEQDEESAALAMRLSVVSISESDIDLAF TPVNNLMLMVKIKMKFGAKLRGIRSSIGDRKNSSSEDNNSLPRSPPASFVPVSAPPPL TLFEPEAGQGMGDNVVHEDLSRPTSSACNDNAEASIPRSPPKRGRSLTDKFFQRQSSK TNDGKKQKDCRIM H257_04000 MATADEEVKQTDVAQVEENDDGVPSQVNEYKVGSFLGEGAFSKV YLCHTTVDFLRTNYALKILNKSFLKRKREIKMVDGRPVHSNAFHKVVKEVAIMKKLRH PNLTKLHEVIDDAEHDKMYLVLELVTGGQVMDWDQQAMVYKYLGEDKPAPVSVVQTCV LDIALALEYLHANQICHRDIKPENVLRSNDHYKLSDFGVAHMFADDDANELKNTEGTY HYLAPECTVGKAYDPFKVDVWALGVTAYAMLFRRLPFAHSREKMTGGALAIMQCIRED ALEIPTPDVDDDCLDVLRRLMDKDPETRITLAELKNHPWLQRAKQALLEQDEESAALA MRLSVVSISESDIDLAFTPVNNLMLMVYSVVVVDHCHVYIYILFFFYPKFGLFGADSL PNLYRSILCTD H257_04001 MGCCGSKPPVDKDNQDAYREHHQEYLYKERDPSVNTKRQRAAEA AERREQDFRQGGAGETSKTKALALRREKDELVGKIMEVYVSLKEDPPIGLNACDVDQL KDHLVKIKKKKEGRRKASV H257_04001 MGCCGSKPPVDKDNQEHHQEYLYKERDPSVNTKRQRAAEAAERR EQDFRQGGAGETSKTKALALRREKDELVGKIMEVYVSLKEDPPIGLNACDVDQLKDHL VKIKKKKEGRRKASV H257_04002 MTDVADNRRGALRRVKEEDLQAIEDRLLNLEKRVRKDHEVRAQY AAGVNAESKIKKKSHYDLEHEGDEQVKGEGDTVNGGRKDKQRDQGIQDGDGGGQPRLQ GRWRGETGHRGNRRNNDVEGHPHDENPHAGDDKPLALDATNRRFGPPSTDTFVMVTVF GLVLVVALFVARKVRKQRTRSYQSID H257_04003 MAGQRKLLSEIDRTLKKVTEGVEVFNGIWDKVYSAAGQAQKEKH EADLKKEIKKLQRFRDQIKTWIGNSDVKDKRPLTDARRLIESKMEEFKVCEKETKTKA YSKEGLAQADRLDPAEQAKQSTIGWIQDDLTQFSEQIESMECEIERLRAIKGKKNKAD MEALELVIARHKWHVLKLEQIARLLDNDAIDPADVDGLKDDIDYYLEANQEPDFMETY GEDDIYEVLDLDALTNIQLNSNGDNDDDGSDHETEPPPPPPVPVSSRSTSSTRAAAKK PSVSAPSAAVPTPASAALPAAGIGRGVPGKVEPPPRAVVPPPPMLKEPMAQVLLRKEQ AAQAAAAALAAKQSDPFPPVGASIPPRDLPGTPTASPSRQVPPPPPSTTTIGKPRQAS NSSVENPPPTQAASLATAAPSYDDLKTSTNGSASPSKATSSPAPTVPLPPQPPVVLSD ERRQLLRWIDESFKLMPDAADSDKTSRYVPRNMYPTPPSFPSVPSPLFENPAVFEKLD LDTLFFIFYYQQGSYQQYLAALQLKKQAWGYHKKYKTWFKRHEEPQMTGEDFEQGTFV YFDYETGWCQRIKTEFTFEYSYLEDELV H257_04004 MLHHTRRPFTSCIRRTYARQSSSTSQAYPSAPSPWSDTAHSIVQ NCTKMLTTLKQCRHHTSPVLSFQHKLQVFGELHQVDDHVDLDEFMTGADAAVDVVLHA TYSEGFRAFCMDGTMCPDVEVLQRVLAVDCFNKCVLQVQEADKRGMLYDLRHLHIRHR SLLNADTHQRQHARNFDSRNDGLVRLDVHVVVDRTMRISSRWKDFVIKTPVSMLWSFE CDVNANDVQWHIVAFDDLELSKYKKK H257_04005 MAQSLSKKHVLEEDEYVDALDKIIERDFFPDLQRLKHQTMWLDA LATNNRRLIQEVREYLLHQQSSQDASRSSSHPDSVPKTRTPLLATSESAWDQPTPPRQ SSDELNVDLDAAAAATSVESTSNATTIVTTTSTMTLNEFIAKHTSEDNAAFEELQEKA VNDHKRKYHWAYEDTANRGDAKLHLLNDGTWISRERRQLMDKACDTKLGTAFICSLPE RSSIILGSTQRTYGHISLYLALEDSRPAAPDTWTFRVRNPLHFPPDLDTNRSICKVPP LNAPPLSSALLQLEHGGTAPTLGAAGDGNPSAASVVAANGTKRTGAAAKPPMETVYAN SRFRTAFLSAQDEMKDVDPMKDELQNFVPMTPLLVPGAADAASPMITWGSIDATPVIL REDALIDAGRKTTFVIKDSSAREKLAHAMDAKNKQRSKARQTPTPLFSKKYTPTPLKS GARTPSLMFGNDMQLRASYSTPARLPPRPPSSSKRP H257_04005 MAQSLSKKHVLEEDEYVDALDKIIERDFFPDLQRLKHQTMWLDA LATNNRRLIQEVREYLLHQQSSQDASRSSSHPDSVPKTRTPLLATSESAWDQPTPPRQ SSDELNVDLDAAAAATSVESTSNATTIVTTTSTMTLNEFIAKHTSEDNAAFEELQEKA VNDHKRKYHWAYEDTANRGDAKLHLLNDGTWISRERRQLMDKACDTKLALEDSRPAAP DTWTFRVRNPLHFPPDLDTNRSICKVPPLNAPPLSSALLQLEHGGTAPTLGAAGDGNP SAASVVAANGTKRTGAAAKPPMETVYANSRFRTAFLSAQDEMKDVDPMKDELQNFVPM TPLLVPGAADAASPMITWGSIDATPVILREDALIDAGRKTTFVIKDSSAREKLAHAMD AKNKQRSKARQTPTPLFSKKYTPTPLKSGARTPSLMFGNDMQLRASYSTPARLPPRPP SSSKRP H257_04005 MAQSLSKKHVLEEDEYVDALDKIIERDFFPDLQRLKHQTMWLDA LATNNRRLIQEVREYLLHQQSSQDASRSSSHPDSVPKTRTPLLATSESAWDQPTPPRQ SSDELNVDLDAAAAATSVESTSNATTIVTTTSTMTLNEFIAKHTSEDNAAFEELQEKA VNDHKRKYHWAYEDTANRGDAKLHLLNDGTWISRERRQLMDKACDTKLGTAFICSLPE RSSIILGSTQRTYGHISLYLALEDSRPAAPDTWTFRVRNPLHFPPDLDTNRSICKVPP LNAPPLSSALLQLEHGGTAPTLGAAGDGNPSAASVVAANGTKRTGAAAKPPMETVYAN SRFRTAFLSAQDEMKDVDPMKDELQNFVPMTPLLVPGAADAASPMITWGSIDATPVIL REDALIGTEALHGYHQLDGLPCMWK H257_04005 MAQSLSKKHVLEEDEYVDALDKIIERDFFPDLQRLKHQTMWLDA LATNNRRLIQEVREYLLHQQSSQDASRSSSHPDSVPKTRTPLLATSESAWDQPTPPRQ SSDELNVDLDAAAAATSVESTSNATTIVTTTSTMTLNEFIAKHTSEDNAAFEELQEKA VNDHKRKYHWAYEDTANRGDAKLHLLNDGTWISRERRQLMDKACDTKLALEDSRPAAP DTWTFRVRNPLHFPPDLDTNRSICKVPPLNAPPLSSALLQLEHGGTAPTLGAAGDGNP SAASVVAANGTKRTGAAAKPPMETVYANSRFRTAFLSAQDEMKDVDPMKDELQNFVPM TPLLVPGAADAASPMITWGSIDATPVILREDALIGTEALHGYHQLDGLPCMWK H257_04005 MAQSLSKKHVLEEDEYVDALDKIIERDFFPDLQRLKHQTMWLDA LATNNRRLIQEVREYLLHQQSSQDASRSSSHPDSVPKTRTPLLATSESAWDQPTPPRQ SSDELNVDLDAAAAATSVESTSNATTIVTTTSTMTLNEFIAKHTSEDNAAFEELQEKA VNDHKRKYHWAYEDTANRGDAKLHLLNDGTWISRERRQLMDKACDTKLGTAFICSLPE RSSIILGSTQRTYGHISLYLALEDSRPAAPDTWTFRVRNPLHFPPDLDTNRSICKVPP LNAPPLSSALLQLEHGGTAPTLGAAGDGNPSAASVVAANGTKRTGAAAKPPMETVYAN SRFRTAFLSAQVG H257_04005 MAQSLSKKHVLEEDEYVDALDKIIERDFFPDLQRLKHQTMWLDA LATNNRRLIQEVREYLLHQQSSQDASRSSSHPDSVPKTRTPLLATSESAWDQPTPPRQ SSDELNVDLDAAAAATSVESTSNATTIVTTTSTMTLNEFIAKHTSEDNAAFEELQEKA VNDHKRKYHWAYEDTANRGDAKLHLLNDGTWISRERRQLMDKACDTKLGTAFICSLPE RSSIILGSTQRTYGHISLYLALEDSRPAAPDTWTFRVRNPLHFPPDLDTNRSICKVPP LNAPPLSSALLQLEHGGTAPTLGAAGDGNPSAASVVAANGTKRTGAAAKPPMETVYAN SRFRTAFLSAQVG H257_04005 MTLNEFIAKHTSEDNAAFEELQEKAVNDHKRKYHWAYEDTANRG DAKLHLLNDGTWISRERRQLMDKACDTKLALEDSRPAAPDTWTFRVRNPLHFPPDLDT NRSICKVPPLNAPPLSSALLQLEHGGTAPTLGAAGDGNPSAASVVAANGTKRTGAAAK PPMETVYANSRFRTAFLSAQDEMKDVDPMKDELQNFVPMTPLLVPGAADAASPMITWG SIDATPVILREDALIDAGRKTTFVIKDSSAREKLAHAMDAKNKQRSKARQTPTPLFSK KYTPTPLKSGARTPSLMFGNDMQLRASYSTPARLPPRPPSSSKRP H257_04006 MSMEADGVYKLAILGGGPAGIGILVRAARLGLLPQLLQPPDNAT RGVALIHGGPVETLGVGNLGDYIINSNTYAKSLVTSILEEKPELDPPESVQGTFLANL ATHATATRLMDAGNTTIALAELGKFLGAVGQEARLEMLKYTASSTCYVNTTALRVERI VATAPSVDGSSVEPKTSHVCKITIQPAGGTATCIFAESVVLAMGGKQSLPTTDLSASQ LAKTWLSDAVLREPGRGMLASALAAAPQKKVCIVGGSHSAFSVAWTLLQKPTQKDKTI SFAAKDITILHRAPVRCYYATKKEAEADGVVVDKLDKCGSVNTFTGLREDAKALFQAI EAGKETRVRLFHVRKQSAPVQTQALDAATAIVWCCGYGTNMIPLVHGDGTPLEVSHSR GGAVEIDLHGRLVRAETHAPIDYLFGIGVGFSLRAAFDEMRTETRADGVTVYHRRGAT LILASVFGTVPVYGHDCVTFEQMVEKCERRRKKDDKTVTSPSAASHHRDAASLQRLAT AKQISLDRTTPPSPAAPAQTSPAPPSKLKVPVSTASTTCHGATIVVTVPLIVAPGTVK KGATGNGLSATKSKHITSSPSRQTSLTRRSSFGEVRRHTSHSNLRIDPTAAPFSSSVP QAPTTPSKDGSIVVSARSCGKPAARSVAGGAKDAIQSIRSVRRTPRNQDESPPVVNVE PAPPVAAVP H257_04006 MSMEADGVYKLAILGGGPAGIGILVRAARLGLLPQLLQPPDNAT RGVALIHGGPVETLGVGNLGDYIINSNTYAKSLVTSILEEKPELDPPESVQGTFLANL ATHATATRLMDAGNTTIALAELGKFLGAVGQEARLEMLKYTASSTCYVNTTALRVERI VATAPSVDGSSVEPKTSHVCKITIQPAGGTATCIFAESVVLAMGGKQSLPTTDLSASQ LAKTWLSDAVLREPGRGMLASALAAAPQKKVCIVGGSHSAFSVAWTLLQKPTQKDKTI SFAAKDITILHRAPVRCYYATKKEAEADGVVVDKLDKCGSVNTFTGLREDAKALFQAI EAGKETRVRLFHVRKQSAPVQTQALDAATAIVWCCGYGTNMIPLVHGDGTPLEVSHSR GGAVEIDLHGRLVRAETHAPIDYLFGIGVGFSLRAAFDEMRTETRADGVTVYHRRGAT LILASVFGTVPVYGHDCVTFEQMVEKCERRRKKDDKTVTSPSAASHHRDAASLQRLAT AKQISLDRTTPPSPAAPAQTSPAPPSKLKVPVSTASTTCHGATIVVTVPLIVAPGTVK KGATGNGLSATKSKHITSSPSRQTSLTRRSSFGEVRRHTSHSNLRIDPTAAPFSSSVP QAPTTPSKDGSIVVSARSCGKPAARSVAGGAKDAIQSIRSGTGQN H257_04007 MVLSSLCCRRVAVSAVRLSRTASTTRLFRPVGRWNRHPFTATYS TSRDLPASTQSPSFQTTPASSPPSRPPHKLDATEMAALAHTKNHMRDLCNRGEFAAVV QEVAKWYQPLASALQAGGHHRIKRQSKATTAPPPLSSSQVLYNAEMTAQVESEAMALL VQHRATDAALLLFDNLHEMATASSLVMPKRQTMSFILGVLTFNRQFSRVLRAYDLSTS LSIFPTESMNANYLSALVRTTQFDVAASAWRTMCARKYPRGLYAYREALHIFSTLNDA KEIMAILDDVDIHGIKLREVDYARAMLGLASAIRADVDQSYASDCADLILDLYEKMQT FENIPPTSPELFGSVMEAAVYIHDFDMAVTVYEDFGRLPYRAKSVDYISGPFVEALIS SGRSNKAMDMLQNAHAQKHHLKASTIAGRVAFYYASRDLDADLMAVLAACPPSLRLIF RSDKEAMTVLHKVTRTMTMHEVDLWTFLASRKELLSLDTHLWWWKALNMAEGAKKWRL LRLMLTDPLCPPHVVKPQQWRSMLKNCARRVGPDDVEGHAFVVYVAKRLGTRNELTPA QLEALVTAYSLTNDHASAIATFRQLHKLNLSSKQPPTQATVTAYESARKSFVNLGYDA EANQIDAILTLQHHVVPKT H257_04008 MSHSAIEQLAATTTPRRADPKRRGCLLRRHHEIRYAVIITDRAP MTNEPEVVHCLFCMHFGREVLSSVVRTRKPMKKVKTFKAPFRVDHFTQHHKIHHLERW EYYCSVTDDEKKVYFSSDPFASDEVMIDLPLERLERTRGPYKVAPKFISSKAASDPAK DARITTPASAKLDESAVQYPQQSIPGRFDKAEVEQIFMYTQQRQQADLEIARKNLELK QIEVISSSMMARQKMLDAGISQEHVDRLIPFPDGMGTQSVSL H257_04009 MDNSTDSAEEPNGVIWALLEDEIWWPVLMLGSHPLWSMTDPNGP TVYQFGTHAYTRDDTSVALEHSKCLHGQPVLAASTDKVLNRFAVAVAEANEFCIAFTH ASAPPPSSKPTTLPVLVESKSVGDATPPAVEPDMADIALHEMCLQHYWDCFLSSPSNE SVAAFSSRLDATIATLIAVVIFVSKNPLRKLPSTTMQTIHRTLWRMDLP H257_04010 MGKRTKHMQTLRLFNNRLYETYEDEALYRIHLAWPILLPRVTAP AQPHSPNLSSQEESRTVAAASSWDDVAPPAAGSHDGTNDSMRTPERDPSMDIITPPTP RPTPKLQSQWPVDDVVSPATTSTPMKRARDDTTNTTEEQQRWNPKIRRPTPTAEEYTV DFTAGQLGLVLQTRPSGVLVGTVLPNSQASRAAVIKKGDVLIKVRGIAIKSKIEIVER FVGSTVRPLHITFRRELAE H257_04011 MDSSTDSATEESNPSNGSHPLWGMADPTGPTAYQFGTHEYTHAH VMTRRWRGVEHSKCLHGQPVLAASTDEVLNSFAAAVAEAKEFCSIAFTKGSVPLPAPQ QTTSPVLIESGCAGGAAVPAVAAAAVDTHLGDIALHETSLRQHWDCFRSSPTDQSMAK FSLSLDATIGTVMALATFLSTNPLATSPPTTMRTIQRTLVMSVVFDAWTRRNMDVMLL LPDKCLVHGFFSVLLWSLHSVVVPQLEPNVVKQWARLTTTVSNDISETTLATWEMVQT FFRHAASDPTCAEDVMLSFGWRTRHIPTTLRQFQVLHKMHEDVLQGFDLFWTGRPIPN GSVTGSLPRCLSLSSQTLPRPMVATYNDVAAPTTGSTQVNATHVTPQHSDMSRTAPSI VEYTVAFGCGPLGLSLKPLAQGIVLGDVLPNTPASRSGVIKKGDVLVKIQGVAIGPQI ESIARLVKSSVRPVQLTFRRPLGHT H257_04012 MTDAVATKLNELVIDADVGDEGEDITLDLPAAVLTRVNHLKALQ EQHGVLEAEFEKERRLLELKYEKLYQPLYSERALVVSGDKEVATAEDKAAETEETKTV GIPQFWMKAFLNHPETEQLITDRDMPALEFLKDVKSESSTENNGFRLEFTFAPNPFFE NTVLTKAYDVAEGPDGDALLKNIQGTEIKWLEGKNLTEKKKKIKQKAKNGGQTRFITK VEPCDSFFQLFSTVDIPAEDDEEENEDVMRQLDADFQIGFTIHETIVPQAVLWFTGEA QLDDSDYEDDDDDEYDDEEEDEDSDDDDAPRKKGGKKPFPALEGAAGADSTEKPPECK NQ H257_04014 MGKDYYQVLGVKRDASDDELKKAYRKMALKWHPDKNVNNKEQAQ SKFQDVNEAYEVLSDKQKRTIFDQYGEEGLKAGGGNAPGGGENMGGGFFPGQSAHFSS SGGGFPGGFAFHSSDPSKIFEQFFGTSNLHEAEGADPFSGMFGGGGSGGRRQHSFFGS DPFGESMGGGGRGKRPEALKRDLDCTLEQLYTGCVKKLKITRKVYDERAQGFREEEKI LEIQVRPGWKAGTKVTFEGEGDLMPGRIAQDIIFVIQEKPHAKFRRDNDTLIYTAKIS LKDALVGQGTLTIKTLDDRELHLKLDSVVTPTTRKVFVGEGMPSSKDPSRRGDLHVHF DIQFPTRLTPAQVDLLRQAL H257_04015 MPAAAPHVDPSTPAAATASYEMESLIRRNAALEDLVRRSREELV RFGSDAERSEERLTNKLLARLGQLRLEKEKLAMNVEREEEFLTNTLQRKLRQLQQDKV DLENKLESEQEFMVNRLQRQLEVANNATADKVQLENELEQEQEYIVNRLQKQVLAVKI EKKKVEQRMLDEMVAMVSSLEAHAEDPQMMKHALATAKEALHERVKAHKATGVAQDTS DTTSLNNEVLLESVSSRHHLNRRGTL H257_04016 MRNQQAHDSTFVRLDKQPHLCRHFRIDAMVGFGRSKKSTATFGE GIGQVDGSSLLDGYGEPTAATLYDSNNHDKKVKQRDAHSRNPEDVDNDGTKKPPVKRS WFSLGRSTKNSTHP H257_04016 MVGFGRSKKSTATFGEGIGQVDGSSLLDGYGEPTAATLYDSNNH DKKVKQRDAHSRNPEDVDNDGTKKPPVKRSWFSLGRSTKNSTHP H257_04017 MATSWFSTKVNLSTIVSQGLEHVTKLKDDVEKQFDEAVSGKGTT KLPLVPSTSSAAVEQQPLPPSLFQESVPSTATPLVDLAPSPAPSQSGESPPPPASEHI NHEAEQTSEDEVLPGHNDAPVPHASGASNELQTTDSTRPSTSSEGADTSPILCILAPS TIDQSSEPASQLLLLESNSPQESSPLLAPSPSSLPSHTNDATASDASGWHTSLDEMQP DAAENAALLAIVQAIPPNPANTSEATSTTAEPPSHVGFDVPPSDSATTTATPSVESSS PCDNTIPPTLSSVAEKVALPLEASLFLQKELAHVQSELRKTQTVLSERENQLLSSSAA MSKLHEELESMRNHVSPTPAATTTNDAAVIYALQVALADKEMQLSNLLEEGEALSKKQ AAFESRLRALRKEKTDVMDENKKLTAALETATAKWETARMHLVTAEEDAKLHAQLLKS LDATDAQLQASEATLAATKQRLATAECHVEELVAENDALKARTQLEAVQDREALEATI AELQASVTRVEAEASAREDQARLALHAMKQRWQEAVTRMDHLTHTTSDATQPLLRQLQ LVQEEQRVQELRRISFETDMQERVDTAARDVAALQAQLADETSRARDATTQASALAEQ VQALLANLDAEKRTVETLRQQLETDGHRRQQLEQQLEALSDENRQIATRLRLEQDQHE LQVTQLHQQEAQRAQEVTTLRQKLCDVVSNSMVRRPSGGSSSILPTSPLLDVPSPAFQ PDPQHTTTSSIGHLERKPSGDVIEDMSMIEWNQLLQKVRLRESETALLKHQLHTVEDA RKSASDDVVRLSTRNAALEAAAADLAVTKAALAAMEVKQHVLLELLGEKDEQVEELES EFREFKQMYQNQIDTLTRR H257_04018 MEVLPPPMPEATICEVCSLDCPCDDVYMTVFSVHICPDCRYGNP AYKLLTKDLAKKTYLLTDSTMETLPCLRKPNPKHEAFAPLRLYLQKTCEATAIRQHGS LENVAVEKKKRECAKYEKAVARTKSEVSRLGTYGKRRKDKHAGGGAVRITRRPVIKEV DHKHTFENERQNDDGTWLKECACGLSINVHKVYE H257_04019 MEPPTHHVQESTVNSFRLGASFDPRQQLASLGGAECPSYQAVAF ASAPTSRPCFRIDGVIATNPPTFSAWSTANVARAMLSYQSISFNNLWIDGFYTQCTLL LRQHILQHKTDIPMVIINVQCSPEEFTLVNEDPGVRAVQFRHQVAFEDFFRAFLEHTL PRLPLVSDDDSNEATLSDSDSSIHSRSLTNDEHDTVVGFKRRRRDGVVETSPLGQNRI AFDEFSNAIWTSMPNRMASPVRQTCLGRTIADDSAPAGRSQHATFDTVSKYFKTGNTT ATSQQKPWDHRPMSYTNPLSKLHPWMKQRLSVLVQNARTIQSVAIQLPAAMLQHLDVL QQVDNKFILVQCKAPLLLLCIDQHAADERVKLEALENAHLSAAFPSRSLDKLHVLELN EIEKQVVRCHGDSIRHWGFEVVEDGDVDKWSLARVPVVDHREATCDDFFEYLHLLATM AAPTLPRPPAITRFLHSRACRSAIMFGDPLTREECQTLIRQLSTCRLPFQCAHGRPSI VPLVQLTESD H257_04019 MEPPTHHVQESTVNSFRLGASFDPRQQLASLGGAECPSYQVSRL RHHMWNERRHIIFQAVAFASAPTSRPCFRIDGVIATNPPTFSAWSTANVARAMLSYQS ISFNNLWIDGFYTQCTLLLRQHILQHKTDIPMVIINVQCSPEEFTLVNEDPGVRAVQF RHQVAFEDFFRAFLEHTLPRLPLVSDDDSNEATLSDSDSSIHSRSLTNDEHDTVVGFK RRRRDGVVETSPLGQNRIAFDEFSNAIWTSMPNRMASPVRQTCLGRTIADDSAPAGRS QHATFDTVSKYFKTGNTTATSQQKPWDHRPMSYTNPLSKLHPWMKQRLSVLVQNARTI QSVAIQLPAAMLQHLDVLQQVDNKFILVQCKAPLLLLCIDQHAADERVKLEALENAHL SAAFPSRSLDKLHVLELNEIEKQVVRCHGDSIRHWGFEVVEDGDVDKWSLARVPVVDH REATCDDFFEYLHLLATMAAPTLPRPPAITRFLHSRACRSAIMFGDPLTREECQTLIR QLSTCRLPFQCAHGRPSIVPLVQLTESD H257_04020 MQTLRLSMTSNATFVRTRPRRRQKSRTLERSLARTSGFPSSCRW RAGMLPNKFCTVTMVPGRHFFDDTRPWNSTCPFYHARQSTCCLRAAHSDDFSVSVPRS IVSAFDGDSG H257_04020 MQTLRLSMTSNATFVRTRPRRRQKSRTLERSLARTSGFPSSCRW RAGMLPNKFCTVTMVPGRHFFDDTRPWNSTCPFYHARQSTCCLRAAHSDDFSVSVPRS IVSAFDGDSG H257_04022 MGKRFRVVEEGFVDGKWTFDMTKELAICRKIEATLRETPIEEPQ LTREEEERIKQRQQVRAKKLDKQKKRTKK H257_04021 MWPRRRSKKRPHATAHPLPPMAAASTHHHTICPTFQNDSNNHNV LDATTSSTDIIHITATPTSPPTSAQQPAYHTLLSYSTLVQDHTVDLRAGNFIARSKAR WASRLQRPPDTVVARHRLEESAALTIQCAVRTFVAKRRLHSARQACLMLQEDRAASIS IWRTCLQAAWSAIVTTQRPAAPAIRALFPLENDHHFALPSTSSYYTLAATLLQKHLHF RAAIRLARHVRQPSPLRHLITDAVVRTCTLMHHIRKHIGYHAALVVQCKMRCRWAVQR HAHLYQVWRRHQSALTIQCAFRCHLARRALVGRRFAAAATTIQCAYRSYVARTLAMRL LYRRSAQRLVVSVLSVTVPVVAITICHTAARRIQRVQRGRAARSRFRTLALQNETTRL SQMPTRGYLLYASGAYYEASLLLEQSFLTGWADGDTDNDEMFWIKFGTSHFYAFEASG DRSHLEKTLAAFRRLLPKASDVERPAIANDGGIVQPIQPSTWLVLGLVYATALFYNQS FAPCLALCDAVLNVACTTSEPLDKEWGATAHVLASNVCFQNHAMDDCARHLAATLALG PLKTYSELALRYMLATVYSLLSKERDFVPIPSLAVEKVSTLGDPQQPANAKVAIQDEN NLENTSAVDPDETQSVTKVLVESNSIEGIVAVAAAATEPSPPPETEAERYARLSAVEY ATCFSIVELWPDMGFYHGVMAASVAESLLQQTPPGTFLVHRIKASSSHVYVKVKWDDG AITTMKVTRGGNGDSTDNFYRNPNSPDAADRTLLGFLSKLPRAAGISLRQGLRRRSSS SRSESKYGVEWSQWEEWHLSDHAWLHAAHLWDQHSGYVFSGFLASTCPAYLQFQTTRA AHAAAAKTKHASSSKRGWSGAGGWHTSKRHSTGTTDGTPGEIRAPAGEACLILAKAAR GIGKLTDSLILIQHAVTINPSYECIRRSWSAKASTLAAAMRRVQKLDRLWSRALAFDT YTARTRGRPQDEVLLLECIYRQHFHALATVDIHRRLVRAHVRAYCINGFDFVHLNLAV RSMDALAATFERQHKSTFAVFPVPIVRKYGPSVPMPPPSAFRKEPSALLSNVVAPSSS KGTKGKKPKKKGVTATATARDSTTSTDSQPKQKVLQKRQTLLPPTLQSTWPVEMLAEM AEVTYRSLQLPRAVDSLQVLARRIVTTPAYSHLYRLTLLRLAFLFARHLQFDLAIRTM TTLHDTVTARGAAAVVAAVPKWPVPMPFEFSATDVRFMRGAVHDMAAATATDSAASQQ QSQANAWTDFAPLHAELVRQVQTLLRDEQAATDTVEFRATHVSGVVVTVGTCQGLHIP NILTSNVRVVVTMDHHRPKSNQLHTASTADPPSWETMQPNWNHQIVTIPASTKHSMVT VQVVNKVHLRDIPLGYMTLPLSTLFASSRVDIKPWRLLAPDTSSAHSNFTLHSSSRAA PTLEVGFQLTTVRPQFTNDVKEKRHIAFALGDFLNQPFVWHSFGARLMRLSDHFLARH FIVQALRRLPPPHDLRAIHMMLDVARCDLACRGNAANATKQQSSSVASAIEWLQKAHV AALMLEPRHPHVENAILDVMQQAMMHDSPFERKLTASLTQPLAADYVAVVSEHGQYFV NKDTGDCVLDEPLGYEVQAQKPPLRRMVVFNDSMKQRVLRLRRDMKDAAAADPDQWVA MFDDFHGRMFFVSQVHSMQSYTTPPKYIMQGDEMTVYSVLVIQDVFRARRRKAALRRR FRRAVWAVGVGLYMLEKWKARVIARAIRAAKVPLTCIRVTVHRADGLRTADRVSSDPF VQLSLPGCKLRRTAIRKATLTPEWDEVFHMRYAWVDHELAMQRHQAVVASGHHRHNDD DDDDDVGHGVLEKVNMRRVTSLLEHNLALNDDKTNVDDYNSSEHDDTIGDDTGDEDDD GPMLTLTVLDYDTPTRGSVESSSDFLGQASVPLDALDHGNAISATLTLRDEDGYLSPR SRGTLEVTVQWISYSFPLRLKVAMVAVHAISRMDGLKRRLYLERLKSQVAPRKPKPTM SDDHRMVLELITATWHDALVKLADTIVMADQLQRLVLRLQEARKSHTNAEEEEHIERR LHAVIRDQFGGKRQAVESAMGIVAKGLRSFAKSTYEEVAEYVGSGTDAVLKEKVVLWY ASIGYVAGQSTPRPDTVPPPAIDTYDCIMQFVLTQKEKFVTWETCLRGVVEEGFVDGK WTFDMTKELAICRKIEATLRETPIEEPQLTREEEERIKQRQQVRAKKLDKQKKRTKK H257_04021 MWPRRRSKKRPHATAHPLPPMAAASTHHHTICPTFQNDSNNHNV LDATTSSTDIIHITATPTSPPTSAQQPAYHTLLSYSTLVQDHTVDLRAGNFIARSKAR WASRLQRPPDTVVARHRLEESAALTIQCAVRTFVAKRRLHSARQACLMLQEDRAASIS IWRTCLQAAWSAIVTTQRPAAPAIRALFPLENDHHFALPSTSSYYTLAATLLQKHLHF RAAIRLARHVRQPSPLRHLITDAVVRTCTLMHHIRKHIGYHAALVVQCKMRCRWAVQR HAHLYQVWRRHQSALTIQCAFRCHLARRALVGRRFAAAATTIQCAYRSYVARTLAMRL LYRRSAQRLVVSVLSVTVPVVAITICHTAARRIQRVQRGRAARSRFRTLALQNETTRL SQMPTRGYLLYASGAYYEASLLLEQSFLTGWADGDTDNDEMFWIKFGTSHFYAFEASG DRSHLEKTLAAFRRLLPKASDVERPAIANDGGIVQPIQPSTWLVLGLVYATALFYNQS FAPCLALCDAVLNVACTTSEPLDKEWGATAHVLASNVCFQNHAMDDCARHLAATLALG PLKTYSELALRYMLATVYSLLSKERDFVPIPSLAVEKVSTLGDPQQPANAKVAIQDEN NLENTSAVDPDETQSVTKVLVESNSIEGIVAVAAAATEPSPPPETEAERYARLSAVEY ATCFSIVELWPDMGFYHGVMAASVAESLLQQTPPGTFLVHRIKASSSHVYVKVKWDDG AITTMKVTRGGNGDSTDNFYRNPNSPDAADRTLLGFLSKLPRAAGISLRQGLRRRSSS SRSESKYGVEWSQWEEWHLSDHAWLHAAHLWDQHSGYVFSGFLASTCPAYLQFQTTRA AHAAAAKTKHASSSKRGWSGAGGWHTSKRHSTGTTDGTPGEIRAPAGEACLILAKAAR GIGKLTDSLILIQHAVTINPSYECIRRSWSAKASTLAAAMRRVQKLDRLWSRALAFDT YTARTRGRPQDEVLLLECIYRQHFHALATVDIHRRLVRAHVRAYCINGFDFVHLNLAV RSMDALAATFERQHKSTFAVFPVPIVRKYGPSVPMPPPSAFRKEPSALLSNVVAPSSS KGTKGKKPKKKGVTATATARDSTTSTDSQPKQKVLQKRQTLLPPTLQSTWPVEMLAEM AEVTYRSLQLPRAVDSLQVLARRIVTTPAYSHLYRLTLLRLAFLFARHLQFDLAIRTM TTLHDTVTARGAAAVVAAVPKWPVPMPFEFSATDVRFMRGAVHDMAAATATDSAASQQ QSQANAWTDFAPLHAELVRQVQTLLRDEQAATDTVEFRATHVSGVVVTVGTCQGLHIP NILTSNVRVVVTMDHHRPKSNQLHTASTADPPSWETMQPNWNHQIVTIPASTKHSMVT VQVVNKVHLRDIPLGYMTLPLSTLFASSRVDIKPWRLLAPDTSSAHSNFTLHSSSRAA PTLEVGFQLTTVRPQFTNDVKEKRHIAFALGDFLNQPFVWHSFGARLMRLSDHFLARH FIVQALRRLPPPHDLRAIHMMLDVARCDLACRGNAANATKQQSSSVASAIEWLQKAHV AALMLEPRHPHVENAILDVMQQAMMHDSPFERKLTASLTQPLAADYVAVVSEHGQYFV NKDTGDCVLDEPLGYEVQAQKPPLRRMVVFNDSMKQRVLRLRRDMKDAAAADPDQWVA MFDDFHGRMFFVSQVHSMQSYTTPPKYIMQGDEMTVYSVLVIQDVFRARRRKAALRRR FRRAVWAVGVGLYMLEKWKARVIARAIRAAKVPLTCIRVTVHRADGLRTADRVSSDPF VQLSLPGCKLRRTAIRKATLTPEWDEVFHMRYAWVDHELAMQRHQAVVASGHHRHNDD DDDDDVGHGVLEKVNMRRVTSLLEHNLALNDDKTNVDDYNSSEHDDTIGDDTGDEDDD GPMLTLTVLDYDTPTRGSVESSSDFLGQASVPLDALDHGNAISATLTLRDEDGYLSPR SRGTLEVTVQWISYSFPLRLKVAMVAVHAISRMDGLKRRLYLERLKSQVAPRKPKPTM SDDHRMVLELITATWHDALVKLADTIVMADQLQRLVLRLQEARKSHTNAEEEEHIERR LHAVIRDQFGGKRQAVESAMGIVAKGLRSFAKSTYEEVAEYVGSGTDAVLKEKVVLWY ASIGYVAGQSTPRPDTVPPPAIDTYDCIMQFVLTQKEKFVTWETCLRGVVEEGFVDGK WTFDMTKELAICRKIEATLRETPIEEPQLTREEEERIKQRQQVRAKKLDKQKKRTKK H257_04023 MSWFGLGGSSTPKEEPVSSYSDSTFSSLDEQPSTNYTSAVSSSG GTSVNDIIMEEQQKVLVQQAIAKITAIAWDKCSAAKPDTSLSSSEVTCMQNVASSYLD SSMFIIRKLNGGR H257_04024 MTNRQVLLRAHWLVKASRKAWNHQARPSFVASPYSVMSFNPALL QDVAAVASTCPNEHSTPALASPVVPRLGGVLTEKLQASILTQSNLKAFDKVVKTVDDL LAKQVTINDVVWSRYLQALNHLSQFDETVARFETLLAEYGTSMWRHDRMYLVHAALFA AKNLRRGDLALTLVQESHRHGALYLQNRHYFDALWANSIVLVAGKGNTHLPRYTYHAL PNALAIAHLAAKDGFCLPPFVWLELIEACVRHNHPSETILALTGLMASHTPLVLPNAR TLQRALATPTHARRHELSMQMLRQWIPHLSRYAPQDASRICETVLKPLCRLGMASRPL PRSADAATPKGSHGADVTELSQANALILVSEIVDTMVRHDLRFHPYVLTEYYLPVAVP SLSAPLFLAHVGSCGPHTLVLNAYVLQVALREYTSRGQVEDVQCLLQAALDHEIEVTW TSMESILNMFARVGAFDAATSLVESILNDTASDTEVRSTDEMPLFLYRHAMHAYMESN RLEEADAFFKRHLETRQDEFGKAMALVAAAARRLRERAVVPSLPEVKDQKRPKDKQLQ PPRA H257_04025 MATKVEWSDDEGDEFTYMWLEGDSLAPPCQSEYDVVHEILQLAN VAADDVVFDLGCGDGRICIAAARRHGASAVGIEIEAHLIDKFQRKIDRYNLQDRVRVL HGDLLEADLSSATVIVTYLLPEALVQLIPTFEVALARGCRIVSNSWHIPGLVHQAKKD VGHFDNVPLYLYHGSSSR H257_04026 MGLRQRFSRGVPAAMTSTKPARGSFEPPKPKTWDYYLLLALLVF PVRAIVYVAPVALVVLALDQWQWFHPTPLNPWVATPLMLYCFVEVVFTLYHHVSKTSL ASPQASPPPLRKQFSHHTAPHVGLFERCMADIPDVKIFVEEWFYGTPLERLTRHDLRR WLAYVFYSDDVPTDCPKYDWTAIDAMVDQLYIRAGVREPLAGEGQLNSSNPCIRHTLD EFECAERPLIAYVVTMGMDVLAALVLAQLGFERHVVGTGCSYWYRGGSTPKMSAEDPS EDPVVFVHGIGAGLLMYIPMVLRMAAECRTRQLFLLELRYVSMQLEANVPSQDDTLGA ISHMLAAHGVASAHWMGHSLGSVVCSWVCKDAPQWVSGLTLIDPVVFLLCRRDVAYNF LYREPTTGLQVIMWYFVSQELHIAHAIRRHFWWYNNILFPEELPRHCSTNEVAASIFL SSCDQIADTPAVHALLQRGVQANSPDEPHASIRVTMWEGLTHGESLLRPHYYSMALAA LHT H257_04027 MGCVASSVEPVGISVETHKFVNANKAGTLANSARVKLKTKEDDV ITESRQLGTVFFGLNLPFNEIDVRSTLGAGNFGVVYEAYCKGKRVAVKKIYLRDTSEQ STELVDFAQEVDILSVLIHPNIVHFLGAVQEHPNYCLITELCEGSVLDLLKLVESKKA RATWGLTLDIANDCASACHYLHSLNPIVLHRDIKAENLLITELFRCKLSDFGLSRSLE KDAIANTVCGTPRWVAPEVYRGDVYSEKIDIYSYGIVLWELFCFKKPYLDQDPINLPY MVVHKNLRPPLCAHIPTVLHDLMKACWHPDPSQRPSFHEIRGLLDAARKQVILSLSID TTVSYDEAVAIFNRKSSNGMRVGFGLKSGLL H257_04028 MPGAADHKNGNRDDDGTPPSLVSALIEADLARVFRFLCGYAARA KLRRLERELHLKSQVMASHAANATTNVPEAWGAFATDAYEIMEVLSEGETEQVDALRR EILAVTRDVGAAKADGPITCNDLCQLLKDMSLPLSKVEVEHMIWEVDEDMDGCVSMDE FKTMFSRCVQDHHGVEPTQLYHLVQFLIYDQDFNFKLTVGEIAQRSNVRHNSELLTRQ LSSLFGKEAPESLVSLPTYWAVVNTTIPNLFN H257_04029 MAFQARHRWIIQKIHIGLGFRDEGQVEELMKTNGIMDALSGFFH PAGPTRIFFYYQPRVTDMDDRPSLNNTDNTSEISESTPHIVARSHAPPSVATAGRDDA AAPVSELFITDGCDEPLLARAVYFLKKVKPARAPIVRMRQPQQTDMAEDQTALVTINP AVANDGLLEFGVLDKSVLVTMETLFSQLYLPLLNARDECEWGQADVESKNEFLHGMKS FLTDIQGSLKTMSAGLELRKPDKRYDASDSRNLNRYAGDEAAVAHFVELVQDWCRQTE AYLDDSDQTRWESSESGPDTELEYWKGRLQRLTGITEQLKTKECKMVISALTIVTKQH DVGLDKQSVFALLRQWKQIDINITEATNEAKDNVKYLATLEKFIEPLYIGTPGAVIDA LPALMNAVKMIHTIARYYNTTERMTKLFMKVTNQMIALCKASINGTHPPEYIWKQDPE TLLESLETCLRLNEAYQEQYRLTKDKLLTMPKGKQFDFSETQIFGKFDLFCRRVIRLI DMFSTIHQFQSLADHSLEGMHGLIQTFQTIIVEFQANKHDLLDYHNNKFDRDYVEFNV RISDLELSFQHFINQSFESITSIEQSLNLLKQFQTILQRENLRNDLDSKFTVIFHNYG LDLTTVQEIYEKYRHDPPIARNLPPVAGNILWSRHLLRRIEEPMRKFESNPSVLATKD SKKVIKTYNKVARTLVAFEYLWYEAWCRSIETSKGGLHATLIIRHPQTDKLYVNFDKE ILQLIREAKCLDRMGIEVPDNAKMVMLQEDKFKMYFNDLTYTLREHDRVISKIIPIAA ALLKPHLEDLQAKIRPGMVTLTWTSMNIDAYKMQVHLGLQRLEELINSVNDVIENRVE KNLKVVSKAVLVSLPTDQSFALDDFVRAQEKNVTVVTTMLAAKNTEVENAVDDVLRLI GDFSLDMGDATPGSPAKGEGGDNRLAQDERTDCQVTFRNHYKTLMYRALVNCTKTSLN AIKKRVCSKAGTGFLFLERPFFEVDVQLSVPSVRLSPSLDDIQRAINRSAVAVLKCSK TLFTWGQQDLFPESSRVTFFDRLGCDTEIIKVALLLTGALHGTKNQVHDYLGAFKKYD WLWKEDMEFRYNQFIKRNPTIQDFENELKNFMVVEAEINSIAPVHNIAALSLNTKNLK LQLRNECRQWKVQYSDRVHQQARLALTNLMEYMRVTNTKLNRDVESLDSLRYVMMVLK EVRERESSIEMEINPILDMYEMLEHFLPGGYMNKEEMDQKSVIRSTWRKLVEYAEEVT DNLSDVQGKFKKQLTKDVKDFQADVLQFRTEYTVNGPMVSGIKPTEAVERLARFKDSL ALRDRKLEVYAAGEELFGMRPTEYPELTKTRKELALLDQLYGLYMDVVQTMEGYRGIY WSAVPSMLDDMTSSLNVFDERCKRMPKKLCEWEAYRLLRKDISDMVDMLPLIRELSAD CIKLRHWQDVVKLAAAPLPVESEAFKLKDLLDAKLLGHKDDIEAICDSAHKQLQIETK LKEIKEVWTSAAFEFGEWKSRTIPVLKGYGGVIEALEDAQLQLQGMLSLRHVLPFKDT VQTKLTQLCDTSDVLELWIKVQTLWMSLESVFTGGDIAKQMPMEAKKFAKIDKDWIKL MAKASETGLVVMCCSNEMLKSTLPILYGELEKCQKSLEGYLEQKRNKFPRFYFVSNPF LLQVLSKGSDPMAVQPYYEKMFDSVDHVVHESQDKRRILVLKSSVGSDEESIVLKTAV VAEGNIEDWLTALEHEMFTTLKALARECVTDCISLPLREFVLKSCGQFALLGIQLQWT AQSQEALQKCKTSKGVMTDTSKKQAQLLSELSSWCLTNLGTKLQRVKVETLITIQVHQ RDCFSDLVRLHKDRKITDATDFEWLKQARVYWRPAPAHDRLGPEACIIQICDVEFKYA YEYLGCKERLVITPLTDRAYVTLSQALGMYLGGSPTGPAGTGKTETVKDLGRALGLYV VVTNCTDQQRFLDMAKIFKGLCQAGFWGCFDEFNRIELPVLSVVAQQVLAITNAKRVQ AANFVFPGDAAPIRLNIDVGYFITMNPGYQGRQELPENLKALFRGVAMMVPDREIIIK VKLCSVGYDQFADLARKFKTLYSLCEEQLSKQNHYDFGLRNILSVLRTAGQTKRDHLD APEDLLLMRTLRDMNLSKLVAQDTPLFLSLLHDIFPSVETSASVASPMLPLIQDVLSK HQKVPTPAFTLKIVQLYETLLVRHGIMVVGPAGTGKSEMFRTLQVALTSSKNVPHRQI RMNPKAIRPEEMFGETDKQSGEWLDGVFASMWSKYNDRSRRDMSWIVCDGPVDAIWIE NLNTVLDDNKLLTLANGDRIPMTDNCKLMFEVEDLRNASPATVSRAGIIYVSEPDLGI QPLIDSWLLSRPSADTSAASQREILRTLFGTFVHDGLFKFLSRQCRPVLAFPRCGLVE SCLTLLTSVLATAELSDTDVAAELERAFVFSLAWAVGGLYEADDRVKFNEYLATVSSN YIPKQAKTTVFDYVVNPASMDWERWSLDTWEPPVVGGGDMDVTSVTIPTVETTRSVFL IHHVIAHRPVLLVGGPGTGKSSIVTLFCDKHRTDDMLMRKLIFSSVSSPGGFQLMVEA ELDKRGGKNFGPPHGKKMTLFLDDLSMPACNAWGDQPTLEVARQLIETSGLCFLDKDK RGDLKVIEDVQYVAAMQHAKDGKQDIPNRLKRQFFAWNVVVPTMDVVDSIYGQMLKWR AGFPRVDKSVADMAGKLTSATLHVWAFLRKVMLPTPQKFHYLFTMRDLGRIFQGILRG FDGVGVDRGLVRLWRHECERLFADRLTTLEDKTRLKDELHNTMDNLLLKPVAPMPTKE GGKNNGGSGLSASQREASQPLLVDISTGLFVDFLRDDKRDDDGVLVEEAPKVYECAGA ISTVRARVEHLVEVFNRDNPSRKVNLILFDDALFHVLRIVRALGMPRSHMMLVGVGGS GKQSLTKLAAVLGRMQLVQITPTKAYNTASFLEDWRTLFKLAGQLNKGVVFLCTDNEI KDDSFLEVLNGVLSTGEVPNLFPKDELNFIVSDLRAAMLKARPTDVDSFENLVKFFLA RVKTNLHIVLSMSPVDRRFAERCRKFPALVSGCTLDWYLSWPQDALVAVSKGFMDDFP LECAPQVKDQLVVHMGLIHDTVVDVCGEYFQKRRRHVYQTPKSFLSYLALYKTVYKAK LTEIHRSESSINTGLQKLVQGAADVEKMKGMLKGEEQKLIVAEAAANTMLENLQVKSM EAKKENDIVGKIKERCEVDAVLIRREKEDAEEDLAKAQPFLDEAERAVSGIKPNDLNE LKKLAKPGDIIKLIFDCVSLLQMYPVVKVEKSQITINKKAMDFLLDSYGIAKQGMLSD TRFLQHVFHFSKFEKDNINDETIELLMPYLELDGFSAVVAKNASKAAEGLCTWVIAMT KYHHASKVVKPKLETLRVAEGRLEAAQFGLRAAEDKLSACQTILDNLQRDFEVQMAEK ARVEENATTTRKKMEQATALIQGLAGEKKRWTEESNRFADRKLRLVGDCAVACEFVSY CGPFNKEYRDILCKLKFAKDLRDRQVPVTHDLALTTFMVDVGTVTDWNLEGLPSDPLS IQNGILVTMSSKYPLLIDPQGQGLAWILTREAARMPTTGVLSINHPKLRDQLEFCISE GKALVLDGVEQELDPSMNAVLEKNIVVKAKSKYMMLGDKLCEYNDQFMLYMTTRLPNP HFAPEVQAKTMLVDFTVTQEGLEDQLLAKVIQKEQKSLEEQLIRVQFDVNMNTKALLG LDALLLERLASNAGNLLDDLDLIGVLASTKAKATEVNDKLMAAADMKLGIDEKREQYR PVATRGSVLYFSLVDFSLVNCMYQSSLDQFLTLFKKAMDIADRASLSSKRVHNILDAM TYLVYRATNRGLYEKDKLAFVMVVALKILVTADTLDGGDIALFLKAGATRQQNHASEK PKPFAWLSGPAWTNVQQLCQDKPEFRNLVADIERNEAPWRRWYEDNEPEKVPIPDYET LLFHPDAANAKTHFLRLLLVRCLRDDRTLLAAQDFIKLVDTVETKGGRLPCMGLRFIE PVTDTTDSVWSEMTHETPVIYLLSLGADPTESIEVLARKKRVNIQCISMGEGQDVVAS KAMAAAMLNGTWLLLQNCHLGLDFMESLPETLTKATALSSPEFRLFLTSEPHPSFSIA LLHRSIKVTNEPPAGLRAGMLRSFTVLVDQDKLERIETTQWRTLLFALSFLHSIVQER RKFGPLGFSIPYEFNASDLTASMVFLEKHLYAGSLSWPTLQYMIAEVHYGGRITDELD RRLFKAYCEEWLSPGTLAPSFTFNPEALVSRLPQDFVYGIPDGSDMDEFHKFLGSFPK VDSPEIFGLHPNADLTFRVKEVGALLTTLSETQPKSQSSARGGATREAVVLDKCDELL TKLPKEFVDESSLELIQTKLGGLHVPLNVFLWQELQQLQAVMSIVRRVLLEAQAAIKG ELVVTPDIATTIHAIFDAKVPRLWLYRGADELSWFSPTLGLWFTGLLERHKQLEGWLE RAKPFCFWLPGFFNPQGFLTAMRQEVARHHVADKWALDDVVYHTEVTEYEKLEQIRQP PKEGVLVHGLFIEGAAWHKANATLVESEPKKLFAPLPVLYVTATTKLLKKNRSGDYGP YGGFDCPVYKYPVRTDRYLLFTVTMPSREHRPVHWTLRGVALLCATE H257_04030 MNELRREVLHVYKACLVSASKCPQHVHRETMRAYARLKFRDKMH LRDVQAVKLCLADAKEELERMDYYHSMYRAGQADKVTASSVGVPVLASHCPNCNHSFE SAVMRFCALCGVQRPNIVS H257_04031 MDDRNQVLEELQCIICHECLYKPLSLGCGHTFCRVCLLQAGAAQ GTKCTCPVCREPLCGCMDSLNVNLALWNVIRIMYPQGKPEADEEAAFQAAKASFELHQ QLRRLMLSAAEDNAPALNRNFHVHVGENPDDRRRYRVEIHQGVNGQEEIEILDDDVHS ALESDPDDDDDENPWVVRVETLEAEELRIRRNIVVDQQDENTDGHLTMRNAFGIVEFP SILEAYADDGQDCLVALLQLEEDEEQTEGFPVFMAESGEDDRLVLPQYFGDVRLTVCS VEDPRELVLSRTTSGSHGMIEFKNLRLHVPAGEYVFRIEDVEREVFIEIMARIVDGGG GGVRGVAGGVLDARQQQRERALRARRFVQGGGMDRPQHRTLHRYSQGDYSEDDMMYEG EENTYDSDDSFLASEDENTSSRRRRRQSDEFNDAEDLNDNDHVGDDDSGGDDSGGDDI VVDEAGEVADRHAARRVDGDDEQKQDEDDEDQVVVVPASSMKRRRRQVDSSSSEEEEE DMSSVRPLQARKRRRYAIEDASDGDDEYD H257_04031 MDSLNVNLALWNVIRIMYPQGKPEADEEAAFQAAKASFELHQQL RRLMLSAAEDNAPALNRNFHVHVGENPDDRRRYRVEIHQGVNGQEEIEILDDDVHSAL ESDPDDDDDENPWVVRVETLEAEELRIRRNIVVDQQDENTDGHLTMRNAFGIVEFPSI LEAYADDGQDCLVALLQLEEDEEQTEGFPVFMAESGEDDRLVLPQYFGDVRLTVCSVE DPRELVLSRTTSGSHGMIEFKNLRLHVPAGEYVFRIEDVEREVFIEIMARIVDGGGGG VRGVAGGVLDARQQQRERALRARRFVQGGGMDRPQHRTLHRYSQGDYSEDDMMYEGEE NTYDSDDSFLASEDENTSSRRRRRQSDEFNDAEDLNDNDHVGDDDSGGDDSGGDDIVV DEAGEVADRHAARRVDGDDEQKQDEDDEDQVVVVPASSMKRRRRQVDSSSSEEEEEDM SSVRPLQARKRRRYAIEDASDGDDEYD H257_04032 MADRIQVLEELQCITCYDCLYIDPGHCLCPSCVGFMTRNRSVGR RAKTRSGTKGGAFQAAIASFARYQQLHHMANQSHGELCHVEYGAHLDDRGRPRAAIRE QPKEPGLGDEGGKPSCDR H257_04033 MAEPTTSSRGVLRWGILGCGKISNDFVMGLALVPNAQVVACASR TLDAAVAFGALHGIPQCYDSYDALCRDPTIDVVYVGTLHTYHHPHTMLALSHNKHVLV EKPMALNRRDAADMVSLAKSKGLFLMEAMWTRFFPAIRHVRSLLADGAIGDVHAVHAD MGFAFPSSADRIWKRDLGGGGLLDIGIYPLAFVSMVFPHEIPVQVHTVGSLSDDGVDV FAVVTLQYPRHRYGTIQYSCLADFREEVTILGSKGRLVIDAPAHTPTRVRVDRGTGDA ELFEFALPKPAVSASAFNFGGSVGLSYEAAAVTRAILHDRATECAEYPLSESLFLAGL MDTIRRDLGVVYDADFTPSYL H257_04033 MLALSHNKHVLVEKPMALNRRDAADMVSLAKSKGLFLMEAMWTR FFPAIRHVRSLLADGAIGDVHAVHADMGFAFPSSADRIWKRDLGGGGLLDIGIYPLAF VSMVFPHEIPVQVHTVGSLSDDGVDVFAVVTLQYPRHRYGTIQYSCLADFREEVTILG SKGRLVIDAPAHTPTRVRVDRGTGDAELFEFALPKPAVSASAFNFGGSVGLSYEAAAV TRAILHDRATECAEYPLSESLFLAGLMDTIRRDLGVVYDADFTPSYL H257_04034 MLDEPREAQYPSSSVVHRFPYQRPPSPSPLLHRVRDVTKHRHSD DLDDTTSSIIMGTKGFEAMDIESSNGLASKAAKALSPARTRMGALVVALILGVLFVVL VVSFAQTSSIRVDSDLPASVAALMNVNALPCSDFYEFACGGWLANTSLPPDRPSFSRS FSGIQDANDDLFRTILAEKWPLVSDLYDSCMNTSVLDALGAAPLGPDLNAIRSTKSKH ELLALVGALSMKSGMNFLFPAYIDVDEQRGHKMVLHVEQGGITLPDREDYVNTTRFTK LDAPYRSMITSLMTLAGWSDDTVADTVVSFETVVAQAMLPKAALRDPNATYNPTTNIS GTYPSMYVALRGANISLDDTTRVIVVTPAFFRAAETLLRQSSLQALQSVVAFQFVLAS SGGLAASFRNATFAFFGQTIYGLQTMRPRSQQCTDKVNHHLGELMARYYIQRKFHPES LESIQDLVDDIRSTFRSRLERNHWMDDSTRATAVSKLDAMTQLLGYPTTRDDYPVVLT RSDYFGNIQTLTQLNHMRAVTLLHTEVDKTRWSMPAHAVNAYYNPTFNQIVFPAGILQ PPFFDVDADAAMNYGAIGMVIGHEITHGFDDQGRNFNGDGLLQPWWSDSTAAQFQDKA KCIVDQYANMPVYGHKATLLGMVNGQLTLGETIADVGGLAVAFQAYAVRMKKAAVNGK TYTSSDTLDQLFFLSFAQAWCQKSTDQHLQVSLDDVHPPAKQRVHGAVMNNDDFARVF NCPVNAPLNPVKKCRIW H257_04034 MLDEPREAQYPSSSVVHRFPYQRPPSPSPLLHRVRDVTKHRHSD DLDDTTSSIIMGTKGFEAMDIESSNGLASKAAKALSPARTRMGALVVALILGVLFVVL VVSFAQTSSIRVDSDLPASVAALMNVNALPCSDFYEFACGGWLANTSLPPDRPSFSRS FSGIQDANDDLFRTILAEKWPLVSDLYDSCMNTSVLDALGAAPLGPDLNAIRSTKSKH ELLALVGALSMKSGMNFLFPAYIDVDEQRGHKMVLHVEQGGITLPDREDYVNTTRFTK LDAPYRSMITSLMTLAGWSDDTVADTVVSFETVVAQAMLPKAALRDPNATYNPTTNIS GTYPSMYVALRGANISLDDTTRVIVVTPAFFRAAETLLRQSSLQALQSVVAFQFVLAS SGGLAASFRNATFAFFGQTIYGLQTMRPRSQQCTDKVNHHLGELMARYYIQRKFHPES LESIQDLVDDIRSTFRSRLERNHWMDDSTRATAVSKLDAMTQLLGYPTTRDDYPVVLT RSDYFGNIQTLTQLNHMRAVTLLHTEVDKTRWSMPAHAVNAYYNPTFNQIVFPAGILQ PPFFDVDADAAMNYGAIGMVIGHEITHGFDDQGRNFNGDGLLQPWWSDSTAAQFQDKA KCIVDQYANMPVYGHKATLLGMVNGQLTLGETIADVGGLAVAFQAYAVRMKKAAVNGK TYVRHSN H257_04034 MLDEPREAQYPSSSVVHRFPYQRPPSPSPLLHRVRDVTKHRHSD DLDDTTSSIIMGTKGFEAMDIESSNGLASKAAKALSPARTRMGALVVALILGVLFVVL VVSFAQTSSIRVDSDLPASVAALMNVNALPCSDFYEFACGGWLANTSLPPDRPSFSRS FSGIQDANDDLFRTILAEKWPLVSDLYDSCMNTSVLDALGAAPLGPDLNAIRSTKSKH ELLALVGALSMKSGMNFLFPAYIDVDEQRGHKMVLHVEQGGITLPDREDYVNTTRFTK LDAPYRSMITSLMTLAGWSDDTVADTVVSFETVVAQAMLPKAALRDPNATYNPTTNIS GTYPSMYVALRGANISLDDTTRVIVVTPAFFRAAETLLRQSSLQALQSVVAFQFVLAS SGGLAASFRNATFAFFGQTIYGLQTMRPRSQQCTDKVNHHLGELMARYYIQRKFHPES LESIQDLVDDIRSTFRSRLERNHWMDDSTRATAVSKLDAMTQLLGYPTTRDDYPVVLT RSDYFGNIQTLTQLNHMRAVTLLHTEVDKTRWSMPAHAVNAYYNPTFNQIVFPAGILQ PPFFDVDADAAMNYGAIGMVIGYHPLSYHI H257_04034 MLDEPREAQYPSSSVVHRFPYQRPPSPSPLLHRVRDVTKHRHSD DLDDTTSSIIMGTKGFEAMDIESSNGLASKAAKALSPARTRMGALVVALILGVLFVVL VVSFAQTSSIRVDSDLPASVAALMNVNALPCSDFYEFACGGWLANTSLPPDRPSFSRS FSGIQDANDDLFRTILAEKWPLVSDLYDSCMNTSVLDALGAAPLGPDLNAIRSTKSKH ELLALVGALSMKSGMNFLFPAYIDVDEQRGHKMVLHVEQGGITLPDREDYVNTTRFTK LDAPYRSMITSLMTLAGWSDDTVADTVVSFETVVAQAMLPKAALRDPNATYNPTTNIS GTYPSMYVALRGANISLDDTTRVIVVTPAFFRAAETLLRQSSLQALQSVVAFQFVLAS SGGLAASFRNATFAFFGQTIYGLQTMRPRSQQCTDKVNHHLGELMARYYIQRKFHPES LESIQDLVDDIRSTFRSRLERNHWMDDSTRATAVSKLDAMTQLLGYPTTRDDYPVVLT RSDYFGNIQTLTQLNHMRAVTLLHTEVDKTRWSMPAHAVNAYYNPTFNQIVFPAGILQ PPFFDVDADAAMNYGAIGMVIGYHPLSYHI H257_04035 MATSPVATASASSPNIATSMSHMNEGEGPWTRSEHERFLAATKL FPLGPWKVIAAHVRTRNVRQTQTHAQKYKEKLARWDRGLSRRRKISFESEDGRRPNYI ERPTDKAVKRKKAKHRSTKKALHRKKAATLSPEEPSELTKADEPDDLEPLAFSTQDCF KLLAMMDASPSPCQVDALPSLGESLDYFMEMFET H257_04036 MEPIAVIGRVHALDDKSGGDHTVGLGVCESTVTWIHHAEEKQFV LNHIVDASESPSCVVGGDMIAAAAAGINTAVLAIGQQGSGKSTTLFDPDGLIAYVTKG LLNEQCTVSLSLVEVYGDVATDVLHGKPHAFRPQFHRLIGGYGPDVTRIELTDATMLK QVLRLAVRAMAIDAIASNKTTTKSSRVVTLHVVSMGGACWSRVDFVDIAASANTRSPL GASDVGFRLEQGPTTFAECVKLLADDLPLDKVPLQASSSLVTMLSHTLGGRCKTFVIA HVIQSALHNEENLVTLRLAMLLRGINNPVAPNALGPTAIVTQLEGDLQGLRDHLEQVK RQLNRESKSLHHDSLELDHQHTRATIKNLESLVHTFQKPALERTDDWLKFKRRVDNDE TKLGTKVLAWDRTVHHIHLVRLHDDRLFSGMIGYHVAASDSKMSRDKGADVVLFGGGA GAASCELQVPEPGTVEFMVAMPGTTCLINGLPLTVGAAPRPLAHGDTLTCGRRNVFRV VNPNAPSQEDDHDNQHVRSNSRKDWLDRGAVLQSYRHPLAAGLTHEDAQRRCHVALAS ILERIASIRTMFAQSVVDLDDESDVHDVILQRGAAPPPKYMATHVRPETIRRLSAAAI AEMNVLESRIYSRNTMKLLDAVDEFDAMCHEMDTPLTVHAVPMVNHAVTTNDDDPLDR MQLSTDVWVVLENPSNGRERLILRPAEFELRLAVLRTLFHIQPPIAGTNHGASPSSPQ MPPSGGGSADGNPLQLASCEERIGVARVHLGKLAYYFDVEDALPIVSDAGQVVGHLMV QIQPHVAQNDKRTTHRKDVVKYVHRVNHDVDKEEELREAIGMGVTVAYRVNVRGARGL PPQLTSNVFVEYVFFEDSTAHATAPSVCKSRHPVIEQAFMHPVHVTEEFCQYVATGAL EFQVFGYRGEHVVTGPQKKRDEMYWMEMEAKSMQSVAKSLQEKVRSLEAKLHQQDVEL RIERRKTNTIQAIPIDGDESYQHVPQEVVEDKSNGQEVEAKDVDDMAWRRRRQFDVKV VGALAKGLNDIRSGVCLVM H257_04037 MAKRVVTFVTGNKKKLEEVVAILGSDFPFEVRNVSLDLPELQGD PEYVSAEKCRLAAAQVNGPVLVEDTSLCFNALGGLPGVYIKWFLEKTGHVGLNNLLAA YTDKSAYAQCIFAFQDFDQGQPLNDPILFVGRTHGKIVPARGSTDFGWDAVFQPDGFD QTFGELDKAVKNTISHRYRSLEKLREHATKQ H257_04038 MNNIRPSLPPLPGVDWTKRLNQNGSTFSSIWSFGEDDDIRIEDV DMWHECNQTMHDSDSQSKNDEVPSNQGDELIWIVKHTRDIHVSPPKRKYFALPAFQAL KDPIQLQPQNDCDDDVWPPQEPPKTQKKRKANTIWWPGDWKCGRCGNHVNCMIFVWGL RFLHNLTRHHHRTFPTGTFIVHNWHALAHYVDLGRREQCKRCKFGKCDAGVDDISSHL WRPILSSLPPSDPPFDVLTTRSCVSTGILCTKRTTRPPRLFCHLHPPIPHLPFVPLDT LWTLRRWSP H257_04038 MNNIRPSLPPLPGVDWTKRLNQNGSTFSSIWSFGEDDDIRIEDV DMWHECNQTMHDSDSQSKNDEVPSNQGDELIWIVKHTRDIHVSPPKRKYFALPAFQAL KDPIQLQPQNDCDDDVWPPQEPPKTQKKRKANTIWWPGDWKCGRCGNHVNCMIFVWGL RFLHNLTRHHHRTFPTESNASGASLENVTRALMTSPHICGAPSCPRYPPLTPRLMY H257_04039 MSLAVPIKDLDQWTHVKETNADKLIVVDVYQDWCGPCRVLEPTY KRISTETPGGDSRVLFASVSATLKIENIADNQCCKPRFVLYRDKKIVSDIVGVNVPTL EAAVKQNLPARKSDDDGDP H257_04040 MESTTAHVDAPDRRNQQDESHDAVGTLATTQTKTKLNKMMNAFA SFDDNMRIGTRQRRERDEHRLAEMRADMTRLEKALNAETKRRFEMNKSLQALCDDSVA AMSAKFDGVLADWMAKVDGRLQGLADKILTLEGQFEYEKVHIPEVIEARTNELTAKLS NFMDAFEAERTRRLEREAEILKRLSDHEQLVADQFSKERRDREVKATELKESLDMYTK TRLRGDGKFQLVAQEEIAKIQNLLVQESQTREREDDEIIDALNRYTAKLQDSLKLINS TEA H257_04041 MGEFDSDDEDFAVVGAYTMHEEIGRGTYGIVYVATHNTTGEKVA IKRLFQRQDSSTSDEIEVMQRLVGAPHVLQLQEVLQEQHQDEPSTFIVSEYMESDLET VIKATEAIPQVSLAHIKSYLRMILQGLAECHARHIIHRDVKPNNILLATNGAAMLADF GLAVVVPELATRVSPWSLSFQVVTRAYRAPELLFGLKQYDTSVDMWSLGCVFGELVLR KVWFDGVSDIDQLNLMFRALGSPDEQHWTAAKALPFYLEFAPTSPPSLATQFPTLPPS GVDLLTKMLRLDPSARISAADALTHPFFAEAPLALDPALLPMVTPPERGRKRRASSLE EEYGDQADEGGEGPMKGRRLF H257_04042 MRSAAAALCVMWAAMATLRGVVALGNGGGISDNHFIDDYFYDDD AISLVTASTSIPSDFLGESVVLAVEQASVYKEFMLHASRTQDVVVFMSTSPDARQVFE RAVRRLDDDALSTTRFFALPLPPPSSSPPDDDGAGFDEPIVSFHSVHSLPSIPKSGLH VVVTYPASGPRSLAVHASNAKMVQASTSEDDWVARLQSQLASFTATPAPPSSPSSVMP SMMTVAIVLYVGVAVIPKVAANWQWWVTFCQSKRIWFGLSLFGLYLSLSGTPQQIFVD VLIMWVMVCLSGWLALRRLILLNHPWRPSVPLVWPRLCPHASTAPTAVCPGRFVWRDV AVRRLRRAAHHHPRHAVPTQPRPPIPLGRHVCHGPRHHMLPRACALHVQKSVVSVVLV EGTFATPW H257_04042 MRSAAAALCVMWAAMATLRGVVALGNGGGISDNHFIDDYFYDDD AISLVTASTSIPSDFLGESVVLAVEQASVYKEFMLHASRTQDVVVFMSTSPDARQVFE RAVRRLDDDALSTTRFFALPLPPPSSSPPDDDGAGFDEPIVSFHSVHSLPSIPKSGLH VVVTYPASGPRSLAVHASNAKMVQASTSEDDWVARLQSQLASFTATPAPPSSPSSVMP SMMTVAIVLYVGVAVIPKVAANWQWWVTFCQSKRIWFGLSLFGLYLSLSGSFYSIIHG APLFHLSGQGFALMHPQPQRQFALEGLFGGMLPFVVSAALLTITHGMPYLLNPDHRFH SVVMCAMVLGTTCFLEHALFTSKNRWYRLF H257_04043 MSATAFAAEVSDAMDSIKKYSDDWQKRLLALQEIQKQFKSIENT TVTVSVDTWRVLKPLKDVIQDLRSQIVKEVCATLAVMSKITRDSMAPLVRDLLPVLVE VRGGGNKVCGAYCGECVEVLVSNVVTKGPTLRYLVDGVVESKNKGLRACCIGALTLVL VHWSAVLDKSDVQQIEVGLKSSLYDASSTCRSASLAFFQRFQQKFSKRAALLLTTVDF KVQRRLESLPLVPDSTSPPPPLHSNSNSVDDGTFDGERPHDSAAADDRQIFIDDDENA QAIAAADGDVDVGDRVCISEKELFGHVRYIGDVAGFSGPWVGIQLDHADGKNDGSIKG QYYFRCKPKHGVFVRPSQIFLTKRHVSFGQEDEGNDEYAIREEGDDESGDGCEEAEAE DDTLVAGRRDEGGDDPPLKKLLDSMLDAQRGFLDFMFLNLNVEMDHLDVFQKSAAIAS SADAIAYCDQVRNICQEKVDAVSAFMEKIVEAQQKAMET H257_04043 MSATAFAAEVSDAMDSIKKYSDDWQKRLLALQEIQKQFKSIENT TVTVSVDTWRVLKPLKDVIQDLRSQIVKEVCATLAVMSKITRDSMAPLVRDLLPVLVE VRGGGNKVCGAYCGECVEVLVSNVVTKGPTLRYLVDGVVESKNKGLRACCIGALTLVL VHWSAVLDKSDVQQIEVGLKSSLYDASSTCRSASLAFFQRFQQKFSKRAALLLTTVDF KVQRRLESLPLVPDSTSPPPPLHSNSNSVDDGTFDGERPHDSAAADDRQIFIDDDENA QAIAAADGDVDVGDRVCISEKELFGHVRYIGDVAGFSGPWVGIQLDHADGKNDGSIKG QYYFRCKPKHGVFVRPSQIFLTKRYVPLVACVWGIYIWGYTVCMASMTLVVRVSTRYC LSVFIF H257_04043 MSATAFAAEVSDAMDSIKKYSDDWQKRLLALQEIQKQFKSIENT TVTVSVDTWRVLKPLKDVIQDLRSQIVKEVCATLAVMSKITRDSMAPLVRDLLPVLVE VRGGGNKVCGAYCGECVEVLVSNVVTKGPTLRYLVDGVVESKNKGLRACCIGALTLVL VHWSAVLDKSDVQQIEVGLKSSLYDASSTCRSASLAFFQRFQQKFSKRAALLLTTVDF KVQRRLESLPLVPDSTSPPPPLHSNSNSVDDGTFDGERPHDSAAADDRQIFIDDDENA QAIAAADGDVDVGDRVCISEKELFGHVRYIGDVAGFSGPWVGIQLDHADGKNDGSIKV RSTNMTICCCCCC H257_04043 MSATAFAAEVSDAMDSIKKYSDDWQKRLLALQEIQKQFKSIENT TVTVSVDTWRVLKPLKDVIQDLRSQIVKEVCATLAVMSKITRDSMAPLVRDLLPVLVE VRGGGNKVCGAYCGECVEVLVSNVVTKGPTLRYLVDGVVESKNKGLRACCIGALTLVL VHWSAVLDKSDVQQIEVGLKSSLYDASSTCRSASLAFFQRFQQKFSKRAALLLTTVDF KVQRRLESLPLVPDSTSPPPPLHSNSNSVDDGTFDGERPHDSAAADDRQIFIDDDENA QAIAAADGDVDVGDRVCISEKELFGHVRYIGDVAGFSGPWVGIQLDHADGKNDGSIKV RSTNMTICCCCCC H257_04044 MEPGDLRTIFAKGVDERRRQEAVRLHRSAGPLQISLNDHQRTLS GSHSRPHHRGGDGPSSSRPPVMSSETIYVMEDSDNDFNGDYKGNYDTTSGGRSRSQRN TSNATARRTHSSAVHRQMSAPQLPLGSNGHNGASPATMGGGGNLIYSGWLVKQGKIWK SWKKRYFVLLTRSNTMTGEPYSTLQYYKSHRFAQLKGEITLDDPNTTVRPMDIRKSKR AHCFELLKGFNSLVCQAKDDDDCKQWVEHLSRVVQATTSYDLTKSTSFSTQSAGAAIV LASQQHHHQQQQKHHQSSVAWRQSSSKIVVDPTTRLSNELRKLLSCDNAKTSPEANKC LHFVKHFDSRSTHAFSTLQEFIQTMCAHVHAKYAASLRAACLGDLNDLDDDDDDHHMD RLIDSCIHRQVEEALFLPLHDGLYSAVRRQVNADAEAGLNKKLRWLQGKDQAFFDISP HHLSSSEWRDACKLLGHLGAYSLPIDKYNALVEAVDEIQATYTDEHPVSEFVYQVTSD GLGFNSLVPTSTSQSTLDTDDLIPIFTFLLVNSGLENLLTLKQLLTAMHHHHYHHPDK TTSMTTTTPHVVGILGAAMEFVQNVTIPAVLEDIFKEQIAFSIDGDWRLGLGFEPEST YRSGAMVKNITAHGQAALGGIVSKGHVLVTLNGTNVVLWPYNDVVGLLNMSSPPHRMA FISNPNYVKILGSNKSLWNVALVQACQRGAVGSVQMLVANGAEVNYISDDDLTPLSVA VSYFHVNVVSYLLQHGAKTKHLTALGRGPLHLLGAPQLSMDAPQSNGKQHAAAWPDKV RQIIHKLVRHGAVIDGVDHFGYTPLMLLACTGCLEGVDTLMELSKTINIDMRGWHTGC SALACAAKEGQSEVATALLDYGADPSVKALRGETPLHYAASAADLHTCAVLLSEGKAD VNARTLDGWTPLMLAVSRGALIQGHVVQKDTAAVLDTVRLLLNERANAKDICNVFRQA IHYAAVHGGADVYGFLASHPDVDVHAPDLCGQSARQLFEAHHASATTNTTTSGLTTTT TSTTTTSHGGVGSGSLNLSTLSQSSSSGMVFLDAANVASSSSSTSSMIMLSMSPDLST SLSHPPNDDVMVISDAPTILDAAPKHSCCDVVQAVGAADMLGTFLQYDHTAVHDMEAF LWTGQLHIEKSDVLAFFRSFVSDAPSTHVQYARRVVLWCLDVWIQLLQETPSPPLDVC FDISNLVIHLLPAIVETDAVLLQWLTTRKIAPFVKACQTRQPFTSHGHCDAEYTALAA YFDQARPLAFLTDAAAAAPPTTSTGSVRDRLALAGVASSTETRTPVVAAKRRFSILDR VARLWQLDIDPGLMASQITLLQHWFFQKIPVSQLMAPKKSATLTPAYDKSRLLHNHIS LWVINQILAREDVVDRGQILSYYVKVAGKCLTPLRNFDGFVAIMYALNDSSIFRLKKT WGRLPPPVRTLWQELKQWTEKGARPLHKLMKEGALPSIPYLGLVGQQFIVAQEYPDFV QNDLVNLKKMRLRGNVVRLVLPCQKTPYIFTPDKRLLDHMCAPLQLSTRDFCFNRSLE VEPRLAEVE H257_04044 MEPGDLRTIFAKGVDERRRQEAVRLHRSAGPLQISLNDHQRTLS GSHSRPHHRGGDGPSSSRPPVMSSETIYVMEDSDNDFNGDYKGNYDTTSGGRSRSQRN TSNATARRTHSSAVHRQMSAPQLPLGSNGHNGASPATMGGGGNLIYSGWLVKQGKIWK SWKKRYFVLLTRSNTMTGEPYSTLQYYKSHRFAQLKGEITLDDPNTTVRPMDIRKSKR AHCFELLKGFNSLVCQAKDDDDCKQWVEHLSRVVQATTSYDLTKSTSFSTQSAGAAIV LASQQHHHQQQQKHHQSSVAWRQSSSKIVVDPTTRLSNELRKLLSCDNAKTSPEANKC LHFVKHFDSRSTHAFSTLQEFIQTMCAHVHAKYAASLRAACLGDLNDLDDDDDDHHMD RLIDSCIHRQVEEALFLPLHDGLYSAVRRQVNADAEAGLNKKLRWLQGKDQAFFDISP HHLSSSEWRDACKLLGHLGAYSLPIDKYNALVEAVDEIQATYTDEHPVSEFVYQVTSD GLGFNSLVPTSTSQSTLDTDDLIPIFTFLLVNSGLENLLTLKQLLTAMHHHHYHHPDK TTSMTTTTPHVVGILGAAMEFVQNVTIPAVLEDIFKEQIAFSIDGDWRLGLGFEPEST YRSGAMVKNITAHGQAALGGIVSKGHVLVTLNGTNVVLWPYNDVVGLLNMSSPPHRMA FISNPNYVKILGSNKSLWNVALVQACQRGAVGSVQMLVANGAEVNYISDDDLTPLSVA VSYFHVNVVSYLLQHGAKTKHLTALGRGPLHLLGAPQLSMDAPQSNGKQHAAAWPDKV RQIIHKLVRHGAVIDGVDHFGYTPLMLLACTGCLEGVDTLMELSKTINIDMRGWHTGC SALACAAKEGQSEVATALLDYGADPSVKALRGETPLHYAASAADLHTCAVLLSEGKAD VNARTLDGWTPLMLAVSRGALIQGHVVQKDTAAVLDTVRLLLNERANAKDICNVFRQA IHYAAVHGGADVYGFLASHPDVDVHAPDLCGQSARQLFEAHHASATTNTTTSGLTTTT TSTTTTSHGGVGSGSLNLSTLSQSSSSGMVFLDAANVASSSSSTSSMIMLSMSPDLST SLSHPPNDDVMVISDAPTILDAAPKHSCCDVVQAVGAADMLGTFLQYDHTAVHDMEAF LWTGQLHIEKSDVLAFFRSFVSDAPSTHVQYARRVVLWCLDVWIQLLQETPSPPLDVC FDISNLVIHLLPAIVETDAVLLQWLTTRKIAPFVKACQTRQPFTSHGHCDAEYTALAA YFDQARPLAFLTDAAAAAPPTTSTGSVRDRLALAGVASSTETRTPVVAAKRRFSILDR VARLWQLDIDPGLMASQITLLQHWFFQKIPVSQLMAPKKSATLTPAYDKSRLLHNHIS LWVINQILAREDVVDRGQILSYYVKVAGKCLTPLRNFDGFVAIMYALNDSSIFRLKKT WGRLPPPVRTLWQELKQWTEKGARPLHKLMKEGALPSIPYLGLVGQQFIVAQEYPDFV QNDLVNLKKMRLRGNVVRLVLPCQKTPYIFTPDKRLLVRSIPLPPTM H257_04045 MESSNNWDTTDEQEVGRGGRGNYRCSRCGEPKKGHVCPYQPGNY KCIMCGNVKKNCTCGVPLTRTIAVQCEMDEDMTTRVLDLRMQGVFDVPASGPFEL H257_04045 MESSNNWDTTGIMVDEQEVGRGGRGNYRCSRCGEPKKGHVCPYQ PGNYKCIMCGNVKKNCTCGVPLTRTIAVQCEMDEDMTTRVLDLRMQGVFDVPASGPFE L H257_04045 MVDEQEVGRGGRGNYRCSRCGEPKKGHVCPYQPGNYKCIMCGNV KKNCTCGVPLTRTIAVQCEMDEDMTTRVLDLRMQGVFDVPASGPFEL H257_04046 MDALWTDDAAAATVEVGEKDSLSTRKSMKRKAADVAHVHEDHSM WKYFRGLVPRVFQPLVHATTSAGCLDPMWVCHDAVDDALEVEGTVTNIPRPIARVRNQ PSHVRGEPIQIGFGGCGGFYNYLLGVASILQERFDLTDAVFSGASAGCFPALVLAMNK NVSDFFHGANLTLIRDADKKTFMGWRDWIPLTKQHTLMMLEPDTYQKLDKKFYCSITR VPSLENELVTSWTDNEDMVDCMLTSGHVPLYHPEYLRSFRGHKYIDGSVSNNDPMPLG NLAPAHVFHFWKWRQILPHWILVSTNANWANQQFEWGRADAVAHLHEIETVLHYKDDE HDE H257_04047 MSFNFGSAPAAGGASASGFSFGSAAPAAPATAPTSGFAFGGAPA APSSSTTAPTTGFSFGASSSAPAAPATTTAPATTGFAFGGAAAAPAASTTAPATTGFS FGGAAPAPAATSTTTPAATPFNFGGAAPAAAPAAPTTGFAFGGSSKTTPAATTPAAPS TTPAAPSTTGFAFGGSAAPSAATTTSTPAAPATGFGISSTPASTTETVAPAFSLGGST STPAAAAAPSTAFSFGGAAAKPTESATSSTDKPATSFSFGGATATPSTTTPATSSFAQ PSDAAAATSGAAGTAVTLQDKPPAEYMDKTVEDIINMWSEQLESHATAFTNEAVRVSH WDTELMQNQTKLGELAIDVRRLQVAQKELNANLDTITSYQTELESTLEQLESSVDKMF ESNRLIPDAADLEREATLQLSVDIDTQLNMMSTALKETIERLNQSSQAAGGGDDTDEL HQPIAQILKVLNVHHNSLLWIDENATKLTQDMGEIAQKITRP H257_04047 MSFNFGSAPAAGGASASGFSFGSAAPAAPATAPTSGFAFGGAPA APSSSTTAPTTGFSFGASSSAPAAPATTTAPATTGFAFGGAAAAPAASTTAPATTGFS FGGAAPAPAATSTTTPAATPFNFGGAAPAAAPAAPTTGFAFGGSSKTTPAATTPAAPS TTPAAPSTTGFAFGGSAAPSAATTTSTPAAPATGFGISSTPASTTETVAPAFSLGGST STPAAAAAPSTAFSFGGAAAKPTESATSSTDKPATSFSFGGATATPSTTTPATSSFAQ PSDAAAATSGAAGTAVTLQDKPPAEYMDKTVEDIINMWSEQLESHATAFTNEAVRVSH WDTELMQNQTKLGELAIDVRRLQVAQKELNANLDTITSYQTELESTLEVQLLVDHALV FSVWITSSLATATSNWNRAWTRCLNRTGSSPTPPTWNARPRCNCRWTLTRNST H257_04049 MNSAGSGGGPYFMGSGPGNPNAAAGMNFNMGFMMNPPGAPTDVA SMHMNSFGTNTGSDVAASPPSASAMGSSQHHANNTSSSFNRGNYRCSRCGEPKKGHVC PYQPANYKCSKCGNLKRSCTCGAPQKSNVEIQCAMDEHMTVARLDRSAQGVTDFHESI RSFVEGAASSSS H257_04048 MTTPRQFTAQELGEYYHMPLKKAAKELQTYEAALIRACRRHDIP KWPYRQLAKIDRQMRFMDVVLTKLGATDDAGASDKTTACRQKLERSYASVKANTRQRK DTIEHKLRLSYILNP H257_04050 MDMDLNVVKGHVQSCASAVDALLAEVNVLRKIIYKNTSQHRRAN YFQYLVHVKRLHRGMKADKTKHMIKATLHLLDVLQVKDTNMHHVSWKVLGGDCKTNVD TVLRQLLALIDTCVEAMEAEKKAYTALGMQYAMTFFMPFCVVATSLVGRLYTLHQTLL VRFVEAHHAITLAYLAQTILANPLYASTVTAQLASYRLPPQVVAALDMTSSLEATTAP LNQENSATSF H257_04051 MEILQSYFCSSMAKEWICVECEQDNAADEVECVACEEPRPAASS VSRFAGYKIARVVSVEAIPKTKLRAVKVQVDADGAEGLTIVTNARVDDGETRYIVVAT AGSIVSIDGDDIEVKKATVGGRKSEGMVCDSPMLGWKGGAAGAAVFLPNTYTVGDEPP ATRP H257_04052 MADMQPEMNEVSLMRRRRQKETAAASVHGGKEVAIIKSEEIELD RVSTDSSDDNQEDDDADVVRKNVNHVVEGKAATPEELYFLRSQVQDLQGALKARDMEL FQLRERYDLLLVAVEQQDEAIAAIYATTNMSSSEAQLLQYSALRESSMQSTTPPPCLK RTAKEMLLGHLDTMGFDATSVGTVLDTFHDTTTATSNATSATANSATTELAALNSALD QLLYADTSTGPAPPAPVVPAYANTSGFLRPAVRRHSSRRLSVSDFGLLSHESSSSSEQ QHEAGGGTPTRRLSDPLFAGLDDITDTSNNSNNSSQFQANLKQRADMQNSDEVEELSY SAFLERLSLPGSKDIVECIRRFVGSVLGPRGDGCPPTSAHFVDYIFYGHESFQPRCED FFRSIDDTLASHPAWRHAPERTLRHARDGIEKYVMDKLADVPLHRLPSSAVWKAEDAA LSRRMHVLAQVVTPDMLDIKPSMRNEVVWSIAQDELRRINDCRSPGDKINCIVRCCSI IFSVLNLARGGDALSRPGADDFLPVFIYLVLHSQVPNLVSNAEYIAAYRNPADLMSKA GYCFVNLRSAIEFILVLDATMLSVDAAEFNSRLATAEAAVSSPSSAVSQLSDQVDVMF G H257_04052 MADMQPEMNEVSLMRRRRQKETAAASVHGGKEVAIIKSEEIELD RVSTDSSDDNQEDDDADVVRKNVNHVVEGKAATPEELYFLRSQVQDLQGALKARDMEL FQLRERYDLLLVAVEQQDEAIAAIYATTNMSSSEAQLLQYSALRESSMQSTTPPPCLK RTAKEMLLGHLDTMGFDATSVGTVLDTFHDTTTATSNATSATANSATTELAALNSALD QLLYADTSTGPAPPAPVVPAYANTSGFLRPAVRRHSSRRLSVSDFGLLSHESSSSSEQ QHEAGGGTPTRRLSDPLFAGLDDITDTSNNSNNSSQFQANLKQRADMQNSDEVEELSY SAFLERLSLPGSKDIVECIRRFVGSVLGPRGDGCPPTSAHFVDYIFYGHESFQPRCED FFRSIDDTLASHPAWRHAPERTLRHARDGIEKYVMDKLADVPLHRLPSSAVWKAEDAA LSRRMHVLAQVVTPDMLDIKPSMRNEVVWSIAQDELRRINDCRSPGDKINCIVRCCSI IFSVLNLARGGDALSRPGT H257_04053 MLQTFRAVAAFRWKGQMIGYWLIYLSQGAFLGALGPALQEMSYQ GNAVSSYNPAFAWHALGSILSVGFLAEVLVQACLSSILGRTGLHIMLGTMSILLTAWY VCLPWVNQWGGSEWASCFFFVKGVIVAIVNVTINKCAAWTTAGNEATTRRVVNSLNGA FAVGTVLGPILGIIQRQAKLELEYLFIMVGSLTLLAAFFIYLTDCPYPSGEESASLLG FEEDLRSVPPVLPSPISGSVFLHVKHDLGAANLNPDSYDPEANFIVLLVMIIATLFYG IQMGLAAFLFQYLEFVMALGYGANLHSICCSIMCVFWLALALSYSLFTSCLFEHMKSL GWLFFLNVLCVVTMAGVIFGQNLFGPNYVVAALTFHLVLFAVFISPLFTATIQGLTNV VNPDLLARVSSLLVFGCFCGEAFIPVLMGFFMGDYSGSGFGAGTIVYITFALTITMMT TTGWFWYSVVTKQQVVDGVGAAAPSPAKPLK H257_04054 MAAAPSSSFVDRYRLVQESVLDMSLSDIDIVLLGGDNDDHLSSS LSRSTTMAVEQCMICLDTTTDIVRSAEKRRSTMEVNRPTVDMDLYEEDIGRCAACPAV YCFPCFREYIRHKVINGEVRANQLVCPGACRLSLTKRTLRHNMSDITYQKYVDFLEMQ QQVLQGARYCPRPECGQVLSNTSAKKNNNRRVYCTACEKESCFKCGSDFHPIPMCGDR NYSSWCRNNHVQACPNCTWAIEKTGGCKHMSCTRCSFEFCWYCHQDWSTHSKVKCYPL AYIRSKHRYFGRTVPVRLVTKTVFAGVAAGAVVAGTGVVLAVLPPVLLWHCGVYVKDK ITGH H257_04055 MPPEIIHRIASFLSDGQSFVNYVDALNGTDTLGGLEPFTKLTGT IAPNDLWPELHLRAHHGALLLQVTAAIAPYFSIVHMHDMYDLSAFHGCPSSSSLGIRI ERCPSASEVKVPLSEWYNQLARLPVAALAWEERSGRACPDQVECLLATLPRLPALQSL DLNGAIVPSVDVIFEFIASSHALVELSMRSLSLPDLVDGVFSRPTITAIGMTHLVHWL QRQPVRHLSLSQWHIDAPIALVLAFHRALWSSTLTRLVVRDSRVPHLDLHVFANPLAM SELELSGCGLEGADIVALSKGLRHSRVTKLVLSHNHINRGIPALAAALPTAHHLHTLV LSSCALFDDAIPSLVQSLASCTVTALNLSCNAISAHGASLIVHAMMSKADGRGDTRRG KLVLMLHGNSRIADDTRQHLRDVTSACTHLKLFV H257_04056 MEYPAFLSDSESSGDDDFVIALILRNMSAASDHSRRWRQGSRRG KRPNIERNRAQYDKLLMADYFNPVPTYDASHFRRRFRRNGFSSLQKVAACVRYLATGC SLDDLDDRY H257_04057 MASTTTDHIFSVVEYEPSYSKPTLEESPEQDTTEQPKTKTTPRL IVATMNVVLISPEEPSMEPLKTAPALNALVPSVDNNASTPRDCAICFETLQAKNLCGS TTCGSRFCDGCIQMYLQIKIQDGQVRRIRCPGLGCTAILSSHHIHGYVPTDLFQRYLE LKDKLRSGRVCPPCGQSVTSSGRKIHCQACTATTCGDCGEPYHMFACKDVTYKSWRQH TEHDVRSCPNCHVDIEKHGGCTHMACTHCEFEFCWLCRVSWDRHTEAMCKPLAFLESE SSSLGPNAPIRAVTKSVVVVAATGVAAVGIGIAAALAPPVLLFNGVKHLLHRRKQAKT LKKLEKLRTLHA H257_04058 MAPGGTSLWRSAGLSYLQYVNKAAVVVRAATKEPLKSKIASRSE IDFAAWKWANGERGTRVDVDSIKKAHEAFKVAA H257_04059 MLVFKPATVAVAVAVVSASIAYVLWKQRQQEQGTSPKQSARKES SGCCGKDSATTTGCCQSSTNDDNVEAEEHAKPVAVRILYGTHTGTAKGFATTLQQKLF ALNVAGYAFEPSVVDMKDYDQDNLEHEHVLISILSTWTGGVPPESALVFCNWLQDMAQ DFRVSKTWLKHVHVGVYGLGNSEYDEHYGKASKALSKHLSSLGASRLCPRGVGDDNQD QAAQFDSWSDHLIAALCEQYGALRSPAASSSSSPSVKPKQQPAGWKSQNEFRRQKRKE KAAANGEDTEEAMWTAEDQMNEIVLELDQGDDSDDEDNRPKKPDSGVVDVEDIGVVMK ESEAAALSREMVTPLQRKALTKEGYKIIGTHSAVKLCRWTKHQLRGRGGCYKHTFYGI TSYQCMETTPSLACANKCVFCWRHHKNPVGREWRWKTDDAKELVEGAISRHQTMIKEV KGLPGLIQSRWEEAFTVRHCALSLVGEPIMYPHINEFCRELHAREISSFLVTNAQFPE KIAALEPITQLYVSVDAATKDSLKAIDRPLFKDFWERFLSCLTQLKAKGQRTVYRMTL VKEHNMKELDNYVELIHLGEPDLIEIKAVTYCGKSDASDLTMQNVPYHQEVRDFCELL CAKLGGGYELATEHAHSNCVLVAKTKFKIDGHWHTWIDYDKFHTLIQAYYKDGTPFTT MDYIAPTPAWAVYNAPEAGFDPIETRFRRTKEGKVVEIEYTATDSGCG H257_04059 MLVFKPATVAVAVAVVSASIAYVLWKQRQQEQGTSPKQSARKES SGCCGKDSATTTGCCQSSTNDDNVEAEEHAKPVAVRILYGTHTGTAKGFATTLQQKLF ALNVAGYAFEPSVVDMKDYDQDNLEHEHVLISILSTWTGGVPPESALVFCNWLQDMAQ DFRVSKTWLKHVHVGVYGLGNSEYDEHYGKASKALSKHLSSLGASRLCPRGVGDDNQD QAAQFDSWSDHLIAALCEQYGALRSPAASSSSSPSVKPKQQPAGWKSQNEFRRQKRKE KAAANGEDTEEAMWTAEDQMNEIVLELDQGDDSDDEDNRPKKPDSGVVDVEDIGVVMK ESEAAALSREMVTPLQRKALTKEGYKIIGTHSAVKLCRWTKHQLRGRGGCYKHTFYGI TSYQCMETTPSLACANKCVFCWRHHKNPVGREWRWKTDDAKELVEGAISRHQTMIKEV KGLPGLIQSRWEEAFTVRHCALSLVGEPIMYPHINEFCRELHAREISSFLVTNAQFPE KIAALEPITQLYVSVDAATKDSLKAIDRPLFKDFWERFLSCLTQLKAKGQRTVLSFLY PRYGLLCWFAISID H257_04060 MADGDVYSFVAEWFDPQAEVLRSFLLTYYVNDGSLDMVDKKSLK PFLKRIKFPSLKVVDLFVGACVSVYSRQINLVDCANEYTRHLLASRGTNSLFLIKPAG YRSLGRILSALEGCHLALVKMRMIHIKTTDLPLVSKHIDSIDPSPASAQEWTRDFSVA IEVSIGAPAAVADALRQLGSARDFVVTGRAAPCFFDADAFPTTAAMDDCSTLCLIRPR VVKTGQCGAVVDAILLAGFEISALKLVHVPVAAIDEFLAIYKPVTRQYHELVKYMSSA PLVAIEVRGNDIVPRFQSFCGPFDVHVARELAPTTLRGIYGLTNMQNAVHCTDSPEDG SLETQFFFRVLA H257_04061 MDDFFFSEKEAEGCFDGDMSPSDLEKLEVEEDMPEVPRADLLLR TGLKQQKLSVLVSLPKVLAATNSKENLVTILDAIKHVWKKGEAENDVDIINEVFTCLQ NLASITCDGKIITYPMTSLFEEFDAMMRQYHEEKITAVFLLTDDQVTKQLLPLVLEFV HELQVKDHAEVASHCVAAMIPRLSGSLKKTQIIRIGIEKGDVSQGAGSRLICCLILGV LTASTLLSEQDIDGLFFQKMMALCQDTDAEVRRCMCMQLDALARAVGQLKASSALLPE LLELLQDEEEQVKVMAFQTLLSLYDYFPPRDRRDVILPVVAEILEHPPSYLISPLARL FGRLAFKLFTLGDFTSDHIATFQACYTALSRSDSPDVRLDCARNLPAVVQAFGPAQYS PHLDDLLQAFTQDTSEPIRRSVVSGLHEVAALLGPQRAQRYLKATSLAVFKDESPTVQ GHLIACLPSFVPTICTGVDDDTKNTYIDAMLKAILHHHTILAAGRWREQMAVLDALRH FPTFVSSTQLFERVCPLLFELMNAGARPVQVEAARVLVAVNRDNKITANRVNVLLRLR KEYALGKNFWQRTLYLDACNFACDCYSHQYFRTNYLDPAIDLLEDPVPNVRLKAFALL PKWKPVLHVLNAGDDKTIGRIRSLLDGSHEPDRDVLVAIHDVREAWFHDKDDKSIQEM ALDAKKAAAEEAASMNGDHDMYSSEAKWSEMLEYTLIVGKDGQVVRRARVKSIDIVNK LRQQTKDMTTTRGNTTGGGANLRATTTAALAKAAASSDKPTTKLPITTTPMKQQPMPL STLPTCTPGFSQFNSSVAAKMPSASGSLSARDGKGSNAATSATSLKQLADAKAAIRLN PAKAAVAPGSTSGGRSTKKDETSLTKIPNIPVPTRPAAAPPAKRVQSPSTTKSTTLNS SSRTASTGSSSASSVSAVNSKVTPLAKR H257_04062 MFASKVNVRRSMSSLTKKTINPRIVEAEYAVRGPLVLKSIELQK RLSAGEKLPFDKIISCNIGNPQSLGQKPIQFHREVLALVNMPGLVDHPSAPSIFKPDA ISRAKRYLKRIPGGTGAYGHSKGSDVVREEVAQFLLERDGYEADPDTIFLTDGASQAV QSILLACIRDENDSILVPIPQYPLYSAAIAINGGTLSGYYLDESTGWSMSIPELKRTI ADARAKGKSPRALVVINPGNPTGQILSEDNMRDIITFCKDEKLVLMADEVYQENVYVT DKTFVSFKKVLRDMESNVELASFHSTSKGFTGECGRRGGYMELVNFDDDVMEELYKLV SINLCSNIEGQLMVALMTNPPKAGEPSYDLYVAQRDEIVSSLKRRAVKLVASFNELEG VTCNVTEGAMYTFPRITVPAKAVAEANKAGQAPDAFYAFALLNATGIVVVPGSGFGQQ DGTFHFRSTILPPEEAIDEVIEKTAKFHADFMNKYR H257_04063 MQTQSASQVDIAAVTSLLQWKSKSKSDRHADESSSIALAQQLLA DEATVAAIERTLHISRTRVLQAMLEYAVFLATKGDTSPSTSAHSFLFLSKAMLQTTSY QLSLLSCTQLLQAMSRVLAEHAAACRVNLSSFDDALIDSLSAVFVRLFEAASTATFVP RFGNFKPPTDVYATFFHSTLTSLLALAAAAPSPTIASFGLAILKTHHALQTNQTNKKK VFLACKQSLATLVTLRASTAALLPYSAGMLQALDVSIADALFDPEHLHGYGGLVVATT TYPSPPTAPVTDENASAPAKKKAKGSGDGRRNGGATSQLQQSYQHGLFDEIGRLVADA SHVAPFMQMLVREYVVYIRRSSATFVDKKKMGGVPQKRKTGASSSAKADAITPAFSFW LDMCAVTTTYLQQTCTATTTRQQQLANGSALWHGLWDVMNASDIYRVAEDNASQHQLY YLEQTVASVMQHLESGAAAATDTTLLSNMVQCSPKILQPHLALVFSYLAAHATSSDDN GATRAAACLARLVESYDAMRLLEEFLAVLFQGDAASRHILCSLFVHEEGIHLGAAIRG AFANVPAGQVESLWLFFHDALYQSMHAKATTTVVNDGQLGLVRTVFALYMQEVPATEH IQPALLQCAKQTFDLVLLPRAIPTPSPSSSSSLSPTNQVLLQQEALSLLGELGALTDK GVDMSFVGPAVFDTPVMEVFTAAIPSMFGNHDHPSDHEGGILKLCATRVRQLVSTFRT SAAEPIASFVLGQAVARLDHVAIVTPFLNELGHAATAASCAEFVNTISLAAVSHTLRP NLFLDAGFYEIRPFLSVLPAAFTSILTRRTLAAVWTKPPPSLSKKKGGSNSKAAAATS TPTPPSTLPELRALLEKQRHTPTDEASLLALHAAVEFMMTVPLPNEFGLSSEGAALFG CILDVEALLTSLSTNPEALVESLHEWLRSLLAGVVAPLAPPALTLLTTWTSALLTRDA SLQSNLKLWKPIIRVLVHFHPKHMAPIHDAVVASATSSKTATMDVVALCLEAWGGSNH AAMGDRFFQALLPALLARFEHPTPNDAHVLAAAVQYCHRAKGGRVPPSLLPFVGPFLA VACRTIVQDQQLGRHVVVSSRSSSARLVHIVCAHVQTLALDLATVGRLVATLLVGGGH SLDDGLSSTSLELLVENATPDEFHLVWRTLTSEIAVLHDPARVLAALRAMLMVLCMER APKNQRVLADSAKTTLATLVNLCNDDDAASHVVTEIHVAALRVVAQAFAKGGDAFDWH AADIHVALLALRPLLSVVASPSSPRPSAGLGPQVWQQSYLLLLRLLRQYHTTLPQYLP HFVAGCNALLRALLYAAAKADSTDHNLLHLWASNLTRLYGYMLPHATSFRKHMVYMLS EFFYKHDALPVDVQGTLRPGIYALFDICSKYEKEQLYGTLDGTGKVLLKAIDAHYKES HQYTGKV H257_04064 MTTMSEDMKVSGELNRMIQREIETMMDRMERLRLQSKFASFSKV HVPMVLVLGNHSSGKSTFINHMLDQDVQKTGRAPTDCMFTVLMGGKRAERLDGHALSR DNKFGFQDIKGLFGADFVSQVELRVLEECTLLDSTGMMIIDSPGMIDPPGSTTQSRGR TDMDRGYDFKKVVRWLAERADLILVMFDPDKPGTTFESLDVLTTSLNHVNSKVRLILN KVDDFKTVHDFARAYGALCWNLSKVIPRKDMPFIYTMYVPVRGGGSLAQMSNNSEEAM LSSLLHTEFDGTRGEILREVQRAPDLATDNLLTSFKSLCAKLKMHITLIEACQKEYMW VKHLWHGITMGLVAAGVGVSALYIFRTWGVSSSSLSSTPSLDTTPTTSGASATSAVPA WKQEWTKQVAQSKRTFRWSEFAKLSGAATATSLASWKAGQRALAKMQADVLHWLPAVF ASTYASFLCKSDRTHDETMATFNSILPGLEMALAQTPLEAFGLFPPVEKNYLDEVLAA HVPRLDRCVRDRTGDDYAYLRFKHIEKPSLQ H257_04065 MHAVHQPSLVSLVVEPLQGPLRQGTWTEAEVAYVALLSKFFKAG LLECVEPGHSIRKWLASIIKCDPMRISKRLTKSARLGIVPYKRNQPSLDAMSSFERRA CLQALEAARLAVENDLQSRSTSLDWNAVRCRVRSRRHRKYMQPTLCGVIDSLLEDLEM PLISLNALRLSSPLHEEQAVDDKMAMCYEESAIKYVKFKLDERELFQPRDATYFEVGV VVMYSVTTRNKLGQAVLFLARQADTFPCMPAVPEVVRMQFQEWFVFTQYTTNNSVEYV HYYSGNPKRSYPAARQRYILDHVHHMPSTRCSTLEAGVPCTNWCTCIAPLKPGRPRCH GCSRPTFSKCQVHIPSAQQSKHDLDTVAYIDFRKT H257_04066 MTALPTQATVAIVSFETVRFDLEYPDDLSLLEDSCDYVDPSVCI EMGLWAVDQGCGNDAMICADTATTILNSTLWSHSDVPPAFSRNELDCDDLIDSAFDLA DISCSLPDIVNTVHTAKWDATNTSHSSGGIASGTVEGSCAQNLAASTFHRAVVAADTP VASDVSPMVWTKGAQVSKLCKAHGGRCMCKVGGCKKTSQGLNHGGIPYLRNTYLDVLS YAAPSVYLERGIVDVHFYDGRV H257_04067 MHAVHQPSLVSLVMEPLQGPLRQGTWTEAEVAYVALLSNLLEDL EMPLISLNALRLSSPLHEEQEVDDKMAMCYEEPAIKLLFLVEVETELHLSLLLAGNVA PSGRRLRP H257_04068 MFGSRTTATVAIVSLDTFQHESEYLDNFVGGNYFEAPVTLSVDS LPLLLDQRYDHGVVVRTDTVSTILDSIPCALWGHPFVWTNDALAYDSTDALVASIDLA DISCLLPELTNTCGEDGCHQHIQFARGYCRLHGGKKLCSELRCAKRPQRGGRCYNHGG GTRCIAHGCTKGAQARSLCKAHGGKIKCSESGCQKTSQGHGLCRSHGGGKRCIFPHCE KGVQQGYYCTPHIPKSQNKRS H257_04069 MCSKCKVRIPPAQPSKLEHPPMINVEFCKVCLVRATTQPKWRHE NVSTHYRRRTTELFAACSFRPSTPRAGNLVYNHKMTWLRFVFSTTTWTKSMNMKGGAT LHQRHTAPHTWKATEGDITWLHQQAALTKLTDTQAPTASLAALHNLLLCMQRELTMIA TTCSVLLTQPGSPHNFLPPCRLQYLRLNEMRLLHPSLPHVLAISRNEHEMSAKSKAES VESS H257_04070 MFVASLVLLRRSSRVGHRLIHHRRMPTAAPMGSMALHAPFRHPR AFRCGYPLKPSTMRIRALSTTTEQETVEAPQFDIQEWSALMVAESAADAQFMLTNDDK IDINFQSPNGWTALMVHSLLHREDVVKFLLTQPGLHVNERSQLGATALMMASEDGKPA IVRLLLQHPEVDVNAQDLNGSTALMLAATEGHVDTAKLLLDHPAIDISLQASDDATAL VEACDAGQVDVLRLLLQYPDVQRQLEQNKESLVAWIVGANESQMPVVQELLQQPVLYK GGMSLLVAATTGQVDVVRVLLSQPDIQVNVQDDLGISALMLACDGGHVDIVKLLLAHP DVDVNEQDVHGMTPLMSAVMSGQVDVVKALLQHPRVDSNIKDKENGATPLMVAAQEGY HAIAALLLAHPSTDVTATNTDGHTAEEFARYFNHDAVVALLDQHSQERM H257_04070 MMASEDGKPAIVRLLLQHPEVDVNAQDLNGSTALMLAATEGHVD TAKLLLDHPAIDISLQASDDATALVEACDAGQVDVLRLLLQYPDVQRQLEQNKESLVA WIVGANESQMPVVQELLQQPVLYKGGMSLLVAATTGQVDVVRVLLSQPDIQVNVQDDL GISALMLACDGGHVDIVKLLLAHPDVDVNEQDVHGMTPLMSAVMSGQVDVVKALLQHP RVDSNIKDKENGATPLMVAAQEGYHAIAALLLAHPSTDVTATNTDGHTAEEFARYFNH DAVVALLDQHSQERM H257_04071 MEVSRQSMAGSGVTRYFAPKASSENHADTNGNSDGFMTESRNGH RRRSSKGSNQAEHDSPVRSVPLGSPHDNHNASQAKRNTQSHIYDPTTSSTGVVPSMQK WKMSSSSRHTDTSSDELANDNDADNYFNMDQQFQVKLLYCRTPEDKVRVYKEHVCALL HELVMIETHSEELEYELKLKIEAIQQLRQKVDSLTAPEAFHTLREFEDSADFTDKTRV SQGESHALCRKLEAEVRIFRERATASEATEAKLRHQLAAKEADLAHLSEAHRQLQADL RNEAKRRDESDKKAVVLRDKLDALRRSPSLQSSLDDALSQSARFESMAETQQGVIRAH EATIAALTRQVQGHELTIEASDDHLRAALRAKDAEVQAVTQGCRDELEAMAVKFKQCE LVRRTLHNQVMELKGNIRVFCRVRPHLAAERSSSFGRHVADEVYLFPDYDKDKRRVVL VADAKTHTSYAQHHHSCSTSSSSNDSKKWAFEFDQVFNCNATQHDMFQEVAALVQSAV DGYNVSILAYGQTGSGKTYTMQGDEQALAEHSPNQWARIPDLGIVGRAMAHLFATCAK QRDHHGWEFTISFEMYEIYNDTIRDLMAVPPSNLAASGGHDVTHVAQLDADGRVHVSN LTVTTVRDEVHAMQLLKQATSRRSVKKTNRNDVSSRSHCITTLKLTGVHPQSESVRQG AVYLVDLAGSERLKSSGSGNDPVMLKEAQNINKSLACLGNVISAIASKKAHVPFRDSK LTYVLQNTLGQDSKTLMICTLSPLEEHRDESLNTLRFAKKVNTCELAASK H257_04071 MEVSRQSMAGSGVTRYFAPKASSENHADTNGNSDGFMTESRNGH RRRSSKGSNQAEHDSPVRSVPLGSPHDNHNASQAKRNTQSHIYDPTTSSTGVVPSMQK WKMSSSSRHTDTSSDELANDNDADNYFNMDQQFQVKLLYCRTPEDKVRVYKEHVCALL HELVMIETHSEELEYELKLKIEAIQQLRQKVDSLTAPEAFHTLREFEDSADFTDKTRV SQGESHALCRKLEAEVRIFRERATASEATEAKLRHQLAAKEADLAHLSEAHRQLQADL RNEAKRRDESDKKAVVLRDKLDALRRSPSLQSSLDDALSQSARFESMAETQQGVIRAH EATIAALTRQVQGHELTIEASDDHLRAALRAKDAEVQAVTQGCRDELEAMAVKFKQCE LVRRTLHNQVMELKGNIRVFCRVRPHLAAERSSSFGRHVADEVYLFPDYDKDKRRVVL VADAKTHTSYAQHHHSCSTSSSSNDSKKWAFEFDQVFNCNATQHDMFQEVAALVQSAV DGYNVSILAYGQTGSGKTYTMQGDEQALAEHSPNQWARIPDLGIVGRAMAHLFATCAK QRDHHGWEFTISFEMYEIYNDTIRDLMAVPPSNLAVRHTHISYYLICCRFDT H257_04072 MIRQTACWSRRRGVRSRGAYRTPVEAAAYSTRKNPLKFKSNYEK QIMLFASEVSVICGANKYREISDVFLGVWKRTDKAYVNELELDLAPMIPETTEEKVER VVVDEPEVQAILNSPSQNVAEVQHKLKAMQVHIDALPHLTVADKVEVVQALQSTLQTS FGAAQEAHAIEHYETQTQSNVQQRNAKFWSKRVGRVQSADRKYRNVLVGGRIDGVSGE TVIEVKNRMREFINPLPRYDVVQLQTYLYLLDSTHGELVEHVKGKTKDKSKTTAVDWD PVEWNTNVVPYLARFAHSLDRFMDGPTDLHHKFLTDDTLKRKEVIRSLWMDSPADFDQ H257_04073 MAQRSSTRLHQAPGGQSTMGSLIFGGGDNSADEDRPRGRGVRKF ETPEQQQVGKQEPANQQRYGQQPQQQQQQQPTVESQNVSSNRYASGTSQNTGNVITDR STTRIHAPPGGQSSFGTTSDQRGSSNRYSNGASQNTGNVITDRSTTRIHAPPGGASSF RLG H257_04074 MEGESKNDFDWLPAGTEALADGEYEAIVLGTGLKECILSGLMAT KGLKVLHLDRNNYYGGDCASLNLSNLYTKFRGEHAEPLTGLGSNRDYNIDLIPKFIMA CGKLVKILLHTKVTRYLEFKNVDGSYVYKGSRIYKVPATGEEALSSSLMGIFEKRRFR GLIMFVYNYEEENPDTHQGLDLFKQPMSDVYAKFGVDANTQSFTGHAMALMRDDNYIN RPAIETVRALKMYSYSLERYGKSPYIYPIYGLGGLPEGFSRLCAIHGGTFMLNRGVDE VLFKDGKAWGIRSGNEVAKGKLIIGDPSYFPKEKTRSVGKVVRSIFILRHPIANTNNS ESCQIIIPAAQANRRNDVYVCVVSFAHCVAAKDTYIAIVSTTVETSSPLAELEIGVSL LGEYVDRFDEVSDQLEPVGNGTVDNCYISSSYDATSHFETTSDDVLSLYQRITGEQLD MTINADTTEADQ H257_04075 MVRCHGRVSRGGAMSVQPSNVADQRTAVPRHQDDHYRECGDCPV RFAFDLRRVVSRRRRLSIVWTAPERLSDDESSGDRRCKADVYALGILLSSLDRWAMPE EVEWFTPTFPDALRVLITKCLHLFPHDRPSAMVVACQLRRQVARDAA H257_04075 MVRCHGRVSRGGAMSVQPSNVADQRTAVPRHQDDHYRECGDCPV RFAFDLRRVVSRRRRLSIVWTAPERLSDDESSGDRRCKADVYALGILLSSLDRWAMPE EVEWFTPTFPDALRVLITKCLHLFPHDRPSAMVVACQLRRQVARDAA H257_04075 MVRCHGRVSRGGAMSVQPSNVADQRTAVPRHQDDHYRECGDCPV RFAFDLRRVVSRRRRLSIVWTAPERLSDDESSGDRRCKADVYALGILLSSLDRWAMPE EVEWFTPTFPDALRVLITKCLHLFPHDRPSAMVVACQLRRQVARDAA H257_04076 MSLLLIDAVDGFRVSKSLRHTTLPPEDDAAEEDAEQTDEESTLP LTPARTRHRHASKRSPHHSTTRMAPLGIPSILTIEDDKILKSYMKQWKAKALHFKHES THNGICTSTMHLTACVERGSGQTVSCMTQLLPASAPPTCEFYAVAENGKIPPGIAVQG ITERLMVHSLPPIHHLDFANLKALVLENVIIPQGVHALRLANVTLTGYPTHGFQNVSL ASSMRRVALRHNLITSFDPTEWAKFLLEDLFLENNLLRTFVNVTFPPTITTLDLRNNV IKSFVHTTLHLALTSFGRQVVAFQTTVEGVPVRTLTRLVMGRTEEGV H257_04077 MHRGWPCGGGGDRAEHSKDHKKKFLSKSQALATSKRTDLLKTHH VFGFAIDASKTKSALKAIAKRNKAAASASKSKKGVAKIGKAKKQCGMTTSAKAEAFTS GSSTLLTTCNYGATMKCAFWLKKTDVLDITVIPSSFETVNFADLDRDVKLVGQTVPIG TRVLKVTKARVRGYPDKDFNNLHLPPSMETLDFSDNQLEVFNPSEWAELPLTELYGPA EQRLEQLNNVTFSPTLHTIILADNWLKRFTNGTQFPAALKTLCAIQFLTTLEDLPPLP FRLKKLFLIGDTLMRYVVSSDTYNRLNDVNAVTVPAMIAPCPDGSLKSPTQPKANDVF VCVAASSSGSSSTLPLVLGLVGGTVCIAASAMYAYSRHMDNKGNHRGFRSISPPPLIE FTLDGMTTHTSSSSNEPKRRQYPPAAENGHHQQPRVSHAKLSSDRRLPSSSVGLPEFP RLLQQHPSLQPYAIACDSVDDLCSFVGDTSIGALGSQRVVVKPLFSDHQVDVFTMYTT VAHPKIVSLMGETWDISGSLSIVMPFYGRGCLRSMLFADAVPLGPLSGSPVKLGLAID AAEVLMFHHFLRHPFVHLNVSASAVYVNDHWTAALRLPGVDRGHVGVRKPWVIPEILR ASSPHTPKADLECSCDNDVVVLSDDKLTAFGMQCLDLDPTKRPSAMDAVYELRNLLHL VVLEK H257_04078 MSSGVGVSDEATNTFNGFKLQAPDHKFRYVTFKIEGNQFVVDKT GPREHTYEDFAKALAGDLTVPNPVYECRHGVIDLDCTSKDGRPVAKLVFLSWSPENAS IKAKMVYSSSKEALKSVCVGVGIFINATDASELEFATVADGVSKFL H257_04079 MGVGNVTVTMAPPADIGLFGAWSPYILWVEVGFAVFLVLLLHAI YTQPAWLTQVLRTRFPCAIWCANTRLPVAALTIDDAPSNSTEAILDVLKAHNVKATFF IIHGNVAGREHVLKRIVAEGHVLGNHFVRDEASIKDPLHVFEAKLLQCNDTLLTYQSR IRYARPGSGWFNKGMEGVAEKHGYRFVMGSVYPHDAQIKIAKVNEWYLRALTRCGSLL IVHDRPWSVAVLRGALEHLTKKFKFVSLEELEEIDAADDQNAVALAKKVDGDLPQAEA ERQTLLEK H257_04080 MQIQTLAYAISATFTFFTVLISGWEMWTHLTQNPSPSNRKYILR ILLMVPIYATTSYWALVFHPHKLIFETIRDCYEAFALHSFYYFLLGYLGGPSVLANTL RSKKTVKHMFGMQYVIRPWTMGNKFVRMSTIGILQYIPVKIACSIVTFITSLLHVYGE GQLRNPLVAYGYICLILTVSQSWALYCLVLFYHATENELAPMKPFPKFMAIKMIIFFT FWQSMLISLLEMLGVISASWDIGCPSDCWSAGQIASALEDFITCVEMLIFAVVHHYAF SIDDFLTNVGSPGSPSQTPKAPLLANFMDVINVADVKDDISNSRKEILTKKQQLAAQY DLHIVKSSAAAQRQ H257_04081 MRAPLGTCPVATMSCYGVLAAVLAYSIWGLSALYWSLLAHVKPE QLVSHRIVWCFVIVLSIVAATQWKSFRSYALLWPNIRLHAVAATLLATNWWLFVWSVH AGYVVQACLGGYILPLVTVLLGVVVMGERLRMWQIVAICLAGIGVLVISIGFGVIPWL SFALALTDGAYGLIKKKSTVASIEGVVIETGTLVLPAVGYLVFAEVQGVGAYGHGTVF TNVLLMGGGVLATTSLISFAYAVHHMPLTLVGVLRYITPTIQVFLAVYVYREPFTPVN IVGFVLLWAALILFTSQSYFKHQEQLKEEAHSSLLAIASLEEAVVHKAT H257_04083 MELLTLTFAISAAMTLLTVLLSGWDVWTHLLYNPQAGIRKYVIR MLLMVPLYAVTSYLALTIPEQKLYFETIRDFYEGFALHSFYYFMIDFLGGQEVLAQKL RSKKHEAHHMMGFQWCMETWKMGPVFVRNNSIGILQYIPCKIFITVMTFVSSIFGFYG EGIYTDPTKMYPWLTLLLTVSQTWALYCLVLFYHGCSDELRPMRPLPKFLAVKMIIFF TFWQSLVIGWLARFGVISENWHIRCTDVHCTDASNPASCAYHGCWEAEELSPAVNDFT ICLEMLAFSVVHHYAFKIDDFLRMEREKNTDVTNQVKGPLMNNFIDVLAFTDIHQDIK FSEQELLTEKQRLAQRFDDDVVLKKKEAAERKRLLAKGPTATSSGWSFFNRSTPATTA ATSHTAVELSVGRSGAPSLQPLQGGGGGPNHTGGGGGGGNHTVNQRRNNATINNYSNQ PTKVDLSLQYEAAVLLQRQNSD H257_04082 MSGAEKYASSAHGPEKRRVLATSEGMDIEAFLAPMQTAIANTRS GGGLPPDLGNFSLEFEVASALDDDNERLSDDLEYDDNEIERNTILIPDEDLDDLDDDG LDDGLDDDVLSHKGRQSILSSDGGDSLNDSDSDIMGSDVEGASESTSGEFFDEARDSF DARAFESVMSDLNFEFGDRNSDVTQLLTATTEDETQLSESSPSPAVVSHHLLHPTNIN LSPDDEDIYDAEAVEAVTLSSTSLRRLSLDHSTASLQQATGAMYKLIWEDGLLGLRLM MTPLFLPSVTKITGKSSMLGIHLVEVGDYLVKIGDTETHRMPFKDVINLLKQVSRPCP LAFRRASDAATPPMDVMMGGPGASKNFAWKSSIAQRIAAKIEELAAEELAKEAQAPAV DLDKKYAVYWEDGPLGVSLVANKEVPYPQVTRITGKNRSAQVKDIVPGHYLVSIGAYD TASGTFNAAIKQLHDVVKPATLFFAPDLRQESIRPELDDHDEYEQVWEKKQPLGFTLK PMAYGTVVADVGAAKTTKLKRDVNGGGSPTNGMHLGGGFGGSIKVGDALTWVNDECVE NMPFHDALKTLRQAKRPLHLRFRCEHAAIRLLSAGRLSVPPPPPSLPPPPLEPLSTSP KRKDLKKMDLPTSTTSIPLTAKLHAALKDAVLPFGKKNYHNPVNPDPDTATPDSTSRR KKKDRSGGGGVGDLRSSLVGAGPPSISAAPSSPAQVVEKSHPSRSFWSSSKDKAGPSA LQASSQQPARPSTMAPSGGGGGSRHLTHPSPSATDPSAASSSPQKTSARAQSSAASSA VDGHSRHQHALSVQSPLSVEGGGCPDVPPVDHQSHQRSQPHHSKQHHGKHHHHLPQSH DDSVKAASSSSATKNNVPFEYEITWRAGEELGVTLKPHPESRRAVVARVSGTNDNAKR VALGDVLLGANGIPLPPQQKFKDTLSQLSTMPKPTVLRFLRPARPVFPTSSHRRDAPV LPTLDPHAYYDLEWPDMTRLGLLFAPHPVTNAPLVSRLDPVAFDGSDHLKHVHVGDVL VQLGTLDLRGLKFDNCITALTVVTRPVVMRFRRAAESAAGGGVRPQP H257_04084 MRSRLLAWLFVTAYSLLPHGLAATNTTTVPSTSSNGSACADPLF PLQSTVAVGPMTMRSLVKGANVCIQVYFQRATAKWVSVAITKSTKMVTSPANNAVVFE TAGATTKLYVMKGYTSNTVPLQSSQASMNVSQSSMVNGLISFTFERTLASGSVYDVAI NPTVASTVQWAYADRAWPAVHTKDGSVKVLFASTTGQDTKGTTALSLSDPSSSMKYST ALISAIILTTMILLGLVVTNSSGKWTTVVTLRAICAPAKQHPTFFLSWMQDIKLGEAV VVGVYVGGLVAVGATVTSAFPSAPLARLASLVAGHVALVSLMFLMLPVARGQHWERIF GTSHERIVKFHRWLGRLCVVASTVHFLVLWLVQEVDVLVTDEYGSQDAVPLFGFVAFV AFASLSLLAHSFVRRACYELFYYYHRVAAVVGLVFVMLHSPSCLYAMIFPATVYAVTA LFRLGAYWNKITAIATTHGANSALVILPRTCETAKWVMEANPCAFFWVNVPSVSTLEW HPYSAIVTPDGESMAFCIKASSGAKSTSDSPSRSFGDKLVQLVAESRAGTVDVVLDGP YGHPVINFDKQTYDSVVLIAGGVGITPLLSFVNRCHVHKHDSNRGLALHLHWTVRTPD DLLVASEVMFPLPPNVKATFYVTTAETNGAVQCHTGDYVAYHGGKLVLDEVLNVERYA LHDKVAVMACGPPGLVQEAQWYSHKCRFDFHKEVFLF H257_04085 MFVFMAVAMAAVVVAGVGNTTTTTNSSSSSGCADDLFFALQPAF VLGPMSMRTFVKGPTMCAQVTFPNAAATVRWVAVGFAKTAYMVNNPVTNVVVLNAATA ITSLHLMQSYESVPVQPGVPSILQTQGSVTSGGAISFTFERPLASSTPFDVALDPAAM TNVLWGYGTHTWPAKHVECGDVQVTLASSTIVVKSVAYTPITPAIIVVAFAVMMGLGL LSTYETTWRRWSQAAVIAPSKRASPFRANPLKVGEAVVVLVYVGGGVVVCSNVYTTFI DHSELHRWSLALGHLALQSLAFLLLPVARGQHWELVFGTSHERLLKFHRWLGLFCVVT STAHLVLNVANRVTVATVEPYGSQEAVPLFGLLAYVAFASMALLAFEPIRRAMYEVFY YYHRVASVVGLCFVMLHSSTVQFTMLFPLIVYGVSALGRVSAYWNHHDAAIAVDGSTS VVVTLPATAQTTKWATEVHPCAFFWVNMPAISLLQWHPFTAIITRDGKSISFCMKSMG AGGFVDRVVLHAATVQTLSVLVGGPYGKPSIELETFDVLVMVAGGVGITPILSVINRF SQTTTGTRTLHLFWVVRSAQDLLVAEGLMFPLPANVRATFYVSQAREDGTVRCRRSSD SVTYVHGKPVMGDIINNTRYLSVNATSVGVLACGPPSLVQEAQWFSHACGFAFHKEGF AF H257_04086 MSGKGALDKRAVLASFKDRVLAAHPEADLIENGFVVDGFLDSTL TRYLEARNYVENDSMKMITETLAWRQQHQVATIMERPLAQEKVDALRKYHPQGEHGVD RDGNILYVERMGYLDAEHLMKHVTLDEAVHYHIQKYEFQHHVTFKDATAAQGRVVNKM TVIYDMQNVGLHTFKKVVFDFVKQTSAIGQDHYPDTLSKVFIVNAPFFFFTTWKLVEV FLNPTTRKKIHFLGGGFKNELVKHIDPTQLPKWLGGTCECFPSRPHGGCISCVENTQT KACLAMDEYLLAKKLAASLLPEAPLGPVMATAPQ H257_04087 MFLGTLLRDLATFNPAVTITTQWQQPHASRPQRPNDRPIWAHLT PALSTTLISINRSHANKVRWVGDISNEKGTMLLSLPSLCTKHGWNRATLQRFTPIWDA IPTVTPHNPPPLLRQQTIQWGSQYSGQPLSLSPLPTPPRPHLPYLEQPLGRTFFTPSR SVEAIHVPLHAMLIVPHHLIHWDGRPDTLSYRIGRRTSLQTRHTPAGPEIAVTFWHEL RKGTDIWYSPTPREARSRLRLVPIAGCAILTGDLLRTSSTQRHKFIPWTDTTWTHPDT HHTHRGNNNRALIASTAMHRADQAQLPPAHHTPPHATCLFCLPPHSGHYCLYGLRAMA PSCLHTTLSGGPTPLHPYVRPPHPPPSCRTVTTKENRQPMAHGASWAEMALPLLGIFG YTQTTSPTRCEVLSLLASLHHSGDTALQIYDNTTAIGLVILVRSLKRRGGQPRYSNIH RVELRSLMALLNPEGTFAGDWIRAHQDSTSTPDSVLRAKQALLAEADSLATLAHQLLP HTNYAHLIFPDSWELRDHQDHPVTGATAPWLGAIYSRHNWHAAQARKPDARRTIQPLR LNTGELCKWDLPALSFYWRTICYTLHTNEHRFGLTHPQCPAATTLTQDLLIATQAVIP KAWLPDQDIYLPGHCTASASTFTGLTRPQWQTRSRKPSPNSGHCMLPISSKRCVLALH MQRLLGPPRPTTLALDATQLHWESTQCRTPGGLLPRWLYHTALRCVPLLAPRDFWFSQ WMGGPNGATFQGPLGASPLCTIPRPPTYNHWWIDATHHPFSTTWWRQLCTEIRTATTS HMQTAHWFVVPEGSPGHTQANSLQITWLLTIPTGQITIRNPSSIHHVSTPQWGKITSS NPTDALRPLDATHWLHRPPPIILSTTPADASTTNPKILTAPIHYLQWAQLNIPAFDQY AHNTRSYLEATYPIIYLASSGWKQFPTMTTSTRDWASTITHLHAPHFHKAWFAAHWAT FCQHWKIHMHY H257_04088 MHPFMGASPKTSVVYLDESFIYQHYKRHNDSLLDPSDDLDVQRK ETHKGRRYCFIAGILDSPDMECQVVALDIFPGGKSTAKQPKDYHAMFNHDYFVKWFAK LLAELGDMGESNAYIVMDNAKYKKGRPVGTPTSRLCKTTLAGNMHAIWDTVQADIFQE HSLGKPVGSSSSRLHTIHSSDHAGTPFLALALCPGDHTPTRLSSVKPIRVVNTRYLTK GDISTFGEHTSQLLLEDRLPPLITAPPPPHIATTWSPQETTDWLEGAVQNLYDILYTS AKLKWGETSQTKKALNRAVAIQRTNRSSAQLRRLLRIHEAVTPTGDEYLRLVHMVEWP KWIRNPNLLPPTCWHRSGALAVGTWWSEMPTGNDTTKTGTAGYVKALHAEQRYAGNGE TRVTPAPGKPECNNGPHGSKAAKPVNSSAQHSAHPPHQFPSRV H257_04089 MPTPMATVIVHRNGVPTAIQVPATGIIRQPHPSSSENDSASDTT DSPVDPHGMPTSIKACCRHVPRSPRPHQEPAVCSTDAPHPQESSPHPARHAQLRPRWP LRG H257_04090 MSRPSYTTTNHDAPRVTLWTTTYPPQADYLSPSQDTSLGSLVPT HRDIPLHNPIPSPTSPQLARNAQPRQRTQHPEPNPTQSTTHPTFEDMPTSPAPTPPGP AHTHTHPFPHTGSHPTRPPPVKRHHSDIEPDLDFSHPSFHLRRQRDTTKCEVADTTID DDDQEEYDANTALQFPGIRNLHDEGQPILYRTMQRYWEREAHLFQGFTADDITDVERH FADTKVRIQFSINPSLQPQNDQLSIINYRREIEDVCQEHFGLTFRGGPGQHHDVGIFF LRDISVVMIYQYAGVLDNGLSFHQLEYRLNTAIHPKPPLVTSCAPSAPLGPIPLPPRL PPLTTTDDILAALRGSHLPTPDLSSGPPLAHTSTFSPVAPDGLLRRYSVGTVADTTTR VDPVTASPIWTHGIALAVTPNTQPPLAGTYVAPTHAHAVQGATAMRDTTHIQSPNTPP RKANTNNTSTKPRPGNSHFSATHSRSPDTPRTSISTSGVRSPPSSAAFSTLDARLLEE RRLREAAELLQAEDNRLSAEARIRLNTVVTQHESQQAALTARLPYLESSVHTLLQAMQ SVSSQMSALAAYPPPSHQDWDPPGHCGVKLTPGCWVRPTTPRQRLWSGSWWLLSFLLW ISCYLPLAAATLSPSPTSPLPPSITTPSHPAPAFSHLHDPYSSDDSNNSELLDARIAE ALQNPLPPSTLDINPPRQRRRRRAWRIHRLPLPPPDADTARHQLDNILWRESLKGETY IGDPGHPPPPGLLLDSLLIAATNINKNTYGKLGDELATWFRASALDFLIIADSDLPAH KATQLWT H257_04091 MAISNHRVSILYDIQRWHSRIDARRTTYSPLGRSISICIRFGKG SLLTLIGTYFQDSPASHSETTEQEWQWLTQATTKIPGHHHSVIIWGETSKPTAPTPST DLPHNPAQAPCQRPYPSELQPLELVWANVKGHVGRRNTDGTGLADVKEQLEEAFEVLK AGLFKAVAKLQRGNCRSCTNICWQLTAYNPTRSLRRRVAVIMMPKAIVHSS H257_04092 MLRQPACLALVAACCLQHTYAQTTPSVCASADFSIQPAISVGPL TVRTLVTETHICFEVNMASPTAKWTALSLSASSNMINEPNNNAVIFDAAKNTAQLHVL KGYSSAGVPVQTDQSGLVVKKTSSANGVLSFTFERTLAAPSVYDVDIDPAVPTNLLWA YGNTAWPSFHTERGAVKLALGSGALMDSAPDAGCYGTTAIIGAITFALMALLGLVATH AGSGWRFINQRTVFPPTQHRAPLPWISHAISDLKIGEAIVVILYVACVVAVGVSVQVT FPTASASRLASLVSGHIALVALMFLLLPVARGQHWEVVFGTSHERIIKFHRWLGRVWF VAGTVHLVLTVLSVNVTSTNLYGSQQVVPLYGFVAFVSFASMALLAIDYIRRTYYEVF YYYHRVVSVVGLVFALLHSKAVQYAMIFPLVVYGVTYLYRLRTYFNRYATVPKAHGSN TVALTLPASAQTAKWVRTSNPCAFFWINIPQVSLLQWHPFSGIVTPDGQSISFRIKAH APGSFVDNVYNYVKAHEGAPLTVLVDGPHGKPAIDVYKYDAVVLVAGGIGITPMLDLI NRHRQQQPTQQTKFYLHWVVRAADDLLAVEDLLFPLPPGVKATFYVNDGGTGDSSVQI YTGEFIAYKRGKPVLDEYINTSRFHEAKVGVMACGPPRLVQEAQWRSHNCCFDFHKEV FLL H257_04093 MVSTGFSIEVIPTHRAVHSIKNPTILNVLMTPRMGAADLWRIKE TMFTGDEDMYWAMVHLADIHASGNIDVTCIGLARWYQDSGRVVVDNDQNNESVTHFDT SIATQGSASSTNCSRPTSSIQPPPFP H257_04094 MVTAIETTFKQQESPEASGESMHMLQARSKYAKKAKQKTGYDLF MLEMKDVSQALKQTEPDANFVKQNYSGKWKELPASEKQGYKDRASTMFPQNTVERVTD ANLGIKRRSY H257_04095 MVDFTNTTRPCSSVASRIKGQSGRNFKHVSVAERLKKIPKTQRT TFRSIAAAMNMSRSTLHAYYKRGIFVKYTSTVRPLLTDANKAVRVQWVMKHLHALSSA QYAFDDMMNTVHVDEKWFFATKISKKMYLAPGEEPPHRTCKSKRYITKVMFLSAVARP RWVDDEVGWFDGKIGTWHFTERVPAERSSRNRAAGTLVTKPVSVTQDIYRKMLIDNVI PAIKAKWPRNSSRCITIQQDNARPHVPPLDARIVEACHSDRWDMSVVYQPPNHPDMNV LDLGFFRAIQSLQEKKNSRLDDDIIAATERAWEDVQMRTLDSNFMTLQACMQEVIKVA GDNCYRMPHMHKAKLAACGRLPDVVLPDCDVVDAGILLLQTTDMEEKVEELAAEISAA LDMGEFCSQIERLGVDDELDEDLLEILGLDIE H257_04096 MARRGPRLPQLPPCKHTPNALTGADEDEIASIEAFTSSMEDNYF NAMVERSNTNAQDACPSESTDASNHDDDGIAPSGDQATTLKNMTPMKSMQTRRPTAQA TTILLETFQTKLAHAFSIQLMEHTIAFRSKGVVYQTIFDVFLGNISTNIKDVAYHQFI DASRQFAAVPYLLRRGEIVVKADEPFPKPVCPACAGDGTTSASPIIATTDGFASATKL SARQGGAGDYGSYGWPGGVFMEPIPDNGSAKRKATTMSGCSSQLQAGKVLKELLQNDM NMIRAMSERTADYPVALD H257_04097 MDKPKRQQRRYTNGERKACLNEFSATTGDWQARRDKILASRRHS RHATLGGQGLQELIPFKDEPLA H257_04098 MVSLPAVETRLVEWVLRCEELAVCLTGELICKLATALCDDLDIP TSRRLAFSKKCLYKFQRKHGLTSKIQHGEAASTSLVAVENDRHDIQAATSGFNVSDN H257_04099 MTSCVVGLDNFTGSKSRVKEPKDYHGGPKDTPTSRRCKKRLQEE CVRYGIEFSEGDFKGILWQKLSQHIQQHVNSVVVDPAKARGHTVVYTPHYHSDLYTSY TKFTQVKTRIDAAFAALTPTAIKGCVKVAAQGKLQSLYEYLLQVDAMNCDEESPAARD NEDGDEEGGDSSNE H257_04100 MTSTAVVRVRVTRPLRPEGLDNHCDGPEILVLTDDLFDDVVAPP KCSGNSLRYVVGSFAYEVCVESQYIKTFLSCKTTMSSTLYKHHHNSSQQHHGGSWYHD QYACYLDCYSCDYHRCTKNLYQFTCYDDGSCDYTVVARTKIGQRKKWSFSVTGKVKSS ISTSMS H257_04101 MRPTWPAHTPMRQLTRRLRRCQQAIDVLAADISRHGPLKRAHLE LHRRKPAQRVQHMQLFVDKVAGFVTWRRCANAASSLDVVRTWMVYTIERKSAESDAGA ETAAWKRTEASAATAEVAGQVLIRRTRVPRQVALHGHVQPPPIFAVTATLSWGIYFRT REFKEPGLLDACSAHYKMSAFVVHVGHTDLVGKLPRDAII H257_04102 MQVYIIAACARNRVIGINGTLPWSIPLDWKYFLDKTQGRTSIMG RVSAVEYPTNEHRPVIVISRSWQAAGKTAPHTEVATSYSHALELAAARNLPEVWICGG ASIYEESLASAHKLFITRVELDVKGDTYFPEWHDHFDVLESREEHDENGTRFAFEVWT KSKPPIV H257_04104 MVASSCTALDAKEYNATKQAVRQLKLQSMGSAVVVGSGVASSQL TKALQLCRRDMSAALAEHTMIVRTSGIRPGRENILVEHVDAIRESYELALSNVADAYK TMETQWMDQLQQQQNLHTDALETNAMQLQEREKDMETWQQQVETQHFEDLERLTHSYD AKVVEIQALCNAKLAQTNETCQATVDAVRSKMKAWKHAFEIKVKDAVHGKIEQLRAHS EHELKIVMTEMDKQAQVLEDALHVAKKQVQSMEVRVRELTAQLLKAQADETHTDEKLR GLQQALAHEQAQVSHFQHLYETMVQSCAQQRQELARETTRRLEQQAAAHAEVERHIHA EHQRELDKLHERVRIAIATKGEIINRLQTQVTAAMARAHSSEAVLVQLNSDMQTCGSH VTTNKEAFRLDIT H257_04103 MLPRPALIDMPSAPGAASRMALAQGNDNEDEPALAEGALTKHVS DLVQSTRRKFTLSDILDDKVAVLDVLTSSHTDSHGVDDDPTTMTRDPGEEECGGQRHV AFRQLWERHASSSRGIQFLIDIEELQRTEQGTSTSDWPVDALNAVVDQLYMGMTTSSP TLMLIATRILAKALRWKRNQAVVLRRHVDFLLHVGRAMRTWIESTSSPDDSNAVGMAE EALQHWTTMMEVCLVGSCAVWAAWTDIGMLSVSEAATSRSRPDEAASNHQHADAERQC VDTMDYVNHREYSASTTDASTSSGADFAVMDQYLMMPPFVSTVPSVVRCITHVLQQTR THQLCPVFLPLQLRLLTLVGSLCCVHRSLAMTTALDLLDDAALTTLFDLLLIPTKDVT SVRRLEEEAQFARLVVAVAVEACEAARRPQWPLVAEKLSLLTLTFRWIQDQAMKVAVV AASTASSSSQRTTHDDQPWAVTDIPLHDMLSWEGESSLQVMDDERLFASCAMCQAHTA QWGVAGLVASCPSLSCCWYPDEAMATVAAVFESASRCYKALFDGLFLAFVCPSTTSTT TTDDRSTSPTHAFLEHIVMVSLDILNASRTTLHGLSVELHAAMFLRRLFHVNPASMIV PNVLRTVHAWGFLLHHPELFGQAESTEGGGMGQLVRRGEGADGEDVWVFALPGGDSRL RHAATRTHVYTHTLLSSLFVAVVDSSEDKAAILSQVTDAVVQHQQNVRFVYSISRTLD HLARQPHLHSVVYSPSNFASLVAVLTSSSSQPIPDSKTALHWVAMLSLLRLVHRHVTA DMATANAVLQCEFTSAQRPTSTPPCPPQRLLPCLFALLRLRPCVPMVLEILLMLLKSA TVLMFGQSDRHPEATSARLFDFLHAHVFHAFMQTMSELVSYVPHSTTNRVGQDDGIEA TVASMVTCVGQLFSFHAAASCKDLQVLFRACNAFVHLTNLLHSPRFVQPRSRHIQITR QVCHVLTLLMARNRDSKAEFRTLMASTASDHERVAYEPLVHVFLAAEDGTPSWESMQV MWNMLVDNESSNSSSSDRIRNPDVIPVLFCLFRHCSPHDQVRFLCQFKRLFRPSSHDS LNRSMCCYVQPGTMDQLLDALEGGLHSLPDLADLVAEIGWHSVGVRQLKRMFRLLQAA PALMAPLVDALYYMVQRHVVDQPSRFFFFDGDQSGLELAPFTVPAKGFTFHTWLLRLE QVSLGPQDSFIYSWLDQHFHGYALMLRNGRIEYHTQTNVWPTTIQLESNTWYCLSVVY SPGSFRLRPEITVFINGDVAWQSEITAVEFDGPVKYGYIGCSVAATPGGRRAIALCGH MLMGAVYFFKKPLPLSHIQRMHQLGPDTVLFGSEYEWNECMAWSYNPSVWEGQYFLDS SCNFQDTDPLHSAARRLDGTYHIFTRSAVDVLECIGGIAVLFPLFAQFDTQSSHDLTA NVLKLLCAVLRANAANQRYMTDHHGFLVTGYLLSRVSPHHMTLQALNAMHVFVLGDDE SRPFQAQALRFWLADFALWVFTPVDVQIHVVKILHRLVTDSQKSVWPAVLTVRKVLDD LRLYYWFTPPSLVWQQEATDREATVGTIMEGGHDDDLPSLFEVPGGAFSKQEWIHPET KCRVATHLQPGDRLLVRAELWKLVEIICRQKDSDLDQEDVSALCGFLAFSGDECQKVD LLMLLRRLLTTSPLAFRHHLIRLVGEEAQKLAGPSSPVTNDFCPSSAFGSPIQAHDLL FALVLRRPNVTIPVKLLAFELLVEVFTYNSQWPAKTTTPPHTPHLPSSSVYLLCAMDY IEDSYNDLARLCFRLLLGSPTVAKSAEPPQPPPSSSLVIQNPCVLPSLLTTLLVCDAS LCLEIVQDLTQLLGRHKARNNASLFKPYSRLVVLLLLRFSDSADVDRCVNLLGTLVVS QLQTEEDGWCVYHAISSSIKRLVASPALSYTLRCHVIVYVLQHLSAEHATSSPRQPSP LVNKASKWQYLSPDSERQKWYIFYSNVWYLVFAMEIDLCDTGPEPFAWSVATAVVALL QQLEMQYWVTFPLQVDALHPWLSRKGGMVRLVVHLLVAGVASRAPDAIGFLRAYVFHD SFPHARNDSSMVLTLIRDVVGLLRASSSSAYPNRSMIMDDVQFVRELVRRHRGMLQLL LLDSNESVLHGKSTDTNDSVLRLLQVETQLNLQWSIWDSVMPPDSHNTLWHMEMTFQR IVAVVLATKPLVHWELLSTLCKRQRYNAPAMDAMVVNARRAERAVWKVTSEAMEKQRL TASHTWQRILRSLTNERGPWGQPPLPDVASAVSWKLDGSENHMRQRIKLKRYYNAKQA PVPPTVTWLSPDAQISLATELLQAKALASYNEDFYRKHTLALYEDDDNDDVNNSGDNQ RMIRPSGSVDVSFACEWIAKTKVQPGRIQIGPTYITLHVEAKKKPKKFTLHDLVCIHF RRYQLQPNALEFFFRDGVTLFVNLHDRKTCQEVDHVIRSMSVPRLYPLGGRSPRQLFE RSDLTERWVQRKISNFDYLMHLNTIAGRTYNDLAQYPIFPWVLADYTSPTLDLNNPTT FRNLERPIGVQNDASMAFFKERYNVLNVEYQRSVKGSTSMDDMPQLPPFHYGTHYSTS AFVVGFLIRLQPFMNYHLRLQGGKVDHADRLFHSIDAAYKSCTSNPSDVRELIPEFFY LPDFLVHSSSTHEPLGVKQNGDEVNHVELPPWAHNSPAEFIRLHRMALESEYVSLNLH HWIDLIFGHKQRPPLFGGTSHAVDACNVYFHLTYDGAVDLDTLKQTDPHLYHTTLRQI DCFGQTPAQLLFRPHPRRTLATDVIRPLFQALSAGLVGYPRVRLSRFRRPLLFLSIDG DSCVGIDTNRNVSVHKWHACPPDHEPPFHLTTRPSVHYSVGVPFATHAVTTYAETAVV LSSSLFITFRKHLFSCGHWDHSIRLTQLETGRPIQTLRQHHDVVTCIALTDDGTYLVS GSSDNTVMVWAFDVESEQMVPQHTLYGHDDGVTCVTVSTSYNVVISSSRDGTLIVHTL SNGRYLRTIRSLSQHHKPSRLTWVGLTQTGHVVTYGESTSTLYLYSINGKCLASAVVD QKLHAFYLTKDGQSVVVGGRGQSVAVYRLHDMQLVLEFDGSSDKSTAPRGFQSAIHSL CLSSDEMHLMVGLANGDAYIYTPNAAYLRERLQKRLTNLGF H257_04105 MDMSAGGGGDHGRFRDTKVPLPPPRYRFTSEHTSRVITMTAPSV GDLDVKPNLAALIDYTVPVPLSRWGLQVSKPTFQSNYAIPPPRPIPASADAAAPSYPP HQPACTIAVKAEAVAAPTQASHLHLAMRTPSHQPSTSRTSSVFSISTAPAALVPALDS TFHPIKPEPSTTSMLRPAGLNNKRALQLDHDDTVHQQPRRRLSIADDDNRMYSRSSRI PVGAHDMIIRVLFSGPLRGASNGSCVVPSCTHAPSSPLLVEWPFLDVQDHVDHLQSCL LHPDGLNMHEGSPRGVQLACQVATPATVHALRLCPNVKVVHFFGHGSAQEGLYLEGDD FTATPLPWPDLAAVFQQANACRPIIVLSAHSNDGLGSTVADVCGVSYVVWVDLSHHSA HKDFLTHFYTQLVGGATVQASFLHAKTRVPLTACELYCSQQPRVFIKEELHHARDSVM YPPTGDDRSSSASNRHVACPALASFTGFPRFTHRFCERSHEVGHIGAYILHPSVRLVV ISGPPGMGKTSLVTAVAQHLHVRAKVHALVYISTIHDHGMSLVQMIERAASQQHTRRL ASPVSLSSSPSPPCLVVVDDNNASTLSHGKLWEDVAAICASHAHIKFVITQTESARTP EWTRGGLVTWTMPPLSVLASARLVQSVQHLCPDTVLDLATDVRVVQAKGLPGNLVALL H257_04105 MDMSAGGGGDHGRFRDTKVPLPPPRYRFTSEHTSRVITMTAPSV GDLDVKPNLAALIDYTVPVPLSRWGLQVSKPTFQSNYAIPPPRPIPASADAAAPSYPP HQPACTIAVKAEAVAAPTQASHLHLAMRTPSHQPSTSRTSSVFSISTAPAALVPALDS TFHPIKPEPSTTSMLRPAGLNNKRALQLDHDDTVHQQPRRRLSIADDDNRMYSRSSRI PVGAHDMIIRVLFSGPLRGASNGSCVVPSCTHAPSSPLLVEWPFLDVQDHVDHLQSCL LHPDGLNMHEGSPRGVQLACQVATPATVHALRLCPNVKVVHFFGHGSAQEGLYLEGDD FTATPLPWPDLAAVFQQANACRPIIVLSAHSNDGLGSTVADVCGVSYVVWVDLSHHSA HKDFLTHFYTQLVGGATVQASFLHAKTRVPLTACELYCSQQPRVFIKEELHHARDSVM YPPTGDDRSSSASNRHVACPALASFTGFPRFTHRFCERSHEVGHIGAYILHPSVRLVV ISGPPGMGKTSLVTAVAQHLHVRAKVHALVYISTIHDHGMSLVQMIERAASQQHTRRL ASPVSLSSSPSYDIPSVLCS H257_04105 MDMSAGGGGDHGRFRDTKVPLPPPRYRFTSEHTSRVITMTAPSV GDLDVKPNLAALIDYTVPVPLSRWGLQVSKPTFQSNYAIPPPRPIPASADAAAPSYPP HQPACTIAVKAEAVAAPTQASHLHLAMRTPSHQPSTSRTSSVFSISTAPAALVPALDS TFHPIKPEPSTTSMLRPAGLNNKRALQLDHDDTVHQQPRRRLSIADDDNRMYSRSSRI PVGAHDMIIRVLFSGPLRGASNGSCVVPSCTHAPSSPLLVEWPFLDVQDHVDHLQSCL LHPDGLNMHEGSPRGVQLACQVATPATVHALRLCPNVKVVHFFGHGSAQEGLYLEGDD FTATPLPWPDLAAVFQQANACRPIIVLSAHSNDGLGSTVADVCGVSYVVWVDLSHHSA HKDFLTHFYTQLVGGATVQASFLHAKTRVPLIILCLQSPRGMSRAGVFHWLSSLYPQI LRAIARGGPYWSVHFAPKRAAGGHLWPPGHGQDVARDCRRAASARPSQSTRVGVHLDH P H257_04106 MRRAHEPEPEAMPHKRAHLDKSMESLSLVPWQPCPLMLELHPTC NLPLEDKERLRVEGLYTIDPADRANFFGLGRDDFERVLDPMWLRRFHRCICHFKTEMS PYGGATAFVIVPSAKSHVLINNSPAANRVPVTLADGDEIVLAQKSDGTQLKYVVVKRD RHPTMDATIGVLFAAPLVEFNADGVETALPELDFRSECLVLQRCLFDASRLKETIATT PYDDRMTVRVPRPIHLRVHFATKASFQSWCRGLQVLHFSGHGNDQCVYFEDGSGVAVP VTPHQVAALLPSPMTLQLVFVASCASENMAQAFVAHGVPHVVCTKSNTELEDKAAIAF TRRFYETLAQGYSVQAAFDRAKNLVAAVPNTRNPTDVAEKFQLLPPNCDHDAAVLFPP LEMDAPLNSTDMTTEWTQLSFTAMDRSSSSSGSTDSTPSLYDLFPNKLHHVRAGFGYR NVDMRHLVRAIQTHPVVTVTGPEGIGKTQLALATAWFLDLRHPQRDSVRVCLLGGVLD RVLHDTTASCSPLDQVWARHVAGVASALGTTLLPELWPSVIILDGCDVLHTHCVLREY VQDFVWGLLGRQPLLRVVLTVRTPLMWTHGGGCTMPLGPLAMTDAAKLLQKSLNRPFT AADYQALELAIPPASSMSSDAMATWVQTSRVVQATRGIPSQVLALVDRLKFHESTQTT HP H257_04106 MDATIGVLFAAPLVEFNADGVETALPELDFRSECLVLQRCLFDA SRLKETIATTPYDDRMTVRVPRPIHLRVHFATKASFQSWCRGLQVLHFSGHGNDQCVY FEDGSGVAVPVTPHQVAALLPSPMTLQLVFVASCASENMAQAFVAHGVPHVVCTKSNT ELEDKAAIAFTRRFYETLAQGYSVQAAFDRAKNLVAAVPNTRNPTDVAEKFQLLPPNC DHDAAVLFPPLEMDAPLNSTDMTTEWTQLSFTAMDRSSSSSGSTDSTPSLYDLFPNKL HHVRAGFGYRNVDMRHLVRAIQTHPVVTVTGPEGIGKTQLALATAWFLDLRHPQRDSV RVCLLGGVLDRVLHDTTASCSPLDQVWARHVAGVASALGTTLLPELWPSVIILDGCDV LHTHCVLREYVQDFVWGLLGRQPLLRVVLTVRTPLMWTHGGGCTMPLGPLAMTDAAKL LQKSLNRPFTAADYQALELAIPPASSMSSDAMATWVQTSRVVQATRGIPSQVLALVDR LKFHESTQTTHP H257_04106 MRRAHEPEPEAMPHKRAHLDKSMESLSLVPWQPCPLMLELHPTC NLPLEDKERLRVEGLYTIDPADRANFFGLGRDDFERVLDPMWLRRFHRCICHFKTEMS PYGGATAFVIVPSAKSHVLINNSPAANRVPVTLADGDEIVLAQKSDGTQLKYVVVKRD RHPTMDATIGVLFAAPLVEFNADGVETALPELDFRSECLVLQRCLFDASRLKETIATT PYDDRMTVRVPRPIHLRVHFATKASFQSWCRGLQVLHFSGHGNDQCVYFEDGSGVAVP VTPHQVAALLPSPMTLQLVFVASCASENMAQAFVAHGVPHVVCTKSNTELEDKAAIAF TRRFYETLAQGYSVQAAFDRAKNLVAAVPNTRNPTDVAEKFQLLPPNCDHDAAVLFPP LEMDAPLNSTDMTTEWTQLSFTAMDRSSSSSGSTDSTPSLYDLFPNKLHHVRAGFGYR NVDMRHLVRAIQTHPVVTVTGPEGIGKTQLALATAWFLDLRHPQRDSVRVCLLGGVLD RVLHDTTASCSPLDQVWAR H257_04106 MRRAHEPEPEAMPHKRAHLDKSMESLSLVPWQPCPLMLELHPTC NLPLEDKERLRVEGLYTIDPADRANFFGLGRDDFERVLDPMWLRRFHRCICHFKTEMS PYGGATAFVIVPSAKSHVLINNSPAANRVPVTLADGDEIVLAQKSDGTQLKYVVVKRD RHPTMDATIGVLFAAPLVEFNADGVETALPELDFRSECLVLQRCLFDASRLKETIATT PYDDRMTVRVPRPIHLRVHFATKASFQSWCRGLQVLHFSGHGNDQCVYFEDGSGVAVP VTPHQVAALLPSPMTLQLVFVASCASENMAQAFVAHGVPHVVCTKSNTELEDKAAIAF TRRFYETLAQGYSVQAAFDRAKNLVAAVPNTRNPTDVAEKFQLLPPNCDHDAAVLFPP LEMDAPLNSTDMTTEWTQLSFTAMDRSSSSSGSTDSTPSLYDLFPNKLHHVRAGFGYR NVDMRHVRLISHPDETIKTIGRSMYIYIFSTLVLYIISADQVKHDSSVLYYNYLGSFD VEFNNGLHYCEFAINNQNSHGLANQC H257_04107 MRHPAAVAVNARRKRAGLGRQRLGLAGHRAHGRTAGRSTVRQCL EHAQARTARCRSSPGHTDLDGPSQVVHTWATEAAASPVLWWHRQLQHSNSHRDNHTEY HHSSRP H257_04108 MVAQRHFRNMQFHQRPDLNGAGNSFDLHAQKKSPAPVQLILPNE QVLLGFPPDNTSLSTPPPNNSTSAVKAVFMTNPMRKHPQVIEFQVAFSVVAAVNLMFT LSFLSPSENTRAWFNTSPYSLTEPRTSIDEAMPMLMIASICMSLVAGVVSVWRKAPLP LRLFVLYTSVQDLALLSTCVTSYVVLLRLPLDVALVFVAHRLRAALVCRWFVACDKIR H257_04108 MVAQRHFRNMQFHQRPDLNGAGNSFDLHAQKKSPAPVQLILPNE QVLLGFPPDNTSLSTPPPNNSTSAVKAVFMTNPMRKHPQVIEFQVAFSVVAAVNLMFT LSFLSPSENTRAWFNTSPYSLTEPRTSIDEAMPMLMIASICMSLVAGVVSVWRKAPLP LRLFVLCTTTTHPTKCYVHHMYDEY H257_04109 MGHGQFVSTMLVHTTVVNPGLNWIRAPGRFSKSVAILYSIGFLI AIASVSIGYEVANNPPNFYVVMGVSRDTPFSEIKKAYRSRSVELHPDKNPSPNAADEF KRLRLAYDVLSDSTKRDVYDFYGEDDALKEEGKAQSSSDLGQITSLLSYYVVWAILTY VLTLGDAPDVRAWAYSGCLLMLVAEINITLAKVGLSKAFFPQITVYELVQFMHLLYPP FMNGCRSMGAFYVRNLVQENYDLSIELLKSNKMILMGMRQLNAELQGLGHPPRRPKTQ KQHPSVVGAPPDGGVGQQPTAEEAELIQPSPAINNAGGFAVPKFVYAIGFYLVMNYIF G H257_04109 MGHGQFVSTMLVHTTVVNPGLNWIRAPGRFSKSVAILYSIGFLI AIASVSIGYEVANNPPNFYVVMGVSRDTPFSEIKKAYRSRSVELHPDKNPSPNAADEF KRLRLAYDVLSDSTKRDVYDFYGEDDALKEEGKAQSSSDLGQITSLLSYYVVWAILTY VLTLGDAPDVRAWAYSGCLLMLVAEINITLAKVGLSKAFFPQITVYELVQFMHLLYPP FMNGCRSMGAFYVRNLVQENYDLSIELLKSNKVGTTSSPYLIFLYPRL H257_04110 MTSVFQAISRRFSSPDAIPMPTPTFTLPPNDTVDPKSYPSLNDS VSKSECLLLLKRSAKHDLPNQCQEYIAQIQTDPHVALPSASTHASSCVHIAATMPPQH QETSECDATARSDVNLK H257_04111 MPTTKEAALAKINHLRCSGSSLYHTSSYVHLAGSEAKANPHPIA DNAVVEAFGVGKCPRLVRLLSDDDVGVLVHTLKCLTGVLNNPRDVVACLDENHSILDP LSKLLYHGNAEIQQLAAACLHLIASHANGRAALIAKRTMRKIMKAFARTDEVVVQNLL DTALHVSTILAGAQEMTQNSYVPIILDKLKQEPLSDAVALRTLRLLKAFVNDAMSGTV LRIVEAGGVEMVSRFVFSKSKTIQVAALHLIGAMMYLDRGRERAMTHGVVKRLCKVLM DKDASVCIASAGALMVLTIHDDAKREFQEGGAIPGLLQLLYRQTFGIQLNVLKLIACI ASYPPARHQLRTPQMEKIMLALTDDDSELLARSAKVALHAVLWMP H257_04112 MSEVEETLERIKNHKGVEGYVIADKNGSVLRRHPHMDPANAERY STYMKELTTKARGVVRDLNPKNDLQYLRIRLKKFEILVAHEREFLVIVIQKWSPASN H257_04113 MAAHPVFLTQALLDAGKIPHGSQVRWIGLVRDVGQPQLMVDPTD DSAYIETTPHRCEVIPGRAPWLQEKQAALQSKFPSGIQRAGRSTGSSVLGKTKRSTSD DDDDEAACSKSDRHRPSAIDKKDESTISITRPSFQVIVRAYQGIQYKVNHVYEFVGEL DLTPQALDDLDMEWQGEDATSSRNTAKLLEFIPTLHAKDATERDYACDVLNHVGTYES YPGKESNGRRIEWCLEQWKHLGYPCTVEEIREQLIAYLASSLQHDSLSAEFVALCLLS RVYQRSQVATPFGHFAVNLVFPKATPDAVGSSCGKLLEAIRRIVPMATSLNLSIESLC TTSFCPRKDYTIDYLHPGLLQLPDGAAVVVDESKMTTGTLNDQGTRNIHALVGLVETQ TLTYDFQFYHTVFHQDVKFISMSTSKSILPSAVNVVRQATTTGTASTTTPPEALLQCF RLYIAVFRTLDVELGNDGAQTAEHWYVEARKADKAIGADDLHRLVRVARLVALSLGQS VVTLAAWQRALELDKAAT H257_04114 MPGSRSNSPRRDDSPRRNDRSRSRSPDRDQGNSKRKVGTAARWN EKGFGFIKPDDGAEDVFCHFSSIKDGNCLREGDKVEFEVRFDEQKGKNRAEDVTGGVY EERRPRDTRSAGECYDFKQGRCHRGDSCKFSHGGGDRYDDRYDDRRGGDRYDDRRGGD RYDDRRGGDRYDDRRGGGRDYDRRSRERY H257_04115 MSTSKVAAVVCAGAALRVGLFACGLDAFAQNRLEYVTPLNSLLR LQEGHFLYKAGVNPYAGDTFHQPPLVLAVYTLLDALTVFHISLRTCLIGWTIFMDVVI ALGFASMCRAFLSSQGEQQCERSKIWLNHPPVSSLLAPEMLPATTAAMFLFNPYSVIS SLALSTSLVTYASIVWSFSFAVQGLLVHAIVLLALATYLSVYPVVLVVPVLLMIHQAR SAASFSTPDQPTRVPLPRVLLSIGLFLTSVGGFVGVSFVYMGRTWDFLRATYVWMHEY PDLTPNIGIFWYFFMELFDRFQSYFLVLLHLHPYLYVLPLFIRLRARPLAFACVLLAL VSIFQPYPSLGDIGFALPCFLLHPSSIIGMPTKFVLAAGLGVATVLLPVMGFLWLYPG SGNANFFYNQTLVFQYFYLRGIGQFLAATLRRDKQLDEHVKLNRPKLT H257_04115 MSTSKVAAVVCAGAALRVGLFACGLDAFAQNRLEYVTPLNSLLR LQEGHFLYKAGVNPYAGDTFHQPPLVLAVYTLLDALTVFHISLRTCLIGWTIFMDVVI ALGFASMCRAFLSSQGEQQCERSKIWLNHPPVSSLLAPEMLPATTAAMFLFNPYSVIS SLALSTSLVTYASIVWSFSFAVQGLLVHAIVLLALATYLSVYPVVLVVPVLLMIHQAR SAASFSTPDQPTRVPLPRVLLSIGLFLTSVGGFVGVSFVYMGRTWDFLRATARPLAFA CVLLALVSIFQPYPSLGDIGFALPCFLLHPSSIIGMPTKFVLAAGLGVATVLLPVMGF LWLYPGSGNANFFYNQTLVFQYFYLRGIGQFLAATLRRDKQLDEHVKLNRPKLT H257_04115 MSTSKVAAVVCAGAALRVGLFACGLDAFAQNRLEYVTPLNSLLR LQEGHFLYKAGVNPYAGDTFHQPPLVLAVYTLLDALTVFHISLRTCLIGWTIFMDVVI ALGFASMCRAFLSSQGEQQCERSKIWLNHPPVSSLLAPEMLPATTAAMFLFNPYSVIS SLALSTSLVTYASIVWSFSFAVQGLLVHAIVLLALATYLSVYPVVLVVPVLLMIHQAR SAASFSTPDQPTRVPLPRVLLSIGLFLTSVGGFVGVSFVYMGRTWDFLRATHADQVCA RGGPRRRHGAAARDGLSVALPWFWQRQLFLQPNARVSVLLPARDWAVLGRDLAP H257_04115 MSTSKVAAVVCAGAALRVGLFACGLDAFAQNRLEYVTPLNSLLR LQEGHFLYKAGVNPYAGDTFHQPPLVLAVYTLLDALTVFHISLRTCLIGWTIFMDVVI ALGFASMCRAFLSSQGEQQCERSKIWLNHPPVSSLLAPEMLPATTAAMFLFNPYSVIS SLALSTSLVTYASIVWSFSFAVQGLLVHAIVLLALATYLSVYPVVLVVPVLLMIHQAR SAASFSTPDQPTRVPLPRVLLSIGLFLTSVGGFVGVSFVYMGRTWDFLRATYVWMHEY PDLTPNIGIFWYFFMELFDRFQSYFLVLLHLHPYLYVLPLFIRLRARPLAFACVLLAL VSIFQPYPSLGDIGFALPCFLLHPSSIIGIVKF H257_04115 MSTSKVAAVVCAGAALRVGLFACGLDAFAQNRLEYVTPLNSLLR LQEGHFLYKAGVNPYAGDTFHQPPLVLAVYTLLDALTVFHISLRTCLIGWTIFMDVVI ALGFASMCRAFLSSQGEQQCERSKIWLNHPPVSSLLAPEMLPATTAAMFLFNPYSVIS SLALSTSLVTYASIVWSFSFAVQGLLVHAIVLLALATYLSVYPVVLVVPVLLMIHQAR SAASFSTPDQPTRVPLPRVLLSIGLFLTSVGGFVGVSFVYMGRTWDFLRATARPLAFA CVLLALVSIFQPYPSLGDIGFALPCFLLHPSSIIGIVKF H257_04116 MEPSQDDVNQVAPQAEAVLEPPPVDGVQQHSPPVNQYPAASAAS SYPPPPQNRSRSPYRGGGRNRSRSRSPPRRRSRSPPYRGRRRSYERDDRFRGPGGPEQ YPQRVETEALESYKAFMMRQEDNVAPEVCLQRYEDYKKRVLVKSSRSFFDLHKMEEWL QERYSPAIRHRHQQQKLSKKRADAKAFADRVKTTPLSFDEAAWGDDAPSSQPPASDLE DSARLLYIRRIPCSCPFAVLSEAIHNQGAFDDLLLSDPLKKKDMEYERSAYILYPTAA AATAAMPKLQNLLVEAPEMPHPLRLQVMIYRTRAPLKTPSYMSLPDRIAFDFDQALYL AKLLDKQSFSNDETVGIEAILADVEAATTAHRLDVVIAYLRRVHYFIYYAGVQCLDMG DVMHAYPAVFVRPAATDRDIDDDKTVTHKVFSDGGATAGSGWGAWSVSLDERIAAHLK ANAPSVVEAKRAAELAMVHDIEAREEVALEPVYHSYTEKAGDDGKHRCLLCTKLFKSV EFVKKHIRNKHPELVVEKIVSAGEGCMWDEYREDPDRPMDPIVMTNQPVVQLRGGAGY DSRSRDSSYYGRGGGGGGYRQAPSYYDNGGGPRGGGYRGGRGGGGYHNAPNYPRRYDA RPPPPRSDGRPLPVDPRQISTSYQDLDSIHTPAVKLDFQDALGSLPPPKKLKKADDGH VDG H257_04117 MSTNNSMETTTAVVEILDSPVVTPRVPGKKTQQMKLHVDKESLD DEIGRRQIEKSLKRAGWKYIEGKAFGGAYCKPSVKLMKSTGKLSGVHGVDYFDSLETF EDHVRSTPALMDLVRRDLDSGHLNDPHQELLTPEPLKPKKRLSYSGIAKSKRHSIESA PLCRDLDNIRFGEIDNILTGRGWKCVDGPLGFVYCKPHVKVSGRKTKFTGKEGQDFFY GRDDLEQYVRSHPHLLKSIRDELLAPSSDDESNSRSSTSNVTTPIPSTPKASTPSASS TKAKKDETPKSTGHVAKAKKATVKDKASPALLLPKKAHRRSLETDTIDRRDSHHDAAA ARDDGHEKSLLASTATKTSGPAATKKRTSAASSLASNGVGGANKKPRRSSASPPQPSR ECPPSTLHVTSEVLVEAEAAAAPPSSQQDDKAASSSSSSNDQYVENSHAQQPQEQPSS SSWRCTIQ H257_04118 MAATPSLPSRPLIDIVVKVRRPWWHHIINVAGVVYMLCTVSLSV FVWTIFGTYMANDIFLPHFRDAGMQSALIDLFNTALVTANTSWFDITDPVFAIQKSYE GTSTAPQPVFPTYPRSLLFSGKQSLEASVLSLRDLQLDDLGYFTCMYCWMDFKRQWEL AHSEQRQQRCLLQKKRNAAMYFEALMRNTDFVGMELLSQGAFDIAYFNEISKTAIGAA WVYYIRAHSWVSVAEEVRVWTQYNLNQFTMQWANQVQSGVEESVSITNAVGFVSYLRI KSMPKRALSVLSSSGTLTSTPTNDYTAMLESQSSLVRSSVVFFALHNTSNNNPHANLI DTYLGPPVNAVTRAIRAQWGPFGNFDLEYVAPPGSLTVFVQHFGAAFVAFIRNVPLID TKPASCTTTSLHPTPRKWTNTSLVFYGGDPLCLNGQPQPFIQESFGFDSACTHQNPFS IDWSVPKILFAMAILGQQTVSSMCTLVPQDEKASCGDMLGTALGANAAFQAFVHTDEI PTVIRDIVALEVSTVQFVGRAGRDITIESQALHDPSDKAWSVFGWMSLFEWARGEREV VTFQNDFRDLTLMSHVYRSQYIHSNPNDVGYKLGVTLLVASAIVPGALCIVMVLVLWV WLRRQRRFVGRNWFVFNRVAGTVWIGRPLLVIRGVVAMMCLATAPVQLVGDGTTSHFE LVPRTVLQSLVLAGEATWITYVLYDLIYPIAARASVQRYAPLSSILAWIITFGLDYWV PPTVTATIDRQCVFSSIGTQIACSAGSVQVGSKSQMMLIWTVQISTVVVCAVLGQLSR ANVKDAASSSPTLILPGAAMTFMHQNTQVLGCWCLDDASAVMSGMVYFHLNHRAYVAD VTLWLVLDCSMYDIRRLDHAILFPHSFERSLASSICKSDNRVGVDDAPARYARVPFTS RLSFVRKPNRLTAKHPGRRYTDDGASPRDSSSSALLIHRFGPDVAIAIGFGMIMLSLV TNVIYMLVTAPQSMANDYYWASFNSTGTHAFLIQLFNRQLLDVNANKVVRVDTPGYAD LTQLYNESTSVLLSGGVTPKQHLYGFHSTLDQVIVDLRAMDPCMMPWMFTQYCWVDFT KRWEMASTAARQRRCDGSNGAMFLEGVLRNLRSWDNWRSCWGDSFEIALARPLRQHVA GRQWLEDVQGGWRPVPDEVAAWASHAITRFTLQWQDFKSLGFSNSFQVENTLGAQYEL SMSNNAGAWRQHLQSTFKMYWGFASDLWAVASNDTSVCGLSLIRGATDFAFSNISREE LLVANTTIPNVLPSGLQNLRDLLGPFGNVDMTFESPPAVLTQFYHALMSNLSNLTFTD VQAQRSFLALPAKIYYSPFPPQIAMYNAVVTLQGGNLLCGNDMPDLAGAIDRQSSMYS AFSTDNTCSLGNMQNGRPDEFQTLFAVVGTSFNHNMTDEYIAQTCVWDAWPMANCADV YASVLAFATSHNATFGPLRALAQATHVQITSMNIMFVQFIVNHTEPSLFQVNILDPTN AVWQFTGWRFLYDWVTGSREVVTFQGDAGAISTMSDQYAQHSMSPNASEIPRDVSFVL LVVCQYVTVLFVTMGGLIALYTVTTRGHIKGGNLLKMSRIVGLVWAGRVFLFIRSVTA MICLHTARLDLVQHGHATSFVSPPLAWTDLMLAALELHWFVYILNDTFTFVTQHYTKY YASHSAWLAWLVTVIWSQLEPNQHAVRVRRTCTAVDMDFELVCSSGVVEIGSISRVGV SIAICFGSVVVCYVWQLRTRRESSILDIPSLMLPSQAKYLLDFHEWCFHDTYFIDQPS ALMAGVISIEWRRRMYLFDIKKWRFFSVSALVVHPSAPPRFKYAIPILE H257_04119 MSREWSTMLQMATTVDGICGNAKWPALVFDSYQSARDWMVDVTP LENLKPVLDVEDCVLFYFGRCSGQPVTRPEDVLHIAIMPRARASLSAFTPSVDNVDPL LALAFKEAREFADATSKLRAMEALVRFIIQDSSYALPGDDEEDNTVDWNEIFESLWYM LEADGWGVLNFNDKLAYSIPSVDFSTFEVGKTVLQSKRLALKKAIEYYASTSCPTSDA LWDAVWTQMEQRKQSRMMLMQRIPCFLTPLVDDLSQLVPGETMFSTKKDAVLQFSRRI PDSTPKKKPKRVLLPPSPVAPTHPTTNNETTVVLDDQRMTEPAVAPRRTLKRKMPLQP VRAPVYVEKAATTNPRRVASSAYPWGLVWDILSKDYSWTYKGGKFGFDFRSPDGLVFE TEESVMEYLVATDMVGEVDRLIKLHGAPTPDQNKENSSLNVLLNATNDVASHTTAKKQ TTKTNQPGEEYDDLVHQVVPTKGLRKAKTLQPKKRLSYSTPKKHNDGSRRLEAKSARN ARFDVVSFGQIERVLKDSGWKWVSGSMGYVYCKPHVVVGNKGKTLSGKENVDYFSTKE AFECYVRANNDLMRVIYGAIHGTPVQPSPDVFDMSSDEELVTKVLVGHTTKPVEVQAH QQRKPKSKKAPPVDFDEEDMVVVRKKDAGSSTRTIKPSKWLLDEDHHHHHGVPEFKVQ FANVYKILQQKGWTHRVGMFGYDYYRPNTTAANKKLNETFYHSEADMEAHLKHTGEWN KIADYLVLQHERAQARLSSPSSMSSSSDVASPDGSTAVASPPPVGLFCRMWRRLEDNG WTKIAPNDMEGVYMYSSPNGTRFKKEELQLHDVEALIAEHKKTDVVELNDSSDSDDMQ NDEGHLDNDDNGGMSEADKDPIDPAHPSPLETPGFRPAATAAAASESTYFLSPEESTV KTDKVVARNVQQDFTPSPSDATSSSKGVDVPPTSPSKKRLFRVEMERVLHNLGPGFTT DAAPLLHRAPEWQALVNFVDTCITTGQRKSMFVSGAPGSGKSALMKAMEQHVATAWTA CKTSLQVINLNAMSLGDATSVYKAIAAQVTNKECSTAEQATDALSLAFKSTHTTTFLI LDEIDVLLQGKGERDLYQLFEWAHHPFSSVIFVGIANSIDLTERCLPLLKSHDCKPVS VVFAPYSFDAIFDILKQRVVVGSLTPKPVVDVMAMSYLARKMASTSGDIRTCLSICKA SLLAHQANDCHVPVTLQDMVQVMKTNMNAPTASHWQSLPRMTQLVLFAALQIKADRPG VYNTSAAYDKYCELVRRSMNLSQLLSVGEFNQKLDTLAADGLVEFKKDKTTFKLFGSP ERAAKFFAADSFFNALR H257_04120 MAAVDDDAAAVVDGLFDAIWSSLEVEGWSKTKYSHGQLYLAPDA DLMNFKMTVNVFERKRPALVLALELTNPPNTPNAVAIWDIVSAFLISAKCVSRIMLSA QATWYCSPRVENLAHLVPNVTMFETQRGATLKYLCSIFPESVALKSSFAQDGHAASSP QAAMPTVLPSPVGINDANTPSTCTLDSNDASLSPNEIPMEAIWRVLETWQWTRQETSA RTWSYMHPTLTSPIHTDVGLRRYLGTSGLMRDVATAALTSNSPIDRARTITRPPRPAM PMTAKTKKKKAQPRPKLVLGEIEDGLHQLGWKSFEGSLGTVYCKPHVVVFAGGRLKVA SCSGVHGVDFFIGSTSLVEYVRGTPALEASVRHVLASDTVVEAESVPTAAPQPPSSSR APPLPATAALCPAPTLRSHGHKTSSSKAQFAAVFQELTKLGWTRRPSTLGYSYCKPPT DDLNEPTEFFSSADVEAYVRASGEWDRIEQLVQRKRSQRHRVVDDDSCDDVWAQLKAK GWTRKRLADGEVEFHAPLDGQHATSAEPVVMPGRKRRRRQRPADRNDDNMGEETKARM TGESDELAPQTTVASTLEGDEHRVELSTLVHEDRSSEPAQVEMIVLPEPCNNEECFPN VAGDDVQVDETMSQSIISCTESPASLLDAIDEPALLPPCNLDATSVDAPTDKAQDNET QATEHLSRDLPDDHLAKKGSSPLTTPPNNSSSLHDLFMDGEFISLHDVLDDVATAENE PLMPSSDVSHGDCDQAFEGSVLEVSSSSSAPIPPLALESKSVAVAHESDAAMAPSACD NNTRPQFQTSPSHVVVVGSCTSSTTTTAPATLDAAQSFEAQRTSALDALSPRYRLKSL VGRDVQWQAAMAFVARSFAVGCGSVCVSGPRGTGKSALVALLEEQVAAECAGRGRQLR PRHVDMSASVPRTSSLFVELANEMTNVQYASDADAMSALEETCRDPSWLTFLVVDNAD ALLTDADNDQSVLDELIRMAHRPLSSLIFVFVTNSVKRSQAYLQRHPTNEVASIACAP YSKDALRWILNQRLADNSVVDDAAIEFVAQQVAEISGDAQVTLDICVLAILLMQRRQD HVPVTVEVMVTATSACLTHYRDQLMWALPPTTQMVLYVLMSTIDKTGLVGSLQRAYTV QCGRRAPLSRVDWGAHVQMLYGNGVVDIDNDMYTVRVTLENIVDPET H257_04120 MAAVDDDAAAVVDGLFDAIWSSLEVEGWSKTKYSHGQLYLAPDA DLMNFKMTVNVFERKRPALVLALELTNPPNTPNAVAIWDIVSAFLISAKCVSRIMLSA QATWYCSPRVENLAHLVPNVTMFETQRGATLKYLCSIFPESVALKSSFAQDGHAASSP QAAMPTVLPSPVGINDANTPSTCTLDSNDASLSPNEIPMEAIWRVLETWQWTRQETSA RTWSYMHPTLTSPIHTDVGLRRYLGTSGLMRDVATAALTSNSPIDRARTITRPPRPAM PMTAKTKKKKAQPRPKLVLGEIEDGLHQLGWKSFEGSLGTVYCKPHVVVFAGGRLKVA SCSGVHGVDFFIGSTSLVEYVRGTPALEASVRHVLASDTVVEAESVPTAAPQPPSSSR APPLPATAALCPAPTLRSHGHKTSSSKAQFAAVFQELTKLGWTRRPSTLGYSYCKPPT DDLNEPTEFFSSADVEAYVRASGEWDRIEQLVQRKRSQRHRVVDDDSCDDVWAQLKAK GWTRKRLADGEVEFHAPLDGQHATSAEPVVMPGRKRRRRQRPADRNDDNMGEETKARM TGESDELAPQTTVASTLEGDEHRVELSTLVHEDRSSEPAQVEMIVLPEPCNNEECFPN VAGDDVQVDETMSQSIISCTESPASLLDAIDEPALLPPCNLDATSVDAPTDKAQDNET QATEHLSRDLPDDHLAKKGSSPLTTPPNNSSSLHDLFMDGEFISLHDVLDDVATAENE PLMPSSDVSHGDCDQAFEGSVLEVSSSSSAPIPPLALESKSVAVAHESDAAMAPSACD NNTRPQFQTSPSHVVVVGSCTSSTTTTAPATLDAAQSFEAQRTSALDALSPRYRLKSL VGRDVQWQAAMAFVARSFAVGCGSVCVSGPRGTGKSALVALLEEQVAAECAGRGRQLR PRHVDMSASVPRTSSLFVELANEMTNVQYASDADAMSALEETCRDPSWLTYEHDSPPR SIYFLDCC H257_04121 MADVSVDWDGIFNKVWPSLQEEGWGASTHEDMPSFYFSPDVTFL TFEVNVTILPTKRDLLRRVLAVHASEDSPMAASHEMLWDLVWASLETSKCASTMTLRN VVVYYSTAVSVFDLELHKTMFESKRAAVLQLLGILPPETSKQQASPEKPPATMEPTTL GRRKRGRPRLHMDAPSCSAADCTNLAVRKGVCYRHRLSQTPGNSLANPVNPSLIDVVE SSGSAKRRNKGHKSELGPRQHGARRDRADQPPQEHDVIELLDDSSNGDDDDQVAAKSK QKERRTRQAQCVVPRVASFKRKGLHEKTTSQAGSGKIKTHRRHDK H257_04122 MVWSVPSIEHMPSLQFDGTSFPLWKARLLAYAKLKGVVNVFATD HDRIAALGTPVCDREAQEDVANWILLSAMDAAMYDLVAHLATPRQRWTALLQHFEPPS HTSTAVVAAKRAFYSSEYDPSTQSIAEYVNRTLSLRDAAAAVDPSITDAVAAEVLLEG VRKSHPQWVEACCRGRAGQVTLSQAVDFLSPPPVPTGHVPKATTYKRPRVATKPSPAS TDEIVEHSAVKRRKVLPSSAAFKAADDDLTFLAIKQALPSAGWTWVPTPDGLLYCKPH VTISTSNGDVAVSGAVGRDYFNSRRAFEAFIRSSAPWMAYVKRHRANARLTVVKSPGN DHLNGTTAATPQTLTTSDESFEAAWGAVLDQVWPNLVADGWSVQVGDRPLYLSPLLKS KAEPTPSKRIALLQAVHYYYYVSQQEGGDQRETSWLWSAVWELMKRHRKGESTLRRSH VVYVTPIPGRAKAQTASDQQMERGHHMAWYSSKMDAVVAFCRRERDSPHYHNEPLPLS QPGKLRIYCAVPHCAKRKQYHHICCAHGGKVWTPETLAKHVSEGGGRYPFGLVWLVLQ NTMHWTTATSDDDSRHDDDSHDDDDSHDDDDSHDDVMVEPTTGQRFALEADVWAYLEA TPGLLQDIEGRVYSDLFGSTVSSSGIWNGGGASRRQHRQVAKITNVPVTLHDMTTALG QRGWQFVPTGKFHRLVYCAPHVTYTGALYKNFSGQVGVDYFIHADDFQAHVRKDAALM ALVRQHANADSSKEHLKLRHVEGVLRRLGWTSVNSTLGTEYFKVQGGDDKLALPGENG AGTARMEKIALKLLQKQSFAGRKKGGKKGIHRQNKAGTRLDKAGTVKRGGGETVETRG GRVSKKKSPHLDDDYGAAKGVGPFRVQFANVYKELQSHGWFHRQGKFGFDYFKPEHHP ENDDGPATPRVFHSEADVEAFLREHGMWGPLEAKILAEHANRPSKVKKRRPGVCTTTE PKPPMTQEGNVDEGTDARREGAATSFLTRPPIPPADNRPSKPRRRTPKAPPSTALSTS LAQATEQHKVDVTMHGHEGHGVSDANIYDDSISDQEDDPIKVEPPPPIPTSPVDPSAS PSSVISVSTTTASPDVQPVRRVVAPLSPRDATLSSREQTFDHPAHFDAEISRLLGRLS RGFHVEKRVDSMDDGAWRSLQSCVDNAVAGTTKGASVVVTGLPGSGKSTLLRRLAAHV QSRDQQPDAAPRPIHVVQINAMELQSTSLVLRTLARQVTQQANFSTDLDAVAALDAAF GEQPVVTTCVFLDDVDVLLDGFDDTSLCRLLQWTCAPTSSLVLVAATTAPEMLRMYLD HAVRHGFLQLNVANDILKMEAVPPCTHDVLYKILSQRVEPSSSTAAVVLMDAMALMYV ARKVSLMPAGTVGMALDVCRFAVQAKQASGVRTPVSLSDVLDTFKARASSATATDAML MPLPRTIQLVVLAAWQLYQRNDVGADNESEAALRRAYDALVAPLGTYLELPDAAFHDV VVLLHSKGLVTGDEDGQSVQLRAKWNDVAGYFARDRFFDPFVNRQDD H257_04122 MVWSVPSIEHMPSLQFDGTSFPLWKARLLAYAKLKGVVNVFATD HDRIAALGTPVCDREAQEDVANWILLSAMDAAMYDLVAHLATPRQRWTALLQHFEPPS HTSTAVVAAKRAFYSSEYDPSTQSIAEYVNRTLSLRDAAAAVDPSITDAVAAEVLLEG VRKSHPQWVEACCRGRAGQVTLSQAVDFLSPPPVPTGHVPKATTYKRPRVATKPSPAS TDEIVEHSAVKRRKVLPSSAAFKAADDDLTFLAIKQALPSAGWTWVPTPDGLLYCKPH VTISTSNGDVAVSGAVGRDYFNSRRAFEAFIRSSAPWMAYVKRHRANARLTVVKSPGN DHLNGTTAATPQTLTTSDESFEAAWGAVLDQVWPNLVADGWSVQVGDRPLYLSPLLKS KAEPTPSKRIALLQAVHYYYYVSQQEGGDQRETSWLWSAVWELMKRHRKGESTLRRSH VVYVTPIPGRAKAQTASDQQMERGHHMAWYSSKMDAVVAFCRRERDSPHYHNEPLPLS QPGKLRIYCAVPHCAKRKQYHHICCAHGGKVWTPETLAKHVSEGGGRYPFGLVWLVLQ NTMHWTTATSDDDSRHDDDSHDDDDSHDDDDSHDDVMVEPTTGQRFALEADVWAYLEA TPGLLQDIEGRVYSDLFGSTVSSSGIWNGGGASRRQHRQVAKITNVPVTLHDMTTALG QRGWQFVPTGKFHRLVYCAPHVTYTGALYKNFSGQVGVDYFIHADDFQAHVRKDAALM ALVRQHANADSSKEHLKLRHVEGVLRRLGWTSVNSTLGTEYFKVQGGDDKLALPGENG AGTARMEKIALKLLQKQSFAGRKKGGKKGIHRQNKAGTRLDKAGTVKRGGGETVETRG GRVSKKKSPHLDDDYGAAKGVGPFRVQFANVYKELQSHGWFHRQGKFGFDYFKPEHHP ENDDGPATPRVFHSEADVEAFLREHGMWGPLEAKILAEHANRPSKVKKRRPGVCTTTE PKPPMTQEGNVDEGTDARREGAATSFLTRPPIPPADNRPSKPRRRTPKAPPSTALSTS LAQATEQHKVDVTMHGHEGHGVSDANIYDDSISDQEDDPIKVEPPPPIPTSPVDPSAS PSSVISVSTTTASPDVQPVRRVVAPLSPRDATLSSREQTFDHPAHFDAEISRLLGRLS RGFHVEKRVDSMDDGAWRSLQSCVDNAVAGTTKGASVVVTGLPGSGKSTLLRRLAAHV QSRDQQPDAAPRPIHVVQINAMELQSTSLVLRTLARQVTQQANFSTDLDAVAALDAAF GEQPVVTTCVFLDDVDVLLDGFDDTSLCRLLQWTCAPTSSLVLVAATTAPEMLRMYLD HAVRHGFLQSNDILKMEAVPPCTHDVLYKILSQRVEPSSSTAAVVLMDAMALMYVARK VSLMPAGTVGMALDVCRFAVQAKQASGVRTPVSLSDVLDTFKARASSATATDAMLMPL PRTIQLVVLAAWQLYQRNDVGADNESEAALRRAYDALVAPLGTYLELPDAAFHDVVVL LHSKGLVTGDEDGQSVQLRAKWNDVAGYFARDRFFDPFVNRQDD H257_04122 MVWSVPSIEHMPSLQFDGTSFPLWKARLLAYAKLKGVVNVFATD HDRIAALGTPVCDREAQEDVANWILLSAMDAAMYDLVAHLATPRQRWTALLQHFEPPS HTSTAVVAAKRAFYSSEYDPSTQSIAEYVNRTLSLRDAAAAVDPSITDAVAAEVLLEG VRKSHPQWVEACCRGRAGQVTLSQAVDFLSPPPVPTGHVPKATTYKRPRVATKPSPAS TDEIVEHSAVKRRKVLPSSAAFKAADDDLTFLAIKQALPSAGWTWVPTPDGLLYCKPH VTISTSNGDVAVSGAVGRDYFNSRRAFEAFIRSSAPWMAYVKRHRANARLTVVKSPGN DHLNGTTAATPQTLTTSDESFEAAWGAVLDQVWPNLVADGWSVQVGDRPLYLSPLLKS KAEPTPSKRIALLQAVHYYYYVSQQEGGDQRETSWLWSAVWELMKRHRKGESTLRRSH VVYVTPIPGRAKAQTASDQQMERGHHMAWYSSKMDAVVAFCRRERDSPHYHNEPLPLS QPGKLRIYCAVPHCAKRKQYHHICCAHGGKVWTPETLAKHVSEGGGRYPFGLVWLVLQ NTMHWTTATSDDDSRHDDDSHDDDDSHDDDDSHDDVMVEPTTGQRFALEADVWAYLEA TPGLLQDIEGRVYSDLFGSTVSSSGIWNGGGASRRQHRQVAKITNVPVTLHDMTTALG QRGWQFVPTGKFHRLVYCAPHVTYTGALYKNFSGQVGVDYFIHADDFQAHVRKDAALM ALVRQHANADSSKEHLKLRHVEGVLRRLGWTSVNSTLGTEYFKVQGGDDKLALPGENG AGTARMEKIALKLLQKQSFAGRKKGGKKGIHRQNKAGTRLDKAGTVKRGGGETVETRG GRVSKKKSPHLDDDYGAAKGVGPFRVQFANVYKELQSHGWFHRQGKFGFDYFKPEHHP ENDDGPATPRVFHSEADVEAFLREHGMWGPLEAKILAEHANRPSKVKKRRPGVCTTTE PKPPMTQEGNVDEGTDARREGAATSFLTRPPIPPADNRPSKPRRRTPKAPPSTALSTS LAQATEQHKVDVTMHGHEGHGVSDANIYDDSISDQEDDPIKVEPPPPIPTSPVDPSAS PSSVISVSTTTASPDVQPVRRVVAPLSPRDATLSSREQTFDHPAHFDAEISRLLGRLS RGFHVEKRVDSMDDGAWRSLQSCVDNAVAGTTKGASVVVTGLPGSGKSTLLRRLAAHV QSRDQQPDAAPRPIHVVQINAMELQSTSLVLRTLARQVTQQANFSTDLDAVAALDAAF GEQPVVTTCVFLDDVDVLLDGFDDTSLCRLLQWTCAPTSSLVLVAATTAPEMLRMYLD HAVRHGFLQLNVANDILKMEAVPPCTHDVLYKILSQRVEPSSSTAAVVLMDAMALMYV ARKVSLMPAGTVGMALDVCRFAVQAKQASGVRTPVSLSDVLDTFKARASSATATDAML MPLPRTIQV H257_04123 MLMMPPWPVFRTCSPRLSNRIHESSSHCKDKDKLDSTVILCHSN DGVCCDDLFAAVLAGNADSITLIVDQQGCDVNRMFSCRPGDLCMSLNCVRRSKVRTNT TVQRKSSLPTDAQPTCGYSLLQLAIQAGLLASVDALLDAGANPALHPETSPSCLCLAL AHTDDMFHRVLRHLKSVDDDAVEAISAAGSLPRFSALSNRLSSAQRIKALAVAASHEH LHLVQHLMDTGDDVVIQRGLHAMVQQGCLALVRHVVKCYGPSVVLYCEPNKLGDSLLH TACRAKQPEIIKYLIRCGVDVNAPNAIGVSALYMCSALGSDVAVHMLIKAGAQPRGAM GPHGDTALHVAVQENHLQTVRLLIHSGAPLDAQNEQGNTPLHVACMQGHAAIAAYVVR KGADLHRTNSNGETPLVKACQMSHRRVVELLASHGDKVKGLAYYDVMKGGRHKITKPT KPDRDWC H257_04124 MAKGKAKSVIVKLLSAANTGFFYTTRKNPRNVQKKLALRKYDPI VREHVLFNEAKLKKG H257_04125 MRNRVLQIRRCLKPAARPAKPVTRADIPLKPIVLTKEAKKLAAD EASKNALMLRFSNENSYLNWARNGVMSSGVGVAMYAQEHHRGAQLSGAGLLLLGFGYI GVGSFKYMFYILRFRQVMGTSWPSVVASCSHAAVALGIWLTATASFLESIPLEMDVML LEEPFIQFLPSRIAQGLIQTYNLQQDDPDPRPVDASA H257_04126 MSWRAQISRNIQEIRIVACSTSESSNGLRKFFRRNYEELKMLNP KTPFVYREAEDVEPFVYARFDWGVEQQVYVKNKSADDVLRVLKELNQFGETSARSPES DILLAQPIVDGRIGEDEYEPINITWDGVTIRRNPNFTAPLDD H257_04127 METARVSRSGSGKTTLTGKVNTNVGGDTEARHPSRKRRHSANVA VESGVHDVDNAVTFGEIEAAMGNLGWSWIPVGIAYIYVAPHAFRQCTAKTFRTFKEGV DYIYTQERFEAYVRDDAALLEKVRLNLQNAKKSPVPKAFIQEKEPPSDPWTSIRKPSS TAASIQSSSPPSDLAYDDSDDEPLSIKARALRRRPRSPPPTTAMNDTPITDEQSAATM LADGSRARIVEIFRLLADDCVDDAWDDVKWLHAVTTTQVDPPLNDVAHGTKRKVEASI ARPNNVQRRNDISSISTSPKLMPPSDATAAIPTDIPCPHAGEAHMTRLLERLSAEPLC AIKGDVGSPLLHRESAWHRLKRFVDIGLRSEKTRTLVLHGCDGSGKTSLLRQLKAYAI QMYDNQMATVPDRPVEDGASGFPSPPQHYKRKLHVVHASTTPRNHMTPVKKPTTTSLN GDGHTAEYLSSDGLFVDVVAAFQVEFGDVCRYDSWTTPARAVWDQVLDSYHVVQTNTS PVYIGRIIFLFVDGLNVYYHDRNILRDLFKAVHAKHNNVVHMIVTTAGPPASLLTVLK SLDLHHRNTVVALPSLNSAALTEILMDRLGPPAVDGVAIREDAVAFVGRLVELVGAGS VSIALAVCRRTLLQKYDALTSTYLAQGNNHSVKCDVLQVGDMVTSAKELFGGDVVTAR WVLAALPRVPQLIVYAAVVECGGTHEGVYDARHVHAMYSRLVVDYSLDDHLVRSSYDL FRRELDWMVANDLASWNGDVFTLFIPQDEAMSFFHGNLWKNDVGLQSTSKKHNTVRV H257_04128 METLLRQTRQIEVPSRGSSAAKKAKSTADPYENKLVAFYREEED WLSGNEYYGATILVGLVQRKMISNRFTYLLQWVPLPGDCLMAFDPTNTIHVNTNLINY VELHPKVDLLTLAQGREMFYSLGIQHVDPSIIVRSAISPETQHWSVEIPWEVEADALY WEHDAMHLHAQQTSNLQAPSIQLKPLQPTSNMNYPPQLPTLLPPLSSDAPTTMQLRTR DHATLFEHSAVASFLRYLPLALWADVLSATNIHGLSTGAITSATTFNMAELMAYWGIL LHMSVHARHERVCDYWAHKPPPPPHTTTSSSTHPFPTSQSSDDVTASLASSGVSYPRF ELLHQCLRCTKFREPSDALSALRPLVRTLQLQCATTVVPGRHVFVAVELHVVADGKPV QVVSCTSSSGVVIAFKVAVASSALVQNVVEVVHSLHHSHRIVHVHSPLISVDLVEALR THGLYCRASTCVPTRLFDPAAAPVVTASHETRPTIVWAHTRSASPNLVVATEWRANRL SLGLLTNVDTPTTGLLLPPMVTTHLSMQKRRRRLREWCSFAAKQSTFSDDSTSWARTM LLYLMDVARVNAYLVRNHVREQEESMWVFTRALSTELIRGDWQYAPPEGRPPPPVLEE PASQLPAVLRPCVPRRSRDVFAMKRPGGKDTRCKTRQCVVCRWESTSKKKSVTEVTDY CDVHDVCLCSCVRQNYTPAAYMCPTVSWTCWEKFHQFYLPQQLFVKGARIQRRHPLYK LRHANNNTNTSNNKLVASRGADDENESDDEHWESTTRNTGMDGQAANTTKRTPTPPPS AADTSTTHISVTSTLLNVTLPPSKTRLESTI H257_04129 MTSTMLRMVPGPTSMTATVRQAYADDVGSPDVELDEFASDYFDL EESLKRLLSFDGSIAIGSGEGMACLWGALKSVLRPGDVVVSGANGIYGQGFADMAKGL GATVVTVESPWTTGIDPQAIIAAIHEHKPRLVTIVHCETPTGILNPLDGIGAALRDAT TDGLFLVDFVSSSFAVPLNVTAELIDIGLLAPQKALSGPAALAGTTVSDRAWKRILDV KYQGYDALAPFHGLTRSAPLYTPYTHNWPAIRATLQACRELEAEGLSNVIQRHAAAAA ACQQLATELGLALYCQNLHWAAPTVTALHVPSHVAWDDFVQALKRERLICGGNYGDLA GKVFRIGHMGSQGKPELVRLAMASVSRALKSLS H257_04129 MTSTMLRMVPGPTSMTATVRQAYADDVGSPDVELDEFASDYFDL EESLKRLLSFDGSIAIGSGEGMACLWGALKSVLRPGDVVVSGANGIYGQGFADMAKGL GATVVTVESPWTTGIDPQAIIAAIHEHKPRLVTIVHCETPTGILNPLDGIGAALRDAT TDGLFLVDFVSSSFAVPLNVTAELIDIGLLAPQKALSGPAALAGTTVSDRAWKRVCST LNLLYCRNVSNIFGQILDVKYQGYDALAPFHGLTRSAPLYTPYTHNWPAIRATLQACR ELEAEGLSNVIQRHAAAAAACQQLATELGLALYCQNLHWAAPTVTALHVPSHVAWDDF VQALKRERLICGGNYGDLAGKVFRIGHMGSQGKPELVRLAMASVSRALKSLS H257_04129 MTSTMLRMVPGPTSMTATVRQAYADDVGSPDVELDEFASDYFDL EESLKRLLSFDGSIAIGSGEGMACLWGALKSVLRPGDVVVSGANGIYGQGFADMAKGL GATVVTVESPWTTGIDPQAIIAAIHEHKPRLVTIVHCETPTGILNPLDGIGAALRDAT TDGLFLVDFVSSSFAVPLNVTAELIDIGLLAPQKALSGPAALAGTTVSDRAWKRILDV KYQGYDALAPFHGLTRSAPLYTPYTHNWPAIRATLQACRELEAEGLSNVIQRHAAAAA ACQQLATELGLALYCQNLHTLA H257_04129 MTSTMLRMVPGPTSMTATVRQAYADDVGSPDVELDEFASDYFDL EESLKRLLSFDGSIAIGSGEGMACLWGALKSVLRPGDVVVSGANGIYGQGFADMAKGL GATVVTVESPWTTGIDPQAIIAAIHEHKPRLVTIVHCETPTGILNPLDGIGAALRDAT TDGLFLVDFVSSSFAVPLNVTAELIDIGLLAPQKALSGPAALAGTTVSDRAWKRILDV KYQGYDALAPFHGLTRSAPLYTPYTHNWPAIRATLQACRELEAEGLSNVIQRHAAAAA ACQQLATELGLALYCQNLHVGSISSL H257_04130 MAIKFAAVAVAALASSGAFGMHLPPQHARGGELFADLVKDSFIS LDATASPKAQWFQQQLDHFTTEAPLHFLQRYYVDDTYWGNHDGNPIILYIGGEGAMDK MPKGYVDVLAKEHKAKVVALEHRFYGQSTPKNDLSTENLRFLTVEQALADLNHFIHAY TTELTTKANPWIAVGGSYPGALSAWFRIAYPNATVAAISSSGVVNPIYNFHGFDEQVA ESVGAECADALRSITSAYEGEIAAGRGHQVKALLGAQALSDPDFFYMLADAAAMAVQY GTKDKLCGPMLAAVKANVSLTHAFANYTLVKYGHAFGFGCFYDTGCLKSNVDRWPEGR SWRWQKCSQLAYFQVAPHAGSLRSSIVNLDYHEQQCFDIFGDAVDPSAGVADNIQRYG GDKPKGHNIFYANAGDDPWQRASVTSTLSLDQPFYLAKCDLCGHCGDLGDGSVDPAPR KYQKELIREYIAKWVAPWTAKAAADAKVNAAAVTVVHNNAPVVGESLNQASNVSFLVL LPILCLFLAFKAIMHVDLVRDAAATVRTSLL H257_04132 MDEAWADAAWKGLELQGWEEMELSATKYCYISPDIDSVDAFQKN ATAFGTKGEAVTFALHNTTAFEHIQPFLWDHMRMTLGWKLLHRQGKSPWYVMPNLDLD ELLPSVNLFESTIDAVEAYLAPIVTNVKRKQVVSPRKDSPVKRHKTSPATGSVDGSPS VEEISDEHMPTKSELVVHAVGTVTSQEALVVESVKAHTASTAPVKVDSVAGEVIPSST EVVDTTEAAAPSSPSLRITRSISVRLSLDEVHMKSPATPRSKSSPSKAKSPKRPSTPR KLPSDAAASTPTRTSPRNVKASTPTTTPSKRKSPQTKLKMEQASPSKSPRAASVHAKA SPRTSKASSRAAASPCVDHVLAKAPRKTSTPPSKIPATKSSKPKTPTKAKSPSKFKQD SCDTVITSTSALYLKNLSTKLSSAVVKKHHPDPATRNQSPKKEALASPAKRSPPSTPH VKPTPEEASTTPTKQNSSKVAADNDRKQTCVAEGVPTSKPSRPAAAPTPTQIKPIHKS ASPVVPGSALQTGLPAMIKYEQSQSPPLGISPPKTKNQLQLDSINDKLNGSPMTSSSL MDILNLVGTPPPPTAATTTTTQLSTSHGCNWSLESPGKRPWHAITGGSSSTSPKKRRT NAALISTIMNANSPVEAKSDESMASFIQDTVCDDVPSPEQTQYTPSRPGVACRDVGMD DILNTAMGHLTSNASSPSSSYVTPQVVEKTQSRLLSPAKDVSFRTKVLQQFNHGGWRS VAPKLSEKGGSYTYSHDKLDARFTRDDLLKYANTHDIFGNPALMDPRMVYRAVRGSPQ RTSPPEAVTPRPASDWSAIIV H257_04131 MNNNVESNTTTTNVVGTRHKKFSIKRWVKKTWAKQKQQQRRSAD ANQLKRTQRQKGTKKSDEEAIGGSGPEVADRSHPLRNDNNSHDEGTSMHDDDLNPHDN NQMDVVQRQISHAGPYTESITIENPLCTNKTSQYSCDKPSAAPQHHHEVDAAPTDVTF QRERIVRGSSITTNHSRPIPSRQALVSQINHLPVDPRDFLRGIQRPQQGNHTRVEVLA KHLSPQFTGPIAKVLGAMQIDDDDDDSSSSSSGDADAWK H257_04133 MDERAMVGMLADMVAYSISEDDIQLVLVECDGDMTKALDELLTL KTTRELDDAESMPDTQLDGQMQWELFTAELASYGLDAHSCHELIQVLKEQRGTGKLLS SAIVQELLDSMDTIDEHPLQDLTSRFPTIPVAIVHEVYEHHEYDVQATAKALIETKAL LNASGTFETFSYASIATPSASNNGAPPPPPPPVNCKHAFPTLTKFQLGPANEDTSTVW HKALHGLPTGHRGTLTTRMKLEFLQTSFPSIDSDILCLALLVGNSSVVAAEAMLESSF PSACQARPDQVAEVERTESHALEDVSNSWTSETQHGFAYYQQLTTDLQEQIQVVHAEA LRKFAGKHQHFLGRERTHRLTQMRHKLQEAREHAAYAFFSEHQASLVAGACVDLHGLY VQEAYQVLEYCVSFCRDRRISKFEVITGVGNHSKASRGGRMYTTFPAALRRRGISFEQ HGGKLTVYPCQVQS H257_04133 MDTIDEHPLQDLTSRFPTIPVAIVHEVYEHHEYDVQATAKALIE TKALLNASGTFETFSYASIATPSASNNGAPPPPPPPVNCKHAFPTLTKFQLGPANEDT STVWHKALHGLPTGHRGTLTTRMKLEFLQTSFPSIDSDILCLALLVGNSSVVAAEAML ESSFPSACQARPDQVAEVERTESHALEDVSNSWTSETQHGFAYYQQLTTDLQEQIQVV HAEALRKFAGKHQHFLGRERTHRLTQMRHKLQEAREHAAYAFFSEHQASLVAGACVDL HGLYVQEAYQVLEYCVSFCRDRRISKFEVITGVGNHSKASRGGRMYTTFPAALRRRGI SFEQHGGKLTVYPCQVQS H257_04134 MHAGYEPLYIDQIPLEMIARQRHGLVIVALVVVSAGNAPYVPKH AETLQPVEGVTNMKIVVVKWPNLDEQMKEMRMKDNPIYAVSKYFDNSLSAMKSRFMDK AVVRLRRIIDKVRNFSLGEINWSAAWTKLKDKVQTVFNNNAARQMEMLKLSFMTFDQV RDYYNITDAPTPVPTPVQCPIPRLALQFQGCPYLYVTDNIARLYQPYPGYTMHHFGPP TTVPLVPAVGYPWPWNSSITTIATLLESDSSNNDSVNVGVMQAIDAIQKQFHIPTGLP LLPEDAPTPAFSSLSPPRSNPWRDAMMQFYGYPKTSGFKAITGLTWYRSSVTVFTPHL ADAVVALSSAPTDLKLRATHLTTLFDDVLEADVAGLVYGIESPSTVWLSSLDRHNDEF TDACLGELILASRMLPTAQAAFDKMTRRSEQQLRNPGCLWDGYSAFFTGKMMSSRKPP ATRTDQPMFNLAAHVALHVATRVLNLTATKASWRQSRQTTVDKHLRDAVDMPLSAMGS DGVVHLGPQQKKPCVVLEKIKEPEFMHAVQRVLVRMGESSLLSASEMDVTYACICLRV LGLHKKNEVVECIVPPAT H257_04135 MSHPTPPPAPTSPARKAAPSPSKAGAQLVSATPPLSPARSPAKS PVRSAVPTPGSPLHRATQSFESLYTLGRQLGEGNFSIVKECKLKATGETFAVKCIKKA SLKKKDLANIHREMDILFKLDHPNIVKLIDVFDNEAGDMCYLVMELVTGGELFDRIIA KDHYTENEAKVVVRTVASVLVYCHAQGIAHRDLKPENLLYATTADNATIKIADFGFAI IAAEGVVMQTMCGTPGYFAPEVIAHRPYEQKCDIWSLGVITYILLCGFPPFYDESSVQ EMEKIRRAEYDFPSPYFDDISDLAKDFIRKMLVVDVESRLSADDVLAHPWLVDATANP TQAELDAMPQLRHVGTNLQNPGAIRAKFKRGINAVMALNKTGRLVATKKGKV H257_04136 MLLFSIRSTSTNGLCVGNAMVSRAGLRNCVLFDGLPRDLRMECG SLKILIIVADCTFADVLVDATPDRHAITRRSNAWGKRSTGSHRLNSNWSVCMSNHRLM SNRYTSLRHENRRMPHMARLALSKLQKPRHPPASFMNAITAPGKRSVGEYDATGMRWH ARTTDAVATIDMTWMHPNTSKRDGWPTDSVPLEHTTLELMAVTSHCRSMVVTSDGGNA TSTKTYMVHTSKQLVGAYSRVYGVVTWHRSSLST H257_04137 MSCCSWHAVYSMLVLVSMALNEATALDPNHPTDPWGWTMVHTYI TVIFVGICVLLASLAYCQTRGYIRNCWNKSDDEASDAYVDMGAASKKASSDRLV H257_04138 MAPLKASAIGPGVLGVDRSSDLEVARGTGTDVARKIASMGSNVV VSATRVALPGINVRVTDKTLTVMPDVHRDVMKQADTKTLGDPNLPAVNDDPRVGTVLP CTIKALKGNTGRNTESFDPASTLVRPSMRVVVGPKKRVFDKVLKHDDVVVVPDFFCAE DDWSIYYKLIEEMRSIQSAGQSKSEWIPWAEGCHLISQNPTGCPTYEDVLKRTGEYFN MKQGSQGTRFNWYRDSSDWKPFHHDSAAYNPHRARNQNITVGVSFGSERELSFLHAKN GSRIYFPQTNGMLFAFGRDVNINWKHGVNALANEHFSGKGRISIILWGLAQDVVEEPD SPPLLTNDARNGFDNSNHRSDQRGDRGRSSHTPFNDGTQPRRR H257_04139 MPRRRRRLYDDGGVPQLPPELIQRIALYVPETANFFCYLEAFHK VPLLLGNLWYLWHLSQEQPDLDDLWPELHVHSLTSSNLASIQAMAHVFSVIHFHETFD VGLLQHCVSSTCAFTIRLTTTDADHILEPVEEWYAQLSRLPISELRWERASDPDHRHI AALVSSMPSMPSLHCLDLRLPPSMIEPLLRYVATSSLVDLSLQAQALQTDSGVSLTPT DIQSLTHWLQSQPVHNLYLEGWSAWHVPETIMAQFYTALWSNQSLWALGLHSVPLPHL DDFTFASPVHVHSLVLQGCDLGPADMKALSQGLHLSSVTELDLTGNPLEYTGIDALAS VLPHTKIETLKLMLTQTGDRGCAALAVALPLSCVVDLDLSMNLISSVGATDLAHGLSQ SATLSTLQLQSNCISSTGAAMLVRTLGSRPVPTTLDLRNNTWDHDQALREMVDQLPCT HVVALHTNSSTDCSLD H257_04140 MANGESCKLAVPSLKRHVDFVGQRARVEGQHVRGGNTATQDVQV EDSVDVYSLKVRSVGLEARNPERAHLIEMQFRPQHVERRVEGRRPQMLKVPQRCRCAL ECIQVVEEVYCVWYEQRDALNRIRRKRDAMC H257_04141 MVLAQKDLALLLAHAKTKRQRRFVSAVIAAQVVERPLIPDVRFD LNAMSDANALLEFRFDVAGVQQLGFLLGLPAVVITTARNRVLRDEAICILLSRMAFPT RLFDMARTFGRSRPVLCDVFLHVLNEIYDRWNHLLYFNYKLLQRNCTLANQD H257_04142 MWRRLCPRAELAFEVTLNCGQSFAWKKMQDQQWIGVIGRNVVAL RDCDATDSVQYMCLHPQGMAADSVDSQVRNYFRMEINLTQLYDTWKLPNDKHAAAFVA LPGLRTLRQDPIECLFSFICSSNNNIARIQQLVDKLRVNYGDKLVTVDGVDWFAFPTL DQLILIPESQLRDLGFGYRAPFIVKSAAILSALGGATYLQSLRLNANAADVQTALTQF SGVGRKVADCVALFSLDKLEAIPVDTHVWQIACRDFHFKKAATKSLTPTIYAEVGKLY QDRFSPFAGWAHSILFAADLNKVKTLLSPSPAKKSKRKSPTPVKVEISADNTVIPSSS TEQLYHPNGRKKRITKKLLDMDDPSS H257_04142 MWRRLCPRAELAFEVTLNCGQSFAWKKMQDQQWIGVIGRNVVAL RDCDATDSVQYMCLHPQGMAADSVDSQVRNYFRMEINLTQLYDTWKLPNDKHAAAFVA LPGLRTLRQDPIECLFSFICSSNNNIARIQQLVDKLRVNYGDKLVTVDGVDWFAFPTL DQLILIPESQLRDLGFGYRAPFIVKSAAILSALGGATYLQSLRLNANAADVQTALTQF SGVGRKVADCVALFSLDKLEAIPVDTHVWQIACRDFHFKKAATKSLTPTIYAEVGKLY QDRFSPFAGWAHRY H257_04142 MQDQQWIGVIGRNVVALRDCDATDSVQYMCLHPQGMAADSVDSQ VRNYFRMEINLTQLYDTWKLPNDKHAAAFVALPGLRTLRQDPIECLFSFICSSNNNIA RIQQLVDKLRVNYGDKLVTVDGVDWFAFPTLDQLILIPESQLRDLGFGYRAPFIVKSA AILSALGGATYLQSLRLNANAADVQTALTQFSGVGRKVADCVALFSLDKLEAIPVDTH VWQIACRDFHFKKAATKSLTPTIYAEVGKLYQDRFSPFAGWAHSILFAADLNKVKTLL SPSPAKKSKRKSPTPVKVEISADNTVIPSSSTEQLYHPNGRKKRITKKLLDMDDPSS H257_04142 MQDQQWIGVIGRNVVALRDCDATDSVQYMCLHPQGMAADSVDSQ VRNYFRMEINLTQLYDTWKLPNDKHAAAFVALPGLRTLRQDPIECLFSFICSSNNNIA RIQQLVDKLRVNYGDKLVTVDGVDWFAFPTLDQLILIPESQLRDLGFGYRAPFIVKSA AILSALGGATYLQSLRLNANAADVQTALTQFSGVGRKVADCVALFSLDKLEAIPVDTH VWQIACRDFHFKKAATKSLTPTIYAEVGKLYQDRFSPFAGWAHRY H257_04143 MSDSHGMAHGGSWDDLGWNCVWYLYTGIVFAMLYYAYPTLAKIP LFFTKEYKLVDAASNGQVETVRSLLAQGANVNWTMGEIMGDPLTAVYWASINGDVKVV ELLLEKHADPNIATKSGYTALTAAAELGDAKIVRLLLENNAEVDHADKAGETPLMRAT SGGHVAVVKSLLANEAQVDVRDTVNGNTALMIAAWHGHLEIVKLLCHKADVTLVNNDG KTARDLALENGQTECAHYLLTQARSHDHLVHRNHVVA H257_04144 MVRPVGKAARRAKPPNSHPRNLPPPLIQLIATFLPGDSFFNYLR AFQWTHSLGDLRHFLTLVNEGIVDASDVWPELHLRWVDAANVNKFHRMAKFVSVVHAH DGVFDLEWLERILTPAISLALQAWPSDVDLSIPIQDWGPQLARRMRVSHLSFADFGQS GGSGGGHMVTLLPQLPHLRSLNVEELDAEFVDDVFDFVCCSKLTRLTLGSYSVPVVIT TERALALTMWLKREPVQLLELNHCSFEEGAHLRTIHRLLDAVFRSPMESLVLTNMTFD SELSTWQPIPIASRRVTFESTPLSDTQAALLFRGLCKGATTHLHVNDMKLTLPGMASL SSAIADSPLQVVTVEHTTMDGEGWGCVAKAIARSKHLRELYLLRTGLLDCDVADIANA LVNASLVRVVHVVGNAIRFAGAVALVQCLGRRRHALNELKMEGLAVSEDEAAVLQSMA DGFPMLKRCVVTTNVAMHVAMQSF H257_04145 MDDSTRRSARQRKQVYHYNARDDTPIPKKVKRPRRPTKAVESTP ELVKTKPSARPSGSQRSKIPPSDDESDDEFEDAPASIDVLEQSTSAVASIAPDPTFAM GNRHATDYFSSRKIRKKSKDDKKAATLAQLDADDIRLALQDWDASCIDTVIPDQLAMY SGRFAQWEHQLIAGFNLLFTGLGSKLQLLQSFACHLNHMHVLQIHGYLPSVSIRYVVT TLFANLFNQKPPANRSVEEQCMECAHLLHTSPPSVSVCLVIHSIDGISLRGAGTQRAL SILAACRFIHVVGSIDHLNAASLWEESDTKRFGWLEHIVHMYAPYTNETLVSTTWGSR GKTGAAPTAVSGIKYILESLTPTDLAVLRALGTQQLKDGGSMVEYKPFVDQCRKAMLV SSVQAMRNAIACLTEHGLVVTNKADQMRVPYGDHTIQHTILGLNPPAEDDGEGEEGEK EDGHEGNAIAESVATE H257_04145 MLGKKSKDDKKAATLAQLDADDIRLALQDWDASCIDTVIPDQLA MYSGRFAQWEHQLIAGFNLLFTGLGSKLQLLQSFACHLNHMHVLQIHGYLPSVSIRYV VTTLFANLFNQKPPANRSVEEQCMECAHLLHTSPPSVSVCLVIHSIDGISLRGAGTQR ALSILAACRFIHVVGSIDHLNAASLWEESDTKRFGWLEHIVHMYAPYTNETLVSTTWG SRGKTGAAPTAVSGIKYILESLTPTDLAVLRALGTQQLKDGGSMVEYKPFVDQCRKAM LVSSVQAMRNAIACLTEHGLVVTNKADQMRVPYGDHTIQHTILGLNPPAEDDGEGEEG EKEDGHEGNAIAESVATE H257_04146 MSEDMNQRMEQVKQRLQRMTSGNENQNMSNVHRSQAVSSHTSPM RRTSPSTHNRQPPQHQYMQPAIPPQPQQSSMTKPRSSRLPQHPTMQDQGRLNSQQPGP YGQPPTRIPTQRQQHTSQHPPMTRQPQPNDVPSTQELHMRLQQQRAQFESLGATAPRR DALPVQSPSPSIPRQHERSGDPPSHHRRDQLQPPPPRPRDGARGTVPQSHTSSSTSSA FSEYSEPFPLRTSHHHPQPPQQLPMPSDDDRQSAPSVISDLSQNIDDEFNHKLMPPIR QNEYDFLWEGGALGLVLVEDASVHMPVVKRVSTHVSTAAKYVAEGDILVYINANRTRD FNMPALMGMLKDLKKPICMRFRRARDLPEGNTPTLPPLERNEYEFLWEQGSLGMTLGV TAHKATPYVKRLTGKGISPHLALVVPGDELVMINENVCGDLGFEAAMDFIKAVPKPAV LRFRHAVSPTGAATPPEAAASHFETKLMALDEANMYCVQWSDGPFGLTVKELATESGP VPVVTRKTGRNTCAGLRRVAVGDVLVEIGSMKVTDLGFENATKVLRNIAKPVALKFQA VGD H257_04147 MSSPSQQARERDRLLDIKSPHAAEKADEMDVREKHVLWCVCSFI LVLETIDSLVFFGISQALKNFTEATANAIHSTWRSFGDLTPLLGAYLGDELWGRYTSL AVFSVWYVVAMALVSLSAHPYILENHLSFANAAFFIAIFLGVGVARGVYHPNAVTLGA DQFDESETDQKEHFFSYFYLAINVGSSVSFGYLTYLSVNGMGNLIPPSYGYFATFTLS GVLLLLAVLLFVAFSSRYVEIPPQEGAFATFFTSVASTMHECRALVYIAVGFLFFVLS ILLNAIALFVGGATELSYSAGICVCLGVGCWIYKGMDPSYLDGQYTRMPVADMQQILR VLPFSCFLVLWQCTFGQIEANFQSIAQQCDLRLGSGRDAPLIPGAMLGLIDTVGVALV IPLLDYVVLPQLKAWRHRPASPYEKVLAGLTLASVTMLWTGVVETLRRNSGELDLNGP VLETGGHQPMNKFSWGYLVPNYFLVSVCEVLVNVTMYDIFYSNVPTHWKSTAQALNAF MLAMGDNLASIFTLLFAPYIPNDLNKGHLEYMYYCLAGVAALNALGFKIVHDQNALCN ALGNFQRGVALGRRSRANGMSFSTMFFGHGDVAMSRLNGE H257_04148 MVSLHRAHFFRVVIVGASVVLAFTAHAYVLANHTIVANVVFIVT IFGTLGWALVAFTRTSSRSASECRGWRSVDVVEWDLCAADMASWVGHKWDFGYVDKHV EDLSSSHRNDFKQVLRMLLVACCLGSSRDATGDWDRRGMRHKYPGLCSASSTSLGSLL VIAPTLTNITEDWAKPVANTRNTFHHTIAVAISFDVLEWLYRANKVVKVLLDERETQD VAATSSASCRNLPLLPNLGTIYDHLTAKRFSIKAINIQVQYLQITTYLSGHGMLMVGY NDAL H257_04149 MLQWEERSLLYSSNGVEVVRSASVERRQSTNWWWVMPKYGFPVL VLLNMVMLAVLGVQLHRSNSIQARFADSMDTPAATVMYHTRGGGVVAALLPIKFAVDH VTPYKNQAGRGTCWDFGTIGALEQSYRKHGVAEGWLDSNEYVSFSEQAYGIEVMEMCT GPIDSPQQHACRIADDNVWRNSTEGGEVPLLYYLHKGLKDSVFPVHVCPYTSSQGHDW DCPELAIASVRKSNPLSFTVNDMGTFYDQASIKRKLVQSGLAMPISTTLVSIQHMYPC VGKLLANDPRCDAATCQLCPPELPMATCCVPADSTDGQNMDGEFLAHSGMQVDGGHVM LVVAYNDLFRTREGATGGFVVKNSWQDGWQGSHSMAYWMQDISEWDDRVVCPNSFNPF NWYVPTQDDGVVDIAACLSDDSVQYAALNRQPLHLTCVDDAYCVPGRVYFAKNRTSYG DRMHVMCFWEYDPTDKSSKHVCLPPMLQETIARTFEPDEVYENDSDLCGFYFLPYDTI SQVSALFQGFFVNSFDVEWAPQSYLANREKFPHLDYSLVQASTFTQHSPDRFDGPFPF AHKYKPLNTQHRRRHRRP H257_04149 MLQWEERSLLYSSNGVEVVRSASVERRQSTNWWWVMPKYGFPVL VLLNMVMLAVLGVQLHRSNSIQARFADSMDTPAATVMYHTRGGGVVAALLPIKFAVDH VTPYKNQAGRGTCWDFGTIGALEQSYRKHGVAEGWLDSNEYVSFSEQAYGIEVMEMCT GPIDSPQQHACRIADDNVWRNSTEGGEVPLLYYLHKGLKDSVFPVHVCPYTSSQGHDW DCPELAIASVRKSNPLSFTVNDMGTFYDQASIKRKLVQSGLAMPISTTLVSIQHMYPC VGKLLANDPRCDAATCQLCPPELPMATCCVPADSTDGQNMDGEFLAHSGMQVDGGHVM LVVAYNDLFRTREGATGGFVVKNSWQDGWQGSHSMAYWMQDISEWDDRVVCPNSFNPF NWYVPTQDDGVVDIAACLSDDSVQYAALNRQPLHLTCVDDAYCVPGRVYFAKNRTSYG DRMHVMCFWEYDPTDKSSKHVCLPPMLQETIARTFEYVLTGHGIGLLDTTS H257_04150 MGPPKTDNLAKNLLSRRTERQRFDSADWAMTLPMNSAVKKEVLH TSPGADSHRAAVPLKDYDAASSTSFASLKISPHLPKPTTCSPSPVVQP H257_04150 MGPPKTDNLAKNLLSRRTERQRFDSADWAMTLPMNSAVKKEVLH TSPGADSHRAAVPLKDYDAASSTSFASLKISPHLPKPTTCSPSPVVQP H257_04151 MSSETNRPNDSVKMDATLPPMASRNDRIACYVFFQCTATWVQNS SYDGMLMEQSYCSQRAIGRRIRQSMINTPSREFLPQADPLDVDIAGFYVNSFDAERTM QSFAANGYKLPHLN H257_04152 MTWTAVVEVWHRQSDPIVLSDGLGAVLDHPQTHEQHVVGVVHDS QLLVRAVCVCRMPRQHPVVRHLPQQHAVALKCTGQATNSYMLAMGDNLASIFSLRFAA FIPDDLNDSHLEYMCTLASLASRL H257_04153 MSDNNTQETDALVDSASSPHIPIRRPHVLCTVASFVLVLNCVDY TTYVGVSQAFKNFLEGRLGYSKVAASALRSTWTSFYDIVLLYEAYVGDERCGTYKTIV VFSVWYASCALLSLAAHLYLLEHHVSLANICFLVALFGGIGLSNRAYDPNPVTFGADQ LSSDKLTTTTNVVHAYFSTLSTSVSLCPELAWIVGGFYLFLQSFCLNVLALVLDPSSA ARTSAAAAICVVCGVCCWIAMARDPCYLDAHPELSVPVIADLKEVLRVLPFASSFVIW QWQTRTSNPRAVMRFALGSRAQCRQRKFPG H257_04154 MAAYDVVETPSAVASHLDDTPAPETPVKRNRFKEFYFGIPGILT GAAIGIALGVLIQTTTPSEEVVSWIGIPGSLFIRAIKCLVTPLVFCSLLVGMADMLAV GKASRIGWRTALLYITTTIVGACQGLLWVMLFRSSFGNKSKSTDAKPTEFAFACEKPG HFLTHVGANVSCVYDETYNKTSKFSPSSVFVASDIHDSFAKASSGFARRTLTQALQGQ LNAMVPSNITQAFADATLLSIIMFAIPFGVAISLLPRDLTVVADFFRAINMVFMTMIT WVISCTPIAIISLLASSISEQSDLKLLVSDVGLYVLCVLLSLFVHTYIFYPILLRSFV KINPYKWIMKMARAQTFAFGCASSMATLPVVMECIDETREVSQTLSRFVLSLGATIGM DGAALGYPIAIVFMAEAEGIGHIIGSIEYFLIVLVSTIGAVGSGPVPAAGIVMTMTIW ASVFPSVPLPSTFAFIVATDWFLDRFQTAVNVTCDTVVCRIVAEQVGETIKEDDRLSL LSAVGDLASHNPKIKKALESSYARD H257_04155 MLRLESRASECNVGRSKKRKASEIAEDADDADESVEETEAPAPQ PRRRRTNVTDLGCGRSGSAQGRIRIGSQGAVKRERLGQCAEATGAALGTAAKNAKVAE VATAKRAEEAKAIAEAEEKASDEAAKEAAEASTKAASGGVVGTPAGDGGHPVAAGGEG KQEKASANEAVVRTEANVSKAKVVRRWWAGTSRGWTSVESEYVRDITRCLTVVNAFDE TTGDTGRPTLPLSSGMQLARVSFHPFDKLKGVMLVWLERECLERWTVLCNDETLLEYI EAFLWTLVQQGSRRHGRLLLIAGRLVNSLSNFAGVLRQNHGRLDAWSIAVDRLSRKYA LARLAHRTADPQLSIAIPRSRSRGQSTAPVRNLDDLYLFIEFIIDLVSESASEMKRKQ TKVVPLVNLLSTTVDIIRLEEPLYGGTILNPVE H257_04156 MNNVCFAVEAQIQQQLPERFGIVLDDWSARGTSYCCIMASFCAT TRPFNTLSLSKQLYSKTLDAITFVIGENCSVNQRMAGLLNDHKGLLDRIHCVMLRAYM DRCLYPATQ H257_04157 MPRGEQFTEEERGMMLALRSHDMSYPATSRELGRSQSAVYNFLK DPTSYGKRYKPMKCDKVVGAEARQLFRYASTTGLSARACKTDLQLDASLRTIQRRLNE NERFEYAKRLHTPCLTQAHKSYRMEYAERHLAANTDWDPIIWSDEKKFNLMSVMVWGA FSLAGRSELAFLSGKQNALAYLNTLQNYLFPFAHEHYGPCKMALRSTKMSPFFDHPVY SPDLNPIENLWGRMAQVVYANGRQFRDVGSLVAAIQSTWDDIPQPLLTSLVKSMPKRC VDVLKAKASTLETECPARVARVVGVSSPVTLSTTVSCVLVGQRGVAVGVEQGCQPSAH LLQPLPTGFGRSWTACPIPVNCSVPRIIDQLTRTQATTTTTTATPEPSSTSVAPATTS KLTITLTPDTTAPASAMCSAMMGCWVNDQT H257_04158 MSLDDLRPANSKKARDNSVNSFMRFLTEEDVSIDSVDKAIRSDT TTGVTLIVLMGRFGIHLTGLTGKDGKPSARTQPARDSTLLAKGRTLEKYSGMWPGGRI AKQAPACKKQDLHSLMSYLYRITSATLESQSISLCGENIFFVRFLRMKTLEEQAASLV LQRAPSSALPSHLPQPTACDFAINGPLVTLSTVLAGDTAGRSLFDETAAEDKQGIHAY VNRVLSRMLPGANVTTNMTSHSFRRGGAQYINGKAGISPHWIADRGNWNMSATNKLFT YIVNTTEDDQKFAKLLGGHDADAKMTPLLSNFDATRRGKIDFFNPHLFSACTGLSNTL LNDSPPVMDILCAYLIKALPLFQSMKPDSPLVKRVDQAMAASGVDLAEMASWSIHLAQ VQTINTSEQDVPSSKYLRMIEHQADVIDQLINHTKTMSERLRDFERQVGLKTSPVSLD LPSHCCREYEEEKPAKRRKRKNSCLCECWYDWFANHAHSTELDKQWKSTMRRCVTYTL LFADNLDVDEREATYRDTVLMMVTFLAAKVLAFLVERGASAATHTS H257_04159 MEKSIEINGSNEYKLPHMKKDAAIAYLAFFNVECDAANYEGPLI HLNNRLFQAESAMKMSHDHDLNILFGNYDFSTTCAWTHWAGAAVWAKRQAPICKMAQY NVHGVTRHRFGDGTQCVDDWNAFLAKGGRNRINEHSRGGGQAVSV H257_04160 MAAPGQLTVEASRLTQATIPTIEDTSKTDWEQRYDDVHEDLKRA RQENETLGQLVRAHERVCAENQKAFDRMRKRMCSLAFERKVFGHERIAKYAYVPFVSF GTGRIRNSHS H257_04161 MGPSVQQSVCYDYSASDMNHYVCVGRTTLSALPPKPSLPVIEYA AMPTKDGTAMTTKDGAVVEGQDIPSVTTSIAPTKGKSVCSLKMVVDEESRPTKKLKPA TTPTIRVRTDDSFPQSFLDIYDQAHKTMAWTRYLRAGSICDGPNTPHVTRFNDAIRKF WRLYGIKLWEGTYMPYPTNQLDNLIRRVM H257_04162 MLASLAATTKTFVDKNCPWPTEPYPFVSVQFADDKLGHSWTNGV VLHRQLPTYSWDGKTAKMAFWNQSPFRAAKAAKIITLDSGDDDENNADDPDYSENDVL SDHLDTEIDAELQDTDE H257_04163 MVVVDALGPFPPTEWEQDCPDIRRLLHTLTGGICSSGFKVVDVR PCVRRRGLVQIRGTRSATLGSRYGTNFVSQLAHSLYQTLGIEKMASAPGHPQGQGLVE RFNHTLATMLKMFVSSSTLGDSPFFCLFGHDLVQPLDIVFLRIRTHHGSRMTFLSGDG SCLHGDNTFRIYLPSHLDRVVPIKVDRLKKFQGYWSRPYDDDIPEWLLRRTPSDDDWS PAFDEGDPNDAWLASELLPESSFVGRAQFPD H257_04164 MSQRGQDRPRQGRSDDAHRGTSNGPRERPLERIDGGAHPVPSCP KLKGIRQKQVRQWLLDRETYEEDLRAVCMRRNLEVRNCCEGWKECFEDKRLLKQFMIK RKLRGDPKELDETVLETELRKIFDEPKDGVEADIPLLFHGIHLDMKDNDVFSRVCKFL ADCDERIEACVMKGHLKKPEMRKKIFKRLLEVVDPEPVRDACVLDMEKAWHPVEFTWE SISELVMHHAQEQQPFLLDISRGTEEQEKSREAKPTEPEKKKLRRMLESPAGESVATI NGVLDVPYCPDNGSDVGIISTATVKTLRKLDETVQAKQLPKAWVGSAVGNLPVVAKTT VELRLFYVVDDNDELIISKYALMSIGLDMDRLLEQVAVHQTHEDGDDIGDPGEAKNIA FVAGFYDKSIG H257_04165 MEDTSPGVVRDSATIEPWTAPGLGDDTKNGMVSRPPQTKGVPGS EYKDMQQEGGIQERLVDRLQTETRMLPPSDKRHGPGAQPRPVPLPDDSTVSTEEGGTI GAPGAKVTLQQQTDLGRGCDEAPGRGKTIGQISGTTGPSAGDNSRQQTGGSEVKEEEG MYAESSKGESSCRQTEPNTRDANASPDGKILWHLGVLPSSCPGPCFGDSTNLVLDSPD PVMAGSGAGTVSAITNGSTQGTEYSNLEDLCPDSDIAPVSTAPQIDAISRGDMAELPD CRHVDIEERHYSIWLVGAAGATGENVAGNIRTFRDQPWCFGFLRSALREAR H257_04166 MATYDVVENPSAVASHLPIDSTPEPPVKRSRIKEFYFGIPGILT GAAIGIALGALIQTTSPSKEVVSWIGVPGSLFIRAIKCLVTPLVFCSLLVGMADMLAV GKASRIGWRTALLYITTTMIGATEGLLWVLLFRSSFGNKSKSIEVKATEFAFACEEPG HFLTHVGANVSCVYDENYNKTSTFSPSSVFVANDIHRSFAKRNTGFTQRTLSQSLQGQ LNAIVPSNITQAFADATLLSIIMFAIPFGVAIALLPRDLTVVADFFRAINIVFMKMIT WVIASTPIAIISLLASSISAQSNLKLLVSDVGLFVLCVLLSLFVHTYIFYPIFLRSFV KTNPYKWINKMARAQTFAFGCASSMATLPVVMECVDATREVSQPLSRFVLSLGATIGM DGGALVYPISIVFMAEAEGIGHIIGTTEYFLIVLVSTIGAVGAGPVPAAGIVMTMTIW ASVFSSVPLPSTFAFIVATDWFIDRFKTLVNVTCDTIVCRIVAELVGETIEDEDRVSL VSAVDDLASHNPKVKKALESSNA H257_04167 MAAFESVETPTAAVSQVNLSPEPPVKRNRIKEFYFGIPGILTGA ILGVALGALLHSTSPSKEVVSWIGVPGSLFIRAVKCLVTPLVFCSLLVGMADMLAVGK ASRIGWRTTLLYLATTMIGAVEGLLWVLIFRSSFGNKSKSIEVKLTEFAFACEEPGHF LTHVGANVSCVYDETYNKTSKFSPSSVFVANDIHDSFAKRNTEFTRRTLSQAFQGQLD AIVPSNITQAFADATLLSIIMFAIPFGVAIALLPRDVTVVATFFRSINIVFMTMIMWV IASTPIALISLLGASIAKQSDLKLLVSDVGLYVLCALLSLFVHTYVFYPLFLRAFVKG NPYSWINKMARAQTFAFASSSSMATLPVVMECIDATREVTQTLSRFVLSLGATIGMDG ASLVYPIAIVFMAEAEGIGHIVGAAEYFLIILVSTIGAVGAGPVPSAGAVMIITIWSS VFPSVPLPSTFAFVVATDWFIDRFQTSVNVTCDTIVCRILAEQLGETIKEEDRASLVS AVDDLTTHKPELRKAIDSSTARE H257_04168 MSPVTSLELAPLACAHPLAVAAHQAVNARAAATLRMNPDTRARQ SLPHVSGVASSDQDGCAQLSPAQKSVVGRKT H257_04169 MHNPAAALLTARLLPICSCFVSLPESFVRQHLQHVNPNFGATIL RFSWPQGATVEAAYVGWVGDIAQSDDMELSLEFAQCMQLTDAMDAMPGLRISVSVVPS MPVAQSVEMEPSSPDDWEIIQLHAGYLESDILRQVCVVQHNQVIPIRIQQHTVVHLIT RLPSDIPFARLSSNSEVYISPKTRSPLPSSDQPLSSSVQPPSPILKIQPCTTYKPNGS CVHLVMDDDEILIHPLMVATLLGTHDITGDIALVASVWDDAADATTRPTCVGRLRAST DVAFDSCGLSPTIQSELGLSSLAHVRLRWLTHPPLLPLCILLTPLSAMDNVIDRFLSW SQSCGLMYVLSSQNRITLDTDMQVVVTIQFNDPNHETAAPTAASSPDEYVIVGDGFYL PRRQDVAMGTATQQDAVGAVVIPDQLVTFPGMEPIVTTLWKRLFPVLGRDGCGVRTRM GSVSPPGSIVLHGPRGTGKSSVLAALQFKCKLSFGVMCDTVAVPCRDLRGLKMDSVKA ALTAAFDRATHLAPCLVTLDDIDALMPPEDDTSGAAGMSEQSRRLAEHVAALIKQTRH AMQHHAAAIVSQVDSTCDSNWPVFATACSKKSVAVVATAREAQSMHPLLRTCGLFDRP IALSLPDAVARECILRGLVNRAEAVYPPTDEQVQGAVQKTEGFSVRDLTQVVDRAVHH ATIRTRQSTTQDRMSLNLLAGLEGFTPAALRGVELFKSSVQWSDIGGLHDIRQTLKDT LELPTKYGRLYAAAPIKLPSGLLLFGPPGCGKTLLANAVANECGLNFISVKGPEVLNK YIGASEQAVRDLFARAAAAAPSVLFLDEFDAMAPRRGADNTGVTDRVVNQLLTFLDGV ESRQGVYVLAATSRPDMIDPALLRPGRLDKSLYCGFPNVQDRLDILQAVSRKMDLADD VSMVLPSVAQQTELYSGADLQAVMYAAQLEAVHASIPFADDWDMEFQDSSDSVSFSPK VPHHASKVCASHVQKALAASRPSASIDAKRRYDRMYGSFNNSTQQPRVTEFKTAESNL TFDRSDAAHSTQRSALY H257_04170 MMQSLDDDYDDFFNPALFLNENYTKTEYDFGDIKQSLLSSRAAS TDHDLTGQVVWPVSILLSWFVAANRALFQDKVVLELGAGAGLAGFVASQFASRTAITD GNDVVLRLLDQNADQASTPCGVFKLLWGEEDSVVQFQKDFPHPIDVLLGADVVCWPNL VLPLLRTIKHLMHQSERPFDVVFYCGFVCRAQSTQDLFFAQAKGMGFKLWSVPHGDFL PTPRPVNVTSTLELNLLGLSLDRSAPQATEKVEFIDNLQDLQTAC H257_04171 MGEHPTYDSIPMAVESSKEPSSRCSNVLVSTVAGALCLVVVVGL WAFTQTQTFRDLVRWEQTHQVSGGLIYVLIYAACVILCCPSTVLDLLAGYIFGFGVGT AVAVGGRTLGSVAAFLLGRYVMQDTVRQKLHDGQPMLRAWALLLERNEIQFVVLVQFA YIPLFVKNYGLALLNVSFGLFLWTHVVCGVRTLLTVYIGHSATHMTELLKSHAASGDT VIAQEMLMVVAVVSTLLLVAVGSYRTKQYLDELAASELVPPVDKDRIVNCEV H257_04172 MGFHTVPQSARLVYSPPLERLDSDVYAIYSEDATMQFVPFLCRM PANDWKERRQTHRAMYHTTGTGAFFDVVEAESGDVIGTSGFRVVDVAAGSGEWGVVIS SKYQRRGYCKEIHDACMAWALSQGVVTATARTWSSNARMVTLLEQYGYRFVETVENDT GTWRAYELHLPVTLKG H257_04173 MPHWPKLVTSPIPLNNNLVVRRDQRVVVTPKLPLIARPDAIQAH VDYRNRDLVAEHMTTSRPCFRGGMTRGYQTHIVATTGISAELLPDMLSTQMVLDHPKL ADRASGGARGSHGEQIHGGVYDCVFPCITADDMGWDDEVLVEGGNDVDDIVVVPTAQL VQVQRRRRASQPRPQNMTTRRTLLMYYDEDSQVFLLRDAEATVPHVGSTVPTVEESLP LSPAKTLLQLVHPWKCHVQDVVEITSPEDTKIQMPKSKKKRVHFKVESPKRNRNNTPP LSTDPKALARREAIDDVCSTSPRVESETLVRDVKTQDAPGFVERNPSTLPSSSSLVVF PTDEGSLRLAIDEPNKGALKIQPEGVLATDLDVIQSLLTALVDQVVASIAIPPAIEEP GLAIAMDILEAVLDRVFNPLSCQDRHRHPNSQPLPAATVNNQRVQKTLLKACTQPKAA SPHLSPKKSLKKAHQNNQSTKSQSVLPPTNSNDSAAPETLMTLEIEGRWGPLVERLRR DPTIPRPLSQIEWCTGISDVHVKQRRDGVHGLRCTFSNVTGEYWGDDEY H257_04174 MNPPQQPGPLLPNTALFRATLPRPLTWQRPADLNNRIPLMQSIE GLLATTTSPLPTVGTALAGLARKLETYLYIESASYAEYANLQSLPRRVQALTAAIVNR NIRKRARPADFASSGMTSTSAPPSHFHAPLSHATPSSHTSTSLATLFSFAGGDIWHTL VWEYVGGLDTLRCRGVHRNAARLAPTYVKSLHLSCNTARALLSFGHAALSHCIHLEEL EIYSLAAGITFGRRSMFARHCSQRFVVTHDDHEQIVSLLARQLLRRCWPVLRRLSIVC LFTNDQANGEADVLLHCLKQGVCPALTELSLPGNSFGDYGAIRVAELLQTMGCPQLSL LDLRRNFIGERGMQALARSLTQGSCVALSELCLGGNMLTDSSLAHLLVSMESRQVPQL TFLGLEMNYLTAQGIQQLGTSVGKLGCPRLTQISFGENSVDDDDAKKILNQAILIQRV KQKRAAAAHDVVQRRLVI H257_04174 MNPPQQPGPLLPNTALFRATLPRPLTWQRPADLNNRIPLMQSIE GLLATTTSPLPTVGTALAGLARKLETYLYIESASYAEYANLQSLPRRVQALTAAIVNR NIRKRARPADFASSGMTSTSAPPSHFHAPLSHATPSSHTSTSLATLFSFAGGDIWHTL VWEYVGGLDTLRCRGVHRNAARLAPTYVKSLHLSCNTARALLSFGHAALSHCIHLEEL EIYSLAAGITFGRRSMFARHCSQRFVVTHDDHEQIVSLLARQLLRRCWPVLRRLSIVC LFTNDQANGEADVLLHCLKQGVCPALTELSLPGNSFGDYGAIRVAELLQTMGCPQLSL LDLRRNFIGERGMQALARSLTQGSCVALSELCLGGNMLTDSSLAHLLVSMESRQVPQL TFLGLEMNYLTAQGIQQLGTSVGKLGCPRLTQISFGENSVDDDDAKKILNQAILIQRV KQKRAAAAHDVVQRRLVI H257_04175 MIYNFYIFNRSGACLMYEEWNRSSDAMTLNDAEEEKRLMFGLIF SLKEFLRKMSPPTSTPQTHSLKTFKTDVYTCHHLETPSGLRFILSTDNQSGDLQEALQ YMYGNLYVELVTKNPVHDARSTKPIKCKLFRANIKAYIESLACFK H257_04176 MAPTTPSRRAFHSDTRNFGGRVAVYDELINHQMKLLSINPQSGS ASAKTSKPGSIVSLRRSRLATSVQATDLSARLSRNRKSAENTTLVDHRNQIKVMMHKI LHYSKKQHVQSSSRQLLPSTPAKYNKFNIPLKDGFGIQRQIDGPIHDEAKRRLHAIHV YRDEDIHNDNIGIRSVRSIRSAPPKSPRTHATTQTNVLLLTLQLHLTERRISVLDLFG QTDRVGDGSVSVSALRSILFHLDLGWTQHDITLLVRAMDPHETGVILPITVESLLRKL QQPHLGTPAITPQPSPLLRTRPSSSLYGRRVQDLRKALASPRIEPWSSTAINQDVSSP GSIPASD H257_04176 MMHKILHYSKKQHVQSSSRQLLPSTPAKYNKFNIPLKDGFGIQR QIDGPIHDEAKRRLHAIHVYRDEDIHNDNIGIRSVRSIRSAPPKSPRTHATTQTNVLL LTLQLHLTERRISVLDLFGQTDRVGDGSVSVSALRSILFHLDLGWTQHDITLLVRAMD PHETGVILPITVESLLRKLQQPHLGTPAITPQPSPLLRTRPSSSLYGRRVQDLRKALA SPRIEPWSSTAINQDVSSPGSIPASD H257_04177 MWKSTSSIVGKTSGLDDLPMVLQQEINDFLHFAQNRKQQKLVPR INKVDFTKPMPDSEFSLCLQLQLYSKDFVDGWPLSSMTSIASMSLTSISLERDRGKFT LVVRIPKAYPQASPDISCVMGGEYVPPQLKRGPAFVMPWIQQGWPSTYSLLQFAEDLC TALGAPEDIATASFLAPDPKVFPLNTHHYGASPTSQPSTDLPKPSVEHTVDLLMMGND YHAERQVKQLSFQGSAKLATTNIGSPNPFDSSPHTSVPKANAQMLNPDSSGKRGSVSP QSTHDLLGMHQPTTPSHSNGRSATNDLLGFDMALTSSSHLPPPVVLRSNGHSTGTLAI QTRNGGNTSPQGGGNVQSPRGLELRYQSNECCIKCKFKDEARRFVFDKSMSFQQLQDA IMRLFQFPPTTVVTLAYLDSDGDKCGLSSDEELRAALSHFPDTLILHADIKQGEPPKK PEPPVVPAPMRGPSGAKTEDTSLREIKIEDVKLEKCIGVGSSCKVYKAIWRGTEVAIK KFTSQNADTVNKEFKHEVHMMTHLGCHPCIVLLLAVCSTPKSIVFEYLPFSLFEQING SQDPSKKIPPFPNTWQKRLQMIMDVARGLQFLHSFNIVHRDLKSLNLLITDEGRVKLA DFGIAKLAQDEFMTRCCGTYQWMAPEVIVSQSYSVSADIYSFGVVMWEICEASVPFAD TPAALVAMAVIQENKRPAISPNIPTPLKELICKCWDKEATVRPDAATIVNDLTKFLQA SQTPT H257_04177 MWKSTSSIVGKTSGLDDLPMVLQQEINDFLHFAQNRKQQKLVPR INKVDFTKPMPDSEFSLCLQLQLYSKDFVDGWPLSSMTSIASMSLTSISLERDRGKFT LVVRIPKAYPQASPDISCVMGGEYVPPQLKRGPAFVMPWIQQGWPSTYSLLQFAEDLC TALGAPEDIATASFLAPDPKVFPLNTHHYGASPTSQPSTDLPKPSVEHTVDLLMMGND YHAERQVKQLSFQGSAKLATTNIGSPNPFDSSPHTSVPKANAQMLNPDSSGKRGSVSP QSTHDLLGMHQPTTPSHSNGRSATNDLLGFDMALTSSSHLPPPVVLRSNGHSTGTLAI QTRNGGNTSPQGGGNVQSPRGLELRYQSNECCIKCKFKDEARRFVFDKSMSFQQLQDA IMRLFQFPPTTVVTLAYLDSDGDKCGLSSDEELRAALSHFPDTLILHADIKQGEPPKK PEPPVVPAPMRGPSGAKTEDTSLREIKIEDVKLEKCIGVGSSCKVYKAIWRGTEVAIK KFTSQNADTVNKEFKHEVHMMTHLGCHPCIVLLLAVCSTPKSIVFEYLPFSLL H257_04178 MGPKKPAAASSKPVKDVQDDGPQVIVSEDRERHPTRPPPENVPP LYVPSIMPKESFPEWNENLDQENWYTATAPFEDPMGLPVLPPHIDTSSITWKRPHQYL PPLPEPPKLTTSAPAVKTPGGGTKKGNLASGKKIESIDAKAEEPKVPRCCYVLYDSSL DPMVEKFEGTQPGFAPPLTAERLDWANDFQRVWSAEQAHDIKRWDTEEARIQSEKEKK ELALMAYEESCLIKLQKAIAAHKQATEAELNDEDLLDDGDDGDTTFDFPAAGTPAPLL FNEIFGSAPVIEIEPNSVVKPSVPEGDYVDSPLASGCRVISRLVDRMVANQPYFWEAI YPQTVTATNRRIPTVNPGGKYLVKLFVMGKWRKVEVDDRMPLDDQGKVVVLSSSQPSE IWPTLLAKAIYKVFAWTNTLSTMNHPSTVSQSVGFILNALAGWKSRAYVGLSDLNAAE AIAKITPCLDAAVPSTTLSDVQPSLTMNNVLLVCSMASIKTRHFNSSCGEAFVMSSIR TSGQSFSINIFTLQPNVPPHDTLSFTSESIEYHKLTTVVLHTIPTYTHQLVQEWTVQV DTTNPDNPQRIQRPYSNALPKFLTVQVPDTVSSTMLYVTLTCAPVAAADDVLHAPSDR GMLLVEKQQLSWPTPPAATINQNAGVLKPNVTWPFEVVGPGTHVYRLYPHDLQNGYSL EVESDVPIFVHSVVDCLRDICHMNVETLDASYDAMAAGTWHVVHRFNMTVTATSGSRN RLYLGLHMYDADASPYFHVHLVHNNTSSATELPSVSTRLNLLGGYFDLNPANASESYT VVLECLPCPNVVIPPGVFTITVASDWTIQAPLTQYPVVSSVFSGSYTPNKYLTLFRDV FGPDAGEDDAKAKAGTATSSIHTSLKLSTSVANAAVKLEVLDAATGNLLVKATNYNNT QVMQLPPCVDGGGYIIQGRFDETRWVVPDALRSVQPFSGLIAKPTEAASPDQIGSVVP VPDSATATSPPVPDGGQRQDGTTSWRLEVFGLVPARLTPDCTALNKFAAIKHGWEVAE RGREVRGVVSRLLFLGKRQEAMDRMAAAEYSPEQQKEMLARYDFLFQDGASPSITEVG AAEPEVLLGSDFFATESQKLAQDLDAIKVHMEKAAKERAAETAARKLEMDQMKAEMAD LRLAMLQEREKLWVKREEIRKQQANPTPPSLFP H257_04179 MEITEDLLKRRSKHFDIACIQRLNLAGCDIRTVALLEGCTSLVE LNLAKNHLLSLHGIPTLPTLKCLDCSFNTVTTLDGMSPQPQLEELHVEGNDLARVDFA LLQKQLPRLRRLFLHAPAAPRSNPVCKIDKYFDVVHAAMPSLESLDGEVFALRQVHTA RAVNDDPESDADLRAALAEAAWDKVSWGLPATDDPASFVQNNTKKFKGANNPPCVPDL LRSQY H257_04180 MSAMQPRTVLRQTTLPQATETTPLIRRFCLVNFVLCLGAAPMFF VFATKAMTFQVLYVAGGALAISSLLASAMGILKSTPSLVWSMMFAVAAAYGLISAGGL CVLYLPYASAELTSATDSQYAKSVVLPSELKSQAVVERLYLQVGGAVQLVLGLIASYQ VRLLFHVLGEKRASVAFLQAFSAFMIPLSLLFIAGGQYIISSQTLASAPYTGIFIYIC GLMVLVLSLLAFIGSGFEYRRLLNTFSWLAFLTAVLLIGAAVACLAVTSNVEKSVINN WATIRVVLPPTLQARYDKSQFVLFVQRNLYGMAYVGIISGLFMLLQSLSAQSIREIMN VVKRRAAQDKRCALDPELHPEFVARREWTLLFKSSKRNQRICMRVICGVATLLLLAIT VMMTLSVVFTTQCASISKAAESRSYRLGNTTTPCANYRLQNTFAAGRLQLVRSTTTQG NITFQQNAVSDKFLAGQAFQSSVDADNVCTVAATPTNSATFLWFDTSCQVANVKIELP MLVAGGRVPFVSLASKSTAIDINLLQNGSSTLVQGLNVTSDLANINGFGVYIGSGGLS VTSTSGEVNMSTVFVNATRGALGGKTPSTLTSSLGSVSLANATLVDSPLTMSTDVAGV VVQNVAATVSHGRSNVTLSSGSGGIVVSGLTADWISMQTTSGAITTDGLISSGTGPFT GRIDVTSIGGDVRLLNTVAKGYVHVETNSGNVVVHLASTSFVGLYYVRSEYGRVSVRY SNSSYDSVKSLPTADPRESQGLINCDTSCHFVGDIYIRTIYGNIDVLVGCKDTTCA H257_04181 MTSMSATPPPTEPDEFEANLNQLMVAYGCGLVFFLVGFACCYHW TQHIHASQTVCHVPELTMIKINTKLLRGLCVAMLSAQLALFAHSISLPDSGKAASLFD SLNRPLGAMLGIAFGFSTNFHASFRVFVAAFLAALVILDSISAVHYVSIRMCAKKGAL CSSSKALTADRLALLIARDMVGIALQVWALLVISFLCVSIGICHSRYSPRQLSISSPY SNIRDLLTKYHPHLNVKHSV H257_04182 MGEQTPPLPMTLAKDYYTRPLLLFGSTWMKSIARMQILVVGLRG VGVDIVRTLLSHSLKSITIHDDDCVRDDDIASHPAYMRVDVGKKKATVIKERLAGTSP PSTVLLSLSGTLTSDLLLNYHAVVFSSGPLSREEIIGLSEFCHAQSPPIGVVVAESRG LLGSVFVDFGSPHTATEDESFEFTVVQMNVAGGSVVVQEQCIHLLVQQGDLVEFAFCH AGGDSADLTWLHRRQFPVVQVAPPSGLVVNFGVSPLFVFDLTQHTRLKLKKIRGTRTI QHKSYRENIVAPQLVACPYYISSKDNERNIHLHSILHGLYSYRQRHGFFPQVNNAHHA QEVVNLTKDFVANTAAAAAFGRTVVSVQVVPDALTLEVARTASAEFAPLSALVAGMAC RELLKFCGFGCPVSQLVYWDLLDLLPKDIKKERQFQHDAAGSVGEAHVLALFGRAAVE RLRDARVVVIGCGSVGCQVVQNFTQMGVAKGVVVDGARVKRQDLATQSAYCEADVGLN KAHAVQTHYPTWTSVPVHLQQSHHVHFNHSFWQPTDVVVSTVDSDHTTLHLDDECFTY EKPWVLGHSHGHHTFTQTFVPHITERWVETKLLNTSSPSSSSSPLFLLDRVTALRASI SPPLKSLPHGVDQTDSSAFEIHHMVQWARTVFDDAFHQSLVCLRATWTDRIGSSRGKR RDPAFIRMASEAYSRCQALTSVAACVDMARWFLEVLALKM H257_04182 MANLSVRTLLSHSLKSITIHDDDCVRDDDIASHPAYMRVDVGKK KATVIKERLAGTSPPSTVLLSLSGTLTSDLLLNYHAVVFSSGPLSREEIIGLSEFCHA QSPPIGVVVAESRGLLGSVFVDFGSPHTATEDESFEFTVVQMNVAGGSVVVQEQCIHL LVQQGDLVEFAFCHAGGDSADLTWLHRRQFPVVQVAPPSGLVVNFGVSPLFVFDLTQH TRLKLKKIRGTRTIQHKSYRENIVAPQLVACPYYISSKDNERNIHLHSILHGLYSYRQ RHGFFPQVNNAHHAQEVVNLTKDFVANTAAAAAFGRTVVSVQVVPDALTLEVARTASA EFAPLSALVAGMACRELLKFCGFGCPVSQLVYWDLLDLLPKDIKKERQFQHDAAGSVG EAHVLALFGRAAVERLRDARVVVIGCGSVGCQVVQNFTQMGVAKGVVVDGARVKRQDL ATQSAYCEADVGLNKAHAVQTHYPTWTSVPVHLQQSHHVHFNHSFWQPTDVVVSTVDS DHTTLHLDDECFTYEKPWVLGHSHGHHTFTQTFVPHITERWVETKLLNTSSPSSSSSP LFLLDRVTALRASISPPLKSLPHGVDQTDSSAFEIHHMVQWARTVFDDAFHQSLVCLR ATWTDRIGSSRGKRRDPAFIRMASEAYSRCQALTSVAACVDMARWFLEVLALKM H257_04183 MAKKGKQAKQHGTVDSSLAKLKMGNTATSPTAAADSKSTPGGSP VKAKQGKSASYPTTPISTSKSTFITSSSPSTQDDPHAVHAGTSSPHDDNDDDDVAGDG KVVTLVPKIERRLSTRPEVKDLDDQDILHSLTIAPMIQSTAKQLQRQLSADHVSSLLT KRPSFVDLTDQGIVSDKIAPALQATSDALRRSITADRLTHHIARRPSLQDLADQHVVD DGTPSLAPSLAAMAKKLERTMVQNQVGQLLETRPGLHDLVSQQIVTDGPEVANALQGP RQSLVRQLKADELSRKLKSRKSFDQLFTGPSNALKSNPTKNVSSKQQQKPALSNAQRR ARYTVALKAASRIAADKLISAAEKGRLKDLILADDSRVSMAIALYENDRDVEEMLDTL YRIAKSTSRGSM H257_04184 MIMDSDSDDDVFNMDAVVLTADEDEDVLSEGDILKEAELAVIDS NSVRIEQLQTQTANARSHATLDLALLLSRGEYADVVRHESVQSLWKSLGDAVRRLGLT TKHPCTRITQALEEVFVADPSNMQPLSYTVLFAGAAFLNLFVQLNYTGPAMEDAALAD LLPMLHVLLDDSTVEATKSTLHSHALVSLQVDGESPFSICEYPVFLETARCLLHFVGL QSKVNWTHSESDDHITKPTPLANFLRRPRTVHGMARPLNPQVTAALLALSTGAWWTGR SLMTHQRLLITKEPSNTLWTETQLCFSVVVGRSYPSDTYLSARAKLEWGLAQHVFEIQ GKGRASFDDAMTASGLTVQMSGSMGKRTKYQVKSVAQMVLHATSRVENVAAASASALA EAQTTHVDFGGQSSGEMEEAKEEEGDPLSFEDQLVADGKAAYRNITRDQADPDNILLE HVAFEDGAAASDSNLQVIDQAILLSLCLDVKNNNPADGLTSEQMMPYLTRVLANPNNW MVYSTGLLERAWLECETQRSRERAILQMQALVDQHTTRLTITQTSLKAIQDAAPAHER LAYVYSVIFPPRYELKRDLADRYLSCGVFASALATFQELEMWDEVVQCFQLLDQPKRA EALVRERLAVAPTPLMWCCLGDLTEDVAHYETAWEVSKHRFARAKRTWGRKMFEQHKI DEAIGHFQDAVRVAPMYTQAWFFLGSLSMRTEDWPTAVQAFTRVVQLSPDDGEAWGNL GSIHLRLRQHNEAFNAFQEALKQRRTLWQMWENFVLCAMQVHKFGDAMYAMHQLLDLR DKHKRPVDHEMLAWLVEAIVYPQADAIADDGGENEAATIDMHAVYPLDDDDNDNGVVT RAPTAASDANYKAQLAKLLGRTTSIVTNNPKVWQVYAHFHDGCGHKAKALECRLKECR ALQKAGWDKNQHDVELLCRAAKRLSASYIEDGSKASLHACRLYLRGVVKKSQVDFTDN PDVQDLQRVLYQLETLEAQAN H257_04185 MEHGEAGGAPESNGNPFTLPSDEEVFRMRDDIKKKKEEERVRNA NLKIHEKITHSSQRGNIRRFHFEESSQDLGTITQSKQTRGLVAAATAAISSDRRREKE NMTDFISKKREMFLVQMSLDTKREEIRKLEEKAQLKEEALKKSELMLEEDAVRFDTFL KENDKKAHEAIKKAEKETKAKADRVQEIKKLNQQIQLVQSDMSKLKEQLDDCLKYKAF LDELTPPEWESEQKDKKAKRQQERLRKKKAKAVAEWEAIKAKASAEQEERDRIERERN VDKDGKAKKKPDKAKNAAAAPSVSPPMPNLDEVAVTSSGEELPMYFQNPQQLLDIFTA LEESNLFLIQNSQETEQSLEELKQNYRETKKKMNKKTQLLKQNIDDLKDQIGVEDAKA NHLRQRAQAGTGENVQEKMLKELHDKVLVVYQRCGFEADSNPNTLFMLTDLEARLEDL LSAIEHMPEDYVVKAEKEKEKERRERVRQERISQQTKMYEERMKKSMERSMQAPKKRK GRQVMWRSQPQRQTKLQENESSVNDDDQADQQHFKW H257_04186 MLELTNLENRVNIAAWRSQNLGARRGALETNNMRIRFEVSLDNT GEVDFKALSRRSLESTTVSPPPPKAIDMSECKDDDIDPNVVDLTDDEPGTVPSAATPV VAEVPAGPPSLPPPSSPTPLPPRGKFNIIEHLEQRYGKGGILDIKGKATPVRKHVDDD DLYDSEDSFIDDSDLHDSIENTYMQSTVKTKHSGFFVNAGDNIETVKDPSHKGHGPSS TAHALALDRGSDDESPKKKTKKSLHDDMYLDDDWQPGPDVDALVAKFKLQASEFFSQN NPPPKLWPPALDEGLREVDKVVVAAHPQRWRVNGYMGHLMGFLPYTKTTLRARMIMLE ARDNAAEIKLKIDDQFTAFQSQVALRAAQVEAGPTRLTTEQIKEVVMADGPLAEAVFF ALTTLDEWVVKENEYRPLLKQDDKKQLDEADTVALTTQKERNRLYNKMVASLPASLTN VVDLAGLRELFKLGRKSSGHKVTTPHATPTTKKAAVSGKRTTKTPPTAKVSKAKEAAD PATTKPPPVAKKAAKPPRSRRFETCPIWSPEDFVERVPSLIPKNQ H257_04187 MPRHADWERQLTSMERHTIYDTLLERSASGILSRGDFVDAAKDF NCQARTGSRVWYRGRKSILNGDVVADDDEQVAARTVKWKHFITKVMFLAAVARPRFDP HTRRVFDDNIGVWSFVEVVAVKRKSVNRDKGTLVTVPLSVNAEELVDAVQAAFYEMPV ATLSKTFITVQKVMEMSIAIHGSNDYKLPHMNKDAKIADLASYNVKCDGTIYESALMH LNCRLEQEAHLEAIVNSQDQDTSGI H257_04188 MAMPKHDQHELTPYQAVQSPSARAVDVAAPSDQEATATPKEDDK KLAPLTKLFQFADSTDVWLMLVGTICAAGTGFSQPLQILLFGEIMNSFNPPPPTVVLN ASDVEAMQTSMSSGINEVAIKFVWLGLGVLVCGFGQVACWSISASRQSKRIKQEYIRA ILRQEIGWFDVNNSMELATKVADTTLIIHDGMGRKLGDGINFTAMGVGGLVIGLLKGW KLALALLGFTPLIGIAAFFMVKALTSAVTASVAAYGTAGGIAEESLSNVRTVQMFNLM DTFAAKYKAALLATEKAGIRKGLSVGLGAGCMFGMVFITYAFGMWYGAVQVATDQLTL PKCTDNCYDGGRVLIVFFSIIMSAMALGQAGPSLQAVFSARAAASVVFDMIERPSLVD ATSDVGTKLDHVDGDIQLDSISFQYPSRPHVQVCNGYSLHIKAGEKVALVGPSGSGKS TIVSLLERYYDPVDGTVKLDGHDLKTLNVKWLRAQFGLVGQEPCLFSCSIADNIRFGK PDATLDDIYQAAKQANAYDFVVAFPSGFDTEVGERGTQLSGGQKQRIAIARAIIKNPA VLLLDEATSALDAESEHVVQASLDALLATRQRTTIIIAHRLSTIRDAGRIVVLNEGHV VEEGSHDDLISRPDSHYKKLVEAQARPTTSSPNTAASTATTQSAKATKTTQLAETSID VAKVSEHSVVSTADMAPDAAASHKSVALSRLWRMNSPEAWYILCGSLGALIHGAVFPL WGLLFVNVTVLYFKYNLTPDEMKAEAVTWSIAFLVLGATYFVAVTTQNYSFAVVSERL TRRLREQGFVSMLHQDIGWFDAHSSGALTTLLATDSAIIQSMTGDLMNRVFVASSTLV VAFSIGFYYSWHMTLALIGVFPLMGAATFVQMSLMSGHGKALNEGDVQAGALLSESIN SIRTVASFTLEGQVHSRYIGHLEASSTKDVKKGLFGGVGFGVSQGVMYFALAFLFWFG GWLIVRNYVDFHGMFVVMMAIMLSTFGVGMAMQDVADSGKAKAAAVRLFDAIDREPPI KCTSTATTSDGDTLPTVQGALEFVNVKFAYPSRPHAIIYDGYSLKVPSGATVALVGAS GCGKSTAISLVERFYDPVEGSVLLDGVDIRSLNLQWLRQHISLVGQEPVLFAGTIADN IAAGKAGATADEVEDAARKANAHDFIMQFPDKYNTQVGDRGVQVSGGQKQRIAIARAI LRDPEVLLLDEATSALDNESERLVQASLDALLQLKRRTTIIVAHRLSTIRNADVIAVT DGGKIVELGTHDQLMAIPDGKYVHLVQHQVSH H257_04189 MPELQVRVKGATNLRSVQFIGKQDPYCEVRLGGRVFRTRTHDNG GKNPRWEDTFVFNVMDPQVEQLLIVIKDHNWVSDEFIGTCNVPLNAFLHGQMVDQWYP VNHGRKQKGTINLAIQLLLGTGPTAGYAGQGIVQPAYPQQPQYPSHPQPHYGAQPGYP GYAPQPTYPAQPNLPPPAYSGYAQPSYPGAQPGYPATHGAPNYQPYPQPGYQGAYPPP YPTAQPGYPGYSSSQV H257_04190 MPELHVRAVSGRNLYDAQTFGKQDPFCKVQVGNQVQKTKVHDNG GRFPVWNEKFIFRVNDPQLEQIVITIEDKNVVDNAYIGQCRVPVNSFLHGQVVDQWYP VTRGKNHRGEINLRVQLMGLPGQPGVAPPGGYQAPVPAYPAQPAYPGPPQGYAPPQQH GYPTPQAPPQAGYTAPQPSYPSAPSAPAPPAYPGAPSNPSSQLQQQQAQIQQQQQQLN QQQQQAIQQQQAQLQHQQQELQQQRAQLAQQQANMAAAAQRPPQYAPQPQPMYSQPMY AQPPPVYAQPPPVYVQQPQAVYMPPPVVVGPPVVVGGPMYGNPYGYGYGDNGGGVGLG LGLGVAGGVLLGAALSDDGHGFYGGDGGFFGGDGGFFGD H257_04191 MEGREGLYRSMDDGGVPHRRGSLANILGRGGSILDDVEPYASDS DEDSDHLNDDNDVHMIHHSPIPHLGFCYHGDVFYQRGLYTEALLYLSRLPRKTNPPLP SDLNHRLSTPSSSSSTRKTGMSKLKPMSRAGNHRDSIIPDVKDEGRDSRTTRKRQCAH AIDAMASNPILHPTLFSDNVIPTLLSLCRSKDAVTSQLCVSALCHLSGSQRGRELMLQ HGCLSLLQAALLLPGNTDHHFNVLATFANLSIEDSFESMFVKEKALESMVQHHKASDA VERLASFTLFNLSCPSYTYPRINDVMRALVEHGRDCRDRLLLSQAFYNLTATKSNRMK IAAIPDAFEVVNVLAVTTSDPVIRSNALHSLWCLSDTEGCRRALILHGSVRALVTSLK ATTDIQDLRCIFATLDNVATESLGCEAMANVGALSSLAHLSNLLVDPALKTSVYKCMS LILAGERNVRHVDVAFFELLVSYTHSTSQDMKNISRYVLHGLGCLLAFTKPDSHSFLY NLAYLPKLLYHVLYKSFEPSGADEYLQGVLLYNITLRFPPTDVAKPSLARVLHFGKES PREDIRALACGMLFNLFQAPSLHQPLLTHDGVLDVLVNLLQLPSATSLDFETPCKCLD IICVVLDQQQLGEALAMALIYAVFPTIVQLCERGDSLVNAGCAACFARFGMVEPCRVQ MVRNGLIASLSLLAGEDSPETIQLVVSTYSQLSCDPSICRELIEKGIVHSLASLAAAP EEDVRRACAIAFCNLSTSEDNIVTLVKHGALKALLVISCVKSNDAITRRMCMKAVMNL MRSEANIPTMCNDGLPWAFTIFAMSSEEQDFPILADAFCGLSFYKETRKGLAKVSTLT CFLHILHRIYDTPAGATMLKGILNLLTDIDVAPPLLNAGLLGELNHLAEAASVDIRRM VAQILTAAFQSSPEARSKYMEEATLHIIGHLLKTNDAATKHCCAILLHVLSLDDKTVR VLILNDTMTTVLDTIRESGPNLDVMLLLMRAIYNISCRDDLLVHVCKSGIVSAISFIV SSQGDSPPSVAMCAAIMRNLSCEGSCHAQLVNDHATTLLGSIFNAKNALRIAKEDAAI GVCNLLLGRVNSSVMLTQGALTPILWLCAHAVGGVESNVLCSAVLRKLSMPPGNIQQL VDEGAVPCVVSLLATTSNLYVKRNCTATFCLLARKQSVKPSLASNGVISLTLDLLEDL KKPATIHNAVTISIQKMSIDLVTALAEFVRPNVAGEKHISSMLFQLIDAGDDGGYVPG VACEWEQDREFLVRAQDGPLPLAKPSAARQLRPADIPTLHVPLFPILSLGYSEGFTVS RDQMVMRTLDSVVPSIDNAYGNRNSADKMEDNLDVLRKVLFSHSNQGNAVRSAGWKKL VSMEFSSQKMFTKLRTPFAPVPVTGLAILPDGDKPDGKLDPLQPQAPPPVTKDNALRR APPVFDVKKADVGGGMKSIASFTIQHTVLGSPVRASPRVGTPGRKVRLTPVQDKKP H257_04191 MEGREGLYRSMDDGGVPHRRGSLANILGRGGSILDDVEPYASDS DEDSDHLNDDNDVHMIHHSPIPHLGFCYHGDVFYQRGLYTEALLYLSRLPRKTNPPLP SDLNHRLSTPSSSSSTRKTGMSKLKPMSRAGNHRDSIIPDVKDEGRDSRTTRKRQCAH AIDAMASNPILHPTLFSDNVIPTLLSLCRSKDAVTSQLCVSALCHLSGSQRGRELMLQ HGCLSLLQAALLLPGNTDHHFNVLATFANLSIEDSFESMFVKEKALESMVQHHKASDA VERLASFTLFNLSCPSYTYPRINDVMRALVEHGRDCRDRLLLSQAFYNLTATKSNRMK IAAIPDAFEVVNVLAVTTSDPVIRSNALHSLWCLSDTEGCRRALILHGSVRALVTSLK ATTDIQDLRCIFATLDNVATESLGCEAMANVGALSSLAHLSNLLVDPALKTSVYKCMS LILAGERNVRHVDVAFFELLVSYTHSTSQDMKNISRYVLHGLGCLLAFTKPDSHSFLY NLAYLPKLLYHVLYKSFEPSGADEYLQGVLLYNITLRFPPTDVAKPSLARVLHFGKES PREDIRALACGMLFNLFQAPSLHQPLLTHDGVLDVLVNLLQLPSATSLDFETPCKCLD IICVVLDQQQLGEALAMALIYAVFPTIVQLCERGDSLVNAGCAACFARFGMVEPCRVQ MVRNGLIASLSLLAGEDSPETIQLVVSTYSQLSCDPSICRELIEKGIVHSLASLAAAP EEDVRRACAIAFCNLSTSEDNIVTLVKHGALKALLVISCVKSNDAITRRMCMKAVMNL MRSEANIPTMCNDGLPWAFTIFAMSSEEQDFPILADAFCGLSFYKETRKGLAKVSTLT CFLHILHRIYDTPAGATMLKGILNLLTDIDVAPPLLNAGLLGELNHLAEAASVDIRRM VAQILTAAFQSSPEARSKYMEEATLHIIGHLLKTNDAATKHCCAILLHVLSLDDKTVR VLILNDTMTTVLDLFCSYLRHVGYVPESTTHLFQGPRHNSRKRSKPRRDAATHARHLQ HFVPRRPPRPRLQERHRVGDFFHRVVARGQPAVGGHVRRHHAQLELRRVVPRAARQRP CDDVVGVNFQRQERVENCQGRRSHRRVQPAPWPGQLECHAHAGRPHADPVAVRARRRG RRK H257_04192 MRLEIEGLEVLFPYPRVYAEQVTYMRELKRALDAKGHAMLEMPT GTGKTVALLSLVLSYKYAHPQTTGKLIYCTRTVPEMSKCVEEIKHVMTYRAEVLGAQA TTITAVCLSSRRNMCVHPRVMNNPDGETVDGQCRQMTASWVRGRADAGMNVETCSFYE NYDRRSTDEQVLESGVYTLDDLKALGTKRGWCPYFMTRQAIGTADVVVYNYQYMLDPK VSQMVSRSLERDCIVVFDEAHNIDNVCIEALSVTLNRRALDRASRNLTTISTTVSRMK QADKAKLDAEYRRLVEGLRSSGTVVGVSDASDLAAANPVLPADVLEEAIPGNIRRAEH FLAFLRRVIEYLRKRIKVRQVESETPAAFLHTMQVEIAIDIKPLKFCYSRLNSLLRTL EITNLEEYNSLSQVANFATLVATYTEGFMLILEPTDEFNQPQPILQLACLDASLAMRP VFERFSTVVLTSGTLSPIDLYPRLLNFSPVVRESLPMSVYRSCICPLVITRGSDQMPV STKFDLRDDLSVVRNYGGLLLEMAACVPDGMVCFFPSYMYMESIIQQWDVLGVLKKVL AHKLIFIETKDIVETTLALDNYKRACEIGRGAVFFSVARGKVAEGIDFDRHYGRAVLL FGIPFQYTLSNTLRARLEYLRYTHHIKEGDFLTFDALRQAAQCAGRVIRSKADYGIIV FADSRYNRHDKRSKLPPWINQFLLESHLNLSVDMAVHMSKKYLSLMAQPVDESTTVAS ILLDEAAVVKHLEGGSSKRPRLE H257_04193 MEHLDANVDLRRHEGHQQSRTRQSESMAPPAAEDATIQSQGSHR VVRQPRHLILLQPTMTTSGLEVSVHECPRTMMRELVHVFPNTLKKDCNVLAVVTNQRA SVDLAQFGEEADKEKDRLLENFVKWAHEVAEALTAAGHWADFIDPCSGLPMLALNSNK VYSEVDGVEVLLRYNCLSAGMCKILIHPEWGAAVYPASLFTTAPSDLVLDIVSKGFVQ H H257_04194 MGKSRKPSRNNKRKAENAAGDDAPQPSKEDDCKVIVMNFGAWKD KKDMEAVLTKHNHVYKAIQKLPKSTFGFILYETKEERDAALDVLATIEWANSETIEAK PALAKRSLKPMKVDTATTGGEAKTVMDAVTPWRNVPYVEQLERKEDAMKKVLVRIVRQ TRKEYMDKQKRIGDERKRLRKAQNVATLDDIVGGPADVVVPSWLDSTGSLYLLTPTGL FAQSLQSDDAPWVFLAKADGFTGLISFASELVATNSDGHLYIFDTTALTWSKLSGAPA AAVTAMASFRGHLVCATSDGQIVKRVVTGSRDDAAWVPLASLNVPATAITVHQSFFYA TLADGSWKRAPLSSDATEALVFEPCPFAFAHVQGMTSHDAKLIIVVQPESGSTTELLF LNDDGILRAQKKVVLHDVDAAGSVVVGFASHKGLCCSMQPIAPSPALEGYRNKCEFSC GYDADRNPVVGFRFGTYKDGLVSVGAPDECINVPATMKAMVATFQAFLNQSSMPVYDV LTHQGVWRLLTIRASVHTSEVMVCVQANPSALDADAWTAAKAELVEALTSKHAITSFF VQEYSGVSAPEEDHPVVHVYGKSHIEEELLGLRFRISANAFFQVNTPGAEALYSLVRE FTAADAKTQVYDVCCGTGTIGLCLAADAGKVVGIELCKAATDDAAVNASLNNISNATF INAKAEDVMKNVLRTPRQGNDLLLEQAVAVVDPPRAGLHVKVLRALREFNPVTRIVYV SCNPTGSLVENAATLCGPETSNLKGRAFKPVAAAPVDMFPHTEHCEMIIVFERQPKN H257_04194 MGKSRKPSRNNKRKAENAAGDDAPQPSKEDDCKVIVMNFGAWKD KKDMEAVLTKHNHVYKAIQKLPKSTFGFILYETKEERDAALDVLATIEWANSETIEAK PALAKRSLKPMKVDTATTGGEAKTVMDAVTPWRNVPYVEQLERKEDAMKKVLVRIVRQ TRKEYMDKQKRIGDERKRLRKAQNVATLDDIVGGPADVVVPSWLDSTGSLYLLTPTGL FAQSLQSDDAPWVFLAKADGFTGLISFASELVATNSDGHLYIFDTTALTWSKLSGAPA AAVTAMASFRGHLVCATSDGQIVKRVVTGSRDDAAWVPLASLNVPATAITVHQSFFYA TLADGSWKRAPLSSDATEALVFEPCPFAFAHVQGMTSHDAKLIIVVQPESGSTTELLF LNDDGILRAQKKVVLHDVDAAGSVVVGFASHKGLCCSMQPIAPSPALEGYRNKCEFSC GYDADRNPVVGFRFGTYKDGLVSVGAPDECINVPATMKAMVATFQAFLNQSSMPVYDV LTHQGVWRLLTIRASVHTSEVMVCVQANPSALDADAWTAAKAELVEALTSKHAITSFF VQEYSGVSAPEEDHPVVHVYGKSHIEEELLGLRFRISANAFFQVNTPGAEALYSLVRE FTAADAKTQVYDVCCGTGTIGLCLAADAGKVVGIELCKAATDDAAVNASLNNISNATF INAKAEDVMKNVLRTPRQGNDLLLEQAVAVVDPPRAGLHVKVLRALREFNPVTRIVYV SCNPTGSLVEVRTSIILFGR H257_04195 MAWAVAHALAVVATYLTTNERQLCASTCKLWSGILKMEWRGRAH HWNMAATAANVIDVVNQCGQCRSKKRIWSYKQAQTLGNDLYFVASGIRLSCLVDCMNL TAAAATTLLAVLTKAFPAFAHVVTLLIDDNVFYVHRLHFIQAKLVDIAYNASNLELVN IAKPHANSVPTICLDASPSTVHFLRSLVSSLAMIPPPSALDLTTITPSLPPTAVAGLV LNYPVVYTFSSQPPPPTDNALAMQPLVVFELVISDVNTGHTHSLVKYSLPQLLVTHPN HVAVMRANLLVACRRHILHHEATSSTMSVHVTTITLSSVAL H257_04196 MPAFAAIRKLVHGSSHHASSNRLECPFANVDLAISAVDTSQFAH TCRFHAHAVAPVASITSPVDLVVRSGTFVTSSTSAALVQDIGGGDKIRECCTRFYAHA FLDSQLKPFFFEDDGATAHGQRLADWIIEKMGGQGTPWSDSGRRGMRQPSHYKAWNNA KRHDNVRGNHFNLVDARTWMRVHFWAARECGLHLHEAFWVWYVHFLGHFIAVYEQRAV PYANKDAKWSKLQTNIDAYILNDHTMPDLLE H257_04197 MPLDLIASKTTATDADDGFNYVLHAVTPTQLRILRPSILKLWNF EANTAAPLSMEELMEWADAGEFAVAIRLHGTAASYCTEDEALLAVVESTNSMTSDDLV AKVVGTVRHVSVYRTKHTTELLMHIGCPSFLWDRSSRNRDGAVAQGYFHVPSKSFQPA SLGQRLPRIEAGKDIVTYLSTAFVAPEVEGTGLRHHLSYGKLARNMPNYRHAARLGHR IFLTTTLYDHRTNGLKFAHPFLQLVAKLARDIGIELGLGAAISFNVVHWSVQSCWQED ATVVMACIDPMDGFDVDSSYMYTSPRASSISNLARDSSHNYTMRPRAQTHYQSTTWWN WPKRVVQSMMQSKRRGSVVDRSSRVY H257_04198 MADTEGTHPLHTAWSIWELCEMSKENYANKLHNLYMFKAVEDFW GYWNNLPSQVLNASSPIY H257_04199 MSHRLSQNARHTQELLQELERDKELDVLRVYLTKYNLFPRKRDP KTAPIRAEELRDLVKHWKLHRQRNFWKNHATKEELVRTLYKYINTKVLPSERIGDKSA GMASAAGLASPTSSSGPPTPIVPERPKTPVPESPAKKPLFDRRLSNRSLLLAAAMSST AKSPSRRGEFVLESYLGDLFGQRGDYEDGMIYLSRLGNVDVSSRSDVADDIGDEKSTP KSRQAILAAPTSPTAATANNAFSDASSIVELMDDDSTTRETRMKQECASSLYQLTLHV GHEVGIVQEGCVPALVRLSMFDDYDVKKYAAAATVNLTCDSSLCSRMLDDGLLVGLME FSKVQQEDIRRNAAIGMCRISYERLGQQRLLQEGSVPAMISMLNSTDNDTKEACIKAI VNIASFSGSVISESVVYTMVKMSGLRKQDLSCLRFMGETICNLSLLSGPRVKAVEDGV LEPIAVIGHHATDVDVLRLAATALCNFSTVEANHALLSQLRVLKCIEVLLEVPDETIR ELGAVTVANLTCSPDSIKSIIQSNIAIKLIQIGYTTNDVIQENVSLALSNLAISEEDK ELFLTRSGVVLMLLQFLKAGSAVTQENAVCTLCSLMAHESSRSELMQCDMIGVLLQLA SAPLPQTRELAAMSMLNFSAHADLSPYLLAPDTLKSLISLFVGDDVADQHPKDSTVTL SRIQDYCLSCLYNLSFYTGSRAQLVSEGCVGALALVFRKPSRVADQNKRVVATVCNFT FCVEGQARLLADDGLRLMKRLTAHCTIKEVLLCASTALCNIATVAIDQPNSPVLSMLI DLSHTAHSDISLNCAIAFNKLAGNSGYAEALSRCAELAPSLTMMMRSGVEDVQIHCAA ALCGLASDRTSKLHRTMWKDNAIGDFIVNSLLRINSDSTKEICARVLFNVLTHDDGRV GFIKDGVLYALVKLARLDSVEIRTLCVTALYNLSCDESMVPVLMDINVAQVISKMCES EANSEANRQRLAACLTNIALCPGNEAKLVEGGVLGAIVLLCDHGDLQCLRYSASALCS ISNVPDCCVAMASLLIVELLLKMINSKDGTQCIFALNALCNISCIVTNHDKIEEGDAI CSVLRVLDESEEEAIVLTCTKIVCNLSYDIKHHGHILKYRFVRTMVKVFSQEVVYPSV ADVAARILATLSENANEITALVNDGAVHVLRVAARYGSPSAVSNCIISLCRLSRGGHS GMRILEDGLFDIIATAIPLEYPPQVGPRVSAATSERCSMILRTLSTYLMCISSMVADR RIVPIVAALAFHGDKDTCTNCVMLLHNITAARNRLFQKEARLSGVIPLLIKLSKVGPA DVRLVCSVSLAHLNSDLTEAERDAQDEFEKGLVATLISMLDMDASMMQKVEKVASALP PPLVLAAKAAADWDFLHGVQSTRILQQIPITWTTQSAAIDQGRFHPIDPSEFLSMLPS FNANRTPTVKDTLFGQFRVLKVSPDKCFLKPAPRNSAMSPTTVLRHLGSSSANVPTAA SVVSTTASGNGTPKTPHPSSTTVSILKKDDAVRQGSATAVRATKPATPKKSKPSIHRM GSQMSSTKQPTAAAVATHPEPPLSVHLLPKIV H257_04200 MGSELADERDDLVSLLNSEWTQPQGRDCRDTTRLRTRDPFLLAI LREDGEAVEGGDVGYHSEHHTMQRPIWTHAVATDEVAVSASKTTRRTKKTFVIPIDTS IVREPPPTSSINGQSLHQDEVENLRHQLKEASNELKVWKQRWAIKNNPTAASNQEAAP HNQPPSSSVRQDNLRIAELQAALGHLQTRHQRLRTSNTQLRQRCNELEALTTSQATAY AIQEQTIAYLQEKLQAQALLAKDTHRRVATRENNIPQHSHTRAAHCQLDSIACDQARV QRPASTVAPPVATSISTPHWSKSRTVPPITSSHSHPVTPPITEKLRQLYVKQKSAAAA TTTS H257_04201 MSHRLSQAARHTQELLEDLERDKELDVIRIFLARFDLFPRQRDP KSAPIRSEELRDLVKYWKLHRQRNFWKNHTTKEDLVRMLYKHITVKVLPNEKSPLIPE TTTPPTTMVPIAPQSPARPPSGGMAMDSPSKIRRTSRLTNGTTEGYSGGVMSKGSPAK MNALGAYGGDLFAQRGDYDCGMIYVSRLAPPETDLALQSLVLVAGEESALKDSVFSPQ LNLLDEDSTRREKRLMTECACSLYQLTLEPGHEADIVREGCIPAIVRMCTFDDLDVKK YCSATIVNVSVDASLTARMIDEGVLGGLMELAKVQQEDIRRNAAIGICRISYDRQGQH KLIQEGSVPALISMLNNTDLETKEACVKTLVNIASFSGSVVSESVTHTVTRIAAKKDT AYDRFIVETICDMSLLTGPRAKAADDGILLPIFDINRNSSNVDLKRMMAIALSNFSGI ETNHQHMVNGRILHALDSLLGVDDDEIKVMAATAVANIACTLEFIPKLMASHAEPFNL PLRLIQSGYNATDVLQENISAALLSVGLSHQAHRLHLTQNGVVLLLIHFLETSNATTK RHAVVLLCALMADDLSRAQLVQHDVLKVVVALANNPTTRELCSVALFNLSCFADMSPY LLAPQTMDALALLLSPPPAPSTSKSADAADVTLSITQEFCLNCLYNLSFYDGSAEVLI SSHSVATLGAIFRKPSKNADANLRAATALCNMSFCPDAAHITIMLDDDALKLLRRLAT NATTKELVLCIATTLCNLAIQALQTSGPLLVNQLIELSHTPHAEIAFVCAISFSKLAS NSILRESLAKVMDLPPTLTVMMRSGIEDVQIHCAAALCGLACERGQKGNRHMWKEGTI TDFIVNSLLRINSDSTKEVCARVLFNVLTHEDCRGHMIKDGVLYALVKLARLDSVEIR SLCVTALYNLSCDDAMVSVLMDINVAHVIAKMCEGEFNQVENRRRLAACLTNIALQPG HELKLMENGGLTAVLLLCDHGDVECMRYSASVLCSLATQPANCDAMAGIPALELLLKM TNSRDSYQCLFALHALCNISCVPALHDKIEEAEAICSIIRVLGEAEEEDIMLTCSKIL CNLAFHAKHHATILKHNYVPVLLQGLKKTKFQSVADVNARILATLSEDAAVVELLVAG GAVEALHIASREGDKATTVVQCVISLVRLSRGTLGGCKVIQDGLFDILQAAIPLPYDP KMHPKLGADLTERCSMILRTLSTFPVCIPDLVEDPRLMPLAIALTEDGDKDTTKNIIM LLHNITAARSREFQRQVRRSGVIPLLIKLARVCTTDELQICAVSLAHINSELSEADRL ALEEYHKGLVHTMISMLDMDPPTMLRAEKVASAMPAPLAIGRFRTDFLVGSNASRVLT QIPVSWQIQSAHIDEATLVPNAPSHYLAPLPQKHTSFHLAVKEDLFGTFQILQVGADK SRMKLPLPRTLMSMDTILNIVDTVAEEVVPGTMPTDAMHETDELDDELCVPPKSPAAP SRTPNTPGGRHHHHGLSNSPHSGGDDDDDSGVRKPSKASHKHPLNGGRSSVKGGHGLK ASSRKISLAKTGSVKHLRAGESKTNVIHEHDSVLPKI H257_04202 MTLRSFSKDTLQDNWYEERSGALQGVLPYDGKNDYSTTNEHDFA NPGALKVRNEVHKLVDHRMIRRDNFEQVIKSRPLRDRPDSGFGAVLPTPDPNVENRYM ETNNQAAFGTVVKTSTLAVPNHQQGIAGGPGGGRVERGKAASGASGEVIKVNSDPQKD THAQRSWMYTKDPIFDAKRPKPTAADQVVAKPPHYRRQATSVTTVDQKKLGIFSDD H257_04203 MSIPSSGGTERCTPELLLDLAKNATANATSCGSTDSIVLEPTAL SWPGLWAECNTTTSATSVSCRVAWCTGLNDFIQLLPPNVSNCMLANGATLRDYRSSLL SLVEASPSPSSISPDITKPRSEAIIGTTRSPYAQPNPPVTGGPTAIVDITSPSSGSAF SNNMGLIVGGIVVAISLCALAILVVHRRRRHTAAKPPPPVDFSVYHPVQDDMHPRSNP NRAKKRRPPVQTTPDQVELDATMAKLDVVRIDHRELIRDRLLGSGAFGEVWLATYRGR LVAVKSALLGRHPQNRLSDVQHLVDEIGLMSVFRSPYVVGLVGASWKRVGDLTCVMEY MDQGDLRDKLDATSFDTFTWPDKAQCMLSIVEGLVYVHSFDIIHRDLKSRNVLLDSTH GTKLTDFGIAREDTQDTMTMGVGTYRWMAPELLQDSHYTVAADMYSFGVLVSELDTHC IPYSDQTNARGKPLADTTIMAQVMRGTLAPTFSTKCPEWVRDLGLRCVAHDPANRPTA MQVSLLLRQQIKALSYPI H257_04204 MRSRACHFVAEGHTTTSLKARELEPSENITPLVASSTRTHTRDA TESIDGTVQCIRPAKMGWHTRAEASDERKAMVSCIVDVYTNVMGSHRPAERSSNGPSR RSKGSKGGAG H257_04205 MARTKQTARKSTGGKAPTRQQQQQRDYAVDRCVRGTIELEGAPN GSNVLLEESLLLAEDREKVLASLSPGSSEFHYFRSIQLLHELNVDDAPDADDKIEEIL NHVKSLEQDGGWQRARRLRLRLNIVLLEHGRESAREFFVDTLGLELNTVRPPEATSAD VGSSQQVRPSTLSYDIEALKQEKIAKVKKCLYLQDDKWMVPYKAESFLRQLSPFVLQA LFESLNSPSGLPDWTPPQKKLVLQFILQQKLLLWTDFDSFVDAVAKDILDIYENATGD NNKVQVFGGLAHHNDLNLTQLDVLWDRCSTALHDNTPFALRYVQLLQATKDNERQFLS TAVTWLKQLGPQVNGVRVVLLHRWLQLIYHDKNEPTLGSLFLQYVEIPREECRIANED MVRNADYDSVVRFVNTTSSAFGRPQAETASKPLVSTLATALGYSVDLSSDEDLIKTVF ALLVQRGSTIQDFAPYLSLKFLKAQYATAMLTCGRGSRGEYERDIVDPCDVHKLFQGS EVSFCKSNPSSFAPDDPVSLVLNVKNIQALTIQLFEINVSDHYLKTFRAIPSDISLDG LLPNDQVRVRFDHVPSHVRVQHRVEFPSMQLSCRGVFVVEVVGQDIACRAVVRKGGLH FTQEITSHGHELTVFDEASNVVLGCVAVVPDVQDKAKKHHSFSASEDGRIIVPFYTAV DEHGLEPVKRASPIYIGHGSYGTLGTFTYCEESYKLKANIYIDSEQLVPGTKATVLIR SKLYVHGCPTSTSLVRNVVLSVAFVSQTNIETKKEIRQLPLMDDANELVTTIDIPHEA VSFKVTLKGDVASKDHGDTAAATTSSSLSTARVTRLCQVSDSKHFDIPHPTRDDVLFN PHLKKVPNGNGDEDYVVLMLGHNGEGVPNVEATIELRHLALESPISCDVVSNHRGEVF LGPLTHVVSIDMDVNGRRYAWNLPNWALPSWSVAGRQSHVTQCAMSTKDVLIPVPHVV GKDLQQWIESGAISVLKIYTVQSRTIRQRADRPSFVCVGPGSSLLFKPSSVGEFEVVV KPINATYRIHVGESQVAGFVKAAPSTLLKADPTAPVVVRNLHIVDHQLKIFGVNTTPN TRAQVILRRFVSHEPVTNVLNEGMHDENADLRRFDVDTPTCEYFESKRIGDEYAYILE RRAFAVQHPSSKLHQGNRLTLPSLLLNPFKVKETSGSTLADAKQGEEYGKSRGDDSSM PKKSKRMGDRQRSSTRGGHSTSSPVPPNTLFLEAPSCIMSNIRLNSLGEVTVALPSTL TGSYDVVVSLVDGQDVDSRQQSVVFHPRAGAPAWAMPLKSTALSRDAALGVDKDVHSI QVRGHRCIASSDATTLPCGPDSKLEVYDCLEHAFGLLDALTGHDVLYNEYLKRWPSLD LPTKQAIYSQDVSNELNLFLYKKDTDFFNAVVVPHVRSKFVKDFVDWYLLDAKNVLLH SYFSNAATFDKLTLVEKLLLAERLPKEDAATVCRHVVRIIETYYGESTGTKLDAVFEH VMTAKSTEQSDLVQYRQHDDSTTPAYSPTSPAYSPTSPAYSPTSSAPVPTLNRRMVAF RMAPQRASMEATVNRDRVLQSATIGGGATFGAMRAFAAPPPAPYARDNDDEDSDSGSD DDDSWENISHDGDDGDIDERATTEPTTKKQRKVYVAPGATYLVGERRYHDGKDEPQQP GGGLVATLTAVQQKTWVGSAINRFWLDYARYLLSPSSDVFLSASFPEACSSFAEATLA LSVLGLPFVGGAWEVQPITARDHTSLAIRTSKPVIVYYEDIRAQQPSPQELTDEVAMV GSNLIVTQTIFNPRDSNLNQGQLKPVKEFVRSTRYGCQVTVSNLSPQATPSLNLLVQI PEGAIPVSTGGYYTRNSTFVLAGNETDTTVVYFYFPVEGSFSHFAAHVAIHGQTVRWA DESPMTTPSTISVVAESKTVDITSWKDVSSRGSLDTLVTFLKTHRKLETIDWEAMAWR LKDRATYDVLLHFLREHFVYTDVVWQFSFFFNDQVAMADLLQRRLSAYEVGPGLSLPK LLPLAVFNSTDSRLVADVGLEHAEYIPLIFRRTHGGVKDTDVIPNKDVRDAYRVLCHT LCLLPKLDDDHYLVLVYYMVLLNRIDSALELFGRVSASAATTIQLQYDYMNGFLDFFR DDPTFPIARAVASKYATAPYVAHHRWGALFQRLQDQLHELDSLAHHHSASILLPEQDV SLELTMSEGSFVVTQRGSRVDKCIVKYYPVDVEVMFSREPFSGQDAKVSSCISLIQPR ATAEISVSAGGTTTVAVPPALHATQMLVVVSPVGYPEMEMLKPHFCDSMDVHFALDEG LVQVFAHRRPLGKAYVKVFVQTKSSKLAKFYKDGYTDICGRFDYMGINDTALLLDVAK VALLILHPRHGAVIRQVSPPTTISLEGDHRPVQRDWTTY H257_04206 MNDKTVKVANVRLLRGGKLTNTFLWIENGKVADPQARYWRSQSS AEYGPREVVDGRGLILAPGFVDIQLNGAYGHDFSDVKCTEADVLEVRQKLLATGVTSF CPTVISSAPSTYARVLSKFRRTSDGHLVRGANMVGLHLEGPFINRQRKGAHKEEVLLD PVDGLASLEAVYGPLSRDTVALVTLAPELKGASLAISQLVERGIVASAGHSSATIQEA VAGVGAGISMLTHLFNAMASFHHRDPGLVGLLGATECPRPSYGLILDGIHAHATSCRI AQASHPDGLILVTDCMAGMGLPDGSYVLADLPVDVQGGRAYLHNTQTIAGSVVQMDTC VRTLRQYTDCSIEYALEAASLHPARALGLRAKGSLEFGADADFVLLTDDLRVVQTYIA GVLVYDRLNELDLRVPSQNNSLDAAVLQPWRLTAFDLDDVRALDKAASVGDLALVKQL QAHANMHCTTDAMDDAAGNGHLEVVKFLHQHRSEGCTTRAMDYAAARGHVDVLQFLHE YRSEGCTAYAMTTAKANGHGAVVRYLQEHQHSVCLNDVRLTGDRG H257_04207 MRLIIEKDAAAVADWVAAYVVQRITKFKPTPDRPFVLGCPTGSS PLQTYKRLVQYYREGRLSFQNVVTFNMDEYVGLPRDHSESYHTFMWTNFLQHIDIKRE NVHILDGNASDLEEECRLYEVKIASFGGIELFLGGIGPDGHIAFNEPGSSLSSRTRVK TLAYDTIVANSRFFGGDLNLVPKMAVTVGVGTVLDAREVLIIITGHSKAYALYKCIEE GVNHMWTVSAIQNHNNAAIVCDEDATLELKVKTVKYFKGLNETNVKMLAP H257_04208 MKYMHALCAHVERKIASQLPDQFALVHDGWSHGSTHYLAIFATF PSSDPIGYTRTHRFNLFMSDVLADHADVIDKVNQLMTKLRFTLPAARLRRLTPLVAKT NNNREVTALLTKLEDLNAITLALQSEDCSLLDARQIFDTVIEDYPDAAARLGRSAAIV KNPAFEDGVVKVLLESEASLTNVEAEAIKALRDSQASQGSEEGVAVPALSLAERALKK KKVMRAPSVYKDCRFLRPTSNMCERFFSATKLAVGDRRCSITPKNFEE H257_04209 MAIVKIVNVATVKPVRRAAFESDSESDDETDRLTKKPKKSPGTK DDVNVSRVPGDDYFAAWNASDVQLTCVDEDGTTVMWKQSVLSFNGCQELSEHGAGKTP PGTAV H257_04210 MSGVLRLLLASLVYHYDFLVAHLQPNHPLLSTALFVEPGLAASL RLFVICGLESQCLVASGIPPHVELMRQLDKNQKSIQDISSIVLSGLIHVVGTKNKDPK HCFANPLKPQI H257_04211 MGALSGYRSAIKSLYIDQGVPLPEPYNIDMKVIFSGIRKTVAQD LQSGSNEFTGKKPMTFLFKHLCAISMGLPDCRNEK H257_04212 MNKPGESTTTARRDDKPREYSSSSHGEKKRSREDDKKMNEMDRR KIQKYKDDKLKERKDHTRRVLEQQAQEKRRALLGKQSEFIGTLEFRNQLPDLPFDAKF IQYAHDADRFVKYKPSQVERDYVHEFYVEQNLGLPIDLIDPEKFEVPDVSERAMTNVD VELLNMPEIISGASAAKAKIRPHVPWLRRSEFMGTDLSEAVHQFKNESELQVEIRDKN QAMLSVIMQKDLEQRANESFDLCPAADNMVHPLKADLKPVQVWDVFPDEILSSNIYSI LSYDILPSTDTRDPTTHDRESHALLRNVVTVSQPNAADVLLGSILFPSTGHKAVGGGD THDSDEDGGSEKFKFFRDYVLNINHFPNDVQQLMLMINPDATSATYASLSTNITLKKT KIGGDSKRRRGAVVHRRAYNDAEEEKRTESLLTVGGIYDERLNGMVGHGGHHVKDAQD DDVGSPDVSEEDSD H257_04212 MNKPGESTTTARRDDKPREYSSSSHGEKKRSREDDKKMNEMDRR KIQKYKDDKLKERKDHTRRVLEQQAQEKRRALLGKQSEFIGTLEFRNQLPDLPFDAKF IQYAHDADRFVKYKPSQVERDYVHEFYVEQNLGLPIDLIDPEKFEVPDVSERAMTNVD VELLNMPEIISGASAAKAKIRPHVPWLRRSEFMGTDLSEAVHQFKNESELQVEIRDKN QAMLSVIMQKDLEQRANESFDLCPAADNMVHPLKADLKPVQVWDVFPDEILSSNIYSI LSYDILPSTDTRDPTTHDRESHALLRNVVTVSQPNAADVLLGSILFPSTGHKAVGGGD THDSDEDGGSEKFKFFRDYVLNINHVLYI H257_04213 MAQAVENLVKIVGRAFYADEHVVVLEALIREKYLKDDEMGNAVN LQTKQVRKICHDLEADHLVSREELNDKKMGGASKATYWYIDYKYFVDVVRYRLYLIRT YLMEAESLEIERQTYRCDNDDCGREYTALEAQKLLTPEIHEFFCGHCNSKLLECDNNE RLQSAQSLLKKYTAQVNRAEDLHDSINECLKKIQEFLNSGQALPSNLPSENRAAGRGG DSVRVPAGRGGGAGGGGGGGGNNRANEPQMSLLYGNRAPEVVVSISTENKPRNEVKLE PTSAVKALPAHLQGSKMSNDMASKYKQNNVAPPASVFGTSQLHVKDDDPSAGRRLDDE DDAMKAEIILDEHSGGGQTTTTTTSHGWNDYGVDMDDDGFDMDWENCDTSQEDYVDPH YVHELVTVQGFKHELLNVTDADIERMTQHEYVDYYHKCKLVRSRLGQQRQYA H257_04213 MPRPRSRSPGVEVTDALKLLPTYVTSCSCINDDHREELNDKKMG GASKATYWYIDYKYFVDVVRYRLYLIRTYLMEAESLEIERQTYRCDNDDCGREYTALE AQKLLTPEIHEFFCGHCNSKLLECDNNERLQSAQSLLKKYTAQVNRAEDLHDSINECL KKIQEFLNSGQALPSNLPSENRAAGRGGDSVRVPAGRGGGAGGGGGGGGNNRANEPQM SLLYGNRAPEVVVSISTENKPRNEVKLEPTSAVKALPAHLQGSKMSNDMASKYKQNNV APPASVFGTSQLHVKDDDPSAGRRLDDEDDAMKAEIILDEHSGGGQTTTTTTSHGWND YGVDMDDDGFDMDWENCDTSQEDYVDPHYVHELVTVQGFKHELLNVTDADIERMTQHE YVDYYHKCKLVRSRLGQQRQYA H257_04213 MAQAVENLVKIVGRAFYADEHVVVLEALIREKYLKDDEMGNAVN LQTKQVRKICHDLEADHLVSREELNDKKMGGASKATYWYIDYKYFVDVVRYRLYLIRT YLMEAESLEIERQTYRCDNDDCGREYTALEAQKLLTPEIHEFFCGHCNSKLLECDNNE RLQSAQSLLKKYTAQVNRAEDLHDSINECLKKIQEFLNSGQALPSNLPSENRAAGRGG DSVRVPAGRGGGAGGGGGGGGNNRANEPQMSLLYGNRAPEVVVSISTENKPRNEVKLE PTSAVKALPAHLQGSKMSNDMASKYKQNNVAPPASVFGTSQLHVKDDDPSAGRRLDDE DDAMKAEIILDEHSGGGQTTTTTTSHGWNDYGVDMDDDGFDMVCRCCCLDM H257_04213 MAQAVENLVKIVGRAFYADEHVVVLEALIREKYLKDDEMGNAVN LQTKQVRKICHDLEADHLVSREELNDKKMGGASKATYWYIDYKYFVDVVRYRLYLIRT YLMEAESLEIERQTYRCDNDDCGREYTALEAQKLLTPEIHEFFCGHCNSKLLECDNNE RLQSAQSLLKKYTAQVNRAEDLHDSINECLKKIQEFLNSGQALPSNLPSENRAAGRGG DSVRVPAGRGGGAGGGGGGGGNNRANEPQMSLLYGNRAPEVVVSISTENKPRNEVKLE PTSAVKALPAHLQGSKMSNDMASKYKQNNVAPPASVFGTSQLHVKDDDPSAGRRLDDE DDAMKAEIILDEHSGGGQTTTTTTSHGWNDYGVDMDDDGFDMVCRCCCLDM H257_04214 MRGCCTRMCPSKEAKERLEHHELSKYERPPYGGPVKRYRRSAAG TIINPGDVRPVPVLLETTHHLLSLLPSELELPLDLYHFLDDRFRAIRTDLVLQEEAPV SILHPIARFYLVAQCVLRHSTAEGNVSFESLRHLLEDQMHSLLGQLKGTSLEFEKYYV LLHMDNAGFSLTLRDVYMHSNDARALWSWFRGTTSFPTSHGGDEQHILHRAIRFGQLA TRYRHHLRILSKAYTKHDKFPLADLVRIIGFPRIQDAQLLCLAYHIDVYDIESPEGYV RFNERPLSDDPDSAILAQLLHHEFTCIDALRRGVPWRDVLLQGR H257_04215 MTDLYVNDTSRVCSQPVQVVGVARESALAWSANGNRLAFVSGNS AIFIARLDNQGTNGVVLEKVIPLFKKDVHALLFFPDNEDMLVVVGHEGISTVDVSAGD IVFRVRPGKENNHESDVTCATWLYGGSLLATGSKDANIKVWIRDATQTNEWTCLETIT GHKAPLMALEFNLFTNSLFSSGRDSSVKHWDVRSLHPSSIAKRRDDGSIACPILSSMD GHQGDVIALTASSNGKHLFSGARDNSIKVWHVGQHRELRTIKGHAGDVRRMILMANDE YMYSASVDGTVRLVKLLQIDGDDDRILSAEDLERDREVADKLALEEILGLGNKALASA GNGPSGVAAGALGLETDQVLASISAHDQNVFRMEVNPVKPLMATAGNHEIHIWDISNL AKPVRINEFVGHTNGVTQLNLVHDDQHLISGSLDGRIHLYNVDTLHRESKLDVIGAVG ATVLTPDNRVLFCSGNDYDIRGYWTHDNVLVSQCVVELTGHCGKVYCLAISPDGSTLV SGAHDYSLCVWSLNSFTQTYQGANAPLLAGEEEVKSLTPSKRVESPHEGHVFDLAFSS PASGGEHPRLASCGNDHSIKIWRLNGRSLSEVAHLRDAHASAVSCLAWGRLASSSLLF SGGWDQTVKVWDLSNESRAPSGPVGTLQGHKGRLSKLRVSNDGSVLVSTSADGVAMLW QATAPFQLLCTYVGTDDGGISSLAMGQSIFATGYDDGMIKVWPLMATNGAVPNDYADL FLTQEDVARVAEENEAKEKHLAKTQQRKKSGFLPNAKAPQLL H257_04216 MPSLLTHDEPAAASADTNLKWRVDVVLHTKEQTNVQEPKALVEL SSQEAEGNTVRMQMSRAQVSEVLREFEKIQQLIDARQKAKAN H257_04217 MDAFQQQQQMQEHQRRRQVAAAAVQMNNGTFAASNMAMFAQPAP SMQLPPSRMAYHPHPMHLQPPSMMYSDDSSYIPASHMPMVPPDGSSYFAQQPTQSSFD ATDPPSGKQLAASAVQGRWKPPSSSSSQLHYPNSKFTSVAHPSSDMTADAFADGTHGL VLDKAVLSKLLVRDESEISDEQIRSIMHNKELLTIYKKLQEEETKRQKRLDNNRKTAQ MRRKKKKGLVETYETQVSELETILAKIHAHRFGQGDVQTLVDALSGEQRQSVNMTKDT KHQQTSVLLKQHSRNACAIRQANEDSWMLALAAANDCSFADLKQVLGLTEAQCVRLAQ LQPAIHNESTRLAIVEKCFAALHVHEWLHFPNTENLVDLFRMPLSDAQLQKFVQWTRV NQGVIQQLKFASAASTGGGNDKDLVFEFPTEL H257_04217 MDAFQQQQQMQEHQRRRQVAAAAVQMNNGTFAASNMAMFAQPAP SMQLPPSRMAYHPHPMHLQPPSMMYSDDSSYIPASHMPMVPPDGSSYFAQQPTQSSFD ATDPPSGKQLAASAVQGRWKPPSSSSSQLHYPNSKFTSVAHPSSDMTADAFADGTHGL VLDKAVLSKLLVRDESEISDEQIRSIMHNKELLTIYKKLQEEETKRQKRLDNNRKTAQ MRRKKKKGLVETYETQVSELETILAKIHAHRFGQGDVQTLVDALSGEQRQSVNMTKDT KHQQTSVLLKQHSRNACAVGTVVVFGGGDAGNRAMATNMEG H257_04218 MLRRGLGLAHCRAVPRTSQGQLPKGRPSCSNALLPSSSPHRRSF SSSNSEPPVKSPPKATLFQTVLEAFDDRERLYEWDRDGLFDPDMPESKKAMMFLFFHR YFDPPFDLPDFLDGAQVALDLVFHTMYSHDFLAAAASDDATSTYKSETLLESIMTRAC VDSIKKEFIAYHADGYTQVALTQLDIHECSLHDVTISKDYEYLYMDVLYRTTEHLSMD KEGDDATTTDVRDSHCQLRYQTKMDNLDHLDWSIAQVFDH H257_04219 MADLVHADAMALAKKQSNHHNEAHTKGGHKHHKGQDKHNLHHAH GDGYTATPKPLHPAADSTLKVLVTLSRHGSRRPNPISTTLCPNNVQNSESYHVPPEQL TEIGMEQMRLAGEEVRREYIDNQGFLSNSIGGPENKHFETYFRSDAADRCAQSAVALG YGLYPDSTAPDQYYHQPISVYMNQLPNEHDFAAPKGPCKAVANADIHAYLEPRALETI QEHKALLEQVGQLCGINVWDIPTLPDGEDLVTGIKDIADTFTFDAQQGLRRLKVLVTL SRHGSRRPNPISTTLCPNNVQNSESYHVPPEQLTEIGMEQMRLAGEEVRREYIDNQGF LSNSIGGPENKHFETYFRSDAADRCAQSAVALGYGLYPDSTAPDQYYHQPISVYMNQL PNEHDFAAPKGPCKAVANADIHAYLEPRALETIQEHKALLEQVGQLCGINVWDIPTLP DGEDLVTGIKDIADTFTFDAQQGLRRLKGLTAEQQTEIEGLAFQHLMERYFSTDREVT YWVGGFPTLLLKNLQLPTPEKKEAFKYYSYHGHRELLHGMGQLLGWTFDFAGQPRALN TSALDPATTLFFELHQTNSSTELLFVRTFVWSPRVRRTGVKLAKCSAVDCPLAEFTSI IQRHIDATGPWEQICNYHAATFAPVLHPTTSPVTPVTTTTAKPVEATIPAETKPETTI TASPTTTATPAIITTTPKAVEATKPVETKVVTTTTTTASPTTTATPAPVTTAAKSVEG TKPAEAKPVTTTASPTTTATPALVTTTAKPVEVKMLESTTTTPKVGTTAKPVVMPANP VETTAPKPAEVATTTDQPSISKPGISTTIEQLESVQPNQPTKKVQSEPTTVPTILAID VAITPQPLLRLNQKMNLPPLTLFEGIGWVSYLAVAAVLVYFAAKRYKRRNQTGYHRLD H257_04220 MSEAFDLSKKHQKQGGHHNHHGHGGDKKQYSLNGEAKPYHHAHG DDINSTPKPPHPAADSTLKVLVTLSRHGSRRPNPISTTLCPNNVQNSESYHVPPEQLT EIGMEQMRLAGEEVRREYIDNQGFLSNSIGGPENKHFETYFRSDAADRCAQSAVALGY GLYPDSTAPDQYYHQPISVYMNQLPNEHDFAAPKGPCKAVANADIHAYLEPRALETIQ EHKALLEQVGQLCGINVWDIPTLPDGEDLVTGIKDIADTFTFDAQQGLRRLKGLTAEQ QTEIEGLAFQHLMERYFSTDREVTYWVGGFPTLLLKNLQLPTPEKKEAFKYYSYHGHR ELLHGMGQLLGWTFDFAGQPRALNTSALDPATTLFFELHQTNSSTELLFVRTFVWSPR VRRTGVKLAKCSAVDCPLAEFTSIIQRHIDATGPWEQICNYHAATFAPVLHPTTSPVT PVTTTTAKPVEATIPAETKPETTITASPTTTATPAIITTTPKAVEATKPVETKVVTTT TTTASPTTTATPAPVTTTAKPVEVKALESTTATPKVGTTAKPVATTVNPEETMTPKPT EAATTKLVQSTPVVPTTSKPEQEVERAWQPTATIVVPVPSATPQPPARLLARFSSGDD LPPLRAFEGVGWVACVAVAAVVVIVVVRRFTRRNQTGYRRLD H257_04221 MESLDVTEAAATTRRQLGLVPVVLMTFLSVCGGPFGSEEIVSAC GPLIGLTALAVFPLVYSLPMNLLLAELCSAFPVDSSFCTWVGMAFGSSWGFYVGYWSW MASTFDAAVYPCLITDALFLGVSSDHDSVMVWATKTGIRVLILTVFMVPTLFSLYFVG KASTWVAVVVLLPFGVLVLVALPQMQWGNLLAVSSCSSMQWSRLVSVLFWNFRGFDAM GAYAGEIQHPQTNFHRAMVASLLLITGTYMLPLVAAVGVNQPAYATWTDGSFPVIARA IGGGPWLGQWVAVANTVSSFGLYLAESSANGFRLAGMADVGLAPSWFAHRDEATGSPR RALLFIYGLSVGMCCMDFTTILGVTNALSILAQLVQCSGALTLRFTHADLARPYRVNL SNHVLSGVMVLPMALAVGVFVNQVLLNAITLGLTTAAVTMGICVRLALLQTQPRHQYE PIPDCAQGT H257_04221 MESLDVTEAAATTRRQLGLVPVVLMTFLSVCGGPFGSEEIVSAC GPLIGLTALAVFPLVYSLPMNLLLAELCSAFPVDSSFCTWVGMAFGSSWGFYVGYWSW MASTFDAAVYPCLITDALFLGVSSDHDSVMVWATKTGIRVLILTVFMVPTLFSLYFVG KASTWVAVVVLLPFGVLVLVALPQMQWGNLLAVSSCSSMQWSRLVSVLFWNFRGFDAM GAYAGEIQHPQTNFHRAMVASLLLITGTYMLPLVAAVGVNQPAYATWTDGSFPVIARA IGGGPWLGQWVAVANTVSSFGLYLAESSANGFRLAGMADVGLAPSWFAQ H257_04222 MQPTVEKPRKKRSRFSEPETLGDDTSASISHGEVTKKPKIDPAA LAKAAAAAISKQLPKHIGAPITIHDKEKAREAAEKEARIEKILKSTREQISQVQNLWT GFDKKSFMPAPLLLDDQGRHIDASGNVIASTIAPNATLKANISVADAQKEPVPKAVNP YLSFRTIDKDDRMDSLDPRLKTKKRETRGAKNFNFVKQGTFVKQGEQMRAKEAKKMLA GFSSGRNPNAYVKETVADVPDATAVPPSVSDKDGVNPVLFDVEDVPMKPDAPVPEVEW WDIVFLPKDKQDLVDKHGFAKTIIGRGTHGGTLDYLVDLRLKHAGTSHLIEHPARIKS ARKEQIVTLPIMLTKAERKRIRRTTRSERLKETQDKIALGLLPPPEPKVKLANMMRVL QDQAVADPSAVERKVRAQVAQRELNHEMRNLARKLTPEERREKTLNKLKKDAAGDICV AVFKVPTLEHPQHKFKVDVNAQQLQLTGAVLTVTDNAAINLVVVEGGPKSIKAYVKLM CRRIDWSLTPSNEDGDNEDDDTTPADGDTVHKDDKNHEEQHKSGKTKPKCYLVWKGVV AKRAFANFRFQECRNTVTARKVLETKHVEQYWDMVETYNPSLSGGTFDDDDNNE H257_04223 MSQEQAQPAIRTANVSVVDYATLAQGGDCGALIEAAFGPDGLGI LAVANVPDIEARRARCLPLAFKVATLSDEVKQKYELGSAYYSFGWSHGKESLQGKPDF SKGSYYNNPRTNRPTEDEHLMTTFPTMYHPNIWPTDEVPDLEPAFMSLGQLIIDTGLL VAKQCDAYVESKCPTYEAGKLHRRITSSRVPCGRLLHYFALDPKDTVPAPPTTTNSPM TERDFSNWCGWHNDHSALTGLVQALFTDMDGNVVENPDPAAGLYIKTRRGNIVKAVIP TGHLVFQIGESSQILTGGILQATPHAVRGPQVTGVNRETLAVFMSVEHDEPMRVPDTM DPHAAGQTTHLPAGVPSLLSRWKNSMLFHEFTAKTHKAYYDLQHQ H257_04223 MSQEQAQPAIRTANVSVVDYATLAQGGDCGALIEAAFGPDGLGI LAVANVPDIEARRARCLPLAFKVATLSDEVKQKYELGSAYYSFGWSHGKESLQGKPDF SKGSYYNNPRTNRPTEDEHLMTTFPTMYHPNIWPTDEVPDLEPAFMSLGQLIIDTGLL VAKQCDAYVESKCPTYEAGKLHRRITSSRVPCGRLLHYFALDPKDTVPAPPTTTNSPM TERDFSNWCGWHNDHSALTGLVQALFTDMDGNVVENPDPAVYIYVHARYKLLDAFHSR HLYVRLGYTSRHDEGTSSKL H257_04224 MGLPRHSTKPPPNSTSQDSFPLAMYKSALSASFLQAATFRQNLW PPCIHSDIEDCSSTTLLARAELKSFAMLDSLVTFVQL H257_04225 MVVDGHSRLRTRKIVTTSNVDTAMNTQRPFRGVGAWKCGPTDAL DLEAARSYRANIPHRRECVDTQNVGHEVVKETLNLLRADA H257_04226 MANTSTILWTLTVRMRSSSTLAVRIVGGSMNIGVPHSSRGVSGV KPHAVLELTSMQDVRVLDCFEVKPSMIMLVSLSDGFSAANSSPSGVFRDVLTLLAVEL A H257_04227 MSGLNVLIFKSHRLEFFSDTALPFTADVYWSATVMIIEWPDDVL VGHYGEGATVVSVAPALGSKPPRLYSRKRSVSFFKAGEVRAHGAHDGPPDLCLPPMSR PTNM H257_04228 MAALRDYQEEVVKIARERNVIMVGNTGIGKTFVSIMLLREQDYS LKRAFVMAPTRQLVSQIHSKIVKLTSLKVDAYCGRELEVWDKMKWEHEILLNSVFVCT PEILRNLITKGYITMDRINLLIFDECHHVGKRHPYNQIMKLYDPTDKRMPRVFGTTAC PTKHCSVNMHAVVKKITLHAHDLAKYAACAPVIHETYEPNVDCHPSTVVDIVSRLLSE LDVLDVFSALLSQGKYRHTSTAEKRGKRMTKLLDDCKTIYQNLGPWCMYRFIELEIDK QARSSSVRWTSADSVVGLDVRAVDVLLRCADKRLQTRMACTPKVDKIVSILHDKLFAG RIPLATPETSMAQLHGEQATHQDEADLAAMLEDAVYVDAVDGSDDDDAMSGEEDEDTL QFEPLLPHDHDSGANDSLDTQLKCVIFVNRRAECRALTDYLNAKFVAPAAIGREVDDD DEDVVMQHDNRHDLFGCMLGQASASDAASYDIPDMQSMLQAFESGAVRVLVSTSVSCE GVDFPLCSMVICGDPLTCPRKFIQVRGRARHTDGVCFYLTDVSSVEDGAMFDVLTRQA EEISALKFGCDKQVTLGMEPRSIVALAQSREYTIVGLDDGELVAATCSLRVEKSGAVL DLDSSISALHMFCQSLPDYEKMVLKPSFEFVEARIDKLPMFKAKLTLPAPVGLAAIET GFMRTKTIAKALAAFHACEMLLRQGRLDDNLNSVYRRTKLRDVVLANEIQALHDRQVN KALRKQVRNM H257_04229 MELEYLDIVSRSIGSALTSQERSNLEIGFIKRNATENIESMRLW GRISGEAQDYLISVAIVDGVDAPKKKFFFCTNTSPELQQFPEVSKDKREKASRFIGRL KGDPSRLLDESESEPQEGENKNGVFREVDRLVLIVEAVDSHTSLIPCGAFVVSATHHV VPNRLFQGLTWEKALQLGSYHHFREATSAERKNALATPGLVRPSDFLDPLTVDLDGTW TLRKDGTGSVVLLRNLLYPGFVFFHKPRTGHYGSVYFGDGLRNPDLAFML H257_04230 MGILQHLFRTKPIEVINAEELKGELPRELGLWDLIAIGIGGTVG SGVFATTGDIISGASGGGAGPAAFISWTIAGVACILSGFAYMEMSALVPSSGSTYAYS YHVLGELPAFVAAWLLTLEYGMSGAGVARSWAQKVQEWADESGGDHTFLNEEHYNVLG SGIMFLSVLILLAGIRFGKVFINFVSTIKVAVVLVIIVAGFAATNSDNLTPFVPPKNE TTQLFGVPGIMLGASQAFFGFIGFDEVCCLAAEAKNPRKTMPRAVIGVILGTMFLSCF ASLALSGMVPSSVYSHLPYDMGNDADGNPRGNLTYFSFPGAFGFVGYTAAELIVRIGE VGTMPIVVLIAFLAQPRLMYALSVDGLIPEIFGRVDSKGNLFWCTVIAGGFFVVVAFV IPFGAIWNIVSFGILVSFIMTNSSLLLIRTRESSPGLAYKLTAAAVAASCAAMMTFQK GFVDGRSHVALGVSMGLLAVTFALGTALYVKCPQNIGGADMFRAPLVPFIPLLSITVN WFLVAQLAEKDIARGCIWIGCAIIAYFLYGFSHSEGRSGWIKMLNHTVLGLNEVRPSM SSMVHLGGMKKTLLTPSQAQS H257_04231 MVQAFVRDWRATTTLTMAVDVMVYLKEVFVLEYERGKQKAVRRN AAGCSTFLKITGYKRGKRTTSTYHSSTKNVIVRDPYMQQMQQHIRSTHRPYILYTDES YIHQKSTRAAATALTAAILDSPTLQSKVLVLDIFTGGTTAAKAPKDYHSMFNHVYYVK WLEGLLDELDGEGVSNAFIVMDNAKNHKRRPTNSPSCGRQRMCCCKRARFMISKRMCR NSSHCSYVRPVVVDMSTRRGHTVVFTLPHHSDLQPIETVWAIVKNAIGRQYTEMTKFP EVKARLIDAFDNLTQ H257_04232 MSRSHHFGVLNTLFFRRAVGLVDPSPLGLLTFLFLIFLFFFAVG STVRSIPSFCTQLGSGFRLLLRSLLSFRLLGRWVFLFDTRAMDAARALPMALFDLASS SIVFRISSLLDSSTSVAPPTTRTNGGPVTGTSSSVSLPAAAGAVAAAFGFWAISFEFF ALLSVP H257_04233 MRTSDERMRNEWVASGDGVLWDGSHPRTDCGLLKATCTYVWRVP VEQLSDDDYSDRITQSVRQPVAKWTPTKSDMQKYSWALSVDPHGCVSSRLVSFMERVD DVIDENGLRLQMKDPTMLRTFVNVVCHTKLPTRQSGGANEDSAR H257_04234 MARECPNKKDGDPGDISWKKGNNAAKRFMARERKANMKAKQMKT SPLPSMDDDGRWVRLNNVLEVPYCPDTGADQNIMPNAMVDELQVLQPQLQVVKLAAPF VGTACSQMPFEASSYTTAGPVKEPGKRRYYVVDEGDEFLVSNDTLNTIGIDMARLQVD DDGDDLEVVGGDCVELPQRSAVRAASLKAALPVAKNRGNPEGMIDSASTDKWSEDDVL KYYRLTNDYRVVNSLTEPKAGTMPFQATILQNLRGKKAMGVFDLPKCFWQFSLHPDSK DMCPTNEECYKDLLYKNLLIWIDDIFVYAAAVEEYVVSLEFFFDRVAQYGGVDASSAD GVKKYHERIEHLCAIFYPTNAGELQQFVYPVSWQWDSMTECAQTVDPLQQCLTKAFEG KGKKKRIAFGVQLELTDAEKQAFDAVKSKLRSSVELSHPRDDATMCLFTDASDYGWSI VVTQVVGIRRRQPIPKGVVSHREGGLPYCVCVREA H257_04235 MANARHRPQKRTNESSQHTPTVQKISRHLLGMLYTVKQVRIEPT TCNSDENKVKRKEFAAAFVEYQRNGDFIVYYDETNFNIYCHRSVGRSKQRTRATIVLP PSKGPNLQVQCAVSAEIGIVSHRLERGSIKMDKNAQFVEEFYRAAKASEAYTASFVGK KVVIVLDNAPAHSQTEQRVASHDDLVLLA H257_04236 MGALDNMFRTKPLAVIHAEEKKEELPRELGLWDLIAIGIGGTVG SGVFATTGDIISGAYGGGAGPAAFISWTLAGLSCILSGFAYMELSSLIPSSGSTYAYA YYALGELPAFVGAWLLTLEYGMSGAGVARSWSQKVMEWAEEGGGDYKWLNLQNTNLMA FLIMFLSVIILLAGIRFGKVFINIVTTTKVFVVLFIIVAGFAATKSENLSPFLPDEIY NNATESKVFGIQGLMLGASQAFFGFVGFDEVCCLAAEAKNPRKIMPRAVIGVILGTMF LSCFASLALSGMVPSSVYSNMPYDLGNDTDGNPLGTFEYFSFPGAFGFVGYTAAQVIV HVGEVGTMPIVVLISFLAQPRLMYAMSVDGLIPEIFGRVDSKGNLFWCTVISGAFFTV VAFVIPFGDIWNMVSIGILVSFIMTNSSLLVVRTRDSSPGLAHKLTGALVVVAAATMF TFQKGYVVGESSAALYISIVLLVVTIAIGVTIFVKCPQNASEGDLFRAPLVPFIPMLS ILVNWLLVAQMAEKDIARAFIWIGAAILTYFMYGFSHSEGRKGWAKMLNHGVLGLNEV RPSMSDMMSGDAKKSLLSPVADK H257_04237 MLCVSSNHSILSDRATSFLERIRGTWLPLELATDFAEILALEYI KLLHRNRHVMTANERTVYDRLYTMHRMRLASTKAIPVIVGEIPNKAKLRPDVKAKCRS CNYDTSVSLMVTHDTCAICVEYGAAEARSIERKHVTPPTQSYVVECSACQCLYAVVQP HLLNIAPKCFYCRLWVKPRPVAPTVECVQCLNQYLDPAQLLQRTSISTTEGREGWWTC VAVAPRAATIATEVPFQTLVTTNIAVARVFGWTKTKRSHDFVDVVFNLPHANYFKMYT QHHSLLFGTKVGPQAHERQAMQHLPLELRVGGKRVHAVDVLCDKMVADTLEGSLTDVC NLCFEEYSLPQLETACGRCPTRVCRSCADHWNGQTKRGRVVLTTHLTCPFCRQWPTAN VWQRYNKPVGQLLSKGLLPPMQPQTYYGWCGTCEKVKEMMAKSCAQDAPRVARFECGD CFALNVKFPVCPTCTVQTEKTVECDHITCICGQHWCYACGSGFISGEATIHHIIFR H257_04238 MMKGPRASCEGFLTFRTSPYSPPPMVRHYCLLIGTCFYYYSTQE DAEHMMRVKGEVDVIGVQDWDGKGNMHIYTHGFLFATAQNKVFYAYADSAMDKEKWHR AIQMNIETNVPVLTATLHSSPSSCSSDGAVLLSPASSVVELDMQLKCLRCQPSADDPA AIAAAAALTKFKCSSCDATYCDRHSQHKLPLPHRSYYSAMRICDDCYHAQSFVNYIKA IKQRLDSGICVYPRPLNPDAPIELIMPMGPSHTEATNIAIDLFKQGAITAEEFEVLLL ADKRYLDHTVEQPEIPLDIKILGLHREFRSPTFTVFRAIILLHQNLESDPLVFKPIVE KLVQFSFTKINEVEFFWPQLVHAYLNVPTFEFEKLFWMDDLILSICARSIHLALLLIW QLRGAVEDAADPQSPIKTQSTYARVVRLIVEIEVRIVGSARSDVLTSKRILPTMTDEQ FHLAGRILDAITEYRHQAEQQHTAVDDVKFSDYSCFQQHLLGSIVATSSPGMSTPTPV KSVSDWIKRSDSFPLPSGGHTTPPLPTAAPPLRRKTSICDADLTVLSTYYNDECDFVQ QITDIAEKLRFVPITERKPMLAKHLETFQLPRMAYIPLVKATDPFEQIVRLPYNEGTA FSTKARVPILLVFEVIRGVGSSLNLLSPTTTTSNTTTTTSGSTKPLAGGAAAAAPSSS STGAVNPPVVYDDDDDEVRHMINRESLDKNETLADATPSTPSIVDTDLLMGSDEEDSI DTHDGCEAESVGFDQAMELSHMSMSEEVMEREKTRKRDLEAAFGESWASKRERMKGLS PNGHVPGWDIVSMIGKSNDDMRQEVFTLQLIQKFMDIFKAANLPIWLKVYRIIATSSS TGIIETLVNAISLDGLKKRDGYVSLLHHFEKSYGPPDSPRFIEAQTQYIQSMAGYSLV SYFLQIKDRHNGNIMLDNEGHIIHIDYGFLLGIAPGGSFSIETAPFKLTTEMVECMGG PDSDGFKEYVTLCTRGFLALQQNCDDLCDLVEVMAHQSPFPCFFQKDTAAILSRFRGR FKVSLSKHEVVSHMLYLIRKSHGNYSTNQYDNFQRITNGIRP H257_04238 MMKGPRASCEGFLTFRTSPYSPPPMVRHYCLLIGTCFYYYSTQE DAEHMMRVKGEVDVIGVQDWDGKGNMHIYTHGFLFATAQNKVFYAYADSAMDKEKWHR AIQMNIETNVPVLTATLHSSPSSCSSDGAVLLSPASSVVELDMQLKCLRCQPSADDPA AIAAAAALTKFKCSSCDATYCDRHSQHKLPLPHRSYYSAMRICDDCYHAQSFVNYIKA IKQRLDSGICVYPRPLNPDAPIELIMPMGPSHTEATNIAIDLFKQGAITAEEFEVLLL ADKRYLDHTVEQPEIPLDIKILGLHREFRSPTFTVFRAIILLHQNLESDPLVFKPIVE KLVQFSFTKINEVEFFWPQLVHAYLNVPTFEFEKLFWMDDLILSICARSIHLALLLIW QLRGAVEDAADPQSPIKTQSTYARVVRLIVEIEVRIVGSARSDVLTSKRILPTMTDEQ FHLAGRILDAITEYRHQAEQQHTAVDDVKFSDYSCFQQHLLGSIVATSSPGMSTPTPV KSVSDWIKRSDSFPLPSGGHTTPPLPTAAPPLRRKTSICDADLTVLSTYYNDECDFVQ QITDIAEKLRFVPITERKPMLAKHLETFQLPRMAYIPLVKATDPFEQIVRLPYNEGTA FSTKARVPILLVFEVIRGVGSSLNLLSPTTTTSNTTTTTSGSTKPLAGGAAAAAPSSS STGAVNPPVVYDDDDDEVRHMINRESLDKNETLADATPSTPSIVDTDLLMGSDEEDSI DTHDGCEAESVGFDQAMELSHMSMSEEVMEREKTRKRDLEAAFGESWASKRERMKGLS PNGHVPGWDIVSMIGKSNDDMRQEVFTLQLIQKFMDIFKAANLPIWLKVYRIIATSSS TGIIETLVNAISLDGLKKRDGYVSLLHHFEKSYGPPDSPRFIEAQTQYIQSMAGYSLV SYFLQIKDRHNGNIMLDNEGHIIHIDYGFLLGIAPGGSFSIETAPFKLTTEMVECMGG PDSDGFKEYVTLCTRGFLALQQNCDDLCDLVEVMAHQSPFPCFFQKDTAAILSRFRGR FKVSLSKHEVVSHMLYLIRKSHGNYSTNQYDNFQRITNGIRP H257_04239 MDHDDDHFKKAQEHITENSRQALAALEQSAKENSKWLVALCCSI SLDIRRPEVNAKKRRLDLDIPQRPRHVTPAVEKRQKSIEEKVVLDEVKAPPPEYDTRS KHRASSRNPPRKRSLQEREDDHIDDEKEEVNPFALKVAELKQELKDRGLKTTGVKSTL VARLLEAIEIEKSEASAARQKAHGHPSDHVSVDFSDDKMHDEIVGDSDVSTVDVHSPD VANTTGPVHSTRKNLRSSDVIKQDAAVASSATSEEPVVVLHEGAKPSRPLRRPGPARR HDTAARVDSKPSTDKNARNDVEDPRKSRMARFINLNSHVDDSGDDDSSARIVVKPESP TDSSSRMENIIDLVSPPPPKKHPSKASSKPKASSSPISRPTSGRSSDNVPEDTSPRQQ PLGHAVTGVSSPPPLPHADHVDSSSKVLALEESRLPKAADAADTLVAPPPLDQTSPRS PDSPPPKTVSLHDVAAPPSHPTKSVKAVALFDSTVSPFLGRTPSATNPTISTTSETRL LPTLTATPSSARPPLMESPALNRMQDSQPPLGAGESSGRPRDEGASSPHHHLRPHSAL SHPSRPLFGGDLSPIVPNPPVKPDDELFTTSSLNSSPRAFSMPSRHPKNHDDDDDNEG VTVPKSSDLLMSTAASLFATATSPIVKGFASFKSAFGLSKAAASGDDDDTFNSTDMYG RSTTPVPKVPAPKVFQTKATFQHSKRQGGASSSSLYDPHQARKKNAVTAVDVSVEKTS TLPKSPPRVSPKVRLETPMTLAIATTEDAKEREFQEAIERESKRLRLAAKESAKKRIE QEMDTLKATAKLSAAAVPVGIAASSSSTVDTSTSVVVHESNQLEDGTMSLPSADSSSS ESSSSGVASSSGVAKKPSNLVSGLHSLTSLVEKESTAAKSTTRGAGPIVVTSLRMAER NRLMEKQREAEKKQRQQELWKKYEDQRKADGDKRRKAASTKDQTSTDKMAKDARLKRE REAREKKEREDELAKKKQHRLQDMDAKDEKKKKLQGKDHTGKELGMRHLREMDGLNRD TPLPPPPAVPAPTHRTDPLPPPVPHQPSGTVGGLQPNTKQGTPSKKEHTNYEMSDGPE RYPPYIYMCVLCLNSIKTYSTRVVTKTAATRTRSRRRFPSGPNVKPWSSLWPASLART GRIRRRQCSPTLSTRATWKPSFNRRTQTRRNDSTNVRARGIGSQTDRRCETRSRTSAT WDSSNSEFDFSREWWGR H257_04239 MDHDDDHFKKAQEHITENSRQALAALEQSAKENSKWLVALCCSI SLDIRRPEVNAKKRRLDLDIPQRPRHVTPAVEKRQKSIEEKVVLDEVKAPPPEYDTRS KHRASSRNPPRKRSLQEREDDHIDDEKEEVNPFALKVAELKQELKDRGLKTTGVKSTL VARLLEAIEIEKSEASAARQKAHGHPSDHVSVDFSDDKMHDEIVGDSDVSTVDVHSPD VANTTGPVHSTRKNLRSSDVIKQDAAVASSATSEEPVVVLHEGAKPSRPLRRPGPARR HDTAARVDSKPSTDKNARNDVEDPRKSRMARFINLNSHVDDSGDDDSSARIVVKPESP TDSSSRMENIIDLVSPPPPKKHPSKASSKPKASSSPISRPTSGRSSDNVPEDTSPRQQ PLGHAVTGVSSPPPLPHADHVDSSSKVLALEESRLPKAADAADTLVAPPPLDQTSPRS PDSPPPKTVSLHDVAAPPSHPTKSVKAVALFDSTVSPFLGRTPSATNPTISTTSETRL LPTLTATPSSARPPLMESPALNRMQDSQPPLGAGESSGRPRDEGASSPHHHLRPHSAL SHPSRPLFGGDLSPIVPNPPVKPDDELFTTSSLNSSPRAFSMPSRHPKNHDDDDDNEG VTVPKSSDLLMSTAASLFATATSPIVKGFASFKSAFGLSKAAASGDDDDTFNSTDMYG RSTTPVPKVPAPKVFQTKATFQHSKRQGGASSSSLYDPHQARKKNAVTAVDVSVEKTS TLPKSPPRVSPKVRLETPMTLAIATTEDAKEREFQEAIERESKRLRLAAKESAKKRIE QEMDTLKATAKLSAAAVPVGIAASSSSTVDTSTSVVVHESNQLEDGTMSLPSADSSSS ESSSSGVASSSGVAKKPSNLVSGLHSLTSLVEKESTAAKSTTRGAGPIVVTSLRMAER NRLMEKQREAEKKQRQQELWKKYEDQRKADGDKRRKAASTKDQTSTDKMAKDARLKRE REAREKKEREDELAKKKQHRLQDMDAKDEKKKKLQGKDHTGKELGMRHLREMDGLNRD TPLPPPPAVPAPTHRTDPLPPPVPHQPSGTVGGLQPNTKQGTPSKKEHTNYEMSDGPE SDEDSGNSDAEQKKIPKWAQREALELALARQFGPDGTDPTPSVFPDFVDSCDLEAIFQ SSDANKKKRFNKRTSSGNWLADRPTMRDKVAYKRDMGFK H257_04239 MDHDDDHFKKAQEHITENSRQALAALEQSAKENSKWLVALCCSI SLDIRRPEVNAKKRRLDLDIPQRPRHVTPAVEKRQKSIEEKVVLDEVKAPPPEYDTRS KHRASSRNPPRKRSLQEREDDHIDDEKEEVNPFALKVAELKQELKDRGLKTTGVKSTL VARLLEAIEIEKSEASAARQKAHGHPSDHVSVDFSDDKMHDEIVGDSDVSTVDVHSPD VANTTGPVHSTRKNLRSSDVIKQDAAVASSATSEEPVVVLHEGAKPSRPLRRPGPARR HDTAARVDSKPSTDKNARNDVEDPRKSRMARFINLNSHVDDSGDDDSSARIVVKPESP TDSSSRMENIIDLVSPPPPKKHPSKASSKPKASSSPISRPTSGRSSDNVPEDTSPRQQ PLGHAVTGVSSPPPLPHADHVDSSSKVLALEESRLPKAADAADTLVAPPPLDQTSPRS PDSPPPKTVSLHDVAAPPSHPTKSVKAVALFDSTVSPFLGRTPSATNPTISTTSETRL LPTLTATPSSARPPLMESPALNRMQDSQPPLGAGESSGRPRDEGASSPHHHLRPHSAL SHPSRPLFGGDLSPIVPNPPVKPDDELFTTSSLNSSPRAFSMPSRHPKNHDDDDDNEG VTVPKSSDLLMSTAASLFATATSPIVKGFASFKSAFGLSKAAASGDDDDTFNSTDMYG RSTTPVPKVPAPKVFQTKATFQHSKRQGGASSSSLYDPHQARKKNAVTAVDVSVEKTS TLPKSPPRVSPKVRLETPMTLAIATTEDAKEREFQEAIERESKRLRLAAKESAKKRIE QEMDTLKATAKLSAAAVPVGIAASSSSTVDTSTSVVVHESNQLEDGTMSLPSADSSSS ESSSSGVASSSGVAKKPSNLVSGLHSLTSLVEKESTAAKSTTRGAGPIVVTSLRMAER NRLMEKQREAEKKQRQQELWKKYEDQRKADGDKRRKAASTKDQTSTDKMAKDARLKRE REAREKKEREDELAKKKQHRLQDMDAKDEKKKKLQGKDHTGKELGMRHLREMDGLNRD TPLPPPPAVPAPTHRTDPLPPPVPHQPSGTVGGLQPNTKQGTPSKKEHTNYEMSDGPE SDEDSGNSDAEQKKIPKWAQREALELALARQFGPDGTDPTPSVFPDFVDSCDLEGT H257_04240 MCGQLMCHCWPRRRVRFWGRRVVVEVAVGLEVSSEVARGTESFA TAVHFAREWSNARVGLGVSSQFLLGAKPFVAQLERAPKRLFARVGKLVAAEVARRAKA RHAAIIVARVRPSACVGLCVLLQCEFAIEPLAAARCEAHKAALLATRHGRRWLR H257_04241 MSDHEVQPPVEMVLDAATPKAKAGKSKEKKASSETKRSVRWCDE SVATLFRLRYDSHLAKRFESKKNTEKKTAYVMLAAELGARKIGYLVPLESPSSNAVTR SFVLPPHLTHPVLSPLVLIVLVDTVDAVLSHESLDAFREGLQCVPPILGRVLANASAT AEREIITAFEPVGPVPASKELMVVES H257_04242 MLRVVYLENQGMYRLGGSFGLVQITSSIKLALTQESAATTTTLL GSLKRYFFSMCASLGNVSHALVAATLLPCVVIHTVFSCDNVSTSSHVADDEATPSPPD TCDLLPLKELPLPPFATPLSRPCFDQVAALGAGPFGTVKLVRHKVSGQQFALKIIDKA SIPTIKLARQVVRERDIVMSVQHPLVATCFGSFQDDRHVYLVSEYLPGGDLYQHLFDG AAPRALDVAAIRFYAANMVKAVQGLHDQGIVYRDLKMENTMVDATGYIKLIDMGFAKA VDNNGRTLTMCGTPEYMAPEILSGQGYGKSVDYWALGVVLYEMAMDGDSLFYHDSHAR MMARIKAVATVGLPTAPAFDLLDPTLQSFIKGLLAFDPTGRLGCTAAGFSAIEDHPFF HGYIDWAALIAKEVPAPFVPDAPTDRWWHALDEFDDDDPIQSDDFDPKIALVFEGF H257_04243 MELETFLVSQPWLAVDNNLPTGKHEAMEQLETMHGMFLVNDHSF CSFAPTHGDQTQFDCAFFLRHTSSSSTKRDANGVMVNPTTASLYMRIQDTLYWVDHAH ITRPPVVASSQPAMPVHTVFELGSIRFRVWMDQALSRDDQLTRLKNVVSSLRQHLRLP STPPPSLQPSHARLLPRESPYQVFQRHWEHDANCDGKLSAFIELCDTHGIDARATPAQ IPTPDAIAAMWQCKLVHGDLDTLLTAFGLGFSTRCDAHDNCFLLSSTTTTTTTQSQSL TRPTQCTTLLWELWERYLDANDLPLSEEGDHAGSAIEPRVHLLTSAMVCPALRSEWSS HAAHIRSTLESMQSKLVHELFPATLHPPRTDADVQAACARLNAIKQAKKNALRDEFAV VLLPTSRRPIHL H257_04243 MELETFLVSQPWLAVDNNLPTGKHEAMEQLETMHGMFLVNDHSF CSFAPTHGDQTQFDCAFFLRHTSSSSTKRDANGVMVNPTTASLYMRIQDTLYWVDHAH ITRPPVVASSQPAMPVHTVFELGSIRFRVWMDQALSRDDQLTRLKNVVSSLRQHLRLP STPPPSLQPSHARLLPRESPYQVFQRHWEHDANCDGKLSAFIELCDTHGIDARATPAQ IPTPDAIAAMWQCKLVHGDLDTLLTAFGLGFSTRCDAHDNCFLLSSTTTTTTTQSQSL TRPTQCTTLLWELWERYLDANDLYCSLLVFASLKYNCFRPLSEEGDHAGSAIEPRVHL LTSAMVCPALRSEWSSHAAHIRSTLESMQSKLVHELFPATLHPPRTDADVQAACARLN AIKQAKKNALRDEFAVVLLPTSRRPIHL H257_04243 MELETFLVSQPWLAVDNNLPTGKHEAMEQLETMHGMFLVNDHSF CSFAPTHGDQTQFDCAFFLRHTSSSSTKRDANGVMVNPTTASLYMRIQDTLYWVDHAH ITRPPVVASSQPAMPVHTVFELGSIRFRVWMDQALSRDDQLTRLKNVVSSLRQHLRLP STPPPSLQPSHARLLPRESPYQVFQRHWEHDANCDGKLSAFIELCDTHGIDARATPAQ IPTPDAIAAMWQCKLVHGDLDTLLTAFGLGFSTRCDAHDNCFLLSSTTTTTTTQSQSL TRPTQCTTLLWELWERYLDANDLPLSEEGDHAGSAIEPRVHLLTY H257_04244 MSLSNKQATLVKELVDFTSCTRERAAALLKKHHWSVSAAADAFF DDAANEASALAVDINAINSWFDKYADPDEPDSILDEGIMTFCDDIGIDAQDLVVLVIA WKMKAAVMCCFTRSEFVHGMQELGCDSAPALQAKIPEVRGYIAAPSAFKPFYLFCFGY SKEPGQKSLGKDVAMAMWELVLLPKFPKVADWCAFLQEHPSQGVTRDTWDLFYDFMVK VDGSYDAYDENEAWPVLIDDYMTYVQDDNSKQASRH H257_04245 MTTAMLRLEVSLATSVVLVALVATSFVATAVPLTLPNLTDGSSD FDRVTNDLLATGSKLSVAPSVVAGLSIGVGTIVALFGYKLFRPVLFVCGFAVGSVLFY LLAEKVFANQTYMVTAAWICFVVGGLIVGATVMCVWKLGVFMVGAAAGIVLAFLVNNT VGYKLWPSNPSGMLYILIVALGILGGFLARCLERPFLIVATSFFGATAAVWGVGYFAG KYPSGSDLESLRVQVADGSFVYSISPAWWAYLAATVVFFGIAMYMQFAKTAWGVHHHH HQPNNQQRSRAVPGGDYQVSATPGQPALHVAPY H257_04246 MGRVTSSAAFSALIFAVLLQCIAAVTPTPATTATLTQTANDLFA SAQGITFGPGLIASAAIVGGVFVALWGYKLFRPVMFLSGFAVGSILGYMLAERFFNEE TYFQTAAWIMFVVLGLIVGATVMSIWAWGVFLVGAAAGALLGFHVNTSFGYLIYPSSP ETSLWICVIVLGLIGGFLGSWFERPALIVATSFFGAAAAVWGVGYFAGNFPNSRELDV WRSEAANGSFSYDLPKEWWYYLAGTIVFFILAVFFQFNKSALGVFHQNPKAKHANAAP ADYATPQRGQPISHV H257_04247 MDSDQPSTEDGINDAVATTLTEMTAVDDTPATATPDCAAEQALT TLSELSVIESSSSSSTGDFDAAPLPPPPPPITTTNSHPSRGSSSRNPDLGIEIPPRDV DETSGLSEECSPGSNAASPLPVVVGGRRRSEETGSSTKLPAVATREGSGRGERKDRRA STSAVEFAREHIQSFEHNLGRGHFFSSLATSLSVHSTSSGTKPSAVASPSGGTILRQG WLMKRGGVVPAWRKRWFTLEHSADGPTLTYGKDQHKASPTKSGKASSLKTIKLSASTQ CAVVPTKKDREFEFKLSTSVDVAQREYFIQAMSGVEMNAWMAAIKGAIYDCQTLAFDE LSEMRSFWDKAGIHGFLVHYGVRKSSGRNHVQTRVLELNFAESVVINTKRGESLTTLT FSQLRQVNLLPPELEKGWGYGVELLWDAHRSWPCYLETESARDDLCGLLQHILDLRTA GGAPGGGVSPDELGKRFAQLTLKTGTLDRKNGSHNATIKGRFHVKLHEAFLAFFPEAG PYVRPWFVLPLRGLAPLTLDADRCMITLGRHVLVADSTAEAKSWYNALVAASVLPKEI IDAEMDKRDKIRRTTLRTVLKLRKLLKAKVQAESHGPPEDHKLIDVMLRQLWRLVFPE EPYVSNTDVRWQEIGFQRGGPASDLRSSGLLGLHCLIYFIKHHPKVTNATMNRIRFGV SDGNLKNYPFAIACINVVATLVEMLGLGDAGSHTDGCSVLAPKTFVVLVANEVDKHGD SMLMRKSMYGHIGQYESWDELSGDSINSVFEDLFCLVFPILDRLFVEMGAGYMEFGQV LVAFRRRLGVMFNKEPQTFHALQLLANEPITETLVAPSIISKHVH H257_04247 MDSDQPSTEDGINDAVATTLTEMTAVDDTPATATPDCAAEQALT TLSELSVIESSSSSSTGDFDAAPLPPPPPPITTTNSHPSRGSSSRNPDLGIEIPPRDV DETSGLSEECSPGSNAASPLPVVVGGRRRSEETGSSTKLPAVATREGSGRGERKDRRA STSAVEFAREHIQSFEHNLGRGHFFSSLATSLSVHSTSSGTKPSAVASPSGGTILRQG WLMKRGGVVPAWRKRWFTLEHSADGPTLTYGKDQHKASPTKSGKASSLKTIKLSASTQ CAVVPTKKDREFEFKLSTSVDVAQREYFIQAMSGVEMNAWMAAIKGAIYDCQTLAFDE LSEMRSFWDKAGIHGFLVHYGVRKSSGRNHVQTRVLELNFAESVVINTKRGESLTTLT FSQLRQVNLLPPELEKGWGYGVELLWDAHRSWPCYLETESARDDLCGLLQHILDLRTA GGAPGGGVSPDELGKRFAQLTLKTGTLDRKNGSHNATIKGRFHVKLHEAFLAFFPEAG PYVRPWFVLPLRGLAPLTLDADRCMITLGRHVLVADSTAEAKSWYNALVAASVLPKEI IDAEMDKRDKIRRTTLRTVLKLRKLLKAKVQAESHGPPEDHKLIDVMLRQLWRLVFPE EPYVSNTDVRWQEIGFQRGGPASDLRSSGLLGLHCLIYFIKHHPKVTNATMNRIRFGV SDGNLKNYPFAIACINVVATLVEMLGLGDAGSHTDGCSVLAPKTFVVLVANEVDKHGD SMLMRKSMYGHIGQYESWDELSGDSINSVFEDLFCLVFPILDRLFVEMGAVRALLKYI YI H257_04248 MNWVHISKLTADLHDVASGSSAAADANPIPVHWVLATLLDLFRE YPNGVTDAIARTELLVRWKLHRQRSSSNQSNKTAGWASSSDTAVVRAQITEFIAAQHI LILREAPATSSDIPENDSPSAFTKNQNMHLFVHQRFHRYLPSSSAGVMHPFNPSRRLL LTALQPFERRQPRDYMQCLPTENMIIVLDPSRDPWDRSLVAGTSCVSTLSALHRIPAD QLHVQLLRMKVVAVEPTRSCVASVNASRRLSIVVAGTDKEDGDNNSRSDDSHTFLFVL WDTQTPLGLSVHPGDTLIMDCPYLNFRDSANMEHQAMFDECPGCAATHLFLEYGSSTI LFVVPAKSVLTDVVTQPNKVAFTAPVDPVTKFSDLSEYPLPLDEWTDLPVNCYNLSFL GQVADIHVARASPLRQQFTATYFGAKGMMMSADDDDASRTSPQTVFTVVLTLRSLQPP FPLLSIEVTGRDQVACALATCEPGHLVFVQNVVLVKTGHTTMGLCANWSSLHPAFANG KLTAWNRLLGYVTSPDFYDVQLVAHLHNRVLLRGMMWPQLAVVRASFVASGWLDPSPT TLIHRACRRPIAPKRHPDDPWACDMCKVSWNQGQSHVEIGFSRLAVQVDDGSASIRAV MSSRWLENLLGCTALSFDQLPLTEQASLVACKCSTGHVYVCVLSSCPSVDNIVWRIDQ AIPLTDQVTNQILQLHNSSNN H257_04249 MTIAMGDALASVTTLERKIHPIEAFPSTLQLPDPISLLSQELKV AATVTRATSSLAETDIYSWGYGVEGSLGHNNTANCEFPKPITAFRRMSIQQCSAGRHL SLFVNDEGQVFQCGQSSDRTTASQWTPQRIERLPRIQTTATGTKACYSISAPGKISPH HVGQKQNSSPASNDRDGTDGGVLFSWGSGAFGQLGHGSEVDYKVPQVVVALIHVRITL VRAGFHFAAAISSHGHLFTWGYGRHGQLGHNSTQNELSPRRVDGLERVTDVALGSTHS LAISGSRVYSWGQNLWGCLGIGGPLDRDVLIPTPVLFFQHMQPSRVSAGSDHSLFLCL VGVKTYVYACGSNRFGQLGINSTISHSDNPQCVQEFEYSSLSRRVAQVFAGDRYSVAL LVTGQVFTWGDGSYSKTGLGADRGLTCVPWLVEAISSRFALQVVVGYAHGMVLFRRDD HSAMNRFRQFPIQRMVPFLDPNESHAATRSSGSTSSKDMIVAAMAGRCVCEHAGRSDL APFGLFYRCVECNLQPICRWCSRHCHVHRSPLSCHVMQWVVLSTSTSHQCVCTSSPGA LTSTTEEADLEL H257_04250 MGVSVVAKRFLNAWNFIILILGFALLLITLYILLFQSDTYAIPK VGYWSAIVCSGLLILLAALGLYGLRQQRVCVTRNKRNYALGIYCLCGFITGVVLVMAG SMALKFNVVINDSKSLAFVQKAEVFLEEAIIDNLNDFSSAEPAKWKKTQDSWFCCGYF DVPSVQNHIGLKYINMAKKINGIQGIYCSSNCTVTTSNTTQIITSTTSNTSPSQLVCP QVGASWCRDVFLDNAETNNVYVGWVAIVGGSSQLLGFLLGMFLLLCDVRMMRLATMQP KDMEQAIKNAQT H257_04251 MSIVATTHGYKKNQGILRPWRSRQFTLLSDGWFMWDKEQAGTRG KCWDLVETVIGSVVTKVNQYFTFTVSFEDDTSVLGFTSEGEAHEWNDMMQEIADENAA GRREWTAGFKDALKAHDIHTRRELVLKIKPRDWNKEWQFCLRSPLGDGFNMQVRRMKR MYGLWLQFNQYALMLVDAVVHSPDPTAFACIPCDEAGSEWWHDRSHPQMTLYKVGPLI VKVAGHHWSCAKQLKQDMRFLGTFKELYDVFRTIAMATIQGGDKYREVQQLKFPLVAT LEVREMRVAVYAQPIGDPHPVHLHNNQVHALLANLRFTRPAQVLDALAFNELPLFSSI YRNIVSDDIYCMQIQSPYANVLRTSLRPTAAMAGCFVRGDAARPVEMLTDADLAAMKA ELEKSKTGLVHYLNMKGIKSKFALLPYLDLLYFSTDIVSTAVNSRASSVVGTKVYGDV VFTFNPDNPRLPLHLKQAFVLNPSHEIKSYVSMLREPDKIIASSSIERYEAHIVHTMA TTIAEFAARLVTLGDAVPEDATMTMQNSEPAVDTRDVIYVSDGNHLRSHMQNHGINLC FLPAVLGSLALVQHGTQTLVMSEIVARVAKHMLRHHLVQHRDKARTPTAVDLFNSVLD GLTLASPHSSQFWGVDLPLWCTLGPYSASLSLVPHSVVDAAVYHGRLKHNPAPLYMAL LRTLRLSVTSRVLKKLLANGNTLQLPPLEVKDIVSFHKSKLMSAWSHLQHTDLTSFTQ QLLQLEKVYATTLMPTRPSTMRPHQNRTPTASKGDGYLELFRTVDERVAGVLEGTSDN VEEYGLERSVVANLQRALASARLSDTASVLAILIDVQRTMDLLPSHALVPVGVFLVML FLEMFLLENEVPANVPSLIAVYIHAWRWLRLLYRAGNNSQLLIESSSHMLSLVVLVKL RKLLKKNITGPNVSVVKFYAMLTLLVKSMPPWQDLAKFLDPKHWFKKVDEHFLLLRTL YLPKSDLHELQVVPPFDLERTSFWATNGLAFVEGNVMGMSLDVEQRNMLQNQQRDPAL HAFTSLSCSMRSTDLNLLQFPLPMKNTVHFVSCGYRHAAVVTTRAELFTLGYGECGRL GHGDENAALHPTRVDLFEGIPVSHVSCGREHTMVVTADNRVYGFGWGEAGRLGTGESG KCLVPTQLTDVPPVVKVACGREHTLLLCASGQVLACGAGYGGRCGVGSEVDVEVATPV HVSDVVFVAVDAGECHSAAIDRDGNVFTWGFGGSGAMGRGSLENDLVPKMVPHLKAKS VGCGAYHTVVVLLDGTVYGWGDALAGQLGDIAVTLGEMRTTPHKISIGQSVAATQVSC GSFTTAVLSTAGEVYRWGSPEAGNGGPLNKMDACPLKVDMPEGVRMGVVSCGAYQMMV ATAVATFTFNKDEFCM H257_04252 MGNTCMPAKYSKGRLPKASHTDDDDSFTRDKPMISTTFSDPAAP NPADATINSFKDYSPSRPLSIRGTTPSATVADAIRSLRDSADLKTLSSLVAVTHQPAR SRKYSLLSSDVPVLPHPSSRVSKASPDAAVLSTSQRSLSPVMCVTRTTHATSHANIRD IQASSHDTIRQTKDNLDLLRQIQAMHDEAGRSSHAPSSSSTGSQSRKTSIASDTNAPS TILFPGQFAPPLLPPRRPSSSAASSAYTASVNGPNAPLVHSPTPSFGMSSAPIVVSPM PRTSTPRENVESSSSVYMVDSRDHRLRRISGDSGIPLLSDRGEEATEGHKPSLCYSSP RSSCPVIDMPNDSFALSPAQSARSSQSFSMSPRPHSYNYAVRPTALSLESIRFTRTSG GDMRDTAFSFGGDYRDTRTSMASDSGLYL H257_04253 MGNACLQSMDEADCPTIKDSSFDPDTIPPVQRRSSITLDAIRPL LAQGRHSQKVELILVMVGEKHERCVIPRTEVRRLCKKHRALKAKINHVVRRLRELNAT LLEALPVDNTEWAIVNGEFDILQEGAQDASIREFNVMLADPKVFIAAAWDARGGPGTA STNLFSDTAAMVHMSLPSPMAATPTTQNRAKSKHVDVFTEELAVMSHSLAREAEDKAE IAPTTAVVQENLDSHKWKSSWGLVTWRPHFRSDTARGDIEPLNDADRQHFGVGKCWLV NNNSHRKQQ H257_04254 MSTRAAAKPTAVLDALDPKEVATQYLQANKLNEVFRELCTLVLH RRPANPREFLIEQLEAMRSARENRNATSFFNETDLGTMFTMFDVTNQGTISIAQYDQG LKCLGIERPTLRLPESIHRVDRTLFVRSMTQELKNNSYL H257_04255 MPKFDLPKIHRRHYTPEEVSVHKCAEDCWVVVFSRVLDLTELVA KNRGALTQPIVNHAGEDISHWFDSDSRDVKTHIDPDRNLRLPYLPHGRFLHVPPPEPV STWNTLDLKPWWNDDQYFIGFITQRVRAIQVVNTLTHQMHSLQVCSEETIEEIQYRYI DLQFNAHAGSYTWKYLDGDEFVPLDMAKTLAENGLPDESLEFEKLGMDADEFKPILHI YFNDDLTIM H257_04256 MKRRERGGAKHVVATEASPLHLISRHASSFSFSKTEQAKRDFLK RLGSDLQRNEVVPTYKGAYSLPKPKEVKTTAEDFYSTMEVRSWGSGSHGQLGLGDDRH RATPELVLALYRITSDLGRVQLCCGDLLTTAINELGHVYVWGRLPLDASQNIKVPERV TGLDNVVVRSMSCGPGHMALVSDDGAVYTWGRGQSGRLGHGDDHNAYTPRQIKSTFVL HSIYIRQVACGEEHTLFLATDGALFSCGGGRAGQLGIGSYVNCCEEPCRVPLPPDTTF VHIACGMNHSAGVVDSGAVYTWGWGEQGRLGHGNEESHPSVKCVEGLRHVKVTTLSCG GAHSLALSSCKKVFAWGWGSFGQLGLGSCHDALVPKPIKALEHVHVIACGFGHSAAVT DTGVLYMWGFGEEGQIGAGDERNHDSPQMVWTVPVKSKPVDPPNVLHISLGKAHSMAV ALLSMHQDRRRRLNPDTVRHAAISLQCFVRATVARIKDKQAQDDVTAAFALRREQEVD QFQDAANREADATAREAQAQEFADMQKRQVEITAATCLQRMLRGWLARLNFKVRWKQY QDERAEAETAARERAAFLALEEREAFRMATDEVATSFVRDVLIEVHANEERQVEIRWR AEDSIAQANLHRETQLKLEQEELERRNAVQELARHQRELRRQEGIEAAEAEHRARRDR EIADTKAKLQARKLQAAAAAVGQPTTKTSKQSSERRRRLRDKLRQKAADEINISREKR DKLRAELADRRKVVDEKLQEQQQLAAKLELARHHRIFRNSLKETPVVPRPTAAFQVRA SFIAETYRVLPGDPKPHYVLDPNAAAAVHFEVAPAAAAVPTNHPRKSKVRIFRELQRS KSSSDGTRPEQSAMPTGGNNSGDV H257_04256 MKRRERGGAKHVVATEASPLHLISRHASSFSFSKTEQAKRDFLK RLGSDLQRNEVVPTYKGAYSLPKPKEVKTTAEDFYSTMEVRSWGSGSHGQLGLGDDRH RATPELVLALYRITSDLGRVQLCCGDLLTTAINELGHVYVWGRLPLDASQNIKVPERV TGLDNVVVRSMSCGPGHMALVSDDGAVYTWGRGQSGRLGHGDDHNAYTPRQIKSTFVL HSIYIRQVACGEEHTLFLATDGALFSCGGGRAGQLGIGSYVNCCEEPCRVPLPPDTTF VHIACGMNHSAGVVDSGAVYTWGWGEQGRLGHGNEESHPSVKCVEGLRHVKVTTLSCG GAHSLALSSCKKVFAWGWGSFGQLGLGSCHDALVPKPIKALEHVHVIACGFGHSAAVT DTGVLYMWGFGEEGQIGAGDERNHDSPQMVWTVPVKSKPVDPPNVLHISLGKAHSMAV ALLSMHQDRRRRLNPDTVRHAAISLQCFVRATVARIKLKKLLRVQDKQAQDDVTAAFA LRREQEVDQFQDAANREADATAREAQAQEFADMQKRQVEITAATCLQRMLRGWLARLN FKVRWKQYQDERAEAETAARERAAFLALEEREAFRMATDEVATSFVRDVLIEVHANEE RQVEIRWRAEDSIAQANLHRETQLKLEQEELERRNAVQELARHQRELRRQEGIEAAEA EHRARRDREIADTKAKLQARKLQAAAAAVGQPTTKTSKQSSERRRRLRDKLRQKAADE INISREKRDKLRAELADRRKVVDEKLQEQQQLAAKLELARHHRIFRNSLKETPVVPRP TAAFQVRASFIAETYRVLPGDPKPHYVLDPNAAAAVHFEVAPAAAAVPTNHPRKSKVR IFRELQRSKSSSDGTRPEQSAMPTGGNNSGDV H257_04257 MAEEIESDAPEADDHVAPLAPHEPSMLSSSDSSKDLVHRSTMST DTTTGQEMSYVAHQSPLRPIKLCVVVRIYCS H257_04258 MSVAPCALPKTILTVGQDCPLTCQVTGSTTCVLYKSAAQCIEVN AKTGPCVTQSELVRSLGTNECLLTFQCPTMGNSATSNSTQDPFWNFYVGDPTIANGNP LYVGAPIDSIEDLTKTTANRAGNAITTFQLTGTAFTQPKGTFKKISYPSTFFKSYPKL ERLFLFNMDVQDVFTAAAGDTKLLPDLKFLALSNANLNTLPTEVTKLARLQYLNATNN NLATLPPLDTTVLTELYLGGNNFTTISNIPATVTTLDISRNKFATLPTAIFDLNNLAK LTITGNGLSNVVLTPSQVLKLQTLGRNFEADFTVSKCPDGSGFNPTTNPLSSAVGGVR VCVVGESSPVVTAPPNASDDGGLSTGALVGIVAGAVVAVALVALFVLRNRRKGTDYGH PPDTSKGPYYNTHNPTGSYPYNSGGGDTLGILDDPELLAVRINAAEVTEIQMISRGGF GEVWSGIYQNNPVAIKKLLPDKKNFDDAMAFAAEIKLMARLQHPKIVTFIGASWSNAV NIQAISEYMNCGDLKSLLDRSAKSGQELPWLSVKLNLAVDVSDALVYLHTLNPVFVHR DLKSRNILIDADTGAKLSDFGISRNRAADETMTAGVGTCRWMAPEVIRGEKYDAACDV WSFGCVLAEMDTGNVPYSDSTNTNGGRLQDHGIMELVSKNQLKPTFSASMPPEILAVA QQCLEFDPAQRPKATVVSYALRKFRKAVEKSSQSGYSNQNSTM H257_04258 MSVAPCALPKTILTVGQDCPLTCQVTGSTTCVLYKSAAQCIEVN AKTGPCVTQSELVRSLGTNECLLTFQCPTMGNSATSNSTQDPFWNFYVGDPTIANGNP LYVGAPIDSIEDLTKTTANRAGNAITTFQLTGTAFTQPKGTFKKISYPSTFFKSYPKL ERLFLFNMDVQDVFTAAAGDTKLLPDLKFLALSNANLNTLPTEVTKLARLQYLNATNN NLATLPPLDTTVLTELYLGGNNFTTISNIPATVTTLDISRNKFATLPTAIFDLNNLAK LTITGNGLSNVVLTPSQVLKLQTLGRNFEADFTVSKCPDGSGFNPTTNPLSSAVGGVR VCVVGESSPVVTAPPNASDDGGLSTGALVGIVAGAVVAVALVALFVLRNRRKGTDYGH PPDTSKGPYYNTHNPTGSYPYNSGGGDTLGILDDPELLAVRINAAEVTEIQMISRGGF GEVWSGIYQNNPVAIKKLLPDKKNFDDAMAFAAEIKLMARLQHPKIVTFIGASWSNAV NIQAISEYMNCGDLKSLLDRSAKSGQELPWLSVKLNLAVDVSDALVYLHTLNPVFVHR DLKSRNILIDADTGAKLSDFGISRNRAADETMTAGVGTCRWMAPEVIRGEKYDAACDV WSFGCVLAEMDTGASGYQCSCRLGLVGGWMDHGCFFACSTGVLLLCPLKSLSTISYVL SI H257_04259 MAWWLWLMTKHLVENFVLYALLFLLCGTDTPPSDNESKSSKFDI HRQYETIPDGPPPKRPWW H257_04260 MSVSSRDPTASPRWPLSFMWRTLARKSLAGTFKIQSQSIHDVNS ASTSWCCSRWCCKRATTKSPRCQSCSKRPMHGVNCARRVANLDMGTSAELNKSRRMAQ SHSCRDGAAAGHGSPPPMDFPSSQFRMRWKASRYISSLPSTFCALVEWASFALDGCMS WVDSYEAQFGGS H257_04261 MDIPKCDAALQQALKEPRPKQLVEAINTFLKKKKASTSSSNDDP THLEFICLDCKSEGVEGKARAFFASPPAIVLCANRLNTTEDVKEVVVHELIHAYDYTV RNMDLTQPAVLACSEVRSARESECFEKAQALCKDPQLSMVLHGCDWWVKKCVKQNAIH ATSSLFPHDASTHVHNVFETCFKDHTPFQK H257_04261 MDIPKCDAALQQALKEPRPKQLVEAINTFLKKKKASTSSSNDDP THLEFICLDCKSEGVEGKARAFFASPPAIVLCANRLNTTEDVKEVVVHELIHAYDYTV RNMDLTQPAVLACSEVRSARESECFEKAQALCKDPQLSMVLHGCDWWVKKCVKQNAIH ATSVSATSMSSSPTLLRMP H257_04261 MDIPKCDAALQQALKEPRPKQLVEAINTFLKKKKASTSSSNDDP THLEFICLDCKSEGVEGKARAFFASPPAIVLCANRLNTTEDVKEVVVHELIHAYDYTV RNMDLTQPAVLACRCVNQSQYTLPSTLLVLILAKYGRRASRNASRRPKRYAKTRNCRW CFTGATGGSRNA H257_04261 MDIPKCDAALQQALKEPRPKQLVEAINTFLKKKKASTSSSNDDP THLEFICLDCKSEGVEGKARAFFASPPAIVLCANRLNTTEDVKEVVVHELIHAYDYTV RNMDLTQPAVLACRCVNQSQYTLPSTLLVLILAKYGRRASRNASRRPKRYAKTRNCRW CFTGATGGSRNA H257_04262 MQQNHHQDAPAVNAQLLRDMMDCVDTSSFPMERKQSWMTLRSLV SGNDANLTNELNRGQSLETMLQLSGYNAATSGSGQTNSAMKPEGHSNAAAAPSYSRFN ARDSAEKLKRLLSSGSFSTMQKKASVSALLGLLETQQGGGAISRADANELLRNLGSDY TEDELKQLSGQNMFRSFIHTPGQTMDRKKSFDVIQGILNSCSNSAIHKPMTSQDSFRF QNLANCLDTIEGAVAKYEEDGGDEDEHVVAVDDNLDNLLDDPSPAPPAASVSNSSHPP NNAGPATQYTRNAAVSQVQATSSASYGGAATRAPQQHTAAGGGPSQYGYHHPNQHLDA TGLPSSLNMQQQPQHGHVRRDIGASYDQQQVFQHHQQQHHHHHQQQHNAHQQAATTNL YGGNPDLTYGRNSTLPPPHMMAANSNHHYMYNNYAAPHHHQQQQQQQHLQHLHHQQQQ HQHQQHNHQLLQQQSHQASQPGQQQQSNYATHTMYQQPQAPAPPVYGYGYAVGQTSHN AAPSAAAAAPASSRGVDPYQQEPAGVDNTQYAKFCMSPGCSNIARTKGMCKVHGGGRR CKVDGCMKSAQTGHLCIAHGGGKPCRMDGCPKTAQSRGLCKQHGGGVRCKFDGCTKSC QSGGYCRGHGGGKRCEFAQCKKWAQRNGFCAKHAQEMTT H257_04263 MTKQRATPTRGKKHASGDDDSPPSLPTTAASSSPSSAVLAYQRA QDGEHIVTLVVDFLLHAVDATIDETLKANNTFEYTSLKLVNGLIYMMSMVTIDLSTKF KDNPATYEAGDTPAPCPPDIWSKQCIKAKKKPKSHLLRGHSHNNPYGLGSLDEDGEGS EYRSAYQGSPSSKQMRGRSMEKSSQQLGSIVLDTEVTMSIRDLAFRTVSPSDMPLSPD DLQRRQHIEQLLEERVRDEKLRMQADAKRSRTVVRLVIEPVDSDTKSMRKGIVGDGGG ASPDMGASPVRDGVLDGLDNERGASEVILVEGADMIVGEDSHLVSRRSKRDMFKHIPQ AVFEPTVVLPPTSARIDVAVASARPTNNSRSSSRWSNRTKTPKTPGGSKTARSRAYFT ALLATDEPFYIGNGDLEGGPCFGDDSSPAKGVVITQGLVVKSGESFWEGRQQHNPNGG VHTFPRSVSEPAFDATQHTPSRVQPHRRSSVQKKLPPANSSIVPEESSIDDPATTLPP AQWPPQVLSVDHHIDHHRHTSSSKSVSLRIRTPACRKRRSRKVIDDIVLDPHDQPHMP PMAEDSPDVKNHISSMSSSYSQPSVSQRRPSTNSATTVTPQKLVRSPIKHIQLPRVGA RTPLGGGGQIVYHSAASVLTLPFLKQQPALS H257_04264 MADHDSTTATDLVSYVNAILKETSTDATSLSVKDAAALVVSKAA TVLAVEGHNTDVEGLFKLLVKATGTTHADALVKVVTANHTNAILKLRILADLFNATPA ANAALRFQVLLATIQYAGATQNLSLVRSYVDNIDALVVGVSADNLKTLYLTIADLLEK NEKDVHAALRFLEKYLTLVEAADAAKAKAVAVRAAVLVIKSPIDSFVAHVDLIHLPAV QALKGVDKVFDLLDIFSSKTLTEYLAFEKSSASVLKEHGIDAEAAAANMRLLTLCAYP TGHDDISFDDIIAKLQVAETDVETWVVRAITANLIQAKINQLGRSVVISRSLQRGFAL SDWTHLHATLLRYKTNVGALLDMIRQAQTATHKK H257_04265 MDRKIKFHVEKFLRENADAGLIITESTVVQYLVSTYPEYSRKPA GPLRKIVSKFLPKPKTDGKRPRSDSSDEDEKQAQALESTAPPPSNLLNNIIRKSYAAA APAETKADKADKASDAVDEKPKKVKRVRAEAPPPPPTSDFVVTRPTTRYTDFGGINSI LKEVQELIEYPLTHPEIYTHLGVEPPRGILLHGPPGTGKTMLANAIAGESGACFLKVS APEIVSGMSGESEQKVRELFEQAIANAPSIIFIDEIDAITPKRENSSRGMEKRIVAQL LTSTDTLNLENTGGKPVLIIGATNRPNDLDPALRRAGRFDREICLGIPDEPSRERILR VLASKMRLSGDMDFKDLARRTPGFVGADLVSLTKEAAVLAVNRIFSTLLTTTPVPPHG PAEDVDDDPRRTVNDEIRSVAPFTAEQLEPLRVDMMDFLNALPKVQPSSKREGFATIP DVSWSDVGALVQVRAELVLSILHPLQHPERFAALGLKMPAGVLLYGPPGCGKTLLAKA IANESGANFISIKGPELLDKYVGESERAVRQVFERARASSPCIVFFDELDALCPRRGM SDGGNGVSERVVNQLLTEMDGLDARKNVVVIAATNRPDIIDPAMLRPGRLDKLLYVPL PTASDRFHILKTLAAKCSLDPTLDLEKVAYDSRCDSFSGADLSALVREAGVSVLRESA EHTTELIILAKHFEQAFQTVFPSVSKADQKIFEKMKQNLRKSRKTLTAQDGDAKDADT PSPPVVKDNDVSIAK H257_04266 MFAFLNSKTTLLSLRAIPAMIAAFLAGYIWLNQHRPCEPIAAAR YDLGREDLPLMASALTVAVLTPVWLLLHHRQVKCVVKFNYACEILCFVLVFVPTMYWG SSRTATLACARRSQLNSVCLRNTCTVVRATMITACVLMAVLALASMGVLRQWRAPLGM SSISKPTSFVDATDVTVIDIETPRETCHTEILTPTTVEGSSSIQSK H257_04267 MRRLSVDSDAESSSSFSDLTTSTLNASNGLPVPLSNGSQQPSSS SSDMSSSSEEASSLSSLSDSSSSEDEVDFVQQVQEKLKAGFRAVVGTRDSRFASRTNT TLKWKEDVAYATAEAAFVAQKKASQELLVAAYRDKKLDRKRAKMTRQQDKANRKKAKL MARKVAACNVKEEKLDKKMTILAELRLERLTDVRAKSFHVEPPWMSAQESQFVETLAA FTAKEKAKRMALADSIHLAEDMGRKIGTLPAIHSPSRIKHPEQMETATTLVLRLADAH VTPSPEPTHDAPHTTTTIPHEKATRHKTLFTVNLKRFRHVEQCQGDKIGERGCVEFGK SLLTGACPRLKELNLGWNAIKYRGMESLAMSFSRGGGSQLTLLDLRANSLDATALRTL FDAMGHGGVPELQTLILSGNMLGDLGGKAIAHAYLKGLFGTLRLVDVKSNGIRNDGCR AMFTAFTADCFSRLAPKLELLDMRRNCINQITAESFVPCPKHITF H257_04268 MQDDGILHAMAANEAYVKALRRMIQSLEEAEDRLLGRMHFLRRK RYRSQIKLDADRASQLKLKLCPSGNISSAACDDLSPRTKHVRTTVDFKRGYFQTPCVT QVGGKLVDGVATPGANEHVRAVRQLRGLLLPLQPSQSIASTWTSNEQSFLTSAFVAWP HHHREPTNDEWESMCNTVVSCVETKKRRWVRLKCARHPWSPAEDDQLRILAETRSLGW RGIASIVSTPSIARFPAECLIRYQRDCNAGGTWDFRRWNADTHQALRQLVQELGENWP AIAERTAHRTATELKRKWHELQTIEACSAASGDGMSCSKTDRLLVLAAYCYSRRWSDV QWTSAMRHIPHPPHRMHQYIQTFDKALSPICIPANTPHS H257_04269 MSPVLRNGGSSSTTISINQSQLDVDFTNHSRRIRIAPIDFNMDA VEAALDANRAYVAGLTALVKKMEAQECTITRRMSCIKEAMRAEHHAAIQEHLAAVIEC SSKPRAPCPGNTKKRDRGYFQSPREAPFSWHNPDSLFVRVVRAKFQDFNFPTQRRWLP KEVAALRQAVDMPIWRNGIRWDRMPLVAKLQGRSGHACFLQWTMDLVQALEIMNPWTA EEDSALRALHESSTSWPDIARTMSQSFSTRPAVAYMLRFQRHLQSPQHAKKWTDDDDV ALKAAVEVYGGEANVWQLVAESLDGFTPNQCGTRWRQSTCPLVKTGNFSLQDDRRLLL SLGVHQKQQLLHGDTSFAHVDWNLIKDFVAQRTSSQCRERFNDSLHPDLVTSSFSPQE DAVLRHWVGVHGSRSWSRISQHLRGRTNDQCRRRWGTLLLRERSTPASRTSSPPSPND DQAEGVVFATDSQE H257_04270 MEGLSISAVGVRRASTSTASSSSSHGSVGRSAITPSRHGSSTSS SSKHVTDSSRYKLSEGCSVNDENNEIDDGKYPEPTKMYRPKEWSPEVEEAFRIQQTGW RDINDYKNKYGDPDRWENGFIRCTRVKASGYYTYWRNTRECEDKHLIKVKVFEY H257_04271 MGTTPKSQEELMTKERSYIFEARVEDAQQCKELGAAAHKADERN RAIQFYERALYHVDFDEGTWAFELLDNHRDAVNEVRLPVYLNLAACHLALDDGDMQKV LENCDLALQIDKDNVKALYRKGQAYLNTNDLDAAIVVLKKAAALNPTDKAIRQAWTTC KERLELAKIEEKKRWGGRLLDESSSKNSPPQVVPDENVPTTQTTSLAWVLAGLVPLLA VVVAYVLWGL H257_04272 MSSSLSPQASALSINLPHPVDNFQLSSATREYGEVVTASRPHSP SKSPKLARSMFDENTSHLSSTECVFRVRISGIQCRNLEPRKFGGKSDPFVEFYWGDIE APFTTPVIKADLNPTYKGVTISFEYKQSIAHLKERNCTIKVFSSRTFQSKTLIGTAVI DLLSVATGPVHHDHHLLGCSNGRVVFNCYMEQCSNWNICVSDIGLMMPAIGNELDRPE GHDFQEDASLPLKKYAVSYKCTIGTSETFQMGNTLKPADKIPFENIQEISFQALARLK VLSNRNMARITSHHDDLDSATSGSVSVGPPSGDAQPLSSSSAAAVPEEPIAVSWTSAA DRLPPITRYSTFDELMSATLKLEIRNLSVGRGQMSSDADNGFLLMFDKYKKEVAELDP DVPMDAELQNTVLFGQSWLSLEKLFEDALQERLRRRTDSKDMDDVASKLNPLEASSLT SKFEQNLTLKGHQVGVVYGTVLFTKIPDVRQLRSGVISENGISSSSSVIVGASNDKKM RKSKVQIPAEAQRVLEKTQDLVQLMSTTAKDDKEGKIRKATKILRVLQTSHKASMLSW VYASTDALDETKSILLRLWQFLLENIRVRHYALRNIFYELLFYLVKRAELSDLPLLGF DSVSSTTGLGFFHGGNKTQKKDLEFGLKLRSMLVETKYCVLQAVSVRGVMNNNFMFFV ARMLSVLCFRLPSFGVELYSVWAESYIEPVEELAAEFEMNADADLFAMDGLEAHLDWR RFHKALCDCYGEKTLKAQEDEAEDKYEVTLESYRIRFRRISGETNTLNILLVDQWLWY VLDTLAVLNQKIGWVHLPGYAQVIKVFFLELKGQKSGWLPPTLYKLSCTMLCNPTIIN PMTKFLLSSTNVHEVPAVIGAVELLGVWMYMIRSWRVRLGSYRLSHLENNDRWNFHQD AQYSIPAGDEVSLLPPTFDFRFLTSALQILLESEHTQILLTTLEFLYNTWDCFPERHA DKLRYELAQPDTFMKMFLHWHSEVRHFFHTLVAYRAMKPHAWTQKGSSFVDTPPHSRR YIDAVGADFTSSLGPEEADSDKQRSRSYSASNLIESVSKRLGSVSSPRAMWSSSPRSS PQPSPTLTITVPSPSGSSGGLAGRGFSSSGNSTPTNDNGGGRKSEESTPHHRQARKTR SVSEDLNRKELAAAKMDPKIQVQFHRMLESVYAAAKMYAAQLAGEKPAVEQVGKPTTT SHHHHHHVSWKKKGQLLKQGFLYRNQWKTKFFSLKNNRLGYADTESGPIKREISVLGA RVGEMANQIDQSNGNRVILFNCFYVDNGATSKFQLCAPSYDEQREWIEVLSQNATETE EKKLPKTTPLPAADKEDKWDALSDKLEGVAGQHVGGESVPQTLMPYTVQATKEWLTFR VDAIELDSRIAANQPFSLPVLLAKTSTYADDI H257_04272 MSSDADNGFLLMFDKYKKEVAELDPDVPMDAELQNTVLFGQSWL SLEKLFEDALQERLRRRTDSKDMDDVASKLNPLEASSLTSKFEQNLTLKGHQVGVVYG TVLFTKIPDVRQLRSGVISENGISSSSSVIVGASNDKKMRKSKVQIPAEAQRVLEKTQ DLVQLMSTTAKDDKEGKIRKATKILRVLQTSHKASMLSWVYASTDALDETKSILLRLW QFLLENIRVRHYALRNIFYELLFYLVKRAELSDLPLLGFDSVSSTTGLGFFHGGNKTQ KKDLEFGLKLRSMLVETKYCVLQAVSVRGVMNNNFMFFVARMLSVLCFRLPSFGVELY SVWAESYIEPVEELAAEFEMNADADLFAMDGLEAHLDWRRFHKALCDCYGEKTLKAQE DEAEDKYEVTLESYRIRFRRISGETNTLNILLVDQWLWYVLDTLAVLNQKIGWVHLPG YAQVIKVFFLELKGQKSGWLPPTLYKLSCTMLCNPTIINPMTKFLLSSTNVHEVPAVI GAVELLGVWMYMIRSWRVRLGSYRLSHLENNDRWNFHQDAQYSIPAGDEVSLLPPTFD FRFLTSALQILLESEHTQILLTTLEFLYNTWDCFPERHADKLRYELAQPDTFMKMFLH WHSEVRHFFHTLVAYRAMKPHAWTQKGSSFVDTPPHSRRYIDAVGADFTSSLGPEEAD SDKQRSRSYSASNLIESVSKRLGSVSSPRAMWSSSPRSSPQPSPTLTITVPSPSGSSG GLAGRGFSSSGNSTPTNDNGGGRKSEESTPHHRQARKTRSVSEDLNRKELAAAKMDPK IQVQFHRMLESVYAAAKMYAAQLAGEKPAVEQVGKPTTTSHHHHHHVSWKKKGQLLKQ GFLYRNQWKTKFFSLKNNRLGYADTESGPIKREISVLGARVGEMANQIDQSNGNRVIL FNCFYVDNGATSKFQLCAPSYDEQREWIEVLSQNATETEEKKLPKTTPLPAADKEDKW DALSDKLEGVAGQHVGGESVPQTLMPYTVQATKEWLTFRVDAIELDSRIAANQPFSLP VLLAKTSTYADDI H257_04272 MSSSLSPQASALSINLPHPVDNFQLSSATREYGEVVTASRPHSP SKSPKLARSMFDENTSHLSSTECVFRVRISGIQCRNLEPRKFGGKSDPFVEFYWGDIE APFTTPVIKADLNPTYKGVTISFEYKQSIAHLKERNCTIKVFSSRTFQSKTLIGTAVI DLLSVATGPVHHDHHLLGCSNGRVVFNCYMEQCSNWNICVSDIGLMMPAIGNELDRPE GHDFQEDASLPLKKYAVSYKCTIGTSETFQMGNTLKPADKIPFENIQEISFQALARLK VLSNRNMARITSHHDDLDSATSGSVSVGPPSGDAQPLSSSSAAAVPEEPIAVSWTSAA DRLPPITRYSTFDELMSATLKLEIRNLSVGRGQMSSDADNGFLLMFDKYKKEVAELDP DVPMDAELQNTVLFGQSWLSLEKLFEDALQERLRRRTDSKDMDDVASKLNPLEASSLT SKFEQNLTLKGHQVGVVYGTVLFTKIPDVRQLRSGVISENGISSSSSVIVGASNDKKM RKSKVQIPAEAQRVLEKTQDLVQLMSTTAKDDKEGKIRKATKILRVLQTSHKASMLSW VYASTDALDETKSILLRLWQFLLENIRVRHYALRNIFYELLFYLVKRAELSDLPLLGF DSVSSTTGLGFFHGGNKTQKKDLEFGLKLRSMLVETKYCVLQAVSVRGVMNNNFMFFV ARMLSVLCFRLPSFGVELYSVWAESYIEPVEELAAEFEMNADADLFAMDGLEAHLDWR RFHKALCDCYGEKTLKAQEDEAEDKYEVTLESYRIRFRRISGETNTLNILLVDQWLWY VLDTLAVLNQKIGWVHLPGYAQVIKVFFLELKGQKSGWLPPTLYKLSCTMLCNPTIIN PMTKFLLSSTNVHEVPAVIGAVELLGVWMYMIRSWRVRLGSYRLSHLENNDRWNFHQD AQYSIPAGDEVSLLPPTFDFRFLTSALQILLESEHTQILLTTLEFLYNTWDCFPERHA DKLRYELAQPDTFMKMFLHWHSEVRHFFHTLVAYRAMKPHAWTQKGSSFVDTPPHSRR YIDAVGADFTSSLGPEEADSDKQRSRSYSASNLIESVSKRLGSVSSPRAMWSSSPRSS PQPSPTLTITVPSPSGSSGGLAGRGFSSSGNSTPTNDNGGGRKSEESTPHHRQARKTR SVSEDLNRKELAAAKMDPKIQVQFHRMLESVYAAAKMYAAQLAGEKPAVEQVGKPTTT SHHHHHHVSWKKKGQLLKQGFLYRNQWKTKFFSLKNNRLGYADTESGPIKREISVLGA RVGEMANQIDQSNGNRVILFNCFYVDNGATSKFQLCAPSYDEQREWIEVLSQNATETE EKKLPKTTPLPAADKEDKWDALSDKLEGVAGQHVGGESVPQTLMPYTVQATKEYVLDL RFFILFLYV H257_04272 MSSDADNGFLLMFDKYKKEVAELDPDVPMDAELQNTVLFGQSWL SLEKLFEDALQERLRRRTDSKDMDDVASKLNPLEASSLTSKFEQNLTLKGHQVGVVYG TVLFTKIPDVRQLRSGVISENGISSSSSVIVGASNDKKMRKSKVQIPAEAQRVLEKTQ DLVQLMSTTAKDDKEGKIRKATKILRVLQTSHKASMLSWVYASTDALDETKSILLRLW QFLLENIRVRHYALRNIFYELLFYLVKRAELSDLPLLGFDSVSSTTGLGFFHGGNKTQ KKDLEFGLKLRSMLVETKYCVLQAVSVRGVMNNNFMFFVARMLSVLCFRLPSFGVELY SVWAESYIEPVEELAAEFEMNADADLFAMDGLEAHLDWRRFHKALCDCYGEKTLKAQE DEAEDKYEVTLESYRIRFRRISGETNTLNILLVDQWLWYVLDTLAVLNQKIGWVHLPG YAQVIKVFFLELKGQKSGWLPPTLYKLSCTMLCNPTIINPMTKFLLSSTNVHEVPAVI GAVELLGVWMYMIRSWRVRLGSYRLSHLENNDRWNFHQDAQYSIPAGDEVSLLPPTFD FRFLTSALQILLESEHTQILLTTLEFLYNTWDCFPERHADKLRYELAQPDTFMKMFLH WHSEVRHFFHTLVAYRAMKPHAWTQKGSSFVDTPPHSRRYIDAVGADFTSSLGPEEAD SDKQRSRSYSASNLIESVSKRLGSVSSPRAMWSSSPRSSPQPSPTLTITVPSPSGSSG GLAGRGFSSSGNSTPTNDNGGGRKSEESTPHHRQARKTRSVSEDLNRKELAAAKMDPK IQVQFHRMLESVYAAAKMYAAQLAGEKPAVEQVGKPTTTSHHHHHHVSWKKKGQLLKQ GFLYRNQWKTKFFSLKNNRLGYADTESGPIKREISVLGARVGEMANQIDQSNGNRVIL FNCFYVDNGATSKFQLCAPSYDEQREWIEVLSQNATETEEKKLPKTTPLPAADKEDKW DALSDKLEGVAGQHVGGESVPQTLMPYTVQATKEYVLDLRFFILFLYV H257_04273 MSADAKWNWKEIIKQDKVERPSSLSLSSSAVVGGTPQGGGIAVP KPFYSQVPHRPKKAKAAYQATGITAEQKRAASLAQYGVGPSRDGVGPSRDAVGAIRNV FIAQLADDEPLLPLRKKADIFRIYTPDKSTETSTVTNVEDPLVVEEFSGLRIRDRKVP ADVMREQMDGRTFIKLAKLETSRRQDLENSQLDWVTIGVLAKSTLSKAASGNAFVAWM LSDLENAMATLFLNNDAYSCHWKEMEGSVVAVLNPSIRPANEAGKFALSVSAGDNIVK LGTAMDFGMCRSLTHGGRQCNIPINVTHGDYCVVHVAAKFKAAGKGRQELNGAGTFRA DLFQHGDSVRNVSAGTYGTITHQQTPTPKQPKRVPAKRKRPSEVFMAPPTITTTQVDA MGGVVVVVPAAAAATPSQRQTSTSTAPDFVRRADPSGSLEQLRQVIQGGKEGHKAGSS GPNNSTSKNALIAKILGVGTGVKKVNMMNLVMKQTGTSSALPPPPPPQALKPLNSSTT HGMTRPLSTANATAAATLNRRRPSPRSNDALANVKRVAPPSSNRRASQVTSLRASGML PE H257_04273 MSADAKWNWKEIIKQDKVERPSSLSLSSSAVVGGTPQGGGIAVP KPFYSQVPHRPKKAKAAYQATGITAEQKRAASLAQYGVGPSRDGVGPSRDAVGAIRNV FIAQLADDEPLLPLRKKADIFRIYTPDKSTETSTVTNVEDPLVVEEFSGLRIRDRKVP ADVMREQMDGRTFIKLAKLETSRRQDLENSQLDWVTIGVLAKSTLSKAASGNAFVAWM LSDLENAMATLFLNNDAYSCHWKEMEGSVVAVLNPSIRPANEAGKFALSVSAGDNIVK LGTAMDFGMCRSLTHGGRQCNIPINVTHGDYCVVHVAAKFKAAGKGRQELNGAGTFRA DLFQHGDSVRNVSAGTYAPKQPKRVPAKRKRPSEVFMAPPTITTTQVDAMGGVVVVVP AAAAATPSQRQTSTSTAPDFVRRADPSGSLEQLRQVIQGGKEGHKAGSSGPNNSTSKN ALIAKILGVGTGVKKVNMMNLVMKQTGTSSALPPPPPPQALKPLNSSTTHGMTRPLST ANATAAATLNRRRPSPRSNDALANVKRVAPPSSNRRASQVTSLRASGMLPE H257_04274 MTRTRWWCVVAMACTMVASPATTTSTSILEYRSRLADLNANRDM RGLERLCKSIVAHNHAALDGHVPSVYEYLGVAQYNLGRLQDATESFKKAVALHPNHGE VYMHLGDCYLSQFLVDDAIRVFEVALVEKKIKDDLSVLFKARNWVANWTDHELVQDTV AASTASNLIHGRTPLVSAADLGNLPGNVILQASQRALHSQPSTVTFCCSPDDPQLQAS SPKLKIGFVSADFGVHPVATLLRGLMAMLPSPHFEVYCFALTDAPSWWRTNITAEVDH MISLHGVNHQEAASAIYSHGIHVLVDLNGHTLHSGLPIFSHRPAPVQMTFLGYPLTTG SSFIDYFIVDPVSASPLHVHDSFSEKMIYLPHNYIVNDHKQMMRHVAHNDTRRPTRSQ ANLAGLPRSAFVFATFSNWQKMDPTIFATWMHILQRVPHGVVWFQQYSGYAAAKKNLV QQAKQFGIDGASRFVFTPLTPWINHTWVKQAADLVLDTALKNGHTTIVDALWAGVPIV TLQGDRMSNRAASSAVASMDDDRLNVMTTRSFKEYEDVTVHLALRPHLLKSLRRTLES QRTSAALFDTRAFTHAFGRGVLATWERQLGTSSRPNVPAAMHVIVPLSSSARSYPSSP HAKSTGHVRVILEEVPSEPSPHKAHHRNASAPPPLLLHIGGHVYRVGWTVVNIQPLPH VDLVREMKHLHGIADSSVTAIYSSHALEHCGYGTHAADVEATLAEWFRVLKPGGALFV SVPDLSVLATLFVDPTLTPHERFHVMRMIYGGQVDAHDFHKVGFNKDILNSFLAQAGF CNVTTVRSFGLFQDTSDLVFHNKSISLNVIAKACKPGDDVLSVDLPSPTAT H257_04275 MDLRTVRIAVVGDSGVGKTSLVHFVCHGTVLAHPTWTIGCTTEV LLHQNDTFVEFVDIGGNPRYELSRAAFYHELHGIIFVYDMSNIRSYNNLRKWITELSL AQKNRPHAVANPDNIGALPTLVIGNKQDAVHTQRMAPLRDLKMDSLEASAMEGRLDLP RFHLFLDRVIATAFTSTPRQSSHGIVRNRGPTSTASPHGTPTSASTKSSWWS H257_04275 MDLRTVRIAVVGDSGVGKTSLVHFVCHGTVLAHPTWTIGCTTEV LLHQNDTFVEFVDIGGNPRYELSRAAFYHELHGIIFVYDMSNIRSYNNLRKWITELSL AQKNRPHAVANPDNVPHTNRCVADVGHWKQARCRPYATYGTSSRLKNGLP H257_04276 MTKVTLLLRAIVALVATTDLLYAQVADPTVVATTTVTPTTVSPV AVTTTTASPVVTSVTPTTTASVVVTPTTTASTVPTSTVASTTTTRAPATTKVVSTTPT TTIPTTPQPTNETPSTSSSTGRAIFRGFANLDDWVWWIIIGGGALVLLLASVCCCICI RRGKAKAREEAIQAMEEQREADNHARLLADAQKQRRDREATQQNGRVTSLTTAHGNGS SSETSPAKRPTYYRRPSQGRGAYLQSSAVPPPIHTSHPTDSTDVYPAPYISVTSPTNA KGPSYHPPSSVNDRIEALKALSSVPVQKDSYSSEPGVGFLTSTPVSYPNGTAVYLPNP RDTTLTGYSMDIDGDYESTSGGTFLSAKDSSLYQELDSAKVKTPTRAAVKSTDSKQSD ASDFELDVDDAATGNLVSSHRSIEF H257_04277 MENQVEHTHRKLSISSDKVTVIKHLQPKGKLERAGKVGPKRTYS PDNVQELDQSVPVDQCSTLSDIAAITDLSLGTLSRHPKKGTFQRRSTLIKPEANKAER GGKAEFLNRVAAGMKDAKRKRDYNRETRTCMLCGQSNVNYRKDNIIKHLIKHRNDAHS QAIEWDGAKNPLLPRQYFEEREDVMATSMVMTISMRIWSDWIVCRFPFTPKSSFECLG VYANNAVCLYHQFPLKRSQPRPPLQLQEKF H257_04278 MILDPSQATSDTTNVPPRGNVPGILNADALRELFPSSPIKQKKR ARPVDSKLNSTNNSSHRRQFLQKPTNERITSSYKERAWFQQKIPNSTLVHHDLRYHTF RAALDAAIATAAVGEHVRWIRNNVPLRSMPKATLSNHTKISSFIDVLRRILHARLHAA FFLWHDEVQSDKHSAAVAQFVRSEAVQSLQDIWEHAWQRKRDLSFTKWRLEVAFCRDE EGHAAAANIQRCVRRFQCRHVLFNMRRTRAAIQLQSNYRRHTTSKAFRRSMHAESVRR AVTVIQAAYRRKRSRRTFLNALLVYVQTQAAVVLQRWMRNTIYRSQWLRRRGAKVLAW EAATCIQRTVRAFLARRWFHRIRLYKRVATIQRAWRRSWRLAQGPLQASIAVHLEFIR FGIQTCHATVLQASIRGFLGRRYAAKSQLMKQSARCLQKVWREYVARQRRSKARQERR FRRMLAAHCIQATYKGYRERVLFRLALSTSCVAMYLRASRLESMASQLAFREHFHGAI ANSASSAIATTWRRHRLRKVARLEALSAAAVVVQTIYRSQRTQRWFRKYVASVHRSAT SIQRMVRSRLARNHAKTHVAAMKKVVEEAKAARWSQAALRVQVAWRKKKGRMSLHLRR RAQEAEAARRMASAKRIQRFLRHTRQRRQNQRSMVVAMVQITQKVAARHAAAKRIQHK FRAYRATRLGKAMLATLKLSRRKRERRQAKQKIIAEYLVDSAAAREQEHALMVKVTSN HNAVQGEKDRKTAEAAAAKAERRRLALLAAETTVRHPPQTPLKNKTAGKKGKGEWVEA WDDATNRKYVYNTKTGESKWSQQLPGVWEKIIDN H257_04279 MPRTIAGYTALPFPGAFASYLYVKKHITKSEGTEETELAADRTA YVVNLPLFATAEQVKELFNEVGAVQSVVMGKASDGTVASSAHVVFKSKATLKQLLKRS VLPAFPGSDVVHDAFEAAIQKYKADRPGLNVLKARADEFMANFDAEEAAERQEREQLK TRVDADGFQTVVNTKRKRVDQEDLLTYRKKQKSKELQDFYRFQLREKKRGQLKTLRER FDEDRQMVEKMKKERKFRPETGTD H257_04280 MVATKKTKKAVDNINSRLQLVMRSGKVALGYKETLKNLRNGKAK LVILSSNTPPLRKSEIEYYSMLAKTGVHHFAGNNNDLGTACGKYFRVSSLVVLDAGDS DILRSSE H257_04281 MTTCAIPPDLPPLEFLEEVELLSVEPSSSTSTKSSSMCEKMFKP AAAASSESNAYQPSPTENPIFVHVVMMALILYLGACAYAYLCPPTTVPWEVEFALPMQ NLSSNCSVNIVHPFEGQVTSKNVIEFELHAPSNTTNTSQIMQYTIHVDGVLLITDLAI LHRGNPTPFTTDRLQALTNGDHVVTITLVVPLPGGREDVVHVERQFHFVPPGSRIVKL SLAKELRRSITTLNTCNVHADSCNVIRAPLNGTSFPANSTIAFEVDTAALPRLGMAVL LDGIKVKTLPAQPSSYLTSKDQVQCRGVLVGLHPGTHTLQVVPLDDIPLTPVATAKVV FHVMAE H257_04282 MDWDERRRLAQDIASLSSADLQGVLLRCHVAYPDRVVFRHDHPF KPVDWDLIKSSNWEGSSVVNLDDLDLALLHEIREYVDACYIPHPVARDQCEICCGLWS CGRVLACGNPACSTRIHEECFGMVLRKDPNGPWHCPSCVYGSPLQCCLCLQDRGGAIK PTSDGRWAHVICALGIPELTLRDVPTMEPVDGMSDIDPTRLRSMCNLCKRKGGAVVAC EVDGCGTAFHISCAADAGLWIGAPADLSAVPPTPVAPFALYCEKHMPVDRIVGAKRFI AEEDLVLEALPSSTTTRSAVVLDAKLDDYSFVLDSASYLLEWHVWKERVVTTPPPPSC TVATTSPSNNRSQQYYSPFEVTPRLYSRGRFPVKTLVTDMVLKVASAESQLVQTTPSS AEPYPPPRPTNNHHGLPPFPEGPTLVGAIVEVYWLGYDDWFRAKVTDWDSSRRMNQVR YLGEPRMEWLSLRAGMCNVLHLPFDPPTKVKLKKYSFKGASPQYRPKPHAFASTD H257_04284 MVLTQREEDIQKMLAAMVHIGTRNADSQMGDYIWRRRNDGIHII NVGQTWEKLMLAAKVIVAVENPADVIAISARPYGQRAVLKFAQYTGAQAIAGRFTPGT FTNQITKQFREPRLLIITDTRTDSQPVRESAYVNVPVIAFVDSDSPLRYVDVAIPANN KGKLSIGLLYWLLAREVLRLRGTISRALPWEIAVDLFFYRDPEELAKAEEAVGASDET ARAGWSNEAVAAETAQEQPNLYTPEPAQEWSTPGGEWGADAAAGGWDASTPAPAASSW E H257_04283 MTVGVLFVCLGNICRSPAAEGVFKAIVHKTHDTPGDFRIDSCGT GGGSSNWYKPNGSSYHQGESSDGRMKKEAKKRGYHLTSRSRPLVPDDLRTFDHIICMD GNNVRAVMEAAKFWGPEFTALANTKVSKMTTYCVANTTATHVPDPWYEGGFDSVLDLL EDACHGLYAALSDSASKQANNTAEIGFHS H257_04285 MNIYNAAASVVDRVRAKHGGIRSALYALPINHALRPTVQALAQE SLKHADVLVAAATSCGLLAALNTIDAAERVDAKRFNTLPSFSPENLLVVLAYELLIGP RRKLKGAHTGSIQLVREASPSLTTYLETYHSALIKPVVAKVTAADNAFPRYVRVNTLK TTPEAAKAVFVKAKIPVHIHEFVPELLVLPPGTNLHGHPLIKKGCLFVQDLASCLPVA CLAPQASAHDFAIDTCAAPGNKTTQLAAKLALGKPKNCRVQVVAFERAPARAKSLRAT VDKASAGDVVSVVETDFLTIDVADPRWSPATLALCDPSCSGSGNVKVGEAPVEHSKED LQALADHQVAIVRQAMSLPNMRTVVYSTCSTHQVENEDVVDRILTLCKGTWRLGKALP EWPQRGEGPSRMAPKCVRASHAQDTHGFFVCIFEKTVALPVSAETAKQGGRNAGQTGP PKSSNDKKRKRQASAAATPNSKKLK H257_04286 MGLMHYVADKDKLVELINRLCTKMGDANMLELTISPAKDIGQDQ IQELKERIAKLQSERQNLQRRTAACVKGIF H257_04287 MVCAMQYALQGVAYVVGRPRMWLVVSLMPMVAALIVGICSVVVL CTAGLFPQAYHFERLGMAPWWATFSSTAVLVGEMFLVTMIYSLVCTPRIAVVVFNKIL EARGHSSVVTDAPSRRPSSGRLLSGHVWFRLVVLVASMPLHCIPVAGTIAFVWLNGGR LTRWDVHQVYYDLKGYTYKERKAVYETHRDTYRSIGVHSMYLQLIPVLGFAFTFTNIV GAALFAADLEDDLCKSSSQPTYGSYHSVSKVKMLYI H257_04288 MSTYAVQGILYFLAHPSLWLTLLCPTIMTILVALCSVIGLFAIA LYPTAVLYKKAGLPAGWAWVLAVVTVLAEIFLTTLIYSLTCSGCFVDKIFEKVLTMRG HGRVIEEAEVKARCCRQCKACCNVSIWLRLVVLVVTLPLNLIPIVGTMAYVWLNASLK GWEAHLYYFEMKGYRYDEQKAIFTKRRLQYTSFGMQCMYLEMIPFLGFFFLLTNAIGA ALFAADMEEELLNGDSTANPDNAGLSEEKEMFI H257_04289 MQQGDSVSMRRLPETKAVEMTNDNNISASSARGPWAQDEVHRLT QALTMFPDGQYLDMAKYIGTRSPQQVQMYVQKHRDALSKRQVGHRRRRSWEEGQPHHV VPSGSTPSSSASQPSSHHQRQPVHPTYLHPPPSLARPTLPSPRLATPHNSRSLASMGD SVLIQFPGTSYREKLLNFYYKFNPSKVHEVDHILDLFRNRELRLFLNLSLKYQLSESM PAELITQRLRSIQRTPTSTSEDSNLRLLSTVVPPPQPFHDTRPFLPSPMALRHGNGSL PTLRPPLISLTPTGRTSSSSPWSNTPSYHI H257_04290 MAEAPSRVPPADIDVAILTKELEVAFAEQLIKCTKTLQLDMVAE REAATATTLEYLLAQVDLKLLHFKQELLNHVHKELQQTPLPFRNGHPTSFKESTMGND VDESNIRSASLVSNPSELEELAQEHPRLPPKEGECVHPIDAVIEEAATPRINAAAYIL QFTRVHAPEKGLHDCHSGESFPYETLVDVVACLAHNLRTLHGFHAVDIVWLPMDSNSP LRTFLVMLAVWTLGGSIVWSSVHPPLVTWVCVDSTFDRTSLTRSSLSTHIPDGTLHVL HVDAPLEQSHSDVLYTDLLVTPATTPSTVHPLKAPHWVLPSKVAMTLHLSNANNQDEP SVEFSYSHGDLLAAMETSIGVFRSRFQRAQSSFMSTLPLAAPESLTFVLLPSIFYGTP LHFLTPSHVADLPKALRLAKPAVLVATGLHLSELVKANDAMTCLEEVVCIGMSVPSLP RTLRALHTLSSKWRPDLKFRRLYASPATAGVCIESPPMAFPIPPTQLRALGRAVSNDV QVCVRSLSTGATLGPKQVGELCVCRLDLHHLTQQFPTNAMAYVDVDGQVHGIGSKDGI VNLGCESTTTLELEEVLASHPLVEDAVVSAAAMTAYIKLAPAAATYVDDALRSVSIFA AKQIPPAKQLSQIMRVLDIPRQLDGQPSCPIGLYETNPAY H257_04290 MVAEREAATATTLEYLLAQVDLKLLHFKQELLNHVHKELQQTPL PFRNGHPTSFKESTMGNDVDESNIRSASLVSNPSELEELAQEHPRLPPKEGECVHPID AVIEEAATPRINAAAYILQFTRVHAPEKGLHDCHSGESFPYETLVDVVACLAHNLRTL HGFHAVDIVWLPMDSNSPLRTFLVMLAVWTLGGSIVWSSVHPPLVTWVCVDSTFDRTS LTRSSLSTHIPDGTLHVLHVDAPLEQSHSDVLYTDLLVTPATTPSTVHPLKAPHWVLP SKVAMTLHLSNANNQDEPSVEFSYSHGDLLAAMETSIGVFRSRFQRAQSSFMSTLPLA APESLTFVLLPSIFYGTPLHFLTPSHVADLPKALRLAKPAVLVATGLHLSELVKANDA MTCLEEVVCIGMSVPSLPRTLRALHTLSSKWRPDLKFRRLYASPATAGVCIESPPMAF PIPPTQLRALGRAVSNDVQVCVRSLSTGATLGPKQVGELCVCRLDLHHLTQQFPTNAM AYVDVDGQVHGIGSKDGIVNLGCESTTTLELEEVLASHPLVEDAVVSAAAMTAYIKLA PAAATYVDDALRSVSIFAAKQIPPAKQLSQIMRVLDIPRQLDGQPSCPIGLYETNPAY H257_04291 MATNDDVVVAAAAATTVSAHTEAAERAFCDELEEDLTDATTEHD NASGLLKGEALLSHIRSTVIGRNSAFDTPFGVRPLVYADYTASGRTLQCIEDYMQTEV LPQYGNTHTTTSITGLQSTCFRHETRQIVAQCVNAKITGRGAEDVVLFTGSGSTGAIH KLVLALGLHVPIPDGRPKPVVFVGPFEHHSNLLPWRESSADVVQIGESSDGTVDIAAL KLQLTTYADRPLKIGSFSAASNLTGVLSDVDGITCLLHQHGALAFWDYAAAAPYVAMD MNPVVVGDTRPFVYKDAIFLSGHKFVGGPGSPGVLVVKKRLLGNAVPTTPGGGTVFYV TEDDHRYLSNREEREEGGTPDTLGSIRLGLAFEIKQRIGTATILDREHRHVQRVVAAL QNHRHLVLLGHGTADRLPIFSFLVRAGARFLHYNFVCALLNDLFGVQSRGGCQCAGPY GQRLLGISKRDQRTLESALIDKTEVLRPGMSRVSFPYIMDDDEVEYILAALDFVATEG WKFLPQYRFNHKTGEWKHRTRFTKFPTRKWLSKATFLASPPLPAITPVASYAEYLVQA KVLAEQALSEVHNTPQSHAGMLDASKESLRWFIYPSEVLASVQGKSLPTLDLVGPIQP HLYGAPPTPPIIAVESDSSRARSAAAVAALVCTTGTCPIIAPKAEKYPLRDPTADSNP LQGLEQAIAATDKIAQQVTTTQLFPTPPKKLMRLVGQAMMQWQMIEDGDRLLLGVSGG KDSLSLLHILLTLQKRAPVRFTIACATVDPQTPSFDPSPLKEYMRTLGVEYHYLSENI VERAMCEMEGDSLCAYCSRMKRGLLYSCCRTHNFNKLLLAQHLDDLAESFIMSAMYNG QVRTMKAKYWNDTKDVQVLRPLVYVREEALKEFAYSSRLPVINENCPACFEQPKERQR VKKMLFQEESLNPDIYNSFRRALLPLMDNEIYAPLNAIRARIDVQKKAKSNAIASSKK QSKQQTDIDEM H257_04292 MVASAMDGLRGVVAAVAAVVCAVCISLATSSTSSKYNILTITNV NFDDMLGDPRYEQWFRDNLRCDQRAFCKIVVWLRRRLPKYSRRRSVHSFEKKVAVFLY FLGSEGGYRETAGAFGMARSWCVNVVSTFVNVVSSNANKWIHFPMDSRA H257_04293 MVIVIDNAKYHKCLPDDTPKYGWAKSRLQDSCDRYGHIESHVVP VVVVMAKSRGHTVLYTPPYHSDYDVDTSFRVVLEQLTAAFDFLTPTTIHGCIAASKRR LIELHDSYFGLQDAADEADVTMHEEQLHDVSRASENDEDTYDIRASSSSTSSSDLDDD DGVWHL H257_04294 MLTDKHKADHMAFVRQFLREGATGKPYSHDMFDQVHIDEKWFYI SKINRPYYLWNDETVPSRKCQSKSHTMKKKAMWNGKIGMWPFVAKRPALRNSKHRKRG DEITESLTVMRDVYRVYLAEKVIPAIRETWPSGRGGTIWIQQDNSRPYVSVNDPAVAA AGCVDGWNIRLYAQPVQGPNLNMLDLGFFNAIHSLQHQTVTYTFDDLLVAGKQAFQGP ESRVLDKTFMTFQKVMECIFKMDGDNAFKLPHQGKDVIALRAPLVFDLHAMTRFVQSL IPWRNEWSLNAALIL H257_04295 MSDKFGSYVSSNERHTLETNPRLTVSYRPTKKLKYLMHHVAKMQ FIDDIKFHENKKIIDPNSHFQFV H257_04296 MQQPPPDQSPTTSTPTWTQLSQMSLPMTEPGDEGIDSDSETDQG CDAGPERQLQPGDLLGDAIAFTERIQAWGRLVILRFADMVGILYRATLYCNWNTPADK SNKSVKTP H257_04297 MQRERLTVEFPENFRCHITTKVGKPLGKSRTSVGKPTELTVASD TTFGVVSALVVDNVSAAIADYHADTSNAKLLWDPQVPTEVYVKVAANTTHDKRATSNN LVTAAVRVAGYIEDQSLALGPLQSDYVTVVTARLPPSAPVEIPTNATMQQLGHIDVMA ARHADERRHEMNSQTTEKYRRVRVRFGTMASAPVDCFLLVEDLRSILGIPPFDLTPSY REPFVGEVVARYIGPLQTDYATVVTARLPPSAPVKIPTNATMQQLGHIDVMAARHEMN SQTTEKYPRYIGPLQTDYATVVTARLPPSAPVKIPTNATMQQLGHIDVMAARHEMNSQ TTEKYRRVRVRFGTMASAPVDCFLLVEDLRSILGIPPFDLTPSYREPFVGEVVGPSVN MDDIDHINL H257_04298 MISIDMRWRCVVLVQVYGIDVDIVRVLRGLSRRSATRFNTMFTS TGNVTQLKTRSTGSTGSRWPAEVNAWVNEYATVHPCFYIEELEDALRHQFPTLKNVSA ATICRALMHDLGLTRKVLERRARESADFELRDYYRRLSPYYSYPDQLVFVGETSKDGR DSIRKYAWSKRNKKAIVKLPFSRDQRVSALAAFTTSGFLAWDYVDGTFDRATFHDVMV KNILPYLNPWPLPRSILVLDNAKIHMYKELQDAVHSRGALLFFLPPYSPQLNPVEVGF SLLKRWIDKYLMAFRFNIRAVLEWRL H257_04299 MVRKKRKTTVNYLVKTRGLASSSLPLPVDIRDIDLPTAGSVRLA ISTAPDTAANLELNLEELYGNLVQAPDTYAQLKVLQLFRSHLKLVITAAATIQTLPDL TQTTFWQVAQDSCRFIFRLYLHPSMKAMRKTILPILDSLSLYDATFPALVASTGSSIG DIIVSEVTRFLDVVLAQSYELDATIPLDQILALCEYSTATESLWVLHHTLKSTYLVQL IEYCNDQLRLIAAPIVSYHGQHDVAAGASSTDNDDDDTQPSEVVVASERCLGVLKSVI ILCTAADKPSTLRLMDPSVPSDSSSQSSLVGSLEHFVTQSLVLLATSAVHKDLVTTVG LATTLLLKVHVLEAKVTANVELTYVQAMSSWLLGDGSCSLPLMPSDTMTSLSAMPKLA LYRGFLNSITDHSFLYQEPPAPILVEVLFTTVLSHCHSAHLTVRMYAFQVLEMFLRRI VQQPVLVLTTNTVYALLDTILLNWEHPAKKINQFMAPMFTHVVTVLGLDAAFDWPAML RRLLLQPEQHRSKYIALGILLPKLDAVSLLDQHPTLLHGVLSAVGNQEVAASAASLFV QLLEALRRATSTTTLTPDLTAWSKWWVDAVVDALLNSDTHLRLRIATYVLPILVKSEP ASVAILLEAARLRPDSDARLWTLLELVKCARKIMLEPPTLTTDEINFGLTHASGDIRM AAYDMLCTSLKTTSLPSESDIAFVQTFLLSSAKSIATSLRMKLIIGLKATLLRIREGA RKTCRRDVTASEHLALQFPAWLEGFVVSCITPSATPQRLTMGLEVFQLYTQIFDNPPS LHTPAITNALLNAMISCWDRVRTLSYSILETFPSPLPGYELGLDTLFDWAMTLCCSPR QRESDAGAHFMRLLYKQHVHLTFLPSPRTPQACVAHIVALLGARLDELETVNAPGEPP LIHGLLLATRYMLEDTPSLDHTWHAPVTAIFSVLWRALNTALVVVGDATSGVGTQALD AAYAVVGEVSAIPPLRAKMDCRGHLVLDDPDVADGDSAQRAVVGSWLAAREAAAVLAT LVKAALITPSLLETPTTASSVQRAGDVLLNALFELKHGGAVATVSVAYEDICKALLSS SPSFSTSSTDSIRRSLALSPAKWCDVLLHRLEHAEQQFILRRSAGFASSFVAILRAEP RNAAATLLPHVLATLLRLARDVDTVERVRVHALNILKLLGQDAILAEDVAVFVPDLLT VAVHGFESKSWAVRNSSMMLFAAATQRAIGDKQVADGAAAVGVSAQDVFTRCKGLDTF LLTHLQSQVYPLLLFLSRLRPDDVADQHSAATISDVLPLDTFVPLVVACAGETHIFHR RMAAHALAAIVAPTSIPAVVATLVQALQGPRYKNNKLHGSMLQLQALVDKYQPPISKT TLTSTWMDALDADLAAVLPRLLALRCDTNRGVFVHLALQVVAKVTEKSGNKSALWPLL VAHCDAVSYIQPSASPSPGHDLYLQGVASCLVQAALADEKAAESLVRGLTSPVFELRR STTREFADHLHMLPWQHATFAGIVGAVTAQLVNESHPPTRTKQLVLLSQLLETNSDHV RVMVAVPGVVDRILALAVDSMDPLATGPAVELLGRVILVQPHVLPSFVERVVELSDEN KPLGVRLAAAKSLRGCSGSVLRPHDHMDVTVAAWIATLDLLQDDDVGVRDVIRVAAVE SINHQGLTQSSDMLVLPRAIDFLASHPTLAASTVLRDHVQGTLEAWTTVVPWLDECLQ DSTHLLLCEKIFEAESGNYFKQPALTVQLYTWHFVRTGVVPAPPSILPQAMAALQQWL KSATVHAWIGGTTFFPDVFPRLHNLLVVAVAVVLVLGQAHPELHGVASALLAHQGNMH PLVHTALTALKDAAADPKAVSTDNALASIMYLAPFWSTKLGRNV H257_04300 MFTSPLAKKQKIHMHATPETPVNRKAATALNGTTMFPEDMHAKT VRSLRRMVGSCLATNQPSSAVFYASKLATMTGDALDLMLLARSYYATGDFHQAIHALK QLTDVKGHPNNFAAQHSPRHPTKPRPTCPDEHSLLSAYLLLAQAMIAILQWDDCQDML DTVLVGSEDHILRKAHACRRSPAVDDKDPLHPQSPINVIASLCCVRGDVCEALESRER AAYWYSLALKCDVHCCDAFRHLVDDIMLSSLQERHLFESLSFHGPEDEYLKCFYASQL GRYDPSPPVADKFRAVEMVHGLQANIDVMVAKAETYYYQLDTEKAYAICQRIRTDAPF DYKSIPVYVSTLVQLDKRSDLFHFAHDLVAKYPTKAAAWYTVGCYYLVVGNLDGAHRF FHKATHVEPQFAPAWLGFGHVFALQDESDQAMASYRTASRLSLGCHLPLLCMGMEHYR VNNLPSALQLVVQARTVCPTDPLVYNELGAVYCRQRKYRAAIDVFSKALTLCDHLPQA LLVAWEPTFFNMAQAYRKLREYKVAIQYYQQALALCPTNPSTHFALGFTYHMQNHLDD AIDSYHTALSFDPEDATAAQMLDEALRSLLTSASLQDAPDMSPHAEDNLSDTNDLSLT MDLSFESTDM H257_04301 MTTASSWRTRRDVDVYGSCQRIQAAFRGWRVRMRLVKGVRKEFE AILKELEGDDFLHLLLHCEPTAVEWKSTYSLCRPTFTQELGAFFHVQGHEVVVQVQSP SPRNSMQHTIAHDSDNDTMEGSMEAESQREGTDDVARAPANVDVPTVVHDESSVNDNE PSDAASSSSSSMTATLNMEKGVAEASPATQGSLPDDRDTQLRLLLQMSPSDIQREIEW ARRALRERIQFLRQCRS H257_04301 MTTASSWRTRRDVDVYGSCQRIQAAFRGWRVRMRLVKGVRKEFE AILKELEGDDFLHLLLHCEPTAVEWKSTYSLCRPTFTQELGAFFHVQGHEVVVQVQSP SPRNSMQHTIAHDSDNDTMEGSMEAESQREGTDDVARAPANVDVPTVVHDESSVNDNE PSDAASSSSSSMTATLNMEKGVAEASPATQGSLPGTPLDQAPYIQNHMLGLDDRDTQL RLLLQMSPSDIQREIEWARRALRERIQFLRQCRS H257_04301 MTTASSWRTRRDVDVYGSCQRIQAAFRGWRVRMRLVKGVRKEFE AILKELEGDDFLHLLLHCEPTAVEWKSTYSLCRPTFTQELGAFFHVQGHEVVVQVQSP SPRNSMQHTIAHDSDNDTMEGSMEAESQREGTDDVARAPANVDVPTVVHDESRSKVNL SEEVGPIVLDSVNDNEPSDAASSSSSSMTATLNMEKGVAEASPATQGSLPDDRDTQLR LLLQMSPSDIQREIEWARRALRERIQFLRQCRS H257_04301 MTTASSWRTRRDVDVYGSCQRIQAAFRGWRVRMRLVKGVRKEFE AILKELEGDDFLHLLLHCEPTAVEWKSTYSLCRPTFTQELGAFFHVQGHEVVVQVQSP SPRNSMQHTIAHDSDNDTMEGSMEAESQREGTDDVARAPANVDVPTVVHDESRSKVNL SEEVGPIVLDSVNDNEPSDAASSSSSSMTATLNMEKGVAEASPATQGSLPDDRDTQLR LLLQMSPSDIQREIEWARRALRERIQFLRQCRS H257_04301 MTTASSWRTRRDVDVYGSCQRIQAAFRGWRVRMRLVKGVRKEFE AILKELEGDDFLHLLLHCEPTAVEWKSTYSLCRPTFTQELGAFFHVQGHEVVVQVQSP SPRNSMQHTIAHDSDNDTMEGSMEAESQREGTDDVARAPANVDVPTVVHDESRSKVNL SEEVGPIVLDSVNDNEPSDAASSSSSSMTATLNMEKGVAEASPATQGSLPGTPLDQAP YIQNHMLGLDDRDTQLRLLLQMSPSDIQREIEWARRALRERIQFLRQCRS H257_04302 MAQTASLKPFLVKLVPVCFVVGAGVELFMVKTGFYDIVTKNEAE VRAQKRAERNEYLLRKREQAPEPGR H257_04303 MPKETALSSPRVSSRPYAVHLYEHDPANDEIQQTLRVKPETKSA LATASVRRPPGPTVSGTAPSSTGTTSSLVAKIRKQAKELSELHEELATKEKTIQKLQQ SRLHGGGGVAAGVGGHKGKEVEEWKTKYLKEQKKYDTSLKKLHEMKAALDMKDQEKQR LVGHFDQFDQALRDLRNARARCEEGGADTHFTSDEERMYVRLLEEGMQVKAEEFNVSG HAELMVVLAELRQTIQGQAEKLRAKDQQILALQVEKANSMHTAHTDVESTTNSLASMT KQKEAIIDYAQSLSDKHSAMDSQNAELTAQVATLNQLHEHTAVELKSVAERYATLVAN FDAVQLDNAALKQTLAQLQGQSQDKDARLAALYDECQAARQQVRDMKTLQDDLLNGIG DAKDSERKWKDKADASQRQLDDVLIKLTAAQHDVAGMTHQQSQADTVLSTLKGEVESL KASVVRLQQDKDRLQTECDHMREYENAVTDRSQHRKSYTAVAESERTLRADLHMIDMF VHSTPHHHSSSPSTHNTHAKPDNNEAASSSFVEWYIVGDVVSRVAVLDQRRLPPQLVQ RLPRFSSFLNQVFVAVDTLIHHVSMVDTSWKRERFNLVAARDAFESSAALIQTELDMM RQWSFQLHDELQHTSRAKVEIDQQYQTSTAVAKEASERAQELEQSYAACLQTLRTTQA AVESVNLELTQVDCQRHALAAQVEDLAVRLEKSQQEVSDLRSEATTRTQSLDTATKQL QESQTDVEKWIAAHARLNEKLKEVTLDAAAHDSLVEECAALKQKVAESDRVIATVSDD QRRHLDAMQTHSKQLEAAIWEVFSTVQSHLPKSQATKPDDATTALRHLPILLERIVQH QIKTLTHESMKVALLQNCQSVDYQDPSLCLLRELKTDLQQLTDENQALKAQLSDCRAD YMTHLYAPPREDSSKPPMPQQAKTALIVPRTQNNADIVDALRKKLKAKATAMLPINQP RVAGGRSHATADEYVANTRASSLDEQLDQLHAAFASFRTDV H257_04303 MPKETALSSPRVSSRPYAVHLYEHDPANDEIQQTLRVKPETKSA LATASVRRPPGPTVSGTAPSSTGTTSSLVAKIRKQAKELSELHEELATKEKTIQKLQQ SRLHGGGGVAAGVGGHKGKEVEEWKTKYLKEQKKYDTSLKKLHEMKAALDMKDQEKQR LVGHFDQFDQALRDLRNARARCEEGGADTHFTSDEERMYVRLLEEGMQVKAEEFNVSG HAELMVVLAELRQTIQGQAEKLRAKDQQILALQVEKANSMHTAHTDVESTTNSLASMT KQKEAIIDYAQSLSDKHSAMDSQNAELTAQVATLNQLHEHTAVELKSVAERYATLVAN FDAVQLDNAALKQTLAQLQGQSQDKDARLAALYDECQAARQQVRDMKTLQDDLLNGIG DAKDSERKWKDKADASQRQLDDVLIKLTAAQHDVAGMTHQQSQADTVLSTLKGEVESL KASVVRLQQDKDRLQTECDHMREYENAVTDRSQHRKSYTAVAESERTLRADLHMIDMF VHSTPHHHSSSPSTHNTHAKPDNNEAASSSFVEWYIVGDVVSRVAVLDQRRLPPQLVQ RLPRFSSFLNQVFVAVDTLIHHVSMVDTSWKRERFNLVAARDAFESSAALIQTELDMM RQWSFQLHDELQHTSRAKVEIDQQYQTSTAVAKEASERAQELEQSYAACLQTLRTTQA AVESVNLELTQVDCQRHALAAQVEDLAVRLEKSQQEVSDLRSEATTRTQSLDTATKQL QESQTDVEKWIAAHARLNEKLKEVTLDAAAHDSLVEECAALKQKVAESDRVIATVSDD QRRHLDAMQTHSKQLEAAIWEVFSTVQSHLPKSQATKPDDATTALRHLPILLERIVQH QIKTLTHESMKVALLQNCQSTTRTRRCVCFEN H257_04304 MSTRLDRLRSLSSSRSRSSSGIYASSPIPQTSTDIPSVNSPLLL DPSRPRRVGSYAIRREVLFAICCVLCFACSGGLILGFGPFYTTLVGSGQWHEKCSEGT TDAVCPTQEVFLQVVFSTSFLFLSAANVIFGLCIDIVGPRVAAITGLLIATVGNICVA YGDSTAYDGIGIIAGYGLIGMGGMGLFLSSFQVINLFENQGIPCSIMSSLFNLSAYVY MLLKIPGATRGNFFGSYAVVSALALVVCFATYPAKNIHHARPEMLVPGLSLYIPTPRK PTLLLDGLKHALRSGDLWHFAFYFGWLSLVFSFTGGAIPSIIRRTAVDADVADVYTNF LFPIVSNSTFLFAPLVGYCIERFGFHQVFAGCLFLTQLFLATLFVPVLEVQLLGFVFC SMAQASLYTLQFAYIMMCYPSRLYGTLQAFVTLFSFTIGSLAYVINPLAQQYFGGDYT LILTFLAFPTLCLYGFQHFIREEAEEDKATLPYDEPMTPQHQ H257_04305 MMPQILEASPKRIEQEHPTMEVQPQPLQMPTTPRSFSVVGSRAK SNSYDTSFSGLSKDISDDQQDLWRKKQEVEDFRVASPQSSTKSPHSTGQKAIRMPKAM AI H257_04306 MELKAVDIVGVSSGEGTRSCTCHDICGDSLTVDDLVVCRLEVQG ESGNLEEVLKVFRLVASEQACHVGFLPLRLLKKKEDFANKIAIIVEDYRTSPSKYQRQ RSERNVGIVKAVIMEHLQEYHQTSRQ H257_04307 MRVVQVLQRNLARQLLVRPSSTARAFSTLPEHEVVGLPALSPTM ETGTISTWLKKEGDKIAAGDIVCQIETDKAVVDFEAQDDSYLAKILKPEGSADVKVGE PIFVTVEDPSEIPAFASFSLSGVAPVAAAPTPAAPKATPAPVAASTAAPVVPVSPSTL AGRVIASPLAKKLARDTGVTLGGVSGTGPLGRILRADVESVLSAGPVAPAPAAAAIPA AAPVASAAPTTPLTHGDYTDFPLTDKAQHYAAQLTQQKLDVPHYHLTADLALDKLLVA RDLLNKTRKEDEQLSVNDFFLRAAALTMKKVPAANAAWLGSVIRQFHNVHINVLVAAE GGVVAPVVRQVNSKGLDQVNAEVRAILAKGSEAAASWTDADLETGTFTISNVGMFDVK SFAGIVSPNQSCSLGLGTIAKRVVPNDDPNAEQIYKYSTQLTATLALDHRVIDGATGA QWLASFKELVEDPLRMIL H257_04308 MAYSVVDEENAERKCEWRVTVDGVMLTQNFFGAAENATGGALWD SSLVLWESVQSRPWHGKRVLELGSGVGFLAVKLAMKGAQIVATDGDADAMYLLRDNLK RNQIHDPAVRTAFLPWGNDVALLAAFPTKLEFDVVIGADLIYNADFHAPLLETLKAVC SESTVLLLSYRLRHDEKEAEFLEGLQKHFDIILLREVDATAIVLVELTRKPRSKSM H257_04309 MASGKEDASRYSERTTHYSTATPEERMLQSGELPRSFASLRRRP DRSHRPMSAGPCVLSNQTQDSAANALASQLVLVSPRNNADIDTAPASLMPPKQQRAAS AAPTRPTTNSNPRNLLRPKSSTAQRKLTATSADPERVPSSSIHLAGPLHRTATNNNQH ASPGILAGSSLKVPPSSAPLFHPSNEVVLPRESGPPPSRPQSAAATKLLSKRSNRPQT AAASASKRVKSAKTRREPSAEPQENDVADMHVSTVEKSLDLHLGSGNGKPSSPLKAKH WSPPKHFVTLRQTPMKSPSKSSQLTTPTTSSRPPTSSDSLQPVRDSIEAWMSRKQTEL ADDSDKSNLHATFQYAVPAHHFDRSAPYDPYTITYVPFHTVYNAHDGGVRGLQFTDDD RLIDQQIACDFYVMSPKNVLFYNKDHATVMLQSLKEWERERRIFQTLKTLPLFSTYRR TKAMKCWVSHHILRKRQRCKDLLNDLVYFCNPIFYATMNAIQDKIMGLHTQLLFYVDR ATVISPHEFQRRQELHIQRLGLEFFALCDAIRQVLVDMSHKYLSHYSSTDAVIKYADV SLTSRKSTTFHMDQFFGTIQKKASHFSPLAPCSEDPTVAGELDLVAIRAELQRSRVRA KSRESIKEKPTMDAIKWSVAAVKRARCSELSRFIRRVDYMLLDAFHGVINRSAAVLGE LLESGAGKSSSLLLSKDAYMRAYHRFDIYGNGSLDGAQITRLLHHVFDGKLTDTALDD RVGLFISVFDENGDGDVSIEEFSKGLDVVLDVERMEQFDVSANSIYETNRLAHTNLFI PVPLFEVNVVFDGAHGLSFDPPLKSVQSAIEMTLRGFFDANEHVQRLIQDPTIVPVLT FYDQVRTCQLEAVPPQKSGGGGGPPSDAPISVILFERASQDQAYMHLCEHIFDIVKGS ICGCQAYANCFLPLCDEFDWNNGLDFDEIAQKHMDGTYDIERLTQDVKTFHHQKLMLE DLVVSQDIQLIRVKTLALKTALLPSPVRCLKELERILPILAEKNIAKLLTYIELVASK IQRPPAKDLEAFVTYILNLKEINDELPSKDLEAAQITDYLGLITDSGFHIPSQTQAIY DLVEPELSTLKSAVTNCMARRDMDIREYAVLLDQKIQHLEANIAYLQTESCAEQLFEA DTEALNALAFTGNLKQLATDYTVRADRFVFVRSLFNEFLPACMPDVPSNGNAIGYFAS LPKLTANINLKHSMWTLIMEADQSISSWGDIDLRHMPQEAMHDLLDRVTALHGVLGVE LPTSPVTARVFELKELLSHTTSIVQNLCNAHVEERHWQKLENKLCVAFQYEVEPATNA ASSDDQRCLVRQVDVSFKYLMSLNIHDKHADINEIVQEAVTEAAISKSLHDAIRVWET KEIAFSYWTDSDTRDIVVLGDTSECINMLEESDMLLQTIMGSSYIRHVQPLATKWKHE VNDMMEIMGRLDECKNYWEHMEVFLSPEFLRALPGQVRAYTELDRAWKVLLDKLSKNP SLVRTARTQGLKEQIIQLSQGFEGIYKTLEGYLEIKRQSYPRFCTLSDSELTDLISAC RNPHNIQQYLPSCFPSLGVVSFDNDDKSMGIVAVRSPPRPFEEVISMGKNLKARGYVE QWLSHMDRRLSERLQKMIKDLVAYFTANVTQRTWDLNYLREFPLQCIVVANDITTTAN FGHKVAQMEVSTTTSVRIKQLTVSLTSGDRLLHPCLVSTLLLQQFYYRDTLLHLSEIP WANHPHYVTTDDEVHLAVGDLALPYGYAYHNPTESYLVVTPVTERYLLAVFNCVKHYQ AGVVSGGACSGKTSLLQLATIMLGRDLIQTFCTSITSLKQIHRFITGGIGCGGTLLLR NADLLVGPILSFINVVVSTIMNSALTTKHAITLDDREHVIDAGSMIMLTTSSLSSCPV SPNHFRALCESLSSISVMCPAMEQILHAVFFCYGFDQALTLARMLSLVWNEMRGVALR LAPEKNHARFFTIHTAKHCAKLMLGRHISDEIQLLKDTLQVYLTTGLHLPPDIRTYAM SYIKSLWLVKDYTSVSTHTDDGSGTTINQSDFQTMLKGTYTRHYFVPSEASLHVASAL MQAVASHRSIILCGPLKTGKTTCVNMLAAALNDLFVDVDGANPTLPQFPDPPPQDSRF IVIKTLLPMSLSMAQLYGGSVDGNTDTSLIKSVVTENVPCPLPHSAEGFKTNATDTVP RDVAPLLTHRQRVWLVLDGSVEAAWTEHILSLASPSPSSSVTSGLSQFSLLESDDTFT LPSNVTVLFETVDLDHASPTLVLNCSLIHSSPFHQPVNDANTSSVRPLQQPLHKLFLI GAIARVRAQIAVLPLVCDLLTKYLVDSTLMDRLLEVLSEGTLHAHLSPLHTAQNVMTL VQSMLSIQPGVDYSLWTKALDVDPAASLNEPRHVLTRQIELAILWSIGWGVGSSASSQ TKRLVTSVLQREFEHLQDTWANCGGGDMGLYSWVLHLATVTFRPCKDVLCPPSGTRDL SPFSIYIPRVESTLVQLVSKQVLRSGVPLLVFGPADSGSTSCVVDFLQRSSAFAAQGL TTQDRSNIVVTPDKAEDVRISTHRLTTTLIISSLASKLKTKRNECRSSARSSSCVVGK PGPPTRPPDADAAASVCFETGSFVSTRIQCTSATTIDAVSATVERVMHRERKHVYEPP PGKAMVLFFDDLHLPDHNDFPSPHAWVRSLIDLAVVYNQTDTKGRAIERTLVVATSND QVMGAWHQSPATCQARLLSRFFPLRLAGLEADDVSQILSPIVLGHFERTDLPSRYVQP VRHQIGYLLAVTIDMFLKFRTLDAPLASVFCMAKLMTMLEFLTAAPPLTLPDLSVLLR LWHHETQRTFVDTAIAIDRGDVVQQAVDLAYASLCDHIKEKPTYSTSRWIFFPHGYNE KTNFRQRQDSLDSALVLLSPEDRAASIGLYRRRIVAAAAPPSLERVSSMADMKQLNSP QSKVVGLQQRQNTFAKPLAPMLQRKESANGNLHQRKQSGKFDQDALGNRWVSSRGGLA SGEAGGWNRHVYGELAIEGNNLHTALQTQMTRFSKKDTMVLSLPMVEPIVQLCRILGK PGGKAMLVGPPGHAKHSVVKLASKLMDQQQVHFEASVHPSASKAKWVAALKEVVAIAG VLQTDVTFIVQSAHRLPDTSLEQLGFLLNGREIPLLFTADEQVELADRVKDEKLFELN ALHAKEMENLRFELSVAKRAELLAKHDLVRRQIADSREVHSKTAKEREVEAMMDLEKH LNAQGQEKIDALMSVQHSAMDDFLRKVEANADIHMSVTMAAVKPSGVVSGIWNEVVQA AAQNLRVVVCCEAADVSDFVRRGPKMFAQCQVCLVPALEFGTLKPVIHGRIEAQWKVF QEQETLLESTVVLNLFELIQSQLDHVSTLAAKLHLAASRVMLANGAKDAAVISLHHIP LYARNIFDSIKRLRLRMNQLMTRSRSFLDVYQTAVDQVDSAQTQHQLKQLQIQSTMLQ IGEYKLHFEKMQAEAEVIRTLMRKQKADVDEQVKITTEMDKLTKHELKDALKVLDEAN KCVANLDRRYIMEIKTFVNPPVLVHVVLNAMCVLFSVEPSWDNAKKLLSDVNFITSML NYEKDNVPEAILIKLEPYLTNEMFNKTEVEKQSIAASTMVVWIIAIDAYSRSRKLVKP KLDILDAAQAKLRALVAEFSECKKKVDQADKVSSDIEETIQARMEDKKLLEAECQLLA SFLVQGHAVLKILGPEQLVLTKHLHSMVEYEATLLGEAVVSAAVLTYAGVFSRGLRVQ LFDAWAVELASQPLHIHPTHSILNGYAGQPFGLWLKVAGLFHSRHSQQTAYLLAHALP VVLVTSFSPHMDSMLRNVLHAVGCNSVVTKSAQDKDVRSVLESCILMGQQLVVHDVTP LLYKSIFHDLVEWDTEWVDGVEHLIYVGHTLTLKRGFRLVLTATAPLAEFGSDVFHVV TVDAAVCWDDMQNVLLDDMELANVGNVDKTGGGGAYDCAKTIMDMEVDLDRSFDALLV HLRNMIREAKYDAALVDSLATMCHQNAQHRSTLDDRRQELDRTMAIRSDTSFAAVTQL GQQVYAALQRLSTLVPDLILALEPYGFRILFIQCLESCGTDVRTKAAGAKCSTPGDVL HKLLEVIAFTIPHEHWQSFLLLLAFEVRAESLQDAENPSELPCPDFVPCGDDDLASIP LKALQRRALVPPRWNAIFTDEAIIAMSTTSTDQLFQAFEACTRDVMVIKRTRQVSIAT MQPSQSSQIVPSWHDDLPSLVRMPAPYLCLGLLLQCPTKTFDSFCEQLALHTLGLEPK EAVAHRRWGPKDWYKMLINTPDAWYWCVASNAGFTTLSLLHAIMSTIVPREVWPDVLK LLLQRPTVHALSFQVPPDSGSILGHFDSVPSMVQFEGFNPSAFETLQWFVLDMTTSEK WDAVVQYNKKFRLDYRPVGLVPHLKPTLGKPMHQRHAADDDMQSSRMLHIVPTSKARP FTLANVPLAVQPNRLVLHPLESNPFATEFRRTLLRLVSIPFSSAIGDALELVSTDPAV RNVVAIVLWRLFCGLVFFHAALVARQDMVVGRAVDVATVDGSDLVLGVYVITGPLLQT IVQVFVHTTDDTDPLDQLHWPAIHDLFMQHAHGRKCITLRGLHLVRALLRDCVGSYLL IPNSPPQQSKFQLPLPAFQDMLKTPTQSTDEYLTVMCAFASSCKWTVVDVVVPAPVLS IDLRHLVMLPHYRNIPPLHLKQYLVAIATHCLQSLPSALPLKLHPRNALVQHPLRQFL KTVIHAFHRRRDGVEAALQRLVLDITQYPPMTLAGFVAISTNNINDGSNSLARDCWAL VMDQLPARLATHTPPLDMSLSAAVKHWQLTASFLTKWWDEAHTEYWCPAILDMTDLMA AFGFTHKMETNTVANVCQLAFIGHVYAMDTPLGAYRNRNNTILLTGLELLNAAPSSTS PGRIREAPGEAMPVQVLLKVSLDNSVNPASNDTMECPMLQSRRSSTPVGTVPLEITPS IHEWATCPYLVLTPDVASL H257_04310 MNNDEVHMLTSTDLISEIPDDSESLHELLAVREPTVPTHIDHAN LGTLAEVDRTFKSKVQAMGGQRSWHAISLTEVCRDKSESINWRSSNIGFIEITALSVY VSFTNRNLMSLNLSGNQIGPVGCATLGKALLTNNSLEVLDLSSCDLTGSPFRPSYEGL MSLVKGIESNRSVLLHLNLSNNALLPTGVRLMCTSVAFHPTLTSLNISNNQAGLFREK QGYLGIANLLRYSSRLISLDMADNPLQRNARPAFVAALAANQTLTTLNAANCALDNTA WPHEVTQQKFRRILV H257_04311 MVRRKSSVGLPGIRRSSSSVLCKCSSELPLVVTVDQLVDDNKKD PNDQINVDVVQAEELLQVVSILESETSEAAQKRQILKLFEWFKAQYAQLTGTLRSSVH REQALMAKCRELKADLLLNVTKIQTKLKVHEVAAQNLAFFKEECERSWQAVHASRERE QEALKIIEDLREKVICLEGQVKELQRQPPGPEKNSRLGAFKSSPASNAYMEVDISTFN EWKAVNKVWSPSKSTAKSTNDLYWNNTPLTLSDSRSTFGFSVTPMERAMTAAPSLTRK QPESGHSRSHHNRLPTV H257_04312 MNLANRVAIVTGAGNGLGKAYALYLSKLGAKVVVNDTGGNRHGV GTETGAADAVVQQIQSLYGKDAAVPNYTTVEHGDSIVQTALDAYGRVDILVNNAGILR DVTLKNMTQDDWDLVLRVHLNGTMSVTKSAWPHMREQQFGRIVNVTSASGLYGNIGQA NYAAAKMGIAGFTFTAAKEGIRSNIKVNVVAPLAMSRMTETIESASPKVLGRLQPDFV APFVGYLCHDDCAVSGNIYEVGAGWVSRVRWQRSKGVVFPPNGGMTLETIAANLDSIH TFDDEATYPDSLLDSIDACENALQDEP H257_04313 MDIASAAAIVNSINKLENKGKETVKVVVRCRPLFGKEIVEGRQS IVTMDLAAAVVSIKCPDSDQLKNFTFDSVYDENTVQRQFYDESGYPLVESIFEGYNGT IFAYGQTGCGKTHTMQGKDSPPELRGVIPHAFDHVFDNINADTEKEYMVRATYLEIYN EDIRDLLSDDSNKQKLELKESGDGGVYVKDLTEVVVRDVVSINKVMARGFKNRTVGAT LMNEGSSRSHSIFTIVVEVSEKVNGEDRFRAGKLNLVDLAGSERQSKTGATGARLKEG CKINLSLSALGNVISALVDGKGKHIPYRDSKLTRLLQDSLGGNTKTVMVAAISPADYN FEETLSTLRYANRAKNIKNKPTVNEDPKDAKLREYKAEIELLKQMLAQQKGGTPPDSA SRPSLGKQGASPRTQAMTLEEEANEIMNRVPTPPRTTLSPSAEGGGNRSSSSMHRSTT DRERELEAKSQELEEKMQREKEEIQRQFKVEQESIEKAKADAADMMHLARQMMAEVQL ASVSSTPQASAMRRVPSPPVKEIPVVVPVEMAVSSPTESTATSEVINELPVASGTPAP PTPDDSVTPCSNATDAQGEPSKDLEKEREDKRRRDEQDEARERELHAIREKEVQRTKE MEETKAKAAEMMRKAERMMDEAMARAAQPPKVKIVKEVVEVVREVVPDDHMKEKEALK EMNQTILNHRDKMAKELELTQLAMESHMREKSELATKLEKIGSQICGTKPGGAAVDAE VAILKQQVEFRRAQIKLKMKKKKEAQYEIAQEALQAEKQSVEEELKNAQEQAQVNIAA YKKKQSKYKAKLEAAKEEMADMHKEFERERDSLMDTIHQQTREVKLMEQLVELFLPQN ELIKVWEKAVWLDDKEEWQLPRIKPRGDFTNMKLPSLGGGGGGGSAAGYAPIDRPFSG GETGGAEVCGADTPMSNRSTKSRSKTRGKIDAISSRGEDHGMELLPLNDVKTKLPSAS SKSSRRDKPGDATDGTVKKSSASSSASSRKKDKKKKDKQSRDDTLQNNLDSLGNSLEP LVHTDESSAPLDQLVCPVDMDYQPRDRLQSRQGSRQGRRVADTKSSGLGETEDPPASR RRRKSSHKDESSVDAVEAKQKKKKKRDKDRSKVQTPPVSAPSLDVNNLLAHSVAAPSP EDPDGNDDMWEDFP H257_04314 MGRNGVKVIIRARPTAVFAQNQIHIDQDENTIEISLADTKVEMV PSNKKDSWKFKFHQVLQNAGQDKVYEAIARDIVHGTIVDGINGTIMAYGQTGAGKTFT MIGDTRNYQHRGIAPRAIAQVFQEIENRIEIESTVHVSYMEIYNDRIFDLLAPDDDTD IHEYVIVEDAKGTYVRGLTQVETATEKDALDQLFNGELRRTVAEHQLNKRSNRSHCIF TFHISQKSRTGGNEHVVHSKLHLVDLAGSERLKKTMQDDECGTSHKTTIKKESMYINQ SLTFLEQCIVALGAREQRHIPYRQTKLTNVLKDSLGGNCNTLMFACVWGESKHLEETI STLKLAQRMMRVQNEATSIVETDPTILIKKYEKQIKELKQELTMHDSLVERTGVVYDE HTPEQKFELMRLVRAYVDSAVDDDAVLQLTSLRHIKELFRQFKVLLKNAESASPQQFV TRSNTSNVSRENGTLDGSTLDDDDKLVGDPEDHAGFVLGVAPNGAMPSVMDVGPKKDG GLRASTDDCSVRDASPVDAADDKNNNDSKGEHVEVDKDDAYKFFITSGPGKKLHGSLQ DEKQTLKISKDRARDITSQLNVTKVLIDGLKQQIQEKRVVRKRASVGKQTKDTDDDEV VDEEEFILMRKEREAKRDYRVLYDDLKEAKNEYDFTQRSIELLRMRLVQEFEEWYVEG GGSQAKGGEDGDLDKLDEGEKFDQMEVERVRAQDPDSLAFFQAQKKMRQGGTSNQRKA AKR H257_04315 MSPMGWKKVAVLALLAVHGVVTETLPSTIQDGEQGTCSVNDAGA SSCQRPSRPLSLLVGVGCKPKKLEYVSKAKNSNTAKNCGEDAYYVVGDASAHRYASVG VADGVGGWQESGVDPSAFSLALVDGAKESFSRVVSSNDQPHPREMLEDALVHVKSGGD KNPGGSTAVFLVLDRYSGALETANLGDSGYLVIRNGAKHFRSVEQTWAFNAPFQLSLY PTWMRGEGDHYLPKDAFVTTHTLQHGDIVIVGSDGLFDNVFDDEILAEADKFVGRVLL AHPEFATVDEEKPLQPDAAAAVKKAMMDLSYSLTLMANAFAHDKTRESPFSKLAREGY GYTFQGGKVDDTTVVAVYVHTQARE H257_04316 MSAPTVATTHAMSDTPTAAASTSTVEDVAHWDSVQTYVTELNTY CTQLRWSANELEEEAREIRRALALLGRKQQVQRVLFDNTTNSNDDDEGDDEVEMM H257_04317 MLSDAGDSTINEVDAMTLSVAFTAATSRKVPWRIQQRLDCSLIT GPRGEDPRHSPRILNAVAASALLVKLKRLTYLLGSMAFSMLLAKLATKHVTPHKDEIL LKYVGVHMFSIVSGMNACPRQQESQIHRLTTGISTSNKSTLFLQYVEYVECMNKMSKV KERNMFSKVWDDTVKRLGSLEIQEQRKASNRAGRSVISLGLVETMSRPDGMEGTTGTQ KTSHQAPLWCPTNSTFLQHAHVQQHAWDFATISGRIEPTESPTGFLTSARPQTSPQQV VRRVATCHFCQKVRTYIGAHIPAEKLRCGGMGQA H257_04318 MVQKEERSPLYSSNGVAVMRSASVERRQSTKWWWVMPMYGFPVL MLLNMVMLAVLAVQLHRSNSLQSRFADSMDTPAAAAMYHTRGGGIIAALLPIKFAVDH VTPYKDQARRGTCWDFSTIGALEQSYRKHGVAKGWLDSNEYVSFSEQAYGIEVMELCT GPVDSPQQQACRIAGDNVWRNSTEGGEVPLLYYLHKGLKDSVFPTRVCPYTSSPGHDW DCPELDDAFVRKSNPLSFTVNDMGTFYDQASIKHKLVQSGLAMPVSTTLVSVQHMYPC VGKFLANDPRCDAATCQLCPPELPMATCCVPADSTRGQNMDGEFLAHSGMQVEGGHGM LVVAYNDLFRTREGATGGFVVKNSWQDGWQGSHSMAYWMQDVSEWDDRVVCPNSFNPF NWYVPTQDDGVVDIAACLSDDSVQYAALNRQPLHLTCVDDAYCVPGRVYFAKNRTSYG DRMHVMCFWEYDPTVKSSKHVCLPPMLQETIARTFEPDEVYENDSDLCGFYFLPYDTI SQVSALFQGFFVNSFDVKWAPQSYLANREKFPHLNYSLVQASTFTQHSSSRFDGPFPF AHKYKPMNQLTQHRRRH H257_04318 MELCTGPVDSPQQQACRIAGDNVWRNSTEGGEVPLLYYLHKGLK DSVFPTRVCPYTSSPGHDWDCPELDDAFVRKSNPLSFTVNDMGTFYDQASIKHKLVQS GLAMPVSTTLVSVQHMYPCVGKFLANDPRCDAATCQLCPPELPMATCCVPADSTRGQN MDGEFLAHSGMQVEGGHGMLVVAYNDLFRTREGATGGFVVKNSWQDGWQGSHSMAYWM QDVSEWDDRVVCPNSFNPFNWYVPTQDDGVVDIAACLSDDSVQYAALNRQPLHLTCVD DAYCVPGRVYFAKNRTSYGDRMHVMCFWEYDPTVKSSKHVCLPPMLQETIARTFEPDE VYENDSDLCGFYFLPYDTISQVSALFQGFFVNSFDVKWAPQSYLANREKFPHLNYSLV QASTFTQHSSSRFDGPFPFAHKYKPMNQLTQHRRRH H257_04319 MTVRQLLLVGCGLLAANAKHIVSNPVMLLLSYGAHTMAQPPAHV VGITIAPNAEPLAVPASELNNSVVLLLSAGIRDVMTMKSLAVPPAIRTNEIVVDITDL DLLRPPQSKVHAISGITAALSIVSGAFVAILGFKMRRLAVLLCGFAVGGLSCYALATT VHFSDPSSIVLGAWLSFLVGGLLVGVICMLVEQVGNFVVGASGRASMTILIHISFNYL GSPSNPNAALYIFGAILSLTLGLVAVLMGKPFLIVATGLTGALEFVWGIGYFVGQYPC VIALPRTQYLTGGAWHYDNPSAWWVYLSVSLAVAIVGIGLQFAVTAVDAPPKKPQDLH HATRKSGHRDVLGPPDAHRHTHRINDDAIELVEITYSRHGRFSRTLEDDLERNPHRYG EDMEPVTETAFVTIHSEVRQGGFVWSHLIWMMYGATASDGLLGTRISTAASMQPYLLL ATNVAMMACVLVVTLSMHASMQRYDALSDKLDMYLNNQATTLGSHQAQPLQLPFKFAV SHVTPRKDQSMRGTCWDFATIGVLEQSYRAEAIRKGYLTVNQYVSFSEQAYGAEILEL CAGPANSPQQVACRVAGDSMWKNSTEGGEVAVLYYLQYGLKESVFPDAICPYLNDNNG SDSICPGLSDTRHADNPISFTIRSMETWYEDLTIKQQLFAQNKAMALSTPVTYVTHYY PCLSRFSHDSDPHCSVDQCTLCPPEMAATTCCIPLKGGRNRNMEGEFFHHRGMTIEGG HAMLLVGYNDAFLTRDGYTGGLIVKNSWLDGPTQGSHSLAYWMQEVSDWEERVVCPNS YNPFNWYQCGNNAASPVVTPHNDTTSSSSLFNRTKAFDEGVGACLSEESRIYAHVNMQ PLHLECVDGTACSLEPNTTYFVRNTTDWGDRMTVMCVWEHNLHTPSREFCLKPMLEVD IARTLRPVAHEVRANDPDRCGFYFMPYASIRQYIAQFQGFYVNSFDIEWAPQSFAANR HKFPHLNYTLLERSTRHQNRSSFDGPFPHARVVAPEEFHPHAHYHP H257_04320 MALQSPVVAATEQDKLMGADREVTLLQKLYQVDLGVARAIFQAF EGNRIAFYVLEFVSLTGDGILWLLTVLPLLIVAWVFGFMKTITPGQGLFIVFFYLCQV VDIVFIIIFKLMFRRARPPHHKTDARFAGPDQHSFPSGHSTRSFCLVGLIFYLAAYYP KALHDTLGAWAVKGMPVVVVVWATAICFCRLALGRHYPTDVLAGSVLGVLLEFPVAAV LIPYIAPTLLKGAASV H257_04321 MTDTLLGSGIPFADVRWSSKVAMQGPGIQVTYEQLSKDTSTVQD ALRRENLTRVAISLPFGVAQVVAMCGAIAARAVYVPIDETQAMERNLLIVQTACVQAV LCHAESLWLQCPRQTHLVTPLHVHELVLVTWTDASSTSSSSLHPNPMLEHPDAMYVLF TSGSTGVPKGVVGTRRATLNRLQWMWHAFPFQPHDKVLRSTKLTFVDAIWEILGTLSH GQTLVVVPFTGFTPPLVADLARGLSVIAAFRVTRLTLVPSVLQMLLHAAASFPQTIQT FVVSGETLRPQLLQQALQAAAPTATVLNLYGSTEVGGDVTCASFSRQSTTSDQIESWG VVGVPIGKAIQHTKLMLMDKDGVVSCTRGELWVAGPGLALGYLNPSDTIDKFVHVGSD LWFKTGDECVWRGDVLFYSGRVDSQVKIGGISIHLDAVEACFDMYLHQQFQHRPWHVG AVAISMSKPCLQLDTVVVYVGIAGGAATFTSIHSQAALASLFNGHVVVPVRVVVIERT AFPLTSTGKTHRRQLLELYNQINKGNESAPEYKDKLTSILMAHLDMPSSTAAIVDEMT LVELGGNSLVATMVLHDLRTQCGLATLELADVLGKTIGQVRALVALQSIHLHHTKKRL PSLLPGPASPTTTHESRPKRVKTEPTSLGDLYISWSVEVEKCIDATPLVVTHQSAMTT TIVVGSHDHHVTCVDATFPPHAIMWRTKLPDRIESSCVRSENRLFVGCYDGHVYCLHR STGDVLWSFATNDQIKCTPLVVATKQVVVCGSHDRHVYGLDIASGKCKFKLPFQKGVY STPAFQDDMLVCASIGGDLRGYVWTSLDIDQPTTPHWMIQLAAPVFSNLVTTAQKLLV VGCADGCLYGMSLQTGSTLWRIATAKPIFSTPCVLLQHPPRVVFGSHDGILRCATLAD GIVVASVDLGDAIFASPTMLTSEGDCGVCTTNGMYYVWDTWSPMWQAQLSLGGHAFSS PVAHDNAVYVGTRANKLLCIQRRRHNTEAR H257_04322 MAEFQAVILAGGSGVRLYPLTEETPKPLLLLNDRPLLYYQLALL EKSGFSDVLVITTTEMKDQICDYKLRQYDGKIGIDVVAVEGDVGETADALRAVSDRIR SDFIVIAGDLVTDCVLQHVADLHRINDASVTMLLKQEVIGEPVKGSKSKDKPRRDREM IDCIGLVDSDSRVVHIAHSIFANDDMEGSEDVEMPLALLKRRPRINMRTDLYDAHVYI FPHWVLDLLHEKKHIASIKADLIPHLVRRQFRGAQALAESVRGKLQCPQHVANRLSVS CGSKDDDDVMKVFAYILPSNAYCERADTKEAYNAMTKEIKSKWRLQ H257_04323 MEEVESEQLRSTGTFTGPRGHILHYNHVLPPATVARCGLVVFLH GLGEYSSRYTNLFDELARHGWVVYAHDYVGHGLSEGDRMYFDRFDDVVVDAESFIGFA KSDSQSTKPCVLLGNSLGGLVANFVVLRQTQLVDAVILVAPGLDVPRTWLLHVQSAVV SVLSAVFPKWRIVPSGDRNNLTSDRAILDAIDSDPLLNKLPMSCRVGAELLGAFTAFS QRKHLIQLPVLVILGSEEKMVSTASIHSYVQDIASQDKELQEFDGMGHLMLLESTRHQ VFQSVGQWLHHRYHN H257_04324 MVEVVHTTGTLVNDRNQTLWYQKLVPQQMELRGLLLFIHGILEH SSRYTEFLNTLAAAGFAVYALDLIGHGRSEGERGYFDRHTDVVDDVDRVLHYAKAELK ESHPNINKIILVGLSFGGLVTNLTLLRKTHEIHAAVLCAPAINVPRTFTLNVQAYFGS ILSDNFPKWRVVPGVEATALSAESDVLAAREQDDLITTGLMCARVGNEILLAFDHVDQ SKHMITLPILIVVGTLEKVVCPKSIEGFHRDIPSTDKELKVFDDMGHSLLSEANRGAV FSHITAWLTTRFDLVA H257_04325 MSKSARSSATSHRGGVEEGSHKFKKISAASDETIVIHVCDEFRK VNKDFVCNKVLLLDNMKYFKAYLNDASNHEDIDISVHCDVSIFEWLFNYIHADGATTN SKASPSTLAVDNVTSILISSDFLQMDFLVSECASFISQHFEEVVGMPGDLLCISDSIL DKIAAMCTMEQLDAIEMSKDKLCYKLYTKRLHRIVSALKDKEDGASAAVECCVLCGVV YYTGHRGFLVCRRAKLSIGRHGNIVARHEAKPEWKLDAWVKSLTISFPARQAFWKVWG AMQCLYCVDCHMYFTGTELNECYYHDPSEPTNDDSCYGCCGAKRFAAATRPSSGCKIK AHTYASINYYSTDSSEYAMPEVLERLHQLVASHADLMAREPPATDMASQQTATKFTGV NEMLAAHVKPQISMLATCDVSNELMKAIKSNLPEVSTPQTRKQWKIDLLQEKDRIRVQ MLSSALTKMRVEYKVAKGSS H257_04326 MDGYDTASPSKSPTPHGHKKWVKKEKIGQGAQGTVYRCVDASSG RIVAVKEVNTKGLSEHEIEALTSEATVMKSLPHKHIVQYHGVKQKKRTLEISMEYMPG GSLSAYIRAVGGSLTMERTKQYTWQLVRAVQFLHDHLIAHRDIKSANVLLSGDQSQIK LADFGALKEIGSVSVVGGLKGTPHWMAPEVIKEQQTSEGWMKADIWSLGCTVLEMLTG RTPWQEYSNPLTAMYKIVSSESTPKVPADLPPEATSFLTVCFQRDPAKRPTASELLHS AFLKSIRKMKKKAREMGADSPKAHEADNNEPITTTGLSPRSFYHDEVTSPQHSVVDSM PKSKALGQLLDDKLNAPRQRKAKKPIKLEPLVVGHTSNQEKSTPRTKAKAADAKPPLD NHPLMSPVSKLGSPFPDIRLSSPVMSPQTSPAGSILSGAKSMAEAGFPTLKMRPELRR IATAPQSVKRLGDSSPKALKLPPLSARGVPAQSASPVEFDRNSNNA H257_04328 MLKFGNPPFIAKSALQVFDKIQNDPLVFPTAIDALLADFLGGIM TKDPMKRLALHEVMMHPWITKERLPPGRQPSPPKPLASSVPITVSVDEINSAIHESPT SSLPAVRVQSQLPFPVSPKGAPLDIITPKCPPSSANGSHKPDASGIPTNTPTNPKCNA DLQVLHAEQGSSRRRLTSPTNKRKFVLTNEETHYRSERFAQKRSHPKLVPSGDDALAV STHGIDVETDSDDGDDENDDVLDDAEAMYQSSNRLDELLLTTLAPTRHTVLPTIKANL SSSLYSNTPQSVTEHAGNPRLRVRMGVSSMQGRRSTQEDRWVAIPNVHAHAEDQAVDA MVRHGEQANSLAFVGLYDGHGGDGCAALLQERLHVHLFQHMAVPLPEAASLIVTLQQL CVAFDATVCDELYASDSPSGSTATFCILDGTASGALRLVVGHVGDCRALLCRKGKCIP LTSDHRCSSQSEHDKVVGSGGSIFNNRVNGVLAITRTFGDLEFKGREVKAAQQAAQVY SQESVGTVLDATPDVVIVDVQPDDAFVLFACDGVWEVMTNDVAVAFVQSRLQAHGSIH VAADELAHEAIQRLSSDNVTVVLVQLNSHLVESL H257_04327 MRVENVKPRPPPLSKQAPLRSSRRVMGGSHDAKSSVAAVPADEL TRIKALFSEIVRLGIARSQDTAQLCSNNGRPSLSTHSTTRLGMECQYREEWRVSREDN IFKQLDHFLSQSSIQLQDHNDLHDHLRLAMETIASTIGSNELKPQRSTSKQQQQLLRA MHLDQALRRMEGRDKTSPLLICQDMVRGTRAQFQQVLAGDTSSTLTSLPPSSHITTPD NQVLDRSSSEVQLRKLYENVAAIKSVELVNAPTSTGFTRLLEAQRWAEVSKSNEERAH AHRKHVLVRRIQRTFRFYRAVAKEGERVALARSQLEFHAASRLQRQYRRWKQWMTFKS NRLVTFRRNFSAIRIAAWVVFRFRFQKCCRRFHADSWLPPAVSLLLEESATCEPPDEW KVLTSAVIKIQSTVRRHLVRRRVRQTWHFHLLRRRRQDRLEWRDARNLHQSTAILVDE LVEWHRMLSLEQERTQAAIVAEHKVFIVEWEKYSADLTKQCLKAKLTDEWVPQLDSHS GNTYYLNLKSTAIHHDHPNILSTTYIHSRYILNDIAFLRHIPNYTSTTRGETSDQAAP PRRSSVPRTHPSTGGAFSPSHHGEKFPRLRHRARDVCIVGMRRSTVVNK H257_04327 MGGSHDAKSSVAAVPADELTRIKALFSEIVRLGIARSQDTAQLC SNNGRPSLSTHSTTRLGMECQYREEWRVSREDNIFKQLDHFLSQSSIQLQDHNDLHDH LRLAMETIASTIGSNELKPQRSTSKQQQQLLRAMHLDQALRRMEGRDKTSPLLICQDM VRGTRAQFQQVLAGDTSSTLTSLPPSSHITTPDNQVLDRSSSEVQLRKLYENVAAIKS VELVNAPTSTGFTRLLEAQRWAEVSKSNEERAHAHRKHVLVRRIQRTFRFYRAVAKEG ERVALARSQLEFHAASRLQRQYRRWKQWMTFKSNRLVTFRRNFSAIRIAAWVVFRFRF QKCCRRFHADSWLPPAVSLLLEESATCEPPDEWKVLTSAVIKIQSTVRRHLVRRRVRQ TWHFHLLRRRRQDRLEWRDARNLHQSTAILVDELVEWHRMLSLEQERTQAAIVAEHKV FIVEWEKYSADLTKQCLKAKLTDEWVPQLDSHSGNTYYLNLKSTAIHHDHPNILSTTY IHSRYILNDIAFLRHIPNYTSTTRGETSDQAAPPRRSSVPRTHPSTGGAFSPSHHGEK FPRLRHRARDVCIVGMRRSTVVNK H257_04327 MRVENVKPRPPPLSKQAPLRSSRRVMGGSHDAKSSVAAVPADEL TRIKALFSEIVRLGIARSQDTAQLCSNNGRPSLSTHSTTRLGMECQYREEWRVSREDN IFKQLDHFLSQSSIQLQDHNDLHDHLRLAMETIASTIGSNELKPQRSTSKQQQQLLRA MHLDQALRRMEGRDKTSPLLICQDMVRGTRAQFQQVLAGDTSSTLTSLPPSSHITTPD NQVLDRSSSEVQLRKLYENVAAIKSVELVNAPTSTGFTRLLEAQRWAEVSKSNEERAH AHRKHVLVRRIQRTFRFYRAVAKEGERVALARSQLEFHAASRLQRQYRRWKQWMTFKS NRLVTFRRNFSAIRIAAWVVFRFRFQKCCRRFHADSWLPPAVSLLLEESATCEPPDEW KVLTSAVIKIQSTVRRHLVRRRVRQTWHFHLLRRRRQDRLEWRDARNLHQSTAILVDE LVEWHRMLSLEQERTQAAIVAEHKVFIVEWEKYSADLTKQCLKAKLTDEWVPQLDSHS GNTYYLNLKSTAIHHDHPNRQLAAKLVTKQRRRAEAQFQERIRRLEAHLAQVTMAKSS HDSAIEREMCALWGCGGALS H257_04327 MGGSHDAKSSVAAVPADELTRIKALFSEIVRLGIARSQDTAQLC SNNGRPSLSTHSTTRLGMECQYREEWRVSREDNIFKQLDHFLSQSSIQLQDHNDLHDH LRLAMETIASTIGSNELKPQRSTSKQQQQLLRAMHLDQALRRMEGRDKTSPLLICQDM VRGTRAQFQQVLAGDTSSTLTSLPPSSHITTPDNQVLDRSSSEVQLRKLYENVAAIKS VELVNAPTSTGFTRLLEAQRWAEVSKSNEERAHAHRKHVLVRRIQRTFRFYRAVAKEG ERVALARSQLEFHAASRLQRQYRRWKQWMTFKSNRLVTFRRNFSAIRIAAWVVFRFRF QKCCRRFHADSWLPPAVSLLLEESATCEPPDEWKVLTSAVIKIQSTVRRHLVRRRVRQ TWHFHLLRRRRQDRLEWRDARNLHQSTAILVDELVEWHRMLSLEQERTQAAIVAEHKV FIVEWEKYSADLTKQCLKAKLTDEWVPQLDSHSGNTYYLNLKSTAIHHDHPNRQLAAK LVTKQRRRAEAQFQERIRRLEAHLAQVTMAKSSHDSAIEREMCALWGCGGALS H257_04327 MRVENVKPRPPPLSKQAPLRSSRRVMGGSHDAKSSVAAVPADEL TRIKALFSEIVRLGIARSQDTAQLCSNNGRPSLSTHSTTRLGMECQYREEWRVSREDN IFKQLDHFLSQSSIQLQDHNDLHDHLRLAMETIASTIGSNELKPQRSTSKQQQQLLRA MHLDQALRRMEGRDKTSPLLICQDMVRGTRAQFQQVLAGDTSSTLTSLPPSSHITTPD NQVLDRSSSEVQLRKLYENVAAIKSVELVNAPTSTGFTRLLEAQRWAEVSKSNEERAH AHRKHVLVRRIQRTFRFYRAVAKEGERVALARSQLEFHAASRLQRQYRRWKQWMTFKS NRLVTFRRNFSAIRIAAWVVFRFRFQKCCRRFHADSWLPPAVSLLLEESATCEPPDEW KVLTSAVIKIQSTVRRHLVRRRVRQTWHFHLLRRRRQDRLEWRDARNLHQSTAILVDE LVEWHRMLSLEQERTQAAIVAEHKVFIVEWEVRTRAPKETTV H257_04327 MGGSHDAKSSVAAVPADELTRIKALFSEIVRLGIARSQDTAQLC SNNGRPSLSTHSTTRLGMECQYREEWRVSREDNIFKQLDHFLSQSSIQLQDHNDLHDH LRLAMETIASTIGSNELKPQRSTSKQQQQLLRAMHLDQALRRMEGRDKTSPLLICQDM VRGTRAQFQQVLAGDTSSTLTSLPPSSHITTPDNQVLDRSSSEVQLRKLYENVAAIKS VELVNAPTSTGFTRLLEAQRWAEVSKSNEERAHAHRKHVLVRRIQRTFRFYRAVAKEG ERVALARSQLEFHAASRLQRQYRRWKQWMTFKSNRLVTFRRNFSAIRIAAWVVFRFRF QKCCRRFHADSWLPPAVSLLLEESATCEPPDEWKVLTSAVIKIQSTVRRHLVRRRVRQ TWHFHLLRRRRQDRLEWRDARNLHQSTAILVDELVEWHRMLSLEQERTQAAIVAEHKV FIVEWEVRTRAPKETTV H257_04327 MRVENVKPRPPPLSKQAPLRSSRRVMGGSHDAKSSVAAVPADEL TRIKALFSEIVRLGIARSQDTAQLCSNNGRPSLSTHSTTRLGMECQYREEWRVSREDN IFKQLDHFLSQSSIQLQDHNDLHDHLRLAMETIASTIGSNELKPQRSTSKQQQQLLRA MHLDQALRRMEGRDKTSPLLICQDMVRGTRAQFQQVLAGDTSSTLTSLPPSSHITTPD NQVLDRSSSEVQLRKLYENVAAIKSVELVNAPTSTGFTRLLEAQRWAEVSKSNEERAH AHRKHVLVRRIQRTFRFYRAVAKEGERVALARSQLEFHAASRLQRQYRRWKQWMTFKS NRLVTFRRNFSAIRIAAWVVFRFRFQKCCRRFHADSWLPPAVSLLLEESATCEPPDEW KVLTSAVIKYTLECYLAFDLSLMVVQMAAAGFNRPFDDI H257_04327 MRVENVKPRPPPLSKQAPLRSSRRVMGGSHDAKSSVAAVPADEL TRIKALFSEIVRLGIARSQDTAQLCSNNGRPSLSTHSTTRLGMECQYREEWRVSREDN IFKQLDHFLSQSSIQLQDHNDLHDHLRLAMETIASTIGSNELKPQRSTSKQQQQLLRA MHLDQALRRMEGRDKTSPLLICQDMVRGTRAQFQQVLAGDTSSTLTSLPPSSHITTPD NQVLDRSSSEVQLRKLYENVAAIKSVELVNAPTSTGFTRLLEAQRWAEVSKSNEERAH AHRKHVLVRRIQRTFRFYRAVAKEGERVALARSQLEFHAASRLQRQYRRWKQWMTFKS NRLVTFRRNFSAIRIAAWVVFRFRFQKCCRRFHADSWLPPAVSLLLEESATCEPPDEW KVLTSAVIKYTLECYLAFDLSLMVVQMAAAGFNRPFDDI H257_04329 MSEASSHDCVSLEDHIPLSPQAARPNELPSTVARVKEIVVNDED SDLGTPGSPKDPFSDNFDDDLHSQATFAHHAFYGPTASNDSAQTVHDTRRVELGKAKN SAAYFKSEPKESNHRASMAYQQSIFDIPSPTNKSMSSGTRGSIINMAKSTMPKISKSL PTLPSFLKRSGAAAKDSDAPFCDGCGQQPIKGVVWSCSVCVNFHLCPTCYAQGVHGME DTPAMQMYEELNAFYKLQKRCKLLTTEFLGVLYTDVCKKHVPKFEYLGNWLASILDKK MNATKIPARGVEIPHLTPLVRTKFVDVLMPLVSNRRDLQVYVEWLPETEGDLETVRIW MSDLKTRTKSPFAVAAPPATATPSTTTTA H257_04330 MDELLFRDRTASEDGTSLEQLRLLALKKLLGRRLWQRWRIYDIG ASMSDEDELKELLAQPNPRRDDVLELLQSKFLAIPERDSFVYRGIIWQVLLHVYDPQR QSSSADELENLSGRLASMPRDPLMCKEVDEACVTLQYVVGDLAQSGMETVLLWLLKAK SVPYTSGMAQALAPFFLLQLPLHTVYDCFYRYCALYLPHLVSGTFSLVDVDLPIELEH RQQLTLHLLAYHDPHLAQFLLQWTPTWIQRLVPVDYFYCNLYRRLPPSSFLYLLDQYL ITNDMEFGLFVVLAIVSLHRDAILAQPSADGVKSTLAPLWALDRPDATAAVVLLATSL RRKTPSSYTHMWHVVPHSAFSQVSNDKAVDMTAWRKQESKTLTGRFYWYNDKTKVTQW EHPLAKHDAPPPLMCLVTDVAEIASVNLGQHPHHAKLRYFVVDCRGTRSSQDIKSGAI PSAYPLDPTVFDSPDLMLQTLETLRPLGSQVHVVLVGHGPVLPTAPTDEVAAHVREGV REDLAVLNRAALFFQKHGFRFVSALDGGYAAWHAFMRDASFTSVHELIGHEQLECRYC RVDAGTDPDAVTASTRKKPSMPRLMRRRLIKMPTLPSLGFGGARREDAKGDDRLSVSS TSSSNANRDSLKGRLSLGGRWSLLRSRNSMDASQSVVGFDGEEDLAGEPMEPRESWSD EEIEIALPTLSA H257_04331 MMMMMHKDDANALTFGHLLSLAQSHQPEACVDGWTGVLDRLAEI DGMQLKHVVTAANATFNGTFHATVHTLAYQVVKKLGCRGEMERKLLMRDDVPKHLLAS MQLHVGDAATQSHGLQALYPLAFDAPHRVQLAACGSLPLCVSAMAAHPTVLPLQQHGC RFLQLMAFDDDCKVAILTHDGLPVVLAALDRFYKDKDLAISSSDLLYFLVADLDGDDD KMQGGIAPAIIHAVVRVMDLHGSDARIQSHGVAILNSLVANDAAKPLLCTDAVLDVVE QAIGFTDDATIDSIVLLFELFQDTTCQTLIVNNALTTSRPIKAIGAKLATVTFSSDDT DSGERVAYIQRVLAGMESTIEARMTNTATQPSSSYGHGAAVTPLPPSLPPTRSLVESG ENRQRHSIQLLSSPSKVHDQSVDLLSIATRDDEDKHFAVCDPKDELNSNCFTSKQRTG TLQSSLHVTASRAPSSAHIVAHVTSSPNASTSHVLDTPASSSLPPMSKVGMPFGAAPT IAARHDSDAVREVRTELEATRVALVDASKAIEYERAKVRKVIFNYKLMKRRLADQHKL LCVHNERSVADAEIHDTLLQRVRYLESALEDAHRSWSNERNMRVELENELSKGAVALA TAQKALEDQRPPIVRERTVPEVRFLDAQRSVQQLTGDKLILEEAVKVAQELLYNCETN QFFLEAQVKQVRQQGMESLLMREEDVEIPKPSKYFTLASPTAKRTQAYSPTTPHADSQ VSKELSSTLELNDQQAIEAFLHRAYKCLEACSEGSGVHFSILRRYLVDSGLASAPAMV SDVDVILNKVLAVAHENKLKARRRKDYEFSSCPQRPNFGKLRHRYFSRNLFCEAVTLV GAKKYPYLDNTTTMLREVILTFLSPYGTAIECRGGDVQLLVTSYDPFNFLKIMMEQLH RSYHSPVSTADNDQPQRKFANEPILQAMLEMVPVLQREQKPLKIICDFYTGMPDATKD DALDIGLEAVVNFAVDFEVIPAFLDRLAVKRLYKDILTFFKTFLAMYKGFPCPPDKKK YVAFYMTLGRLAVEIFKDKRDYDMPESQITGLLQWMDNSRGRGRIVRKGSAQTGIKFS NKLYAVK H257_04331 MMMMMHKDDANALTFGHLLSLAQSHQPEACVDGWTGVLDRLAEI DGMQLKHVVTAANATFNGTFHATVHTLAYQVVKKLGCRGEMERKLLMRDDVPKHLLAS MQLHVGDAATQSHGLQALYPLAFDAPHRVQLAACGSLPLCVSAMAAHPTVLPLQQHGC RFLQLMAFDDDCKVAILTHDGLPVVLAALDRFYKDKDLAISSSDLLYFLVADLDGDDD KMQGGIAPAIIHAVVRVMDLHGSDARIQSHGVAILNSLVANDAAKPLLCTDAVLDVVE QAIGFTDDATIDSIVLLFELFQDTTCQTLIVNNALTTSRPIKAIGAKLATVTFSSDDT DSGERVAYIQRVLAGMESTIEARMTNTATQPSSSYGHGAAVTPLPPSLPPTRSLVESG ENRQRHSIQLLSSPSKVHDQSVDLLSIATRDDEDKHFAVCDPKDELNSNCFTSKQRTG TLQSSLHVTASRAPSSAHIVAHVTSSPNASTSHVLDTPASSSLPPMSKVGMPFGAAPT IAARHDSDAVREVRTELEATRVALVDASKAIEYERAKVRKVIFNYKLMKRRLADQHKL LCVHNERSVADAEIHDTLLQRVRYLESALEDAHRSWSNERNMRVELENELSKGAVALA TAQKALEDQRPPIVRERTVPEVRFLDAQRSVQQLTGDKLILEEAVKVAQELLYNCETN QFFLEAQVKQVRQQGMESLLMREEDVEIPKPSKYFTLASPTAKRTQAYSPTTPHADSQ VSKELSSTLELNDQQAIEAFLHRAYKCLEACSEGSGVHFSILRRYLVDSGLASAPAMV SDVDVILNKVLAVAHENKLKARRRKDYEFSSCPQRPNFGKLRHRYFSRNLFCEAVTLV GAKKYPYLDNTTTMLREVILTFLSPYGTAIECRGGDVQLLVTSYDPFNFLKIMMEQLH RSYHSPVSTADNDQPQRKFANEPILQAMLEMVPVLQREQKPLKIICDFYTGMPDATKD DALDIGLEAVVNFAVDFEVIPAFLDRLAVKRLYKDILTFFKTFLAMYKGFPCPPDKKK YVAFYMTLGRLAVEIFKDKRDYDMPESQVRL H257_04332 MKDHLNHMPLKEMKVTLLREGKYEELEEGLHDVDCSVTLIEFGK FNILYDTGGAWALPELLGSLAASGLSAEDITHVVGSHGHSDHIGCLSAFPNAYQFLGG DANLRNKYSTVEPSEANAIETARFFRDEMFTRGKFFHWGEHGIALDDPRGIDGSIRLV KTPGHTSQCTSLLLESPQGDLWDCLDDEDYYRAISEQPLVQENTRAFVLKWGPDRIIP GHGAPFAPSDLSVTH H257_04332 MKDHLNHMPLKEMKVTLLREGKYEELEEGLHDVDCSVTLIEFGK FNILYDTGGAWALPELLGSLAASGLSAEDITHVVGSHGHSDHIGCLSAFPNAYQFLGG DANLRNKYSTVEPSEANAIETARFFRDEMFTRGKFFHWGEHGIALDDPRGIDGSIRLV KTPGHTSQCTSLLLESPQGGIHFAGSAFTRLAIVGDLWDCLDDEDYYRAISEQPLVQE NTRAFVLKWGPDRIIPGHGAPFAPSDLSVTH H257_04333 MMKGLPSFDFDVRQGWVDLSFADDDEDEDEEKHNWEWFQIPHAG HASPRKAVQKPHQQQPLSKAKPVKGKEEDFIKQMLKEHNAKVKNARTSSAGDARYAGH QTTTGTKTHGARKSSSDERKSSLECAWHSISQESISRKIPKEVYVLDQAENAKAVADP DHASNRRKQIASPGAVIAPSSLSHQSPDPTRAHRVIKSPHEDLQDMLDELKAKHTPQA IRVVTQIDTKAAVKRKQPLPKTDHMELQLLVQQHNDKHKLRRLSSSHHH H257_04334 MDVRSPNRRLRIVVDNPDKKEKPPTPKNMYSLVLDTKYDLQFVD PKEEKQPATPTAPPSSLGFSSPHPKTSLKGARSPKKIPEISLLKSPPKDKKVGKKPVD TSGNANAAPVPTSVVSAASPSKKTTSSLEFLRKSLVMDVPEPTSDDVRSPSKPDTLHP SASSHPSVPSPHGTLDGTTDEGLIRAAGLHTGEDAISFFARNGSNSQIKFVHLNRAES ALLFRPYDLTVVLPGDAQAEHFTMSASGLVHIQPGSPSEFIALAEWMRQSTLFNVLTN FRFYKYYLVNKAFMAWSTRVRYKLFCRQRKQLCNTLYLGKDSFSTPLLQVKKVMMDLQ SVLLLDFRAQKTYEASAFVEYQATKRTDASKQFESCVEKLQAIAQKVCADVNNLARQA HQNDDLNDLSVSVHADKTKSIVAAKHEQIQRRKLLKRAAEEASMLCDFIRLIDYITTE NLVLLAVASCREFLAELSKTPRKTGLFETTIHFGDTDTVFSPTCEQIQAIVVSMTDDI VGAVNSVSRIIYLRPFAQYMANAITDGPHIGPTITHSVDFQSIRADLVAKIQNDYAEA TDYVKIFDSVRPIFEYDKQWDFESDYSQRTHTVTSLKADMLQIATWEKELEKMRAGQT IGILHVESRKLKQNLIPMTAAKLDAMKGLVKDQARAKCKLQLGEYKQRIQMLSQRPQH LKEFAAHVERVQGLKQKQKALVKNTNVVDEMYRLLGNYGVRISSEDMVQLDDLRSVQE SYKEETDAVDVYIHGKLSEMTQQLDSNIARLDEQTVQLNEQLQTGAFIDATNFDETGI VLGELDVVKQRLGQLEELSKQYSEYQTLFNIDPYNYVNLTTTMDYYNTVEALWTAVDK WNDQQRNVLHNPFADIQVEELAKDVAVAFKDAYAMHKKLSNDVTALLKDKAAEFKLKI PTILELGSPSMKDRHWEKIFKALNQPWYPGIAFTLDNLIAYDIFEFKDLVSEISATSS GEAQIEASLNKIKLGWEQTKFTCIPHRDSKEMFILGGLEDILMLLEDNQVTLQTMMGS RFILGVKDEVDRWNKKLALLSETLDEWVTCQRNWMYLETIFCAEDIQKQLPIEAQKFQ LVDKNWRTTMVRTNDDPSVLKAVENGSEMLDQFKVSNQLLEEIQKSLEDYLETKRMAF PRFYFLSNDELLEILSQTRDPRAVQPHLSKCFDAIKSVHFETLPGQSTPSNRITAMVS AEGERVTFPNVVVAQGPVEMWLLEVEKAMRDSLYTESYASFKAYPVEHAIDRKEWLFA FPSQVIIMIDQVFWTRNVGLAIVQVERHENAQALDEFLAFSLKQIDGMVVLVRSDLTK LQRSLMGALITIDVHARDVVRSLCANKVSCLTDFEWTRQLRYYWEEEINNCVARQTNT RFVYAYEYLGNTPRLVITPLTDICYMTLTGALHLRLGGAPAGPAGTGKTETTKDLAKA LAVQCVVFNCSDGLDYKIMGRFFSGLAQAGAWACFDEFNRIDIEVLSVIAQQVLCIQQ AIICNADQFDFEGKLIRLNTGFGVFITMNPGYAGRTELPDNLKALFRPVAMMVPDYRL IAEIVLFSEGFANALPLSHKMTQLYSLSSEQLSKQDHYDFGMRAVKSVLVAAGQLKRK WPETHEDLLLIRAMRDSNVPKFLEADLPLFRGIISDLFPGVVVPFVDYGVLQKAIETQ LAVLNLQQVNSFVAKTIQVHETQLVRHGMMLVGEAGSGKSTNALILAKALTALHEQGV VDRDGFYKVVTRLILNPKSISAGQLYGEFNLLTSEWTDGLVPKLVRQAVAEASESDAR NWVVFDGPVDAVWIENMNTVLDDNKTLCLANSERIKLPSTLHMLFEVQDLRVASPATV SRCGMVYMEQVHVGLISLARTWKVNVLDKILSTEFATIVLELVEIHVPVAIQFVRAKC REKVPSNNGNLMQSFLNLLASCLSAPSVVASTASNGADMGSLARMYFIFSLSWSVGAN IDDASRPKFHEFCLTQLAHLFEYVGTVDNVYNYAVDDTNATWVSWVHRTPQFTYDPKA SYFAMLVPTQDTTRFRFLLERLMSNGHHVLYSGETGVGKSVIIQSFLDDMTKTDSFVA STMGYSAQTKPANLNEMLELKLEKKRKNLLGAPHGKKMLMFIDDLNMPALEVYGAQPP NELLRQVIDSGGFYDMDKMFFKNVINVVFAAACAPPGGGRSDVTPRLIRHFHMCWIPN LSADAMTQIFVSILSGFLDVEIPTLSHMSTAIVTASVELYQRVEAELLPTPSKSHYTF NLRDLSKVFQGVLMVKKANASTADGLVRLWLHEEARVFRDRLIDATDRAWFNAACSTL LESHLKLIWEPAVFDSCLYGDFATREHRTYAEMTDVSRLNGLLLEYLEEYNITFPSQM HLVFFNDAIHHISRICRILRQPRGNALCVGVGGSGRQSLTRLAAFMADYRCFSIEITR GYGADEFHEDLKTILMVAGAEAKPVVFLFSDTQIVVESFLEDINNILNTGDVPNLYAA DEMEKIVSMVRPIAAGMGKVTREDIIAHYLTLVRENLHFVLAFSPIGAGFRNRCRMFP SLVNCCTIDWFNAWPEDALHSVAIRFLAASAEELGIDEHVETLCNMAVTIHRSVEAAT AKYFKELKRQTYTTPTSYLELIRLYVDMLRTQRGLVKAKEARYRGGLKKLAETEEIVG NLKNELIVLQPVLVKSQQETSELLTQVTKDQEEADKQQVLIEADVNAANLIADEVKVI KDDCQKDLDEAMPAYYAAIGALNSLKKDDITVVKSFANPPKMVGVTMNAICLLFGSKQ EWNEGKKLLNDMKFLDKLKEFDKDNIPPKTIRQLAKFVQDEEFTPENLASVSSAATSL CMWVRAMYTYDSVAKNIGPKKEKLKGAEATLAAEQAKLEIKQTGLNEVLAKVAGLKRT LQEAQTKKAELEAQTALTQAQLGRAEKLIDSLGEEKGRWLECADNLGLDMINLVGNMI LSSGCIAYMGPFTAEFRADMQVMWVRFCKESHLPVDNNFSLERILSDPVVVREWNIMG LPADAFSTENGLFATMGRRWPLMIDPQGQANKWIKNMYKTSNLQIIKLTEKDFLRTLE NAIRYGMPVLLENVEEELDPSLEPVLLKQVFKRSGQNLLHLGDADIPYSEAFRFYITT KLANPHYMPEVCIKVTVINFTVTLTGLEDQLLVDVVRNERPDLEQKKDELTVAIAADK KALKEIEDKILYMLENSKGNILDDEELIDTLSHSKVTSSAIKTRMSEAEITSNEINRT REGYRCVAVRGSIIYFVIANLALVDPMYQYSLQYYQKLFVMRLELSEKADDLLARLDI LMNDVTMSMFVNVCRGLFEKDKVVFAFMIVASILRQRHAISTAEWNFYLIGAKRTHAV DATRKPKWLPERVWIGVETLLEVNPVAFESLPASLVEYTQTWRETILYSALPHQEPIP GELNESLTNFQKLLVLRVFREEMLVFGTREFVGREAGAFFTESPPFDLKGCYSDSAPD IPLIFVLSPGADITDYLLELAKNEGKDGPGLKIISLGQGQGPIAEALMKTARETGDWV CLQNCHLAVSWLGKLEQLLEKSKELDIHPQFRLWLTSMPSAKFPVPILQNGIKITNEP PKGMRANLGRTFLDMKDVDFEGCSKPREYKKFIFALAFYNALCLERRKFGAVGWNIPY EWMNSDLKTGMQQVKLYLEEQDEVPFVTLNVMVADITYGGRITDRWDKRTNSSIMRKL FHENLLDDAYRFATSNTYFAPATGSLEDTRRYVTSLPLVDAPDIFGLHANADITFQQK ETSQLISTMLLMLGGGGGGGSGGDASDNDAVVMELVVYIQDRMPDMFDEARGHADSFK ETSGSRNSLGVFLGQEMIRFNGLMHVMNTTLENLKRAIKGLVVMSGALERMYTGFLLQ KIPKEWEDAGYPCLKPLSSWVEDFFRRLDAIHTWLVDGPPQSYWLPGFFFPQGFMTAV KQVYSREHEIAIDALIVTCEVLSHGVDGVTGPPAFGCYISGLFMEGARFDRTTMRIGE STPGDLFDRMPIVWLKPMRSIEYKPKGVYECPLYKTSTRAGTLSTTGHSTNFVVALDI PTKQAPDHWIRRGCAMLCMLDT H257_04335 MQWKAEWTVACVAGVCATVYATPLLLGNWDFIVCWDDDVNFAQN GAIRHLDWHHVRAMLAEVRIGVYEPLAHFLKAIVFAACGLNSQAVRLVSLMLHVVSCL ILRATSIRLLAFTTKSFDTTSMSVGCTISAVLYGIHPLNVEVVAWPSAQPYTLAQFFT SSCLYVHVVNRTSGNTGLARHVMPTVLYGCAVLSKSVAVLTPVGLVLIDVLVHIVKID SNKLTFRSLVLQFLQAFAGFGIVGLMAIWTMVMSNQGGDSWEADPLHIPFHERLVKVF VMLTCALQMWLWPTELRAHYQLRPGDMNIPNNAASLLSVASCVGITMWCVCAVSTHPG MLAAWVYYVVMFLPVCGLVQHGIITLTADRYAYFPTVVFIPVLGACIAHGIQSARMSL AWFAIVAMVLAMLSANQVNSWRNDEVLWLHNIQHDPSDWRALDHLADYYAKVGRVAES APYWERSLVHTPRTGLKAKLQEARLLMFLGRYERGCALYDLELRQFPGSTHLLNNMGV CYMHMRKYDLAKQSFQRAIDYGLGLSGKHGADTETPQVNLKQLEGWDGQANYHAHLMW H257_04336 MTSLQGAASMARAPQPLSITPGDVHILNELLHSPVARRHHQHHP LHLIAQTPVRPSLPVTPDLVVAPPKFAHVPPYRQLVNSNNSADDWAPHTEDRVLQSDT QDNSSQAPHYVYPLRTPTHDCVQPTTMSSDRAFFHHINNHSAQFQCSQEHPWIYESQS TRNRSPAVYYDGQGELPRQVGGISRHAEFALPFALPHLRHHHTQLPEQCHRMYLPSSS LVSLPPQISAPTSHDDPDDMRKPPRTCKVSKCMKTIQRHGLCHKHGGVRRCINHGCMR KDRGEGYCVTHGGGKRCVVDGCGKVVRRGAFCLQHTAPTSPIVSSSAATQVA H257_04337 MKIEEVVSTIKTQRVAVHTHVKGLGLDVDGSALPIGSGLVGQEK AREAAGIVVELIRSKKMAGRALLLAGAPGTGKTALALGVSQELGPKVPFCPMVGSEVY SSEVKKTEILMENFRRAIGLRIKENKEVYEGEVTEITPEESENPLGGYGKTISHVILG LKTTKGAKQLRLDPSIYEGLQKEKVSCGDVIYIEANSGSVKRVGRSDAYATEYDLEAD EYVPMPKGDVHKKKEIIQDVTLHDLDIANAKPQGGQDIMSMMGNMMKSKKTEITEKLR SEINKVVNRYIDQGVAELIPGVLFVDEVHMLDIECFTYLNRALESTLAPIVIFATNRG VCTVRGTEISSPHGIPLDLLDRMLIIRTMPYSVEEMVQIINIRAEAESINLHEGALTR MGEIGATTSLRYAVQLLTPARILAETQGRSDIVVDDVDEINALFNDAKRSAKALAESS EGYLQ H257_04337 MKIEEVVSTIKTQRVAVHTHVKGLGLDVDGSALPIGSGLVGQEK AREAAGIVVELIRSKKMAGRALLLAGAPGTGKTALALGVSQELGPKVPFCPMVGSEVY SSEVKKTEILMENFRRAIGLRIKENKEVYEGEVTEITPEESENPLGGYGKTISHVILG LKTTKGAKQLRLDPSIYEGLQKEKVSCGDVIYIEANSGSVKRVGRSDAYATEYDLEAD EYVPMPKGDVHKKKEIIQDVTLHDLDIANAKPQGGQDIMSMMGNMMKSKKTEITEKLR SEINKVVNRYIDQGVAELIPGVLFVDEVHMLDIECFTYLNRALESTLAPIVIFATNRG VCTVRGTEISSPHGIPLDLLDRMLIIRTMPYSVEEMVQIINIRAEAEVRTLPPHATLS KWPLLTRVCLL H257_04337 MKIEEVVSTIKTQRVAVHTHVKGLGLDVDGSALPIGSGLVGQEK AREAAGIVVELIRSKKMAGRALLLAGAPGTGKTALALGVSQELGPKVPFCPMVGSEVY SSEVKKTEILMENFRRAIGLRIKENKEVYEGEVTEITPEESENPLGGYGKTISHVILG LKTTKGAKQLRLDPSIYEGLQKEKVSCGDVIYIEANSGSVKRVGRSDAYATEYDLEAD EYVPMPKGDVHKKKEIIQDVTLHDLDIANAKPQGGQDIMSMMGNMMKSKKTEITEKLR SEINKVVNRYIDQGVAELIPGVLFVDEVHMLDIECFTYLNRALESTLAPIVIFATNRG VCTVRYSPPNLFLLLVSILC H257_04337 MKIEEVVSTIKTQRVAVHTHVKGLGLDVDGSALPIGSGLVGQEK AREAAGIVVELIRSKKMAGRALLLAGAPGTGKTALALGVSQELGPKVPFCPMVGSEVY SSEVKKTEILMENFRRAIGLRIKENKEVYEGEVTEITPEESENPLGGYGKTISHVILG LKTTKGAKQLRLDPSIYEGLQKEKVSCGDVIYIEANSGSVKRVGRSDAYATEYDLEAD EYVPMPKGDVHKKKEIIQDVTLHDLDIANAKPQGGQDIMSMMGNMMKSKKTEITEKLR SEINKVVNRYIDQGVAELIPGVLFVDEVHMLDIECFTYLNRALESTLAPIVIFATNRG VCTVRYSPPNLFLLLVSILC H257_04338 MDRTVRLPMIRANVQAGDSNPILATPSKPAVSLSSSSRMMNRGG SGMAAATPRSLLHVSLPTADQLMHVWTFVCHWVIEKVEMDQPATIPGFGTVTVGAHSH NIRIPHFVANPIFAKKYGLFTDDSALAPMSHTLEFRDVALHCNIDEYAAKSCLEHIVE NIGDRMRTQPQVELSLGVGVVSCKHRFLDGHMTQKQPVQMESEVRGAEPTPQKPAANP RSLMASSKTFSSYIVPTGHKGPSSLVPTKPSSPTTSLIDKDASPRKPRIAHNKDVRPT SPRLVLRQKFLSRSDELPTTVLATTEIMPWNLNPNQRDRMDTVKQLDAKYPPLLDPFC RTLGVEQVDVVNKLTSSERIGVNYSLSASHLVIRKNHHAAHGLIFIDDESSRVQEHFV TLRPPSPVHDKGAELPLVTTSGKPVYAADGVVLTLSRTRSLDGEKSARTSSQPPSLSP VEVVERYLHYLDKIIDDSDIVPMNPTWTEHIQALIARAVNKVQSRRKDVLLASMFAET LQCYTYSIKKAILDYLLLRHVTQVRLGIPGGVPLEFQAHDKWKWGQTHATLISVTPGW KERKTRAEGHVKQFLMLIDTHLMALHYMWGDFDDLLLVDVPTSTEMAQQLAPIDIRAF EKRQMAHAATVKRTLMDKWFAKAKRILTAAKNDEVLESALLNPKHYFDCIATLMSLQL RRLVVRSIDAYVAFFKAYALDKKEQSTQGLLLSLVLDQTKIRFDMALEDVQLSLMNIL YNIPSCVTHIDRVETKFEPSIILGGSPFLWAVGLHEEEVVGAADAIRSILQDNMAHAQ ALRTKYSKYTVVAAGDLPLEHFIAQTHDIPSYTHEVQKFIQFACQIANEKDHTESSNG LDLFHIDCTQLNAGLLQKASHFIHQLFHAFSDTTLRLNRDIRQQFKLIAGRLARKPID LHELVESEAYISKLRNHELLLLYDNVDNVKQRLQFLFKKCTVVQQCPAIVGLSVSSEL LASISKTFTWKHQIEKILRDGDFSLQHERSRIETAFIAKRSRFQAELEELEAEVNSFQ KKSDLRHATTYVVQLGKVRDAITQARNTINIIAEEEAKLGWVQTDFMQLDYICETLEP YEQLWRTARDFRESSVKWMRGNIFELDAKGTEKTVHGMTTTLTNATKQLASTSPAAVG AAETLKKQIFEFKDSIGIISVMGNTSLRDRHWTEIAETVGFIVDPTEHITLQRLLDLG VQDSVHKLLDISEAATNEADIERSLDTMSEEWLHMEYKFVVASDTFVLGESVVDDIHI KLDDHIVKTQTIRCSQYRKPFFGRAIAWERSLLKLRDITDLLWQTGNFWRKMEPLFSA ADTVHAILDKASNEAKKFTIVDGHWRSIISAVVARPLCLAAIQIDKATERLRECLVLL DAILEGLTVCLEAKRALFSRFYFLSNAELIAALSVSPTALHTRPTSTSKAPITFLGRL FPGVGRVEMNGSREVTHVVSTFEEPMQLCQVVATDKVSTDAWLGRLELQLQTAVQVMV RNALNDYGKKDFRKWTSCWPEQMVLSVEHYMWGMHIEKIMQDPSVVGQSSDPMLQMDT ANNPSSTPTTVQAKLEAYLANEIDGRMYELVAELSDASLVAASRINVLTNLITQMLHA RDVTADLIEQRVTDSDGFAWQSQLRYAWNDGNLHLKLIKSSIPYGYEYVGNRAALVML PNTLKCARVLFSAFSMARGTILKGSAGAGKTSLFRSLATSCAKLFVLFSCVVTSSVDE LTRLLKGVASCGAWFCLDDVQNIVFHHVGVVMESIQKIQEANHVREASLVLQGVKLRL KRGGHIMATFQPSDAKPHLPIFFKGLFRPVVVVAPSLRHVAQVLFFVGGFSNPPRLGQ LVEYTLTMAEGTIGGVDATSRLNLNCLRNVHTIVKRAKLILAQEHTGALRPEKVTLEE LVVTHVMAEVLRSQIPESALFEFNGLIKDMLRHVSMDSLKTDVPLSMDAVKLAVAHKE FVCSPALETKLNQLHDALRNHSGVLLLGAPNCGKTALYQILGQVYKVLDERIHAFSRR TTPRDAADLSSAIQVIAPRSITLGQLYGSMASTTKTFQDGILTQFLRKLNGQGDASTA TPPPHTAAARSWLVLDGDVDPFWADGFNTLLDDTAQLLVVTGEAIPLPPQARLIFESS DAGSASPSMVSRCAIVYVGQGVVTWRTLYAGWIERLPEYLEAIDDIKEALDATLDMIE PALEFVRIHFQPTMPQSDVARVNALLSLMDGAFRATHPKMSSMTAKQNYTIAQCIFLQ SLVWGVGHTTVHDERVKFDTFLRSLAGEATSATPSAATSQAATTSRHLTVNSKKFNMF YPAFRSGELVYSYGLSAEWGLKWELWAEFYPNHFYTPPPLVHRISDLFIPTPNTACAA YFLDVLTQNRSPHNHVLLVGPRDSGKSAVVDVFRSQAAIRTKAMQELQMNSPPPPATP GSWENPAKVSLAPPPPSSLLFLTYKFNSWLMPSHLLDGFENHMERSRKNILSAPANKT YVLLLDDVGLPIPPKATPSDQSSTLECLRHLLDAQAIFEPKTDADCFVTGLSCVATLT LRPSLPHHINGRLGAKFTPVGMTSVLDADMTKVLTGITTWLAQSRNLAMDYTQMATGL VKATIRLYYTCADKFRTSPKCPHYLFGLTDLVHIVHLVSRDCPATTVSSDKSPIVRLW CHEATRHFHDRLVSAADSMAFFSFLRDICVGTFGVTMEALFPTTVSNSISVAGFFPNS KDIGQPSPAMTRRNSRANHGSIDKLNVTNLQGGPPPQQLPQQPHAAQPLSSHMYANFQ RLCFTDVADRQHYVEVSDVMAFEGQVVDELAKLTDTPARPNGLHLDVDVSTTYAMEHV LRLHRLLTDYAPPTSAVCSDHVLLLGRPGTGKSSLARLAAAVLGVNVMYLNLQAPNLK TYAQWRAALNEVLIKVVSDNKPMVLIVKDALLDRPECLEDMSSFVNGNVVPEFLFQKD IEALGPSLRENAKDQSVFLENPLAVETFCIHRIQHLLKVVVVLTTATNPSGLQAALTQ HDHLLRRCRVHYMDMWPDDTFMAIARRRLAASDLTDGQVTKYGGLCLHLHRVAQPMET RTCPITPSRVLAHIVAFARQWADHTLALETRKAKLSTALATIRYVEKLANKVSTTVSD LHPAIHHMHQVSKTINVGMHTDTQAILLTQRKVEAEELIRQDIESRLAVEQGRHDVTL KAATDAFVDARGALRAVTMNDIGDFILVTPLPMIVKHLYECLGRLLQVDPVEVSDERD ENTRMMDYSIPTIALLQDPMTLTTFQAYGTEVASVLPDNVLGPLTPIYESPEFIPAVL GATHAVASVLCAWVRAMINYRQTIVLLEPQETHLDIERSSLKSCAARCDALHRTLREQ TAAMEQTKSSREEAEAQVRDLASKLSDHSTAIEKAEVVLAAMGGFVKKWKLTYDDLME STDRLAGDLLVSTGLLMYGSHLTAFGRRQLLLQWMKALRRLNQFTSDGLLLHSPHHAV SDLLVEPMHMQRWLAQGVPDDMVCRENAAFLSSGELVPLVIDPHRIAFNWIMSRDMSE SKTPLVLWPTLDNVAAVEADMFHAAFELRPVVFPSIDHIVKSVTLPLLLARRHVALHG ASKPNVLAIQDTLIEFPALSSLYLFTTDVNAASLPLFASLVHCIHVEMTPQVCVDLFR AEFVCNSSKHTAHHWKELRLSAVDFDFEAKRFEDQCLAVLATAKSEDTIFAESAKLFE WRAQHRDAVDRFDQVQNELADAKYLPFSMDVMVQRFCGVCLAFDDLRHLRPVYGVTVS YLVSLLTHVIDVVGRDNAVALVDKFTNTAYRVLHWSVYEPDRLLVDFLFALRLHRSSE MDNHPIPPRDDMLTQESCDNESDDEDGDKDDEDNEDDSAGGGADADLDAVAAASSAAW YWALSSAPEFRFFVQPTSHPTQFLSITCPSWIPATASWDAFTAFCFILTPQTRQDIIK SFKSELKFAWKEFVESPNTTRLSLPVDLSPLHRLCVVRVLRPDALGAELMHFAKATLS MSVLSTAFTWTVSDTAAISSCKHPLVIVASRDSDGIFGIRAAAAKTKADVVMASLQPS TADGALDKVLVTAAKTGQWVVLRNADANSQWIAAVDNVYKTMDVAAFHWDFRVWLCVH DPSMLPLTLTQIAVKRFQDCGSSFREQLLVTSSLVRRPTSSADSTAVDSDGLRLCFLH ALLMGRQQYGALGWKGTFEIETSDFESLVHDHLDPLSHVYGVKVTSSWDLSMLGVVMQ GYYDGSHRNDWAQIIPQWASKARQLGGTAGSLMQLLHRASIADFNAAPSLATTPSAAP KLSLTASKLPDMPFVDDSTWFGLSKAARDMPLVAKTSEFVAALQRSFHAHLQALPSLS TEPVMAIDDQAVTRSDVQHYNTFEKMLEAMQLGVEGTKCPILYEFPLHAVVHRELAGF RTIRHVLVTHLSQLQACLLDGILMSKELAEIHAAAQVNLTPLPWLRLARSTESNFTTF QLRLLRQMRYFESWVQVGPPPQHWLGAFLVPKHFLLAVQQQFSRGTGIALQAMGLKTS LYNDTARTDDIREYADHSTTNLPSVVVTGIDLVGVHWDGDGASPDKKLVTSAAGAPTS VRQPLTLRLSAFVVTEYESLFDDAASRPADEIPLPIFQSVVANHKGGGGAKQSIAAVT SLDSAWYERLPVEPEQVGVLFVPSAVSVAEALKRGAYFAIGE H257_04339 MKQDLYGGALSCEIPVGFADVSEFRQVPDNQEVFADAATDRCII VELLELESTVVGPATSEFYFHEIAESNKCMRHDCTILQTFLATEQDLPGLATTAHVTI GKQCVAKFKEASKNTMQLYVCCIRLPTVTTDLVVSVTVPLQLHPSSSSSRDGPTATNA DEGLLFLKGILRTLKVHNWGLFQ H257_04340 MPMDHALVLDWNCSTVDCLLMKWICDACLQATSRTTIVADQQSY AFDGFYLLSHHSFSRPPPFEYVRDNVKCTVTFERVALPVELVGPNVRLRISSFQDYLL WQLLRIPCSQLFPSDSTPEECAPFHIYPRFVATEDVSSLQDVQRLGFLTGTLLSIDVI MDYLDASAMCSAEMFLAEYPPESLLHHVVAYEDGVNHVGCFRVDIVTPQRQLLHDGVG AKWMDGLGATSRFQKWQKLAPVVHESPEVASMMKVLHPNVSYLTGLKCDVFEMASAMP IVFRFLRHVVQLDAFEARWHLTFADKSLLRQAFTHASYVDCGVQSAGGAAESVLGRVQ MGHTFSTSNDLPQMPTTTTYSSLQATSLGVGNDDLAAGSARYSHYLCSYDRLAFVGDA VLSFVVSSNSFFQFPDVSETHLRDLRAKIVNNDTVGDLAKTCHVHDLILTSFSLAAVD ESTSTIVAADCVKAILGAILYDQGWTDGVVTARAFLRQLFVVYDSELADFMFLLSHDV AAKVGVVVDCHRHSLATHPKASQEVSRHEQFLALCPTIPIDRTHLWLQAMTHKSFQGL AVSSDEYVLGKVDGNYERLEFLGESILHVVTCRVLMDMLPFHEGPLLSTVRASLVSTS RLAAVGTSLNCSPFIRFRGHDDDGNMPPVIADVFQACLGAMYLEQLDLHAIEQFLHKC LFPLVSDAAVANRAVLGPKQRFLDHVRQWPDKTLVSFDDLDPPGGVHMHAVGLSVDGF LVCRAMAPTKEIAANQAALKALQLFGL H257_04341 MIRSLLHKSTAPSRVAFQHRHLSSSHQHAKCVGVVREVYNKWER RAPVTPAHVHELVQAGIEVRVQPSTQRIFTDDQYVSAGANVTDDLSPANVIVGVKQVP IANLLPDKTYMFFSHTIKAQPENMKLLDAILAKRIRMIDYECITQGGQRNGQRLIAFG GYAGRAGMLAGFRGLGERLINKGYSSPFVNIGSAYMYPDLTRAKDAVVAAGKLIQQNG LPADLAPMTVVFTGNGNVSKGAQEMFKLLPHKMVDPSELPHLPPNRHLVYGCVVNERH MVRHTNKAEFTKSDYYKHPEQYEPVFHQTIAPYTSLLVNCMYWDDRYPRLLTKDQMDE LARHAGGNPKLQGVADISCDLGGSVEFLDRSTTIEDPFYLYDIQSRTSKASLESSPGV MMMGVDILPSELPTESSTHFGNHLVGFLKTLSAADAANLPAELEGAVIAKEGKLAPKF EYIQSMRQERERSKGYQYATEAAAIAGSTCVQFEGHMFDSGLLDNVLNLVESHNGGFA VIECQVRPNFNDADSQTTSARVSRVIVQISMDTRGELDEILRKIHDLADVTPRAEANV RELPEYCQGKYDETLRQIIKDGAGMSFSGNISVHDAKERKHIVCLGAGLVSAPLVEYL TRDPNHSLTVVSGLPGEASAMAQKFTKKNRAVKPATVDVGRDPRAVAALVAEADCVVS LLPAPMHVNIAKLCLDASTPLVTASYISPEMQALHAQAQAQRTPILCELGLDPGMDHM SAMKVIDQVQAQNGRVVSFSSVCGGLPAPEAADNPIAYKFSWSPRGVLMAALNAAQYR KNGHVVQVPGEDLLTAAERVNFLPAFALEQIPNRNSLPYADIYNIPDAESVYRGTLRY AGNCSIMHQCRLLGLMNPNPETLPATWPELVAKLKAKKSSLRPDAEAFLTWLGLDDPS ALVDPSATCTIDAFCALLIQKLSYLPGERDMAIMHHEFGVEFPDRRREIITSTYVGYG DDESTVMAKTVGMSAAIGVELILRGDVQSTGVLTPTTPDIYTPGLARLEAEGIRFIEK TRVVTK H257_04341 MIRSLLHKSTAPSRVAFQHRHLSSSHQHAKCVGVVREVYNKWER RAPVTPAHVHELVQAGIEVRVQPSTQRIFTDDQYVSAGANVTDDLSPANVIVGVKQVP IANLLPDKTYMFFSHTIKAQPENMKLLDAILAKRIRMIDYECITQGGQRNGQRLIAFG GYAGRAGMLAGFRGLGERLINKGYSSPFVNIGSAYMYPDLTRAKDAVVAAGKLIQQNG LPADLAPMTVVFTGNGNVSKGAQEMFKLLPHKMVDPSELPHLPPNRHLVYGCVVNERH MVRHTNKAEFTKSDYYKHPEQYEPVFHQTIAPYTSLLVNCMYWDDRYPRLLTKDQMDE LARHAGGNPKLQGVADISCDLGGSVEFLDRSTTIEDPFYLYDIQSRTSKASLESSPGV MMMGVDILPSELPTESSTHFGNHLVGFLKTLSAADAANLPAELEGAVIAKEGKLAPKF EYIQSMRQERERSKGYQYATEAAAIAGSTCVQFEGHMFDSGLLDNVLNLVESHNGGFA VIECQVRPNFNDADSQTTSARVSRVIVQISMDTRGELDEILRKIHDLADVTPRAEANV RELPEYCQGKYDETLRQIIKDGAGMSFSGNISVHDAKERKHIVCLGAGLVSAPLVEYL TRDPNHSLTVVSGLPGEASAMAQKFTKKNRAVKPATVDVGRDPRAVAALVAEADCVVS LLPAPMHVNIAKLCLDASTPLVTASYISPEMQALHAQAQAQRTPILCELGLDPGMDHM SAMKVIDQVQAQNGRVVSFSSVCGGLPAPEAADNPIAYKFSWSPRGVLMAALNAAQYR KNGHVVQVPGEDLLTAAERVNFLPAFALEQIPNRNSLPYADIYNIPDAESVYRGTLRY AGNCSIMHQCRLLGLMNPNPETLPATWPELVAKLKAKKSSLRPDAEAFLTWLGLDDPS ALVGIHISH H257_04342 MRNVCYVILLPWWSSSQQQQQHPPTGTMTTRVNTYENGKVVHIG STGDAVGSAVAKLVAELSHDAIAKSGRFTVALSGGSLPKILEKGLSSLKDGVDFSKWH VFFADERVVPLDHADSNYLACHDALFQHVSIPSSQIYTIAFHDDPAVVAQDYTRQLSQ LWGSELPRFDVILLGMGPDGHTCSLFPGHVLLNESALWVASISDSPKPPPKRITLTYP VVNNAAAVAFVATGESKAPLMRHVLGVEDQTPPLPAARVLPTAGQVHWFIDEAAAAKL H257_04343 MARDLTFFESIAKSFEGFSQWLDAALAPSGHPSRKDVDRSKGPS HPSHMSVANERAIKKYKLDHELYVSTYPSLVPTTVDVQSNTKSASDRAMDDITVRFHR SLYVSKNADIATAHKVHHQTKELHMTNTAEYSRHLARLENRLDKCQQNSVRSRAWNSI AQANVKLSSMDILVRDFELLVRPTPDIVAKILAKLNMLHIAVASAGQNAVSLLVEELH VLEAPSSVCVSRVLVHVCAYLSTRDIAWHCLIVSKAWNAVVAANQLVSRSIRSSHVRM CFWRHAIDAHTRTRDTTNKQLAPFQSNLSPRRCSSSAPRWCFHTLLERAMLDKHNRFH RAIHADVARTTFVQAEQMYPAASSLAATVRLGTDLLATDHDVATLSALQAKLTRVLQA YCQLDPVVGYCHGMTFLGATVLTCAGYDEVASFHMFASAMQHYDMASVFTLPDLPGTK TRLHQLDNLMRLHLPSLHKCLRTHRIHPHMFASGWIMSLFLNEPSLSPASRGIIVDEF FQGGWPRMFRIYLGLLSIHADSHLVTPHASHTLRALVQLPKLLDASLQTVLDQGRNHF TLATSENALAIMAYDPTAAADISDYF H257_04343 MDDITVRFHRSLYVSKNADIATAHKVHHQTKELHMTNTAEYSRH LARLENRLDKCQQNSVRSRAWNSIAQANVKLSSMDILVRDFELLVRPTPDIVAKILAK LNMLHIAVASAGQNAVSLLVEELHVLEAPSSVCVSRVLVHVCAYLSTRDIAWHCLIVS KAWNAVVAANQLVSRSIRSSHVRMCFWRHAIDAHTRTRDTTNKQLAPFQSNLSPRRCS SSAPRWCFHTLLERAMLDKHNRFHRAIHADVARTTFVQAEQMYPAASSLAATVRLGTD LLATDHDVATLSALQAKLTRVLQAYCQLDPVVGYCHGMTFLGATVLTCAGYDEVASFH MFASAMQHYDMASVFTLPDLPGTKTRLHQLDNLMRLHLPSLHKCLRTHRIHPHMFASG WIMSLFLNEPSLSPASRGIIVDEFFQGGWPRMFRIYLGLLSIHADSHLVTPHASHTLR ALVQLPKLLDASLQTVLDQGRNHFTLATSENALAIMAYDPTAAADISDYF H257_04344 MMVASWISAMACLLAAINQVAYADVETHEYEPKDEVVAWVNKIG PFNNPQETYTYNSLPFCKADGLELPEAHALGIGEILEGNELFNSGMQLQFGVDSPKTT LCSQVFSGTEAQKFIDAVDEHYWYQMSVDDLPVWGLVGRVVAADDDPDILNEFSVGDR LIYTHKRFSISHNGPNIIHVNLSYAEVATTIQANKKIEFTYEVTWTPTSIEFDDRFDR YLEDEFFEHQIHWFSIFNSFMMVIFLCGLVALILLRTLRQDYAKFAADDDELLLEPGQ TSSMLKDEGSSGWKLLHGDVFRAPRHLLLFCALLGTGAQLLVLTFLVIVISIVSSLYM KPGGVVSVGLTCYALSSLANGWASGASYHQFFYPRVSKDWIKAMLLSAGLLPSVVFVS IFLINLTSIAYGTTYAIPFVTIVQVILVWFFVSCPLSVLGTILGRHGAAKRGFPCRVN KFPREIPPARWYLRPAVRASHTYFLHLVTHNVVGTGAAHGGAAVWVDLHRDVLYLCLV LELQVLLRVRVYAACVCDPVDCDTVRDDRVYVLSPERGKLPLPLDVVLRRGQYGAVRV CVLGLLLLLQDAHVGVPANEFLLWLYGAVLLCIFHHVRDHWVLWQQRLHQENIQKHQG RVDPVQDVRHATTFGLEPL H257_04344 MMVASWISAMACLLAAINQVAYADVETHEYEPKDEVVAWVNKIG PFNNPQETYTYNSLPFCKADGLELPEAHALGIGEILEGNELFNSGMQLQFGVDSPKTT LCSQVFSGTEAQKFIDAVDEHYWYQMSVDDLPVWGLVGRVVAADDDPDILNEFSVGDR LIYTHKRFSISHNGPNIIHVNLSYAEVATTIQANKKIEFTYEVTWTPTSIEFDDRFDR YLEDEFFEHQIHWFSIFNSFMMVIFLCGLVALILLRTLRQDYAKFAADDDELLLEPGQ TSSMLKDEGSSGWKLLHGDVFRAPRHLLLFCALLGTGAQLLVLTFLVIVISIVSSLYM KPGGVVSVGLTCYALSSLANGWASGASYHQFFYPRVSKDWIKAMLLSAGLLPSVVFVS IFLINLTSIAYGTTYAIPFVTIVQVILVWFFVSCPLSVLGTILGRHGAAKRGFPCRVN KFPREIPPARWYLRPAVLGLLTGVLPFGSIFIEMYFIFASFWNYKFYYVYGFMLLVFV ILSIVTLCVTIVCTYFLLNAENYHYHWTSFYAAGSTALYVFVYSVYFYFCKTHMSGFL QTSFYFGYMALFCFAFFIMCGTIGYFGSSAFTKRIYRNIKVE H257_04345 MKPHPPKFRNMPSDVPAAAEAGGLVASNKPPLLSTWEVVLHLLK GCIGAGALSLPYAFAKVGVVAGPVLYLGVVVICIYNMDLLVHCKQKVSADGMSFGDVA QHILGRRGKTIVNVFLVTTQLSFCCVYFTVVATNLHAILPSRVAQDFHERQLILLVFP VALGLSWIRSLHHITPFSALANGAMFLGIGIVFYYSATYVPPTPVPLPTACSWTSVAE FYGTAVYSFEGIGLVLPLEKDMQDKDRFRQVLRWTMWFVLTLFLCLGELPVLAFGVID NGSMTAVLQQYVPGWPVALANVLLAMACLFTFPIQLYPALEVLEKLLLRHGYFTPSIH SYDDLAPLPVTQWLLHNKSTANSPGTSPTPQVLEDALKHTTQYEVRRTMFRSMLCTTL MLVAVCVPNVGLLISLFGAVGSSMLAVIIPPILYVTLEQANLSWFSWTLHMLVVGGGL VGMVAGSAQAIADIAATFH H257_04345 MKPHPPKFRNMPSDVPAAAEAGGLVASNKPPLLSTWEVVLHLLK GCIGAGALSLPYAFAKVGVVAGPVLYLGVVVICIYNMDLLVHCKQKVSADGMSFGDVA QHILGRRGKTIVNVFLVTTQLSFCCVYFTVVATNLHAILPSRVAQDFHERQLILLVFP VALGLSWIRSLHHITPFSALANGAMFLGIGIVFYYSATYVPPTPVPLPTACSWTSVAE FYGNPCIRTTLCRMIRVGTAVYSFEGIGLVLPLEKDMQDKDRFRQVLRWTMWFVLTLF LCLGELPVLAFGVIDNGSMTAVLQQYVPGWPVALANVLLAMACLFTFPIQLYPALEVL EKLLLRHGYFTPSIHSYDDLAPLPVTQWLLHNKSTANSPGTSPTPQVLEDALKHTTQY EVRRTMFRSMLCTTLMLVAVCVPNVGLLISLFGAVGSSMLAVIIPPILYVTLEQANLS WFSWTLHMLVVGGGLVGMVAGSAQAIADIAATFH H257_04345 MRSCRREWLKTFTNASSSCSCSQSPWACRGSAVCTTSRHFQPLV QPCSYAVIAINPHVVANGAMFLGIGIVFYYSATYVPPTPVPLPTACSWTSVAEFYGTA VYSFEGIGLVLPLEKDMQDKDRFRQVLRWTMWFVLTLFLCLGELPVLAFGVIDNGSMT AVLQQYVPGWPVALANVLLAMACLFTFPIQLYPALEVLEKLLLRHGYFTPSIHSYDDL APLPVTQWLLHNKSTANSPGTSPTPQVLEDALKHTTQYEVRRTMFRSMLCTTLMLVAV CVPNVGLLISLFGAVGSSMLAVIIPPILYVTLEQANLSWFSWTLHMLVVGGGLVGMVA GSAQAIADIAATFH H257_04345 MRSCRREWLKTFTNASSSCSCSQSPWACRGSAVCTTSRHFQPLV QPCSYAVIAINPHVVANGAMFLGIGIVFYYSATYVPPTPVPLPTACSWTSVAEFYGNP CIRTTLCRMIRVGTAVYSFEGIGLVLPLEKDMQDKDRFRQVLRWTMWFVLTLFLCLGE LPVLAFGVIDNGSMTAVLQQYVPGWPVALANVLLAMACLFTFPIQLYPALEVLEKLLL RHGYFTPSIHSYDDLAPLPVTQWLLHNKSTANSPGTSPTPQVLEDALKHTTQYEVRRT MFRSMLCTTLMLVAVCVPNVGLLISLFGAVGSSMLAVIIPPILYVTLEQANLSWFSWT LHMLVVGGGLVGMVAGSAQAIADIAATFH H257_04345 MVGYLEWLKTFTNASSSCSCSQSPWACRGSAVCTTSRHFQPLVQ PCSYAVIAINPHVVANGAMFLGIGIVFYYSATYVPPTPVPLPTACSWTSVAEFYGTAV YSFEGIGLVLPLEKDMQDKDRFRQVLRWTMWFVLTLFLCLGELPVLAFGVIDNGSMTA VLQQYVPGWPVALANVLLAMACLFTFPIQLYPALEVLEKLLLRHGYFTPSIHSYDDLA PLPVTQWLLHNKSTANSPGTSPTPQVLEDALKHTTQYEVRRTMFRSMLCTTLMLVAVC VPNVGLLISLFGAVGSSMLAVIIPPILYVTLEQANLSWFSWTLHMLVVGGGLVGMVAG SAQAIADIAATFH H257_04345 MVGYLEWLKTFTNASSSCSCSQSPWACRGSAVCTTSRHFQPLVQ PCSYAVIAINPHVVANGAMFLGIGIVFYYSATYVPPTPVPLPTACSWTSVAEFYGNPC IRTTLCRMIRVGTAVYSFEGIGLVLPLEKDMQDKDRFRQVLRWTMWFVLTLFLCLGEL PVLAFGVIDNGSMTAVLQQYVPGWPVALANVLLAMACLFTFPIQLYPALEVLEKLLLR HGYFTPSIHSYDDLAPLPVTQWLLHNKSTANSPGTSPTPQVLEDALKHTTQYEVRRTM FRSMLCTTLMLVAVCVPNVGLLISLFGAVGSSMLAVIIPPILYVTLEQANLSWFSWTL HMLVVGGGLVGMVAGSAQAIADIAATFH H257_04345 MKPHPPKFRNMPSDVPAAAEAGGLVASNKPPLLSTWEVVLHLLK GCIGAGALSLPYAFAKVGVVAGPVLYLGVVVICIYNMDLLVHCKQKVSADGMSFGDVA QHILGRRGKTIVNVFLVTTQLSFCCVYFTVVATNLHAILPSRVAQDFHERQLILLVFP VALGLSWIRSLHHITPFSALANGAMFLGIGIVFYYSATYVPPTPVPLPTACSWTSVAE FYGTAVYSFEGIGLVLPLEKDMQDKDRFRQVLRWTMWFVLTLFLCLGELPVLAFGVID NGSMTAVLQQYVPGWPVALVRTTRGESDPCVRLTRGGGSIVGERALGYGVPVYIPHSA LPGAGSAREVAPATWVLHPQHSLVR H257_04345 MKPHPPKFRNMPSDVPAAAEAGGLVASNKPPLLSTWEVVLHLLK GCIGAGALSLPYAFAKVGVVAGPVLYLGVVVICIYNMDLLVHCKQKVSADGMSFGDVA QHILGRRGKTIVNVFLVTTQLSFCCVYFTVVATNLHAILPSRVAQDFHERQLILLVFP VALGLSWIRSLHHITPFSALANGAMFLGIGIVFYYSATYVPPTPVPLPTACSWTSVAE FYGNPCIRTTLCRMIRVGTAVYSFEGIGLVLPLEKDMQDKDRFRQVLRWTMWFVLTLF LCLGELPVLAFGVIDNGSMTAVLQQYVPGWPVALVRTTRGESDPCVRLTRGGGSIVGE RALGYGVPVYIPHSALPGAGSAREVAPATWVLHPQHSLVR H257_04346 MRHGQQERAATTSQPSFEARTLKTAVHAPSTVPPPPIPAVLVGL GRPNQKLDKFRESVNYLNSLEADEDAPGAYKARAHPNLGGLLVARCIARTQLANLDPT RLVLTLVACVDCKSACVQEHDCFCLFVFEFSDSPPHNAVTVRYTCQLDAQVGAYGGAS GLATVRADCSRRFMSAVPWSPAPNVTWTPGDDTGYPLVLGPGLCPAPFLIVEHEWNHR PAAALVHLGRTYALEPQCKAIVLSKIYNRRVGTGRFAGFVLVLLRNVNGMYVHQFLSF GTAPLDAVVIRSIRRALIEPHTALGHAVPTIQLTPHGTVGVDVGQPPVPLDNHLGVSP RELLSVETHPDRVVRRVSDNQLATWTWSEPPPLPMSITIPGGLIPVVHGMADFPGDKP VPAPPNFQAPPLIMIDLMAIVMAHGRATPYAN H257_04346 MVRCVLVIPSSTPPLDCFCLFVFEFSDSPPHNAVTVRYTCQLDA QVGAYGGASGLATVRADCSRRFMSAVPWSPAPNVTWTPGDDTGYPLVLGPGLCPAPFL IVEHEWNHRPAAALVHLGRTYALEPQCKAIVLSKIYNRRVGTGRFAGFVLVLLRNVNG MYVHQFLSFGTAPLDAVVIRSIRRALIEPHTALGHAVPTIQLTPHGTVGVDVGQPPVP LDNHLGVSPRELLSVETHPDRVVRRVSDNQLATWTWSEPPPLPMSITIPGGLIPVVHG MADFPGDKPVPAPPNFQAPPLIMIDLMAIVMAHGRATPYAN H257_04347 MTSLTDDTSISGLLSRMTSFRFSLNDSEGSQATVAAGPPQIQQE IHLKVALVGGNGVGKSSIVRRWLKRPYQAAYHPTIGVDVHTIKHNKRGSNAKERPITY VDVWDVSFVEVDSPAFHELLCDGLDGIFFVFNVHRVSSIAAVDAWLTNLGKFVSSSEI PFYLLSHKADMLQKRVMTSDDIDAYVRTAGFKGWCWTVGRGGLGESDKSPAVLEALDT MVELICEGKSPDELLRPSPPPRAEDIMPPIVPSVVAVPSSVDALVCVPTHAITTLPRH NNADASTSPQDIINQRQSVEFGAEWMFGDHKGQYLVMKSRESMDMIVPHDSSSDVEVT PAPSSHKNDEGGDSEDDDGWRFFAGSLDRVKTERLLSKHGEGAFLVRRKDARTLVLSY QGDHDDAHHVLLAYHDGRYYVGGAPIPSSSSRHNPSPSTAPAPPPPSFSSLAKCIRSV RKYAYRGLTFQRTPARFQVGKPATMDVQPPPPAAPSSWTPSEDTTTTQMHAPQTPVDV PPRQRLEDMTSQFYTRVRHRLDALERSAVVDSAQLDELRQMVEVDSQQGKNNQATVER LWRTFVKDMDVWTQILATLETP H257_04347 MTSLTDDTSISGLLSRMTSFRFSLNDSEGSQATVAAGPPQIQQE IHLKVALVGGNGVGKSSIVRRWLKRPYQAAYHPTIGVDVHTIKHNKRGSNAKERPITY VDVWDVSFVEVDSPAFHELLCDGLDGIFFVFNVHRVSSIAAVDAWLTNLGKFVSSSEI PFYLLSHKADMLQKRVMTSDDIDAYVRTAGFKGWCWTVGRGGLGESDKSPAVLEALDT MVELICEGKSPDELLRPSPPPRAEDIMPPIVPSVVAVPSSVDALVCVPTHAITTLPRH NNADASTSPQDIINQRQSVEFGAEWMFGDHKGQYLVMKSRESMDMIVPHDSSSDVEVT PAPSSHKNDEGGDSEDDDGWRFFAGSLDRVKTERLLSKHGEGAFLVRRKDARTLVLSY QGDHDDAHHVLLAYHDGRYYVGGAPIPSSSSRHNPSPSTAPAPPPPSFSSLAKCIRSV RKYAYRGLTFQRTPARFQVGKPATMDVQPPPPAAPSSWTPSEDTTTTQMHAPQTPVDV PPRQRLEDMTSQFYTRVRHRLDALERSAVVDSAQLDELRQMKWTANKARTTKPP H257_04347 MTSLTDDTSISGLLSRMTSFRFSLNDSEGSQATVAAGPPQIQQE IHLKVALVGGNGVGKSSIVRRWLKRPYQAAYHPTIGVDVHTIKHNKRGSNAKERPITY VDVWDVSFVEVDSPAFHELLCDGLDGIFFVFNVHRVSSIAAVDAWLTNLGKFVSSSEI PFYLLSHKADMLQKRVMTSDDIDAYVRTAGFKGWCWTVGRGGLGESDKSPAVLEALDT MVELICEGKSPDELLRPSPPPRAEDIMPPIVPSVVAVPSSVDALVCVPTHAITTLPRH NNADASTSPQDIINQRQSVEFGAEWMFGDHKGQYLVMKSRESMDMIVPHDSSSDVEVT PAPSSHKNDEGGDSEDDDGWRFFAGSLDRVKTERLLSKHGEGAFLVRRKDARTLVLSY QGDHDDAHHVLLAYHDGRYYVGGAPIPSSSSRHNPSPSTAPAPPPPSFSSLAKCIRSV RKYAYRGLTFQRTPARFQVGKPATMDVQPPPPAAPSSWTPSEDTTTTQMHAPQTPVDV PPRQRLEDMTSQFYTRVRHRLDALERSAVVGIVSCYCILTLLPLTEYGY H257_04347 MTSLTDDTSISGLLSRMTSFRFSLNDSEGSQATVAAGPPQIQQE IHLKVALVGGNGVGKSSIVRRWLKRPYQAAYHPTIGVDVHTIKHNKRGSNAKERPITY VDVWDVSFVEVDSPAFHELLCDGLDGIFFVFNVHRVSSIAAVDAWLTNLGKFVSSSEI PFYLLSHKADMLQKRVMTSDDIDAYVRTAGFKGWCWTVGRGGLGESDKSPAVLEALDT MVELICEGKSPDELLRPSPPPRAEDIMPPIVPSVVAVPSSVDALVCVPTHAITTLPRH NNADASTSPQDIINQRQSVEFGAEWMFGDHKGQYLVMKSRESMDMIVPHDSSSDVEVT PAPSSHKNDEGGDSEDDDGWRFFAGSLDRVKTERLLSKHGEGAFLVRRKDARTLVLSY QGDHDDAHHVLLAYHDGRYYVGGAPIPSSSSRHNPSPSTAPAPPPPSFSSLAKCIRSV RKYAYRGLTFQRTPARFQVGKPATMDVQPPPPAAPSSWTPSEDTTTTQMHAPQTPVDV PPRQRLEDMTSQFYTRVRHRLDALERSAVVGIVSCYCILTLLPLTEYGY H257_04348 MATYYEILQVGEQATDHDIKQAYRKLAIKWHPDKNKDPQAEGMF KKIGEAYQVLSDQTKRDEYDYARKYGNGRPGSRGGRGGSTAAFYTDDTFQPFSFFDAQ DLFRAFFGGDDPFAQMHQRRSRGSDPFTRGSMLDDLFDDSHMGGSAFGGFGGFGGFGN IVMSSSSFGNGMMLSSSTSSSSSSVRDRNGQVVTKTTSTIHHADGRVETKSDEYVNGK LTQSTSNIAPASKRLAGAGRMQMQLGDRRRSDFY H257_04349 MSEPDDLFTLKNQFWVGNYRNAIQEATVLNHVSEATKLDRDIYV HRSHLGLRNYAAVLSAIPDDVASISLNAIKLYATYLNGGDAEITLLTVREWLGSQHSE NPHLLLVAGLIFMREHKFSDALSAFLKGKTLEHSMYAVLIYLKMDRVDLAEKQVGDMK RIDEDATTTQLALAWTLVARGGNACDEAALHFQELGDRFGASAWLLNGSAAAFMGLAN FAEADRLLLEAVSKDPTFEDTYVNLIATAQHLKKGQDTIQQYLAQLQQVAPTNAWLES YLRLESGFNRLAATYV H257_04350 MAFRAALQRAGRQAVQKMQVAPAPSMYAMRGFATYQKKNDDGSD GFDPLEGDVERVFHTAFDTWEGNDLPEIEEKFENPSWWETDFPEGFTDDMKHEVEMLQ VFEDLVPYMDRSWESTIHPLLDGSKIAMQMNVTLEDFDNKLFLDDQTTYDTKVTMEVP LSSLSLTDAQKEIFIQLCGPRYNKNKKLFKFTEDRYEKRVYNHKRLCEILRDLVTASV EVSAQTPTA H257_04351 MTRSARGAKAVPTSSEKSKQKEKGGASTKRAAAHSGKSRRKGTL PFPEEVLLLVLPYLTWRDTYRVCGVSRTWQAAHEASLKHASLVWTSYVFQGDSWDEML DKLQPQEPVFATPLVPQMAWVVVSGQPHTFRRMSGWKKLLDVITGRHLLPATCQLVCA YSDAGMIGHLSGEGIPPVELQEDMIGDGMAIGITVGHLPHTSVTLTIPEKDEIKAAVR DIQAATAPSACAGVGLPHDTSSAILLSTNFHQSVNLVRSLHYLHPSLGSSVVGGLVSF TDRCVPLVYRHSSFDTSTRSWQSHLEPATNLIVGFSGRVRATPFVSLGFTVCSPILQC AGSVEDESFELLRMYDAVHVVGPTSTTSTQVVIDPLEVLRDLPSPHVLLHLYMAESAA ALETFLAAPTTMLSGGVTRIEVMCDVDKGILLSHSTGDPSDHWPVGSYGVFCAQTVAA ARQDFLASLHHAQATVAAADGVAVGALTFPCVARGFPFYEHPNVEADMFDTVFPNMPL TGVFVGGEIGPVAIPGGHCLASAPEVQQLTTCGALFYTTPL H257_04352 MDELPPPAAACAAGTPAVWMAPTWSEHPVDANFYGNASTSTTRD SPYDAVFSPVNKHKGMHVMSDIFAKKVDLTCPSNNNDDDSLSKSAATGTMRSTQKAVV ERPASHPVHALRELTRDCLGANPQGGMTPPSMHLTFLRRKVESSLDDVAATMATKQQQ FEATVAAAIEQQSKPHAASFPELLDLLFTCSAADAPATMPQADGLPRLCGIVTRAAHH RCMNQLHRRQRYLRSVHHILGGPHVSDVGVTSKEVASMASVDDLLRFDLKLHLLSTQR DWLHQLHLARRQSMSTTFSNHHDHRSSSSPPAAPRPPQSKHIHMKKLLEAASWYRWLQ QHAHEDNNNADDDDKPALEHLADMLSEDACLSEYVDLMGTNEWRSVVQAQFENLVFAT LERRVSRRVDKCGFDAAALYCEPPAAFRDNIHPSQRTKAADYRNVHADWNWLRDPQPA QVMAFVGSFTRHVRGAFAIPDDVHKSLYVFIQRMLFPRITMLCYNGAILTECARKDTL WRNQQAALRLQNHGGGVSLEQLGGSTRTAEKLRRAWPPPSSSMFPRARAAFAGMHSVV PCDLLDEMMHGVVVLHDEAAQIFGTTRIPVDTFFPLFSFVLLHTDLPFVHAQLHLLEQ YALCNPPGDLNPTRNGEESYYVYCMHAAVEHVCSQVVGPLD H257_04353 MSGKGKATGGRGKKGKSSSRSSKAGLQFPVGRVARFLKKGRFAQ RIGGGAPVYLAAVLEYLCAEILELAGNAARDNKKSRIIPRHIQLAVRNDEELNKLLGD VTIASGGVLPNIHNILLPKKSATAGTEGKKVKASA H257_04354 MAKTPSKKSAKAPKKAGEKGKSRKTKRIESYSTYIYKVLKQVHP ETGISKRGMSIMNSFINDIFERIASEAGKLSRYNKKSTLSSREIQTAVRLMLPGELAK HAVSEGTKAVTKFTSSA H257_04355 MVGDWVAYLWMVAAAATFCLLHFVTAPFGRHTSSAWGPTLNNRL GWFVMEVPSLVIMARAWWLFVSDRESNFVWLPFALWTAHYWNRAVVFPLRIKSTPKRM PVVVVAAAIGFNLVNATLNATYLLSTEAMYSSAWLHHPRTLTGLALFLIGMSINVTTD NHLISLRSNGSTGYSIPRGFLFEYVTCANLLGECIEWTGFAIATWNLAGLSFMIWTWA NLVPRAASHHAWCVNEFKDYPINRRRIIPFVY H257_04356 MSVQINRTTPSKQPLMWRGTSSNDEELLPLVDSPAHSYTTSISV QQTPKADLPPQQTGTMQPPMTAKFNPTEHHLSAESVSFAFSPTSHPQLPRLAVPLCDD LTHLNGQLEPEYIHLSILDPFFDVTPSRLHLAFHEADPTDSGVLTPDQFRAALESVGI RCRDEAVFQTLLGSMSHGPAISKADFERIVQKLKLGNLFEEGTMALFRGAENSNQSRI EVCDFSSKRLALYKPELRSFFTTEPAPWVSCRWINMQGHDHLNLKRLAIKYRLHPLAM EDTIELNERPKFDTYATHRFVVFPILHHTLRHRPTAADADLAQPPPPPSTGRLEKANS KTWLPASTRARRRTLSSETDEHFALTVEHVAIFLVGDHTLITVKPSSEDDASIWQAVH RRLASTYSKVRHNDANFLLYSVLDVIVDQMTGVMDDVRSYLMHLEQQLDTLLHRFDIE TLRDVHSELGQLPRVIKPTRDVLKSLLASRDLPDTTKAYLRDVHDHLNHILDEIEWQF QMCKSMTEEYRDAKATQTNYVVYVLTIVTTVFLPAQFLTGVYGMNFGIVYCTYPPIYI YIYIEHGWVLYGRLHARAAFPVRLRCILDDFAQLHHLDALLLPPQAVGLMKMTRPKQL RLSDVAHRPIHAMVLRLYRYVRHTTSLEFTVRRREMFQILSRSILLYYY H257_04356 MSVQINRTTPSKQPLMWRGTSSNDEELLPLVDSPAHSYTTSISV QQTPKADLPPQQTGTMQPPMTAKFNPTEHHLSAESVSFAFSPTSHPQLPRLAVPLCDD LTHLNGQLEPEYIHLSILDPFFDVTPSRLHLAFHEADPTDSGVLTPDQFRAALESVGI RCRDEAVFQTLLGSMSHGPAISKADFERIVQKLKLGNLFEEGTMALFRGAENSNQSRI EVCDFSSKRLALYKPELRSFFTTEPAPWVSCRWINMQGHDHLNLKRLAIKYRLHPLAM EDTIELNERPKFDTYATHRFVVFPILHHTLRHRPTAADADLAQPPPPPSTGRLEKANS KTWLPASTRARRRTLSSETDEHFALTVEHVAIFLVGDHTLITVKPSSEDDASIWQAVH RRLASTYSKVRHNDANFLLYSVLDVIVDQMTGVMDDVRSYLMHLEQQLDTLLHRFDIE TLRDVHSELGQLPRVIKPTRDVLKSLLASRDLPDTTKAYLRDVHDHLNHILDEIEWQF QMCKSMTEEYRDAKATQTNYVVYVLTIVTTVFLPAQFLTGVYGMNFDYMPELHSPYGY VAFWTILLSCTISMHFYFRHKQWV H257_04356 MSVFDYFRRGTSSNDEELLPLVDSPAHSYTTSISVQQTPKADLP PQQTGTMQPPMTAKFNPTEHHLSAESVSFAFSPTSHPQLPRLAVPLCDDLTHLNGQLE PEYIHLSILDPFFDVTPSRLHLAFHEADPTDSGVLTPDQFRAALESVGIRCRDEAVFQ TLLGSMSHGPAISKADFERIVQKLKLGNLFEEGTMALFRGAENSNQSRIEVCDFSSKR LALYKPELRSFFTTEPAPWVSCRWINMQGHDHLNLKRLAIKYRLHPLAMEDTIELNER PKFDTYATHRFVVFPILHHTLRHRPTAADADLAQPPPPPSTGRLEKANSKTWLPASTR ARRRTLSSETDEHFALTVEHVAIFLVGDHTLITVKPSSEDDASIWQAVHRRLASTYSK VRHNDANFLLYSVLDVIVDQMTGVMDDVRSYLMHLEQQLDTLLHRFDIETLRDVHSEL GQLPRVIKPTRDVLKSLLASRDLPDTTKAYLRDVHDHLNHILDEIEWQFQMCKSMTEE YRDAKATQTNYVVYVLTIVTTVFLPAQFLTGVYGMNFDYMPELHSPYGYVAFWTILLS CTISMHFYFRHKQWV H257_04357 MHTYKAQAAGVLGNIRLGDFTILQPTNDLALQSVVDFTGAVHAS QDEPVVLESLRPTSGGLRILAVPSLSCQSQGADYANVLDALHANFFATCRPPEDPHWS IIMDHDRGSMAFITWETTSPLNSSVGPTAFHLVVDVQPSRGWSQRRLSSPPPCFVVHS SVTFSLIEKFQAQ H257_04358 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGV KRISGLIYEETRGVLKVFLENVIRDSVTYTEHARRKTVTAMDVVYALKRQGRTLYGFG G H257_04359 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRP GTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQGSAVLALQEAAEAYLV GLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERS H257_04360 MSGDQGGGVPYIGSRISLISKTDIRYEGLLFNIDTRQSMVALQN VRSFGTEGRRPDHIPPSDTVLQYATFKASEIKDLHVCEAAAPPPLPPKQQKHVAPPPP PSPKALVQPPPQPVTVAQQPPFPQPHRAPPPLPKQPVAQPGSASQYQQPPLPSAARPA SPKRTFVPPTSSALLTPGAMSKSNTSHAVQHVEQHQSDSQRQQQPSRQVDHPPRQQPS RQEYAATSSAYHNVNPPAPSSSSQSNAASRTIPGMGGHLLRRKERRVNNETGLPEGGA TATGGEFDFAGGLGDFDKEKEFSKLTVQETSRPVVKGSYQKSSFFDTISCDALDRLEG NGGGRMRAQEERKLNTETFGAVSLNNRRPYRGNRRNGNGNGGYNGGYNANGNGQRNNF YAGQPQGGSQPRHHNGPDGNRGHHRTSNNLQQAQPRQQYGGNRHGQAAATPNE H257_04361 MSLFTKKDLGLLLPDTEPGDAMSLRHSRRLEETEEYEAPLPKVD STKKVARYRAGHVPEFAVGELMPDDGPRFLAGSKKPAVSSREKSITVPNERRKIVAQI VKASSLAIAPQPSAPKFAAPLIDSESDASDDDVDRRARLRSKILAQKRDEPEQLLSVS TEALALETTPGPSIAVAPSQLASSESESSEWETDTDSSDGEQMLKPIFVPKRARDTIR EQEEKLRAMEERDQALAERALEKKAESRKLVAEVLLREEGDAAQRLQDDATDSEMPDD TDGLHPEKEQQDWELREMRRLKRDRDARDEREREAAETLRRRHMTDEEREKEDAALGK QTEKEKKKWKFLQKYYHKGAFYVDDKSIKTDTDVRRRDADEPTLEDKTNRENLPTVMQ VKNFGKSGRTKYTHLTDQDTTDHFTALKNDELRAQYASKLSGLKPINPAPFKRQRR H257_04362 MAEEQELLDYGSDEEVAVDNKANAKETKKGHYVGIHSAGFKDFL LKPEALRAVVDCGFEHPSEVQHECIPQAVLGMDIICQAKSGMGKTAVFVLATLHQLEP VENQISVVVLCHTRELAFQIAHEYERFSKYLPDVKTAVFYGGVPIVQNRETLKNNPPH IVVGTPGRVLGLIKEKTLKLDKVKHFVMDECDKLLDAVDMRRDVQEIFKSTPHDKQVM LFSATLSKEIRPVCRKFCQDPMEIYVDDETKLTLHGLQQYFIKLQESEKNRKLNDLLD ALEFNQVVIFVSKKNRARELSRLLNECNFPSICITSDLQQEERIKRYKSFKDFQKRIL VTTDLFGRGMDIERVNIVVNYDFPNDSDQYLHRVGRAGRFGTKGLSISFISSNEDSDM LAKVQARFEVNIPELPDQIDISTYMAT H257_04363 MTRATMSRPPLSRSRSFRLRRRAALAGVGPGVELLDLLLEMPYW VVWSVWNALLSTESTTSVNVTMGMNLIERNMSSVRKRASACGTREPPEVVPPSPRRRD DDFFRNNARFLGASPSSVTISAVAWLSVHDVPLASCSTWSSFENALLVNPSQFSVSSV TKYNSVIMQ H257_04364 MIDKRRTAAACVTVRSAAGLMPHFQRPFLKFVYEKPSTHKRVSA KTDEADAQRLDGTDTAVAAWRDAIVCVPVLAQSLSIELWNAHAQHDVYLGHARIHLDT DGPPEVGPMWVAVNQAVYEGVHIKRPVNLQVIVTYMDSSAVFPSQAPPSTSELHSVVH PSFQFKIPAREIDWSTIAATNVSEIFFLGNVEALLAHQDDVLYGNTLASLDMVVDKEV EAAFQMCQYSAQYLHQCVEFLSQRCATYVSSRDELLQRQDNSTHTLDAKKRQKRQLEK QANECDVLIAAYEAIAKTLPDIPSALPSVLIPTPSNHPPPTPREAQIKMEPPPASSHP PSDATIPTDAAAAAPSSSPSKAPMLMTYEERMEKNRLAKEATRKDRIAAEKHRLTQIM ADQAKQREWKQTLVEQDQALVHRSACRLQTWLCHVTFLRRYAVKRSQYAAAVVIQCLV RTRQARRMYRRRTDERRQRNEALAIHAAEQILEHQMHVATAKLQHDKEMEPILRLWTD IQQAFQRASSKESNVFSFFDRRHAGQVDRAVFRRQLLQLGFDVPRQVVRRLIQLIHSR ANVQTDRLVVTADQFTKAFDLTTCIAIPPDVVAEVSPPPWTSANTSPESNTVLEMTEA SPALAPAVPTINSVEGISMTFRQLRERIVHAARAQFGGGERSSVTFASLRAALVKLFE SFDRHGSGDVALADFKACLETQLHVAMDAPNWEYVRECFDADGSGSVNIAEFVAFAFA DASVDEMGVLGYQLRDAILHRVKAARKDAAPTIEDAVRLVFHPVFHRRDDATFADFCH ALAQLQLGFTLGQLSRLVLRLDHDKNHLISLDELLGWLKLKRSNDTRANTTHDEPPRP NTEPSVSAASAAVKQLRRHLVELAGEAKPHAIKHLFESIDTNQSAKLSASELHAYLGD DTAPLSVVEAAVACMDARRQSVVSKADFISFCLDTTRAATDEEVGVVVEQCRTQLCDM CADAAGLRRWFGALAQHQGKVRTAEFKRALKAMVHLPEHELDGVVRRLDFDGSGWISD KEFRAWVHPVRDIEVLLTLVERHPAMQQMGAALFAAFDVDGNGV H257_04365 MLPRHALLHAARCAPKTASLTRSFAAHATIDESTLNGISFKLTD TQREFQQLARKFAREEMIPLEKHYDQTMEYPKPIFDKAWELGLVNGHIPEKYGGLGLG SLDGCIIGEELAYGCTGMMTAIEANHLALAPVLVAGNDAQCAKYFGRLIDAPRQAAYC VTEPGAGSDVAAAKTTAVKKGKSWVINGSKMWITNGGVADWYFVLAKTDSGASAGSAF TGFIVDANTPGITKGRKEINLGQRCSDTRGITFEDVVVPEENVLGSPGYGFKIAMQAF DHTRPPVAIGAVGLARRAFDEAKKYALERKTMGAPIATHQAIMFMLADMATGIEAARL LTYKAAYEIDCGRKNTMFASMAKRFAGDLANEVAANAVQIFGGAGFNTEYPVEKLFRD AKIYQIYEGTSQIQRLIIGKEVLSRANLDP H257_04366 MLKMRRAAYSTAAKVDPRFFFDAAPGTLLPAQKSRVLFGRMQSE QLTVLAPTLMSKVLLVRDRDSGADKRSQYAQFLLQKANIPCFMLTLQRDCATIDSINY GRDYARRVGANGVVAFGGGNVMDTSRAIAALMFNEGNAEDLAAGPLPLAQKVAPLIVM PTVAGCGSELSTEALVLDEGAEAKLSFTKTPIVADAVIIDPLLTVSVPLGVTAQGALT SMGQCIESFLANQGNPKVDELCLNGIRAAAEALATPLQDGKIHLNDIGFRERLSYASL CSSLVSLSTGFGAAHSLGIGVGGLSDSPHMQVCAAFIPLVITRYQTILADNQGDDHFD KLKMRLDAVQNILHVVTKFKTATLSDWFSQVGWHLVQLREYSASRLNIPDAHQLGFDD SLVQTIVDRATDRLEDCGNGASQDVTSVFEKTDLDTIMQGAVVAPPSAPPTIPPATPS VPV H257_04366 MLKMRRAAYSTAAKVDPRFFFDAAPGTLLPAQKSRVLFGRMQSE QLTVLAPTLMSKVLLVRDRDSGADKRSQYAQFLLQKANIPCFMLTLQRDCATIDSINY GRDYARRVGANGVVAFGGGNVMDTSRAIAALMFNEGNAEDLAAGPLPLAQKVAPLIVM PTVAGCGSELSTEALVLDEGAEAKLSFTKTPIVADAVIIDPLLTVSVPLGVTAQGALT SMGQCIESFLANQGNPKVDELCLNGIRAAAEALATPLQDGKIHLNDIGFRERLSYASL CSSLVSLSTGFGAAHSLGIGVGGLSDSPHMQVCAAFIPLVITRYQTILADNQGDDHFD KLKMRLDAVQNILHVVTKFKTATLSDWFSQVGCRLNIPDAHQLGFDDSLVQTIVDRAT DRLEDCGNGASQDVTSVFEKTDLDTIMQGAVVAPPSAPPTIPPATPSVPV H257_04366 MLKMRRAAYSTAAKVDPRFFFDAAPGTLLPAQKSRVLFGRMQSE QLTVLAPTLMSKVLLVRDRDSGADKRSQYAQFLLQKANIPCFMLTLQRDCATIDSINY GRDYARRVGANGVVAFGGGNVMDTSRAIAALMFNEGNAEDLAAGPLPLAQKVAPLIVM PTVAGCGSELSTEALVLDEGAEAKLSFTKTPIVADAVIIDPLLTVSVPLGVTAQGALT SMGQCIESFLANQGNPKVDELCLNGIRAAAEALATPLQDGKIHLNDIGFRERLSYASL CSSLVSLSTGFGAAHSLGIGVGGLSDSPHMQVCAAFIPLVITRYQTILADNQGDDHFD KLVRAYSLHIETNGGF H257_04367 MIRAAALFAIAAVAAANPQYGEPTPAPYTKPTPEPTPAPYTKPT PEPTPAPYTKPTPAPYTKPTTAPVTTPAPEPTPAPTPAPTYYVPTTTPPPPFVPPSED SYGPAPEPVCTSTYKPNSAKYLAYTCSSLYATASKWATNNCKAYYQVTAAYDDKALYQ AHLCTYWSQRSVFRVVTSCFIEAHLRNEFITKYGKDGPGKWFCKYSEFVNQVKDCYSS SLHYYPLGNSLNSLEKTVVALNPPAKDTPPFEFTGASVALCAYYNKDQVYNKAT H257_04368 MLLRQQAWRTAAMSSSRCVSSVAGLGVPSTQTSPPRGSVVVITS GKGGVGKTTCAASIGYGLAQSGYRTCLIDFDIGLRNLDIHLGCERRVIFDFINVIDGN CRLNQALIKDKRLDKLSLLAASQTRDKTALTEDGVAKVLNELKTQFDYIVCDSPAGIE SGAHHAMFFADEAIIVTNPELSSCRDSDKMIGFIASKSLRAQQGRSPVVQRLLINRFD ASRAAKDDCLSVEDIQEMLALPLVGVIPESVQVLNASNMGQPVIASKDDKAAVAFEDT VARFLGDDRPMKFLVPDKPTSIFGRLFA H257_04369 MAKKDKKAAAAKKDEKKARQELKQQKINKKKASKEAKDAGEEDI EAILQEFMKKDAAKVAIAVVPSLQPSPRANFSLSSMVNGDLLMFGGEYFDGECNVCYN ELYRWNLEANEWKLISSPNTPPPRCSHQSVVYRDHLYVFGGEFATADQFYHYRDLWRL DLKTNSWECLEGKGGPSPRSGHRMTVWRNYLVVFGGFYEAARETKWFNDLFLFNFADL KWKKISLPVHKASPAPRSGCQLAVMASNDTIFMYGGYAKVKNVGEKAEGKVYTDIWAL HMAPIIKAQDPTWEKLSRKGHAPSPRSGATMAVYKNRALLFGGVFDEEGRRHELKSVF YNDMFAYDMERKRWYEYRLRNKKEPGAKRRRQKAKAGDNGANDNGDDDDSGDDDSDDG DESDDGETAKEEAKRLNQFGYVGADGNIVYLEDDDEEEKEEEKEEVEDMVKKDVDESK HDDDHHDVVEAVVLPPKEPAQLEPVPQDKDEVAAVRMPLPRINPALIVRGNTFFVYGG VLEDGDREITLDDCWSLDLKRMDAWVEVLPGTMAEQLWKGDVSDTEDSAYDSDESDDD DDDDEFTEYVEPIDEEEQDKPPAPSEASIAVARAVDVLDGTADESAKKSKKKKNDRKA IRHEIETLQDQLQLADDSQTPLPGENLRDFFARTANHWATQVAHLPDTFERQLSVKDI KREGFAMAEVRYNELLPILERLNELEAEQKKAEEDQKDKKTAKKGGRDATSRR H257_04369 MAKKDKKAAAAKKDEKKARQELKQQKINKKKASKEAKDAGEEDI EAILQEFMKKDAAKVAIAVVPSLQPSPRANFSLSSMVNGDLLMFGGEYFDGECNVCYN ELYRWNLEANEWKLISSPNTPPPRCSHQSVVYRDHLYVFGGEFATADQFYHYRDLWRL DLKTNSWECLEGKGGPSPRSGHRMTVWRNYLVVFGGFYEAARETKWFNDLFLFNFADL KWKKISLPVHKASPAPRSGCQLAVMASNDTIFMYGGYAKVKNVGEKAEGKVYTDIWAL HMAPIIKAQDPTWEKLSRKGHAPSPRSGATMAVYKNRALLFGGVFDEEGRRHELKSVF YNDMFAYDMERKRWYEYRLRNKKEPGAKRRRQKAKAGDNGANDNGDDDDSGDDDSDDG DESDDGETAKEEAKRLNQFGYVGADGNIVYLEDDDEEEKEEEKEEVEDMVKKDVDESK HDDDHHDVVEAVVLPPKEPAQLEPVPQDKDEVAAVRMPLPRINPALIVRGNTFFVYGG VLEDGDREITLDDCWSLDLKRMDAWVEVLPGTMAEQLWKGDVSDTEDSAYDSDESDDD DDDDEFTEYVEPIDEEEQDKPPAPSEASIAVARAVDVLDGTADESAKKSKKKKNDRYG VMLYIRFTVFYSLIWFYQLETLVWVEKQFGTRLKHYKTSCSSRMTARRRCRGRTSGTS LPGQPTIGLRKWRTSPTHLSGSSVSKTSSAKGSPWPKCATTSSCQFWSD H257_04370 MPFTAVVLDVSHREGEDNLLYATKSHDESLLTLRGMLAASAGAC RTLFHECIVHASLSPDVHAAYVDDSDVLLVLMHASPSATCLVTASLMEQFVQRSINII RAVCGPRGPKLSNWPQPRLDALFESLFRRFDLETTECMMHSLFPQSVPYNQMPLDRLT TLHGLLSVLPNTCVGRALFWRSELIHTELDGCQTSLVFECLTSQDRHDVPCTTTVIYL NGNQFKLVIAGSSTTSDPLDGWSLCALFCVGGDDAGTDGLTTHDVAATTALLETITMA LPWPHPATASKDAVEAVAYDIVGRMVLSSSSVSSQVSWRRVFFHHVGLMRAEFAHAQT MHDMVHAEVVDQPHHFPLPPGDPLHDDDGGTGPHDDSSLLSSRWSRFRPPPILSHPLT QVMTRVQNGDLWIVGHRYATYELYALVHDTDKSSTAVIMELVHEAWDHLVAVPPTANP MGFVL H257_04370 MNFAVETTECMMHSLFPQSVPYNQMPLDRLTTLHGLLSVLPNTC VGRALFWRSELIHTELDGCQTSLVFECLTSQDRHDVPCTTTVIYLNGNQFKLVIAGSS TTSDPLDGWSLCALFCVGGDDAGTDGLTTHDVAATTALLETITMALPWPHPATASKDA VEAVAYDIVGRMVLSSSSVSSQVSWRRVFFHHVGLMRAEFAHAQTMHDMVHAEVVDQP HHFPLPPGDPLHDDDGGTGPHDDSSLLSSRWSRFRPPPILSHPLTQVMTRVQNGDLWI VGHRYATYELYALVHDTDKSSTAVIMELVHEAWDHLVAVPPTANPMGFVL H257_04371 MEQIFFRMHVRVSRTLSRLAGGKTRIAVETALFTTAMGLLATLV VLHLNFVSPRGQYGCLGQYMPPTLPPLMRVAIESDPSSWIHPVGWLRHRVPAKPNRNA FVFAAHKGLLTLLEFPEATTSTNLSLVDVTIPSSSACFGLKHMFEHSPAWSFFLDKVV GYDTIVINQMVHIANGRGFLRHELSKDVYNLNFATEFHATTATLHSRMAFKLGVLCTT LFLFFSTTTLVSFILRETQQRMLRFTLSLQHHIRHRMPYIKLVFSHIIESLVFVPIMV GMLFFLFEFFKDRLLAFMVMSVVWLCELYSVICVRTWLSLTFFPPVFLSLFALFHVYF FCFPFGFSYVALWTTVAFLSHQMLLFLNRFELPALRDGVVSAQWPRQFVVASSTVESA H257_04371 MEQIFFRMHVRVSRTLSRLAGGKTRIAVETALFTTAMGLLATLV VLHLNFVSPRGQYGCLGQYMPPTLPPLMRVAIESDPSSWIHPVGWLRHRVPAKPNRNA FVFAAHKGLLTLLEFPEATTSTNLSLVDVTIPSSSACFGLKHMFEHSPAWSFFLDKVV GYDTIVINQMVHIANGRGFLRHELSKDVYNLNFATEFHATTATLHSRMAFKLGVLCTT LFLFFSTTTLVSFILRETQQRMLRFTLSLQHHIRHRMPYIKLVFSHIIESLVFVPIMV GMLFFLFEFFKDRLLAFMVMSVVWLCELYSVICVRTWLSLTFFPPVFLSLFALFHVYF FCFPFGFSYVALWTTVAFLSHQMLLFLNRFELPALRDGVVSAQWPRQFVVASSTVVES A H257_04371 MEQIFFRMHVRVSRTLSRLAGGKTRIAVETALFTTAMGLLATLV VLHLNFVSPRGQYGCLGQYMPPTLPPLMRVAIESDPSSWIHPVVRNTSSSSWLNVSQG WLRHRVPAKPNRNAFVFAAHKGLLTLLEFPEATTSTNLSLVDVTIPSSSACFGLKHMF EHSPAWSFFLDKVVGYDTIVINQMVHIANGRGFLRHELSKDVYNLNFATEFHATTATL HSRMAFKLGVLCTTLFLFFSTTTLVSFILRETQQRMLRFTLSLQHHIRHRMPYIKLVF SHIIESLVFVPIMVGMLFFLFEFFKDRLLAFMVMSVVWLCELYSVICVRTWLSLTFFP PVFLSLFALFHVYFFCFPFGFSYVALWTTVAFLSHQMLLFLNRFELPALRDGVVSAQW PRQFVVASSTVESA H257_04371 MPPTLPPLMRVAIESDPSSWIHPVGWLRHRVPAKPNRNAFVFAA HKGLLTLLEFPEATTSTNLSLVDVTIPSSSACFGLKHMFEHSPAWSFFLDKVVGYDTI VINQMVHIANGRGFLRHELSKDVYNLNFATEFHATTATLHSRMAFKLGVLCTTLFLFF STTTLVSFILRETQQRMLRFTLSLQHHIRHRMPYIKLVFSHIIESLVFVPIMVGMLFF LFEFFKDRLLAFMVMSVVWLCELYSVICVRTWLSLTFFPPVFLSLFALFHVYFFCFPF GFSYVALWTTVAFLSHQMLLFLNRFELPALRDGVVSAQWPRQFVVASSTVESA H257_04371 MPPTLPPLMRVAIESDPSSWIHPVVRNTSSSSWLNVSQGWLRHR VPAKPNRNAFVFAAHKGLLTLLEFPEATTSTNLSLVDVTIPSSSACFGLKHMFEHSPA WSFFLDKVVGYDTIVINQMVHIANGRGFLRHELSKDVYNLNFATEFHATTATLHSRMA FKLGVLCTTLFLFFSTTTLVSFILRETQQRMLRFTLSLQHHIRHRMPYIKLVFSHIIE SLVFVPIMVGMLFFLFEFFKDRLLAFMVMSVVWLCELYSVICVRTWLSLTFFPPVFLS LFALFHVYFFCFPFGFSYVALWTTVAFLSHQMLLFLNRFELPALRDGVVSAQWPRQFV VASSTVESA H257_04371 MEQIFFRMHVRVSRTLSRLAGGKTRIAVETALFTTAMGLLATLV VLHLNFVSPRGQYGCLGQYMPPTLPPLMRVAIESDPSSWIHPVGWLRHRVPAKPNRNA FVFAAHKGLLTLLEFPEATTSTNLSLVDVTIPSSSACFGLKHMFEHSPAWSFFLDKVV GYDTIVINQMVHIANGRGFLRHELSKDVYNLNFATEFHATTATLHSRMAFKLGVLCTT LFLFFSTTTLVSFILRETQQRMLRFTLSLQHHIRHRMPYIKLVFSHIIESLVFVPIMV GMLFFLFEFFKDRLLAFMVMSVVWLCELYSVICVRTWLSLTFFPPVFLSLFALFHVYF FCFPFGFSYVALWTTVAFLSHQMLLFLNRFELPALRDGVVSAQWPRQFVVASSTGTVF EMGCSFGCFHASTQ H257_04371 MEQIFFRMHVRVSRTLSRLAGGKTRIAVETALFTTAMGLLATLV VLHLNFVSPRGQYGCLGQYMPPTLPPLMRVAIESDPSSWIHPVVRNTSSSSWLNVSQG WLRHRVPAKPNRNAFVFAAHKGLLTLLEFPEATTSTNLSLVDVTIPSSSACFGLKHMF EHSPAWSFFLDKVVGYDTIVINQMVHIANGRGFLRHELSKDVYNLNFATEFHATTATL HSRMAFKLGVLCTTLFLFFSTTTLVSFILRETQQRMLRFTLSLQHHIRHRMPYIKLVF SHIIESLVFVPIMVGMLFFLFEFFKDRLLAFMVMSVVWLCELYSVICVRTWLSLTFFP PVFLSLFALFHVYFFCFPFGFSYVALWTTVAFLSHQMLLFLNRFELPALRDGVVSAQW PRQFVVASSTGTVFEMGCSFGCFHASTQ H257_04372 MQGAVIEETWEMMHQTPINAYCYNPTANCFVTTGTSIHPHGVCS SFILHDIDERTIKLWNTEGEIRNVTLPARTSYLIQTIEYISSRNMYMASALDGSLRFY DDTLVELASIFTHRATILCLVFDEPRNRILSGGIDGCSAWLLRGKDTEGYDDSHVIRN PSYQVAPLDLFNKTGTSWVRRMKIDADSNRLLVLSENTLYVYNLADAAHVDTYKKLVK GKCGAITDFLVFKKKSIVASCMDGSIYVVTIYPRSTVAVFKEHTKTVTSLALDPISGL LFSSSLDNSIRMWDLDLLRNVHQLRLDTPLSSLFVVPQTNPVVFACQARQAMKLLTLK HTLKEHSTLASPIAILQHVTAPSKPKRHASPGKVAMLRRSTYKTPSMRIVADALTEQR KLSSSIHDNHVVSICLDKSIRIFAGADMAVPATMYVPDDAQDVVGAAFNPYRDLLYIL MRKHILVFDVVQDIVTPSHIVDVDNRTVKCIGICLVAPLFYAKPRHSQAAASRRRLNS IVDFIRKNPNDDNDMATTAADAWVVCGTDKGELLFSATSHTSLDEAPVQQAHSSAVSV VAFTSIAAAILVTYGADKAFCFWRMTPRVTRVGSLTMGDDPTCIHVTPASHLVVCGFE DGRVDFVDFADAEPLVVTSEVNHAALVTAADSCDPVHVLVTTSFDMTLKVWDQQKTLL REVQMATPLTSLCFTNAFADLFVGMQAKMFSISRQDVLPTTLPLPRPARTPAAPKLAG SRKSSSNRRRSSADSSGSADPSPSRTVGTYTVPVELDSGDEASDEFVDDHDEDHPKKN DTPSMPLPRAASPTRMRRHVQVRTADVVLYSPPVLRHISTPDNNTTVTPPASESIPSD PTPADPTTTLVPIYDSRQFHKPTQPVSEAMLRYRDRKDRQAQQASPKFKPTKPHTPST IRLVKPECPQHADEQNSSTFTAVSPTFTGGSSRPIQRTPRKLWNAIGAEDRRLIVLQR TAMAMDKGGSGGL H257_04372 MQGAVIEETWEMMHQTPINAYCYNPTANCFVTTDERTIKLWNTE GEIRNVTLPARTSYLIQTIEYISSRNMYMASALDGSLRFYDDTLVELASIFTHRATIL CLVFDEPRNRILSGGIDGCSAWLLRGKDTEGYDDSHVIRNPSYQVAPLDLFNKTGTSW VRRMKIDADSNRLLVLSENTLYVYNLADAAHVDTYKKLVKGKCGAITDFLVFKKKSIV ASCMDGSIYVVTIYPRSTVAVFKEHTKTVTSLALDPISGLLFSSSLDNSIRMWDLDLL RNVHQLRLDTPLSSLFVVPQTNPVVFACQARQAMKLLTLKHTLKEHSTLASPIAILQH VTAPSKPKRHASPGKVAMLRRSTYKTPSMRIVADALTEQRKLSSSIHDNHVVSICLDK SIRIFAGADMAVPATMYVPDDAQDVVGAAFNPYRDLLYILMRKHILVFDVVQDIVTPS HIVDVDNRTVKCIGICLVAPLFYAKPRHSQAAASRRRLNSIVDFIRKNPNDDNDMATT AADAWVVCGTDKGELLFSATSHTSLDEAPVQQAHSSAVSVVAFTSIAAAILVTYGADK AFCFWRMTPRVTRVGSLTMGDDPTCIHVTPASHLVVCGFEDGRVDFVDFADAEPLVVT SEVNHAALVTAADSCDPVHVLVTTSFDMTLKVWDQQKTLLREVQMATPLTSLCFTNAF ADLFVGMQAKMFSISRQDVLPTTLPLPRPARTPAAPKLAGSRKSSSNRRRSSADSSGS ADPSPSRTVGTYTVPVELDSGDEASDEFVDDHDEDHPKKNDTPSMPLPRAASPTRMRR HVQVRTADVVLYSPPVLRHISTPDNNTTVTPPASESIPSDPTPADPTTTLVPIYDSRQ FHKPTQPVSEAMLRYRDRKDRQAQQASPKFKPTKPHTPSTIRLVKPECPQHADEQNSS TFTAVSPTFTGGSSRPIQRTPRKLWNAIGAEDRRLIVLQRTAMAMDKGGSGGL H257_04373 MFSSWNGGYNNKGRQRASGMWLVLVLWMCHQRGVVDATSTFPNP TPQGNSWGPCADATTAVATQGDGTTPGSCMSCDPTTNKCAPKCQPLLDTFYRACDGVY TPPDLAFDPAKNISGFWGDVQVQVRISVQRCGCNKASPVQTTLVTMAVVGLVVVVAGM EWI H257_04374 MAEKIVAAFHALGIPLENVDHVPAFTVEEQAAAVGHLPGVLTKN LVLKDKKEGIFLICAAAHQTVEVKSLAKKMQLVSNKVNLRFATEDVLHNVLQVKQGSV SPLAVLNDTENQVRLVLDAALLTADKINCHPLQNDKTVSITPADLLKFVRHYAHEPLI VDFSVSVAQATSPRAAAAPKAPAKEKNTIVKPDKLEKDTKSKEGMVWSKKENFPDWYT DVITKSQMIDYYDVSGCYVLRPWSYEIWERIQAYLDGKFKEIGVKNCYFPMFVTSEKL NREKDHLEGFAPEVAWVTKSGDTDLKEPIAIRPTSETIMYPAFKSWIRSHRDLPLRLN QWCNVVRWEFKNPTPFIRTREFLWQEGHTAHATRESAATEVMQILEFYAGAYEELLAV PMIKGKKSEVEKFAGADYTTTIEGFVPSTGRGIQAATSHHLGQNFGRMFGISAEDDEG KKIIPYQNSWGFTTRSIGVMVMLHGDDKGLVLPPRVAPIQVVVVPIPVKDKDETDALF AQGDVLHDILRKVGVRVEVDHRRIYTPGWKYNHWELKGVPLRFELGPKDVAKSQVRVV RRDNNDKVDIPFTDLAAKIPALLDQIQADMLARARTERDSRIKVVTEWKDFVKTIAEG NMVLTPFCNEKEWEEQVKARSRDESLALLGEDGEADNTATSVAAKSLCLPFEHNELPI EDGVKCFISGQPAKCWILWGRSY H257_04375 MVLFVGLSSLVFGAYTLLVLISRVLLCDIDPGHDYVKRHALTAL ACIWLSWDLNNYLWLRWIMDVVAVVNAYSCHSMVIRGNGYTSVSLKGKVAIVTGANNG IGLETARQLAKQGAHVVFACRSKERAEAAIKSVAKSVGASAATLQFLPLDLADSKSIR AFADLFRKSKLPLDILVNNAGVNYYKRETTADGHERVFGINHLGPFLLTNLLLPTLLK SDAPRIVNVGSCLMKFANAIPFDDLMTKKHSYGNGLYTYNWSKLANYLFTLELHRRYG DKHNLTATCVHPGLVISNMQDNMHPAFAFVAKYLSVLRPLVLQTAEAGAHSPVFAAIS PALKGGEYVERCEVVEPAPALKNDRAAKRLWDISCNLTDWKK H257_04376 MRLPVEYKHSNGDAEWSILELQGELIADTKASLKLGHLQYQKGV PTLLIGTHLLEGKVLKLSKPMAIMRKKPHADHADIGSGDDTNGVASSNTSTGYIVVGI ARKKLVFNTRPKPVVDQSHSVN H257_04377 MHHVLVTGHVQHMHYSHRGQMGRAERQGSLAAFHVDELVKHGFF LQCLDGVVLVIDELDVTRHTAAFHVQQFAQFMCVALPVVEDLNFTRRNQGLRNGITID ALLHVDAHKVIALAPW H257_04378 MFLTWVSKCELLQAPPEAHILKGFNEGCQRGICSMVVGFLSNTS EQQSSTEVAASPLPPSMSVVLATPAHVRWAMEPLGHSFALGMEDSGVILGAIGVYEKW LGLGSASADGRPKCMHAQEQEFIRDLLGHMSLLFEDKEGHRSKPDLIATHATLCQTVL DLYSALGRKRGTQLTAATWDHLLRLLLGITDCVLHGSKHTLALSLCAHLFRVTLEQFI LSLHVTGVKGELWNMLLKFCRRWLHRKAVIEQWHKASLALTQHLMGRLHAPPPSSSEH AAPPPSVSIKWMDNAHVTCFELASPLLAYAWYRVMRVIGHPSLFVDPDVYLAAITGVH DLAQVFSLAKPASTPAPPKPPQLPDVNTILRILGPWLFDASLNRTAAPRFALCRAEAI RCLGGLLCRHGIGRTKQVSWPFTIRALMAIQKALLDTDEVVVVAAAVVSCSRIFGTHG THTLRGVGVLAGSFHYAIDHILSLTVTKTAVAPSRKDSLVDKRSSMASDLDSSAAVPA AVGGVAVTVLRRACIEACSSLLTIHSHYPLAITKQVEKTLVANERLDESLLPGIYSSL PRYQSSNVVTLLINYLKTEQDPTNQQMAMWQLTIAVQKEALFWAVGLASTRNSQVPAT ISTICSFVTKVPSRWKPAVIFTALECLRYLTIVSEHLFKHVFSSCVFLISCVCEFMAA NAQVLRATRSPPPYLDQLIASATSCLLEWVVTTPQLLSKAQVMVKVIATTVDAADVHV DFPATTPHADHATRQSAQHLLEYLVKHHAHGNVDGAKQTYDPAAVHYTWNLHTVLSIL ETTDYVQLTLRDSSGCYQWRTQPQYVPSKLATLASAPPSPPPAQSHPSQHAPTPTSTP VSPLEANPDPLLRSLRKNAASLTSWSTEGWEGNSGGKHATDASAKRHEAQVTLFQSLL QSQSNDFVQHRRIATVNLLEPPPPAHSTTHIARRLLSQLGFLSVASWGSLLPLLPSSG QLVKDLQALDRLPTRETYEIGIAYVVNQPSRAGYDVVNLVTTSTCSDAYLGFLATMGS AVDAHSYGGFLGYLDRNLPDSRALVYAQHNYEVAYYVPTLGHASVLDKAEVLIVWNEC QQNYRPGTALWASAYNLPPPKACVTIVIDPLDDGLFCVRICVDGSAFDLSNGDATRAA DDTFSGRVLGPLQDGMVVGSEWLGPLIRQTALNAVHVHRLHLRYKHSLGLSSQSPIRP QEKRTKLIAAIAKQYMDPMLPGDFYSALFVPDKGAVHED H257_04378 MFLTWVSKCELLQAPPEAHILKGFNEGCQRGICSMVVGFLSNTS EQQSSTEVAASPLPPSMSVVLATPAHVRWAMEPLGHSFALGMEDSGVILGAIGVYEKW LGLGSASADGRPKCMHAQEQEFIRDLLGHMSLLFEDKEGHRSKPDLIATHATLCQTVL DLYSALGRKRGTQLTAATWDHLLRLLLGITDCVLHGSKHTLALSLCAHLFRVTLEQFI LSLHVTGVKGELWNMLLKFCRRWLHRKAVIEQWHKASLALTQHLMGRLHAPPPSSSEH AAPPPSVSIKWMDNAHVTCFELASPLLAYAWYRVMRVIGHPSLFVDPDVYLAAITGVH DLAQVFSLAKPASTPAPPKPPQLPDVNTILRILGPWLFDASLNRTAAPRFALCRAEAI RCLGGLLCRHGIGRTKQVSWPFTIRALMAIQKALLDTDEVVVVAAAVVSCSRIFGTHG THTLRGVGVLAGSFHYAIDHILSLTVTKTAVAPSRKDSLVDKRSSMASDLDSSAAVPA AVGGVAVTVLRRACIEACSSLLTIHSHYPLAITKQVEKTLVANERLDESLLPGIYSSL PRYQSSNVVTLLINYLKTEQDPTNQQMAMWQLTIAVQKEALFWAVGLASTRNSQVPAT ISTICSFVTKVPSRWKPAVIFTALECLRYLTIVSEHLFKHVFSSCVFLISCVCEFMAA NAQVLRATRSPPPYLDQLIASATSCLLEWVVTTPQLLSKAQVMVKVIATTVDAADVHV DFPATTPHADHATRQSAQHLLEYLVKHHAHGNVDGAKQTYDPAAVHYTWNLHTVLSIL ETTDYVQLTLRDSSGCYQWRTQPQYVPSKLATLASAPPSPPPAQSHPSQHAPTPTSTP VSPLEANPDPLLRSLRKNAASLTSWSTEGWEGNSGGKHATDASAKRHEAQVTLFQSLL QSQSNDFVQHRRIATVNLLEPPPPAHSTTHIARRLLSQLGFLSVASWGSLLPLLPSSG QLVKDLQALDRLPTRETYEIGIAYVVNQPSRAGYDVVNLVTTSTCSDAYLGFLATMGS AVDAHSYGGFLGYLDRNLPDSRALVYAQHNYEVAYYVPTLGHASVLDKAEVLIVWNEC QQNYRPGTALWASAYNLPPPKACVTIVIDPLDDGLFCVRICVDGSAFDLSNGDATRAA DDTFSGRVLGPLQVGDLDDGHVRS H257_04378 MFLTWVSKCELLQAPPEAHILKGFNEGCQRGICSMVVGFLSNTS EQQSSTEVAASPLPPSMSVVLATPAHVRWAMEPLGHSFALGMEDSGVILGAIGVYEKW LGLGSASADGRPKCMHAQEQEFIRDLLGHMSLLFEDKEGHRSKPDLIATHATLCQTVL DLYSALGRKRGTQLTAATWDHLLRLLLGITDCVLHGSKHTLALSLCAHLFRVTLEQFI LSLHVTGVKGELWNMLLKFCRRWLHRKAVIEQWHKASLALTQHLMGRLHAPPPSSSEH AAPPPSVSIKWMDNAHVTCFELASPLLAYAWYRVMRVIGHPSLFVDPDVYLAAITGVH DLAQVFSLAKPASTPAPPKPPQLPDVNTILRILGPWLFDASLNRTAAPRFALCRAEAI RCLGGLLCRHGIGRTKQVSWPFTIRALMAIQKALLDTDEVVVVAAAVVSCSRIFGTHG THTLRGVGVLAGSFHYAIDHILSLTVTKTAVAPSRKDSLVDKRSSMASDLDSSAAVPA AVGGVAVTVLRRACIEACSSLLTIHSHYPLAITKQVEKTLVANERLDESLLPGIYSSL PRYQSSNVVTLLINYLKTEQDPTNQQMAMWQLTIAVQKEALFWAVGLASTRNSQVPAT ISTICSFVTKVPSRWKPAVIFTALECLRYLTIVSEHLFKHVFSSCVFLISCVCEFMAA NAQVLRATRSPPPYLDQLIASATSCLLEWVVTTPQLLSKAQVMVKVIATTVDAADVHV DFPATTPHADHATRQSAQHLLEYLVKHHAHGNVDGAKQTYDPAAVHYTWNLHTVLSIL ETTDYVQLTLRDSSGCYQWRTQPQYVPSKLATLASAPPSPPPAQSHPSQHAPTPTSTP VSPLEANPDPLLRSLRKNAASLTSWSTEGWEGNSGGKHATDASAKRHEAQVTLFQSLL QSQSNDFVQHRRIATVNLLEPPPPAHSTTHIARRLLSQLGFLSVASWGSLLPLLPSSG QLVKDLQALDRLPTRETYEIGIAYVVNQPSRAGYDVVNLVTTSTCSDAYLGFLATMGS AVDAHSYGGFLGYLDRNLPDSRALVYAQHNYEVAYYVPTLGHASVLDKAEVLIVWNEC QQNYRPGTALWASAYNLPPPKACVTIVIDPLDDGLFCVRICVDGSAFDLSNGGVFHGM SLLS H257_04379 MSSATLGCCLGVALVVDDIHKGCNLAFRYPAPQSDSHVSAFHRL SSALVAKLFRPKNVLCNQTFQLVIDDLRFISHPVTINVPTSATNPTPSTTATTSTNGG GTGRRDTSMFNVIFALDDSKLDGILAPSSSRTSSSSSSHRDQKVHAFAQVASQLAHAL MHEEARVGFVTTEVRELLHIRDEIAQNERQLALHSSDNNSNGGNGNNGDKDTQGDVDV DPQTLIDVALGKSILANDLKAIYHGLEEDGAVHVLLNRWVQLSLTLDDATTVHITSMR PYHTLLLLHDNDKVLDALPADASPQLRLLIDAHNPLRDFQELMLETGLPLKQLFRLAA HLVYWGVAKVIEAITMYNVYQVSPAATNVHSQSATALEFRRKFTFMELSEVLATFNGK SRLSAFMTTLNPQRKLEYVHMLIWLLQHEYVSQMHRYVYLMIPDPEEGNNDVHLPPPV PLSPLLPPTYSPQSSEPAATEKEFLAQLARRTNTPTPVVDLFRRLEPYFHGQHHLVEI MWRENVTRGELRTVLSTYMHILAFADHE H257_04380 MLDHVSIFSQSGTVLWSRSMCKLRGNPVDQLVKTVLLEERLGRK EFNYESYQMRWSLENKLQLVLVVVYQKVLQLLYVDELLERLKREVVASFADEIRTRVQ IASFDSTFDRILRDVESAHLKSKRPVATHAPAVVSSKEIELTQNAHVDGDGDELEKLK HELKLVGAGSKQPKTMRTGPRTGKKKGGKKDTDEPAKGSNNKVKTVWHDPKATISKKD MAGLDRSRELNVDEEAASLAEKRKEYIGEGGGVDDDHEEEEGTDSVSGSDSDAGASGW TFGKTRFGNFLHSISGNKILDRDDVAPVVEQFRQLLISKNVASEVAQDMCESVISTMI GQRLESFTRIQTVVQTALEGALLRILTPKKSTDVLRQVLAARDQGRCYSIVFVGVNGV GKSTSLSKVAYYLKSRGVKIMIAACDTFRSGAVEQLNQHCKVLDVPLFERGYAKDPAS VAKDAIAHGNDHGFDCVLIDTAGRMQNNEPLMRALAKLVSVNNPDLVLFVGEALVGND GIDQLSLFDRALVDYSDRQVPRRVDGIVITKFDTIDDKVGAAVSMVYKTGQPIMFVGT GQKYTHLAKLNVKTVLRSLLN H257_04380 MLDHVSIFSQSGTVLWSRSMCKLRGNPVDQLVKTVLLEERLGRK EFNYESYQMRWSLENKLQLVLVVVYQKVLQLLYVDELLERLKREVVASFADEIRTRVQ IASFDSTFDRILRDVESAHLKSKRPVATHAPAVVSSKEIELTQNAHVDGDGDELEKLK HELKLVGAGSKQPKTMRTGPRTGKKKGGKKDTDEPAKGSNNKVKTVWHDPKATISKKD MAGLDRSRELNVDEEAASLAEKRKEYIGEGGGVDDDHEEEEGTDSVSGSDSDAGASGW TFGKTRFGNFLHSISGNKILDRDDVAPVVEQFRQLLISKNVASEVAQDMCESVISTMI GQRLESFTRIQTVVQTALEGALLRILTPKKSTDVLRQVLAARDQGRCYSIVFVGVNGV GKSTSLSKVAYYLKSRGVKIMIAACDTFRSGAVEQLNQHCKVLDVPLFERGYAKDPAS VAKDAIAHGNDHGFDCVLIDTAGRMQNNEPLMRALAKLVSVNNPDLVLFVGEALVGND GIDQLSLFDRALVDYSDRQVPRRVDGIVITKFDTIDDKVGAAVSMVYKTGQPIMFVGT GQKYTHLAKLNVKTVLRSLLN H257_04380 MLDHVSIFSQSGTVLWSRSMCKLRGNPVDQLVKTVLLEERLGRK EFNYESYQMRWSLENKLQLVLVVVYQKVLQLLYVDELLERLKREVVASFADEIRTRVQ IASFDSTFDRILRDVESAHLKSKRPVATHAPAVVSSKEIELTQNAHVDGDGDELEKLK HELKLVGAGSKQPKTMRTGPRTGKKKGGKKDTDEPAKGSNNKVKTVWHDPKATISKKD MAGLDRSRELNVDEEAASLAEKRKEYIGEGGGVDDDHEEEEGTDSVSGSDSDAGASGW TFGKTRFGNFLHSISGNKILDRDDVAPVVEQFRQLLISKNVASEVAQDMCESVISTMI GQRLESFTRIQTVVQTALEGALLRILTPKKSTDVLRQVLAARDQGRCYSIVFVGVNGV GKSTSLSKVAYYLKSRGVKIMIAACDTFRSGAVEQLNQHCKVLDVPLFERGYAKDPAS VAKDAIAHGNDHGFDCVLIDTAGRMQNNEPLMRALAKLVSVNNPDLVLFVGEALVGND GIDQLSLFDRALVDYSDRQVPRRVDGIVITKFDTIDDKVGAAVSMVYKTGQPIMFVGT GQKYTHLAKLNVKTVLRSLLN H257_04380 MLDHVSIFSQSGTVLWSRSMCKLRGNPVDQLVKTVLLEERLGRK EFNYESYQMRWSLENKLQLVLVVVYQKVLQLLYVDELLERLKREVVASFADEIRTRVQ IASFDSTFDRILRDVESAHLKSKRPVATHAPAVVSSKEIELTQNAHVDGDGDELEKLK HELKLVGAGSKQPKTMRTGPRTGKKKGGKKDTDEPAKGSNNKVKTVWHDPKATISKKD MAGLDRSRELNVDEEAASLAEKRKEYIGEGGGVDDDHEEEEGTDSVSGSDSDAGASGW TFGKTRFGNFLHSISGNKILDRDDVAPVVEQFRQLLISKNVASEVAQDMCESVISTMI GQRLESFTRIQTVVQTALEGALLRILTPKKSTDVLRQVLAARDQGRCYSIVFVGVNGV GKSTSLSKVAYYLKSRGVKIMIAACDTFRSGAVEQLNQHCKVLDVPLFERGYAKDPAS VAKDAIAHGNDHGFDCVLIDTAGRMQNNEPLMRALAKLVSVNNPDLVLFVGEALVGND GIDQLSLFDRALVDYSDRQVPRRVDGIVITKFDTIDDKVGAAVSMVYKTGQPIMFVGT GQKYTHLAKLNVKTVLRSLLN H257_04381 MTATKATATAAAPAAAVEFPTLTELKHSIPNSCFESDAGASLYY VARSVALTAALMTGLTYARAAVADWLVLDVAVSLAYVYVQGVVFWGVFTIGHDCGHSS FSRYHSLNFIVGCITHSAILTPFESWRITHRHHHKNTGNVDKDEVFYPQREKDEYPPT RQIVYTLGFSCYGWLVDELSHNIGTHQVHHLFPIIPHYKLNEATSHFRKAYPHLVRVN TEPIVGAFFKTLDLFVRHGIVPDDAEVFTLGERAKAAKKAL H257_04382 MVYFDFNFTHTVTTSIAMFTTKETSSAEFPTLTELKHSIPRSCF ESDASTSLYYVARSVALTAALMTGLTYARDAVADWLVLDVAVSLAYAYVQGVVFWGVF TIGHDCGHSSFSRYHNLNFIVGCITHSAILTPFESWRITHRHHHKNTGNVDKDEVFYP PRAKDVPKQTAYSPGMSFFNFLKQGYAGDAHTLSHNIGTHQVHHLFPIIPHYKLNEAT SHFRKAYPHLVRVNTEPIVGAYFKTLDLFVRHGSVPDDAEVFTLGAQAKAAKKAL H257_04383 MNVHACLDILNARAGSKHTHEGEDGHHHHHAACVEENASRCLDL KVDATSENSTMEPELEAMSEYELVKTFHACQEKRVLVYQQFEKGFLELMFTDALPLFS QDITKQFSSISNQVNAIERALRARPVAPSIPTILRNVQHEEKEKLLLTSALLLENMRL KRQVTADPDDSSIPIFESSIASMQATHTAIVERINELLTDLRFEMEDIPA H257_04383 MNVHACLDILNARAGSKHTHEGEDGHHHHHAACVEENASRCLDL KVDATSENSTMEPELEAMSEYELVKTFHACQEKRVLVYQQFEKGFLELMFTDALPLFC TFGRRDEVLITFLALVAQDITKQFSSISNQVNAIERALRARPVAPSIPTILRNVQHEE KEKLLLTSALLLENMRLKRQVTADPDDSSIPIFESSIASMQATHTAIVERINELLTDL RFEMEDIPA H257_04385 MGQSRFTCEYCQKSFTDTSESRRRHTRGRLHKMKVKEWYDRFQR PRTSVSSMPWTTVVSSTSGTTNDSSAQPLLLPPSMVPAPPQVFSHHGLADWG H257_04384 MPSRNSRRGDEKSNHGEDDDEVGASAELQRSTTRSLLHRVFSRE NTATTVATPPPAPTVSLTPLVRPALAIRMLPSSAVDTANLFSFGDIYLSDLSNLRQFE IVNLQACPVRVDLKADIRKPFHATSWGFQTHNENLTLVHVAPHDDMMDDNDSIGFTRS HSKSSMASSSAADTTYLDEGFNELFNQMGLIESLLLQPNQTQRVIFSMCVKFDTAPLQ HSSSGLSSNRPTDDSSDDERLHLHETSFVALSGRLLFATTVLEPNGVASSSRPPVSTP SDGGAATIAVPLHGNVCRSLLRLDIKDLHFDDCVPGGSYVKDFTVWNRSEIPLIFRLV TSSVSTPEKQLLTCSDYNTGYALGESPYTVAAYSHMRVRVTYRPMEVGEQFFEILAQN LHDSRNVKTLKIHAIASKEHHREGLSIRDPNGSYLMGGGLLDFGDCYTGFPTSKVLVL KNMTEAALHIELLSDRPKEVTFELKLAHTNRHAMRSTRSRMGFGSLDEPLSPCEGDRR LSLSPPLSPSKSTMMLATGGGSPSALPPSSPTIGEGTLDSDDEPDEQDGDFHDEESAL YTPRKRGSFDDQLLSEADDIDDDMEFDGDKQATSSVVPVATSPKDDGKALALSIRTRA KQRPHRLSRMKDLVESGVESSGASVCSSPERYSKKKHIQGGSTSRTFKGASSAAAASA ASADHMNNYLVEALDLPPGVERTVLVWYCPPLKAMDDTLKCCRLTKQSFRLNFRCYTI DGGGSGWTAGGSSQRVYDRSLGKSLMVGARTCTSYVTLSPSTLHLGDCNIGEFKSSSL TLTNQSELPTVVKPSVVSKVISTAPNDAITLGPKQSVELKIEIIPRKTNPSYSRVVSV VNLNNKANVATVCVRSSNMDAHHVIYHSLFYKLVTPSKSAFLNFEHVAANSMGMKVFM LENITHAPLRLRIQSSDLSRVQLYCVADATTKLVTTPTTSSLVSPPSMVNLPPPSSKD VRGKRAALRRRRSIGCVSELAPKPKTLTSSARIADILKRKGSKPVLVDDLFTLPAPAS LVQPKKDVDTTYLPGVPSDMHEVLGLFDSNWRWDHEDEMVAMVRERVRRFHALVLEKH IVPISTATEWNLRIPPKRSFPILAVFTPKWGDVVEDKTRVEKFKVYITLPAGGNKPIG KLNHDAYVHHPFDTRPSVRELLLKSRVCRSVLNVNQKNINFGRITTFSKSSKKVLIHN ASAIPLIYMVEKTGSISSGFVEIKDGAQGVIKAFGTRQVHFEFQPTLAGPFEEKLKIL NVQDTDNSVFITIKAKVVKRETFKLPQAGQPVNVGTCLVGEKSDVFKVTIRNMSRKKR EYVIEVDAGFSTPSLRPTFQFSMDDVPAANITQAQEKKLDEELEKLEHKLRIAMTKKK DDKINQLNSKISHVKALLSGEEVAPAARAISYDSGNSDTESETESKHNARRNSRHKSL TALHTNNGAPTQVNILHFTLEPEATGRIVGDVTFHQAATTDTATPPPGTTHAPKPSIR HRGQRHRKGKTSTLGAVPVFGHGKLLFYEQQNKDVMKELQYKADVYLRTPAGEAAYCR TTHKTLLPPLPSLRHKADNLHALSVDLNCDQLGSTRGYMLLLPVDEAPCDTPGWSVQL RVREPVQVELRWLAADLLQDLIVFSAQVLDQEGPTSLLPITLTLVPDTTITVLFKWSF SAKCGQGSSAPLGRVLPVDVFGTKPNADLPAGHLAFASSSTSSTSGLVGPVVSSVLDV AVVKAKPRSLQVDSERIDLGELQLGSQTASHFYIRNVSTHAIKFLILVSSEDPSQLAI DNATGRIEASGTTMIRFTYTGLVSGKRSEHILVRNLSDKLDFTTLGVAVRVTRPVYVR IPELDPHMTGQLLDLNIGPCYVTSGDEANAKFSKIRKLTLVSQVTDTLLLSASSNLKT QCYVYQDAALQHDAANIVLPGMESLDLFIAFRPRLPADAFKTGVARDLVGGIRIQLHR DKDEYERTLAAEFTVKFVGVAGASLASVSSHLLDFGTEANVGRLTRCKVHEGKFELAN WSKSLPLRYRLHVTHGDAYSDDDGSLRVALRHEKGDIPPGESGEIEFGVMAYTHGYFR RRIVVENVFNPMNVNIVDVVLFVDNGTVSVTSGASGGSVVRFGNLPLLRADDLFGHQT TPSEAHIMTCTRRRYRIYLHPSVPRTIFVTNRSVDVLRLRPLSNLPLQFGWQDMNEVA NHPFAMTIDGHNARELVSVDRASPVLADHVNHHMLYHGDVGTCPPQSTVQLTVSFASV ASSPSLPLDVIESGRTIPVDGFVALQSFDDTDNDDGSEATTLGLMHVSGTFGESKLSV VNPSLSLGKLGYGQPKTFEIQVKNLSELSGLFYLGALPSCFRLVDVRGASKARSSQAT WHDRPLQHIPPLKHMAERVCAPVAWELDGYDSAMLEIECLPECLTAGKHELSVSILNA HNPHNVERVVVHVHVIAKYVEVSLDPTALNPSKPMETSVGYFAPLSPSTSSVGLVCTL VNVFDESVDIHLQCDTLAHLKQLVELEIVSRSSKTPVAALTLAPGERVDVRIMCRLAA SRGATWPFSFTSSGGNGAAASSSRFDDAVPWGVVSIRACCSNVVLSCSGRIDLHGSFA LGQTFTVSTTSVSFQARAIHEQQQPPHATPRAYQVLPKLSSFTIQNPSTTSPLVFSIE STSKRFVTSRFRLHGGSDMHDTLVAKCTPDHGHILPGESMQIAVEVGASSLGNRSDVK TMQVIVRDKDQSHVTVDIVMDLDDESFEARQSGSSNTVVASTAQSRSSGLVVSSDQST RASAMLAPPCKVPTTTAVTPAVLGDDSSFEQRPGADDGDDLSPLSPTTTTSCPPSSSS SSDIITLRGCTPAENSTLENALYVIDVGQHTVRSGGDVEWEISLHVEREVEYRMYLAD ANAKAWLQLSRTHGTIVSFQTIILRLVRDVVGVFSTFVVLENCTNPRDLKLVRVKLEV IADLNAVRAMSKASDNLFRVLVSCYSSSKRQRRKSSEDLLGGLTSGKLEIEYGDVFYD KLYHNHSLVLENFSGLSLDFMLTSNGRAHEVGFSMSPNSFNEISSVTLAAYARLQVFL NFRPAPRTQTPEAPTISWVRNIEVYVNCRLVKDFRETVLLKAICNYPQVNLHIARHQP TPGHAASYHEPAAPYFPSQPTFLGMGFMAPESVLVGDGGEIDAKFLVMKNATQTANAH VAIRNDSMFFDVATDHIFRAATGTCEAAGEGWTGMVKLEPSDVAVFRIRPNLELLRKN RQQWEHSVKEHIAFYNMKQFAEHYHVSLSFTPSNTSSFYVAPQLRESYPFSTLEDTIA KFLRQYNGFWKWLAMALLTAEHDATAMLFDLETAIDHVLPVSPRPHPSPHARDLLQDN FAQAYRALYFDFYYITDELIWYGIRSSAGRHAITLADLVYGVVFGHEVFGAIFNRSTR VDGSFPPTTVAFANQRLDMRRLLLPWTRQLGYFLSFFPETQEATLALRQMYEPLKQFD MHR H257_04386 MEGEPSKDTVEMRKLVHSLSPSVNIDIHDQPQPELETVSSRSDS DDDSDDDESNLIDDNEADMALSEETYPPTCLAQQLWSPFRRLVMFPATTFIIFAITNT LFVGTWSFFAWVAYLITKPGLFVVVVASGVGLGRFVALLIAYPGHLRVVTRDCERNFA KMCQRWLLLTADTTDDLINLLQSPEPWDQARVAAFVAIRDDYRSCVTNIMTILSRSLE IVDAEDTLTPDGRAVLSRARLYLQYANDLEPALLLAISREAGAAAPSFHAAPLATMLV AFKACVQDVRDAVELMGEPSTTPTRTGLARLVSELWQSRRPFEIVANLSLMRADLAAR YVRRPISIHDVILKIQNGRQLWVDAPDGHRIDAMFLPGHGHSNASSNNGRTVLLCNPN CGLYEFHHFQSDWIPFYTNHGINVCVFNYRGYGRCQGYPSPHANNVDGMAMVRHLQVV QGVTRLAVHGESIGGMVATYVAKHAADIELLVADRTFANLPAVAQRLVASWAGKALTC VTRWQTDNVANYLDARCHKVICCDPCDEIVSDASSLKAGIALRLELGDMKLDARGHTS CLAPPCRSLQARHDSTALVSSNPLNESSVEEFALALERIAQRAREAMSPSSRSDSDEK RIVDVWSAVASVDGHCGQSLFYASGGGLETIRTWMASLLVWGPSRAQSQADHPETAPE RRRDDFVTPISLDQAHAVLCKILDDCPSFHEDADVTTLVAMVEYLQESMALRLAQTTP SHDIGRLVPLNCGHNANFSDREKQAFATHLISFNWLDADTLTK H257_04386 MEGEPSKDTVEMRKLVHSLSPSVNIDIHDQPQPELETVSSRSDS DDDSDDDESNLIDDNEADMALSEETYPPTCLAQQLWSPFRRLVMFPATTFIIFAITNT LFVGTWSFFAWVAYLITKPGLFVVVVASGVGLGRFVALLIAYPGHLRVVTRDCERNFA KMCQRWLLLTADTTDDLINLLQSPEPWDQARVAAFVAIRDDYRSCVTNIMTILSRSLE IVDAEDTLTPDGRAVLSRARLYLQYANDLEPALLLAISREAGAAAPSFHAAPLATMLV AFKACVQDVRDAVELMGEPSTTPTRTGLARLVSELWQSRRPFEIVANLSLMRADLAAR YNGRQLWVDAPDGHRIDAMFLPGHGHSNASSNNGRTVLLCNPNCGLYEFHHFQSDWIP FYTNHGINVCVFNYRGYGRCQGYPSPHANNVDGMAMVRHLQVVQGVTRLAVHGESIGG MVATYVAKHAADIELLVADRTFANLPAVAQRLVASWAGKALTCVTRWQTDNVANYLDA RCHKVICCDPCDEIVSDASSLKAGIALRLELGDMKLDARGHTSCLAPPCRSLQARHDS TALVSSNPLNESSVEEFALALERIAQRAREAMSPSSRSDSDEKRIVDVWSAVASVDGH CGQSLFYASGGGLETIRTWMASLLVWGPSRAQSQADHPETAPERRRDDFVTPISLDQA HAVLCKILDDCPSFHEDADVTTLVAMVEYLQESMALRLAQTTPSHDIGRLVPLNCGHN ANFSDREKQAFATHLISFNWLDADTLTK H257_04386 MEGEPSKDTVEMRKLVHSLSPSVNIDIHDQPQPELETVSSRSDS DDDSDDDESNLIDDNEADMALSEETYPPTCLAQQLWSPFRRLVMFPATTFIIFAITNT LFVGTWSFFAWVAYLITKPGLFVVVVASGVGLGRFVALLIAYPGHLRVVTRDCERNFA KMCQRWLLLTADTTDDLINLLQSPEPWDQARVAAFVAIRDDYRSCVTNIMTILSRSLE IVDAEDTLTPDGRAVLSRARLYLQYANDLEPALLLAISREAGAAAPSFHAAPLATMLV AFKACVQDVRDAVELMGEPSTTPTRTGLARLVSELWQSRRPFEIVANLSLMRADLAAR YVRRPISIHDVILKIQNGRQLWVDAPDGHRIDAMFLPGHGHSNASSNNGRTVLLCNPN CGLYEFHHFQSDWIPFYTNHGINVCVFNYRGYGRCQGYPSPHANNVDGMAMVRHLQVV QGVTRLAVHGESIGGMVATYVAKHAADIELLVADRTFANLPAVAQRLVASWAGKALTC VTRWQTDNVANYLDARCHKVICCDPCDEIVSDASSLKAGIALRLELGDMKLDARGHTS CLAPPCRSLQARHDSTALVSSNPLNESSVEEFALALERIAQRAREAMSPSSRSDSDEK RIVDVWSAVASVDGHCGQSLFYASGGGLETIRTWMASLLVWGPSRAQSQADHPETAPE RRRDDFVTPISLDQAHAVLCKVRPDSFPMLIVCP H257_04386 MEGEPSKDTVEMRKLVHSLSPSVNIDIHDQPQPELETVSSRSDS DDDSDDDESNLIDDNEADMALSEETYPPTCLAQQLWSPFRRLVMFPATTFIIFAITNT LFVGTWSFFAWVAYLITKPGLFVVVVASGVGLGRFVALLIAYPGHLRVVTRDCERNFA KMCQRWLLLTADTTDDLINLLQSPEPWDQARVAAFVAIRDDYRSCVTNIMTILSRSLE IVDAEDTLTPDGRAVLSRARLYLQYANDLEPALLLAISREAGAAAPSFHAAPLATMLV AFKACVQDVRDAVELMGEPSTTPTRTGLARLVSELWQSRRPFEIVANLSLMRADLAAR YNGRQLWVDAPDGHRIDAMFLPGHGHSNASSNNGRTVLLCNPNCGLYEFHHFQSDWIP FYTNHGINVCVFNYRGYGRCQGYPSPHANNVDGMAMVRHLQVVQGVTRLAVHGESIGG MVATYVAKHAADIELLVADRTFANLPAVAQRLVASWAGKALTCVTRWQTDNVANYLDA RCHKVICCDPCDEIVSDASSLKAGIALRLELGDMKLDARGHTSCLAPPCRSLQARHDS TALVSSNPLNESSVEEFALALERIAQRAREAMSPSSRSDSDEKRIVDVWSAVASVDGH CGQSLFYASGGGLETIRTWMASLLVWGPSRAQSQADHPETAPERRRDDFVTPISLDQA HAVLCKVRPDSFPMLIVCP H257_04386 MEGEPSKDTVEMRKLVHSLSPSVNIDIHDQPQPELETVSSRSDS DDDSDDDESNLIDDNEADMALSEETYPPTCLAQQLWSPFRRLVMFPATTFIIFAITNT LFVGTWSFFAWVAYLITKPGLFVVVVASGVGLGRFVALLIAYPGHLRVVTRDCERNFA KMCQRWLLLTADTTDDLINLLQSPEPWDQARVAAFVAIRDDYRSCVTNIMTILSRSLE IVDAEDTLTPDGRAVLSRARLYLQYANDLEPALLLAISREAGAAAPSFHAAPLATMLV AFKACVQDVRDAVELMGEPSTTPTRTGLARLVSELWQSRRPFEIVANLSLMRADLAAR YVRRPISIHDVILKIQNGRQLWVDAPDGHRIDAMFLPGHGHSNASSNNGRTVLLCNPN CGLYEFHHFQSDWIPFYTNHGINVCVFNYRGYGRCQGYPSPHANNVDGMAMVRHLQVV QGVTRLAVHGESIGGMVATYVAKHAADIELLVADRTFANLPAVAQRLVASWAGKALTC VTRWQTDNVANYLDARCHKVICCDPCDEIVSDASSLKAGIALRLELGDMKLDARGHTS CLAPPCRSLQARHDSTALVSSNPLNESSVEEFALALERIAQRAREAMSPSSRSDSDEK RIVDVWSAVASVDGHCGQSLFYASGTYIHDVPARDGSHVSW H257_04386 MEGEPSKDTVEMRKLVHSLSPSVNIDIHDQPQPELETVSSRSDS DDDSDDDESNLIDDNEADMALSEETYPPTCLAQQLWSPFRRLVMFPATTFIIFAITNT LFVGTWSFFAWVAYLITKPGLFVVVVASGVGLGRFVALLIAYPGHLRVVTRDCERNFA KMCQRWLLLTADTTDDLINLLQSPEPWDQARVAAFVAIRDDYRSCVTNIMTILSRSLE IVDAEDTLTPDGRAVLSRARLYLQYANDLEPALLLAISREAGAAAPSFHAAPLATMLV AFKACVQDVRDAVELMGEPSTTPTRTGLARLVSELWQSRRPFEIVANLSLMRADLAAR YNGRQLWVDAPDGHRIDAMFLPGHGHSNASSNNGRTVLLCNPNCGLYEFHHFQSDWIP FYTNHGINVCVFNYRGYGRCQGYPSPHANNVDGMAMVRHLQVVQGVTRLAVHGESIGG MVATYVAKHAADIELLVADRTFANLPAVAQRLVASWAGKALTCVTRWQTDNVANYLDA RCHKVICCDPCDEIVSDASSLKAGIALRLELGDMKLDARGHTSCLAPPCRSLQARHDS TALVSSNPLNESSVEEFALALERIAQRAREAMSPSSRSDSDEKRIVDVWSAVASVDGH CGQSLFYASGTYIHDVPARDGSHVSW H257_04386 MEGEPSKDTVEMRKLVHSLSPSVNIDIHDQPQPELETVSSRSDS DDDSDDDESNLIDDNEADMALSEETYPPTCLAQQLWSPFRRLVMFPATTFIIFAITNT LFVGTWSFFAWVAYLITKPGLFVVVVASGVGLGRFVALLIAYPGHLRVVTRDCERNFA KMCQRWLLLTADTTDDLINLLQSPEPWDQARVAAFVAIRDDYRSCVTNIMTILSRSLE IVDAEDTLTPDGRAVLSRARLYLQYANDLEPALLLAISREAGAAAPSFHAAPLATMLV AFKACVQDVRDAVELMGEPSTTPTRTGLARLVSELWQSRRPFEIVANLSLMRADLAAR YNGRQLWVDAPDGHRIDAMFLPGHGHSNASSNNGRTVLLCNPNCGLYEFHHFQSDWIP FYTNHGINVCVFNYRGYGRCQGYPSPHANNVDGMAMVRHLQVVQGVTRLAVHGESIGG MVATYVAKHAADIELLVADRTFANLPAVAQRLVASWAGKALTCVTRWQTDNVANYLDA RCHKVICCDPCDEIVSDASSLKAGIALRLELGDMKLDARGHTSCLAPPCRSLQARHDS TALVSSNPLNESSVEEFALALERIAQRAREAMSPSSRSDSDEKRIVDVWSAVASVDGH CGQSLFYASGTYIHDVPARDGSHVSW H257_04386 MEGEPSKDTVEMRKLVHSLSPSVNIDIHDQPQPELETVSSRSDS DDDSDDDESNLIDDNEADMALSEETYPPTCLAQQLWSPFRRLVMFPATTFIIFAITNT LFVGTWSFFAWVAYLITKPGLFVVVVASGVGLGRFVALLIAYPGHLRVVTRDCERNFA KMCQRWLLLTADTTDDLINLLQSPEPWDQARVAAFVAIRDDYRSCVTNIMTILSRSLE IVDAEDTLTPDGRAVLSRARLYLQYANDLEPALLLAISREAGAAAPSFHAAPLATMLV AFKACVQDVRDAVELMGEPSTTPTRTGLARLVSELWQSRRPFEIVANLSLMRADLAAR YVRRPISIHDVILKIQNGRQLWVDAPDGHRIDAMFLPGHGHSNASSNNGRTVLLCNPN CGLYEFHHFQSDWIPFYTNHGINVCVFNYRGYGRCQGYPSPHANNVDGMAMVRHLQVV QGVTRLAVHGESIGGMVATYVAKHAADIELLVADRTFANLPAVAQRLVASWAGKALTC VTRWQTDNVANYLDARCHKVICCDPCDEIVSDASSLKAGIALRLELGDMKLDARGHTS CLAPPCRSLQARHDSTALVSSTCQMTDMYHIF H257_04386 MEGEPSKDTVEMRKLVHSLSPSVNIDIHDQPQPELETVSSRSDS DDDSDDDESNLIDDNEADMALSEETYPPTCLAQQLWSPFRRLVMFPATTFIIFAITNT LFVGTWSFFAWVAYLITKPGLFVVVVASGVGLGRFVALLIAYPGHLRVVTRDCERNFA KMCQRWLLLTADTTDDLINLLQSPEPWDQARVAAFVAIRDDYRSCVTNIMTILSRSLE IVDAEDTLTPDGRAVLSRARLYLQYANDLEPALLLAISREAGAAAPSFHAAPLATMLV AFKACVQDVRDAVELMGEPSTTPTRTGLARLVSELWQSRRPFEIVANLSLMRADLAAR YVRRPISIHDVILKIQNGRQLWVDAPDGHRIDAMFLPGHGHSNASSNNGRTVLLCNPN CGLYEFHHFQSDWIPFYTNHGINVCVFNYRGYGRCQGYPSPHANNVDGMAMVRHLQVV QGVTRLAVHGESIGGMVATYVAKHAADIELLVADRTFANLPAVAQRLVASWAGKALTC VTRWQTDNVANYLDARCHKVICCDPCDEIVSDASSLKAGIALRLELGDMKLDARGHTS CLAPPCRSLQARHDSTALVSSTCQMTDMYHIF H257_04386 MEGEPSKDTVEMRKLVHSLSPSVNIDIHDQPQPELETVSSRSDS DDDSDDDESNLIDDNEADMALSEETYPPTCLAQQLWSPFRRLVMFPATTFIIFAITNT LFVGTWSFFAWVAYLITKPGLFVVVVASGVGLGRFVALLIAYPGHLRVVTRDCERNFA KMCQRWLLLTADTTDDLINLLQSPEPWDQARVAAFVAIRDDYRSCVTNIMTILSRSLE IVDAEDTLTPDGRAVLSRARLYLQYANDLEPALLLAISREAGAAAPSFHAAPLATMLV AFKACVQDVRDAVELMGEPSTTPTRTGLARLVSELWQSRRPFEIVANLSLMRADLAAR YVRRPISIHDVILKIQNGRQLWVDAPDGHRIDAMFLPGHGHSNASSNNGRTVLLCNPN CGLYEFHHFQSDWIPFYTNHGINVCVFNYRGYGRCQGYPSPHANNVDGMAMVRHLQVV QGVTRLAVHGESIGGMVATYVAKHAADIELLVADRTFANLPAVAQRLVASWAGKALTC VTRWQTDNVANYLDARCHKVICCDPCDEIVSDASSLKAGIALRLELGDMKLDARGHTS CLAPPCRSLQARHDSTALVSSTCQMTDMYHIF H257_04386 MEGEPSKDTVEMRKLVHSLSPSVNIDIHDQPQPELETVSSRSDS DDDSDDDESNLIDDNEADMALSEETYPPTCLAQQLWSPFRRLVMFPATTFIIFAITNT LFVGTWSFFAWVAYLITKPGLFVVVVASGVGLGRFVALLIAYPGHLRVVTRDCERNFA KMCQRWLLLTADTTDDLINLLQSPEPWDQARVAAFVAIRDDYRSCVTNIMTILSRSLE IVDAEDTLTPDGRAVLSRARLYLQYANDLEPALLLAISREAGAAAPSFHAAPLATMLV AFKACVQDVRDAVELMGEPSTTPTRTGLARLVSELWQSRRPFEIVANLSLMRADLAAR YNGRQLWVDAPDGHRIDAMFLPGHGHSNASSNNGRTVLLCNPNCGLYEFHHFQSDWIP FYTNHGINVCVFNYRGYGRCQGYPSPHANNVDGMAMVRHLQVVQGVTRLAVHGESIGG MVATYVAKHAADIELLVADRTFANLPAVAQRLVASWAGKALTCVTRWQTDNVANYLDA RCHKVICCDPCDEIVSDASSLKAGIALRLELGDMKLDARGHTSCLAPPCRSLQARHDS TALVSSTCQMTDMYHIF H257_04386 MEGEPSKDTVEMRKLVHSLSPSVNIDIHDQPQPELETVSSRSDS DDDSDDDESNLIDDNEADMALSEETYPPTCLAQQLWSPFRRLVMFPATTFIIFAITNT LFVGTWSFFAWVAYLITKPGLFVVVVASGVGLGRFVALLIAYPGHLRVVTRDCERNFA KMCQRWLLLTADTTDDLINLLQSPEPWDQARVAAFVAIRDDYRSCVTNIMTILSRSLE IVDAEDTLTPDGRAVLSRARLYLQYANDLEPALLLAISREAGAAAPSFHAAPLATMLV AFKACVQDVRDAVELMGEPSTTPTRTGLARLVSELWQSRRPFEIVANLSLMRADLAAR YNGRQLWVDAPDGHRIDAMFLPGHGHSNASSNNGRTVLLCNPNCGLYEFHHFQSDWIP FYTNHGINVCVFNYRGYGRCQGYPSPHANNVDGMAMVRHLQVVQGVTRLAVHGESIGG MVATYVAKHAADIELLVADRTFANLPAVAQRLVASWAGKALTCVTRWQTDNVANYLDA RCHKVICCDPCDEIVSDASSLKAGIALRLELGDMKLDARGHTSCLAPPCRSLQARHDS TALVSSTCQMTDMYHIF H257_04386 MEGEPSKDTVEMRKLVHSLSPSVNIDIHDQPQPELETVSSRSDS DDDSDDDESNLIDDNEADMALSEETYPPTCLAQQLWSPFRRLVMFPATTFIIFAITNT LFVGTWSFFAWVAYLITKPGLFVVVVASGVGLGRFVALLIAYPGHLRVVTRDCERNFA KMCQRWLLLTADTTDDLINLLQSPEPWDQARVAAFVAIRDDYRSCVTNIMTILSRSLE IVDAEDTLTPDGRAVLSRARLYLQYANDLEPALLLAISREAGAAAPSFHAAPLATMLV AFKACVQDVRDAVELMGEPSTTPTRTGLARLVSELWQSRRPFEIVANLSLMRADLAAR YNGRQLWVDAPDGHRIDAMFLPGHGHSNASSNNGRTVLLCNPNCGLYEFHHFQSDWIP FYTNHGINVCVFNYRGYGRCQGYPSPHANNVDGMAMVRHLQVVQGVTRLAVHGESIGG MVATYVAKHAADIELLVADRTFANLPAVAQRLVASWAGKALTCVTRWQTDNVANYLDA RCHKVICCDPCDEIVSDASSLKAGIALRLELGDMKLDARGHTSCLAPPCRSLQARHDS TALVSSTCQMTDMYHIF H257_04386 MEGEPSKDTVEMRKLVHSLSPSVNIDIHDQPQPELETVSSRSDS DDDSDDDESNLIDDNEADMALSEETYPPTCLAQQLWSPFRRLVMFPATTFIIFAITNT LFVGTWSFFAWVAYLITKPGLFVVVVASGVGLGRFVALLIAYPGHLRVVTRDCERNFA KMCQRWLLLTADTTDDLINLLQSPEPWDQARVAAFVAIRDDYRSCVTNIMTILSRSLE IVDAEDTLTPDGRAVLSRARLYLQYANDLEPALLLAISREAGAAAPSFHAAPLATMLV AFKACVQDVRDAVELMGEPSTTPTRTGLARLVSELWQSRRPFEIVANLSLMRADLAAR YNGRQLWVDAPDGHRIDAMFLPGHGHSNASSNNGRTVLLCNPNCGLYEFHHFQSDWIP FYTNHGINVCVFNYRGYGRCQGYPSPHANNVDGMAMVRHLQVVQGVTRLAVHGESIGG MVATYVAKHAADIELLVADRTFANLPAVAQRLVASWAGKALTCVTRWQTDNVANYLDA RCHKVICCDPCDEIVSDASSLKAGIALRLELGDMKLDARGHTSCLAPPCRSLQARHDS TALVSSTCQMTDMYHIF H257_04386 MEGEPSKDTVEMRKLVHSLSPSVNIDIHDQPQPELETVSSRSDS DDDSDDDESNLIDDNEADMALSEETYPPTCLAQQLWSPFRRLVMFPATTFIIFAITNT LFVGTWSFFAWVAYLITKPGLFVVVVASGVGLGRFVALLIAYPGHLRVVTRDCERNFA KMCQRWLLLTADTTDDLINLLQSPEPWDQARVAAFVAIRDDYRSCVTNIMTILSRSLE IVDAEDTLTPDGRAVLSRARLYLQYANDLEPALLLAISREAGAAAPSFHAAPLATMLV AFKACVQDVRDAVELMGEPSTTPTRTGLARLVSELWQSRRPFEIVANLSLMRADLAAR YVRRPISIHDVILKIQNGRQLWVDAPDGHRIDAMFLPGHGHSNASSNNGRTVLLCNPN CGLYEFHHFQSDWIPFYTNHGINVCVFNYRGYGRCQGYPSPHANNVDGMAMVRHLQVV QGVTRLAVHGESIGGMVATYVAKHAADIELLVADRTFANLPAVAQRLVASWAGKALTC VTRWQTDNVANYLDARCHKVICCDPCDEVT H257_04386 MEGEPSKDTVEMRKLVHSLSPSVNIDIHDQPQPELETVSSRSDS DDDSDDDESNLIDDNEADMALSEETYPPTCLAQQLWSPFRRLVMFPATTFIIFAITNT LFVGTWSFFAWVAYLITKPGLFVVVVASGVGLGRFVALLIAYPGHLRVVTRDCERNFA KMCQRWLLLTADTTDDLINLLQSPEPWDQARVAAFVAIRDDYRSCVTNIMTILSRSLE IVDAEDTLTPDGRAVLSRARLYLQYANDLEPALLLAISREAGAAAPSFHAAPLATMLV AFKACVQDVRDAVELMGEPSTTPTRTGLARLVSELWQSRRPFEIVANLSLMRADLAAR YVRRPISIHDVILKIQNGRQLWVDAPDGHRIDAMFLPGHGHSNASSNNGRTVLLCNPN CGLYEFHHFQSDWIPFYTNHGINVCVFNYRGYGRCQGYPSPHANNVDGMAMVRHLQVV QGVTRLAVHGESIGGMVATYVAKHAADIELLVADRTFANLPAVAQRLVASWAGKALTC VTRWQTDNVANYLDARCHKVICCDPCDEVT H257_04387 MKAVYFAACVAALVNGQATKTTLTPTSTPPIIKALCTSVEISEI NALESTPSYNTCRSDAQKQIFDGQPNDICAVPSCVTAVKNLVGKYPRCVFDTRVPAND VLPYAKQCNVDPLVTTPPETTTPKPQFTVAPTPNTTTVEDEVTTTATPSKTTSKVATT TATPVVTSAASTLSVALTASVALVYSMF H257_04388 MPITQTDGVMLLSMAKTVRQRQFVLALLATQHVERPLIPEVRFN LDAMTDANAVLDYRFDVVGIRKLGYYLGLPAVVVTENWSRALRDEAMCIVLGRMAFPT RLHGMSKTFGRSRSSICDVFLHTINLLYEIWGNLLYFNLRLVAKNIQR H257_04389 MRHRSHSAAATEGGSVKFLLRKSTLQAFLAVCLVGVVLVYFEMF KLSSVMSQVGNANFLDNLRRHERNETPKPTFDESASPSIAVMLTHYRDTDECVSTLQS LYSTAQYPASLHIYIFEEVVLGSDTDSTCVQLFCQTHSSLCDAHGATRIHHKRRHAAD YNGPGPARAVVESMVVPSRHVYYLSITTRLEFTPHWDVALLAQWTAIGNPKAILSFAP PAVRAKSWSVDPSQHAILCTGRITSERSNIAVVAFNPPVLIPEPRDPDTPRLVAQYSE DFHFGSIAALTAAPSDANVEFVWEGLAYYRAVRWWTRGYDFYSPSTDVVWESYTRRVQ HPLHPSSTHATKDPAMAKLLIRQKNSYFRIRRVLGFNMGEPPSPDDDKYTVGSVRTMG QWVTFSGLDHTAEKDDATDKQFQNCHALTRVVR H257_04390 MAPPSRQKELEAQVDELRGQVEGLTKRNAADKAQMQTAIEMEKR LRTQHMTEASEARAKADELLQVTTTLQQDKDELEIAISAARANEQQMLDAYNALDVQL RETYAMYQELEGHNHSQAAMIQKLQDQVDRAAIAETTYQAELTAAVTRANELEVQWTQ NQSAVDDSTANLRAELADRDERMKAHKLEHQSQLLEVEATLDRVQSELAASKAHAGSL EKELGAVHHTTDRDAASAAIKELQEEVFRTSTALVAQGETLLTLTETHNKVKGALQVA KKDVGDARALLLRGISGPEVDVSLYQHVRLEELVRLRLKAEVDMEAVLDGNGGGSGGG SEAELQSDLGLGMGSLGKLEREMRLLRSRNKRLSDRTVDLESELSTAVAAMDDVKALK EKTAELAGRQRTEKELRARTDAALVEANEKIVALSEHIEKLMVHLKHEAAAKAKALEA LKAVEADRRDLADASATLTKKTNAKDRVISELQQGSKILEDQLRLMDEKYIELRNKLD WTRTTSQKDTRRLQHELNTLRCKWQLAMDTGQLPTDQVTSSVLKPLKKMVTLGGSASD SKVSSNNRDSSSTSPAANQGGGAKSPPSAKRTTFDIPKLPQPASEDGMPWSDAKLGML QKQLDRNHPRT H257_04390 MAPPSRQKELEAQVDELRGQVEGLTKRNAADKAQMQTAIEMEKR LRTQHMTEASEARAKADELLQVTTTLQQDKDELEIAISAARANEQQMLDAYNALDVQL RETYAMYQELEGHNHSQAAMIQKLQDQVDRAAIAETTYQAELTAAVTRANELEVQWTQ NQSAVDDSTANLRAELADRDERMKAHKLEHQSQLLEVEATLDRVQSELAASKAHAGSL EKELGAVHHTTDRDAASAAIKELQEEVFRTSTALVAQGETLLTLTETHNKVKGALQVA KKDVGDARALLLRGISGPEVDVSLYQHVRLEELVRLRLKAEVDMEAVLDGNGGGSGGG SEAELQSDLGLGMGSLGKLEREMRLLRSRNKRLSDRTVDLESELSTAVAAMDDVKALK EKTAELAGRQRTEKELRARTDAALVEANEKIVALSEHIEKLMVHLKHEAAAKAKALEA LKAVEADRRDLADASATLTKKTNAKDRVISELQQGSKILEDQLRLMDEKYIELRNKLD WTRTTSQKDTRRLQHELNTLRCKWQLAMDTGQLPTDQVTSSVLKPLYC H257_04390 MAPPSRQKELEAQVDELRGQVEGLTKRNAADKAQMQTAIEMEKR LRTQHMTEASEARAKADELLQVTTTLQQDKDELEIAISAARANEQQMLDAYNALDVQL RETYAMYQELEGHNHSQAAMIQKLQDQVDRAAIAETTYQAELTAAVTRANELEVQWTQ NQSAVDDSTANLRAELADRDERMKAHKLEHQSQLLEVEATLDRVQSELAASKAHAGSL EKELGAVHHTTDRDAASAAIKELQEEVFRTSTALVAQGETLLTLTETHNKVKGALQVA KKDVGDARALLLRGISGPEVDVSLYQHVRLEELVRLRLKAEVDMEAVLDGNGGGSGGG SEAELQSDLGLGMGSLGKLEREMRLLRSRNKRLSDRTVDLESELSTAVAAMDDVKALK EKTAELAGRQRTEKELRARTDAALVEANEKIVALSEHIEKLMVHLKHEAAAKAKALEA LKAVEADRRDLADASATLTKKTNAKDRVISELQQGSKILEDQLRLMDEKYIELRNKLD WTRTTSQKDVRRGVFVSSFERCCRGRPDGFNMSSTHCGASGS H257_04391 MLFRRVEGPSSAALSAWRGVASSGRSATTGEGGEVTASCEVDGG SPTDGPPWTWWPLDTGRVWVNTSATLIATFLSSSVWISATGCFFLGMSLGRPTDGMDF ATASATLNAITLSLGFTTLAFFCLRGASSVIDGWSA H257_04392 MDADDGGTSSWGEALQAGYVTFCQGIQDSISLHRILLLYIQSHI VRNRTVKCVMVNGIIFLGSIFFFDYVVIPIIHLLGLALKKHEQATGGTKDSSSFHDTI DTIIFLVYQGLWMYPIYCVSFILNTIWYQELAEEAYLQTHGTARPAPVKDMLIDEVYR AILVFFFLLQTMLTYLVPVVGPFVSFVHMSWLYALYCFEYKWSLHGWSIEKRLAFMEK HWAYFIGFGCPFTLATYFTPNFVGKGIFALLFPVFLLLATVCEPSAERETTKLPLFGP SRWLTLQFVRRLSNLTGVKQPPRKTPDAMRR H257_04393 MSGPFGEAYTVSRMLDSINETMGDGAKVELKRNVGNGVALDTSA KEIACLDTQAKIKTSVERVANMDSLEKQAWIAHQRSKGNDLFKAQKFKEASDAYLEAL TGLDLGGPGHIDVQRNVQHPITCNIVACMLKLEQWDKAVKMASIVLTAEPTNVKSLVQ RARAYMHLEDFDKARKDAIAAQDLAHDVSKLLETIRRTQLHARRQQQVKTSFEKNMMK HIGSIYHDKKPPPNPSNMTLSKPSPTLRLWRQVVQNVFKCLAWALNLCFGKRKLA H257_04394 MAAPPVVQYTHASIAERRIMRKNAIPEHAYTGYVGCIHPDNDFA FFRYVPKDTREQPDDPKPVVGKIKHAIVGYRGHRHNKEAMIGTTFTRGLEIVPQPVRL RKPYAMPQANKQLPISASGYGGFDKLSGYGQFLSRSQAGRQVADASGYGEMDNETQYG QFAAPPRPHDDVRSTYGEFAQASKYGQFAPPPSASGYGKFEKMSGYGQFAPPAAESGY GKFEKMSGYGQFAPPPAASEYGKFEKMSGYGQFAPPPSASEYGKFEKMSGYGQFAPPP AASEYGKFEKMRYGGFDKLSGYGQFLSRSQAGRQVADASGYGEMDNETQYGQFAAPPR PHDDVRSTYGEFAQASKYGQFAPPPSASGYGKFEKMSGYGQFAPPAAESGYGKFEKMS GYGQFAPPPAASEYGKFQDTSNYGQFAPQPPSNNAENASGHRQGAAALPNKPIPQDRT RDRGKAETIPTSHVRPENPPHDQLTDPHKPPLRAPRPPLNEIQFDDLCRNEGLRDAYI RVLRRVGGAPVVASLFQRISNVLRQQKGSKTETKQRVKVAFDLVVSRGLNII H257_04395 MEDAVHPLSLRLDRHPLDIFTCLWLKNTRTKPHALCIPDTILLD RGVLVHWYFTSKTGELLRRKKDKTTKPNLLRFFRDTAPGPIAAVYVYLVTSSESPEPR TLVVDHLTLAGVEDLLNSPMSSTHGMLQKFVPPKSCYNNMVQTVFAHDTCHAAVRCSN PHMFVNGLIPLELRAVTFERPTTSTHKAIANPATLQTLTALNRDIVHHVAATVGMTIV RQVLHFKMGADDKLYFLWPSLVVFENQEAIVPCQLVTPSRLEHLVMAAPPPLDVAAPR ICPACGNPHRERSGAPGTSATFLVTFKAIVAAHRYTASMTQSPTQNQNNQNPTQNQNQ TGLPVPGVIRASYGPISDDKFNTLVKESSFLYRTVQVCEACCKEINTKAIAHVNAARP LSIQATSSTTKPRPFSQSTRWRSWKDPRHLATAALGPKKPQSARQLFRKPELHVTPIA IVPASPATPSGRKSLAGPSLELVRRSDEISDNQKQHASDKVEFVSKPRPVSAASTLVP SRPRVSIASTTSSLLAPKKRQPSSNFATALASLRAAFRASTPKHTPSLRSLLVHHGLE PQHPTLSVHELFTLCYNANTPINLNDIRAVAAFTDPRDNADAIDIDALDAMLLHTPAA PPTTEQPTAGIPTMLRPKSCVSKPTQSTKHQLMPRPTITPKPPTHPAELRGSGGSFQA PARSLVPSRPPEPLPTAKGDSTSPEDIDLTDEEAACLYHVLLQGT H257_04396 MASGGDDDDAFNLFGAPPVKTTIPRTGTSDHTELFPAEAASTTT FSDSPFDLFPSKPITQRRQDLDELDELFSTKRDTTSSSLRSRQSSSSTEDTALPPRAP VPVPDEFKKAGNAMDIIFVRNDDGTSIGCTPWHVAFNYSRFLASGAGDLVDVYCNGVQ LPTPMSIGDKGRVLFRAGSEQPDELTLAAISSILPTDFPPLYATLRFEHRKPSTSSSS VVRVVECRMFLWDPDAAVVVADLDGTITINDVEGHIRTLRLGQYDFIHAGVCSFYDRL HRIGLRILYLTARPINWADASREHLDAAEQGAVRLPPGPLLTNSMGLTGALLTEVVHK TPHIFKATVLKSVHMACISGGRTSPRPLFVAGFGNRSTDVQAYSDVGIPSICLLDPSS KLQPAATESCDPFDSYADPRAMLWLLPRLSVPPLLADKVDAHLAEIIVATDEYEAQQR QTLVDKIHYY H257_04397 MSTFRVNQVRVLGEMPREFLDILTPDALRFLAYLHESFEARRQQ LLRDRADRQVALDAGHFPTFLPETKYIRDGAWKVASIPHDLQDRRVEITGPVDRKMVI NGLNSGAKCYMADFEDSTSPTWRTLVQGQVNLRDAVRHTISYTQAGTGKTYSLHEHLA TLLVRPRGWHLDEAHVTVNDEIMAGSLFDFGLYFYHNVHELVQRGSGPYFYLPKLEHH SEARLWNDVFVVAQNYLAVPVGTIRATVLIETITAGYQMEEILFALKEHSSGLNCGRW DYIFSFIKKFRNHPSFVMPDRSAESMTTPFMASYVKLLIQTCHKRGAHAMGGMAAQIP VKNDPALNDKFMKAVYEDKLREVVAGHDGTWVAHPGLVKIAMDVFDANMTTPNQIHKA LKNSKVEAKDLIEVPVGSISMKGLEENIDVTLVYVEAWLRGSGCIPLHNKMEDAATAE ISRVQVWQWLKHAASTANGQRITKDLVLNILEDCTTKHLARAKDDHKYVLAKDVTADI LTGPTFPEFLTLPCYPHIVSYEVGGSKL H257_04398 MGQVLSQLLAVDANAPSDTFITIADKYETYEELQQSLRHAGLES SNLVVAIDYTKSNEWSGERSFGGKCLHAIDPTGATVNPYQSVIHIMGRTLEVFDDDKL IPALGFGDSKTGSASCFSLSADGEPCHGFDEVLYRYAQVTPTLQLSGPTNFAPVIEEA IRIVERTRQYHILIIVADGQVSNEKETRDAIVAASNYPLSIVMVGVGDGPWDMMEEFD DQLPARRFDNFQFVEYNKVLRLNQRNPEVGFATAALMEIPDQFKTIKQLGLLQ H257_04398 MGQVLSQLLAVDANAPSDTFITIADKYETYEELQQSLRHAGLES SNLVVAIDYTKSNEWSGERSFGGKCLHAIDPTGATVNPYQSVIHIMGRTLEVFDDDKL IPALGFGDSKTGSASCFSLSADGEPCHGFDEVLYRYAQVTPTLQLSGPTNFAPVIEEA IRIVERTRQYHILIIVADGQVSNEKETRDAIVAASNYPLCTTFHLAYSTSID H257_04399 MGSKQSKAGSVATPVRFRKIPDTFETYEELERALRAAGLESSNL IIGIDYTKSNTWTGQRTFNGKCLHAIDPTEQTWNPYQHVISIMGRTLELFDDDKLIPV FGFGDATTGGSACFPFLPDQQKCHTFAQVLARYNQITPGINLAGPTNFAPIIREAINI CQHNRSYHILVIIADGHVNNQDETLRAIVEASAYPLSIIMVGVGDGPWDMMEKFDDCL PARRFDNFQFVEYAKMIRYNRVNPDVGFATAALMEIPAQYKLIRDAGML H257_04399 MGSKQSKAGSVATPVRFRKIPDTFETYEELERALRAAGLESSNL IIGIDYTKSNTWTGQRTFNGKCLHAIDPTEQTWNPYQHVISIMGRTLELFDDDKLIPV FGFGDATTGGSACFPFLPDQQKCHTFAQVLARYNQITPGINLAGPTNFAPIIREAINI CQHNRSYHILVIIADGHVNNQDETLRAIVEASAYPLSIIMVGVGDGPWVLLYSRVYYV NI H257_04400 MGSDQSKPTQPPRPVRAAAAAPQQTFRAIPDTYETYEQLQAALR HSGLESSNLILAIDYTKSNTWTGQRSFQNKCLHDIDPTNQVWNPYQSVIHILGRTLEA FDDDKLIPAFGFGDATTGGRACFPFLTNGDVCVGFDQVLQRYNQITPGIVLSGPTNFA PVIHEAIRVCQAERSYHILVIVADGQVTSERETIEAIVAASNFPLSIIMVGVGDGPWD TMEKFDDQLPSRRFDNFQFVEYAKMLRFNRVNPEVGFATAALMEIPEQYKLIRKLGML H257_04400 MGSDQSKPTQPPRPVRAAAAAPQQTFRAIPDTYETYEQLQAALR HSGLESSNLILAIDYTKSNTWTGQRSFQNKCLHDIDPTNQVWNPYQSVIHILGRTLEA FDDDKLIPAFGFGDATTGGRACFPFLTNGDVCVGFDQVLQRYNQITPGIVLSGPTNFA PVIHEAIRVCQAERSYHILVIVADGQVTSERETIEAIVAASNFPLCTH H257_04400 MGSDQSKPTQPPRPVRAAAAAPQQTFRAIPDTYETYEQLQAALR HSGLESSNLILAIDYTKSNTWTGQRSFQNKCLHDIDPTNQVWNPYQSVIHILGRTLEA FDDDKLIPAFGFGDATTGGRACFPFLTNGDVCVGFDQVLQRYNQITPGIVLSGPTNFA PVIHEAIRVCQAERSYHILVIVADGQVTSERETIEAIVAASNFPLCTH H257_04400 MGSDQSKPTQPPRPVRAAAAAPQQTFRAIPDTYETYEQLQAALR HSGLESSNLILAIDYTKSNTWTGQRSFQNKCLHDIDPTNQVWNPYQSVIHILGRTLEA FDDDKLIPAFGFGDATTGGRACFPFLTNGDVCVGFDQVLQRYNQITPGIVLSGPTNFA PVIHEAIRVCQAERSYHILVIVAGSSSSHAMMSCPYVKYAILL H257_04400 MGSDQSKPTQPPRPVRAAAAAPQQTFRAIPDTYETYEQLQAALR HSGLESSNLILAIDYTKSNTWTGQRSFQNKCLHDIDPTNQVWNPYQSVIHILGRTLEA FDDDKLIPAFGFGDATTGGRACFPFLTNGDVCVGFDQVLQRYNQITPGIVLSGPTNFA PVIHEAIRVCQAERSYHILVIVAGSSSSHAMMSCPYVKYAILL H257_04400 MGSDQSKPTQPPRPVRAAAAAPQQTFRAIPDTYETYEQLQAALR HSGLESSNLILAIDYTKSNTWTGQRSFQNKCLHDIDPTNQVWNPYQSVIHILGRTLEA FDDDKLIPAFGFGDATTGGRACFPFLTNGDVCVGFDQVLQRYNQITPGIVLSGPTNFA PVIHEAIRVCQAERSYHILVIVAGSSSSHAMMSCPYVKYAILL H257_04401 MTPPVPSVLAPPLHPIELLDHLLGTLLLVLSLGFVLLVELTRRR VLSLHQLTFCLASAVSTLTIGGFVEHNVPNDVLDLALFGYYTQTSTLLQLRYAPLVGA VVATVAFLVFISLCSCPCCCVVDGDGSHQRCVLTRLRHALSVYCLALFVLFLVLGMRL NARMRLSAIKPVGGTLHWQMLQTPLCVSTLHVGSLALVVLKDVLHHAAAPPSTTYQHK PAHSPPSNQLLQPIPQRPRSLTTNPQTIPTKYQQGGALPHSLGRVPSKSTISPPPRHP ADASDDDSKPSAKSSTQVDKAPSQSGSPAPEPPFRGSSSPRSSNKSSVVQRVSPVRRR AATERDSTPPPTLTRRRATPVAQSPATAVPSPGDMSGLISSESESDDDVIVPSAVRQF TSEYAVANRLVAGIFRGGMPRQDRQDDVGNDNMPSPTSPWVLCYDEATAAPYYYCHAT GESRWELPETSVGAAAPVHSKWE H257_04402 MLRQRNTGRDDGGAVPTESSFSTRQILKKVDVYPKLHREFKVQT EAGATVSLVAMVIMAILFLSELRDFLTVSKHEHMVVDTSTTEKLQITFDISYPALTCR EAHMNAMDVAGDLQVNMHHTVFKTRLAADGTPIGKAMTHVPNAHPETLEPLPADYCGS CYGNTHPSGLTCCNTCDQVKEAFMTSDKTLDDAESTEQCLRERGKEEVDSKNGEGCRL HGSMFVNRVAGNFHVGLGRTFHREGRLVHQFLPGQQFTYNSSHIIHELYFGEPYPGMK GPLDNVAKTADRGGAVYQYFLKIVPTIYNDGVTTRYSNQFSYTQQTRFLDPWGTMSVL PGVFFIFELSAFVVQVDATSVPFTHFLMRVCAIVGGMVSIAGFVDSLIYHYFKKSPST SSAK H257_04402 MLRQRNTGRDDGGAVPTESSFSTRQILKKVDVYPKLHREFKVQT EAGATVSLVAMVIMAILFLSELRDFLTVSKHEHMVVDTSTTEKLQITFDISYPALTCR EAHMNAMDVAGDLQVNMHHTVFKTRLAADGTPIGKAMTHVPNAHPETLEPLPADYCGS CYGNTHPSGLTCCNTCDQVKEAFMTSDKTLDDAESTEQCLRERGKEEVDSKNGEGCRL HGSMFVNRVAGNFHVGLGRTFHREGRLVHQFLPGQQFTYNSSHIIHELYFGEPYPGMK GPLDNVAKTADRGAKTTFIPRRSRFKSSVNGRLNLLLIQVARCTSIF H257_04403 MCSLMRCQLRRSFSTSNKRPSRQTLRERLASGPSLAHFVNATDP HVSVSTTESNMAVLDDLMAQLQRGEREDHLVDTFGRVHNYLRISLTERCNLRCQYCMP EDGVPLQPSSDLLSAAEILRLTTLFAQAGVSKLRLTGGEPLIRPDIVPLAAALHAIPG IDSLGITTNGLVLSKHLAALCALPARLNISLDTLNEAKFAKITRRNGFQRVWAAIEAA VAASPVQVKLNCVVMRDFNLDEVADFVRLTARLNVDVRFIEWMPFDQNRWNDQTFVPF AEMLAGLHAAFPGIAPLDNHPNDTSKSFALPDAPGRFSFITSMSEHFCGGCNRLRLTA DGNLKVCLFGNAEVSLRDAMRHGGYSDDDLLLVIQAAVKRKKFALGGHRDMHGIAKAQ NRPMILIGG H257_04403 MQEREDHLVDTFGRVHNYLRISLTERCNLRCQYCMPEDGVPLQP SSDLLSAAEILRLTTLFAQAGVSKLRLTGGEPLIRPDIVPLAAALHAIPGIDSLGITT NGLVLSKHLAALCALPARLNISLDTLNEAKFAKITRRNGFQRVWAAIEAAVAASPVQV KLNCVVMRDFNLDEVADFVRLTARLNVDVRFIEWMPFDQNRWNDQTFVPFAEMLAGLH AAFPGIAPLDNHPNDTSKSFALPDAPGRFSFITSMSEHFCGGCNRLRLTADGNLKVCL FGNAEVSLRDAMRHGGYSDDDLLLVIQAAVKRKKFALGGHRDMHGIAKAQNRPMILIG G H257_04404 MHAIMGSGDHQYNGVEKYQKITSPQTEATTVQALPPVLHAVHRE TVTAESLVTDVTLAIAVVVIEGATEVGVGVETAVPVAEIRSPKVCSFVACRPISQQIN CVTNFLVAMGTFAMSTFPRTTPPASHEDLHSLNSMTFARRGKLSSPWIVQSSMVPKSA SCLRNNAEKHQNKCVNKSKKVAVVEVVVGVAAAVAVLIAAVVRRLDVAHRPVVVHVRP PRLKNDDTDAVVAIVAVPDVAKAARSAEIGPLINTTRHMSDGATKKPPVHTLVHILKT AMLLIPHEQVCAF H257_04405 MRKLNALKSINAGNIAPGRPGEHHCVHTGVGDGLAEPVGIMWGK HNWEGSACVFLSGIVIPALQCANFDNFTIARVHARVAPHTMDTPVLMIGCGVILWYVF DL H257_04406 MQTRGDERRSGDMELGRRPSDGLVTPDPHPRHSSMDLDDQSRPR ESSWLYRSTQDAESLANLDGVDWAELRASDGDTVAPILPSTSRSLCVVSAATIHDCTL PKLKKQPSNSNLIDTIMAKSSKGAMTKQKQKHGQQWTTCVTPVTIKESHPQAALGPLI PVVWVLGSVASVSSTLVGAALYHSDVLRSGLSLESFPRATLILVVHIALGVSSFVGGV VGDRAIHRMHVVRGSVWLWCIGAVILAVGGHPFVASRGLYVTGLILAFVAAGGLMPNL VATVSLGPIFLQCALVQLAGTSLTHGLFTPFPSASTMAYILVALLTLLSTATLVGMHL ATYRRWLGPAPAPVASLGVYVSRISLLWRGYFAGVLCMIVGGAVCAMSLVCVHDDSRL YGSQFAVATAGVILVVVGWLWTLFWGVAMVHPSGALRAWAAPSSLVSPPTSPVEPTNS IMSPPDLSDSIPPMGGQMDCLAPILAMAVFSSFVRGQLYTMYILQACQCSLRLVGGIT YTPEYTAVIVHVVALAVAPGVPRMLPRLPPRLGLAMLLYLIASFLSGIVELYRRSSSR IGGHDLELVDRTGPTCYKNPSVFSILWTTPQLALVGISEGIFQSTVGYVVHQAWPPMF RGLGHGLLALCHGVGYSTALGISMVVARWFTAPAPADLVLVFLLVTSMGCAVIACMKR LLVLDERHRIWPDLLPST H257_04407 MAAPWSWVSLDGKSVAAISSHNRIDIVDTQDFSLLGRIEGNLMS STPFHEHAIVRTIWNSRRVCLLSLSSTALLLHTPDRDKKLPCSSFTLQWHLPLDPDTV SDVAFSRCGQTLLLGGPGGLAVWNATSPTDFEFWWQDSTHPCDFVVFSPCRTVFASHA TLSTEVYVFRHAGVAGSLPHAQVLGHDEEVEYISWKPTHYQPQTSDDHDGTRPSQWDE PACVLLTLTRSKTIRIWTEQPPPPSSSGDGAGVVMTCIVFLQPSPGMDIVHAQWIVPR AQNISQEYFGPAASAAALPRERLQDWLSVVDSKGILHVWTVDALHLPTQSQLLQTGFV FKVDGEDDESLDDVSLVSSYQIAGYASQGFLSSVSILLERTDQVLLSYQVAWTPNHPP VLKDKSWYRGHTAPIAALATHPSLPLVVSVSHPRDGTTSELIIFWLSLSMFTSESHFV PSCILQGPPISAVHWIPTRHFQARPLLLISYASGRWEIYSPFESQLSTLLESPRLRHQ LSSSTSHARTRNETMVYPWMYHEHALGESGFEYEVSLVPHPEFGLGLTFTLLNDKIVV AGFTTGKTSSSGDIDASNAAGDADETSSTRVELPAAASRQISLHDECVSVNTVSMKGK MPVDLKAAVAAAPPHTSITMRFRTPGSRHGFDQSPSVTGERHHWSMSSQDGESDDSNH SGRDDLDVDEHGDDNAAGDEDGGDDDVRKQSHGRGNTHSRRRTAVRHRRLTQNVVSGG SISKFGGWSSLGHVVLPTAAYADLAVAPLYSDVGNFVSDAVVFFGVTDARELHCWLGV ESRKGEFEFVQLNITAPSKLVGITTVGTERDYRQRAFEASEPGGGSTDATPVWNALLF VGDDGGRLHHWRCRVSQSSRTMDMSLVHSSSPPPPSSSSPSPAASLHPSAFFRRGYTT TPSPSIDDAIGGRGGVFHMELDDPNRLAVVYTDQPESLYIWEGESGLGMLRLEAVVTS EGRGSIVGFCWCSGHVEFHVDPLAVHFATSLVIYSYDLHQQTWVAQSEQSVFYPLFDC TRDASALILAAGSSFPVSTVSYHEVPTVLGKWDVHRLAANQTDSTRPSDQKHCPVWHP YTVLTTLCGMPARVGLTHTTLADYRPAYDVQLAFGEAEQMLKLLAHVLEDDASTDLSA ENGVLVYLHENKTFGRRASMGRFAANTPTAAATSSSSSSSGLSRAEDLFAPTQPTSSN NNMSSSVDPSQECLTAREVDTLVTALDAVLRRHTQKVAVRYEDQEHRNAYVLFDSFEL EHVLELKGLLLFVQKMQSCLLNGYDMPAQRYFVAYLLTTCLQEVMQKTEDYVAVSNEP LARYEDSSSGLFVPTVDSYLHEIPSSSVLWALHSQSQNLLMDQCIHPQALWDDLRPLW PGLWVRDPTKLRSIMEKVAKCTFTRTKDAMSVSLLYIALKKHTILGALAKVSKVDSNK TLADFLAHDFTDERWSVAAIRNAYSLLRKKQYELAAAFFLLPTPPRLQESLRIIIGRL HDPSLAMVVARLVEFDQLPPTHGSLLDDSSDIFHVGTHTSEILRNDLVPQFRDQHNRW LESAALWWLQEYDQAAAVLQPTSQLVDVVDPTLLESMQSSSSATPESLSLVLRTKMAM DFLINLTSLPLYFQFTYCEVQLPLLKFAYAKLVQLHPTLTQEDVLTTKTDIDHAYSFT AYVCKHTGLSDTALLQMLQARHLLQVHVKKNFLYMLETHQSGSVGRSPGTSSSSSSKR AKLRAKLNFGGSSPRASHVFGSPRVTRSLARRTSVTSSLSLDFTTTPGGAGGVNSEAE WEQLLLPEQGDKLLPPPLSTTALWPKAEIADMECRRWSSSAFVGKMIGMRVAREMISH FRLQMDQISDHRAFLKELLDPLAAQFKVDRQYILEATLSVLQPHAPLHLVECCFVLSE LNRTHVMQEWIQCVSLSMLHATSHFPTVEWTDDVLREWKVLTAQLRHLQLLCDDQVLE FPSPIRLAMQWAMQLGSVVLSWCTNHPEKLHDVVLTDIPPVLDEFIVVTPVDAAATVQ HPCHALNFGYTFLAQAAHTTHLLRVRHLYSTILMTQIVRTVYCTDQKMLLTSPHDFND VTDNGCNGLYMPKTLWRAWTRHPLAGLKRWYLAMEAHVQLELHRIVAAEAPCECGHYG IDLAVRPRPPRLVAEDVVAASFPTDGAAVSDEALLLWMQHPVSGVPTTLRRYRVDPRV FIACFTLKDAFVWLHGHGLCDNLDDTHDLLGRWCQSRKVRWLVRRRSAISTNQPPPGN PAPSTATSTASNGHNGHTNGKVSIELIPDEAFCFVSPWEVDAELNVHVYMHGETTSAT TTHHNGPSHTGPCTHGPDAPHDPPASSCETTSGVELGWESLLPLTSHLCDDAAKVMFP NSDLKALWQTVCGEGWLVTAVQHFDADGLYMRQSQQHDRSATPRSRYLANLFKQVQRN RLFRYLGLPHRLVAVITVDLVEGKDLLACDILTRTADPYVFMTLSTASGTRPPPPTPS GWSINSYRSRHVVATLNPKWGTEHDKFPFRMALPVHEPHDDGPLPPSSSSPWREALLQ HAYDGPPTELHCSVYNKCKLRAHPFMGRAKVNLTRLTASQPMDVWVTLDDVATGALHV KISLKYQLMSSTSFDQDFGRREVEAAAGDDVS H257_04407 MAAPWSWVSLDGKSVAAISSHNRIDIVDTQDFSLLGRIEGNLMS STPFHEHAIVRTIWNSRRVCLLSLSSTALLLHTPDRDKKLPCSSFTLQWHLPLDPDTV SDVAFSRCGQTLLLGGPGGLAVWNATSPTDFEFWWQDSTHPCDFVVFSPCRTVFASHA TLSTEVYVFRHAGVAGSLPHAQVLGHDEEVEYISWKPTHYQPQTSDDHDGTRPSQWDE PACVLLTLTRSKTIRIWTEQPPPPSSSGDGAGVVMTCIVFLQPSPGMDIVHAQWIVPR AQNISQEYFGPAASAAALPRERLQDWLSVVDSKGILHVWTVDALHLPTQSQLLQTGFV FKVDGEDDESLDDVSLVSSYQIAGYASQGFLSSVSILLERTDQVLLSYQVAWTPNHPP VLKDKSWYRGHTAPIAALATHPSLPLVVSVSHPRDGTTSELIIFWLSLSMFTSESHFV PSCILQGPPISAVHWIPTRHFQARPLLLISYASGRWEIYSPFESQLSTLLESPRLRHQ LSSSTSHARTRNETMVYPWMYHEHALGESGFEYEVSLVPHPEFGLGLTFTLLNDKIVV AGFTTGKTSSSGDIDASNAAGDADETSSTRVELPAAASRQISLHDECVSVNTVSMKGK MPVDLKAAVAAAPPHTSITMRFRTPGSRHGFDQSPSVTGERHHWSMSSQDGESDDSNH SGRDDLDVDEHGDDNAAGDEDGGDDDVRKQSHGRGNTHSRRRTAVRHRRLTQNVVSGG SISKFGGWSSLGHVVLPTAAYADLAVAPLYSDVGNFVSDAVVFFGVTDARELHCWLGV ESRKGEFEFVQLNITAPSKLVGITTVGTERDYRQRAFEASEPGGGSTDATPVWNALLF VGDDGGRLHHWRCRVSQSSRTMDMSLVHSSSPPPPSSSSPSPAASLHPSAFFRRGYTT TPSPSIDDAIGGRGGVFHMELDDPNRLAVVYTDQPESLYIWEGESGLGMLRLEAVVTS EGRGSIVGFCWCSGHVEFHVDPLAVHFATSLVIYSYDLHQQTWVAQSEQSVFYPLFDC TRDASALILAAGSSFPVSTVSYHEVPTVLGKWDVHRLAANQTDSTRPSDQKHCPVWHP YTVLTTLCGMPARVGLTHTTLADYRPAYDVQLAFGEAEQMLKLLAHVLEDDASTDLSA ENGVLVYLHENKTFGRRASMGRFAANTPTAAATSSSSSSSGLSRAEDLFAPTQPTSSN NNMSSSVDPSQECLTAREVDTLVTALDAVLRRHTQKVAVRYEDQEHRNAYVLFDSFEL EHVLELKGLLLFVQKMQSCLLNGYDMPAQRYFVAYLLTTCLQEVMQKTEDYVAVSNEP LARYEDSSSGLFVPTVDSYLHEIPSSSVLWALHSQSQNLLMDQCIHPQALWDDLRPLW PGLWVRDPTKLRSIMEKVAKCTFTRTKDAMSVSLLYIALKKHTILGALAKVSKVDSNK TLADFLAHDFTDERWSVAAIRNAYSLLRKKQYELAAAFFLLPTPPRLQESLRIIIGRL HDPSLAMVVARLVEFDQLPPTHGSLLDDSSDIFHVGTHTSEILRNDLVPQFRDQHNRW LESAALWWLQEYDQAAAVLQPTSQLVDVVDPTLLESMQSSSSATPESLSLVLRTKMAM DFLINLTSLPLYFQFTYCEVQLPLLKFAYAKLVQLHPTLTQEDVLTTKTDIDHAYSFT AYVCKHTGLSDTALLQMLQARHLLQVHVKKNFLYMLETHQSGSVGRSPGTSSSSSSKR AKLRAKLNFGGSSPRASHVFGSPRVTRSLARRTSVTSSLSLDFTTTPGGAGGVNSEAE WEQLLLPEQGDKLLPPPLSTTALWPKAEIADMECRRWSSSAFVGKMIGMRVAREMISH FRLQMDQISDHRAFLKELLDPLAAQFKVDRQYILEATLSVLQPHAPLHLVECCFVLSE LNRTHVMQEWIQCVSLSMLHATSHFPTVEWTDDVLREWKVLTAQLRHLQLLCDDQVLE FPSPIRLAMQWAMQLGSVVLSWCTNHPEKLHDVVLTDIPPVLDEFIVVTPVDAAATVQ HPCHALNFGYTFLAQAAHTTHLLRVRHLYSTILMTQIVRTVYCTDQKMLLTSPHDFND VTDNGCNGLYMPKTLWRAWTRHPLAGLKRWYLAMEAHVQLELHRIVAAEAPCECGHYG IDLAVRPRPPRLVAEDVVAASFPTDGAAVSDEALLLWMQHPVSGVPTTLRRYRVDPRV FIACFTLKDAFVWLHGHGLCDNLDDTHDLLGRWCQSRKVRWLVRRRSAISTNQPPPGN PAPSTATSTASNGHNGHTNGKVSIELIPDEAFCFVSPWEVDAELNVHVYMHGETTSAT TTHHNGPSHTGPCTHGPDAPHDPPASSCETTSGVELGWESLLPLTSHLCDDAAKVMFP NSDLKALWQTVCGEGWLVTAVQHFDADGLYMRQSQQHDRSATPRSRYLANLFKQVQRN RLFRYLGLPHRLVAVITVDLVEGKDLLACDILTRTVPPAMCFQSGGVK H257_04407 MAAPWSWVSLDGKSVAAISSHNRIDIVDTQDFSLLGRIEGNLMS STPFHEHAIVRTIWNSRRVCLLSLSSTALLLHTPDRDKKLPCSSFTLQWHLPLDPDTV SDVAFSRCGQTLLLGGPGGLAVWNATSPTDFEFWWQDSTHPCDFVVFSPCRTVFASHA TLSTEVYVFRHAGVAGSLPHAQVLGHDEEVEYISWKPTHYQPQTSDDHDGTRPSQWDE PACVLLTLTRSKTIRIWTEQPPPPSSSGDGAGVVMTCIVFLQPSPGMDIVHAQWIVPR AQNISQEYFGPAASAAALPRERLQDWLSVVDSKGILHVWTVDALHLPTQSQLLQTGFV FKVDGEDDESLDDVSLVSSYQIAGYASQGFLSSVSILLERTDQVLLSYQVAWTPNHPP VLKDKSWYRGHTAPIAALATHPSLPLVVSVSHPRDGTTSELIIFWLSLSMFTSESHFV PSCILQGPPISAVHWIPTRHFQARPLLLISYASGRWEIYSPFESQLSTLLESPRLRHQ LSSSTSHARTRNETMVYPWMYHEHALGESGFEYEVSLVPHPEFGLGLTFTLLNDKIVV AGFTTGKTSSSGDIDASNAAGDADETSSTRVELPAAASRQISLHDECVSVNTVSMKGK MPVDLKAAVAAAPPHTSITMRFRTPGSRHGFDQSPSVTGERHHWSMSSQDGESDDSNH SGRDDLDVDEHGDDNAAGDEDGGDDDVRKQSHGRGNTHSRRRTAVRHRRLTQNVVSGG SISKFGGWSSLGHVVLPTAAYADLAVAPLYSDVGNFVSDAVVFFGVTDARELHCWLGV ESRKGEFEFVQLNITAPSKLVGITTVGTERDYRQRAFEASEPGGGSTDATPVWNALLF VGDDGGRLHHWRCRVSQSSRTMDMSLVHSSSPPPPSSSSPSPAASLHPSAFFRRGYTT TPSPSIDDAIGGRGGVFHMELDDPNRLAVVYTDQPESLYIWEGESGLGMLRLEAVVTS EGRGSIVGFCWCSGHVEFHVDPLAVHFATSLVIYSYDLHQQTWVAQSEQSVFYPLFDC TRDASALILAAGSSFPVSTVSYHEVPTVLGKWDVHRLAANQTDSTRPSDQKHCPVWHP YTVLTTLCGMPARVGLTHTTLADYRPAYDVQLAFGEAEQMLKLLAHVLEDDASTDLSA ENGVLVYLHENKTFGRRASMGRFAANTPTAAATSSSSSSSGLSRAEDLFAPTQPTSSN NNMSSSVDPSQECLTAREVDTLVTALDAVLRRHTQKVAVRYEDQEHRNAYVLFDSFEL EHVLELKGLLLFVQKMQSCLLNGYDMPAQRYFVAYLLTTCLQEVMQKTEDYVAVSNEP LARYEDSSSGLFVPTVDSYLHEIPSSSVLWALHSQSQNLLMDQCIHPQALWDDLRPLW PGLWVRDPTKLRSIMEKVAKCTFTRTKDAMSVSLLYIALKKHTILGALAKVSKVDSNK TLADFLAHDFTDERWSVAAIRNAYSLLRKKQYELAAAFFLLPTPPRLQESLRIIIGRL HDPSLAMVVARLVEFDQLPPTHGSLLDDSSDIFHVGTHTSEILRNDLVPQFRDQHNRW LESAALWWLQEYDQAAAVLQPTSQLVDVVDPTLLESMQSSSSATPESLSLVLRTKMAM DFLINLTSLPLYFQFTYCEVQLPLLKFAYAKLVQLHPTLTQEDVLTTKTDIDHAYSFT AYVCKHTGLSDTALLQMLQARHLLQVHVKKNFLYMLETHQSGSVGRSPGTSSSSSSKR AKLRAKLNFGGSSPRASHVFGSPRVTRSLARRTSVTSSLSLDFTTTPGGAGGVNSEAE WEQLLLPEQGDKLLPPPLSTTALWPKAEIADMECRRWSSSAFVGKMIGMRVAREMISH FRLQMDQISDHRAFLKELLDPLAAQFKVDRQYILEATLSVLQPHAPLHLVECCFVLSE LNRTHVMQEWIQCVSLSMLHATSHFPTVEWTDDVLREWKVLTAQLRHLQLLCDDQVLE FPSPIRLAMQWAMQLGSVVLSWCTNHPEKLHDVVLTGTYAEIITPS H257_04408 MGDVKKLEGRAMLCFPQGGEWFQGYFYYDEWSEEEEDGKQARTL GLFGVEVPVDDCVRCCHGGYREYKLTVIIYESQEEASMDVQKTGGDYCTIQLTDAAAV DAPGAYVHFETSSMLADESAVACIRQAFPSISAQCSNDLELQTCIVCIGHMFLGSSST HFWSQFNKPSVTRSSVCMM H257_04409 MEIVELDATSIASVRLLNLAALPIPVQEHIYREALTPPILSWVA LRDGKVLGAALVHDDGGQAGGLCLRTIAVDIRARGQGIGRLLLEKVVEHASSMMPKKK LYLHVQVDNDDAIRLYQRLGFSVEQRVANYYRRVACVDCFVMALVFPVLRTPTTPPS H257_04410 MAPQDDPATTHATTTLSKNKYNRVKDDFTNDTEKLEKMAAAVKV LLECMGEDVTREGLLKTPMRMAKALMYCTKGYSQQLSDVLNEAVFTEDYSEMVIVRDI ELYSLCEHHIVPFVGKVHIGYIPNGKVLGLSKLARISDVFARRLQVQERLTNEIARGL MEAVGALGVGVVIEASHMCMCMRGVEKSGASTVTSCVLGNFQSDPRTRSEFMSHVQRR F H257_04411 MTSSVDAMTVGLDEFFLAFPDDVEAFFTLAYGATHWGAIKSALA RPPAYTSVRVNTLVTTQDKLVVALNAALVDFNARLQAQGRPTIAAVPHSSLTDVVIVP SAPRVTAPVDATTTKKIIVDRLCGEAVLRGSDIFARGIMCASSALNAGDRVLVYVDLD HSATRGSDAELHAGRKVLLGVGTAAMPRSEMFRALKGLAVAVQSRLCADAPPLNGVLS GDMYMQNTPSSVVAHVLSPQPGDTVLDMCAAPGGKTSHLATLMQNRGTLIACDRSRRK VLEMKAFFESVNLSIIVPIKLDSTQSVLPKQDIVPSVHQVITDALAKDPQAKLLPITG FGAETFDKILLDPPCSALGLRPRLVHACNLTELEQYSNMQRNFLWAAVFLLKPGGTLV YSTCTVNPKENEQMVAHALDAYPLELVGQNPVLGEPGLLNQGLSADQAAKVQRFDPAS ASDTMGFFCAKFVKTKSIRETTSSQNN H257_04413 MAPPAAEPSDSLRAVIALSTHGSRAPAQAAASLCPENAANLNAY AVPFEQLTDKGMQQMVHAGAHTRDVYVQSKHFLSPTLKQKKHFDAYFRADPSHSCSQS SVTFGYGLYPDSTSTTLGFPVPVPVVMQLLSNEHDIAVTHGPCRETLDADLARFDAGE GKKLFASHASVLHQLGIACGRPFDVVQSPSDDLSVIADMLRSDSSQGLRSIVPPNVAD DVQGLEFNQMLGRYLGSPRQVTYYLGGFPDLMLSQLNKAAENVSPANDASQYKLYAYT GHRQLLHGMGLLVGWNFHFDGQPQSTKFNTSALPAGATLYFELHAPSDSSPVVETHIW SPQTNRTRVKLTKCSSISCPLSEFTDIIDRHIQHTTPWQELCQYHPVNQDSSIDGTMS EPQSNHLVILGTVLVALTLFAMHSAYTRINQFRRQSQYRQL H257_04412 MPTWALLATNGTGQGRANRNAFSVTGFIYMCLFGASLVQLVRNC RSYNSWTQQKTVHALLFLVTLLRTGFLLAVGLFDWCEVATAGTLSASCEANGVERQAF YALDQLPNMLFLSMYLLISLFWAEIYYNATDQLDIFTHVVKPVSRVVHGAAYLLQIGL WVLYADPWRSEDHYFGRGYAAFSTTFFVLVTLAFVIYGRLAYVELRAVPVDLPIRSRK LQEVTLVTAVCATCFTGRSVLILYLSQDHVQLQDHLTWLMVALYYSIFELVPIVVLLH FHRRFPVSSNNQPTSVGRSSPHKAAPYRFIADAIMDDDDESDSDLSTEDSLRQTLLAS S H257_04414 MNTTATETPEAIAVWSQHLTSVEALTLVGLPIASSVLIYVLLRV VWKPLMLAPKYSLPLASNVPHYGATTQAPSTRRSPIEEGEVAGDYEDNWVNTFDFVFF IGMVLYLVVMVVLTYIYSPDYFSTPSFWWSQLPKLACMMVVSLIGGLICRAFCSVDNK GYIITNKSSAFKVNYTRKLQHFAAYMVPLVLHTNFHGPLALAWGDFFTMIGFLVLIKP VREALSVFMLQFNSLDRPEDRPHTLKWIIAGNIAPGLLILMFFKWLFAENGDLVYIIV FITGVGDGLAEPVGIMWGKHKYKTTACFSSRAYTRSWEGSACVFLSGMIFPALQYADF DNFTQVLVSMLVLPPTMAYAEAIAPHTMDTPVLMIGCGVILWFITSFI H257_04415 MSNLPRIEPTLVALSKPEHHSSAPPPPPPLYVPMSFLPAPQPMR SFDDAKRDELSHRTNEGQKAKRLLNDVNLARNEIPEASVLDSSILKAKEQAFARLWTE VLIKLEATEHRRFQNMRWFRKGTNDTLDAVARELQLRPPTLEIPDRPSADMHVNAVVL ALWTESTSSGGTHQQLNAWPVFGGVCYNMFKPRTEQWVARPLSQYPLARQVTVNWVTN LLYSHVDSLMAHCEAPPPSPSDLYTTIPIPTAIADQDKGLLQEVKSEVHIVHIPQRNT YHLTKYRKYVLFVPAREAFKVTVDGRKRRRGQHSHDQVHYEELGTLDKKDGIMLMRRG SKYGQHRGGTMVQSQWILSREFEDEGTINPVVKERYCTLACSISSDMKSFGVEHDKFQ HEWANWSVYQKRRVQLTGGVETDIVELIEMAVSTGIQHADTLEFVPYDGETGATPHEM FSNLVASLTTHYTNVFRDANTKLVAQLRSIQPGAVDTRRVVAVQVAADVSPARPVEQS MVAAPQPTMSPTLKELERRPRKRSRRNDVFEAAILLSSELYSNEFDAMDLARVANVFA SNADTATLFLGLGPAVQRAWVADHIDAFRRE H257_04416 MHHQSEAVDAARQRERGWNASPNVPSKRVLLTHAQLDPRAAYRT MKKAEVRRLAAHPILHSKRQANCLNATGRQRRGVRVEPLPLELVPWNATTKMDSQRVV ASRRPAPMDRHVVVQEREARVGRLARLVFLPIDHKLAWNTSNHVAS H257_04417 MTLQVPISPDFFHCPQLPPADLDHLTALGEQAALDLIEYTRLVG GPIDWSFHAQDDNVKLFRGRQDNVPLFCAHIEIDATIDDIIPNFLTTDTVGVRASAFA FFPDIMDIVRLYNIALPSTNRPNHFLGINWCLLDTPFGGHVVKRRDLCYLEFQDDVVI DGRRAWIRALVHVEVAACPDLQAKYGVVRGKMLHSGFIYMECDRPGVLQVFELQHVQP NGAIRGAVGDYLVTMSAVSQCNNLNLLGHKLRAARLSRLQFLPDHAVVPPASRPTCNV CHNKFGHLRRRRHNCRRCGEVVCGRPCSSVWKLMASGLRVRVRLCYPCASVNLPENDD RIETSQSMGAPDFQAYFNPPSSNSSDHLPPRRRPPSQHHHHRHHPASNASPNVVEGST YMLGTPVDEFLSYCSSQLQQQHPTPPFRSEPNDEWGGSVDENQPVDVQSTMRTAWVTQ DEMGWAKYPTAPSDATSLMSTPIHVSAPTAAAAVSSSSSSAANNNGRPKKDMPFGSFA MSSQMGLSSQ H257_04418 MNRQPRRGGNNRQLPRQHQSTSRSAGSNTRNDSIAPGLSVAVVQ KHDQPTGRLTYGVVAATLTSSAVHPRGIKVRLTDGTVGRVQHIQPADASKVHTASSFA CTDESDFVRPPPPTTSLLDWMHPSRRTPNAPPSQPSIPQKFHDLVTARSTPAAAIVDD EHATWNCAVCTFENSSFLVSCEMCLSSKPESS H257_04419 MRWVDAWRHAHIEVIVPRKEEASCPHVTLLEGGNTLIYIRVHTN EPSSILCAGTWFKHRSLVTATCLLYTADKSTTVMRELLTFEPHGESTSSFFLLRSTCS LHVDAAFVDRPLELSVHIQIDGASDSFDQVESSLNYDFLDSVGMPSLVSDVSPASCSP VVHELKFSPSVVLLRPLHISHVLHHDPLDPPTSVVLQLTNVHASHTITVADVFLHSPV PHTGGSNTTSTCSSSIAQEPPIEHFPAVVGPGESFQVVWSVADSYTTTTSSFTASVTW HMTSDSAPQELGDPAMTWHHAIPLPPSDPPGEVSSKAVVRRMSSTSVSARWVASSPAL SSGVVSSAHIHVTNHSDVARTGVVLLLPYQPRHHWHNKIELADHDSTASSSTSHMHLL ALATQLTAQAPTDFVHVQASYALGTLGGGCTAVVPVEGVPLTLGRLRMEHAVVFDGGN QVFYRQDKAWDVVCDADASVHSVA H257_04420 MQTTAQGTNEAAHGESIASLSLKDGVSTSNHISGRVDSAAATGP ALVPDELERARSASVPTARRPLTDSALNGIEKERRVSGNAIDVILVKGNDGRFYSTPW HVMFSWSSFKTNAQAGVGDTIDVYVNDRKLSPTMTLMEYGRCTFTPDDADNLLPPIST WDRFPLVGDGQPNFVRFEHVRASRSYIRTVECNLYVWGPDDFAVIADLDGTITIDDVG GHIRTLRLGQYDYIHAGSCAFFSKLHAIGARIFYLTARPINWAGGSRMHLKEARQDSG QQLPPGPVVTNSLGLAGALFVEVVHKNPNVFKAGVLKQVTNAMKAAGRTSEFPVFVAG FGNRPTDIMAYTEAGVDPTCAFLIDPTSALTYAAEKSPTFASYTDPRALMWLLPKIKY KVPLAHFRQIDELTAKEVDRADELEVLLIMQQQQKLAAAANAANPIVTSGSVVVEADV LSPPPPLPALPVEKEG H257_04421 MDELVSTFRWDLLWHAITERVYREIIRPHVLLNGNADEWIGRVA AFVAMGVSFRLLRSIQTAIAAGTLSGVAAAVLVYFRRRWRARRRTSVQQLASDTTSST CSFR H257_04422 MDMKRRLLRVDHLPMMALQLTESNSLEIRTPHVEFHPHAVDVVE TGSAFKLQEVAASPHLVKEDAARKKHIGKVELEACLVGTEFQGRFPDQGALLGDVYDD VPDESDEGVYDALEKAYNAAFSNSTMDRVERDRKFHQQVGQVTLAHRAPHHHLPKRQS TSESFGAWKERLLEGRKDAIFAVLKSKALDDLNDYREYFIQQHQRKLNAMRNRKLELD ASDVWRDDIVGTSAVETAATHLDERLGRRKAALRDLAARCRDLQLKRQTRPQSNDSLG DNATMTKGDENLSSPLPTTTSNGHNPIMIARPRDILADNAKLVQYLIEEADVFVDLLL GSSPRPSIANHGPHQEATNIAETNASRLYRDHVDDLAEFDADCKLRCHHRRHVADLPT LPNECQRAPILMDVSNPFQGSSTWDWVQTGQKRASREDPWNTNIDTRCDDLHDHRRMA ALSHQGVNGLLVLGSPTTYDQMVALLVGGVASGPPSLVVTPHVLEWEAAFASSSSVRV VSYWGRPDDRKLMRTMWRPPRVHVVLTHPQTLEQDAAGDLESVLWQVVTCDHAPPRRP VDDKEDGYPSPPWSGRALGLRCRQRWLMLSTEAKVDLRLLLHFVAPALFDSKQKTMAW GTAALDDVAVHSLRSIVQRVCVGPSEALDEATRHTLDVTADERAVLMALDSTPSIEYV LATPSKEGPSEMDYLEKYKRRNSHAKIVVDTKRLMLRTPTTPMLFETPSSSIELSLPK PTVVDPKLIACKHCAKTFMTSSGLLKHTKSDHAPPGTWTCRKCGVDCGTMALRNAHER QEHDESITPANKPTEQLLVAATTWTTPAPHTPGSAGSSASAKPSRRSTGGGGGKKRVT RCGKCAGCVSGDCMECGHCQDMKKYGGPGLRKQSCKNRKCTNPQVLGSVGGDDDSSKV RVEFDESESSGESSSESADPRRDSIDHSIDDGDADDDDDDDAPIKSPHAAKSKASRGA ASRTRVMRCGVCVGCVAGDCLKCRHCQDMKKYGGPGLRKQSCKSRKCVTPKVVLLNQG QEDGDDDDDDDLLYDEPHHQHTPRSSTSTTTSSATPAVPHPPTTTTPLWEMETLVDAA SALAPSVRLQAQLNRFLKITCLRCNAKFLNQSLKSVHDAVVHERHATSLWQRTFVRDR LATLQFQHTLVATDPRRKKSLEFEPVGYAKLVGPGLTYYMLRPQATLGRVGSEWKDRY RTMGVDLRRGFSGGDVDCHLGDDLMISAQHAKIRWDVRRQRFVIECLSVLAPLSVNGL EVTFDMAPLPLASQSLVQVGAFYFFFLLPVASSNNHPPSIAADIAQTSRHRQGLPRQE VYAWLAHKRAALRLSRLQQDQESHIKRKGDSVDDTALKRRKVNPHAGAACTTDMGSGE LDRRTAA H257_04423 MDVAVAAYIERYGTVEDILVLDLVSCEWRRWARDQLRWRTRFQR LYLEEFTFLAGEQDEAADSQDWRLVCVQHDLGYASGYHLGAFTAQDEASMLRWLFVTR QAINRMSRTMTDVLATSPPELMPLHEATYWQRSEEHLKLLQDSLGGWTAGESSAWHPE WNVKLADHVQTIKKLHNQAQWNAKYFKVLEPPFQAILATDMTQIPFIVPPMIKTLKMM WSSSKYYKDCFKMGGLLCRIAQALCVRVCRAISITALLQGDDDFQDSIDVVESAGVML ARWHDVYDPSSTVWGPFDMYSLFHRVDSVAQRCSEVRSALVLLRQVRTFMIDKVQNCD DGSHIDPFERMLQDMDRDLHRHWGAIDDVFAERHTATWQHGMAALRTHSDALLQFVHR LQDKVPIY H257_04424 MSSSTPVSPSSTGGTPGATSMGDLDRQIEQLKRCEYLKESEVKA LCQKAREILVDESNVQRIDAPVTICGDIHGQFYDLKELFNVGGECPETNYLFMGDFVD RGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYC TEIFDYLSLSAIIEDKIFCVHGGLSPSINTLDQIRIIDRKQEVPHDGAMCDLMWSDPE DIDGWGLSPRGAGYLFGGDVVDKFNQTNDIQLICRAHQLVMEGHKSMFNNALVTVWSA PNYCYRCGNVAAILELDESLEQKFKIFEAAPQDARGVPSKKPAPDYFL H257_04425 MPSGERIQVAIRARPTKNGHKSSLSWRNNTLYIQVDGRDSAASF LCDRFLDASTSHDEAFLKSEVASLVQSAVSGYSCTVFAYGQAGAGKILHHLGPD H257_04426 MLQMRTPPLMVAATASKGHIFLVLALAMLLAMLQSMSRYFSLVV MSVLAVGWRTAAHSHALSTPPSDECSIPPPLNDLQPVEEAHVQGSAEPQAHDDVCVGL EHLRVVDEGSATNRGIAINSATPVPFENDFFQGHVYFLVKTTSPNATWQHLFVGRKRN FWIQVQGKFKRPPRGTIFMGGELPRSVSVGFLARSLARLVSSMMRRLLGTTHVGFGDD EERPHCVFPLFQTVDEMVVTPVGAMPPTLGQAQFGETLVAQQRRKATPLGGETIHVDA TYTFQFHTMYADLAKWTIVNVPGMQDVPLRTFFQDEPLLLTCYDLRPTPKHDHAAKQY LFCFSIHWTPPSSSSSVSSTAASTSTCSPPHHIITPSASIASPSVTPPTSPLPLCIPY WLERLDRNKNRVVVYLVRDQPRDREPESSPLRQLHELPAKMLRSRFSPLSQIHATSKL MRYDAIDSQRLVVQAHSSLLHEVPATPFAWVPASASSLGVTFHNRQANVVLQQQVVRA MSESQLRQEYLVLTHNALQLYRTFSSTSCVQVSLENIMAVSPWTLPLGEGTALAHGLH IHTNGGHVYLCLPSSQAQNEWLRTIAHQRCRLDPSTTKSTANITPYSIKWIDDLVPPS RTGVLNAFRLFRPLKRQTDSTLSHAKDEVAGLDCMEVADAAVRAANALDTQRKRMTEL SGDDNGMTWQHDVRAFLEVVALLRWVNVSTLTCYDAKVAFYLNVHRVLQWHVGQLAPT KHSKHAAAYCVGASATPLTLQELEGLALRPRHADVVSASDFRIRLALHMSTSPLPRFD PRRLQYQLNALCTQYFRHTVATDVHRHIVQLPALCLRFRMDYGPQGNGIECARKVLGF LSSTCAEDVQTILLAHDEAPVDIQYVDDANVVMDGNLVDDEYIVDA H257_04427 MTADPTSTTCGGQPELEEVPPAARRTSARSIKSDSYFMGENIVR SIAPQRLVLVMVGLPARGKSFVVRKLTKYCQWLNLPTQIFNAGDYRRKASLTGADASF FDPNNAEAKRLRDALAMAAVANLIQWLEEGGQVAVLDATNTTKERRALVWDKFKHMEN TQVMHIELVCDNPSLLEANYLRKLKNEDYDGMDPQVALNDFRQRVAKYEKVYETVQDS ENDGTVCYCKVYNAGEKVLARYCQNFIPSQIVSFLQNIHVHPRKIWLVRPGPNKNGVR GILGGDEELTDEGHAIASALGTFMESAVQDNKGYVDVWLSPMKRATQTAEYIRQDHVT RTVTTTLLNEVGGGDFAGYTFEELEAEFPQHVKARRTDKLYYRYPGAGGESYMDLIFR LRPLVIEFERKKRDCLVICSESVLRCLMGYFTGVDADDVPHLPTKKGVVFELSPHRDG CDIKQFQLEFEAHSE H257_04427 MTADPTSTTCGGQPELEEVPPAARRTSARSIKSDSYFMGENIVR SIAPQRLVLVMVGLPARGKSFVVRKLTKYCQWLNLPTQIFNAGDYRRKASLTGADASF FDPNNAEAKRLRDALAMAAVANLIQWLEEGGQVAVLDATNTTKERRALVWDKFKHMEN TQVMHIELVCDNPSLLEANYLRKLKNEDYDGMDPQVALNDFRQRVAKYEKVYETVQDS ENDGTVCYCKVYNAGEKVLARYCQNFIPSQIVSFLQNIHVHPRKIWLVRPGPNKNGVR GILGGDEELTDEGHAIASALGTFMESAVQDNKGYVDVWLSPMKRATQTAEYIRQDHVT RTVTTTLLNEVGGGDFAGYTFEELEAEFPQHVKARRTDKLYYR H257_04428 MAPAKAAAKSAKPAKKVARRQNVVLARGINAISANSLSKSNGRF NAAAKAKAVVKKVSTKTLQNKKWYPTDYIPKPLPSAKTARNSVKTAKLRASITPGTVL ILLSGRFRGKRVVFLKQLASGTLLVTGPYKINGVPLRRVNQAFVIATSTKIDISDVTL PELNDASFAKEKKTHAKKSEDEFFAQSSTTAIVSEQRKKDQKAVDAALLKKINATAHL KHYLNAKFSLTKNDRVHELKF H257_04428 MAPAKAAAKSAKPAKKVARRQNVVLARGINAISANSLSKSNGRF NAAAKAKAVVKKVSTKTLQNKKWYPTDYIPKPLPSAKTARNSVKTAKLRASITPGTVL ILLSGRFRGKRVVFLKQLASGTLLVTGPYKINGVPLRRVNQAFVIATSTKIDISDVTL PELNDASFAKEKKTHAKKSEDEFFAQSSTVRVAC H257_04429 MDLATKRLRKEYTAMKKKPVENIEAVPLETNILEWHYVIRGIGL YEGGFYHGKLKFPPDYPMKPPSVYMITPSGRFESNVRLCLSMSDFHPETWNPLWSVSS ILVGLCSFMNEDTPTTGSIASTDAKKKALAESSLATNCQSSVFRKCFPHLVEVHENLQ RQATAAPQASAAPAVAVPSEVVQKVTDQ H257_04430 MARVLQHLQSQVENDLLHCRIARRCLHFGPAASAMYAAPLSSRL AGGIERCCIVFQNRRTRWLSVENHVDSTLVVYRFERRADKLSHAVDRAEMVSLCETTA ELERAAVDLELDDRGTCPEHQLTQELEAIMLKKPWRTMVKADECSISVRMHRRDWPPS TDCGPAVGEHRERTITTGLMEATNARFSVRHVSHGLATGDYHVESYEPHPCPYRSSSS SSLHQVIIKLMYVITNNPKRTRGFTTVDHGDRYRRNVFPSFVPSIRQRPDQSRVEWDR EAACPEMAEEEFHATFHGFSSLMEGFLGAAQD H257_04431 MSDDNIIVWDAGDGFDFLPPVDDSIFAGILEGVDMSSSDSPGPP AGKPTKRRLRSQTARMEILTLHETKAELEKVVRDIARNRDDRTLGMSSSERKWEQIAR NQLELKLKALRENDQLRAAVAEQHQLTKELQAIVHKKPRRMMMKLDDDQWRVLKLSAH GEQRLAAIHLIADRQLDTVESELLTTGLIEARDPLFNVSYVQHGSDAYMQGCCCVQYR RSLHAVVNAAWKAMNHLHAHAKGSTHQPRQAYSIRIDQHTVLIRVAFQASTGPTKLES SVILKKRQLSASHVRVVFRSILDDAGHPFDADSYVSDQYGWVDVELTDDDDGLVTYKG YAKAKYVLKPRQNDANLAELRTLLESVHLDDGESFVEDKDPIKLAEEVFRTSFHGFGL LFETILAAEDDVERVDATNTQ H257_04431 MSSSERKWEQIARNQLELKLKALRENDQLRAAVAEQHQLTKELQ AIVHKKPRRMMMKLDDDQWRVLKLSAHGEQRLAAIHLIADRQLDTVESELLTTGLIEA RDPLFNVSYVQHGSDAYMQGCCCVQYRRSLHAVVNAAWKAMNHLHAHAKGSTHQPRQA YSIRIDQHTVLIRVAFQASTGPTKLESSVILKKRQLSASHVRVVFRSILDDAGHPFDA DSYVSDQYGWVDVELTDDDDGLVTYKGYAKAKYVLKPRQNDANLAELRTLLESVHLDD GESFVEDKDPIKLAEEVFRTSFHGFGLLFETILAAEDDVERVDATNTQ H257_04431 MMKLDDDQWRVLKLSAHGEQRLAAIHLIADRQLDTVESELLTTG LIEARDPLFNVSYVQHGSDAYMQGCCCVQYRRSLHAVVNAAWKAMNHLHAHAKGSTHQ PRQAYSIRIDQHTVLIRVAFQASTGPTKLESSVILKKRQLSASHVRVVFRSILDDAGH PFDADSYVSDQYGWVDVELTDDDDGLVTYKGYAKAKYVLKPRQNDANLAELRTLLESV HLDDGESFVEDKDPIKLAEEVFRTSFHGFGLLFETILAAEDDVERVDATNTQ H257_04431 MMKLDDDQWRVLKLSAHGEQRLAAIHLIADRQLDTVESELLTTG LIEARDPLFNVSYVQHGSDAYMQGCCCVQYRRSLHAVVNAAWKAMNHLHAHAKGSTHQ PRQAYSIRIDQHTVLIRVAFQASTGPTKLESSVILKKRQLSASHVRVVFRSILDDAGH PFDADSYVSDQYGWVDVELTDDDDGLVTYKGYAKAKYVLKPRQNDANLAELRTLLESV HLDDGESFVEDKDPIKLAEEVFRTSFHGFGLLFETILAAEDDVERVDATNTQ H257_04431 MSDDNIIVWDAGDGFDFLPPVDDSIFAGILEGVDMSSSDSPGPP AGKPTKRRLRSQTARMEILTLHETKAELEKVVRDIARNRDDRTLGMSSSERKWEQIAR NQLELKLKALRENDQLRAAVAEQHQLTKELQAIVHKKPRRMMMKLDDDQWRVLKLSAH GEQRLAAIHLIADRQLDTVESELLTTGLIEARDPLFNVSYVQHGSDAYMQGCCCVQYR RSLHAVVNAAWKAMNHLHAHAKGSTHQPRQAYSIRIDQHTVLIRVAFQASTGPTKLES SVILKKRQLSASHVRVVFRSILDDAGHPFDADSYVSDQYGWYTYHVHTGVTLVCIG H257_04431 MSSSERKWEQIARNQLELKLKALRENDQLRAAVAEQHQLTKELQ AIVHKKPRRMMMKLDDDQWRVLKLSAHGEQRLAAIHLIADRQLDTVESELLTTGLIEA RDPLFNVSYVQHGSDAYMQGCCCVQYRRSLHAVVNAAWKAMNHLHAHAKGSTHQPRQA YSIRIDQHTVLIRVAFQASTGPTKLESSVILKKRQLSASHVRVVFRSILDDAGHPFDA DSYVSDQYGWYTYHVHTGVTLVCIG H257_04432 MDTSSDDGAFSHRHVSTGPDQFYVEGMRCVQYQRSVASVADAVW MAMTSPIHDQNTHSFAIDQDTIYVRVTFESTGTSVKVESSVILKRRMVDCNHVRLVFR SILDDEAHPFAADSLTSNKWERRRLSCATYTKATSARDQHPPSGSKEFDDLLALLESV HLDKGKTFAKDMSPAELAKDIMNASSRAFGARVEQLLMATDDELAASSNAC H257_04433 MASTTPTSSGNISQDDDQAMTLKKPPPPKKRTNKLLVELLPLQH DEVDLEQQRARRMAHSTSDVAR H257_04434 MLHEQPSYQQPSYQQQLESLVMKLQDDSWRVLQLGAGGEKRLTA IHAIADRQLDSVDSDMLTCGLVDMFAKCESFQ H257_04435 MDFAAKRLRKEYIDLQSNPIDNIEAVPLESSILEWHYVIRGVGV YDGGYYHGKVIFPTEYPWKPPSIYMLTPNGRFKTNKRLCLSMSDYHPESWNPAWNVGT ILVGLFSFMNEQTRTTGSIESSRDDKRKLADASLAFNCHPQNTVFRDTFPHYVEKYHD MENYFVDDEIKALVEAEMLKW H257_04436 MDWLKDGACLESTYPYTAQTGSCSTSCAKTKLSFGQTIKSTGED KLASALDSQPVSVLVESGNAVWRNYKSGVVTQCPGGHSDHAVIAVGYDATSFKIKNSW GTSWGNGGYMTLKRGVGGKGMCNVAEAVVYPALAGDVKPTSQSPTPSTRKPFPTSPSS STRKPFPSSSNAPPTTKPRRTRKPRASKGGHSGAYPSDN H257_04437 MKATLVLASAVSMASAAKQSILTLGSEERSILKNELDEWKAQFL DIAQAMGALPTHTESLTDVESDELQRFLDTKIQVEVARQANPEAEFDVNNPFALLTHA EFLQMVQVSFDQSSLGHLNAVEDTTPVNVTATSADWTTSKCLSPVRNQGSCGSCWAFS TIGAAETAHCLASGQLLDLSEQQLVSCSKNGGSMGCSGGWPQAAMDWLKDGACLESTY PYTAQTGSCSTSCAKTKLSFGQTIKSTGEDKLASALDSQPVSVLVESGNAVWRNYKSG VVTQCPGGRSDHAVIAVGYDATSFKIKNSWGTSWGNGGYMTLKRGVGGKGMCNVAEAV VYPALAGDVKPTSQSPTPSTRKPFPTSPSSSTRKPFPSSSNAPPTTKPHHTCKPKAKK GRHGSVSSSSN H257_04438 MAVGFGSSSSGQYFKIKTRGKGMCNVAEGISYPQLGGHPQQVTP GKPIAPAHRTTTRKPRVPVHTQTDSETELP H257_04439 MKVLCSLLGLLSAVAANTASLPDADHSALEQQLTKPNALYGPLA EANGFTPEAINSHTNLELSHETSLQGQTEAAETPVATFSEVNAPTDEDDLDSDTPGTS PSNVPRTSSRRPTTKSPSSNGPPLPTAPVSPTDDNGNSPYPTRVPTPNSPPLPTAPVP STSSPMDDDGIDCDDDDNSDNGDNGDNGDNGDNGDNGDNGDDDMDCDDDDNSGDDNSG DDNSGDDDDGLDCDDDDVPVTNSPN H257_04440 MLQLLAVVWWCAVASSVATDDLYEVVPDFTGGFGCDGPLQNLDF FGNDLFQFKGDGDACKKACNDTPACGAFTLAYGQCFIKSDVGSKVSSTRGCKSYICYR QR H257_04441 MGSWDCDHPQPREGGSRCTLMTTSSDVATVHLEDEFMDDLNTDL LEAADIKLQKNMYQREKQGKYRQQERALRVQLRNRIADLEAILLRAKRRGSLMLSWED IALTMREEHDLAQVENSRLDQQVRHVRTLLHDMKRWVHATLSVSPSHVKSTWRNFTLL QHPESRKLGMEWITQQLYHNLDSVQQRYGFPSMFSTVTEDDLNVVFDDSGCYQFIRRH ELFMQNSLDELRGVMQADIWQFMINYARLHICHDTPHSAIRHQTLEGAYEQSNFLSRE FVEGNRIVYVGQQMHEDEAAPTIKLQRNRHVWYILQAIAPSVTKIRMLFVVSSSFSKG GQTPLDEEARGFWGLDLHGLDEDSMQRKFREHALAVCGPKHHQRHDQYLESLNSYLRT MRA H257_04442 MRNLYVNSQSFTTRAYLALPDEVKFWDCELSGTPEVEDQFKRHA SAVAWRQYNAGNQTLHSSVFGLLGR H257_04443 MVTTESAAAVDDPQLGISPKGHVQFNLMTDADNVVLMNDAGANE NNLEEGVVEGNGSPAELTDPTQLVLQACAVPKGKSMDETLRMNEAKEYMVKQFGMIQE QVGMNPKTLQFDSYIPSSDPPEKFEVGYLKWAQEASLVRIRLCFAVGFVFLAAYLAYE VQNDLFTVRTGVPRTNPASAINPKISTLLYIVTFGGGCGAFALGYAAAASSRIHRVYG GRYILVQQPWAAKHVETITFWVFAVVGLSMIFKKPLQQQTGPVLPLVILIIPIFGITR MRFLHSCVLAWGIFLVYLTVQLVSLRFIHAIPGVWKYDNVSDILYQTVNYGIGIIGGM VSHYRQELTRRRNYALKLPFAGPALDDAPVDFSAHSYCEESLLDPWTLAFRNLEIEEY FCKVWYLIDPHPYENPNRGDIHEHVYWTIRYAVLGVCLSQIVLGLQDVKLLLMKHFYF EYGMAAIIRFAIVVPCYFVAFYFLYLLGKKYWQVFLRRGMSQMDLHEAVAKDASRRHT IKTQWVESKGGYVRSAQVFSVVVVAIHVCSMMVLLLQVGRAFMHNYTDKQPKPSKPNV YFMGLLNAILFAHRSGFKLRFVYGFRSTSVLIVLFIFFASHNLHFDPWEYLWLEYTGF LICTQVLGMMISHEEESLRRNFFILKSIRILEFETWFAGVLVIQKRVRRFLKRHKSRK QLLLQTSTSGGDGPTKPPVATKSVAHAQAFLARASLLGCRAQMIQIAVVLFDVIYSAL TT H257_04443 MVTTESAAAVDDPQLGISPKGHVQFNLMTDADNVVLMNDAGANE NNLEEGVVEGNGSPAELTDPTQLVLQACAVPKGKSMDETLRMNEAKEYMVKQFGMIQE QVGMNPKTLQFDSYIPSSDPPEKFEVGYLKWAQEASLVRIRLCFAVGFVFLAAYLAYE VQNDLFTVRTGVPRTNPASAINPKISTLLYIVTFGGGCGAFALGYILVQQPWAAKHVE TITFWVFAVVGLSMIFKKPLQQQTGPVLPLVILIIPIFGITRMRFLHSCVLAWGIFLV YLTVQLVSLRFIHAIPGVWKYDNVSDILYQTVNYGIGIIGGMVSHYRQELTRRRNYAL KLPFAGPALDDAPVDFSAHSYCEESLLDPWTLAFRNLEIEEYFCKVWYLIDPHPYENP NRGDIHEHVYWTIRYAVLGVCLSQIVLGLQDVKLLLMKHFYFEYGMAAIIRFAIVVPC YFVAFYFLYLLGKKYWQVFLRRGMSQMDLHEAVAKDASRRHTIKTQWVESKGGYVRSA QVFSVVVVAIHVCSMMVLLLQVGRAFMHNYTDKQPKPSKPNVYFMGLLNAILFAHRSG FKLRFVYGFRSTSVLIVLFIFFASHNLHFDPWEYLWLEYTGFLICTQVLGMMISHEEE SLRRNFFILKSIRILEFETWFAGVLVIQKRVRRFLKRHKSRKQLLLQTSTSGGDGPTK PPVATKSVAHAQAFLARASLLGCRAQMIQIAVVLFDVIYSALTT H257_04444 MRTRSRTRAALRQQHSKDDNHAPINITVNIHIHVNQEVVLLQTG LPEPQPRYLTRLQRQRLSTQLSTSKLSTQSGTFQSNDNSARRIEHTVTKPAPTQRRPV VENPKAKRRRVDVDSLMVELTSMSMGARPPPLQIGYSTAAMTTPRNPFKIFTPQATTP PDISRFMDHCQLQPTPTDELSDAVASMQLCRVEDDMDATLTQFTSLSLQAPCASRYDD SHFLHHLLDKRLSLHGP H257_04445 MLRLASFASRAPATARHFSSKTLEYIKTDVNGKVGIITLNRPKQ LNALCDGLINELNAEAKNFDKDPNISAIIVTGSDKAFAAGADIKEMATREFIEVYNTT MFANWGDIAKIQKPVIAAVNGFALGGGCELAMLCDMIIAGDTAKFGQPEIKLGTIPGC GGTQRLIRAIGKSKAMHLILTGDLIDAHQAERDGLVAKVVPAANLLDEALAVANKIAT YSQPITRMAKEAVNASYEMSLQESVKYEARLFYGSFATHDQKEGMAAFVEKRKPNFKN E H257_04446 MMRSELKHVVADSRTSQELEPLRVPVKLQDRFERAHKRMANKDG FLFTSAATPSRESAKKHLPDVILRNKMMAFESKWQCTNKSSLLYDPLDASFERLSMEE REEVMNRIQTRVERITDAVEDKYRRKKQQLDDQGVFDPAINTRRVQAMYLVDLQRQCN LDLLKTQVLQEFDQERQPLFAGSFGQKPSSATAPVHVLGTLLQDAEPPIQELRGSKKL QRLLRSHSMAKSTSEPLLERRKSVRSGSITVATPSNNTPSNTGASHTAAADVATPPVA SSNNPPPPGRASQSDNDPRHVAMARHPEIVSVVASLRATDAVAKLLKKRSKTAFRPGQ DMAAKPDNAVDEWKDQVDLFGQIGARCSASLRRRATAQLADITATPPSFPQLWSRLAP FTADLRERRSNAKSNAATLQSSTQPSYANDSRPADERLSTRKATTTAQYCQWQDAIGR RRRKLAKLANDATSVVNSTVSGQQQPTPANTTVPDSTALPPALQRRPSTILDDRQNKH ARRKPTVASGMVIGRPREVASGLKHTTKRVLRRQMTAISWEWLTPEPIKPSPPPTTKA TPPVAAAANEQPNQDTNTSPARGDKSPGPHRSSILVHHQNNSMVDSHEVLQRRLEEVW LRLGMPYHLKLNMLEKYASHDGAGALHTAIDLWEAATDMVVLREALVVMGTHAQRDLV PEELELYWTQLQACSSMLLPLPSSMPTMQVFGPWVESLVPLVTAKCRQAIEALGAATG DVLTYDGVVYLVDEVQDNG H257_04447 MLHLSPRSSVSDSHDAPMPVEGKGTWTKEEHERFLLAVELYPSG PWKKIAAIIKTRTIRQTQTHAQKYREKLARRNRGLRNKAAIPDELALFPSSFMTNNVP LPVWSSPCSVHHSLFAPSSFEEEDSLSFTESMDFLIQVLDDIAPAMYV H257_04448 MGTTEAVDEARKVTTAGVNDMEVVYAQASAIKARTVEAITPVTE AVPKTPSMVGPETTKEPLRRKQSIVTRDIKIRARNKTRTKLGHLHSWDSLKESFLPTS MCSSGLRRRMEIMPDHSHYQQHGRIAHPVQCLFCGDLERLHHLKDCPDIDQDGIDRIK WVWGWRMCGYLRNPKFQKYAKKTAQMRKKMGKKFYRIYMPDDAVNMATLNGVLDVPFC ADSGSDANIISEEMLEALKTKTEVDVPVYADVAANLRIRLSTAAGPVNLPGVQICYVI SRSDSLLVSRYALQSIGINMNHLLEHVAHHQSHEDGDDVGEPDEDEDIAFGVPTRRLQ GGEQNLDKLDEDAAVSHCHVNCWDADSHV H257_04449 MEATAAEAVSEAEAVNATEVVTVAAAKSDIVEANVTAEAVMEAT AAEAVSEAEAVNATEVVTVAAAKAYEARLEDECRRKNIQFREHVTSYVACFSDKQLLR TMMSIWKIRGEPEDMSEQILKDKLQDIAKKPMNDVDPDLESLFDDIEFNMREEDATMR ATDYMMLPGKVSEYTKDAFKKKWHPVDFEWGDLIQIVVDISVEQQSHWGAGAGKRSGT KSPKHKKSKHGHDYQNRGEDKMSSRDDGRQQSRARDQATEAEGAN H257_04450 METPRVRRELSYENKMEVVTRLQQLTIMGKLVRGAISTTAKHMQ LHRTTVSNVWEGFKHNSRMPSGKLGRVGGKTINTSSIVTTLVSEVPEEQRSTMRDISQ ATGLSMRTLSRRLKDGTIERKNTRLKPLLTDANTIERTAFYPETPPEVTYEFDAMWDV VHLDEVV H257_04451 MFDGKIRMWLVVKYLPAARNSRNRPAGTIVPTIVNVDAVLYRDY VITRVIPAIKDKFPSVNKRVRQPPNSPDLNVLDLGYFASIQSLQNKVVSHSIDDVIQS TLASFEALSSEKLENVFHTFQAVMRLVLEHNGSNHFPLPHLKKDAKRRTGTLSANLSC PATLLG H257_04452 MRHPGTCVACKCPRGRCTQCSCGCCCVRWGSGRHVAADWPARKS PSGIASTAKSSVDRRGVLAWETKMTWAGSGGGGGGCWLGVACAHCFQTTPSSHANTTM ARTNEVAAAAAAPTASNGGCDDVTERATWGAGGSTTWYDAGSGESCYCYWRRLVATTS RSCSTCSGWPCCCYWSAAAGVSGLRASHLSTSVAALRSGRTTECEGHDGGGLAFLGTF EHGFAFVESCACEPSRRSSSTDLAETSQSLGGTARARPWSTCLFPTSTHGPSRRQAAS SWCCETSSCCST H257_04453 MVNAGTVFFFLSAAFCAVAVLLARSGHYGKEHLVGIDLGTTYSV VAVNRHGNVTVMPDANGNLLLPSIVAFKPHGGVLVGRDARHHRRVDPKHTISNAKRFI GRKFDATIEADASLYDFPLLGNTTGDHHVCFGLSVPGHPSCVSPVDIGYFVVKTLKET AMAYLGHDQIYGAVIAIPAGFDANQRKATVAAFERAGLKVRNVLVEPTAAALAYGLHT KPNVHNVLVFDFGGGTLDVSLLYLQKGSFQVMDTAGDNHLGGEDLDDQLSGHLKHQFE QLVGGHSLPDLQTSDEPNVFPCTAAGIRGVAEQLKRQLSFDVEGEASCVVQEAVGSVA VGDVLRLRMTRSEWEALCLPTLQRTMAPVKEVLEGNMMTTDDIDEVVLVGGSSRIPWI QAQLAQMFGREPNSHIDPDVAVAVGAARLAH H257_04454 MRLRVCFLAPAIGLVACKNLSAAESINTLASHATVPLPNISLAV LELTSLDNKVSTSMYVSPSLFGPPLPAAVTSTSYLSFALANPLDACAPLVSSVQDQAV LVDRGDCSFETKVLHAQAAGAALVLVRDTPSAALKQPNQVDCTLGAGDFCEESANCAS STCVFAPLHQERCCVRNILIAMNGSTAPGMSSSVTIPSVYLTVLDGATVENFFVEHPN ATFVTVSLPQDESPWNWSMLLIWALGVSIVVFSAYYSAAKERLFAAHVHASSAPDLPP SVLLHHTYSPIRDAHEEPLNMTLQHALLFLVMGSCMLLLMYYVHVILFVQCLFALAAW VCLTHLLTYPLLTHVLPLHQGVGCNWPAFWSVWPSLALVVWWFLERHHPFVWILQNFL GICLCVVFVDSIHIQSLKVATVLLGVAFAYDVFFVYFSPLVFGSNVMVEVASRGGKVS LDLNAFCLRHPESAACGHDTIPLVLTIPLVLSNYGGNSLLGLGDIVLPALLASFALRV DYCHARPLTKGYFVWACVAYAVGLLGANVMAIVLQNFVAGQPALMYIVPTMVGAVVYL AWSKGPDEFQEMWDGPACLAMDA H257_04455 MLMVGWVVLLLQGVGMAWAASEAMFPSVDDVVNWNAAHAAVLPP DFHPPVDDAAWLSCMLCRSTATYIDQVRRLPVSCPTLIGGVDLTCKWLARNKSSPACH NLTDNTMAIKESLQRGDSVGKICHYQLPMCRKHDVPARLQPTIEPSDAMLGGYHPKCM QCWVVVHYVNATRRHRDLPVKAVQIGTQIVCERGRPHRDGCRGLTHHVPAILAGLKNG STTMALCRRMHYCPGHGPHHDIPPHAIAPPRYYPTPEPNRDSNTAMEEQMAPPKVETA VGTGIGLACAIMALVALLYCAFAKPYHVNPTSAYLAVPHEE H257_04456 MSRKLLINFVTLQPWASLSRRGRPGHFNRASCIRCRKKCNFAIR CCTSFSVLMTRNESSSISSNTLLKTESRWHVLWWQHGPVVKRRRAIFLSWAKAEYRRC TRVSWGPAHT H257_04457 MPWNVEPLGGNSCKSIIPYVVRFPYSNLPHLVVMSLVNIRSSVV DAKRDGNHTEYAIRIQTHDDDIVVYRRYSAFVQLQKYVHRHLFEGQCCGGKCLLESFL TNVFETEFPNANFLTKNSAKVVQERVYFLTDFLQLLQDALAKCPPRIIQRCEGEGCKV SKLLKSFLGIVSPNPAHV H257_04458 MVQHLLTKCTPSGKLGMRAADTVAEMFGCTPTTVRRIWKRASVD LSGNKTISHSVGQQMMGKNRRKQVYTDLPQRIQTIPQSRRYCFRVKASLTDFNKVCRL NWALDQVRDINGEKFIDAMYDTVHVDEKWFFMTRLQRKGRSLVPLARRSSSEHIQQDN LRPHIPPSDVDIVAACKAEGWDVQVVFQPPNSPDLNVLDLGFFRAIQTLQVEKHSISL EESAAATEEAWTRVSPLTVNKNFLTLQRCLDKVMLCNEKHMTKHLQTPRRQQNHRARI GSDLEALRRECSELAAQYAHLSIHAKQAREMQSTVTAHLSWKEIALALHDGAIAAIEL REELRTAHDEIQAMASALYR H257_04459 MLWVKTATRWRGRALTVNHARPAATAQDMSTSSNVKHYDTKDID SRLAYQLLVGTVVPRPIAWISTLSAAGVSNLAPYSFFTVASTYPPVLSVTQVNPRVGA DKDTLANLRQTKECQVNLVTHDLVGIMNVSCANYPHDVSEFEAVGIDSVPGTLVRAPG VAAALVRMECTLRDVVAIGNSSVMYLDVVRFAVRDAVARDGRPVDDARFVGLGKLGGD GYTKTTDRFDLPRPQL H257_04460 MPLTMNDVNGAVKKRVSYFYDSEIGNYHYGPNHPMKPHRVRMTH NLVTNYGLTKHMQIYRPKLLGWEELTRFHSDDYIHFLRLISPDNMHHYLRQLQRFNVG EDCPVFDGLYEFCQLYASASIGGAARLNDNSADIVINWSGGLHHAKRSEASGFCYVND CVLGILELLKEHQRVLYIDIDIHHGDGVEEAFYTTNRVMTVSFHKYGEFFPGTGDVKD IGYGEGKNYAVNFPCRDGMDDESFSAIFRSVMAKVMEHFAPGAIVLQCGADSLSGDRL GSFNLSVKGHADCVAYIRTFNVPMLVVGGGGYTLRNVPRAWCYETSVLLDVDIPDAMP YNDYFEYFGPEYRLHMPVSNMENLNTPSYLNEIKQLLFEQLRQLDHAPSVPFHTVPPK IVADEPDEDMGNPDEHAVHDAPQHPAEYFDK H257_04460 MTHNLVTNYGLTKHMQIYRPKLLGWEELTRFHSDDYIHFLRLIS PDNMHHYLRQLQRFNVGEDCPVFDGLYEFCQLYASASIGGAARLNDNSADIVINWSGG LHHAKRSEASGFCYVNDCVLGILELLKEHQRVLYIDIDIHHGDGVEEAFYTTNRVMTV SFHKYGEFFPGTGDVKDIGYGEGKNYAVNFPCRDGMDDESFSAIFRSVMAKVMEHFAP GAIVLQCGADSLSGDRLGSFNLSVKGHADCVAYIRTFNVPMLVVGGGGYTLRNVPRAW CYETSVLLDVDIPDAMPYNDYFEYFGPEYRLHMPVSNMENLNTPSYLNEIKQLLFEQL RQLDHAPSVPFHTVPPKIVADEPDEDMGNPDEHAVHDAPQHPAEYFDK H257_04460 MPLTMNDVNGAVKKRVSYFYDSEIGNYHYGPNHPMKPHRVRMTH NLVTNYGLTKHMQIYRPKLLGWEELTRFHSDDYIHFLRLISPDNMHHYLRQLQRFNVG EDCPVFDGLYEFCQLYASASIGGAARLNDNSADIVINWSGGLHHAKRSEASGFCYVND CVLGILELLKEHQRVLYIDIDIHHGDGVEEAFYTTNRVMTVSFHKYGEFFPGTGDVKD IGYGEGKNYAVNFPCRDGMDDESFSAIFRSVMAKVMEHFAPGAIVLQCGADSLSGDRL GSFNLSVKGHADCVAYIRTFNVPMLVVGGGGYTLRNVPRAWCYETSVLLDVDIPVHCF YVSSWVCLV H257_04461 MEVIMADEVKPPPAPTRMSYVDIFQLLHSDDPNKTAKLVAEYEA VRLETKENGGASGDESSGDDDDDDAATTPTRAHSSGSSSRRHPDKPKRSFTQMTSDDR PYPRGRIPAGSGRQPKLKKSKLMQKITGRKNARPVPMPGMS H257_04461 MEVIMADEVKPPPAPTRMSYVDIFQLLHSDDPNKTAKLVAEYEA VRLETKENGGASGDESSGDDDDDDAATTPTRAHSSGSSSRRHPDKPKRSFVRVSSPSA HTLPSTSPSHTLRP H257_04462 MEELHEWGTRLELLDDIQEKDIVFKDILGAIQVPGASDGAKSLA AQLVSKHVANFPHHEDATIDALVSLSQLGEGKQSQSVRIHAILGLVMFMKGQTIISLA LHEKLSRFVNMTLARETSGVLVRHLTSLHKLLGPTPNVSIPKSTNPVTAAPPSPAKVD SKQDFIPPSPFVFVKGFQRSSDADRLLAYFQVIDPSLSRDTVHLHNLNRTHQNVFIDS SSIDKAREIIQYASTHPFQGRTLTAQFARGPAVTSVVFHAADSYPTDWTDLESMWPDV TAELRRRFPKAQFVTTSIGKATFESLDTVKTLVTKGLTVRGGRKICVVYDSDEQTVVA HEKRTKQPSHTTLDNKVQKQGERAKHTPYRPPATPSSGATESAEAAAASNAACTAASP LVATNDNVSPRTSSCQGVLLPSPSKYTDPSQEGPLKDPSPPRLYNSPRRTNNHTTPDV RNQNDRARDAPRTNSRSRDRRPLLPPPVDSDKRQPVSLGEIARAGGSDRYNDTLHQRR GLREDERVNRLAPRDLERRTSRDRGPPVSNRPQTIGEMARAGGGSLLDRRSRSRDRRP PPSSRPQPTLGDIARSGGGSLSCRPRSRDRGPPVSNRPQTIGEMARAGGGSLLDRRSR SRARAPRSPPPSGRQSQPTIGDIARAGGGDVSSRSCFADAPRRQSSSSADRHSTHHST GYTDSLSAAGRTAPYASTSDRPRTQDTRSALPNQDRSATGGSRTLGEIARSGGGSLGT VRSRSRGRPDHREPRRSRSRRRPDNPPQASSEPVPAGRGSSRWEAKERNTPSKPAKDS DEGGNLPSSSGGAAATTSRSTGDNAQVKRKECAYAHDRNQRPRHNDDNDVTGRPPASS DFYTGRPHVDYSSAVTSRDDSFRRADRLDQGSKSSPARSRHQLSSSNDREAPAERLAA SADRSTPERPVMARTIEMLQDKKDGGGDGGHYTPDSDTGSLQLRDILNPARHSQYEVD ECEVDYSDDD H257_04463 MDVRKVALPAGFAPYSSWNSSLSEFDGASTCSMTCENGAIIALE VHSYHRHVKVVDQVRLGVIGLERFCHAWTSTPTARLAVAHGDTLFIYDDKFERRSELQ LPFFAKHVAFHGATLVASTSNGAYVYHVCPTTVKCILKHQLYSDVPVGLAAFSRCGAW CGIAAIDGRFGLWNVRTAMQLEFSTTLPSARPTSIAFSGDKYAVVACKDAHVAVFQRD NASSCTWSQLSAFSLKPESVASSAAYMSSTLVAAWKSVPVFFSVVHSSTHMDIIDAST GRRVHHMTFPSHVHLMGLVAVDDNAMLMQDMQGQSAAANNVYAFTQHEPNRIGTIFSV TWSFAKAMAGCTRFGSFQDDVAVYDGAFGTLYLERQALRRTPRNGQPSDVLPLPFVPP HGIDPSNREEPNPSSTTSLSVHWMRQDYVVVVYGHAVYRYARNEWAVAVVPTGVHRVA SSTGDVDGPLVLVVLGQNDLMVLDVDTLATTSVHAVPPQVSALPAFLAPSMTMHVERH ASTALVRLVDNDVEFWRYPVTC H257_04463 MDVRKVALPAGFAPYSSWNSSLSEFDGASTCSMTCENGAIIALE VHSYHRHVKVVDQVRLGVIGLERFCHAWTSTPTARLAVAHGDTLFIYDDKFERRSELQ LPFFAKHVAFHGATLVASTSNGAYVYHVCPTTVKCILKHQLYSDVPVGLAAFSRCGAW CGIAAIDGRFGLWNVRTAMQLEFSTTLPSARPTSIAFSGDKYAVVACKDAHVAVFQRD NASSCTWSQLSAFSLKPESVASSAAYMSSTLVAAWKSVPVFFSVVHSSTHMDIIDAST GRRVHHMTFPSHVHLMGLVAVDDNAMLMQDMQGTIFSVTWSFAKAMAGCTRFGSFQDD VAVYDGAFGTLYLERQALRRTPRNGQPSDVLPLPFVPPHGIDPSNREEPNPSSTTSLS VHWMRQDYVVVVYGHAVYRYARNEWAVAVVPTGVHRVASSTGDVDGPLVLVVLGQNDL MVLDVDTLATTSVHAVPPQVSALPAFLAPSMTMHVERHASTALVRLVDNDVEFWRYPV TC H257_04463 MDVRKVALPAGFAPYSSWNSSLSEFDGASTCSMTCENGAIIALE VHSYHRHVKVVDQVRLGVIGLERFCHAWTSTPTARLAVAHGDTLFIYDDKFERRSELQ LPFFAKHVAFHGATLVASTSNGAYVYHVCPTTVKCILKHQLYSDVPVGLAAFSRCGAW CGIAAIDGRFGLWNVRTAMQLEFSTTLPSARPTSIAFSGDKYAVVACKDAHVAVFQRD NASSCTWSQLSAFSLKPESVASSAAYMSSTLVAAWKSVPVFFSVVHSSTHMDIIDAST GRRVHHMTFPSHVHLMGLVAVDDNAMLMQDMQGTIFSVTWSFAKAMAGCTRFGSFQDD VAVYDGAFGTLYLERQALRRTPRNGQPSDVLPLPFVPPHGIDPSNREEPNPSSTTSLS VHWMRQDYVVVVYGHAVYRYARNEWAVAVVPTGVHRVASSTDGPLVLVVLGQNDLMVL DVDTLATTSVHAVPPQVSALPAFLAPSMTMHVERHASTALVRLVDNDVEFWRYPVTC H257_04463 MDVRKVALPAGFAPYSSWNSSLSEFDGASTCSMTCENGAIIALE VHSYHRHVKVVDQVRLGVIGLERFCHAWTSTPTARLAVAHGDTLFIYDDKFERRSELQ LPFFAKHVAFHGATLVASTSNGAYVYHVCPTTVKCILKHQLYSDVPVGLAAFSRCGAW CGIAAIDGRFGLWNVRTAMQLEFSTTLPSARPTSIAFSGDKYAVVACKDAHVAVFQRD NASSCTWSQLSAFSLKPESVASSAAYMSSTLVAAWKSVPVFFSVVHSSTHMDIIDAST GRRVHHMTFPSHVHLMGLVAVDDNAMLMQDMQGQSAAANNVYAFTQHEPNRIGTIFSV TWSFAKAMAGCTRFGSFQDDVAVYDGAFGTLYLERQALRRTPRNGQPSDVLPLPFVPP HGIDPSNREEPNPSSTTSLSVHWMRQDYVVVVYGHAVYRYARNEWAVAVVPTGVHRVA SSTGDVGKFECVCVPF H257_04463 MDVRKVALPAGFAPYSSWNSSLSEFDGASTCSMTCENGAIIALE VHSYHRHVKVVDQVRLGVIGLERFCHAWTSTPTARLAVAHGDTLFIYDDKFERRSELQ LPFFAKHVAFHGATLVASTSNGAYVYHVCPTTVKCILKHQLYSDVPVGLAAFSRCGAW CGIAAIDGRFGLWNVRTAMQLEFSTTLPSARPTSIAFSGDKYAVVACKDAHVAVFQRD NASSCTWSQLSAFSLKPESVASSAAYMSSTLVAAWKSVPVFFSVVHSSTHMDIIDAST GRRVHHMTFPSHVHLMGLVAVDDNAMLMQDMQGTIFSVTWSFAKAMAGCTRFGSFQDD VAVYDGAFGTLYLERQALRRTPRNGQPSDVLPLPFVPPHGIDPSNREEPNPSSTTSLS VHWMRQDYVVVVYGHAVYRYARNEWAVAVVPTGVHRVASSTGDVGKFECVCVPF H257_04464 MKSTQSTQPVVTETSPDVPTFLQVPCYHVVKGASAINALVVSPL MHRSVACALLGAFHFGWMMAEMAYIPFHHLRLCQLPRIPHGQCLLFPGHSTAEWTMQS TAWAVGGGLGALLSAFPADRFGRKRTLGYNGVVMVAGGLVQLLAGDIYTFAIGRGLNG LASGVAINVLNNYLRELAPIQRRMFYMTLVQIALSVGTLVVTSFMYAIPDVPSSTWQF MPLFGGPVVIGALQVAVMPCILESPMWLLHRRQVDQAHLVMNQLYLPGDDVDSHWSLL VATMERQTQETESSSSKLSLLVSAKYRKQFSIAVVLSTMQQLCGMNALVVYGPTMFKA IGIHELRLSSTIVNFGRFHDMYLGMKFGDRFNRRTLLLVGSAGMIVGSLGFTVCQTYP SATNNWVQITCMLAFVASFCMSVGSLGWIISTELIPEVLGASSGPSPRAISSVGHWGS QAFGIFPAVLVVFVLFVWICVPDTRNQTTDQVTEMFYSDDDNQKPSDDDAFVYWTSDK EIGFSAARDGNGPQQHFIIS H257_04465 MPTDAKSVTTRPTRRGVRRENRSSSRAAIHMSWNRPKLTRMLDN RVSWMPTLKKNPFEYAMMAFMPASCCDRHRTTATSSWRRYLGLTRRPSLELEAASMRC FSWDMIIAFKWSSVSHGWAYSCCRATRAWSYLFSMTRWCGDRIIHGNRHSCSSPSTMG APKSSRQVISSDVTVKCNDDTTSPPRESMTWGSVRKKARRATGATSRRYRLPTTMATP VAMPERPRPTVNMSILLDSIWTNAPAIMMNVLSMSVVRRPRASAGTDEKSAPMPAPTI HAVTAVVHS H257_04465 MPTDAKSVTTRPTRRGVRRENRSSSRAAIHMSWNRPKLTRMLDN RVSWMPTLKKNPFEYAMMAFMPASCCDRHRTTATSSWRRYLGLTRRPSLELEAASMRC FSWDMIIAFKWSSVSHGWAYSCCRATRAWSYLFSMTRWCGDRIIHGNRHSCSSPSTMG APKSSRQVISSDVTVKCNDDTTSPPRESMTWGSVRKKARRATGATSRRYRLPTTMATP VAMPERPRPTVNMSILLDSIWTNAPAIMMNVLSMSVVRRPRASAGTDEKSAPMPAPTI HAVTAVVHS H257_04465 MPTDAKSVTTRPTRRGVRRENRSSSRAAIHMSWNRPKLTRMLDN RVSWMPTLKKNPFEYAMMAFMPASCCDRHRTTATSSWRRYLGLTRRPSLELEAASMRC FSWDMIIAFKWSSVSHGWAYSCCRATRAWSYLFSMTRWCGDRIIHGNRHSCSSPSTMG APKSSRQVISSDVTVKCNDDTTSPPRESMTWGSVRKKARRATGATSRRYRLPTTMATP VAMPERPRPTVNMSILLDSIWTNAPAIMMNVLSMSVVRRPRASAGTDEKSAPMPAPTI HAVTAVVHS H257_04466 MVVINVKLSETEAFLFETTCSTSNDTLVRELVHVHNARVRLASL ASHTQSLFQHGVAKHPQEHGLDSYASTPIQKAEFYEEDPLGQRTGNGVCASLRETLTR MVADVNQYLKSNGRVAISQNVLQEKLDNFRGLVMMGFPMGLPEYDVVQLLLDGRDEEA LGGTQSGMDILNADTAELWWAGKQFFRDETVGDRVGKNEKTKVIAKLTKKANGAPQRE PAVSEDERKAMMAHYFKKQEELKKLADEDDDAYLHSSWANPSQLKNSLRGTNNIRPF H257_04467 MGCAASTSNPSLDPANAFPSQQQQQPETPAATSTAVSTPRLVQL APPNMPSAVVLPNVPTDTHSTHHATEEAADRPDFVALLRVVKQGLLAKVQSAVAASPM LLNCRGMWDSTPLLVACQYAHSDVALHLLSVGADATLVNEKHVSAVLLASLEGLTEVL TCLLTTIPRDHLAPLLVLRGTVYNSFTDNNSSFTPLLAACTNGHAECVGLLLDATTQD QLNVPADDSRSPLLAAAGHGHTAVLHLLLQHGADPSVCDAGGNNALLTALAGGFDATA VAIVRAAPGTAAVVNAEGLTSLHVAARSGCVETVTLLLATSSSLVPATTAKGETALLL AARKKNVRIVEALVRAGANVDACDATGQSARQVLVNTKQVYLVAVVESMANGATTPSP PEVSSRPLATTPEKVPSSAKLGCRRRSSRRNQPTAEPDIVSPSDKPAAIRHKGSHRAG VSTIPDSGESSPLQVGRRRKPSSRRRRSCGNMESDLDKLDGLVKTLPSSPSASSGPPP GTPTDDGPTPLARGGPTRHATRLSKSVQSLHTTAPPSLTSRSASMRLMFPNKRSQVRP LDTNEKPTAATHPSAVHPLTSPLPTTLTDHPPLTRDDAVLSINPTTTTHPLSLTATPP QVGCLQGIPPPEKAALILSNMSTSAELATQPISQTAPDPVCDSPIDKSTCAASVPSHT PDKPTSCLTAKDSAILGAELVSPSTDRPIRQKPSHGGLTTRSSLNAPVRIGKRDLPRQ HRSVSVLVSPSTLDALDRIGALGVLPPKSAPTSPTAANATHVPSSPKIRSQSSNSVDN LQRVSQVASSTPTRHSYE H257_04467 MPSAVVLPNVPTDTHSTHHATEEAADRPDFVALLRVVKQGLLAK VQSAVAASPMLLNCRGMWDSTPLLVACQYAHSDVALHLLSVGADATLVNEKHVSAVLL ASLEGLTEVLTCLLTTIPRDHLAPLLVLRGTVYNSFTDNNSSFTPLLAACTNGHAECV GLLLDATTQDQLNVPADDSRSPLLAAAGHGHTAVLHLLLQHGADPSVCDAGGNNALLT ALAGGFDATAVAIVRAAPGTAAVVNAEGLTSLHVAARSGCVETVTLLLATSSSLVPAT TAKGETALLLAARKKNVRIVEALVRAGANVDACDATGQSARQVLVNTKQVYLVAVVES MANGATTPSPPEVSSRPLATTPEKVPSSAKLGCRRRSSRRNQPTAEPDIVSPSDKPAA IRHKGSHRAGVSTIPDSGESSPLQVGRRRKPSSRRRRSCGNMESDLDKLDGLVKTLPS SPSASSGPPPGTPTDDGPTPLARGGPTRHATRLSKSVQSLHTTAPPSLTSRSASMRLM FPNKRSQVRPLDTNEKPTAATHPSAVHPLTSPLPTTLTDHPPLTRDDAVLSINPTTTT HPLSLTATPPQVGCLQGIPPPEKAALILSNMSTSAELATQPISQTAPDPVCDSPIDKS TCAASVPSHTPDKPTSCLTAKDSAILGAELVSPSTDRPIRQKPSHGGLTTRSSLNAPV RIGKRDLPRQHRSVSVLVSPSTLDALDRIGALGVLPPKSAPTSPTAANATHVPSSPKI RSQSSNSVDNLQRVSQVASSTPTRHSYE H257_04468 MGKEEVYFYIPNLIGYTRVLLTGYSFYVALSDWKTAVVCYAISF ICDFFDGYFARWFNQCSTFGAVLDMVTDRCSTAGLLVILSHLDKDNTLLFLFLLVLDF SSHWYHMYASRGHHKSVDSKKNFLLRVYYGCYPLFGYCCVGAEFFYILYYVLQHDPTY LVPYVDIPLSIVCYYVCLPACVIKNIINVAQLCSAAASVAEYDFDQKLQKTS H257_04469 MALPPAQDAATGKPFKRSRKVVVDVSLCRYAIIKRCLKDRDFRL VRAKKSEVEWDIWWSDRGELLKDARRLNAFQKVNHFPSMEDICRKDFLANNLNAMRKL LPDEYDFFPRSFLVPAERVELQQCMDNDKRGATYIVKPRTLCQGKGISLIQSFQKLST KDPCVVQKYIHNPLLIDGFKFDLRIYVLVVSVDPLVVYVFRNGLARFCTTPFQAPKRS NLHQTRMHLTNYAINKKSKDFVKSVDESTGSKRSLAFVMQHLQDDHGMDPCAVWADVC DIVLKTLLAIQPRLAASYRNFFGADDVKGRQWGPAAFEILGFDIMLDEQGKAWMVEVN HAPSFAGDSLLDRNIKTPLIAQALDILAVTNETKRSFQAKHRKAWKTRLWNATVATKK AKGVLVEPPPPVVEPQVVELAEEDAVEPIDNDGGGSGPSEDEKEDDDTPAKAKTSSSN RVHPDSTTNTDDNNQGREMEAFGNTYELIYPPPASSSTALHVKYARILAAADTNRSKL WG H257_04469 MALPPAQDAATGKPFKRSRKVVVDVSLCRYAIIKRCLKDRDFRL VRAKKSEVEWDIWWSDRGELLKDARRLNAFQKVNHFPSMEDICRKDFLANNLNAMRKL LPDEYDFFPRSFLVPAERVELQQCMDNDKRGATYIVKPRTLCQGKGISLIQSFQKLST KDPCVVQKYIHNPLLIDGFKFDLRIYVLVVSVDPLVVYVFRNGLARFCTTPFQAPKRS NLHQTRMHLTNYAINKKSKDFVKSVDESTGSKRSLAFVMQHLQDDHGMDPCAVWADVC DIVLKTLLAIQPRLAASYRNFFGADDVKGRQWGPAAFEILGFDIMLDEQGKAWMVEVN HAPSFAGDSLLDRNIKTPLIAQALDILAVTNETKRSFQAVRIH H257_04470 MWRLSPWTAIAARVLPRGIGSVACYSKTVKGHSNPLYSPDNLLD IATGFHKRNVQAVYLDLPARFTIPVDDTEFPAHLRGVTVDMAQFRHRLKTQNISSSVV DQLNAMNFVWKPRQHRWNLNIMALKTFVSEYGHAVVPQNFVVPEDSPKWPKESWGLRL GLFVKSARATEDKLTATRIQQLEKLGFVWDVNSTWQLRVDAMAHFKTLHGHLRIPSTF EVPERKSWPQEMWNLKLGHIVKNARGRQNTIPSHRVEQLTQLGFEWKLK H257_04471 MEDHVLVLGSSKDGNIYVVDPTCGAQLFIFKGSSCSRHGLVAVP RTHHLIALQPGKLAMHMHMWGKDVPHFKCHVTEHMGPMVTTSDGNYCIAGGASGKMYI WDVATGALLHVWDAHYKAVSALRLTSDDAFVVSGGEDAVVHVWRLLDHLDTSSTDSAL QSGATPVHTWTDHVLPITSIHCGLGGVNGRVFTSSLDRTTKVYDIPSGTCLVSITCPS FVNVCAADAMEHRLFLGAGDGRIYVVDLHAAATAATAAAARVVVTHNGTPFAKDALSV DGFVGHESPVTALLVTECGQYVISGDDEGTVRMWDSVSRQSLRAVSLLKGGVSTMLLL PRPAGLFHPVKDAAELSIAPFKKYL H257_04472 MLARLLHMCSMLLLAACISQGSEDARTTAARNACVDFVCTNSSV FAIYGNACLPLNMSLRRDCKRLCPTFVSVSNNCDKWGLLCVQACMANQKRLGFPVTKS SSINL H257_04473 MSPSLSSLNMSVITLDNDDADMPTPLGSPVKKQRTGNLDQLKSS EDLQDALAFVQKSCTLAKRCMVLQQYGCAFRILAKVEETTQLIAKESRRGILSKVNQH QHTRSDDATDDDESFGRMRKVSFSDDVCVGLAEDIDRSISPIPRPSVHEMLYVRAYRD IPTANLSDYWVE H257_04474 MAPLRGQLVGTKRYEWTTLPRAIGTSLSRCSSTTNTLSVVSVPR HWQSFAFVRNACRVEFEDGSVAHDSHLEDLQNVREVGFRQCDFIQSPSALARCHTLRF VSCDALADVSSLTHVKHLNIAGSRAATNAHKLTALETLVTGSTFSSSPLPAVSRGLTA SAVNVPWAAMPLLLLELHLKQCHTLPSAVAFQVTSVTLSSCDQLESIACFRLASHLEI VRTRLLHIDAFVGFSLLQSIRLQASTCLQHVTALQHVHDVSLSLCVNLQDISPLAHAN SVEISCCPQLQSVTALSWVPHVSLSRCADLVDVSGLTHNHVVRVSECYRVTQVSTLRE RCHTVDIARCFRITDADLLGQGSMHTVTLSGCNLGPDHPSTWTDHATLCSLDLSNNLD IVHVASLAHLHTIHLNRTSVRVVAPLAHVHTLSLSGCELLEDVSALGGVHTLDLSYCT SVRDVSALGLVHTLNLAGTLVDVVADLKTVYDLNLSGCPCISDDQVNQLVFNHTLSLM GCGQLTRVDGLGNVHTLNLANCVGLTDVSMLGHIHTLDLTGCVNVGHIVTA H257_04475 MINGATISTFAMSRPSASQSQNVNNFLGERSSTRLAKPPGGGST MGSLIYGGDDSGAASFGDDRKGRRGMGSRPEQSSGSQVFHQEAGAIVKAGNNNNTSKD AEKYQLQQLQYQQQQQDRRMTVSNQGSSDIFLRNAPNAIAGDRRTKRMYGGGQSDFKL S H257_04476 MMQLATSTMLRYGSANSGGVGDDCSFMSIMSRVASCCLGDNVFS TSILAEGPLIKKGDYAHFRYFVLEASGLLKYYEITLRQTINACGDIVYHIPSTKLTQT GQLSNRNLRGTILLHPSFCAKDIESPQAATTSTGTRDFRSYSSGASSSGPSSEMRCFR RSKEILVSGFGPAGNVLSWKLQATSLEHYDRWTRALRIAMRPAWALNAAYCQVCHVSF HWFNRPHHCRKCGSSVCTRCSHAIDKLPLLAYTSPVLVCIDCDPIPEDFAPHTKVLVY GKHPAVIVLVSSSSPPGTTGKKHPPTKSNMAVTVQFLADEATADVSIKYVERFSEKVL AANRIKCCLRMHLAYRLFRTLLHFHTWTLLESLQEQQTVKIVKIIRNTISVNELASLA PSYDEPTDASSCVKDSLKQYRGVHLSFPLTENQVLKLSDAFRSGQVLHGHYVMQLVEQ VLDAPPRGTMTHIQIPKGVELVIVGDLHGQFEDLMTIFDRKGVPSKTLWYLFNGDFVD RGLHGVEVICTLLAYSLLYPQFVFLNRGNHEALVLNQVFGFADEVSKKYDADQFPALF GLFEAVFNRLPLCTLIQDSVFVVHGGLPVEPNVTLNDIEAIDHLREIPTNRLDGLDDQ IFSQLMWNDPQPRDGFVTSKRGCGFEFGPNVTKHFCALNHLRLIIRSHESHEEGFEVM HDGLVLSVFSASSYCGFQANKGAYVVLTDELKPYVVQFHSQALQKFTSTRNWRTQANR FEERTLWSLKELIGKKHTELQGYFSALPPKVSRLQWKQGLVKILGIPLHYLLYLPQLV PKSTNTTTSSSLVDISRFLRANRLDLPTDKQWVDSTMSSIWERLNEPNVTKAFEYFDR SRKGRISYDDVKATLRVLGLMKPEMALSASPPLPSGLFAGGRQPAAAFVTEQMAFELM HQWDRNQDGIVDMQEFQFAFAKQIHATTSTTT H257_04476 MMQLATSTMLRYGSANSGGVGDDCSFMSIMSRVASCCLGDNVFS TSILAEGPLIKKGDYAHFRYFVLEASGLLKYYEITLRQTINACGDIVYHIPSTKLTQT GQLSNRNLRGTILLHPSFCAKDIESPQAATTSTGTRDFRSYSSGASSSGPSSEMRCFR RSKEILVSGFGPAGNVLSWKLQATSLEHYDRWTRALRIAMRPAWALNAAYCQVCHVSF HWFNRPHHCRKCGSSVCTRCSHAIDKLPLLAYTSPVLVCIDCDPIPEDFAPHTKVLVY GKHPAVIVLVSSSSPPGTTGKKHPPTKSNMAVTVQFLADEATADVSIKYVERFSEKVL AANRIKCCLRMHLAYRLFRTLLHFHTWTLLESLQEQQTVKIIRNTISVNELASLAPSY DEPTDASSCVKDSLKQYRGVHLSFPLTENQVLKLSDAFRSGQVLHGHYVMQLVEQVLD APPRGTMTHIQIPKGVELVIVGDLHGQFEDLMTIFDRKGVPSKTLWYLFNGDFVDRGL HGVEVICTLLAYSLLYPQFVFLNRGNHEALVLNQVFGFADEVSKKYDADQFPALFGLF EAVFNRLPLCTLIQDSVFVVHGGLPVEPNVTLNDIEAIDHLREIPTNRLDGLDDQIFS QLMWNDPQPRDGFVTSKRGCGFEFGPNVTKHFCALNHLRLIIRSHESHEEGFEVMHDG LVLSVFSASSYCGFQANKGAYVVLTDELKPYVVQFHSQALQKFTSTRNWRTQANRFEE RTLWSLKELIGKKHTELQGYFSALPPKVSRLQWKQGLVKILGIPLHYLLYLPQLVPKS TNTTTSSSLVDISRFLRANRLDLPTDKQWVDSTMSSIWERLNEPNVTKAFEYFDRSRK GRISYDDVKATLRVLGLMKPEMALSASPPLPSGLFAGGRQPAAAFVTEQMAFELMHQW DRNQDGIVDMQEFQFAFAKQIHATTSTTT H257_04477 MSPSIQPAGAADKLGDSVAATQATPEHQPQGIDTAYQYLAPQMA TAVPVPPPAPCQCCHDTTMGNSRCRANDCFVTWRSPFVFSGIVGFAGMTLCKNLFFLT STVGVTALTLMTLGKQGIVEVNWKKLEDQFQTTANWTFKVPSKAGLVVGMFVAWKFFA H257_04477 MSPSIQPAGAADKLGDSVAATQATPEHQPQGIDTAYQYLAPQMA TAVPVPPPAPCQCCHDTTMGNSRCRANDCFVTWRSPFVFSGIVGFAGMTLCKNLFFLT STVGVTALTLMTLGKQGIVEVNWKKLEDQFQTTANWTFKVPSKGMQHAMASMRVNDNS H257_04477 MSPSIQPAGAADKLGDSVAATQATPEHQPQGIDTAYQYLAPQMA TAVPVPPPAPCQCCHDTTMGNSRCRANDCFVTWRSPFVFSGIVGFAGMTLCKNLFFLT STVGVTALTLMVYCTHLCANHFAKLIQS H257_04478 MAPPSTKAPHRKAIGRPVVKNCRRNGPPQHRRHYFSYAKQLHII NWRKQHSMDSALDTFFWGAEGIARSSAFKRVLRWEHNRPHITKMANIPATTTQNICRP AGCATTLSSEYEEQIPQWVCDMRSEGIPVSKFLLQCKALEVAKDLGLTDNRFKESPSW ISGFIKQRGEAALAAFSNRIRQLVQTEAIDDIYNADQTGINFEYIPKHAIDRCGANTG WIRCSGHEKDRMTAMLLADNKGTKYPMFLVLKSRAPKVKATVVENLTKRNGFGPVVCP EVEELHERHASRLYGNRISQYYFGYRKDKNMKKILLLWDDFSTHFSDDVVACAESLDV LLEKNPPTFKRVCQPADVAWMKPLKASMRLRWVTYLRHEIQNQDRSSATFIQVATTVS RQSR H257_04479 MCKKLVTLDGCHLKGLTNAIRIEFPESWNRFCMYHVFNNIRTKK IAVNDLDKTTIYGVADARSKDAFESSMLTLKANLPRAYQYLVDIQPGSEGWVQY H257_04480 MCKKLVTLDGCHLKGLTNAIRIEFPESWNRFCMYHVFNNIRTKK IAVNDLDKTTIYGVADARSKDAFESSMLTLKANLPRAYQYLVDIQPGSEGWVQY H257_04481 MAPPSTKAPHRKAIGRPVVKNCRRNGPPQHRRHYFSYAKQLHII NWRKQHSMDSALDTFFWGAEGIARSSAFKRVLRWEHNRPHITKMANIPATTTQNICRP AGCATTLSSEYEEQIPQWVCDMRSEGIPVSKFLLQCKALEVAKDLGLTDNRFKESPSW ISGFIKQRGEAALAAFSNRIRQLVQTEAIDDIYNADQTGINFEYIPKHAIDRCGANTG WIRCSGHEKDRMTAMLLADNKGTKYPMFLVLKSRAPKVKATVVENLTKRNGFGPVVCP EVEELHERHASRLYGNRISQYYFGYRKDKNMKKILLLWDDFSTHFSDDVVACAESLDV LLEKNPPTFKRVCQPADVAWMKPLKASMRLRWVTYLRHEIQNQDRSSATFIQVATTVS RQSR H257_04482 MSAVPANPDAKLNDFFAKKKKKKSAVAAPVSAITHTSPALATNA TVAVPQIVSPSTNGGPAIQTKGKAIAELKTAATDNGDDDDDENGETGGAASFQWSKKP KKKPVQPEADKRIAIHSERAFPTLGNAAGGAAAVAAASSGKVKPPADVRSQNVWSNID DSDDDDK H257_04483 MFFSLTALTDPALKRLYKFVLKRVLGRFLQHDLDMSQLQVHLRQ GTLHLVELELNAAAINEFLATSGVPFQMKRGFVGSVKVTVSYSNLLNESCLVAIDDID IVLEPVSSTPPPPASTPPTSTPPTSNPQPTAHHTSSTTGNYDYETDAVAQEGLDFVAT WIERVTSKIKVTVANLCITFEDSATCPVALTLHVPWMQLVDETPQEAYPSASILKGLQ WKGLRVEIVHLHRDSIEETAAPFSVVPILVCDTVQPSYVQVKLDPASLLEIDVFVPHM QVLVQPKQISHLTQLAALFTPTTSCDTAASSPPFSSSAPLTMYQSICDPPEAATWLSP DDQDSPPPLQMDPTQSFPTLSLAEFQRIERLLQQYQDQKNAMSRPLPALRRQGSSDSV TGLSELEDDEEDEMFHDVASTSFSSTMMQGAGYGQSSMYMSARQPADEPSDAATLLRR VKVTVLSVELTMVYEDLAEMNNREVGMLPTVSHMERLVWRVHDVLVQAIMHPPPHPTN VHMSLHRVTCEERLVPRLSARPDDEDDEMLVIPWLRFLGDEKDVVVHWHGDESAASVV VHAQPVQIEWDMFMLNRVQAFLNAPSSPPAPTSTTGAPPPRPIMHVHVPFVHIHLRFP LIASDPIRFGPSSRRGLAEDTLQLHFEHVKLGSDVMTWDALHVELVYPPAFNPRSREA PLVAPICSSNVHAQCSWSVHTPTAEQLQAAAGVRANMKETFGSDAEQGEVSIGGGVDA WRQTELLEAACVGASAAVVRVHIPDAAVVVDKPVYDRLMILTDALVTMSPVDIVPSGG RAKPLLPCAMSVEIVCDGFHVVLRHEALPEYRVELDHVRIFSVMSWLGTLTSRLHVAA QELSLYQEDTPVLYKIAWGRPHEGPLLLFLQETTELADDMRDISLHLHFSHLTWRVDM ASNWLGDCLNVLLTTYPPAIVPLDTPHGADDSFDVSQPPIPLAMPSKTVFTKLVVQCY ECVLDYAPRGISSRALLVLGKLCLSSNLVTDAAVQGYKVSVAEVSLFVHPRRSSPSTY ELEDRWLSKTAARSPLSMQETIENIGFLEVATLDFADVFVRVNEESDMGGQLHLELCL GTIKLKVCFDSFETVRLVGTTWWDEFAKHAAAPPPPHPAGVSPDDEDDVPVVPVDDRQ PSTALNVLDQIDFAMFEPTTGPTVATMRDTEARLLQTQVLEAKKPPVHRRRQPSTPLV IDDFFRVDKATADASPWFISNTTTPPPPPPSSSTHSQTVPPLFSPASSASQPHAGSPA MVEASARWIPPEASTDDDDDDSRHHLTMHFESSFKAVDDEYDDDDVTDDMTMSVSIND TEEVELDLHLDGDMRLELNRLLEADDDDDPSVLTEDEELSPRSHSVVSPPDSPTSNEN SARWYSSDGGGNFSAPPDPPAIYLHHVEIPIAGAAAALSFGEKELAAAIQTMTNECPH MKTPVMVKSILLRDFNVEMRLFGGHDWQPSTTTTAASDGPSSAAPTADDKTEKAQKLL DALLENYVDESEKLMQNKPKSRPTKHRKTEEMLELRLSNIKLRLDLYAEDSPQPLAQN TVLHVGDVEILDYISTSQIRKLLCYWKSDTFHPRETGTPLFHFHLMTVRTTEEEHRLK LKFLPLRINLDQDVLDFLKHFSSSAGSPDDDDEKGARNVKPDLPAPLHAPTVVSDKPE TAPGVASFFFQSVDIRSFKIKIDYRPQRVDFQALQAGDYLEVINLFVLEGMELSLRHI KLSGVSNWDALINQTLVHWVQDISRHQIHKCLASVVPMRSLSNIGAGAADLILLPMAQ YGKDRRVVRGLRKGATSFLKSVTIETLNTASKLARGTKSLLESADHVMQDSKKKKTLF NSRKGNTHARYLISQPANATEGWNQAYASMSRELHVVAKTIVAVPLLEYQRTGSHGYV KSVIRAVPVAVLRPMIGATEAMSRALIGVRNAVDPEMKEDMENKFKDMS H257_04483 MFFSLTALTDPALKRLYKFVLKRVLGRFLQHDLDMSQLQVHLRQ GTLHLVELELNAAAINEFLATSGVPFQMKRGFVGSVKVTVSYSNLLNESCLVAIDDID IVLEPVSSTPPPPASTPPTSTPPTSNPQPTAHHTSSTTGNYDYETDAVAQEGLDFVAT WIERVTSKIKVTVANLCITFEDSATCPVALTLHVPWMQLVDETPQEAYPSASILKGLQ WKGLRVEIVHLHRDSIEETAAPFSVVPILVCDTVQPSYVQVKLDPASLLEIDVFVPHM QVLVQPKQISHLTQLAALFTPTTSCDTAASSPPFSSSAPLTMYQSICDPPEAATWLSP DDQDSPPPLQMDPTQSFPTLSLAEFQRIERLLQQYQDQKNAMSRPLPALRRQGSSDSV TGLSELEDDEEDEMFHDVASTSFSSTMMQGAGYGQSSMYMSARQPADEPSDAATLLRR VKVTVLSVELTMVYEDLAEMNNREVGMLPTVSHMERLVWRVHDVLVQAIMHPPPHPTN VHMSLHRVTCEERLVPRLSARPDDEDDEMLVIPWLRFLGDEKDVVVHWHGDESAASVV VHAQPVQIEWDMFMLNRVQAFLNAPSSPPAPTSTTGAPPPRPIMHVHVPFVHIHLRFP LIASDPIRFGPSSRRGLAEDTLQLHFEHVKLGSDVMTWDALHVELVYPPAFNPRSREA PLVAPICSSNVHAQCSWSVHTPTAEQLQAAAGVRANMKETFGSDAEQGEVSIGGGVDA WRQTELLEAACVGASAAVVRVHIPDAAVVVDKPVYDRLMILTDALVTMSPVDIVPSGG RAKPLLPCAMSVEIVCDGFHVVLRHEALPEYRVELDHVRIFSVMSWLGTLTSRLHVAA QELSLYQEDTPVLYKIAWGRPHEGPLLLFLQETTELADDMRDISLHLHFSHLTWRVDM ASNWLGDCLNVLLTTYPPAIVPLDTPHGADDSFDVSQPPIPLAMPSKTVFTKLVVQCY ECVLDYAPRGISSRALLVLGKLCLSSNLVTDAAVQGYKVSVAEVSLFVHPRRSSPSTY ELEDRWLSKTAARSPLSMQETIENIGFLEVATLDFADVFVRVNEESDMGGQLHLELCL GTIKLKVCFDSFETVRLVGTTWWDEFAKHAAAPPPPHPAGVSPDDEDDVPVVPVDDRQ PSTALNVLDQIDFAMFEPTTGPTVATMRDTEARLLQTQVLEAKKPPVHRRRQPSTPLV IDDFFRVDKATADASPWFISNTTTPPPPPPSSSTHSQTVPPLFSPASSASQPHAGSPA MVEASARWIPPEASTDDDDDDSRHHLTMHFESSFKAVDDEYDDDDVTDDMTMSVSIND TEEVELDLHLDGDMRLELNRLLEADDDDDPSVLTEDEELSPRSHSVVSPPDSPTSNEN SARWYSSDGGGNFSAPPDPPAIYLHHVEIPIAGAAAALSFGEKELAAAIQTMTNECPH MKTPVMVKSILLRDFNVEMRLFGGHDWQPSTTTTAASDGPSSAAPTADDKTEKAQKLL DALLENYVDESEKLMQNKPKSRPTKHRKTEEMLELRLSNIKLRLDLYAEDSPQPLAQN TVLHVGDVEILDYISTSQIRKLLCYWKSDTFHPRETGTPLFHFHLMTVRTTEEEHRLK LKFLPLRINLDQDVLDFLKHFSSSAGSPDDDDEKGARNVKPDLPAPLHAPTVVSDKPE TAPGVASFFFQSVDIRSFKIKIDYRPQRVDFQALQAGDYLEVINLFVLEGMELSLRHI KLSGVSNWDALINQTLVHWVQDISRHQIHKCLASVVPMRSLSNIGAGAADLILLPMAQ YGKDRRVVRGLRKGPSRDHLFVIYWKATTFLLYCSSSRSSYSSSSTY H257_04484 MLRSLVIPPRKSSVSTGSRSNCTITRMTCSKPTTFHLYRLYTWR VQRDLNMPFDQVIRIIQSNVVHYLVGAAWSKEWSAVNVLSSVPLDTPLLQEVGGKIAY GRTHRSADEDVNFLCREFTEDGKLTIVAQHIHEDENLPQCKVQCNRMFWATVDRISDD VSTLLFLSSHYFNQYGSVPFNDECHYHWGLDLSHIEDEAAKLAAFHRHIARAGNEFVG ALAPLLHFV H257_04485 MPPLILVCGWTNADAAQVDAYLEMYKKLGYHALMLPSHGIDFFL PERWVHGAAVDQVRRLAAGQAASLGLIPHVMSNGGCRSWYCFENQLLQARVPFHVVSM VFDSCPSIPGALSDDPITTQVRQTPFLRHLVAQRFVWRWILVFLHLLLWLTGRKHPFT LHYLRYLIRDAATPKLFLYSSADELVSTSDIQGAIQTAQSMGSSITCVDFEASKHVSH LNSNPALYASSIQAFVTTHAPR H257_04486 MMSNASTDNEEVDLPTLDEDRDLRKKILSRRKQQRYRKRIVADN DGLRTHARDLERELHRLKVATKQCDLIPHVLSWQQVAKALMEERDISLESNASLKAKC QNYRNVVAQLAAWAQTVIGLPSQPRTDINTWRTVRLGTDPILRGTGIDWITRHLYHNT DAMLERYQFSAFPPRGVYSEDDIDVTDSDGFRCIHRFQGDVAQTVDGIAAALRGNIWK FLLVGMLSTMDPESIGGSSSAPSDIYRHTLNSSVESVNLVSREFTVGTRTTFVGEQVE DDEAWPTDGKRQRRRLIWIVLDRVTETQSKVRVLYMVSHSFTKHGYLPLEEEAKLWGC HLPPPSSSGFKYSDDATANADAFKRHVEDVTSRFRQMGQQRLVHALAPHSHAVKNPFG FQEFATANYY H257_04486 MMSNASTDNEEVDLPTLDEDRDLRKKILSRRKQQRYRKRIVADN DGLRTHARDLERELHRLKVATKQCDLIPHVLSWQQVAKALMEERDISLESNASLKAKC QNYRNVVAQLAAWAQTVIGLPSQPRTDINTWRTVRLGTDPILRGTGIDWITRHLYHNT DAMLERYQFSAFPPRGVYSEDDIDVTDSDGFRCIHRFQGDVAQTVDGIAAALRGNIWK FLLVGMLSTMDPESIGGSSSAPSDIYRHTLNSSVESVNLVSREFTVGTRTTFVGEQVE DDEAWPTDGKRQRRRLIWIVLDRVTETQSKVRVLYMVSHSFTKHGYLPLEEEAKLWGC HLPPPSSSGFKYSDDATANADAFKRHVEDVTSRFRQMGQQRLVHALAPHSHGTSPILL FK H257_04486 MMSNASTDNEEVDLPTLDEDRDLRKKILSRRKQQRYRKRIVADN DGLRTHARDLERELHRLKVATKQCDLIPHVLSWQQVAKALMEERDISLESNASLKAKC QNYRNVVAQLAAWAQTVIGLPSQPRTDINTWRTVRLGTDPILRGTGIDWITRHLYHNT DAMLERYQFSAFPPRGVYSEDDIDVTDSDGFRCIHRFQGDVAQTVDGIAAALRGNIWK FLLVGMLSTMDPESIGGSSSAPSDIYRHTLNSSVESVNLVSREFTVGTRTTFVGEQVE DDEAWPTDGKRQRRRLIW H257_04487 MANNSRSGSRRPLYPELVKAFLNVIDRGDVEEVRAQLAAHPRLA IAEDEETGVTSMMKSSVAPNAIAIMTLLYQHDSRDGTDPAALLYACACGAKPAAVDLL WSWSRQSRVPEFMFWAYCDTFGDGPMVLAARSHNVVLLRHLRSIVRISDQRHPSNHSL KQLRAIISTNDEEFAVEAMSTRLIQQAIKNEEESYWRTYEDHGMIKYGWFMVANCIKD AIVADLQRVVDKFERIHPAYTHATVYYCTHSLQPQERTVWTDLRAQFVWDCSHDIIRP ALLVQLRWLPGLVPLGYHIASYLMPTKTDLHKRAQSRIKGFELCENEQDCGPLCSSCY RRKSSHGMYSS H257_04488 MKKYSRRNSTPLDSTLVKAFHGAIEQGDLDEVRAQLAAHPRLAN TKEVKTGVTSLMKSSVAPNAIALMTLLRQQGANLATRDATRRTVLLYACTCGAEPATV DLLWSWSSLRPSPDLRWTHCDSNHDGAMVLAVRSRNVALLHHLWSIVPISAQSNASNH SLKQLKAAISTNDEDFIIEVMSNPLIQQAVKDEDEFEYEYWVDDWSSSDANTHDIKIT VACCIKNAIDANLRRVVDLFERINPGYTHATLFYYCHTMQPCESAEWSDFTSRYVWES SQDIVRPALLVQRQWLPGLVPLGHHIASYLMPLMAQVYKRAQIRINDFEISDDGGSSY DGFYSCSEDGGYSS H257_04489 MLRRAVVGLPNAQQEGLRTFMRGMSTIPVKRRFRIVTTLSEDVP FHPKHISSTSKSTTDSVEQCAPQAAPSINETKKKAFKLVAREPKSTLDHAYRYTPTTK PPTPLVVLERAVLDKNYAKAVEAFDTVPSSDLNRFAYEWAMQSHVALGNYAEVVRLFE EAEKTIPMLHNRLRFYYILSLNRQSRFADSLDVFVDWHVGEVAPLSRPIYNALLVACS HLKAWKTAQTIYHAMLASHLTPNGPTYFHVITTAIKSRPKCPQFTILSLAEATAAAGY PISVTLLNHILVDASSSSSAAPPLSSPSSPSSGQVRVQAIRRALHLWDANKHYDALPV ASEVPYEIALQQIWDAKLYTEAVGVVEDLVRLPSPSAAFKFRIAKMLLSRAAPVHADM SIKLLDLMQTHELGRLSGMARYRLFAGWSHLLEIEDIAAFFVQYQDVTHGWNGSRVSD LFIFGYRQFIAKGGHSPHEFETVMRLFTFAFESGDTLSYVALEHAVRWLYDMGKANEA LQIIVTMRGNPDLPLGYRLTELGMFIASKKEEYDVVIDLFEDLQSRGRTHKGDELHPK RFMVKMATKAYGETQNLIKFQELRYILSNREYKHWQGDPAERRPRGRMYV H257_04490 MQRSLQGARRSLGARILGLPRRRPYHASPLVMSTEKIIPSLGEG VIDSLHDKSISSVKKLEMVQTYFAQVPPTPDQNDLYALSILLEEQLASRDMPSALVMV ELMNTHKIPAGKRTVDLFSRMYARHLADNPTKISDGLAWYVDHRHALVPTIPVADMYV ELVSRGHVSVAVSLWEVCMEDPRLTCFVPHLAAVDILVRELTRYEQLETVLALARSKY QDQLWDDAFFATSVMEGFSDRREHHEVLKVHEKLTARNIVVDSRRYQVLVRKAQVYME HRTGTSTLAPW H257_04491 MFFRTDPSNWTRYEEEAKASRKRFLAKLKRHEVHVDNPSIEIVR LPKAVFNMDLMEYAQKPGGLPRDVVVFDMFDVDVTCASITDGILWSTRSDDDVVAPCL AANVDDIVETQPMGKIDSPFELEIESTIDVQGMSMPIYDDVTLAGSDEAISIACNWYE ELNRRAALEDSVETPLDTIPVKISQHEPSIVAQDVAFDKVDVLDPFDHHTAAVGIAFH PTLLDGCVELTGIDWEHSRQSILFLFDDMSCPDPRTYLDVLVPAASPPAHMTKPLVEI EPLDVGSNMPSCRTSTSLDEMHATMYKSTLLDGHLVRPTPKAFSVSIFDHTSACSNLQ DALFPQPTSTCNVRIQQLLLPPSAHVHRSHDEIAFIESFKAFTRDILAVSHTPALQRI SPSTLAIDMPTFWLTASSNFKDILFPDNEEVNEGAIVPHHCNAGGELQPTPHLIAPEE HSVEKSNKRHKPEEPAHRRRKRLRTRSTTIEHSTANTTSNGHAEDSSLSQSISHAARP LIWSLSSKKVLQIQETPLKSALQHLSIGSLRSKVQAKYLDLKRIIHTSTTINEKTQRA EIIRDLFYVHTLRLMLLVLDEHGTDACVAFVHTCVTRSKVERVLGPAYIFRLRHLVHQ QEEDEPIVVTPETKFNTNIINQSGPWPILSSVDFPLSDDVAALALRQGDCINVFLREL DPPLSLIVGLQTGICVVEKSIFLDDAQTKEFAYQIAQIQHQFAKIWVVLENYPPGYAK ETDKLEQCYLAFSTAAMGLSIPVVSMISNSPLDSAAYIQQIIRQPNNTVPEDLTFPED ESQLERLVASTKAMNPYCAQYLMDRISLADFFQLPWDRLDLSRVPGIPEHQLRCLYRI LQQDHGLDMQQEANED H257_04491 MFFRTDPSNWTRYEEEAKASRKRFLAKLKRHEVHVDNPSIEIVR LPKAVFNMDLMEYAQKPGGLPRDVVVFDMFDVDVTCASITDGILWSTRSDDDVVAPCL AANVDDIVETQPMGKIDSPFELEIESTIDVQGMSMPIYDDVTLAGSDEAISIACNWYE ELNRRAALEDSVETPLDTIPVKISQHEPSIVAQDVAFDKVDVLDPFDHHTAAVGIAFH PTLLDGCVELTGIDWEHSRQSILFLFDDMSCPDPRTYLDVLVPAASPPAHMTKPLVEI EPLDVGSNMPSCRTSTSLDEMHATMYKSTLLDGHLVRPTPKAFSVSIFDHTSACSNLQ DALFPQPTSTCNVRIQQLLLPPSAHVHRSHDEIAFIESFKAFTRDILAVSHTPALQRI SPSTLAIDMPTFWLTASSNFKDILFPDNEEVNEGAIVPHHCNAGGELQPTPHLIAPEE HSVEKSNKRHKPEEPAHRRRKRLRTRSTTIEHSTANTTSNGHAEDSSLSQSISHAARP LIWSLSSKKVLQIQETPLKSALQHLSIGSLRSKVQAKYLDLKRIIHTSTTINEKTQRA EIIRDLFYVHTLRLMLLVLDEHGTDACVAFVHTCVTRSKVERVLGPAYIFRLRHLVHQ QEEDEPIVVTPETKFNTNIINQSGPWPILSSVDFPLSDDVAALALRQGDCINVFLREL DPPLSLIVGLQTGICVVEKSIFLDDAQTKEFAYQIAQIQHQFAKIWVVLENYPPGYAK ETDKLEQCYLAFSTAAMGLSIPVVSMISNSPLDSAAYIQQIIRQPNNTVPEDLTFPED ESQLERLVASTKAMNPYCAQYLMDRISLADFFQLPWDRLDLSRVPGIPEHQLRCLYRF DLVFLICCD H257_04491 MFFRTDPSNWTRYEEEAKASRKRFLAKLKRHEVHVDNPSIEIVR LPKAVFNMDLMEYAQKPGGLPRDVVVFDMFDVDVTCASITDGILWSTRSDDDVVAPCL AANVDDIVETQPMGKIDSPFELEIESTIDVQGMSMPIYDDVTLAGSDEAISIACNWYE ELNRRAALEDSVETPLDTIPVKISQHEPSIVAQDVAFDKVDVLDPFDHHTAAVGIAFH PTLLDGCVELTGIDWEHSRQSILFLFDDMSCPDPRTYLDVLVPAASPPAHMTKPLVEI EPLDVGSNMPSCRTSTSLDEMHATMYKSTLLDGHLVRPTPKAFSVSIFDHTSACSNLQ DALFPQPTSTCNVRIQQLLLPPSAHVHRSHDEIAFIESFKAFTRDILAVSHTPALQRI SPSTLAIDMPTFWLTASSNFKDILFPDNEEVNEGAIVPHHCNAGGELQPTPHLIAPEE HSVEKSNKRHKPEEPAHRRRKRLRTRSTTIEHSTANTTSNGHAEDSSLSQSISHAARP LIWSLSSKKVLQIQETPLKSALQHLSIGSLRSKVQAKYLDLKRIIHTSTTINEKTQRA EIIRDLFYVHTLRLMLLVLDEHGTDACVAFVHTCVTRSKVERVLGPAYIFRLRHLVHQ QEEDEPIVVTPETKFNTNIINQSGPWPILSSVDFPLSDDVAALALRQGDCINVFLREL DPPLSLIVGLQTGICVVEKSIFLDDAQTKEFAYQIAQIQHQFAKIWVVLENYPPGYAK ETDKLEQCYLAFSTAAMGLSIPVVSMISNSPLDSAAYIQQIIRQPNNTVPEDLTFPED ESQLERLVASTKAMNPYCAQYLMDRVQYFSTPFFFASQAYNSM H257_04492 MSRGRQLQVFRHLWGIEPAVDVATNLKLVPQLKALGYKGVEASL SAIQAHGGAAFLDELKAHDMNLIVGVYSGWTDYIPNAWEEKSADEHLKQFEDEVNQAH ALSLRPVMLNAHAGCDHWSDRDCVEFFSAALERIPHTGDIPIAHETHRGRALWNPWRT LHVLEQFPSLKLTLDFSHWVVAAERLLDSEWDHQWIERVLPHVLHVHGRIVSDEAPQV IDPRDPHAKPFVDRFDRLWSQVWQVQARKNLISTFTPEYGPSPYTPMAPFTGAPLSDV CDVVNFETRRQQARFATKYSFPH H257_04493 MNLYFIQSDDNVDTARIILTMASVTDDEKKLEKEFLSKRPPLAY AKLQGKLNDTEPFEVVITQLPVELGRGSLENQPEGRICLGDQMSVSRTHARINWSAEK SCFELECLGKNPLYVAGRPVNKGTTVQLTPKLPLKIGSTRFYFLPAIKSPCGVLSGLK LIQKGFEKAPQPAASTTGLTVDDTVDSIFKCFRDIEYEVGGRDTLASLIKGYFENSPT NFKRISISATGEPRYILIKPEKPEADDKKRPGSAGPADAKKKAKTAPVDAPDVAAKAL VPTDVQRLDTKHVSALLNASDYYGE H257_04493 MPASIGVRKSRASSLNAWARTRCTWQVHSVTWFRRRLMLCLPGR PVNKGTTVQLTPKLPLKIGSTRFYFLPAIKSPCGVLSGLKLIQKGFEKAPQPAASTTG LTVDDTVDSIFKCFRDIEYEVGGRDTLASLIKGYFENSPTNFKRISISATGEPRYILI KPEKPEADDKKRPGSAGPADAKKKAKTAPVDAPDVAAKALVPTDVQRLDTKHVSALLN ASDYYGE H257_04494 MAVGKNKRLTKGKKGGKKKAVDPFTRKDWYDIRVPGIFQTRTIG KTLVNRTAGTKIASEGLKGRVIEVCLADLNKDEDQSFRKVRLSVEDVQGTQVITNFHG MDFTRDKICSLIKKWQTLIEAFVDVKTTDGYTVRLFSIAFTKKRPNQQKKTCYAQTAQ IRQIRKKMTTIMTEQASKSELKDLYLKFQPEIIGKEIEKACQGIFPLQNVFVRKMKVL KKPKFDVTKLMELHEEGAQEKGAKVVRDEDQLVQSLAGTGGRL H257_04495 MAKRPSTKSVDGRQQPVNAANPSQVHTKKRLPVLRYRKKVLDPS PEPQHGDDDNQLDEPDDTTSSCHDNQSSHESSPVKVHPIPSSKVYVVNVQDFLFHPRH IVVERHSTIRWEIPANSTTVHSIHVGWTKKKPPPSTTNAPTLSVDTPTFEHTFDTLGT IRYSCALYSFMTGSVTVVAALTPDDTSRNAVFLDYPHPRTVPTSPRKQVTVPFHKQLV TWREKQPPRPNVEDDDTFARKSRQRIKTKANAATKHPQSPAIDATPSPLSTPPATPST SPKATTHSSLYVAPLTAATSPTNHHVILLQDFAFSITKASVVAAVGDAVSWEVSPENP GMVEHALQLRVLDQTTLVHTATSPPLKPGDRWGFALWQPCTLSVRCVVYDLVCPSVQV VRPPSASEVDQPPPPTDVILIGDVACPVATAVSSPPTEGADEGSILELLHKSTLKQRA SRSSYEVEHGRAIPGFDAAAAYDLMKQRSRDAKLDPHVVYACSRRTVL H257_04496 MSTRPQLATRRPMGSLLPRLRTSFLHSMVSIRFEKAKSWREPEN RLGPTGFWSLWAWMACLRLAFAVHLGLLAYAHAFVSSGRHEYERRTMRLQDDLRVPVV MCCAVGMLHVYGLVNMAWNRRQTTRLERVRAIRDMSKRGGFEPSHPLVRRLWHLYTKH LIGKGQFGIQGEYFSLRSSVSHGLSIALHTYQAFKVTFLSTSTRLNLLMAGCLAANCI VAPLLFTIRMRPVLQRTAAIWTTVGFTAFFECGLPWLSVAPYLAYFTWDDEVQTSHLY DDVWFYDAALLGRRFFLNDLSDVCSRLLPCVLAYLSLANLEGIVSVAHDYHHPTINYA VHNHPQLYPRRTPPPPSRGKHMHSTKVKVALHVAVVWSRVVGLLWGVGVLFLAVTFSD VSKTLQVPCPHGCLSRVYPWFATDCRCVIQLVNCHARAIDQDQLPQVLDTLDPHNLQL LILAHCPDLVVPPLDRFESLFGLEIFNSTIVDWPTTAALTADAFQSLSYLLLVRTDLV EIPPAIYQRPLPPTLLDIEIVNAKVSYVSNDTFAAWAGLNTLFLESCHITSVPTSIDH LRVLNQMSLYDNDLSNADAIAHLPMLWELSVSKNPRLDSLPHDLTSLPALTTVLADLT NISSLTDTWRTQVPALSLAATPFCSTTPDAAQFCDHPAQTQGMFPSHRLYREFT H257_04497 MCHVKVVLLCKGRGGDAASYRPTRDESQWWNRRDALVRCVSSFL HGPWSAHCSSRELVLLHDEDCARIHMTVANPLSCRLLPTEQNIVSAWKSAAASSSSAS KDRFDSPWTCRVERVPESGASTSSAAAVQHMDSKRQVLEHIQAHCSLDFLREHGLNSK PDVVLRKTNKKALMQAWHQWTSQHKPPKSSSSASPLESIFAELLHVPSASVVAGYLHE SCESELPCFNPPPLPAADPNLYVVLFLGAVRDMHPSENALLRRVCASKNIPLTGVRLG AVPEFTSKILSVVAFHQARGVLGPALLHQLASSKHELTTASTKHETSSTGSSTSPMHV HVLCSVPLRQADLSTALGQRQGPLWAMVRVTVVTLWRSHYMRTSSSIQGDIISTEGTP SPPGNVDRGSATFADSTVAPPLTTTLTFLLADGVHVTFEQETFVTCMAEQHQAAPTEF QILQAIISQSSGAAVNSTSIHDVKDGKAMAAWMAAAVAPSVVLDLDDGDDDGGVVGKT LVDAMYASPEPAAASGGAATVAVLLSLHPTEVLPTHEALRRATAALGLPVVRQRIVHT PVTDTAAASITMLQHFAYQHRLVEVLHVMATTSRNKSKTKAKKAKKNARRNDDDSMSS KQMKRA H257_04498 MVLISDISPGPSYFLMMQNPWSDYDPTCVDRHHDIAKRNAAKPH RRSVSTHEHKSQKQTRSQSLNHAAIHSTLRAPSVPAPKSLPTKEVAGAFASLQVHPMT SAASSTHHHADDDDRKHPSSLLVRSMSEKPMKSSSSSPIYEMPELLGGTAADILSDPK VTKYLVPKIEACLPYRFKHKNWKLSFSLAQHGASLHTLFRRVRRQESTIVVVETEDGD IFGGFATAPWTPCGLYFGTGESFVFTCHRKFEMFPWTRKNSLLLFSDENTIAMGGGGG FAWALNHDLSQGTSTPTMTFDNRCLASRCNFGVVNFEVWEFACKYQ H257_04498 MVLISDISPGPSYFLMMQNPWSDYDPTCVDRHHDIAKRNAAKPH RRSVSTHEHKSQKQTRSQSLNHAAIHSTLRAPSVPAPKSLPTKEVAGAFASLQVHPMT SAASSTHHHADDDDRKHPSSLLVRSMSEKPMKSSSSSPIYEMPELLGGTAADILSDPK VTKYLVPKIEACLPYRFKHKNWKLSFSLAQHGASLHTLFRRVRRQESTIVVVETEDGD IFGGFATAPWTPCGLYFGTGESFVFTCHRKFEMFPWTRKNSLLLFSDENTIAMGGGSV SSVHPSHDQPHGTMILVE H257_04499 MTDRHAENSQLTDLQTYRSQTMKDYGLMIEYKHMKHHVPSGIYV LPNFDEPRVWHGVIFIHQGLYRNGIFKFSIKIPEQYNATGTYPKITFYSKVFHPYVYP ESNDLDLLPKFPTWDPDLHYIVSVLVYMKSIFYSKEFSPDVRRVANGKALDLFRRHPE AYVEQVDACVQASLTNLYQNEPGSSLRFTKPIPAHETLRQEFLQQLEPSPSAFAHENP SAEEQREETVTNMNDVALDELANQQADRPPEREVMDDPME H257_04499 MTDRHAENSQLTDLQTYRSQTMKDYGLMIEYKHMKHHVPSGIYV LPNFDEPRVWHGVIFIHQGLYRNGIFKFSIKIPEQYNATGTYPKITFYSKVFHPYVYP ESNDLDLLPKFPTWDPDLHYIVSVLVYMKSIFYSKEFSPDVRRVANGKALDLFRRHPE AYVEQVDACVQASLTNLYQNEPGSSLRFTKPIPAHETLRQEFLQQLEPSPSAFAHV H257_04500 MKFSECKPPLCAEVLAGIEELGFTDMTPVQSATMPLFLTNKDVA VDASTGSGKTLSFVLPTVEMLRRRLLATQDDDVANVLATKKHSQLMAMLLSPTRELAR QIYDQAVFFFSRVLPDVHVLLFVGGTSVDEDMAAIRAAKGYVSVIVGTPGRIEDMFNR IAELAILDTKEFEVLILDEADTLLDMGFDISINNILGKLPKQRRTGLFSATQTQEVKA LARAGLRNPATVSVQLASNTKTPSTLTNYFTPVEYDAKLATLLQFVQNKPSEKHIVFF STCASVDFYGAVLAHLTKHSNNVVTLHGKMQPKKRIAHYDEFVHAPAGLLVCTDVVAR GIDLPDVDWIIQFDPPQDPNFFVHRVGRTARAGRSGSALTFLSSNEDAYVNFLNIRKV PLTEMQVPVSTEEAEHVLDQVKALQLTDRDVLEKGTKAFISFVRSYKEHQCQFIFRFR DLNLAKVATGFALLQLPKINELRCLDNIAFTPSGVKIVDIPYKDKAREKQRQKKLVEI AAEHKAEKLDRFEKKQLDKKRKAAVDEDGPRRREKKKGMHQQIVEEWEELEKEEHLFK KFKRGKITKEEYDAALGDLSDDETGATKQEKKFIKKEGVKQKTGEQKANAAKREERIR ARKKAEHIKRSQQRAKYNGKR H257_04501 MNAVDEPFAYAELTEAESDEALQKALQSVLLKRKQYQMNATKQL QKELDAQARSIERAVSMAKITGALNESNSQAAPSSSATSNMAIPMFATPKSTFQDFHP YSNNLPTPTPTLAVPATATSSAVAVPSGLDTILPPPSAALTATNPMSSTSTTSTTFVG LVVVSTAFKYVPPLADSMLAAASSLHTVLCDVSLGGFLNQATKLLVNPSLVEFLTELA TFETAVDPQSTFFMLVVTHGARVVNEPHVGSYLLFPESRVSSVDELVLTALHEQQLAA AIDRIPSQRKLLAFDVCHLQSILPPVTTKEDAPPPSSIKGRIHEDFARKFLSRLRELE TQRQVARAKADHIPLKSLPATQVPVLVLEACKARSQIAIHENAGSGNAFFLRRFVDAF RGAAASPGRRDAFKNWTEDDAKFPFVYARDVVTYVAAAVQFDAYVASSRARDAMAAKD LLTVQFEEAASVRDMSQTPQLHCDVPGLDFRLGKVPQPPMNTPTPPTLMSASTTSLQV TWTLPPGDNRTPVLGYQLERKGDGPASETWTLVATRLVQTYEDVVHNAVVPPMTLTAT GLASDAAFRFRVRARNAGGWGRYSAPSTPLRTMAASTSTRFATDVQSKRTPQDVVQWM ATYPTFGQVQQLGCRALAQWAVAATTKQSHGDDTTSGLVGGGVDAALRAMKMYTEDVQ VQAAAASLLGALAQYDIQGWTPAQKAGAKILLNDLFAKFSYAAFPSAHTTGLWALRVI TEPPTRRKIGRNEAAMKLQGLFRRRQARRLLAAMATALFPQIIDPATGLAYYYDTRTG AASWTPPSRFLVS H257_04502 MDHMEVATTTTWEQQQQHVGHDVQDVRVGTYSSSRDVNDPSSSA SGYYHQLVAHQHHEEHPWRGKTAFGFATILNRSADHDVSASPVGLSNSSLPSLQAYSM AGSSSSSMDKKGPSLHGVGTRPTPIAPLGSDDLSTGGRSSLKLKIKKKHVDISPSFHQ TPIAPSTPSVVNGHARKLPLAPAPLVHPLNDEDDDDDDESGDDVEEGAVSSATGAALR GGRWTSEEHERFLSGFRQHGHKWKRVQMVVRSRTVTQVRTHAQKYLLKLQKISGEPRS SSDITYMQSFGHPTSPTQSLLSNGNHHHDGEDLQHQQPPHTLPSLPHQLLSSLSRSRL PPDDVPAVATCPDQLPYPVSPDVVLPSDELAHLSPMSKQQLKRQLSTGRTGKNPPMKR VKKATSKAADAAVIKEAAHALCLLNAQQIDELEVTDMEQHDDDASTDDDDDDESYCDA IGPDLPEQAATSPPPSTTTTPRPIPVKKNAPTSSKKRYLCRKCRVPKKGHVCDMGGSQ DGDDEAAAVASKLEPLPTTDGLVTWRSGDEFEVVHATQSKPPTAAWVVHNNDQQLHIA YSDATTTTTTTSTSGSPPTKPAIERDQQQLHPMDGLPPKEEGTASSLSDDE H257_04503 MAQRDPAAAISMHLGVKKRKHNDTSKRTTSGELASSLAPCFLSQ SQLEGMSDYDYADMDHNAFSPSPQVMTLEDTILKVKRLQAEGNTLAEAGLFQAAIARW QHGLDIDPTNGTLYELQAQAYLASNDVFRSIQAASRATELCPEWSDAFATLAHCQFNF GELELAHAALSQALLLETDAGQQLEWQVELDEMVALLAEQARRVAAACTVTTPSSSPD QDQVRTCKANLCRRSVHWS H257_04504 MKLDVSGMRYLTKEHFKVLTAVELGMKNHEIVPVELISSIAKLR HGGVAKILSHLLRNKLIAHDGTTYDGFKLTYMGYDFLALKVFMKHGHIAGLGRQIGVG KESDIYMAVQPDGTEVAIKFHRLGRTSFRAVKNKRDYLKHRKHVNWFYMSKLSATKEF AFMSALYEREFPTPTPIDHNRHAIVMSLVHGYPLNAFRQMQNAKDAYDACMNILVRLA ECGLVHCDFNEFNIMMNGDGKITIIDFPQMISTKHLNAQELFNRDVNGLVKFFSRLQN GAYMPDEVPQLDDIVRDLTVSLDTQVQASGYTEDMALELDQVVLDNIGEEDERDDENS DQGDGDNSDEEIDPTLAKELQERIDALTSRTNDPTVLAHDETSESDDDDDEDVTLTAT PQVPNEHVRKQVKKTLTKQQQKGSSRRSRNSSKLSVKGKLVHQRDM H257_04505 MPSDTPLHKAAHNGDKGAVLQILEDPSMDVNAPGAADRRPLHRA AGGNHAELCSILIERGAEVNCPDKSGRTPLHWACISGHKEAAIVLLEKGAQVNAVTTS GMTSLMGAAEAGKSDVVRLLMERKADSTLKDKDGKLAFDLAMAGKHNAVVKAMKELGD PAAQSASCVIQ H257_04506 MRLQQIYVRHYPPGLRLSCRQSNGTCHHKSIDLVSLGPETNVRL LVSQLIEKESLLTKAHIHRLYDILHGLIDKQCLAQDMEYALARTIKAHMQPLTNVAIS KHGTLVATASYDKTAKLIRLQGQEDKVVLRGHEGVVYCVALNTPYSSLAVTGSFDKTC RVWDTTTGTCKQVLTGHEGEVVSVGFNASGSRVGSCSMDCTATVWDVETGKAEFDLTG HAAEVACFAFDGSKSSSFMATGSCDSTVRLWDARYGECFRSLHAHTADVSTVAFNHQS NLLLTSSTDGTAKVWDVQSGKPLFVLGDHVGEVTDACFNSTGSLMATAGVDGHIFVYD TLTAKRRSHCLGHSGEVMQVAFSRQGGRLVSAGADHTSRVWHAQTGECLQVLRGHEDQ VFAAHFAYDGATVVTASKDNAVRVFTDKSSG H257_04506 MRLQQIYVRHYPPGLRLSCRQSNGTCHHKSIDLVSLGPETNVRL LVSQLIEKESLLTKAHIHRLYDILHGLIDKQCLAQDMEYALARTIKAHMQPLTNVAIS KHGTLVATASYDKTAKLIRLQGQEDKVVLRGHEGVVYCVALNTPYSSLAVTGSFDKTC RVWDTTTGTCKQVLTGHEGEVVSVGFNASGSRVGSCSMDCTATVWDVETGKAEFDLTG HAAEVACFAFDGSKSSSFMATGSCDSTVRLWDARYGECFRSLHAHTADVSTVAFNHQS NLLLTSSTDGTAKVHPTYSPSLHENSRRLPRRYGTYSLGNLSSCSATTLGK H257_04507 MARNENPFDMQIKLLMIGDSGVGKTCLLLRYANDSFSPTFITTI GIDFKVKNIDLDGKKIKLQIWDTAGQERFRTITTSYFRGAQGILLVYDVTDRASFNSI RNWVGQIQQHADVHVNKILVGNKCDMLDDKVVSTEEGQALADEYGIKFFETSAKNNIN VEGGFIEIANEVKTRLMEEGGPHKKNDLVVNLGKKGSNDPTKAGNGGKGGCC H257_04508 MTPGHSEIPTPHNDKDDESFLTVTIRKQRKWLILAASGLVIVAV VATVASTSSATDETSQSAAISQPPTAAPASPSTAPTTAPASPSHAPTTSPWSPVVGGD DGNATVYQNNSVGTTSNTTLHHEVITSKPVAEGAAFNHSTTTTTTSPPESTTASPSPS ATTTTPPPPPRPSPQPSPAPTTAPPKLLSYTDTKFDWLDIRNWQGDNEISATSFATSA FKPDRIHVSTFGRPFEKSPVLRTTPGWKGNRALLIEWTSYAGSDTNIWLLPTSLTSQF HTTAWPKCGEYDIFEMFNGDAAIGHKGTTNLWFNGGLEGFGQSTLHMSTTKCFAPFYP NGMNRPSSTSQSAQWHTAYGAKNAMAVVFGTDGNGKFIQQIQNPKIVLGPNSTVDIET DGANAAAKIYTNDNLYWGVKPVGGCADGYDGGSPGFPFMTDDFRLILQEQYQGTFDVT NFKVFVKN H257_04510 MNTNTGVTPAAPSQAITTFEELRLTDVAMIDEKEDLSMLRRTLL KKRKLVLGAGVLLVVVVTGIVAIVSSATTESASTGEALLSTTVSPTTASPTTASPTTA SPAADAPILEEVGVGSIANSVESTYSSNAATNTTRPESVTTPAAPTTTTSTTTNATTT LEATTTTSERTTTSAPTTPAPTPAPTEKLLPFTDTKFDWANFASWEADTSADCPWAKG GFKGSSIEVSSFGRPFKESPVIRTKKGWSGEKVLHIEWTSNPGSDTNIWLLPTSLVNQ FGDLRWPNCGEYDIFEMFNGDAAIGNSGTISYFGRGLTDFGQSTTHIASKDCYAAETV HKPMVAPNGAQYSVAYGRKTSMTIIFGSDSRGKFIQQAQDATLKAGPNSTYDVVLDDA TVTDKIYNNAQSYWGTTPTGGCAAGFNPDSGYPFWGEFRLILQEQYQGSFVVSNFQVL TKTP H257_04511 MPDQQQFHEAAGPTRTNKTTTRRAELGSGRRARSLITEAQKAHK TDMRAATIVARRAGYLL H257_04512 MLRRGTLSRATAASWSSLRSFASKPKKPIVLNDFMRVRELSKKL KVTADEVVKHACRKHYRKYYMTHHDVKYKFDTLKQVILPLDLAARIADHFGVEVAYEA LEPQDIVSDPSLGVLVSRPPVIAVMGHVDHGKTTLMDTLRGANVAKHEVENITQKIHV CDTMLAPDVPVTFLDTPGHFHFTRMRNNAADVADVVVLVVAADEGCRLQTDESIGCIE SLDVPTVVCVNKVDLVTPSTIDKIRDQLDEYVALESSPVVPISAKLGTNLDTLKKTLL HVLEDQVLTMHAHVDRGTQGTALEVVASIGRGTVLRILVQHGALRVGDHFICGMLHGV VKNLKRANGDDVAVAWPGHVVDVCFKRLSKHKDAPLEFSLHVVTKDRAEAVLHQRELA MDFQAAVEVADDNEKVDDEMPAKGFFGTPIVIKTDTAGSLTSILDTVDASMPGIHTAH MGLGHVTTKDVERGCTIFGFNVRVGARERKLAKDQHVKIVIRPTIHELLEEIALVADE HDEELNEVGKD H257_04513 MKWVAGAMKVEPQTRSDVAAPTMKEGGTAKRMSSQDYATTTPAT EQYPTDVSVQKKKKKGLGFLRLSLSSRHRSRRLSESSTAPIESSIDSRRGHPLPSPIK NNPPSPKKPSYDIPAAHAASVDAAISAMLDSVVNISDQWTFNSEKQGVRAYSKDEEYD SACASCARLHTLDSHTAIDYFASKAVLMVAGRDFVNLVHWRQLPDGSIVLVAVATSDP SKPSPSPGLVRGEIHVAGWTIAALGASHSKVSFLIKMDLKGNIPGYIQRKVALDQAFC MLHVQRAMQRRPPTPPFLTHDVAAPQTSPANDPQPTTQTPVVELACDPPASTATFNPI GHCASPWVAFGTFLTVLYGLPLVGIDDVVHDIVVWNTIACMTYVLWVTTTRHNTVSQE Y H257_04513 MKWVAGAMKVEPQTRSDVAAPTMKEGGTAKRMSSQDYATTTPAT EQYPTDVSVQKKKKKGLGFLRLSLSSRHRSRRLSESSTAPIESSIDSRRGHPLPSPIK NNPPSPKKPSYDIPAAHAASVDAAISAMLDSVVNISDQWTFNSEKQGVRAYSKDEGTL LSVLGVGSLPFPPAHVATFLFDASKRPEYDSACASCARLHTLDSHTAIDYFASKAVLM VAGRDFVNLVHWRQLPDGSIVLVAVATSDPSKPSPSPGLVRGEIHVAGWTIAALGASH SKVSFLIKMDLKGNIPGYIQRKVALDQAFCMLHVQRAMQRRPPTPPFLTHDVAAPQTS PANDPQPTTQTPVVELACDPPASTATFNPIGHCASPWVAFGTFLTVLYGLPLVGIDDV VHDIVVWNTIACMTYVLWVTTTRHNTVSQEY H257_04514 MATLSGYLLKRSKADWKSRYACLDRGVLYLFKHKHHNYPSMTIL LAGCTAEATSDPDGCSFVISHPSRASTKQLLLATSESHLLSTWLDAIVAHAQLDVAVP TPRAPHRAAAVPSLPYDIPSADNRGVPPKYLDVVETMVATFLSEYVYHTARWTLETDH SAVKSTQLYYCQAPAAAMSKLMLKHPADDIASVVVDPTFDAHVRDSTTVHTFNENTTM QYITTKDTMFPSRGRQYVVLTHRRTLPDQSVVVVSQSVPNDIEHLNKSRHSDPAVLRI EGFHIVPNLDDTAAEVTYVVHVDGDETLPDHVVVSRALKLDALRVLLEPPGSPTSPRA HGALPQPFPNVISSNIFEKSPRTNARDVGAYSIPRQFAHEIDSAIATLVATATGLSSW TFQSEKEGVRAYSKQPDGSSLTSVLGVGSMAFPASTILGFLLDTSRKVAYDPMCAAAF AIARLDPHTSLDYYASKPVLIVSGRDFVNVVHWRVLPDQSIAVVAKATQTDQMPVNPG LIRGEVHVAGWHIVPTGQHSADVSFMMKLDLKGSIPTFVQNKIAVDQAYVILAVRKQL EALPNIRSFPVVNDARGQVAAAPVAPLVPPDDTLTSESTSKLSKESAKKGSMTWIDDT PAIPTHTTEDASVWMSWGVSLLAFLGATYIFSLGGILVGAMYVGYHVLTIGSPSLRRR QQGPVASWKASLSASSVHGSILVDCSDTLAYIQHLEKRGAVVTLPDIVVRAVARAVRH TPSFKGYAAFGSLYPSNGAVNVSCLIHRHDDTYDVTLAGADTLSIHSIATIVASGVDD AGLSSPSNSCGLKPTHWASVVHHALVQFWIDIAEWLSHQVPSMGVTPHRGTHAIVADV GKMGLDDAFISMFRHVPLTVIVGAIAKRAVVVEGGDGEDQVVVRPMLCLNVQVNPRYA DPAGIAQLTRHLREFMEHPGVLDDEDLHQ H257_04515 MKDAAAALFARIANLFVANFTVTFQNELRFMSEMTGSVAAQAMR ADNVPVQTIVRHASTALSRL H257_04516 MNEVLGLFSLGCELSNLKQEKEALRCFLAIRMPSTSTTLSAGQV EIVDMYIAELYLMLAMEGQHNALKTYRLPDASRILQRVPYKQRGSTSLPFPHNEWGVR RLRCEWMLKILTDPQAYRAHVDLLCQGIHLCEQTGDLSTWLAWYRSALRHQLKQIHTV CCLSPSPSPSASFASSFRECAVVVYDTLASCTSSDAFKLWLLEVLCHSILEQPSVSSD ESSKLFTFCDGFSTQLAASRPDLRMYFVLLHVLLLFRTGDVVRAGPLVQELETLTQQY PTLLPSTWRHLPALLHLQVNAYYNPTAAISMSSSLLSALQSDARDSPPFLWFDAHLTI CHLLDAQGRYGEVGHLATELLRVVDLPNVARSGRHTSMRTAVHILLAKYAHAVNCMDD AINHVNAAFALILEDTPQWPQLSDVHLMHMMGLLEVATAMSCFPLPKAGAPPAVVQPF FPDDNLLEFAAGVLRDTNLRALIYNGPSKEVRAKYDLYLCKWLWGTQCLGLVGTYPDM DTLRSYMLSVLQDCLELSTSSINCSNITAEIMVLFGPKLIEFGRLDEGERTLTNALKI AMHTKNLKLQVQIMIEVHASCGRKDQVKAQSVVADKFAKKLESLARKVDRALENHAVH TQLLTWKVQETST H257_04516 MLKILTDPQAYRAHVDLLCQGIHLCEQTGDLSTWLAWYRSALRH QLKQIHTVCCLSPSPSPSASFASSFRECAVVVYDTLASCTSSDAFKLWLLEVLCHSIL EQPSVSSDESSKLFTFCDGFSTQLAASRPDLRMYFVLLHVLLLFRTGDVVRAGPLVQE LETLTQQYPTLLPSTWRHLPALLHLQVNAYYNPTAAISMSSSLLSALQSDARDSPPFL WFDAHLTICHLLDAQGRYGEVGHLATELLRVVDLPNVARSGRHTSMRTAVHILLAKYA HAVNCMDDAINHVNAAFALILEDTPQWPQLSDVHLMHMMGLLEVATAMSCFPLPKAGA PPAVVQPFFPDDNLLEFAAGVLRDTNLRALIYNGPSKEVRAKYDLYLCKWLWGTQCLG LVGTYPDMDTLRSYMLSVLQDCLELSTSSINCSNITAEIMVLFGPKLIEFGRLDEGER TLTNALKIAMHTKNLKLQVQIMIEVHASCGRKDQVKAQSVVADKFAKKLESLARKVDR ALENHAVHTQLLTWKVQETST H257_04516 MNEVLGLFSLGCELSNLKQEKEALRCFLAIRMPSTSTTLSAGQV EIVDMYIAELYLMLAMEGQHNALKTYRLPDASRILQRVPYKQRGSTSLPFPHNEWGVR RLRCEWMLKILTDPQAYRAHVDLLCQGIHLCEQTGDLSTWLAWYRSALRHQLKQIHTV CCLSPSPSPSASFASSFRECAVVVYDTLASCTSSDAFKLWLLEVLCHSILEQPSVSSD ESSKLFTFCDGFSTQLAASRPDLRMYFVLLHVLLLFRTGDVVRAGPLVQELETLTQQY PTLLPSTWRHLPALLHLQVNAYYNPTAAISMSSSLLSALQSDARDSPPFLWFDAHLTI CHLLDAQGRYGEVGHLATELLRVVDLPNVARSGRHTSMRTAVHILLAKYAHAVNCMDD AINHVNAAFALILEDTPQWPQLSDVHLMHMMGLLEVATAMSCFPLPKAGAPPAVVQPF FPDDNLLEFAAGVLRDTNLRALIYNGPSKEVRAKYDLYLCKWLWGTQCLGLVGTYPDM DTLRSYMLSVLQDCLELSTSSINCSNITAEIMVLFGPKLIEFGEARCGVLRTLT H257_04516 MLKILTDPQAYRAHVDLLCQGIHLCEQTGDLSTWLAWYRSALRH QLKQIHTVCCLSPSPSPSASFASSFRECAVVVYDTLASCTSSDAFKLWLLEVLCHSIL EQPSVSSDESSKLFTFCDGFSTQLAASRPDLRMYFVLLHVLLLFRTGDVVRAGPLVQE LETLTQQYPTLLPSTWRHLPALLHLQVNAYYNPTAAISMSSSLLSALQSDARDSPPFL WFDAHLTICHLLDAQGRYGEVGHLATELLRVVDLPNVARSGRHTSMRTAVHILLAKYA HAVNCMDDAINHVNAAFALILEDTPQWPQLSDVHLMHMMGLLEVATAMSCFPLPKAGA PPAVVQPFFPDDNLLEFAAGVLRDTNLRALIYNGPSKEVRAKYDLYLCKWLWGTQCLG LVGTYPDMDTLRSYMLSVLQDCLELSTSSINCSNITAEIMVLFGPKLIEFGEARCGVL RTLT H257_04516 MNEVLGLFSLGCELSNLKQEKEALRCFLAIRMPSTSTTLSAGQV EIVDMYIAELYLMLAMEGQHNALKTYRLPDASRILQRVPYKQRGSTSLPFPHNEWGVR RLRCEWMLKILTDPQAYRAHVDLLCQGIHLCEQTGDLSTWLAWYRSALRHQLKQIHTV CCLSPSPSPSASFASSFRECAVVVYDTLASCTSSDAFKLWLLEVLCHSILEQPSVSSD ESSKLFTFCDGFSTQLAASRPDLRMYFVLLHVLLLFRTGDVVRAGPLVQELETLTQQY PTLLPSTWRHLPALLHLQVNAYYNPTAAISMSSSLLSALQSDARDSPPFLWFDAHLTI CHLLDAQGRYGEVGHLATELLRVVDLPNVARSGRHTSMRTAVHILLAKYAHAVNCMDD AINHVNAAFALILEDTPQWPQLSDVHLMHMMGLLEVATAMSCFPLPKAGAPPAVVQPF FPDDNLLEFAAGVLRDTNLRALIYNGPSKEVRAKV H257_04516 MNEVLGLFSLGCELSNLKQEKEALRCFLAIRMPSTSTTLSAGQV EIVDMYIAELYLMLAMEGQHNALKTYRLPDASRILQRVPYKQRGSTSLPFPHNEWGVR RLRCEWMLKILTDPQAYRAHVDLLCQGIHLCEQTGDLSTWLAWYRSALRHQLKQIHTV CCLSPSPSPSASFASSFRECAVVVYDTLASCTSSDAFKLWLLEVLCHSILEQPSVSSD ESSKLFTFCDGFSTQLAASRPDLRMYFVLLHVLLLFRTGDVVRAGPLVQELETLTQQY PTLLPSTWRHLPALLHLQVNAYYNPTAAISMSSSLLSALQSDARDSPPFLWFDAHLTI CHLLDAQGRYGEVGHLATELLRVVDLPNVARSGRHTSMRTAVHILLAKYAHAVNCMDD AINHVNAAFALILEDTPQWPQLSDVHLMHMMGLLEVATAMSCFPLPKAGAPPAVVQPF FPDDNLLEFAAGVLRDTNLRALIYNGPSKEVRAKV H257_04517 MADDFEDWLTDAKHEFMMEPSPEKADTHHEVVTPAPPANQIGDT GVTKLSSEDVNFVDDDDYDDGAASILPLPPYSGIPSTFGDQHIEPSSLTMATPPPPPP VQVNDSLDLEWNIQSSFDMPDKLCQEIQSAVDAAATRLATAAVPSTPLANGLQETDTT AELLLIMEVVIGDGRTESIQVREGDEAEALAATFALVHSLDPDVVPTLTAHISEQIRS IRPVPLTRHPSSKPQHNPPPATEKERSYNALRDKFGKSSSKSTFDLVKTTTPSPKGGP TARRGQPPASERLYALAQAQREWRARAQKKREDEVTKELADKRLQLADKTKLLVANRT NGQYRSIGERLYGEAVSENARRKKLADARSVEKAHADLIGDDHADWMCPKCACSNRYQ DAKCQHSVGPVVIPAPPAATTSSSTNKSSTKSKDPITATTIRVCGQPKPTMFQPTLLS KENKKPVPNATLVLRRQKHEEVAKTEYNQRHPFAPQVNPTSTDLVKDKRTGATTHLTL YADADARRTRQKDHEAAYLAQFSFRPNIGINAFVAPPASKDALVQRLAIEDQQKLAQS RAKLFEKYGAAKDPATGRPYFTPETGRGPQFARNDTNLPIGTFLYQSRREFDEIHRQL RQADSDALRDHRTQSFVSKTSKMHLKARKVKSFDRIFKLLQQASTSTATVEKDESLLD PTLLQMDTLSLELGHVALSLFDTCGWVPIPKDNFYACMESTLAQCRHLTHTQVLFFGD KQTPVQSSPSHAIPTTKERADAADDQELTLHPKICAKSHQMVTKNTGRKKVFESLYNV HATYAAKRKQREAKLEKENAKTCTFRPQLCKQSFHDMYARLPDDQADDMDYVVLSTAR PCARPSVRPIDSDPPSRL H257_04517 MADDFEDWLTDAKHEFMMEPSPEKADTHHEVVTPAPPANQIGDT GVTKLSSEDVNFVDDDDYDDGAASILPLPPYSGIPSTFGDQHIEPSSLTMATPPPPPP VQVNDSLDLEWNIQSSFDMPDKLCQEIQSAVDAAATRLATAAVPSTPLANGLQETDTT AELLLIMEVVIGDGRTESIQVREGDEAEALAATFALVHSLDPDVVPTLTAHISEQIRS IRPVPLTRHPSSKPQHNPPPATEKERSYNALRDKFGKSSSKSTFDLVKTTTPSPKGGP TARRGQPPASERLYALAQAQREWRARAQKKREDEVTKELADKRLQLADKTKLLVANRT NGQYRSIGERLYGEAVSENARRKKLADARSVEKAHADLIGDDHADWMCPKCACSNRYQ DAKCQHSVGPVVIPAPPAATTSSRSSQPITNKSSTKSKDPITATTIRVCGQPKPTMFQ PTLLSKENKKPVPNATLVLRRQKHEEVAKTEYNQRHPFAPQVNPTSTDLVKDKRTGAT THLTLYADADARRTRQKDHEAAYLAQFSFRPNIGINAFVAPPASKDALVQRLAIEDQQ KLAQSRAKLFEKYGAAKDPATGRPYFTPETGRGPQFARNDTNLPIGTFLYQSRREFDE IHRQLRQADSDALRDHRTQSFVSKTSKMHLKARKVKSFDRIFKLLQQASTSTATVEKD ESLLDPTLLQMDTLSLELGHVALSLFDTCGWVPIPKDNFYACMESTLAQCRHLTHTQV LFFGDKQTPVQSSPSHAIPTTKERADAADDQELTLHPKICAKSHQMVTKNTGRKKVFE SLYNVHATYAAKRKQREAKLEKENAKTCTFRPQLCKQSFHDMYARLPDDQADDMDYVV LSTARPCARPSVRPIDSDPPSRL H257_04517 MADDFEDWLTDAKHEFMMEPSPEKADTHHEVVTPAPPANQIGDT GVTKLSSEDVNFVDDDDYDDGAASILPLPPYSGIPSTFGDQHIEPSSLTMATPPPPPP VQVNDSLDLEWNIQSSFDMPDKLCQEIQSAVDAAATRLATAAVPSTPLANGLQETDTT AELLLIMEVVIGDGRTESIQVREGDEAEALAATFALVHSLDPDVVPTLTAHISEQIRS IRPVPLTRHPSSKPQHNPPPATEKERSYNALRDKFGKSSSKSTFDLVKTTTPSPKGGP TARRGQPPASERLYALAQAQREWRARAQKKREDEVTKELADKRLQLADKTKLLVANRT NGQYRSIGERLYGEAVSENARRKKLADARSVEKAHADLIGDDHADWMCPKCACSNRYQ DAKCQHSVGPVVIPAPPAATTSSRSSQPITNKSSTKSKDPITATTIRVCGQPKPTMFQ PTLLSKENKKPVPNATLVLRRQKHEEVAKTEYNQRHPFAPQVNPTSTDLVKDKRTGAT THLTLYADADARRTRQKDHEAAYLAQFSFRPNIGINAFVAPPASKDALVQRLAIEDQQ KLAQSRAKLFEKYGAAKDPATGRPYFTPETGRGPQFARNDTNLPIADANLMRSTANFG KRTRTLCGTTARRALSPKRRKCT H257_04518 MDFATANDYLGVLSSVFQRQTIKDILYEGIMSTMLYWTIFGYAV SSAPLAVWNLHKYNVLRSVSWQAVAGVSTLLFTAAWLFADSTPADKIFLGLGSIAGVF CTHLHFEIAELISGHIEAAHQKKDE H257_04518 MDFATANDYLGVLSSVFQRQTIKDILYEGIMSTMLYWTIFGYAV SSAPLAVWNLHKYNVLRSVSWQAVAGVSTLLFTAAWLFADSTPADKVQTCPKWLNNQP G H257_04519 MSSLNFSGCRRSLPRPPILSYRIGCRTSLQNRQTQAGIDIAVTF WPELCKDSDMWYSQTPREARGRHRLVPIAGCAALTGCLLPTSRAQRLKFLPWIDSSWT NPRTRTIHRSDNNRTLIASTAAATTDPAQTPPAHQPPPHVMPAFTPATA H257_04520 MLNCTESRSQSELSCLDDLFPLNALRKTLTRSSNYAVTTLSVNS TGSRFRGKMTLSAVSVAELLQRHDVPVLCQEGKKLNERQVGEIVARRAHGKSLRQITS QVGSTKTPIATVLRHPAGYATPRPAGRNPKLSDHTKAPILREASKGLSSASELFTSNC N H257_04521 MDATANAAGVCARQSAKLEELMNYLQFAALDIPSRFRGRVVFSF LNASRDVVCVRTVDTTSRVRVYASRPSPETSTVDVALTICDFLLMYSGELTAAEIAGL CMSGKVQLRWTAYGSLKSFADSFDFSVEKWAAFYAHFGLDPDYVDQIQCHLPCCAAPP PLTDEQIDANWNMVCDSMLIPAACQGLDWQLVTSMEVSLVPQSPPPPVTSSPSSSDVP WYERLHLVQVQDTVKRKMVNLKEYAEKLLVESLDAVA H257_04522 MEFLESHSAESFLLQATPMSALTIPRGEHCDVVVAAEDGMAIVW QFYVQALDVGFRVLVDGVESPTFSKRIDTLDGMVDGTLEHCGDARLVCLQWDNSYSRL RAKDVLYRVMSVPTATLHVAREAANEYQIKYNHPVHNSSSTRHLDRPSTIMPSLPEGH DTSSMHDALAQRLERAVADTVAVFMTQPDTPLHAGSARPLILALEAILRHGVKPTSKM ASCPPEEFYFGFLVETRNVLRDDAGVVSDAELFAPPLFMRYLGWGRARAYLCFALNRH MLHRALENLIKRRSVVARYYDPSRALLAHYDTAQRTLACLTALYGVSFNLTPLQDDFA STAATFPPNLYQSHASDAFVTSRQLLSIGGDVAFYQGTTTHMDEFKAIQDCTPARYLC LATPPVQVTIPRGSRVCIPLHMDDPTTLVAVVQFQVTRHSIGVGFTTNPIKELVDPLQ PVEGDAWVELTLRLERPIPQLQLVLDNSHSMLRTKAVTYRLVVTSRERYASAWACCAE VAHVICWKQVIHRSLEWSSKHMEAMLHEEQQWLRPRSPPPPPSSSTTLSESVTSWLGS VLVPDEPACAQCTEPISLFRRHQSCSICLKGCCVACTRHLWASKPVCDRCYLRQLDIR AAQSSREDGRRTDNPALDALRRNATYDKYFKMVSFGVPASAVGQKMQQDYIPPDVVAA FVHGVDGSTCTVSQSTRASSTTTTSSRPSLVRKPSTLRKLHWTALDATHTKATIWSRV TDKRRHAPVTLSGADMDRVIACFGEATSSFSQRLQTNAGQKKPQKIHSALDSRRANNI HIGLSRFKAAAGGPSALVAAVRDGRLDVLTPDVLHTLAEIGPTPVEVKRYSNFRGTKL DDAERFLVDMATVPRVQEKIHVLLFVQQYPALIDQLNERLRVLSVACHQILSSERLPR YFEVILALGNVLNEGTDQANASGVTLASLLKLSETRSIDQSMTLLQFLMQLIHERGET DLFHVVDDLDMLDAAKRVSNVACVSQMANLQKQLTHLVTELDEEDTWGRIQFEKAGQT NAPRRQQRVDPVGTKPGGRGGRGGGGHDALMAMLRKRTGDPSQSKEPPANGNEKPTGQ HALLFAAIRSTRHEPDEKQITGETAGSTSDGSHLVGNAANKDEKSMAAVASTDKRPPA RSPSADLLAAIRNRPQTVDDADAVPPPPPDKLSPSPSAALLSAIRNRPARRRSPPPPP SPPPLAAEYQPNAFIRSMQPHVARLQHDLRLVQEKIQSMTEHWHDVATYLGESPATPS EYALGLLHRFLLDVRAAHRVLVSKGLVPSSAMGRSSHVGDRIATIYGAATVLASRRRS VEVAFPWAKAAFLQPTSVLQPGDRVVCRQFGRGILTATRYAVGMVEVRLSFGYATLSV DHVIALDPYFEPVVPPLKSHDPVTTPLFGPGRLVRLVGESAVVQLSTLDAPVQAFFQA NQVQFALNDRRK H257_04523 PPCSNPWRCSPLPPPPMATCTWPSTRTLSRRRRLRMLPPSPPTA MLLIITYP H257_04524 MVKVLIFLSALATAATAGSVTELPESVTKLIDYSANPCDDFYQY ACGAWFKDAVIPPGRELIDTATNKISIQNEALVKKILSDNTTKIGAFYSSCLDTATLS SLGLAPLADSIKSIRSANTTLDLLAVAGELVKYGIPAFVDIKARPDDANATKNALFGL RAPLPLHQVYYTTTYWNAWKGDYEVYIASVLQLAGYTAEQAAAAVLVIIRFEQTLASF ALSKLEEMEAEASPYTVFNYCELDQKYPLLIGSWLKANGFN H257_04525 MVKVLIFLSALATAATAGSVTELPESVSQVNIDTNLKLNGQPVD KRKFDMPPQTVNARYKRNENQIVFPAGILRAPYFDLKYDAAQNFGGIGVVIGHEITHG FDNRFRNYDGDGNFNPWWSNATNITFTTKTQCLSDQYAKFVVNSDLTGAVLGNISGQR SLGEAIADNGGLKTSFRAYHEYLKKFPSQYTEETGDKLFYLSFAQVWCSKNTDRYLLR DLRRKHPPDRFRVTGALQNNAEFARVFKCPTNSNLNPSKKCLLWE H257_04526 MMMMTRSAPRLHAISRASTRAASSTPLSVLDPKGSATIDETYAK IEHNLGVIRKTLNRPLTLAEKIIYGHLDDPHFGTPKRGETYLKLRPDRVAMQDATAQM AVLQFISSGLPKTAVPTTIHCDHLITAEKGSTIDLTTAKDVNKEVYDFLESAGAKFGM GFWRPGSGIIHQIVLENYAFPGGLMIGTDSHTVNAGGLGMCAIGVGGADAVDVMAGMA WELKAPKVIGVKLTGKLSGWTSPKDVILKVADILTVKGGTGAIVEYFGPGVESISCTG MGTICNMGAEIGATCSTFPYTDRMADYLKATTRPGLASAADSFKANLIADEGAHYDQI IEINLDTLKPLLNGPFTPDRANLAGKGIKEASEANGWPTELSAGLIGSCTNSSYEDLS RCADLTQQAKKAGLQFKVPYYVTPGSEQVRATIARDGILDTFLEAGGTVLANACGPCI GQWNRTDVPYGVKNSIVTSYNRNFAKRADGNPETHAFVTSPELVTVCSIAGTTTFDPE TDSLTTPDGKPFKFEAPHGKELPPRGFDAGEDTFQPSPEDGSKLSVKVSPTSDRLALL EPFDEWNGKDFENLPVLIKTKGKCTTDHISMAGPWLKYRGHLDNISNNMLIGAVNAEN DETNHVVHQLKGTYDKVPAVARQYKAEGISWVVVGDENYGEGSSREHAALEPRHLGGR AVIVKSFARIHETNLKKQGMLPLTFANPSDYDKISGNDKVSIVGLDKFAPGKPLTLKV TPPSGKTFDVVVNHTFNDEQIEWFKNGSALNLMKKLNA H257_04527 MRVNEDAGAAATDEERDGLIRSAALSSKSTGGAPSNAPKYEEVK TPNEGVVNTAAASTQGAPTTTSTPPASMEEQQAREETAEDLMHGINSFWAVVAPVCVT MVIASIAVVNCRSRALERSMGSYLVYNEVKGAEAGEVVGHSLVNALVVIGFVTGLTFF MALLYKFNCMRILVGYIMFSSSAILGFVGGQLVDTVNDTYLQWPIDWVSFLFIMVNFS FVGVVAIFYQKGIPKSAQNTYLVFVSVILAWQFSMWPEWTTWIFCIMFACYDLCAVLT PCGPLKVLINLIQEKQAPMPGLLYEAEVRDGVGNPAAVAAVQTPAAPAAPRPTTTTTS SSSSASRLPPREPRTAASSALVPPSLQGHDLTDPFPVHECDTEDDFKALLFAFYARYS PDDTWKVDQVAARFFPNQSRMWPSLFHKYMVCSCGTESVPCSVQSAIDVRNEQRRERA AEDDEDKTIKLGLGDFIFYSVLVGRAAIYDFSTCVICFLCILMGLGGTLFLLSVLHKA LPALPISIFMATAFYFWARYTLTDFFNFVTVLPSAL H257_04527 MRVNEDAGAAATDEERDGLIRSAALSSKSTGGAPSNAPKYEEVK TPNEGVVNTAAASTQGAPTTTSTPPASMEEQQAREETAEDLMHGINSFWAVVAPVCVT MVIASIAVVNCRSRALERSMGSYLVYNEVKGAEAGEVVGHSLVNALVVIGFVTGLTFF MALLYKFNCMRILVGYIMFSSSAILGFVGGQLVDTVNDTYLQWPIDWVSFLFIMVNFS FVGVVAIFYQKGIPKSAQNTYLVFVSVILAWQFSMWPEWTTWIFCIMFACYDLCAVLT PCGPLKVLINLIQEKQAPMPGLLYEAEVRDGVGNPAAVAAVQTPAAPAAPRPTTTTTS SSSSASRLPPREPRTAASSALVPPSLQGHDLTDPFPVHECDTEDDFKALLFAFYARYS PDDTWKVDQVAARFFPNQSRMWPSLFHKYMVCSCGTESVPCSVQSAIDVRNEQRRERA AEDDEDKTIKLGLGDFIFYSVLVGRAAIYDFSTCVICFLCILMVSSIMVRGSVIDGVV CRDWGVRCSCCRCCTRLCRRSRSRSSWPRRSTFGRGIR H257_04527 MRVNEDAGAAATDEERDGLIRSAALSSKSTGGAPSNAPKYEEVK TPNEGVVNTAAASTQGAPTTTSTPPASMEEQQAREETAEDLMHGINSFWAVVAPVCVT MVIASIAVVNCRSRALERSMGSYLVYNEVKGAEAGEVVGHSLVNALVVIGFVTGLTFF MALLYKFNCMRILVGYIMFSSSAILGFVGGQLVDTVNDTYLQWPIDWVSFLFIMVNFS FVGVVAIFYQKGIPKSAQNTYLVFVSVILAWQFSMWPEWTTWIFCIMFACYDLCAVLT PCGPLKVLINLIQEKQAPMPGLLYEAEVRDGVGNPAAVAAVQTPAAPAAPRPTTTTTS SSSSASRLPPREPRTAASSALVPPSLQGHDLTDVRVSILVCKLMVYCGYCICCHGK H257_04528 MRLVLLPLLVACGVVTSSNHVQVGIRSGSVKSRGVNIGGWLLAE HWMTSDEGIWKGLSSNVTGRGEYAVMQALGPFEGNARFTRHWDTFITEYDIQQIATAA KLNTIRVPVGYWIQGCGHLTGAMWEHCNMYPKGGLPYLDKLIRRWAKTHNVAVLVSVH GAPGSQNGQDHSGAISKDILWAKYNENVRATREFAAFLVDRYQNDVAFLGLGLLNEPV SGLSNSTGFSNSTSGVDFATMSQYYRDVVADVRAISPDVLVVTAPFLNKQYPGKEEAC MQAFEPAITHAWHDWHPYVIWGNENQSELELVEAAAARTRDVAAWTGRPLLLGEWSLV TPGGSFSDTSSPLFQQFVSAYLGMLKQAKGGWTYWSWKKSSDDVSTTQVEKWSLRSML NLANSLQQSEDEDNAMVIVGSDGHGLHLPNTPVQQGWRLVNDPAWIAENGRAPEWWYN RRTHTLRTNRFDGLCLTAVDSNQGMQAQGVICDGAASSQQKWQLEDHRIILAGHTPRR CLSSNLTLSSCVHRDRTQYFNMGREKVVIRRTRTPHVAFGATEGGAALSQPNQTQWIV DHGQRTVQNVATGKCLDAFQNRDAGVVHVLDCSDDNVNQKWVYDVATSQLRHATHVGY CLDVCATNGTARGGFHLIECHDTTDANIANQQFDLVGAAVQSAVPQQTVS H257_04528 MRLVLLPLLVACGVVTSSNHVQVGIRSGSVKSRGVNIGGWLLAE HWMTSDEGIWKGLSSNVTGRGEYAVMQALGPFEGNARFTRHWDTFITEYDIQQIATAA KLNTIRVPVGYWIQGCGHLTGAMWEHCNMYPKGGLPYLDKLIRRWAKTHNVAVLVSVH GAPGSQNGQDHSGAISKDILWAKYNENVRATREFAAFLVDRYQNDVAFLGLGLLNEPV SGLSNSTGFSNSTSGVDFATMSQYYRDVVADVRAISPDVLVVTAPFLNKQYPGKEEAC MQAFEPAITHAWHDWHPYVIWGNENQSELELVEAAAARTRDVAAWTGRPLLLGEWSLV TPGGSFSDTSSPLFQQFVSAYLGMLKQAKGGWTYWSWKKSSDDVSTTQVEKWSLRSML NLANSFYTMKFRRSCDPALQQSEDEDNAMVIVGSDGHGLHLPNTPVQQGWRLVNDPAW IAENGRAPEWWYNRRTHTLRTNRFDGLCLTAVDSNQGMQAQGVICDGAASSQQKWQLE DHRIILAGHTPRRCLSSNLTLSSCVHRDRTQYFNMGREKVVIRRTRTPHVAFGATEGG AALSQPNQTQWIVDHGQRTVQNVATGKCLDAFQNRDAGVVHVLDCSDDNVNQKWVYDV ATSQLRHATHVGYCLDVCATNGTARGGFHLIECHDTTDANIANQQFDLVGAAVQSAVP QQTVS H257_04528 MYPKGGLPYLDKLIRRWAKTHNVAVLVSVHGAPGSQNGQDHSGA ISKDILWAKYNENVRATREFAAFLVDRYQNDVAFLGLGLLNEPVSGLSNSTGFSNSTS GVDFATMSQYYRDVVADVRAISPDVLVVTAPFLNKQYPGKEEACMQAFEPAITHAWHD WHPYVIWGNENQSELELVEAAAARTRDVAAWTGRPLLLGEWSLVTPGGSFSDTSSPLF QQFVSAYLGMLKQAKGGWTYWSWKKSSDDVSTTQVEKWSLRSMLNLANSLQQSEDEDN AMVIVGSDGHGLHLPNTPVQQGWRLVNDPAWIAENGRAPEWWYNRRTHTLRTNRFDGL CLTAVDSNQGMQAQGVICDGAASSQQKWQLEDHRIILAGHTPRRCLSSNLTLSSCVHR DRTQYFNMGREKVVIRRTRTPHVAFGATEGGAALSQPNQTQWIVDHGQRTVQNVATGK CLDAFQNRDAGVVHVLDCSDDNVNQKWVYDVATSQLRHATHVGYCLDVCATNGTARGG FHLIECHDTTDANIANQQFDLVGAAVQSAVPQQTVS H257_04529 MLRPPRAMRGLALVVFLATWMHHATSSHLEFLPVDAYLSCTEDT SIAVSSIQLSSTDAPTTPVYVLIAAKHGSFSVPFTSWNRANVVCDGHSSDATTQPTPS TTPVRTPMLLFEATLATANDILRSLTYQPDRDYNFDWAGDGTSTLCMHPQQSLESIHV EAIESTLLSTPLLTSSCTASQPMTLDLQAVTLDWPVSVAAVNDPPTLSHIPPSMLLVA VKNSNYSCARLPSSISIDDIDVNEVPYGGHMSVVLDIVPLGAALMTVDRIQAVGNVIS ATDLTSGGGIASSFTNSTHVVASKVQLEGTMASLNQFLPQVLVCGSTNATLTITVSDN GGCGALQSLHVTASLQVIVPTRRSSSVPTTPSNSAARHPFSVDGLPVVVPDATVATSQ VASTTLVQLTAFPTPATAFKSRQRQVTQVTISPIFNPSVVVLSIVPRGTGPVTGQFQL TVLFHDVSCVTQPIAYPVTGAGLEATFTEVQTRCGAATFPQFQTVSFRFPIPAALVQP TDGTMVWSVGSNPRTVVLSIAASPAAIQSALRAATGSNAIVVVKMDGGDAFTSTFQVQ GIAGTSIVSVPVVQWWKSNGTVASTVTPSASVQAWALPIVAGCHNANVCTLQFLNDDV PAVMLWSNALVDHSANVPPEGNNGIVVVRPEIQLVVPPPTRAYQLFANDVLVNTTDAQ WGWQHRVDDGVVMDTVSHISTTSWTITYTSASPMRFRVEPRGLYTVTAELIAAVDVMA LTLSVDNQPPRAFQWPLDHAEVVQSRQNHKTAGPIKAAPRLRYAGSQAAPSLTVRPGQ SLRIELELVDIIATTNGQDQPIEMHLDAKIGWLAWDLATRYRVQYTVGSLAGGRTLVV ASSLDNLQQALHGLYYTAPASYYGPDTLTFRIGGLHDDATAATAPLQVQVDVPLVLLP PEITSASRLSSNLRAVEDTALMFTGLFHVHFSPTTPSTCSSTLVVTMITQSGRMLTRQ NDLVRPRPPNVDMFNTPHLELLSNDPVLGVDMDDVVYLPNPNFAGVDTLAIHAVQVTT CGVVNVTAEAFRHVWILVEPVADALELHWSNSTFHVAAPLSTSSATLLPPVTLSAADA QFALFSNSYAVEVTAMATVGTVFFASSNPPRNVVVCSVHEAPTFLRQLMYTPSNSSAT AFDLLSDLVVVTARPFGSVDPPVQVTLNVPIPPSINHPAECASFSAVHVGVQEDLVTE LTSFIKYPPRPRGITVVASVKTGWLSVDGPTNFTNRVKQVVVDTPRRLFYQPGVNFAG QDKLQLSCRDGQVVTFTSTLPVTVHAVNDVPTWDAVATNITVQSGTLNGVCNLWALRD VDASSRSVYRVVLTVYGGQITLPTFLPGMYVETLNQIDKQEHVLRGSLDHLNSLFTSC EMTFAATPTYHLSPLHSEAPTTNSRLVICVEELLSLPNEVSAPQIDPQCASVLLDINY H257_04530 MAGDVAESKPSSNVPAKRRRKNTHSEFLPTTYGRTKFRMPPKPR TVMAAPMNWLYPVESSTRQPSPRNDNATAPNVATALTLDFLIFLNVASSESKVRAPSD SRDTCMTPVVAYTARVAWCVAYWPMPNSDSAA H257_04531 MDEYTMEHVISKEPAKIVCQVLHKATSRRFAMKIYDTALMDVHR LNALRVELNTLLPINHPNLINVHDINVEDGLCYVVCDLEEGDDLFDVLLRKGRFSEDE AKALIKVLLETVRDCHALGMVHRNLKPESVWMPCSDDPTCLKLSNFGLAVSTSDDNAM TAVCGSPDYMAPEILANLQPPLASYGPQVDVWSLGVVAYVLLSGVFPFSGSTQTDLFD AIQAGILDMPDVSAPAKRFISNMLTVDPTQRATLDALLQHPWLQHVPKNIVTSDSSNA IETAGGAARRKFKAAAMTVQATLALKNHFCMPPPTLPMSQIVIPDEVAINKRHETLTM DAFLAAYDMADHHRQDMSLHDSGGVTARRYATASGVDVYLTFYSKEMMAFEDEVDLHA HVDILRQLTYHGNIANLHAFYSDCYEYCVAIEHVPNATDLFERVVDRGCPDEQEARQI MTRVLKAVEHCHDKGIIHRNIKLESVVVTAGVYSADTCVKLTNFGMATRADADVTLVC GSYDYIAPEVLDNIDAGDGGGCPYGVEVDIWSVGVLVFVLLGGYLPFHGPTQVELFQA IKTGHVQFDEPYWQHISKDAKDFICSMLVTNPRRRRSAKELLQHAWITSPTIVPLNQV EPKPSPAKQRFRTAALSVKAAVSFKLWTTFASKYSEVDQSTCVCTATGRVFALKKFTT GGPTTSTHVAVLTRLKQHLPEVAPVRDVFYEMDEVYVVEQDMQESGGDDLLFNRIVAN DGYNEIDAVHIVTSLLHAVQKCHTVDVVHSNLTAENIWLQGGNNDEPPSIKLTNFGQT RHELLAGIEYAAPEVVTSQLCVHDSGDDKRHYDKPADVWSVGVVAFVLLCGYLPFHGR SGFDSFRRIKRGKVEFESPYWDHISSDAKAFISSALMVDPTARATVSDLVAHAWICDD GLLDNTKFVPLTNTVAKITLFNARRQLKAVIKVVQTSVQVSSTKSNEPCDVVQKKCDT AGTVQSA H257_04531 MDEYTMEHVISKEPAKIVCQVLHKATSRRFAMKIYDTALMDVHR LNALRVELNTLLPINHPNLINVHDINVEDGLCYVVCDLEEGDDLFDVLLRKGRFSEDE AKALIKVLLETVRDCHALGMVHRNLKPESVWMPCSDDPTCLKLSNFGLAVSTSDDNAM TAVCGSPDYMAPEILANLQPPLASYGPQVDVWSLGVVAYVLLSGVFPFSGSTQTDLFD AIQAGILDMPDVSAPAKRFISNMLTVDPTQRATLDALLQHPWLQHVPKNIVTSDSSNA IETAGGAARRKFKAAAMTVQATLALKNHFCMPPPTLPMSQIVIPDEVAINKRHETLTM DAFLAAYDMADHHRQDMSLHDSGGVTARRYATASGVDVYLTFYSKEMMAFEDEVDLHA HVDILRQLTYHGNIANLHAFYSDCYEYCVAIEHVPNATDLFERVVDRGCPDEQEARQI MTRVLKAVEHCHDKGIIHRNIKLESVVVTAGVYSADTCVKLTNFGMATRADADVTLVC GSYDYIAPEVLDNIDAGDGGGCPYGVEVDIWSVGVLVFVLLGGYLPFHGPTQVELFQA IKTGHVQFDEPYWQHISKDAKDFICSMLVTNPRRRRSAKELLQHAWITSPTIVPLNQV EPKPSPAKQRFRTAALSVKAAVSFKLWTTFASKYSEVDQSTCVCTATGRVFALKKFTT GGPTTSTHVAVLTRLKQHLPEVAPVRDVFYEMDEVYVVEQDMQESGGDDLLFNRIVAN DGYNEIDAVHIVTSLLHAVQKCHTVDVVHSNLTAENIWLQGGNNDEPPSIKLTNFGQT RHELLAGIEYAAPEVVTSQLCVHDSGDDKRHYDKPADVWSVGVVAFVLLCGYLPFHGR SGFDSFRRIKRGKVEFESPYWDHISSDAKAFISSALMVDPTARATVSDLVAHAWICDD GLLDNVQTSVQVSSTKSNEPCDVVQKKCDTAGTVQSA H257_04531 MDEYTMEHVISKEPAKIVCQVLHKATSRRFAMKIYDTALMDVHR LNALRVELNTLLPINHPNLINVHDINVEDGLCYVVCDLEEGDDLFDVLLRKGRFSEDE AKALIKVLLETVRDCHALGMVHRNLKPESVWMPCSDDPTCLKLSNFGLAVSTSDDNAM TAVCGSPDYMAPEILANLQPPLASYGPQVDVWSLGVVAYVLLSGVFPFSGSTQTDLFD AIQAGILDMPDVSAPAKRFISNMLTVDPTQRATLDALLQHPWLQHVPKNIVTSDSSNA IETAGGAARRKFKAAAMTVQATLALKNHFCMPPPTLPMSQIVIPDEVAINKRHETLTM DAFLAAYDMADHHRQDMSLHDSGGVTARRYATASGVDVYLTFYSKEMMAFEDEVDLHA HVDILRQLTYHGNIANLHAFYSDCYEYCVAIEHVPNATDLFERVVDRGCPDEQEARQI MTRVLKAVEHCHDKGIIHRNIKLESVVVTAGVYSADTCVKLTNFGMATRADADVTLVC GSYDYIAPEVLDNIDAGDGGGCPYGVEVDIWSVGVLVFVLLGGYLPFHGPTQVELFQA IKTGHVQFDEPYWQHISKDAKDFICSMLVTNPRRRRSAKELLQHAWITSPTIVPLNQV EPKPSPAKQRFRTAALSVKAAVSFKLWTTFASKYSEVDQSTCVCTATGRVFALKKFTT GGPTTSTHVAVLTRLKQHLPEVAPVRDVFYEMDEVYVVEQDMQESGGDDLLFNRIVAN DGYNEIDAVHIVTSLLHAVQKCHTVDVVHSNLTAENIWLQGGNNDEPPSIKLTNFGQT RHELLAGIEYAAPEVVTSQLCVHDSGDDKRHYDKPADVWSVGVVAFVLLCGYLPFHGR SGFDSFRRIKRGKVEFESPYWDHISSDAKAFISSALMVDPTARATVSDLVAHAWICDD GLLDNTKFVPLTNTVAKITLFNARRQLKAVIKVVRWCCSYVHNITWE H257_04531 MDEYTMEHVISKEPAKIVCQVLHKATSRRFAMKIYDTALMDVHR LNALRVELNTLLPINHPNLINVHDINVEDGLCYVVCDLEEGDDLFDVLLRKGRFSEDE AKALIKVLLETVRDCHALGMVHRNLKPESVWMPCSDDPTCLKLSNFGLAVSTSDDNAM TAVCGSPDYMAPEILANLQPPLASYGPQVDVWSLGVVAYVLLSGVFPFSGSTQTDLFD AIQAGILDMPDVSAPAKRFISNMLTVDPTQRATLDALLQHPWLQHVPKNIVTSDSSNA IETAGGAARRKFKAAAMTVQATLALKNHFCMPPPTLPMSQIVIPDEVAINKRHETLTM DAFLAAYDMADHHRQDMSLHDSGGVTARRYATASGVDVYLTFYSKEMMAFEDEVDLHA HVDILRQLTYHGNIANLHAFYSDCYEYCVAIEHVPNATDLFERVVDRGCPDEQEARQI MTRVLKAVEHCHDKGIIHRNIKLESVVVTAGVYSADTCVKLTNFGMATRADADVTLVC GSYDYIAPEVLDNIDAGDGGGCPYGVEVDIWSVGVLVFVLLGGYLPFHGPTQVELFQA IKTGHVQFDEPYWQHISKDAKDFICSMLVTNPRRRRSAKELLQHAWITSPTIVPLNQV EPKPSPAKQRFRTAALSVKAAVSFKLWTTFASKYSEVDQSTCVCTATGRVFALKKFTT GGPTTSTHVAVLTRLKQHLPEVAPVRDVFYEMDEVYVVEQDMQESGGDDLLFNRIVAN DGYNEIDAVHIVTSLLHAVQKCHTVDVVHSNLTAENIWLQGGNNDEPPSIKLTNFGQT RHELLAGIEYAAPEVVTSQLCVHDSGDDKRHYDKPADVWSVGVVAFVLLCGYLPFHGR SGFDSFRRIKRGKVEFESPYWDHISSDAKAFISSALMVDPTARFERSSIETVRCVYVT RNGWLR H257_04531 MDEYTMEHVISKEPAKIVCQVLHKATSRRFAMKIYDTALMDVHR LNALRVELNTLLPINHPNLINVHDINVEDGLCYVVCDLEEGDDLFDVLLRKGRFSEDE AKALIKVLLETVRDCHALGMVHRNLKPESVWMPCSDDPTCLKLSNFGLAVSTSDDNAM TAVCGSPDYMAPEILANLQPPLASYGPQVDVWSLGVVAYVLLSGVFPFSGSTQTDLFD AIQAGILDMPDVSAPAKRFISNMLTVDPTQRATLDALLQHPWLQHVPKNIVTSDSSNA IETAGGAARRKFKAAAMTVQATLALKNHFCMPPPTLPMSQIVIPDEVAINKRHETLTM DAFLAAYDMADHHRQDMSLHDSGGVTARRYATASGVDVYLTFYSKEMMAFEDEVDLHA HVDILRQLTYHGNIANLHAFYSDCYEYCVAIEHVPNATDLFERVVDRGCPDEQEARQI MTRVLKAVEHCHDKGIIHRNIKLESVVVTAGVYSADTCVKLTNFGMATRADADVTLVC GSYDYIAPEVLDNIDAGDGGGCPYGVEVDIWSVGVLVFVLLGGYLPFHGPTQVELFQA IKTGHVQFDEPYWQHISKDAKDFICSMLVTNPRRRRSAKELLQHAWITSPTIVPLNQV EPKPSPAKQRFRTAALSVKAAVSFKLWTTFASKYSEVDQSTCVCTATGRVFALKKFTT GGPTTSTHVAVLTRLKQHLPEVAPVRDVFYEMDEVYVVEQDMQESGGDDLLFNRIVAN DGYNEIDAVHIVTSLLHAVQKCHTVDVVHSNLTAENIWLQGGNNDEPPSIKLTNFGQT RHELLAGIEYAAPEVVTSQLCVHDSGDDKRHYDKPADVWSVGVVAFVLLCGYLPFHGR SGFDSFRRIKRGKVEFESPYWDHISSDAKAFISSALMVDPTARFERSSIETVRCVYVT RNGWLR H257_04531 MDEYTMEHVISKEPAKIVCQVLHKATSRRFAMKIYDTALMDVHR LNALRVELNTLLPINHPNLINVHDINVEDGLCYVVCDLEEGDDLFDVLLRKGRFSEDE AKALIKVLLETVRDCHALGMVHRNLKPESVWMPCSDDPTCLKLSNFGLAVSTSDDNAM TAVCGSPDYMAPEILANLQPPLASYGPQVDVWSLGVVAYVLLSGVFPFSGSTQTDLFD AIQAGILDMPDVSAPAKRFISNMLTVDPTQRATLDALLQHPWLQHVPKNIVTSDSSNA IETAGGAARRKFKAAAMTVQATLALKNHFCMPPPTLPMSQIVIPDEVAINKRHETLTM DAFLAAYDMADHHRQDMSLHDSGGVTARRYATASGVDVYLTFYSKEMMAFEDEVDLHA HVDILRQLTYHGNIANLHAFYSDCYEYCVAIEHVPNATDLFERVVDRGCPDEQEARQI MTRVLKAVEHCHDKGIIHRNIKLESVVVTAGVYSADTCVKLTNFGMATRADADVTLVC GSYDYIAPEVLDNIDAGDGGGCPYGVEVDIWSVGVLVFVLLGGYLPFHGPTQVELFQA IKTGHVQFDEPYWQHISKDAKDFICSMLVTNPRRRRSAKELLQHAWITSPTIVPLNQV EPKPSPAKQRFRTAALSVKAAVSFKLWTTFASKYSEVDQSTCVCTATGRVFALKKFTT GGPTTSTHVAVLTRLKQHLPEVAPVRDVFYEMDEVYVVEQDMQESGGDDLLFNRIVAN DGYNEIDAVHIVTSLLHAVQKCHTVDVVHSNLTAENIWLQGGNNDEPPSIKLTNFGQT RHELLAGIEYAAPEVVTSQLCVHDSGDDKRHYDKPADVWSVGVVAFVLLCGYLPFHGR SGFDSFRRIKRGKVEFESPYWDHISSDAKAFISSALMVDPTARFERSSIETVRCVYVT RNGWLR H257_04531 MGDVADSPSHPSSKGRFSEDEAKALIKVLLETVRDCHALGMVHR NLKPESVWMPCSDDPTCLKLSNFGLAVSTSDDNAMTAVCGSPDYMAPEILANLQPPLA SYGPQVDVWSLGVVAYVLLSGVFPFSGSTQTDLFDAIQAGILDMPDVSAPAKRFISNM LTVDPTQRATLDALLQHPWLQHVPKNIVTSDSSNAIETAGGAARRKFKAAAMTVQATL ALKNHFCMPPPTLPMSQIVIPDEVAINKRHETLTMDAFLAAYDMADHHRQDMSLHDSG GVTARRYATASGVDVYLTFYSKEMMAFEDEVDLHAHVDILRQLTYHGNIANLHAFYSD CYEYCVAIEHVPNATDLFERVVDRGCPDEQEARQIMTRVLKAVEHCHDKGIIHRNIKL ESVVVTAGVYSADTCVKLTNFGMATRADADVTLVCGSYDYIAPEVLDNIDAGDGGGCP YGVEVDIWSVGVLVFVLLGGYLPFHGPTQVELFQAIKTGHVQFDEPYWQHISKDAKDF ICSMLVTNPRRRRSAKELLQHAWITSPTIVPLNQVEPKPSPAKQRFRTAALSVKAAVS FKLWTTFASKYSEVDQSTCVCTATGRVFALKKFTTGGPTTSTHVAVLTRLKQHLPEVA PVRDVFYEMDEVYVVEQDMQESGGDDLLFNRIVANDGYNEIDAVHIVTSLLHAVQKCH TVDVVHSNLTAENIWLQGGNNDEPPSIKLTNFGQTRHELLAGIEYAAPEVVTSQLCVH DSGDDKRHYDKPADVWSVGVVAFVLLCGYLPFHGRSGFDSFRRIKRGKVEFESPYWDH ISSDAKAFISSALMVDPTARATVSDLVAHAWICDDGLLDNTKFVPLTNTVAKITLFNA RRQLKAVIKVVQTSVQVSSTKSNEPCDVVQKKCDTAGTVQSA H257_04531 MGDVADSPSHPSSKGRFSEDEAKALIKVLLETVRDCHALGMVHR NLKPESVWMPCSDDPTCLKLSNFGLAVSTSDDNAMTAVCGSPDYMAPEILANLQPPLA SYGPQVDVWSLGVVAYVLLSGVFPFSGSTQTDLFDAIQAGILDMPDVSAPAKRFISNM LTVDPTQRATLDALLQHPWLQHVPKNIVTSDSSNAIETAGGAARRKFKAAAMTVQATL ALKNHFCMPPPTLPMSQIVIPDEVAINKRHETLTMDAFLAAYDMADHHRQDMSLHDSG GVTARRYATASGVDVYLTFYSKEMMAFEDEVDLHAHVDILRQLTYHGNIANLHAFYSD CYEYCVAIEHVPNATDLFERVVDRGCPDEQEARQIMTRVLKAVEHCHDKGIIHRNIKL ESVVVTAGVYSADTCVKLTNFGMATRADADVTLVCGSYDYIAPEVLDNIDAGDGGGCP YGVEVDIWSVGVLVFVLLGGYLPFHGPTQVELFQAIKTGHVQFDEPYWQHISKDAKDF ICSMLVTNPRRRRSAKELLQHAWITSPTIVPLNQVEPKPSPAKQRFRTAALSVKAAVS FKLWTTFASKYSEVDQSTCVCTATGRVFALKKFTTGGPTTSTHVAVLTRLKQHLPEVA PVRDVFYEMDEVYVVEQDMQESGGDDLLFNRIVANDGYNEIDAVHIVTSLLHAVQKCH TVDVVHSNLTAENIWLQGGNNDEPPSIKLTNFGQTRHELLAGIEYAAPEVVTSQLCVH DSGDDKRHYDKPADVWSVGVVAFVLLCGYLPFHGRSGFDSFRRIKRGKVEFESPYWDH ISSDAKAFISSALMVDPTARATVSDLVAHAWICDDGLLDNTKFVPLTNTVAKITLFNA RRQLKAVIKVVRWCCSYVHNITWE H257_04532 MGCCGSRLVNDPDEFDDVGDIPHVHAEDADVAVKLSIDVDQSTK AVSIVGASSDFHLKYTLGDVIGKGGFSVVHKAVLKASGVEYAVKCIQRDKLDGDDLAR MAAEVNALAQLKHPNILHLFDFFAEEHFYYIVTEYLQGGELFQRLIEKTYYTQQDAKN VVRTLLETIQYCHTKGIAHRDLKPENILLTSMYDDASVKLADFGLATLHHNRSSMVTR CGSPLYLAPEILHLDTPYGKECDIWSIGVITYMLLSGCPPFYDENVGQLYSKIKCGQF EFEPAYYWSHVSHDAKHLISCMLQVHPSDRGTAEQLLAHAWFQRDTPQDADEAGDGSS TATLSHALNNLRTFHARSTLKRAINTVQLAIAMQSTKSNSSTDSDHPDREWPHGGDKT TEDDDDEAHDEGDSASPTASVSSSAFESYHFTRQGIDPRAFGAWSSELTSVSTTTTND DDWL H257_04532 MGCCGSRLVNDPDEFDDVGDIPHVHAEDADVAVKLSIDVDQSTK AVSIVGASSDFHLKYTLGDVIGKGGFSVVHKAVLKASGVEYAVKCIQRDKLDGDDLAR MAAEVNALAQLKHPNILHLFDFFAEEHFYYIVTEYLQGGELFQRLIEKTYYTQQDAKN VVRTLLETIQYCHTKGIAHRDLKPENILLTSMYDDASVKLADFGLATLHHNRSSMVTR CGSPLYLAPEILHLDTPYGKECDIWSIGVITYMLLSGCPPFYDENVGQLYSKIKCGQF EFEPAYYWSHVSHDAKHLISCMLQGHRRATARACMVPTRYSTGRRRSWRWQQHRDAVA CIKQLANVSRSKHVEARDQHGPTCHSDAIH H257_04533 MGCGRSKGLCPSFGRHGADQADEDAAASQAPAEKQEGEGLSTPP DTPQDDLQSPAVGEQLGVLSTQRFEDVYALATNQVLGEGGSAKVYVGTHRRTHQRVAV KVFVKAQMRDSEVSDLFEEVNILKQMKHAHILELFAFFHESTHFYIVTDLLEGGELFD RIIEKEFYSEKEARDLVKILLTAIQYMHSLNIVHRDLKPENILLQSLTDDTSIKLADF GFAKSDIHGTMTAKCGSPSYIAPEILSQPQYGRAVDIWSAGVITYILLCGYPPFQGAT DAELFANIQRGQFEFDAPYWDDVSAVAKAFVSSMLVLNPAERATADALLQHPWITGVV SSVPLKTAVQELKRFNARRKFKAAVKTVQATASLLGRARTRGSSLAVDNTV H257_04534 MGCNLSLCPSRPEMKDEESIDGVDVHATTSVHAVDVPVVVAPVQ VTTTPSQLFFRDKYVLGGTLGEGSFAIVKKARNKETGVSYAVKVFKKDALSDQDDCDI HSEVAILGRLNHANVLNLVDFFSEPKYYYIVTDLCEGGELFDRIAQLSYYTEREARNL VKVLLTAIAYCHDLGIVHRDLKPENILMLDKEDNASIKIADFGFAKDAAAVNGLTTTC GSPEYVAPEIIGRSDTAQTYGKPVDIWSIGVITYVLLGGYTPFHDDNQSILFDNICRG RFIFYSPDWDEISDDAKAFITMALTVDPSHRPTATELLCDPWIVDENVSMYQLSGVQE KLPMLHTSANKFKAAVNATMLVNRFRAKSSADSPPSPRYVSL H257_04535 MLRQSLLRPSRWRVHHRAQPFSNVTIGGITAPQGQVKDAQLVPK GFANLDSNGQPHFTQETLAHLRWMLQKDILHQDMFLIGPPGPARRHLALQFCEIMQRE VEYVAISQDTTESDLKQRREILGGSAIFADQAPVRAAIHGRILILDGLEKAERNVLPT LNNLLENREMALDDGRFLMNASSYDALLSKGHTSEQLTAQHLVRVDPAFRVIALGLPV PPYPGRTLDPPLRSRFQARQVPPLSPGAQLEICATIPDGDKLVALVNAMHLIESGGHA DRMPHLSASVVAYCTKMVQLFPTADLPALLRRRFPLHASSAWKAQDQTFQNVLRTFFP STSDQSECKYQLTRVDQSTAELRLGDVAARGVPVACGPRPLQQHAPHFVETKAHRRVL VAMLQDHAAGTDMCVVGPKGSGKSALARQFCGSLGYQSDLFTLFQDMTARDLFQRRAT DLHGNTTWEDSPLLRAARHGHVVILDGVHRLSSDTLSTLQRLIQDRHVDLADGTTFAT ADAPAPTLTGTPSSRNLVRIHPAFRIVALGEVAKPWLTSETMALFPFHSVPELTREDA DAVVAALCPRVPRSVSTKLVELWHQVQLLPSSDLSLSVRQLLRLARRLNAFPESAAAD LRLLIEDTTMMHFLPNAQLMADVLDACHIRQGKSPDVAQDLAIVDTSETLSIGHVTYD MVKSDYPALELIPHPLYFNIPKHTLVMQQMLQDIVSGQPHLLLIGNQGVGKNKVVDRL LQLMHQEREYIQLHRDTTVQTLTMVPSMENGRIRWEDSPLVRAVKFGRTLVVDEADKA PLEVVCVLKGLIEDGEMLLGDGRRIVDRAKGTFNDDHDDDGSVICIHPRFRLWVLANR PGYPFLGNNFFSEVGDIFATHVLDNPDPASELALLQSYAPNVSTDVLMKLCAAFSELR SMVEDGTMTYPYSTREAVAIAKHLEAFPEDGVAYTLENVLAFDGYDAALRQRLRDVFG RHGIPLVYREPVMPTISLAPVSPLPAPHTPTMTWQIDRQSEVVPIPTSSTLKSRRIYI EPPTSHTFAVTPGRLHTFSEEFCSWNVPLWTRQTAVALAVLPDASIHVLTKQPLGIHS YFGANTHERLHLYSELESYNQSKTEAHLMAWKESLVLHVPAEDLLIVLSKKHKVLQSR VLPPFKSNNHGEGMFQWTKQDTAPMQMLSGLLQDHNLLVRYLQGQSCLQVIDVLKWHV HDVDGAMHILHLPSAEGPRRRRADLHAVACCDGDTAITSSVHAGGNRFSHPLAYLQEV HDLDNHTKSVTSSHRPTPADVSKSMWINEDRKMATLAPSEWLLEVVDVANQQVQQIPF RTADEARVADAAVLPPSTEERGRTVVSVQRDGRVRHWQVDERALQADLATWKSMFDYH ALQGTSPYLELQYNRPDGTSEPKTGTSLPKHGKEDPDNTPHVGGNTWAGGSGGSDTAG LGGRGGPYRLDKGHRVHQISQLQKDQVTKEAQEKAKAMADAALADQLSQIDMTNHELA SYQQYVDRVHGETTQLRDLFHNVEQLADERGWLKHQSSGEWDDAKLVDGLSGDRNVFK RRGRDPFASSALLPLPKKLLFVMDVSGSMYRFNSQDGRLERMLETTLMLMESLAGFDA KFEYAIMGHSGDAAAIPFVEFGQPPHTKKDRLKILQKMVAHSQYCSSGDHTVEAIEEG IEQAKTASHGDAMVFVVSDANLKRYGIKPQDMARALTREPTVAAHAIFIASLADEARE VMTHLPQGKGHVCLNTADLPHVFQKIFKASVAQ H257_04535 MLRQSLLRPSRWRVHHRAQPFSNVTIGGITAPQGQVKDAQLVPK GFANLDSNGQPHFTQETLAHLRWMLQKDILHQDMFLIGPPGPARRHLALQFCEIMQRE VEYVAISQDTTESDLKQRREILGGSAIFADQAPVRAAIHGRILILDGLEKAERNVLPT LNNLLENREMALDDGRFLMNASSYDALLSKGHTSEQLTAQHLVRVDPAFRVIALGLPV PPYPGRTLDPPLRSRFQARQVPPLSPGAQLEICATIPDGDKLVALVNAMHLIESGGHA DRMPHLSASVVAYCTKMVQLFPTADLPALLRRRFPLHASSAWKAQDQTFQNVLRTFFP STSDQSECKYQLTRVDQSTAELRLGDVAARGVPVACGPRPLQQHAPHFVETKAHRRVL VAMLQDHAAGTDMCVVGPKGSGKSALARQFCGSLGYQSDLFTLFQDMTARDLFQRRAT DLHGNTTWEDSPLLRAARHGHVVILDGVHRLSSDTLSTLQRLIQDRHVDLADGTTFAT ADAPAPTLTGTPSSRNLVRIHPAFRIVALGEVAKPWLTSETMALFPFHSVPELTREDA DAVVAALCPRVPRSVSTKLVELWHQVQLLPSSDLSLSVRQLLRLARRLNAFPESAAAD LRLLIEDTTMMHFLPNAQLMADVLDACHIRQGKSPDVAQDLAIVDTSETLSIGHVTYD MVKSDYPALELIPHPLYFNIPKHTLVMQQMLQDIVSGQPHLLLIGNQGVGKNKVVDRL LQLMHQEREYIQLHRDTTVQTLTMVPSMENGRIRWEDSPLVRAVKFGRTLVVDEADKA PLEVVCVLKGLIEDGEMLLGDGRRIVDRAKGTFNDDHDDDGSVICIHPRFRLWVLANR PGYPFLGNNFFSEVGDIFATHVLDNPDPASELALLQSYAPNVSTDVLMKLCAAFSELR SMVEDGTMTYPYSTREAVAIAKHLEAFPEDGVAYTLENVLAFDGYDAALRQRLRDVFG RHGIPLVYREPVMPTISLAPVSPLPAPHTPTMTWQIDRQSEVVPIPTSSTLKSRRIYI EPPTSHTFAVTPGRLHTFSEEFCSWNVPLWTRQTAVALAVLPDASIHVLTKQPLGIHS YFGANTHERLHLYSELESYNQSKTEAHLMAWKESLVLHVPAEDLLIVLSKKHKVLQSR VLPPFKSNNHGEGMFQWTKQDTAPMQMLSGLLQDHNLLVRYLQGQSCLQVIDVLSMSS YTLQLPVQLHHVSLVSAAEWHVHDVDGAMHILHLPSAEGPRRRRADLHAVACCDGDTA ITSSVHAGGNRFSHPLAYLQEVHDLDNHTKSVTSSHRPTPADVSKSMWINEDRKMATL APSEWLLEVVDVANQQVQQIPFRTADEARVADAAVLPPSTEERGRTVVSVQRDGRVRH WQVDERALQADLATWKSMFDYHALQGTSPYLELQYNRPDGTSEPKTGTSLPKHGKEDP DNTPHVGGNTWAGGSGGSDTAGLGGRGGPYRLDKGHRVHQISQLQKDQVTKEAQEKAK AMADAALADQLSQIDMTNHELASYQQYVDRVHGETTQLRDLFHNVEQLADERGWLKHQ SSGEWDDAKLVDGLSGDRNVFKRRGRDPFASSALLPLPKKLLFVMDVSGSMYRFNSQD GRLERMLETTLMLMESLAGFDAKFEYAIMGHSGDAAAIPFVEFGQPPHTKKDRLKILQ KMVAHSQYCSSGDHTVEAIEEGIEQAKTASHGDAMVFVVSDANLKRYGIKPQDMARAL TREPTVAAHAIFIASLADEAREVMTHLPQGKGHVCLNTADLPHVFQKIFKASVAQ H257_04535 MLRQSLLRPSRWRVHHRAQPFSNVTIGGITAPQGQVKDAQLVPK GFANLDSNGQPHFTQETLAHLRWMLQKDILHQDMFLIGPPGPARRHLALQFCEIMQRE VEYVAISQDTTESDLKQRREILGGSAIFADQAPVRAAIHGRILILDGLEKAERNVLPT LNNLLENREMALDDGRFLMNASSYDALLSKGHTSEQLTAQHLVRVDPAFRVIALGLPV PPYPGRTLDPPLRSRFQARQVPPLSPGAQLEICATIPDGDKLVALVNAMHLIESGGHA DRMPHLSASVVAYCTKMVQLFPTADLPALLRRRFPLHASSAWKAQDQTFQNVLRTFFP STSDQSECKYQLTRVDQSTAELRLGDVAARGVPVACGPRPLQQHAPHFVETKAHRRVL VAMLQDHAAGTDMCVVGPKGSGKSALARQFCGSLGYQSDLFTLFQDMTARDLFQRRAT DLHGNTTWEDSPLLRAARHGHVVILDGVHRLSSDTLSTLQRLIQDRHVDLADGTTFAT ADAPAPTLTGTPSSRNLVRIHPAFRIVALGEVAKPWLTSETMALFPFHSVPELTREDA DAVVAALCPRVPRSVSTKLVELWHQVQLLPSSDLSLSVRQLLRLARRLNAFPESAAAD LRLLIEDTTMMHFLPNAQLMADVLDACHIRQGKSPDVAQDLAIVDTSETLSIGHVTYD MVKSDYPALELIPHPLYFNIPKHTLVMQQMLQDIVSGQPHLLLIGNQGVGKNKVVDRL LQLMHQEREYIQLHRDTTVQTLTMVPSMENGRIRWEDSPLVRAVKFGRTLVVDEADKA PLEVVCVLKGLIEDGEMLLGDGRRIVDRAKGTFNDDHDDDGSVICIHPRFRLWVLANR PGYPFLGNNFFSEVGDIFATHVLDNPDPASELALLQSYAPNVSTDVLMKLCAAFSELR SMVEDGTMTYPYSTREAVAIAKHLEAFPEDGVAYTLENVLAFDGYDAALRQRLRDVFG RHGIPLVYREPVMPTISLAPVSPLPAPHTPTMTWQIDRQSEVVPIPTSSTLKSRRIYI EPPTSHTFAVTPGRLHTFSEEFCSWNVPLWTRQTAVALAVLPDASIHVLTKQPLGIHS YFGANTHERLHLYSELESYNQSKTEAHLMAWKESLVLHVPAEDLLIVLSKKHKVLQSR VLPPFKSNNHGEGMFQWTKQDTAPMQMLSGLLQDHNLLVRYLQGQSCLQVIDVLKWHV HDVDGAMHILHLPSAEGPRRRRADLHAVACCDGDTAITSSVHAGGNRFSHPLAYLQEV HDLDNHTKSVTSSHRPTPADVSKSMWINEDRKMATLAPSEWLLEVVDVANQQVQQIPF RTADEARVADAAVLPPSTEERGRTVVSVQRDGRVRHWQVDERALQADLATWKSMFDYH ALQGTSPYLELQYNRPDGTSEPKTGTSLPKHGKEDPDNTPHVGGNTWAGGSGGSDTAG LGGRGGPYRLDKGHRVHQISQLQKDQVTKEAQEKAKAMADAALADQLSQIDMTNHELA SYQQYVDRVHGETTQLRDLFHNVEQLADERGWLKHQSSGEWDDAKLVDGLSGDRNVFK RRGRDPFASSALLPLPKKLLFVMDVSGSMYRFNSQDISFLLHILWLPIYIYIYLNHGN FVFNRHMPSTDDWSGCWRRRSCSWRAWQDSTLNLSMRSWGTAVTPPRFRLSSLGSPPT QRKIGSRSCKKWSRTRSIAAAATTRWKQLKKASNKPKRRLMAMRWCLSCRTPI H257_04535 MLRQSLLRPSRWRVHHRAQPFSNVTIGGITAPQGQVKDAQLVPK GFANLDSNGQPHFTQETLAHLRWMLQKDILHQDMFLIGPPGPARRHLALQFCEIMQRE VEYVAISQDTTESDLKQRREILGGSAIFADQAPVRAAIHGRILILDGLEKAERNVLPT LNNLLENREMALDDGRFLMNASSYDALLSKGHTSEQLTAQHLVRVDPAFRVIALGLPV PPYPGRTLDPPLRSRFQARQVPPLSPGAQLEICATIPDGDKLVALVNAMHLIESGGHA DRMPHLSASVVAYCTKMVQLFPTADLPALLRRRFPLHASSAWKAQDQTFQNVLRTFFP STSDQSECKYQLTRVDQSTAELRLGDVAARGVPVACGPRPLQQHAPHFVETKAHRRVL VAMLQDHAAGTDMCVVGPKGSGKSALARQFCGSLGYQSDLFTLFQDMTARDLFQRRAT DLHGNTTWEDSPLLRAARHGHVVILDGVHRLSSDTLSTLQRLIQDRHVDLADGTTFAT ADAPAPTLTGTPSSRNLVRIHPAFRIVALGEVAKPWLTSETMALFPFHSVPELTREDA DAVVAALCPRVPRSVSTKLVELWHQVQLLPSSDLSLSVRQLLRLARRLNAFPESAAAD LRLLIEDTTMMHFLPNAQLMADVLDACHIRQGKSPDVAQDLAIVDTSETLSIGHVTYD MVKSDYPALELIPHPLYFNIPKHTLVMQQMLQDIVSGQPHLLLIGNQGVGKNKVVDRL LQLMHQEREYIQLHRDTTVQTLTMVPSMENGRIRWEDSPLVRAVKFGRTLVVDEADKA PLEVVCVLKGLIEDGEMLLGDGRRIVDRAKGTFNDDHDDDGSVICIHPRFRLWVLANR PGYPFLGNNFFSEVGDIFATHVLDNPDPASELALLQSYAPNVSTDVLMKLCAAFSELR SMVEDGTMTYPYSTREAVAIAKHLEAFPEDGVAYTLENVLAFDGYDAALRQRLRDVFG RHGIPLVYREPVMPTISLAPVSPLPAPHTPTMTWQIDRQSEVVPIPTSSTLKSRRIYI EPPTSHTFAVTPGRLHTFSEEFCSWNVPLWTRQTAVALAVLPDASIHVLTKQPLGIHS YFGANTHERLHLYSELESYNQSKTEAHLMAWKESLVLHVPAEDLLIVLSKKHKVLQSR VLPPFKSNNHGEGMFQWTKQDTAPMQMLSGLLQDHNLLVRYLQGQSCLQVIDVLSMSS YTLQLPVQLHHVSLVSAAEWHVHDVDGAMHILHLPSAEGPRRRRADLHAVACCDGDTA ITSSVHAGGNRFSHPLAYLQEVHDLDNHTKSVTSSHRPTPADVSKSMWINEDRKMATL APSEWLLEVVDVANQQVQQIPFRTADEARVADAAVLPPSTEERGRTVVSVQRDGRVRH WQVDERALQADLATWKSMFDYHALQGTSPYLELQYNRPDGTSEPKTGTSLPKHGKEDP DNTPHVGGNTWAGGSGGSDTAGLGGRGGPYRLDKGHRVHQISQLQKDQVTKEAQEKAK AMADAALADQLSQIDMTNHELASYQQYVDRVHGETTQLRDLFHNVEQLADERGWLKHQ SSGEWDDAKLVDGLSGDRNVFKRRGRDPFASSALLPLPKKLLFVMDVSGSMYRFNSQD ISFLLHILWLPIYIYIYLNHGNFVFNRHMPSTDDWSGCWRRRSCSWRAWQDSTLNLSM RSWGTAVTPPRFRLSSLGSPPTQRKIGSRSCKKWSRTRSIAAAATTRWKQLKKASNKP KRRLMAMRWCLSCRTPI H257_04535 MLRQSLLRPSRWRVHHRAQPFSNVTIGGITAPQGQVKDAQLVPK GFANLDSNGQPHFTQETLAHLRWMLQKDILHQDMFLIGPPGPARRHLALQFCEIMQRE VEYVAISQDTTESDLKQRREILGGSAIFADQAPVRAAIHGRILILDGLEKAERNVLPT LNNLLENREMALDDGRFLMNASSYDALLSKGHTSEQLTAQHLVRVDPAFRVIALGLPV PPYPGRTLDPPLRSRFQARQVPPLSPGAQLEICATIPDGDKLVALVNAMHLIESGGHA DRMPHLSASVVAYCTKMVQLFPTADLPALLRRRFPLHASSAWKAQDQTFQNVLRTFFP STSDQSECKYQLTRVDQSTAELRLGDVAARGVPVACGPRPLQQHAPHFVETKAHRRVL VAMLQDHAAGTDMCVVGPKGSGKSALARQFCGSLGYQSDLFTLFQDMTARDLFQRRAT DLHGNTTWEDSPLLRAARHGHVVILDGVHRLSSDTLSTLQRLIQDRHVDLADGTTFAT ADAPAPTLTGTPSSRNLVRIHPAFRIVALGEVAKPWLTSETMALFPFHSVPELTREDA DAVVAALCPRVPRSVSTKLVELWHQVQLLPSSDLSLSVRQLLRLARRLNAFPESAAAD LRLLIEDTTMMHFLPNAQLMADVLDACHIRQGKSPDVAQDLAIVDTSETLSIGHVTYD MVKSDYPALELIPHPLYFNIPKHTLVMQQMLQDIVSGQPHLLLIGNQGVGKNKVVDRL LQLMHQEREYIQLHRDTTVQTLTMVPSMENGRIRWEDSPLVRAVKFGRTLVVDEADKA PLEVVCVLKGLIEDGEMLLGDGRRIVDRAKGTFNDDHDDDGSVICIHPRFRLWVLANR PGYPFLGNNFFSEVGDIFATHVLDNPDPASELALLQSYAPNVSTDVLMKLCAAFSELR SMVEDGTMTYPYSTREAVAIAKHLEAFPEDGVAYTLENVLAFDGYDAALRQRLRDVFG RHGIPLVYREPVMPTISLAPVSPLPAPHTPTMTWQIDRQSEVVPIPTSSTLKSRRIYI EPPTSHTFAVTPGRLHTFSEEFCSWNVPLWTRQTAVALAVLPDASIHVLTKQPLGIHS YFGANTHERLHLYSELESYNQSKTEAHLMAWKESLVLHVPAEDLLIVLSKKHKVLQSR VLPPFKSNNHGEGMFQWTKQDTAPMQMLSGLLQDHNLLVRYLQGQSCLQVIDVLSMSS YTLQLPVQLHHVSLVSAAEWHVHDVDGAMHILHLPSAEGPRRRRADLHAVACCDGDTA ITSSVHAGGNRFSHPLAYLQEVHDLDNHTKSVTSSHRPTPADVSKSMWINEDRKMATL APSEWLLEVVDVANQQVQQIPFRTADEARVADAAVLPPSTEERGRTVVSVQRDGRVRH WQVDERALQADLATWKSMFDYHALQGTSPYLELQYNRPDGTSEPKTGTSLPKHGKEDP DNTPHVGGNTWAGGSGGSDTAGLGGRGGPYRLDKGHRVHQISQLQKDQVTKEAQEKAK AMADAALADQLSQIDMTNHELASYQQYVDRVHGETTQLRDLFHNVEQLADERGWLKHQ SSGEWDDAKLVDGLSGDRNVFKRRGRDPFASSALLPLPKKLLFVMDVSGSMYRFNSQD GRLERMLETTLMLMESLAGFDAKFEYAIMGHSGDAAAIPFVEFGQPPHTKKDRLKVY H257_04536 MEYAGFHSPNTYHASTSAMDPPMKEDPSPEPASVEENCPAFASG CPFASSKEKAAAPSLSECPFFAQGCPFKGIHDVQNLYTTLESSIPASHQKDGSPLKAN VLSMFKFIHDESAKKKGEIGTACPVFATTCPFKTIMVNGRALVDELDVRTWAIFADEE GDKCPLGGGHLADDLKYGTKQSHREAENVHFVREFVKGRINQDIYKVMVAMLYYIYSD LEAHLRSAAAANDPIFTPLHFPVELERQAALELDLAYYYGPSWRSAIPPPTTSTQEYL ARLAYIRTSSPSLLVAHAYTRYMGDLSGGQILKRTAIKAMGLMDGHGTSFYDFHNITT SHKAFKDMYRRTLNSLPATHDVSEQLVHEANVAFLLNMKVFEELDVLGGFNTPDNQQA EALVRRRSQQQQRMTREGTDGTKKGGPVCPFANMLGQPGIKELAIKYHGDDLTADEFA QLKAQVDAIRNAQWRRNYVVSMAVVGVAIGVGVWLRMSSALWA H257_04537 MWGQLVGSVGTFDLRLPVCRIGRISAKADIVIQKPWISALQCTI ALRGGEGIGDKSVWLTDMSSNGVYVNGDMVGKSFERQIFENDEIYFTKPSLHTPNVEP TFFRFRFADQAQSIHVTPRKAKRSAPPTPDSKGSAKKVCVAASSSSTAQRDELVVGTE SMLKANQELRQRLVDAGNRERDLMAQLQDMLTTVQLREQEMDKLRDDVAKARARAVED DHLRVEYTALESKHKQAEAKCVDVEMCWAKDKEVLKQCQDELAATLRSTLSLNHVVDV LKEELQEAQAKASSATQRVRQLESDHQKLAHQLDLVHRTSDHEHETSRGAKEEVLVMK ARFASARNAFRHMQTCMAALGEQIDVVPALLDYDDEATRGDEEDDGDEETQCVLTERF ARAEGEQEGEGQQQMDDQHASPRSDDDDDATKQLVDTTEPATLYVKEDKEVEEKDEGA VRLPTNNVPAKDDASTSSSTSSTSGAETSHRWLQLKKDSPVASVDDCGLFDESQDVRH QS H257_04537 MRVSILGSLRSDQAQSIHVTPRKAKRSAPPTPDSKGSAKKVCVA ASSSSTAQRDELVVGTESMLKANQELRQRLVDAGNRERDLMAQLQDMLTTVQLREQEM DKLRDDVAKARARAVEDDHLRVEYTALESKHKQAEAKCVDVEMCWAKDKEVLKQCQDE LAATLRSTLSLNHVVDVLKEELQEAQAKASSATQRVRQLESDHQKLAHQLDLVHRTSD HEHETSRGAKEEVLVMKARFASARNAFRHMQTCMAALGEQIDVVPALLDYDDEATRGD EEDDGDEETQCVLTERFARAEGEQEGEGQQQMDDQHASPRSDDDDDATKQLVDTTEPA TLYVKEDKEVEEKDEGAVRLPTNNVPAKDDASTSSSTSSTSGAETSHRWLQLKKDSPV ASVDDCGLFDESQDVRHQS H257_04538 MGDGAEYNVEYTDDNPEEFKWVKRPGPVKVTYANGDTFEGTFNS DRLKHGHGKYTWNEKTDDDEVKEIAWYDGAYENGKKHGVGKMQFPTGDTYHGQWSSDA IDGEGTIVYKNGDIFSGSFDQGIKHGKGTYEYAEDKSQLIGNWVHNTIVDGKWLFKDG GYYTGRFENATPIGQCLLQFPNGLQHEGEYIKVDTINAAGDAVQVHTWKGDAVTKVF H257_04539 MTTAARPTWNAAVGQKNEGGWNSGGQVSMQFSARDLPGHKKLKT RQLGQGTVDELELRDLKSELTDRERKYLEEKGKVVDVAQQKILRLKENKMLLLKGDEW EDRAKEVQTQYDDADDDTRQNDSDDDSDSDDDSEDEEEELMRELEKIKKEREEERLKK EAADREAEESLRKEEILLGNPLTSRQSSNPSGSATIKRKWNDDVVFKNQTRNEPETKK RFINDTIRNDFHRRFLKTYIQ H257_04540 MGPRKHSRSSSSSSSSGSSRSPSRRRRSPSPQRRTGDRPSSRRS ESRPRDRRRRSSRSNSPKRPRTRDRSSDRGRNHRGERRRDDDNDRRRRSPRSPVVVKA TGASPNDGKRDNKENDTPSQDQPTKSKTVNILTQDTRSGRTGGVYIPPFKLAQMKSEI EDKTSQEYQRTTWDALRKSINGHINKVNVSNIANILPELFQENLVRGRGLLVRSIMKA QLASPGFTHIYAALVAVINTKLPENGELLVKRVVHRFRRSFKRGDKVVAIALVRFIAH LVNQQVVHELLALQVLWLLIKNPTDDSVEVAVNFTKECGAMMSEVCPEGMRGIFERFR GILHEGEIDKRVQYTIEGLFAIRKGGFADYPSVHEALDLVDSNDQITHELGLEDDVDV EDKLDVFRFDLEFAKNEKMWGEIKKELLGEDSDDESGGDGDDESGGDDSDSEDEQPST NAKSVVIHDFSEQDLVNLRRTIYLTIMSSVSHEECAHKLLRLNIRPGQEPEICAMLID CCAQERTYLRYYGLLGQRFCLVQREYQAAFDDSFANQYATIHRLETNKLRNVAKFFAH LLFSDALPWTVFEYIRLNEQETTSSSRIFIKILVQELSEHLGVQKLKLRFLDEFMATT FAGLFPKDNPRNTRFAINFFTSIGLGGLTTDLREHLKNAPKLLMAAPSARHQDGSSSD SSSSSSSSSSSGSSSSGSSSSGSSSSDSSSSDSD H257_04541 MDEKSRRPEDTPFKQQKLKAWQPILTPNWVIGTFFVVGLIFIPI GIILRLESDNVVEYSIQYDGDGKLDSSTQDPVVSIRSVGGTPGACALGDGDGNTFDLN RHGCLLSFKLDKDMKAPTFVYYQLDNFYQNHRRYVASRSDEQLRGNQPSTRSDCDPMQ MSPDNTVKYADLNDNVGTNGTWNLNPCGLIANSLFNDIFWINSVTTPTGVRYTQTDTY PGTSLEVVNLMSQSGIAWKSDIASKFDNIKDTDRRADEMYLWQNPNYRNIIPKGPGLP RVLNKTAWTSDTTANFGVKSEHFIVWMRTAGLPNFRKLYGRIDTDLPAGSTLEFLVSS NFVVSAFEGKKSLVLSTTSWFGGRNPFLGVAYITVGSLCMVLSILFFAKHKLSPRKLG DTRYLVWKNNQ H257_04542 MSTIKDGEPSRRPDDTPFKQQKLKAWQPILTPAWVIATYFLVGL IFLPIGVVLYQQNLDVVEMAIQYDGVDASTGLSTLGASLQNLSPTSSCSLPNDSDGNS FNLNEHGCVVSFKLQNDMKAPIMVYYQLDNFYQNHRRYVQSRNDAQLRGQPVSLPIST CDGATQTTDFKYNSTEDLAPNAVRQKYNLNPCGLIANSLFNDIFWVHSVSLPSGEYLN QTQMYGNVTVLNLMDQSDLAWKSDLDTKFNNYDTVDANDLYLWQNQKYRWVIPSKVGQ EPIINKTAWTKPTTSYGAETERFVLWMRTAGLPNFRKKYGRINTDLPKGTVIRFLVSS NFPVQSFDGRKSLVISTLSWYGGQNAFLGLAYIVVGGICMLLSLFFFIKHKLSPRKLG DTNYLVWRGNKPN H257_04543 MSRFLKEPQTDKTSEAHVEASLGLTIGASSMQGWRESMEDAELV DTKLPGLANVALLAIFDGHGGDFIAKETAKQIVDQIVSTDDYNAYDGTNPYALVSALS EAFLRTDDALREAHTDGTADEVGATGLVVLVTKYHIISANVGDSRCILSDKSGHVPIQ MSLDHKPDHEAEKLRILAAGGTVFRGRVCGGVAVSRGFGDFWFKRNEDNNPDKKPWEH FVIAEPCVNIHVRTRDDEFLVLGCDGIYDVMSNEQIQKFTRDKLANGKSPTAVAELLM DECLHKGSRDNMSVVVALLT H257_04544 MSNYDKWNRILKEFDDEEAAEVSRGGDAAKATKPTREFPPLSHK PLQLKQEIQEASAKLHALEKDLAEYDALAGVLKDLPTKLDHDIMVPLGKQAFVPGKIV HANEITAHLGGDLFAKQTASQTGAMVERKKTDLVKQIKHQEVWLESLHAKLGDVDNVL NLKKIYEDANIQEIKESEAESNEGIVDPSEFTQADYDMYFEIENQEAAKQAASSWDWD DAMRRMEALEQHEEASVDKTAVTTHEAESIKQQGNAAFASAKYQAAVDLYSKAIALTP TAHTLYGNRSAAHFHLREFAHAQKDADAAIAINPTWAKGHFRRGQALAALGHVDLAAD AYEEASKLKPSDKSALALAKQLRQQADNDKNLRRLSTTHDVDAARRSSVFSGSVVETN AGPTLASPHQGQAQDGLPPPKRVSRFKALRQGLA H257_04544 MSNYDKWNRILKEFDDEEAAEVSRGGDAAKATKPTREFPPLSHK PLQLKQEIQEASAKLHALEKDLAEYDALAGVLKDLPTKLDHDIMVPLGKQAFVPGKIV HANEITAHLGGDLFAKQTASQTGAMVERKKTDLVKQIKHQEVWLESLHAKLGDVDNVL NLKKIYEDANIQEIKESEAESNEGIVDPSEFTQADYDMYFEIENQEAAKQAASSWDWD DAMRRMEALEQHEEGTYVHAKNLFEPTSLASVDKTAVTTHEAESIKQQGNAAFASAKY QAAVDLYSKAIALTPTAHTLYGNRSAAHFHLREFAHAQKDADAAIAINPTWAKGHFRR GQALAALGHVDLAADAYEEASKLKPSDKSALALAKQLRQQADNDKNLRRLSTTHDVDA ARRSSVFSGSVVETNAGPTLASPHQGQAQDGLPPPKRVSRFKALRQGLA H257_04544 MSNYDKWNRILKEFDDEEAAEVSRGGDAAKATKPTREFPPLSHK PLQLKQEIQEASAKLHALEKDLAEYDALAGVLKDLPTKLDHDIMVPLGKQAFVPGKIV HANEITAHLGGDLFAKQTASQTGAMVERKKTDLVKQIKHQEVWLESLHAKLGDVDNVL NLKKIYEDANIQEIKESEAESNEGIVDPSEFTQADYDMYFEIENQEAAKQAASSWDWD DAMRRMEALEQHEEASVDKTAVTTHEAESIKQQGNAAFASAKYQAAVDLYSKAIALTP TAHTLYGNRSAAHFHLREFAHAQKDADAAIAINPTWAKGHFRRGQALAALGHVDLAAD AYEEASKLKPSDKSALALAKQLRQQADNDKNLRRLSTTHDVDAARRSSVFSGSVVETN AGPTLASPHQVRNTCLA H257_04545 MTPASSIFLPDRGLPFKGILRRSEDDLSIPQVQRQDNVAKHGSS EPRRLAHVKCRAKLHRDSPVFVRHRQPRIAVHVHEVVPAKQELTRRTLDHTKHNELVA NVEVRVINRCVRDTSKANQMKEGSLRLEHGGRKECTRDCLDSNRWRVQRGFKHVHVLR VDKPMQQGGGTQGRRS H257_04546 MEQALQRVESPLYHNSRHLHGHGARRPIALWRFIVLGVGMLALC SVGLFYQVQYIHITAAPQHLRADHVSSYSTILPTRRPTEAALPHRNPAPVPSALSTTQ RHVDAEVDTIALPWPPGNPYASYQCKGWRQTGGCDPDGVREPLNDKGCSAAISGGLSG YCEFHDPVKNDTIHFMKMSCESLRAHVAFSCNMTFDTVMFHRHAAVYRHPWNLQGDPR SRGYLNSSAFDPTPHSVATRPPGRTWYADAVGDSPSRGIVVVVYEKALVPMYATLRYL RHDLNCTLPIEMWYRSDELSPHNNTVMASMLALPEVHLREIRDPIATGFYVKPYVIFF SQFEQLLFLDADNVPLKDPSFLFTTAEFQATGAVFWPDFWHPHNTIFNVHAKSFVWTL LDVPFIDMFEQESGQLVVDRSRCERALHKLMFYALHRIEPQPPIKYSSMQFGPTLPVD ANLIAALVLLWGDKDLFRLAWLSTNTSFHMVSTPPGAIGMYGKPPKVNDRGEFVPGDN DALPDRFCGKAMVQYDVGNSTNQTPPEPLFVHRNTVKLGRSNASRAKQWFAYQRIRPT VVAYKDVVDQYKIQAWRERTSCFGEPLGATETFELTDTASTVFEAAEGKLLKYADEAA AMLPPETTAPSTIKMSTNLPTPARSQPIKSRAAEPDLID H257_04547 MDNSTSRRAPSPTASVASSNGSSSHVVHAPPPNMQNYPPHPYPP PPPSHLGQQQQHLHQHQQQHAMYHHPPPQPHHPHHQQQHHLPPHPTLMHPPHLPPSSH MLSQLFPNLALQQQQQPPRAPPAPFSVSRGSSGSGGYPTGDPDLTSPSEQQHHAPSSS HLPIPTLLRPASAGGGLIIPPPPMPPIRSASISSPGVVRPGTRLVGQSPVYDPKLDPE SRSLEVTPITLYVSERASEFGTLISVNEHYISYPIRNGLIRVINQSSVNRILLRKHES HVVTELAFFSATTDLLVSAGSDNHIVVWRLAEDTYASKPMTRDIVRTLPVRASRVKWH PVDSTKIVIVQDSSVFVTDYLSHEDDDEFDLQANSVACNRSQGVVHDVVFTPDGQHVV TAGADGLVHVYRVGSSSRGQDADYLRGFNPLNGAPLNSLHFVAYINGSSHHAAAAAPP PGSSLLIGGQANTALSVWTSPVDDDTPPQAIQTVRLNSTHAHEVVLDATHQFLYVADR SHAVLYVFHLSGSGRLLLRPTIDHVTEFALAYPILSMTVLNKAGSDDAMQLYCIQTQA IQRYHVPAAAVYIAAPPPTRSPSAAPAYDGTHHHHAVGQSVGALTAEDDDDDDTIQYE QSAAESPRALAAPATELDISITVTDFNGSEAGLSSMLATDGGGGSVGGRSSGSSTTDD DDHRDVDAMITQLHQLHHTTNNHALSSPRSVSSLPMPPPIPAPDQPYNHASSSPTSNL GGFPDDGVSAVLGRLELQQREHHEQLKDQFAALAAQVSVQVDKSVKKHMQGVVVPAIG RIVLHTMEHSVLKPVQKAVEDAIVAGRPDGGGTGLDVRDAFRETFQSHIIPSFQAATQ RMFEQIQDTFVKGTQAKVVESNANLAEFQAQLTQLTNALSRVGDQLAQLPQAAQDATT TTTLLANESHEVDLFAQQCLAIQTLLENHQFEEGFQLALGAENVALVRHACEHVEPAA VLGKRPSSLSQMIVLCLVQQLGSDVLNLEHLALHLHWLRESLLVMNPKDQAIAPFVQN VMHELKATLAQVPDHARDSQYTLVHHILNSMLSFAA H257_04548 MNDRAARNAGAPGSGGVPQRGNFSHILSKLRDVPVKIVAADTNA ELLHDVLASLRQKALDLQNDKWMYKELSFL H257_04548 MNDRAARNAGAPGSGGVPQRGNFSHILSKLRDVPVKIVAADTNA ELLHDVLASLRQKALDLQNDKWMYKELSFL H257_04548 MNDRAARNAGAPGSGGVPQRGNFSLEADILSKLRDVPVKIVAAD TNAELLHDVLASLRQKALDLQNDKWMYKELSFL H257_04548 MNDRAARNAGAPGSGGVPQRGNFSLEADILSKLRDVPVKIVAAD TNAELLHDVLASLRQKALDLQNDKWMYKELSFL H257_04549 MTMEQCLTLAVKRYLDQNVHATAVFLAERLVAENASEDNLGLLA DAYYRSGAGHRAISLLERHMTSNQGILSAHNRYLLALCCFEADRISDAENALIPSMST RRSTGEGATKNVPNGAAGLYLLGRVHRRLHRTDQAIECFTESLKLDPFLWSSFENLCE LGSQASASLFFGGVSTNPSKVHLDENNIPPPPPPPSVSRRIHSRVQASTNTSHARGKK ALSRTITEQGASHQSNTKLEGDTTSSQHPLSRGEGDVLRLLSLCGAAYQHICLYQCAD ALTGLQSLPPHHIQTSWVQHQMGRAYFELAQYPQAAMAFERMRALSPDRLDGVSIYST TLWHLKKEVDLSYLAQQVTSHKTSAEAWCVAGNCFSLQKEHDVALDFFTRAIQVDPTF PYAYTLAGHEYVSNEDFDKAVACFRQALRVDPRHYNAWCGLGTIYFKQEKLAVADYHF GRAVAINPHSSLLHCFRGVVLHALTKDDDALAALDTALALNATNLVARYHKADIFVAH GRLDEALVELHRVEAAAPKDYTVHFTLGSVYTKLGRVDRALQHLNHALVFSPNDCHAD VKAAIDALYDDDDDIGASPL H257_04550 MSRFLSEPITTKHSHQLTAPKLNLNIGYSSMQGWRDTMEDADVV EIDIPGNVNGACFAIFDGHGGDHVAKAVAKEIIQNIADTDDFKRFDGTDHRVMVTALS QGFLKTDESIRNNEAVGGNADEVGATGLLVIVTEKHIFSANIGDSRCILSKSQNQSPI QMSLDHKPDHETEKLRIVAAGGTVFRGRVCGGVAVSRSFGDYWFKRNEEGKPDKKPWE HLVIAEPCVNVQPRDLIKDEFLVLCCDGIYDVMSNEQVQRFVRDRFKAGKSPKEVSEL LMDECLNKGSRDNMSVIIVVFNAKKK H257_04551 MQVEGEHDGSMRVVSGPMDERSIEELRRINSDMHRFTQEATAYR ELFRVGNPVGIYVSGCPALIIAVIETKVFTWEVWKELCLLIIKDVESSGSPVHDPSAA AGDCDVETNVLESDWIKVTFINDQLGFRGKYILRHCLG H257_04552 MSTNDDAFSPELLALYYDRLFPYEEMVHWLGYDASVAKDASTPN TLISRREFSFTLENDQYIRYKAFRNADELKSEMKRLMPHKIDIGAVFSVSPMDKGKVD SSKFVPEERELVFDVDLTDYDDTRTCCQAAAICHKCWQLMVAAVKVMDRGLREDFGFQ HILWVYSGRRGIHCWVSDTASRMLTNEARTAVVQYFTLVEGSEHVKRKVKLTEPLHPS LERAYAILEPMLGDVILGPYGQGVLCSPEHWVKLLDMVPDDDIRAKLNEMWTQQLDTT TPWEKWGQLKAAVEHAVHDKKRKSVSDDRRRHLRTCLAEIVFSYLYPRLDANVSKQRN HLLKSPFAIHPKTGRVCVPIDPRHIDDFNYESVPTLVSLERELNATDADNAASKYRLF QEYVDYFAKDFIQPIHMALLKQKKAAAESTAALTGDW H257_04553 MGKSRVSYFYHPEEGNFYYGPGHPMKPHRLKLAHHLVLNYDLFR KMEVFEPHWASSEEVKAFHTPEYVEFLKKISPSNEKQYQSDVNKFNVGEFTDCPVFDG IFNFCQIYSGGSLDAAYRLNHGLTDVAINWAGGLHHAKKSEASGFCYINDIVLGILEL LKYHPRVLYIDIDVHHGDGVEEAFYVTDRVMTVSFHKYGDFFPGTGDIKDVGAKAGKY YAVNCPLKSGIDDENYLTIFKPVVEKVIETFRPGAIVLQCGADSLTGDRLGCFNLTVQ GHGECVKYVKSFGLPTMVLGGGGYTIRNVSRCWAYETAVCLDEQVSNDIPFNEYFEYY APTFKLHLDPNSDLENCNSRAYLEDVKIKIFEHLRMLNGAPSVQMTTMPPDYVLREED EDATTDPDQRTDHDGAKRQHDAEMYAHDKDQRGKDDGRVPSHENVDMVD H257_04554 MDIRSFFSPQGGAAKPKEAAAAPDVKASSAPAKVAPPTKAKEPR AKAPPAKHTKKKPTSDDDYEDEDDKPVQPRQSARQTRKVIELDSDSDIEEVVAPLKKQ PKTVASKQPASVAPVALPQAPAAPKHAPVLPPSTTAGATSNDSAIIKSVATRVPDGRP GSLDGLTFAFSGVLSGLTRTEAEMTVKRYGGNVAANITGKVKYLVTGDQLENGGNVAD SAKYKEAVSRGTRMLTQNQFYNLISERSQVMPAAPRSLATPYATANGKGKQKANVDPK TGNAMLWPDKHKPKSMADVIGNQEMGRRIVQWLNDFHDIHVSGKKKVPFNPKSTENRG ARTVLLSGPPGIGKTTLAGLAAAECRMDVTELNASDARSKKILQAGLSDIVGTQALSF GTSANQNVGLKPRVIIMDEVDGMSSGDRGGMAELIAIIKKSKTPIICICNDRQSPKVR SLANHAFDLKLRRPTKTQIATRLLQIAAAEGLHAERNALEEAAERFGNDIRQLLNWMQ MWKRSQNTMTYVDVVQQFYQSEKDEVLRLNPFSATQQIFKTGATFNDRNEAYFVDYDL MPLMVQENYMQSLQNKKCSADDKLELASLTTDMLAESDLVSVYIRKEQRWDLLTKQAA LNVAACVYSEGFVGRPDFTRWMGKNSTANKAKRLLGELSIRMRAHASGARNAIRMDYV PYMKEILLTKLLSGDESTDQVIDMLDACEISKDDLTDSMEFFKLPGVVRHSYAELDAK AKGAFTRQYNKVAHKSQAVVEADLVAKPSAKRSLSKKADDGLDDLDEGVNDQDDDDDE EDDVTRFQKAKKATAAAKKAAAVKRKAADGTTKSAAKKGKPRK H257_04554 MDIRSFFSPQGGAAKPKEAAAAPDVKASSAPAKVAPPTKAKEPR AKAPPAKHTKKKPTSDDDYEDEDDKPVQPRQSARQTRKVIELDSDSDIEEVVAPLKKQ PKTVASKQPASVAPVALPQAPAAPKHAPVLPPSTTAGATSNDSAIIKSVATRVPDGRP GSLDGLTFAFSGVLSGLTRTEAEMTVKRYGGNVAANITGKVKYLVTGDQLENGGNVAD SAKYKEAVSRGTRMLTQNQFYNLISERSQVMPAAPRSLATPYATANGKGKQKANVDPK TGNAMLWPDKHKPKSMADVIGNQEMGRRIVQWLNDFHDIHVSGKKKVPFNPKSTENRG ARTVLLSGPPGIGKTTLAGLAAAECRMDVTELNASDARSKKILQAGLSDIVGTQALSF GTSANQNVGLKPRVIIMDEVDGMSSGDRGGMAELIAIIKKSKTPIICICNDRQSPKVR SLANHAFDLKLRRPTKTQIATRLLQIAAAEGLHAERNALEEAAERFGNDIRQLLNWMQ MWKRSQNTMTYVDVVQQFYQSEKDEVLRLNPFSATQQIFKTGATFNDRNEAYFVDYDL MPLMVQENYMQSLQNKKCSADDKLELASLTTDMLAESDLVSVYIRKEQRWDLLTKQAA LNVAACVYSEGFVGRPDFTRWMGKNSTANKAKRLLGELSIRMRAHASGARNAIRMDYV PYMKEILLTKLLSGDEVHPVTLCMISMYRANRGIPQLEHGPSD H257_04555 MAPNHERKGTSDAFDKTARQDNAASGRTTYRHRPVPSPKLSDRV RRIVEDTKRKHRTDMRIEAWHNQGFAGSDICDMPLDESKGIKRELCKDLSVERFIEEY EKPSVPLVIEGIPEYEKWAACENWTLKQLKKQYKHAMLKVGEDDDGKTLRMKFKHFYK YMKTQIDDSPLYIFDSTFDDKRETAPLLADYKVPRYFQDDLFSLVGEDPRPPYRWFLV GPKRSGTCVHVDPLGTSAWNTLIVGRKRWLAFPPSVDKATVKAKIHVLKGEDDEAGNY FCDMLPRMVAADPSLEYMEFMQYPGDTVFIPGGWWHAVYNVEDTIAVTQNYCSHANFE RVWCKTRSGRKRMAVKWLNQLQIHYPKLAALAVHLNERDDYTMYSKENKKRSKDDDAD DGGMTSKKKQKMS H257_04556 MATSSPRYHDGRRLKDYEWAIQHILRHHATVTQGKKAHNSNITM ASKSNYITMASPSATSFETPDGASTPTVAVAKLHRANRIAMIVFLLCSITGVVLWYVF DTPVGHFTRSPPANTAGACHACALLNTTTNAVNRHQSQYYYFNECVSTTFQMEKYGIR I H257_04557 MADDERDGRDEGASSEDEDYVPEADVDGQVEDQLDAKEEAEYER KQQAKKFGVAQSDTGTKRKVDDLWGELQADTVVSTKSSTKSQKLLNKLLGGTAIKKKK QIVHEFKIPVLGCTSSSSRSSKLTAAATPVVSTQVLKYAGQEYSVTKKAAASGARESA LDAALASLNQPKKVSTIEKSSLDWDSFKDEAGIVDELDQYTKDGYLEKQDFLHRVDAR KFELEKAVRDKQRKPHTS H257_04558 MQPWARGRWARHQPQWPTLGLLAGMLVATLQAAAANQTGDTSSM TSSSISGGVNGGVEYLLLTAYGIVIIALLGCGLWNLLQQHRPTTTNVSILPGQHHVMD LWTEHGLMWTCSVCGHDNMCPERTPPPLPYSRGGQQQHDPSTLCLMCGSPSRPDSASV QTAPSPTSTTPQMLSASHRKDWTRRITSLSPSKSSGPPVEVWEATPQAALVGTMEESH LPIVGYIAVCVPSPTHTSGRMVPQTTTEFNAMSLVNATASLNRPGGTAAAASLRYAQL PFPRKYHLWLTQVAQVKEHYHHRLVYIRTTRQAATVVTATVSRLMALSPDELHYPLNI RFAGEPGVDAGGLEREWYNVVTTALFDPHNGYFVEVEHASKSMLIHPNASPKWFRGIG RFLGRALFDGHPIPARMNGIVYKQLLGVPYNVEDIQFVDVSIYRSLKWLQSQQLPTTY QTSTPATTVDALELDFSVLETDLDERGDPIGTSYVVDLKPHGRHIRVTNANVAEYVEL YVHRLCLTRVSPCMAALRHGLQDVLPPSMLLLDMMDHKELELLLCGAQDVDVADWKKY CYVIASKAAVNPIEIVKWFWDIVASMPRERQVKLLQYVTGSVCVPLHGFQALTNRDGS ICHFTLRIVSLEEATYPVAHTCSNRLDLPRYEDKTTLWHALDMMPRNAASTNATQLLH DEPGLSDDDDNNATPIKCGLAGVPSRPIPVDVDSSPGVGLKDDNITPKMEEVEFEVSF VEGKIGLSLEVIHPNVVRVKDTSGPARACNVIEIGDVLVRVQGVDIAAHRFTHVMHTL KSAPRPLTVRFRRPVRTVELPPHFQLDRDLDQPFEYRYRGGPQDADSVRACHEESDDD STVDTVQDDSASSNVPWSSTSSKCHTHLLLPRSSIDDSKPDHKLSSPSKQHDGEPGGQ VPAPSILAAQWKAITDWSFGLTKPPPPLPLPTSWTPPLDDFETAMMSLRIEPPAASLL PTSNAPSSSNEDGARLLLRWQPCVGAETYHLQQSRDWPVKVWKHCNVTTAAAVVNKTL SDGSEDDVANTRTLREGIVQGLDFNKSYVFRIRCGLHSGAWGDFSDASDPVTTPLPTI TVHYPGAATLGRTTAAAAPTDVTLGYHADFEGDANAMSLVVTWTSVLDVTTFQVQWMR HGQRLVWRNSPELSAWEVGTTRGPQADNRADLAASCPATSSMQYTLQGLASGTEYVVR VKAARNGPDGRTWGDFSEWSAPLATIASEDDLQDAKDALARKEAALAVVAHLKDMANS VKGLYQASVK H257_04559 MSKGNAAVVLDGCSLQTDDLVLLSKGQTKLELSTEAWAKVKSSR EVVDNILREKKVAYGINTGFGLFSNVVISEDKLSELQENLIRSHASGVGEPLSPSATR MLLALRINVLSKGHSGISVDTLEQLVAAFNADCLSIVPEKGTVGASGDLAPLAHLALG MMGEGKMYDTRDNNTIKDAAVVLASRGLRPVHLKAKEGLAMINGTQLITSIGCEAVVR AQNVAECADIAVALTLEVLKGTVNAFHPRIHEVRPHRGQLLVAKRIRTLLQADKPSEL FRSHNYEGKVQDAYTLRCAPQVHGIVNDTIEFVRNILTVEMNSATDNPMVFVGSADVT TDFTPIKPPPTPAQDEADGHAPPETLTEAHAEIARLKTLLAAEKDHAHPSMKRTSDTF YRGGGGFIISGGNFHGEYPAKALDFLAIGVHELASISERRIERLVNPNLSGMPAFLVA EGGLHSGFMIAHCTSAALVSENKVLCHPSSVDSLSTSGSKEDHVSMGGWAARKALTVV SHVELVIAIELMCACQALEFQRPHKTTDALEAVYSLVRTRVAPLDKDRYIAPDIDAIV DLVRSGAILDAVRPFLP H257_04560 MWGLFLHARGWTSGGGGVQLEYVPEETPRSMTLQRNRILCREAH MWTVFKAWRYSVVRKKEKRDLYRMSDKERDIKFIYEESLLLHDLLITTDVNLVDRRLE LVQYVYATALRHDDSIAVRVDRQSRTVLHLAVLLTWDVDDIAWVVGINPDAAGVPDCD GFSPLTYATLYGRRDESILQMAVPLARKVQANASRMMAERNYEGSKRTFLKATRDFFS GVPRFDQTFVEEAAATLDAKAASEQILDIWTEFLSVWGHFDPPLVVTDLASKRRVTVG SRVLFTVQAKGEPLTYQWYCDGSPLEGCTTDTLDATGSATPEDAGAYFCRITNWRGSV DSTTAVLLVQDDTVVVDPSTRYFRTQAPLAPGDVLFNVNAAVGGVLDVGHVQLFVPPR SFAVWDLFDANLADTLGMDVVVRVDVSVETTRASDDVNEAWQVVSPIVSLSPHPVDAF LIPWTLRLPHHAASVRPSDPSLIMVLQRIVDADGVVTMHTVSPHQLRVYSTHVDVDLL ALGTFVVVQKPSRRVRMTLVLFASTDQLTVDSTHVDLVVWSCPTRQDCLDVTLSSNSN VLHVGNFPLELPSSSPPSTLVQIDLVSPNKMLLEADVSLSGSTPRRLGVLHVPLGESA TWTSQGVGMACPQLRVSGATTNDNLDVVLPLRFHENRPVGGGNVLQHTTRHVEVAWTV NPPTTGGSPPPYFVVEMAAFSDTFWRRYSNMWWFDRANLSVVHRMYKVTHMGAYHHTH VHIVTDVHAASIRIAACNLDSFGEYADNLLVTPESIEVERGDDNTPEGAEHERQQKPH EGKPKLGGGGVTTTMMLMGLTEARQDSHQRLQDLVAAVYPSSSLFQALYGVPNTISDI VSMLESKKRSHRAADEGIALLLVGLDALILAAKSTVHFHRPICMHFCQCLALAARVTP ALDLNFASAPSILVALHHALQAMFQLVQTHATAGWFTRFLVADKSTVQSTMVEILETL VTACRDHAVFGAEMGQGLLRHWEAQRQNMAASTVNDADELRQLHAWSVVLHAQQDRKL SLQYFSKAFHTDMLEELNNKDRIAEVHADVQQRNTLKTRVLHVCPGPGDVVAYTAWVE VRFDGAVVDVDCLRFITVKNTTLKVRVNGNVTYDKGTRTALFAPTVPLEPRSTFKVKL RADAVTTWYGAASATTKHMFTTKPK H257_04561 MAPPPPAGEEKIVILRTTGGEIAPASALAPKVGPLGLSPKKVGE DICKATQEWKGLSVTIKLTIVNRVATVSLIPSASSLLVKALKEPPRDRKKVKNIKHDG NLTLETVLDIARTMRSRSMARTLAGTVKEMLGTANSLGCTVNGESPTEIQRRITEGEL DIPEN H257_04562 MEALDNCVVQMRACAEKLKSTKFTLSSTFIDMKARDLPSITTTT RLVFELEEQEGDVAEKHKRQFDACVKQADEQIEKLLAEKKQLEQEIRRQSQQFRQVLE ERDADVQVEYAKMLAEVRDHIEDTKQQLTEAIESRTAKQLQVKHCPPPSLANEADVHE SHMLQQLVVAKSKEVTALQAQFHALEAELARPAAIKRKADALDGSHEYSAEAVAQEKK HLQDEIDMLMETDLALRDKATQSRLLAAQHEQNESMRREVAEVEQEAANVAASVAALS SRLQTQLRVLASSSSTGALLTRLYTFIVSHDKDTPIAIADVLAVCPSPSEGVQCIDLL VQVGVVVHTDDRLHLRQTLATA H257_04563 MADDNGTNSLEYGTPCFEKYTKVRCIGAGTYGRVYEAKDRVSGD VVALKKIKTLNESEGVPVTTLREIVALKSLRHPNLVGMKGIVVSKQKDEDDEDEDDPK GGGSTSQDTRSDYANGSIFLVLEFVAHDLTGLLQSNHSFSDLAIKYIMRQLLEGLQYM HDRDVLHRDIKTSNILLTPAYVVKLADYGLARTLRSNSKLTNKVVTLWYRAPELLLGS TDYDASVDMWSVGCVFAELFLGRPLFAAKTEAEQMVKITDVCGTLFDDVNGISHLPHY DKFLGNDKARASDLRRMMYRKAMERNVTLPHGFIELLDKLLQIDPKRRFNPAQALNSD YFKVHHPQLVPGDAPTMLPPITEANCHEMAARKLKKEGSQAVINGADLNKKKLHDKAN AVLSVVEHTKKRPPSKPLASKLPPYGLVKEEPGGAAAKPIAPRQPPRTHASDANSSST TSSAPRPSKRKRDGSSTRSSSTRGTK H257_04564 MMMLLRRQVSAMRPCVGAASFATHRQQLSYDEPGKKRKVKVALV TGYTGTGYHGVQIQENAVDVPTIEHEVRAALFKAGCILESNYGDMSKIGWSRSSRTDK GVHASSIVLSGKLLVHDDRIDPRSGRIAHLPAEINAHLPADIRVFTATKVHQSFRARE DCILREYEYFLPLSFLSSLCPPGMSVDDAATTFIKTLPRFEGIHDFHNFTKQRRFFYK QVANKQLAKQRRRLGATGDDVETFIEHDEVDDGEHDPPSTCHQETDISVENGVRKSLQ RHRRTIYACRGTLVPDMHGEPYVHIHLTGASFLLNQIRCMVGAAMAVATGAMTPSLFD AALRTNQVVSVPTAPAEGLVLSSCGFGAKQHLISLLRDHNTPRNLSVTGNPNQAPHRV LVSDAELHQMLRFRNEVIYKEVVRMWRESEFVAAWPEHFASWSATLNAQSNDNHPEIL AALQTVLANDAGKAANSERVVREARVTGDALKVLPRGFNTAVCIHFGITPGVYVTDVV AGVKRRILDHTLPVDATQDDLFDYMSNIGLDTLAKMGRQRY H257_04565 MDPRHAWFLRLKEALDDSLKQVTLTVQPSRACFRGRSPFTVYHY TITKPGLRWAVDRRYSECHHLRKDLLRHFRRANHPSLATFLAPLVHVDFPKKRFGEDT KCIVGERKLKLKLFLRVCMDIRATLMAYVVVYQQRPTSLLINILDHLDTFLGMPPQPR DDERRLMRSILSLLQQNVTQSSSVRPSKATRPSSLDDCAICLCDFDDDDVHVVTLPCD HVFHSDCVFPWLVRDHSCPLCRTSAVEFP H257_04566 MMDVREASATVQYATNTPCSVGCRCTKSASSTTCWTHGITEGVA RKGYLNWMTCTSKLLNSMTSAAACAVMSASFVYVCMCCWYSAWNTCANSRTTVPKECL IGLVREFVVVALRNMARKCASMVAAGEAPIIARVVKSSSTSCANACFSTGGRSTNSSA NTLWGREMEEVDDIVRGRRDDGRADAASTTRATSAERTSKVD H257_04567 MTTIFDGYDEEYKSLAQSVSSKISEIASYEEEPDKRRTAITHAT DLLTQASQLIQQMELEVRSLDAATKRELSKKVDQYKKSLKSLSDDLKNIKAKEDKEGL FGSAEARSRMAGATQKLQQTGDRIKAAHQTVLETEEVAIGIQEELGRNREKIQATHDK VKGVNDMARSGGRILGRMSARDKRQKLILYGVMGFMVLAILIVLFTTIFGKS H257_04568 MLMFPRQTLFFHPLHYRTMAENKLTSSAAACLEVLRDAKDCEWV TDASTQGVEQLNNMLNTEAPLEPIEILAAVDKPVAGPYQKHLIQLEVHVAPKTNCGTS ATSDHRGTECDFDLKQSATFQLLLSQPSTGTKQWTLVDSLQIQDSSASSSNQSVDDIV VVGVPQKAASTTGGQSPLKFIPTYALEVLVVAACIFGLTALLVHTVRQRRTGYATVGQ PHAQVKVRRVDLKRANPVPRNEETTLRHSHEKNERFAV H257_04569 MPSATLDAQLMAEAEERMALRREELKKLCVVRDLRSTDKIEKCF QKAHQIYRQCQVYVVERDFDHAYILLLQLVELYQKKMPCHREFHLARFENERKRLDKK CGDALALLDRILSGMLDEEVTQLQHAHDEDEHILTTPHHHHHDMIMSSEAPAKDAVAL ALEARLLALKRRNSAASSSSPSVEATSVVQHRERAFDALRPHGSSQSRQSGHHPPLPH HHQAHHLAHFAPPPLTSAAYPSAPQPRAMSKYPSLGGSDVRPSWLSTVDDGQHEHRRS IQSKTQFIRDEVRHMAIPSSLVAEFTRLAASNTSRLPYGVETCGILAGSLKDQRLSIT TLIIPKQEGSSDTCIMTHEEDLFEYCIQHDLLTLGWIHTHPSQTCFLSSVDIHTQCGF QSMLSEAIAIVVAPRDPVKNVGVFRLTTPHGMELIQNCTLSGFHEHPSNVEIYSDAMQ LTWDASRHAALVDMRSTRV H257_04569 MPSATLDAQLMAEAEERMALRREELKKLCVVRDLRSTDKIEKCF QKAHQIYRQCQVYVVERDFDHAYILLLQLVELYQKKMPCHREFHLARFENERKRLDKK CGDALALLDRILSGMLDEEVTQLQHAHDEDEHILTTPHHHHHDMIMSSEAPAKDAVAL ALEARLLALKRRNSAASSSSPSVEATSVVQHRERAFDALRPHGSSQSRQSGHHPPLPH HHQAHHLAHFAPPPLTSAAYPSAPQPRAMSKYPSLGGSDVRPSWLSTVDDGQHEHRRS IQSKTQFIRDEVRHMAIPSSLVAEFTRLAASNTSRLPYGVETCGILAGSLKDQRLSIT TLIIPKQEGSSDTCIMTHEEDLFEYCIQHDLLTLGWIHTHPSQTCFLSSVDIHTQCGF QSMLSEAIAIVVAPRDPVKKYVADVPCIYGFLVNDALELAASASFG H257_04569 MLDEEVTQLQHAHDEDEHILTTPHHHHHDMIMSSEAPAKDAVAL ALEARLLALKRRNSAASSSSPSVEATSVVQHRERAFDALRPHGSSQSRQSGHHPPLPH HHQAHHLAHFAPPPLTSAAYPSAPQPRAMSKYPSLGGSDVRPSWLSTVDDGQHEHRRS IQSKTQFIRDEVRHMAIPSSLVAEFTRLAASNTSRLPYGVETCGILAGSLKDQRLSIT TLIIPKQEGSSDTCIMTHEEDLFEYCIQHDLLTLGWIHTHPSQTCFLSSVDIHTQCGF QSMLSEAIAIVVAPRDPVKNVGVFRLTTPHGMELIQNCTLSGFHEHPSNVEIYSDAMQ LTWDASRHAALVDMRSTRV H257_04570 MAPTSLAERNEANRQHNRHVIRKLVFFSMLMFSLPIATFYALNH VFRDSENKTMWSGFGAIGVVNVVIFVYIVEAFREDAAATKLDAATPPVVIGKFKHT H257_04571 MVQRKHAKQSPPPPNRRSARLRSTPQRRHLTAHFPAGELVSVLS EDDVDDDGDNMNTPSSMPSARSTATVIASLAKDNGSALKKPASDAPSSRGAASAKGKK RATAATLRIMTQRRLASSPSDSDSEFQGNSMQNPSSSTTDTDTDDDLEPPPPSSSKKG KQPLKHASSTPTPKRRGRPPGQKNTKTTPQVNTSSTKKATKPTAPPSEDAAATDEELA APKTNPVVKAPRRRGVRWEPSEVEYITKMVKTHGGRWTWIAAEGVASGALFHTRNGHD VQDKWRILRRKGEEIPDEAVQVAAAHRRPTTPWGAEDTAFLIKMRHKYGNRFVLMLDE GHKLGYFVDRDARHLKEKVRNLEKQTRAKTVQENDGRPAVDVAAAETANARQGGDGGV DVPEWAEDETEMPAVDGCEDESKEETAPPSSESQEIHNPQTEDDRQDIVDETASQMHD EETRPSKRQKISESSSDSSSSSHDGTMAHMLDSEGFGPQTQAADDSLPPMFDQEPETQ ARDDDEEASLHTPVTTHPSSSRDVAPSTQWMKQSKNHRRLSTGASTTSSLEDVPPSDE AVAAALAELERSTLVFTLHVVITSRKAQAGPMQVAMKYGQTFHDLQRHVLTTMAPDRP LDTAIVYAFDFSPPQGHHKLGDWIKDQDHIYATIH H257_04571 MVQRKHAKQSPPPPNRRSARLRSTPQRRHLTAHFPAGELVSVLS EDDVDDDGDNMNTPSSMPSARSTATVIASLAKDNGSALKKPASDAPSSRGAASAKGKK RATAATLRIMTQRRLASSPSDSDSEFQGNSMQNPSSSTTDTDTDDDLEPPPPSSSKKG KQPLKHASSTPTPKRRGRPPGQKNTKTTPQVNTSSTKKATKPTAPPSEDAAATDEELA APKTNPVVKAPRRRGVRWEPSEVEYITKMVKTHGGRWTWIAAEGVASGALFHTRNGHD VQDKWRILRRKGEEIPDEAVQVAAAHRRPTTPWGAEDTAFLIKMRHKYGNRFVLMLDE GHKLGYFVDRDARHLKEKVRNLEKQTRAKTVQENDGRPAVDVAAAETANARQGGDGGV DVPEWAEDETEMPAVDGCEDESKEETAPPSSESQEIHNPQTEDDRQDIVDETASQMHD EETRPSKRQKISESSSDSSSSSHDGTMAHMLDSEGFGPQTQAADDSLPPMFDQEPETQ ARDDDEEASLHTPVTTHPSSSRDVAPSTQWMKQSKNHRRLSTGASTTSSLEDVPPSDE AVAAALAELERSTLVFTLHVVITSRKAQAGPMVRTTPCCYWII H257_04571 MCRSSSKKGKQPLKHASSTPTPKRRGRPPGQKNTKTTPQVNTSS TKKATKPTAPPSEDAAATDEELAAPKTNPVVKAPRRRGVRWEPSEVEYITKMVKTHGG RWTWIAAEGVASGALFHTRNGHDVQDKWRILRRKGEEIPDEAVQVAAAHRRPTTPWGA EDTAFLIKMRHKYGNRFVLMLDEGHKLGYFVDRDARHLKEKVRNLEKQTRAKTVQEND GRPAVDVAAAETANARQGGDGGVDVPEWAEDETEMPAVDGCEDESKEETAPPSSESQE IHNPQTEDDRQDIVDETASQMHDEETRPSKRQKISESSSDSSSSSHDGTMAHMLDSEG FGPQTQAADDSLPPMFDQEPETQARDDDEEASLHTPVTTHPSSSRDVAPSTQWMKQSK NHRRLSTGASTTSSLEDVPPSDEAVAAALAELERSTLVFTLHVVITSRKAQAGPMQVA MKYGQTFHDLQRHVLTTMAPDRPLDTAIVYAFDFSPPQGHHKLGDWIKDQDHIYATIH H257_04572 MELWGTFRRGNKAAASDSDSYVKKFFESTPVEDAWKLDAQTEAL NQFVNDSIAAGRRVALVTSGSATVPLNSDSVMDAVSFGDRGAATAEQFLRAGYAVIFL SRQGSLAPFSRHFQAYIRDNSFMSMLHVQPDKSLFVKSRDTAQRDHIASVLQAAKETR ENVFHLCFNTVQQYLFYLQAATKAVDAAGTRGMIVLAASVLEYYVPSSSSSTGVPSSS TPADTAAKDKESSSSLSLNLIRVPNLIRKIRQEYAPKSFLVTLKSAANKNQIYNVAFE ELEKWGVDAVLADSPALPGEMALVSESESTRVSLKADTTTHMELDTLCAASLVEMHGV FTARRTFLAQGRQLLLLTAKFSMMKANDAVNEDADGHKNVPFATGVRIRVDRGQAHNS PVYELSAVFQNQSHCARAHLWTRVVAPDAVAEDSASTLLTENQIVLAFSPAGTADTIK SMWGDFWGGFADKEFADVKEQWGHVTMGSAIYGLASGVTATLGGDFSSVHGMIKYLGS KLGAAWTDGEQTRIRQALQNMLSVNFNRGLTEMSGLHPLDAIDTMPGTNGPEQRVSST RRLQHRASMTVLDPTVLAQASITSSQPSSGLFSPSPDAATIDSTTVKVHKAILSYFQD MVADGLIEAVLPHIVRGSRVHVTGYSMGGMLGQLFLLQLGDALAHRYPQSQHHLRLVN GVFFGTPRVGDAGFAARLRRLYDTSQVINIMHPLDTVHAYPPTTEGYADAMLKIFLKE DGLGVGRRSAHAFSILPITRSLDRMLGQARPLSAVSTPPPPLDATSTPSACALCGRAE HCTEQHRCHVCTRRGDHVAKSCPYRSEGCLLCGASAHATGEHRCSVCAQVGHRGRDCH QQGNAGVAEMLTYFQFHDFLYYNQNLKRHVEFSTTATSS H257_04572 MELWGTFRRGNKAAASDSDSYVKKFFESTPVEDAWKLDAQTEAL NQFVNDSIAAGRRVALVTSGSATVPLNSDSVMDAVSFGDRGAATAEQFLRAGYAVIFL SRQGSLAPFSRHFQAYIRDNSFMSMLHVQPDKSLFVKSRDTAQRDHIASVLQAAKETR ENVFHLCFNTVQQYLFYLQAATKAVDAAGTRGMIVLAASVLEYYVPSSSSSTGVPSSS TPADTAAKDKESSSSLSLNLIRVPNLIRKIRQEYAPKSFLVTLKSAANKNQIYNVAFE ELEKWGVDAVLADSPALPGEMALVSESESTRVSLKADTTTHMELDTLCAASLVEMHGV FTARRTFLAQGRQLLLLTAKFSMMKANDAVNEDADGHKNVPFATGVRIRVDRGQAHNS PVYELSAVFQNQSHCARAHLWTRVVAPDAVAEDSASTLLTENQIVLAFSPAGTADTIK SMWGDFWGGFADKEFADVKEQWGHVTMGSAIYGLASGVTATLGGDFSSVHGMIKYLGS KLGAAWTDGEQTRIRQALQNMLSVNFNRGLTEMSGLHPLDAIDTMPGTNGPEQRVSST RRLQHRASMTVLDPTVLAQASITSSQPSSGLFSPSPDAATIDSTTVKVHKAILSYFQD MVADGLIEAVLPHIVRGSRVHVTGYSMGGMLGQLFLLQLGDALAHRYPQSQHHLRLVN GVFFGTPRVGDAGFAARLRRLYDTSQVINIMHPLDTVHAYPPTTEGYADAMLKIFLKE DGLGVGRRSAHAFSILPITRSLDRMLGQARPLSAVSTPPPPLDATSTPSACALCGRAE HCTEQHRCHVCTRRGDHVAKSCPYRSEGCLLCGASAHATGEHRCSVCAQVGHRGRDCH QQGNAGVAEMLTYFQFHDFLYYNQNLKRHVEFSTTATSS H257_04573 MQESHRWRREERWATAIAYSSTSAVDAEQLRAELADLNARLRVE VEAKRELQRLRARDKERFADEFGQFEARLLRANETLEKNRQVVEASLVEKDNFIEELQ IQLDKKQHAIDCLKQDPRLLHSSRRRRQAQPPIFFDHMNGGDSMTGGSDNGVEDSKVH ELELQMSKLFVQLQEAHTKNDAQEDLIEGMKAANAKLVASLKKMKHKLKEATDSGVNH MFHDMTRKCMRAEAEKAAVEATLAAAQSEIATSKANAEALSAQLKAANEQITVLQDDV KARGAAQLAMENQLLKQQHYIKDLEVDFKTMGRVDVDNPEMEHAQRTLAAKTEQLMEL ERKCKIYEREIAGLRQKKIQSGGPTDEASPQRRPLSLIDLDHIHTQTLKIESKADTVS RMVTMYASGDPPPPVEQLQALLLDLEDEEGVVSCDSLASDQEGKQRILMSLMQSQAIL DGVAHHLAQGCARFLGSNCALQ H257_04573 MFVRAPTFDRWRPHLLSLHQMNGGDSMTGGSDNGVEDSKVHELE LQMSKLFVQLQEAHTKNDAQEDLIEGMKAANAKLVASLKKMKHKLKEATDSGVNHMFH DMTRKCMRAEAEKAAVEATLAAAQSEIATSKANAEALSAQLKAANEQITVLQDDVKAR GAAQLAMENQLLKQQHYIKDLEVDFKTMGRVDVDNPEMEHAQRTLAAKTEQLMELERK CKIYEREIAGLRQKKIQSGGPTDEASPQRRPLSLIDLDHIHTQTLKIESKADTVSRMV TMYASGDPPPPVEQLQALLLDLEDEEGVVSCDSLASDQEGKQRILMSLMQSQAILDGV AHHLAQGCARFLGSNCALQ H257_04573 MQESHRWRREERWATAIAYSSTSAVDAEQLRAELADLNARLRVE VEAKRELQRLRARDKERFADEFGQFEARLLRANETLEKNRQVVEASLVEKDNFIEELQ IQLDKKQHAIDCLKQDPRLLHSSRRRRQAQPPIFFDHMNGGDSMTGGSDNGVEDSKVH ELELQMSKLFVQLQEAHTKNDAQEDLIEGMKAANAKLVASLKKMKHKLKEATDSGVNH MFHDMTRKCMRAEAEKAAVEATLAAAQSEIATSKANAEALSAQLKAANEQITVLQDDV KARGAAQLAMENQLLKQQHYIKDLEVDFKTMGRVDVDNPEVSWPQTLSNCDGGGSRVD GACSTHVGRQDGTAHGA H257_04574 MAGMTLQEKLVAFRVWELITSIVVIGGVFAITSSTLYLRPIPHV AIQLNATNTIYARDPSLDLTEGVEQVPLSVAIAIYYATPLLVHAAFQWHRFVMNDTRD FMLTLAMSTAVCQLLTHFGKVTAGRFRPSFYDMCDWDTSIVWDGVANLCRNPKGEAEG RKSFPSGHASGAFSTLFLLTLYLLGRSKLLAGSALATQRGFLASVNFFLALVPTVVAM WISITRSQDNWHHYSDILAGSVIGILASILAYCFNYGSLFDYKSAGMPLETLRDLKEV DVPAFNDLQDSGLP H257_04575 MNVIVACAAVAWAMVVYYQTSTSDQSSDLAHLGSVTSTTAKVWL FSTSATEARVSYTSELNVTSEVVADFRKDDATLTIALRDLSPGTRYVVAIVLVDNATN HTIPAKTLSLKTLAATMSTVRFAFGSCTMAVPLLYPFTGFSGNLDYIATSLQPDFMLL LGDQVYADIDFLAQDDTEHLYRATVHDHAYDTMGRTTPIFSIYDDHEIRNNWNEGQYD DRLYLDRIRYYDRFFGDRNPDPIVPGEHYYTWTTGVATFFMLDVRKHASPKDWPDGPH KTKLGPTQKQHLLQWLVTSTEPFKVVVSSMVVTDMGMQATDEGWALYGTEYRQVFDFV NEHNVSGVVLLSGDLHFAGVWQHSPYDFLFEVGASPISAFPVIPSKAAEASTHKTLFQ SWTGLHFGHITIVDNAGDAGLYPAMDIAVYRSRLGQPVPAFSMRLNWEDTIPIKHNRT H257_04576 MADSAPTSRTSSEFPFVVDGHSYTSTLTALNGKSFASVDSYSDF LTTTFSGEVPRTKVRTARPSPVWKYMHKLDVPQVNRRKKMCEYVCTVCVDDGATAWED SLIAMFGNQSSNGATHLRSRHKDLSLLEKRHPVSGRPPSKKPAKRQSVPSPSLSSSTV DDDDSSTSSKPPASTSTIPTTKKRKTTTSTTTKKHTVKHTVISSQATTETVAIYTIST ECPALDEADLLATLVHPIAPTTFMDDYYQKKALAVHAPASRFDQLVQEGLDGLDVKKL LAATSSEELQAWVQVRPATHDDNGDDNGDDNGDNGDANGDGNGDNGDDNGDNGDDNGD NGDDNGDSPKIESVKVESAEAAAILHAAGHSLYFRSSPELSATLIPALAKDLGMGFTA TSVHGETQGEIELFCAKQGHVTDWHFDFMENFTVQVAGTKTWKLQPGNVAYPVRGCTP HYKTQEVVEQQLKVHRLADPSFQYHPTFDNVSEVTLSPGSVLYFPAGMWHRVECTEDS ISMNLSMFPTPHADVVVDALRQVLLQSDKWRRGVSYQTPADARAYMADLLVDLKAQIG KLSAADILPERLLLRGRGGGNAGDEDESDEEEKVPSHVLQLDDPFLLQDSAIDFEPTT LVTLNPLANLMHHADIPSIHHNTGFSPDDLVYILNVGFGHSSYMSSLRLEFQCSTLQA SLIDVILEAQTQATQFSLQTLLALSKELEESPKKKSEMRELQVVLHFLTHAGFLTVVH DNVKAP H257_04577 MARAKPKHGKDGGEKGKAKVAPQATTEPDKHVPVNVARRLKTEL QRLRGTANGELDKNDSTALGREFTSESYLEHSDPHVRLLVASCIAELLRVTAPETPFG SDHELYEVFVLLVRVLRETTKHTDIAWFTLLETLASVKMCNLAVGLTPDSSHAQSDTS PEDLVVDIFRCLFERIQDDHAAKVEANMVSIMVGCLEECDVVSPQLLETLLEPLLHND QHPRAYHMAQQVIEKASDQLQNALSLFFNSVLVDATTPHSLSTTSDMKDHVHTLIYET HKIQPGLLLYVLPNVCLQLQVDDMETRSNAIALMGRLFASSHADYGHQYLKNFREFLG RFRDVKKEIRLQMVTVCSIVAQRKPDLALLVDAELQLRLQDPEWDVRRLVVNELCDLA THSLASVSNASLREVGERMKDKKVMIRKEAMTGLAQIYAAHVSTSWTNGNKDATAVAQ QLAWIPEYVLKCFAYPIQELRLRVVQLVDDILLPKSSTELFRMKGLLFMWKTLDAGSR EALRRVFLERVQCRQIIQQFLTLKQQLRQGNAANNGSSLQKCLKELSPLLPETEGLTG LVDKLATWKDLKLVKHLGVLCAATSDAAAIRQAREDIVKMVGSKTPLGDFIKNVCRKL AMTTVNASSVDCLLSILKDEPTHECVEVLHLVGSIFPHLLHSHVGTLEQLLAQCSSPH VVLPCVLELLVCYAKFDRDGAPPVALKKPLLAHCTNQPLDVVKKSARILVSLFPGEVP GLVKKLRKPTSSGLSDATLQTLVVVTKHVPNVIADATALFDQLVDVVNTSKKRETKCL ALTLLSHLVLYQHVPDGHELNDQAPRDTACRRVYDLSFDLLQASTSKTSAPLRAVAAS NLLKLTRVPRLERQLRIDEWHTLGYVLTDSDEGVRRAFLKKLTSNLLRHPSLNHKYVC YVALASSEPVAELKKEARTLLQSAVQRMRHMYETARSSASVAENNPASSLMVPEYVVP YAVHLVLHSPWEGSEDVESLYFLLESLVSHVVSEADNISFLLQMLHKLSLCHDSTTSS TNNYPKDLSAILDAGTTWLKKRIKNQINLKAYPGQIYLPKHLFQPGKAPGTTTGSGAA SKATALEDDGDADVVERVQKKRPIAVKTDKPSKKAKVVSTKSPVVTPTRRMPARHAKS ADVSLADQDSDVDDEENARPARATSRPTAPTPRVAVEDETKSDDETNDDDNETKSDDE TKSDDNDDDEETKSDDEVQVDSNKAVKSTTADKKTTNDPNRPSPVVVVASHHPSVRPT RAPAARTHVSTTPSPPDSVNRGDDAPTMPKKRRVAPTKATTSATDAATSTTSVSKGKQ PKHVATPPSPRRSTRGGSKEAELVASEGEDENQTKTDNPPANNDDADDEEMTSFRSRR RRRRG H257_04577 MARAKPKHGKDGGEKGKAKVAPQATTEPDKHVPVNVARRLKTEL QRLRGTANGELDKNDSTALGREFTSESYLEHSDPHVRLLVASCIAELLRVTAPETPFG SDHELYEVFVLLVRVLRETTKHTDIAWFTLLETLASVKMCNLAVGLTPDSSHAQSDTS PEDLVVDIFRCLFERIQDDHAAKVEANMVSIMVGCLEECDVVSPQLLETLLEPLLHND QHPRAYHMAQQVIEKASDQLQNALSLFFNSVLVDATTPHSLSTTSDMKDHVHTLIYET HKIQPGLLLYVLPNVCLQLQVDDMETRSNAIALMGRLFASSHADYGHQYLKNFREFLG RFRDVKKEIRLQMVTVCSIVAQRKPDLALLVDAELQLRLQDPEWDVRRLVVNELCDLA THSLASVSNASLREVGERMKDKKVMIRKEAMTGLAQIYAAHVSTSWTNGNKDATAVAQ QLAWIPEYVLKCFAYPIQELRLRVVQLVDDILLPKSSTELFRMKGLLFMWKTLDAGSR EALRRVFLERVQCRQIIQQFLTLKQQLRQGNAANNGSSLQKCLKELSPLLPETEGLTG LVDKLATWKDLKLVKHLGVLCAATSDAAAIRQAREDIVKMVGSKTPLGDFIKNVCRKL AMTTVNASSVDCLLSILKDEPTHECVEVLHLVGSIFPHLLHSHVGTLEQLLAQCSSPH VVLPCVLELLVCYAKFDRDGAPPVALKKPLLAHCTNQPLDVVKKSARILVSLFPGEVP GLVKKLRKPTSSGLSDATLQTLVVVTKHVPNVIADATALFDQLVDVVNTSKKRETKCL ALTLLSHLVLYQHVPDGHELNDQAPRDTACRRVYDLSFDLLQASTSKTSAPLRAVAAS NLLKLTRVPRLERQLRIDEWHTLGYVLTDSDEGVRRAFLKKLTSNLLRHPSLNHKYVC YVALASSEPVAELKKEARTLLQSAVQRMRHMYETARSSASVAENNPASSLMVPEYVVP YAVHLVLHSPWEGSEDVESLYFLLESLVSHVVSEADNISFLLQMLHKLSLCHDSTTSS TNNYPKDLSAILDAGTTWLKKRIKNQINLKAYPGQIYLPKHLFQPGKAPGTTTGSGAA SKATALEDDGDADVVERVQKKRPIAVKTDKPSKKAKVVSTKSPVVTPTRRMPARHAKS ADVSLADQDSDVDDEENARPARATSRPTAPTPRVAVEDETKSDDETNDDDNETKSDDE TKSDDNDDDEETKSDDEVQVDSNKAVKSTTADKKTTNDPNRPSPVVVVASHHPSVRPT RAPAARGDDAPTMPKKRRVAPTKATTSATDAATSTTSVSKGKQPKHVATPPSPRRSTR GGSKEAELVASEGEDENQTKTDNPPANNDDADDEEMTSFRSRRRRRRG H257_04578 MTSTFRDGIDDDAYDGDDDIPTVSTGVTFTLMTYNVLAQCYVRS SFFPYCDPKALKWKRRSAMLMQQIEAFHPRPDILCMQECDQYDTFWQPHMAAIGYASL YLKKTGAKQDGVGLFWRPDKFTVLGGENVSFNEALHCVTDESLRGRVIRDNVGLLAHF ECVDRPATQFIVASTHLFWDPAQADVKLVQTKFMLDAIDAFVAELPRRRLPVFFAGDF NSLPDSDVVHHVTSRGLASAYSTYDPVSGEPRFTNVNGVVTAESTGPAFVGTLDYIFY DKAHVKVHKLMPLMEYDEAVADGGALPNRTVGSDHLPLMATFVFK H257_04579 MTADGGSGSDPALWSPSQVVVWMTSFEEGRYAPLVTQLKSLSGR QLLDLTEPQWVRVQPTALASSLRYTLLGMHQVATAAPQSSVRGDSSTLGSEGNYLSAG SPRSTTTSRKNKKKQKPTSSIPPCEFPPMLARPSWIVIVFVLLLGVGAILVFVVFKDK LVGTQWDTKRILTVASIPLISVVFTYGHIWLALYMTFYPLEYVGIMQFPGTNMGVCGW QGIVPFKGEKMARMSVRIMTSQLLDVREVFSRIDPAQVVKELEPILFSTIKDIVETMA NKYNPSLWRVLPTSVKDEIVEKVKEDAPVHIEALMGEIKHHIDDVFDLEDMVVTHMMK DKQLLVNMFVTCGYQELAFIRDSGATMGFIFGLLQMGLYLVWPSLTKYVTFPVFGLLV GTLTNWLALKMIFEPVNPKKILCIKLHGLFLRRQQQVAAMYGEMVSRDVLNAHNIIEA ILKGPASDRLFELVYANVQQAVNSGAAVADRIVSIGIGKDTFESIKDDITDLVVQKFP DSLRHIEAYTMVALDLNKTLREKIGQLSFHDFERLLHPVFEEDEWKLVLMGGVLGLAL GFIQTTYEPDHAT H257_04580 MTSPHSTSSLCVTTAASYPQPRWVERGASFLRRINLERVAEDPR VKLLITGQHPTESGLLSPHSLHPLNDELQQPAMPSPSPPRHGVGGPTSWPRSLKESIQ NTFFNKNHEEPLLHLSPRMAVLGPHFMHTILKLFAAKTSSTTWSDAQQAMATLDTAIA ARFADKACVLDCGLFDAIQKTHQKPLVQDTFAGGRVTSLPFKRGAVPSMHAMFVATST VSAWLALHESHVVIILAPNLEQMSLYLACAALYRAPFSSDLMPYGERILQWLAENVDA SESLAARLSKTFADSETRNTTYIPGLPRPQVRFLQDFAALLILRDKQLMASMLTPDTP PSNTTRPTLSGAAVLPALHPLYIHKLVLLPSPNANNRYLPDNCYRPYFVLQDEQGHVL YSSMVLGIRSILTVDGPHAFKVGRQVHTGDLHLHMYHVPVNSRGQIVLESRLNCSLLF EHLRMDDRGEITLRMKDGDFDCVSTLYTPHVDFALQVVYGINAEAFAAEDEADAAAAL ADAPTRAGRAPSNPNLVLAIPRANLRRQLVPEEAMIVSSVTVADAIVYSSVGDVHLKQ VDVCQRSGVVYLQFHVQCGDGQLRVLTTNQLFGYSLPAAVLTTLTEVGALELVAQMPQ FDPSNSADSFDEQYGLWLQRQFDDDISRLSTQIRIGTTPTDIENLVAQHGELGLVMLA NQLQQAELNNTRGASMRLIAQLPTRVFEATAADGCECLVCRYNFSPGDEIRTLPCFHS YHSNCIDPWLRINKVCPVCQVSIELHLD H257_04580 MTSPHSTSSLCVTTAASYPQPRWVERGASFLRRINLERVAEDPR VKLLITGQHPTESGLLSPHSLHPLNDELQQPAMPSPSPPRHGVGGPTSWPRSLKESIQ NTFFNKNHEEPLLHLSPRMAVLGPHFMHTILKLFAAKTSSTTWSDAQQAMATLDTAIA ARFADKACVLDCGLFDAIQKTHQKPLVQDTFAGGRVTSLPFKRGAVPSMHAMFVATST VSAWLALHESHVVIILAPNLEQMSLYLACAALYRAPFSSDLMPYGERILQWLAENVDA SESLAARLSKTFADSETRNTTYIPGLPRPQVRFLQDFAALLILRDKQLMASMLTPDTP PSNTTRPTLSGAAVLPALHPLYIHKLVLLPSPNANNRYLPDNCYRPYFVLQDEQGHVL YSSMVLGIRSILTVDGPHAFKVGRQVHTGDLHLHMYHVPVNSRGQIVLESRLNCSLLF EHLRMDDRGEITLRMKDGDFDCVSTLYTPHVDFALQVVYGINAEAFAAEDEADAAAAL ADAPTRAGRAPSNPNLVLAIPRANLRRQLVPEEAMIVSSVTVADAIVYSSVGDVHLKQ VDVCQRSGVVYLQFHVQCGDGQLRVLTTNQLFGYSLPAAVLTTLTEVGALELVAQMPQ FDPSNSADSFDEQYGLWLQRQFDDDISRLSTQIRIGTTPTDIENLVAQHGELGLVMLA NQLQQAELNNTYEKALYFYIPYPS H257_04580 MTSPHSTSSLCVTTAASYPQPRWVERGASFLRRINLERVAEDPR VKLLITGQHPTESGLLSPHSLHPLNDELQQPAMPSPSPPRHGVGGPTSWPRSLKESIQ NTFFNKNHEEPLLHLSPRMAVLGPHFMHTILKLFAAKTSSTTWSDAQQAMATLDTAIA ARFADKACVLDCGLFDAIQKTHQKPLVQDTFAGGRVTSLPFKRGAVPSMHAMFVATST VSAWLALHESHVVIILAPNLEQMSLYLACAALYRAPFSSDLMPYGERILQWLAENVDA SESLAARLSKTFADSETRNTTYIPGLPRPQVRFLQDFAALLILRDKQLMASMLTPDTP PSNTTRPTLSGAAVLPALHPLYIHKLVLLPSPNANNRYLPDNCYRPYFVLQDEQGHVL YSSMVLGIRSILTVDGPHAFKVGRQVHTGDLHLHMYHVPVNSRGQIVLESRLNCSLLF EHLRMDDRGEITLRMKDGDFDCVSTLYTPHVDFALQVVYGINAEAFAAEDEADAAAAL ADAPTRAGRAPSNPNLVLAIPRANLRRQLVPEEAMIVSSVTVADAIVYSSVGDVHLKQ VDVCQRSGVVYLQFHVQCGDGQLRVLTTNQLFGYSLPAAVLTTLTEVGALELVAQMPQ FDPSNSADSFDEQYGLWLQRQFDDDISRLSTQIRIGTTPTDIENLVAQHGALGWVVGN G H257_04580 MTSPHSTSSLCVTTAASYPQPRWVERGASFLRRINLERVAEDPR VKLLITGQHPTESGLLSPHSLHPLNDELQQPAMPSPSPPRHGVGGPTSWPRSLKESIQ NTFFNKNHEEPLLHLSPRMAVLGPHFMHTILKLFAAKTSSTTWSDAQQAMATLDTAIA ARFADKACVLDCGLFDAIQKTHQKPLVQDTFAGGRVTSLPFKRGAVPSMHAMFVATST VSAWLALHESHVVIILAPNLEQMSLYLACAALYRAPFSSDLMPYGERILQWLAENVDA SESLAARLSKTFADSETRNTTYIPGLPRPQVRFLQDFAALLILRDKQLMASMLTPDTP PSNTTRPTLSGAAVLPALHPLYIHKLVLLPSPNANNRYLPDNCYRPYFVLQDEQGHVL YSSMVLGIRSILTVDGPHAFKVGRQVHTGDLHLHMYHVPVNSRGQIVLESRLNCSLLF EHLRMDDRGEITLRMKDGDFDCVSTLYTPHVDFALQVVYGINAEAFAAEDEADAAAAL ADAPTRAGRAPSNPNLVLAIPRANLRVPCGSFLGLILSFFNPKFERLPNLSTDTCMCH RNGQVSH H257_04580 MTSPHSTSSLCVTTAASYPQPRWVERGASFLRRINLERVAEDPR VKLLITGQHPTESGLLSPHSLHPLNDELQQPAMPSPSPPRHGVGGPTSWPRSLKESIQ NTFFNKNHEEPLLHLSPRMAVLGPHFMHTILKLFAAKTSSTTWSDAQQAMATLDTAIA ARFADKACVLDCGLFDAIQKTHQKPLVQDTFAGGRVTSLPFKRGAVPSMHAMFVATST VSAWLALHESHVVIILAPNLEQMSLYLACAALYRAPFSSDLMPYGERILQWLAENVDA SESLAARLSKTFADSETRNTTYIPGLPRPQVRFLQDFAALLILRDKQLMASMLTPDTP PSNTTRPTLSGAAVLPALHPLYIHKLVLLPSPNANNRYLPDNCYRPYFVLQDEQGHVL YSSMVLGIRSILTVDGPHAFKVGRQVHTGDLHLHMYHVPVNSRGQIVLESRLNCSLLF EHLRMDDRGEITLRMKDGDFDCVSTLYTPHVDFALQVVYGINAEAFAAEDEADAAAAL ADAPTRAGRAPSNPNLVLAIPRANLRVPCGSFLGLILSFFNPKFERLPNLSTDTCMCH RNGQVSH H257_04580 MTSPHSTSSLCVTTAASYPQPRWVERGASFLRRINLERVAEDPR VKLLITGQHPTESGLLSPHSLHPLNDELQQPAMPSPSPPRHGVGGPTSWPRSLKESIQ NTFFNKNHEEPLLHLSPRMAVLGPHFMHTILKLFAAKTSSTTWSDAQQAMATLDTAIA ARFADKACVLDCGLFDAIQKTHQKPLVQDTFAGGRVTSLPFKRGAVPSMHAMFVATST VSAWLALHESHVVIILAPNLEQMSLYLACAALYRAPFSSDLMPYGERILQWLAENVDA SESLAARLSKTFADSETRNTTYIPGLPRPQVRFLQDFAALLILRDKQLMASMLTPDTP PSNTTRPTLSGAAVLPALHPLYIHKLVLLPSPNANNRYLPDNCYRPYFVLQDEQGHVL YSSMVLGIRSILTVDGPHAFKVGRQVHTGDLHLHMYHVPVNSRGQIVLESRLNCSLLF EHLRMDDRGEITLRMKDGDFDCVSTLYTPHVDFALQVVYGINAEAFAAEDEADAAAAL ADAPTRAGRAPSNPNLVLAIPRANLRVPCGSFLGLILSFFNPKFERLPNLSTDTCMCH RNGQVSH H257_04581 MLSSTAAAIARRSSAPSALSGRAAKSEEVRNAIDATSDAYDPQP HDDSIDVLEKNQEDADNVTKQVDATEADNNQEDNEVQPVQSLPPLQQECKQDSNGLAD LDDIQVALDDEHVTPEDVQVTLDAEVSFDAAQFLADHPLPPNTTPLLATAWIIPWGAV KRSHNQQQHAIAWPQALSDIAPPHFQAAFRRYDGSDKVTLAVRAAATFLTARQNKAKD HNQLVWLVGLRRIDAETARIKSERESWDAKQAAATARRDSYVGSRRLPTRKPTFVVGS TTNSLGEVSRYRVAAAEAARGEFLATRQARAGAVVERAKAVSADAAVLLGHYKVVAAQ DLEARLARAEVRRTIHLDKRRLIAGRHGNYVKSVYLTNRYMERREAERLDLAMETAIA RRDAHVESIQSRARRHNVSVHFRAGCAFRLFDAQWLNQALRSAAAMQAATLRRDAILQ DRVARLQDAAVRRQQVARTRLRVGVETASAIAQNLASRLHGASRRRSAQFAWVRHCIS GRRDHLRARKIQAAHVAKRIAKAAVLDKVNQATKRREGWLERRRAATAARNWYAKVLA HRQQEAREEDAAALAARISDEQEAAAQRREEFTRPLFSELVALRSQRVQHVLHSHHQL LVRLSARKEALAAVRLAEFAHLRARATHAVWLHTQQVTERREHDHVFRQQCVASHAFA RLRSAEIRRALAQDAIRVAAEEDRTRGDAARLRVHIHTEELRAFSTFRLIRADEKRAL RQADKVSAAIWFRTQGQLARTRNLLREETLRTSSAQAMQEAALRKSIGLTLRARKGFV ERAVVATRQNCMRLVLAEKEAATATKLERAAARRQNELVAKQTSARRTLDRVVLSRLE RQSTEVVDNAIKTAMQATINLQAESRRFQVLQARQRRARTQTQHATTIARTLKSTRRL AAQSKREAAEGRVEETTKRRNALMAARKRGQSTVSQLQPYAGLQVVGTAITLWPQAAA H257_04582 MAAVNLSEGEWRAKLSPEQFRVLRQKGTERAGTGAYNKHKAEGV YTCAGCDAPLYTSAHKFDSGCGWPAFFDAIPGAIKAVPDADGSRTEIVCANCDGHMGH VFRGEGFKNPTNERHCVNSVSINFQSADK H257_04583 MALSDGGFAHLFLVLSWNLMCRSKSNRDSPDRFGKSLAALVCGG SSKAKKDIGTHSIWKGAATFVSSGSTGGPSIISVCLRCGWSLGNVMERYFRYEAAGDQ FTGRCVAGLPLNCADFAVLPPHLSGGNDLVVGQAVQIMFLSVCSEIHLVPILQLILAS LVYHRIVLIGSLPPHHALLSTSLFTNPDLFANLTTIVISGSTSSCLRASGIPPYVEIY RKLGKNEVILISMPAKILDGVRSIVEDHGVVAGNITRSVLESSIASALSSIQQSNSAM SPAQQLPIDSQPLVYEPFHWGRNMALNPSEQEVSTSFDTARGLFDQLCGETSAKRVRR DGQLNITTLVRLLRQLEPSKTPRVFKKRKRSEQRAGSHGSLAALFQLVRQVHQRHRQV ILNHMRRHYVLVGNEFLDHGGNMPSRFKRLSRIS H257_04584 MDAQIVCTSGDIAIGHVSRLVTLAMLVLASKLVCFVAARILVRR RVASDVHIKSIFVYAGAKYLFDLSKWMSCDVYYMDRMSAAMNGILTLQHHETMHALDI KLWRVFSVDLSDEASSIPNHHVHAAAYRRALPLISDE H257_04585 MGGLVVLEMAAPVRPRAQLNRECASDDYDKTVVCTCGRLEIGSV DRVVLILGLQGAVCVVGLMATWLLRRVKPQKASVETSESTFQTVKATRHALGIADSYL DAPDESNVSAYHVDKVSCIMARFLPLAWAGESYTFDVKLWLWHETKQPKTCTSHDVHE FRAHASSVRGKSTVSPHISLLLYLAPVKMTRPAQVIQHAKTAFAVAYTVGAIVSSVSY LEMTQVNLANDLYWAKFNMTGAHAFTATWLNEWIVLGREAPPLLIDKPLVQLDSNQVA VEGAFDQSVAMVPSVANFGAELQYTRLNALDQAIAGLRATSGCDVPWIFTQYCYVDFN QRWELANSASRQARCKASMTANGAVFIESVLRNVDSREFKSCWGDAFTSGIANEVQST TQGQQWLQDTLSPAFVLSIADEIALWRAHNITTFDTQWQNFKRIGLINSYTISNLYGV SYPFTLQYQNTSFRLAKQATFIMYWGLANDFDAVAPNRSSSSGPSHPPLLLSGRSLVR SSPLYAFANTSLEAVLQLNGTLPPALSQIHQRFRNVIGPFGSIDMHFITCPKAAKHAV AIIFDMLNRVLGTNHDAKRDFYNITDPSSGITPAPKAWTDVNFLPVGGSPFCAEVPFA GQGSIAMGMVSFPSWEAQCKSIITWTLIAPTRRYLVTSVLLSNLTDVARICAQNVQYQ AKCTDFVNETVSFVSTYLVDLVLLDLMEAATTAIRNTRVEMIQFGQTSVDDPVELYRY RVLEDPFGGDEFAFFSWMYLIEWTLGLREVVSFQGDVGTMAILTEYTAPLQQQVDGAQ TPVNFSIYMRSAVWYITLAMIAVTSLLLLYVFASHGQIEVSNLLELQRVGAIVWVGRP LLFLRGLTAIGLLSTAMLELAFDAYMSTFRVGHPPWYKTVLAANEVTWMVAIVDDLAL PLTQGYTPYYATTNSILVWTITASLSYYWPVTHVVSLAQ H257_04586 MSQKCHHLDQVDRSLRQLYDIASSRDVEVDKDTIESFVAALFRR SVRCLLESRAAAELCCFRLFQTTPGQQHAPSLLSIMQLQDYGTKKSTLGVVLGIALEH LLTFIKDMQDTTLRHAVAGHVGSITQACCILLLSSQLPSKTRSAAGELVTYFIKHHKH VSASAHFDVASLPDRFLNELNSSKCTQTVKGVILDVLGGLFNKYPDAMAVHRAAVGRW IDQALDKQFSSNAPEMQIIHGCFVCLSEILDEATYDQSKRDTLFQFIHVTLATAASGN LSRLAIVKACLGLLGKHMHLFAANLVEADPYQFYLLMLHCCASSNKKIRKPAFACLDS MFAIIADGFAADIPKHKKQFNRFLKEFLTCLTDKLSDDKASIALAGLGNFAAIVPMFM GADALPKIHARLIKYGEDLVAIREGIKLKWMLLCRYTTCYGRFVQKMQCQSDIVVQNF SVELVCRLLDAYPSSAIYVKYQAELAIVSMADAFSSTDVMKRILQHGMVLTVSNRIDT PDGEMLYHPDTGLPESRLLFEYEGLWRGCLKRMQGEELQQAMVNAMADTMLTILQRLD LRYQLEADTAETSTSSQYTPTVARDHTIVLNATEFFERWVPTVTSQFQSWVALYVQWL LPSARQLPLVSAFYRLATVISHLLAANSAASRSPLLSDALASDYAAFVVQVSKAMPQY HDELLIATSVFVVATPVSMVDLAILVPALKQALALGVFHFDTAVAAVEALERWRSDTV VPFYPEVLPLLAPYLDEAANQSASFQISVLRLLGSLGGYSRFVVQEHHAARDDVDKMA AALTFPVALDRVHADMSIELLLRQMRDLAVTSIDRPVKAAAGEAYHAMVLYLCGMTAT LPTAKTHASEKSVYFDHWHGIFPSLVQLAADADTITRSLFAPLLAQLLRWFSGIAALY PFEAHAFLDAIMHGLSCVDDGSGGVRDVAAQSVATFLKYASKQPPTSRANTVFSAHAL MERLFALCGHPLLSCRVGAALAINHMYRDFREDDDLVRTYALSMAKNVLVALKPPDDP NAVLPLVHALAHMEKILVRRAADLQHDDDTRVVLGGTDCLNLNAFTTWLFSNVASSAP TFRSNCLRLFEQLARMVNMTGSCKAWLTQFQKNHSAAQLQYILAPPALTDISVRTTGV RHTDVVWYETLAASTESYVWACAPTLGNASLIADAVLLDPVVAKRTHDDEAVEGPHRL FVAIAEFVESGSREAPPSMARNRAFLGVCNLVALALEHPTVVPELHRHVMNVLTGTGD RWWDLVVLAAVDPKAATLFELSTPEAVDSWVRMCKASLEHQLPFRRVVAKHLESSTYD RTLLFNMESDLAQRLCMTYKQLDQIKYWDGESSSRRRDIACDFARLAIDKKASGLLTP MQDQLTHRAMETAMDMGWDVATFILQPTPANYTLFSAAFDKVFCDRDDVWLQVVRGLL SHVQTHPSFIHTLHHVLELTSSNNKNLSKRTELAPLVAPFAKFVLHATTEKEQPLLMS TLLLFVRSILDKSTLPLVDCTAGVNQLLCDPSTSVGVKVAALQLIPVLIQANATEFGP PLVDAVGHLVVHGFPVHSTDVARGSLDFESFELLFHTYLSMLVQSGHVGLLKTVFRSL NEKNQHVFSNDMYDMLTGFCDDLPGPRIPSVCLEVLPLVFSPSLTDHIRTTLLRQVFV PLMHRLDEAAIVAVYTSTFSGAPVVQYLMTVVTSDTSPSLAVVVTFGLLELLYTCLSG DAVRRLVNPIYAATAGAKGNELTMRLCKTASQKSASADRPVAIAAFRCLLSTVRTTQT QEKFYTQLLFADAIWPNIMAKTEDEGGGDEYSFETQTAAFPTKYLSRRQGGRSRTTHR GNSRLMDISTQFLQGSSLSQSAAPIEDTNDDEPMANDDEEDEDVLEMDALNSHPCMLP LLKTIKHMERLFQSQWTDAMMPGWMDKVWFNLSTNSSTSVRLFLCKVVLNRPMLFAPY AAKFVGPLVELMLLVPKRDEFHYLLRDVCHVVVDTWQVDTISDDLSRFVNHLMAVSPH PSTFILRDNLYLIEAFVTKFPTQCRFLNLDILLDMINADDAENASRHVAGMQLAAVLI NLAFDASSPVLWFETSLRACSSRLETALLARLASTTHKTTPQLAADVSGLVLQHMPAS TAFESGIDNCLQSFFQLDKPDRFLTCLKQLATHFPAIVSGSVLNRLASILPRILIQDT LSLHLLDIVHACHVDATTLFRVVRPHLPRLLSHHNPAVTLRLLDVLQKWWPHLTADMH QVLLDGDAAVTIAFESSEPCRMKVLDFLLATTKGGVPNVQRILLKALTDANADVRGKV ASFWEAHLPSSCDDRLLALFGQLYHQETTDEWVRYAPTLWLSLSTSTPDNANMLFPTP LSSTCQFEPLEIDTAWARRTQSILTPLFSQDAIALQSQQPLDQPLERAGLVKATQDRV WSQTQSQQFQSSQGAATTGSIQTTSAGLAPRTVRFYKSTSNARISDESSRTKTFFQER ASRSKRQELARLNQEKAKGTAVSLFRAYRVGEFPDIQIPRMDVLRPLLVVASLHAATS SALFSALLVAVLPTLPSSIQTQVFGYFETLLESAQHNTLVVAALHQAYIGLLCQSKSS VTLLSPDIIGTSSISSRNLVTGQRILEELLIHSTDENVSTHAWNQLHHVLQMVENEPY LLALATHESTVPETTLAVEAQLKGDLVAALQHYKDAEAKYASMDNANVTILERQRWKS EQFHCLATLNRWDTLLDDVHPDRLWDQHEPFLEKHTKCFLEASIAIQDTSLLREFIDP ILQPTRQQDTKLQYLVTRFPDLIACANMQLLQWSHAQAAVDEFYATCLHQWSSMPASH RLRQLQRLPNMVFLDDVLDVIKTHGGGAMSPCVEKWKPIVPLVHQDSLDAWSTYHLIR NVGYESLVAATDESKYGGRSIAQDMASIRVHTMLSYAEAAVASNVLALAGRLLADYRT LCNQANLPKLTLHMVQVYSAQVSKLASRQLQAAINLTDDHKQRVLAQVANYYAALTRL FDNDEVLVFIPTLPATTQADIYGWQARALTEAAAFHREYGDASLGTTLERGAMEIFQY QTAKATTMTSMHVMYIEYLDKCLERSTAGTFGSLAKAMVETVLFGMALADKTCAAYFP RVLGLVRDHPADLLPILTSKMQPVPLWTCLHWSAQIMAMLDVHATPFNDWILSLLETM AKEYPKALYYDFRLSSASIPDMAANARFHRLAALLQDHTLNQFVAALEGLHHPEIRLK EALRYLSDVVDDKKPKAQLASIVSTTLSAVFDDRTRVLGNQVGAYNRQWMAQHRKHIE AMLGKDVTKAALQSARGWLSQTFQVMPGKYGIDRHWKAKLADFSDWLAQLDPVKMRIE LPGQYTKHWGKPEPATHTYILSCEPQLMVLPSKQLPKRLVLHASDERTYMYLVKGGED LRLDQRIEQLFGVMNGILHQHTTCSRRQLSTRTYNVVPMTTTIGLVEWLGNTTTLKTI VEEEWDDGTSSKKKTNLLQRPPGVQYEAFWAKQRGKTYGQKVATSATSAVVSSFVQAE AAVPCDLLRRRLVHMANTSDTFFQLRESFATSLAAFNGCSYVLGIGDRHLDNFLLDER TGAVVGIDFGISFGAGASLLPVPELVPFRYTKQLRGVLQPHDASLLLQQDLAAVLDAL RGQQQRIDSVMRVFLNEPLLEWQTQSKTKSKAQQKETPDGDEAAAAATWMPQVKMDLA RRKLRGEHVVHILCDELALNPNVAAVLKPLQATLPPPAAPKHSVLSPVDQAKALIHVA TAPNVLGRMFHGWSPWA H257_04586 MQIIHGCFVCLSEILDEATYDQSKRDTLFQFIHVTLATAASGNL SRLAIVKACLGLLGKHMHLFAANLVEADPYQFYLLMLHCCASSNKKIRKPAFACLDSM FAIIADGFAADIPKHKKQFNRFLKEFLTCLTDKLSDDKASIALAGLGNFAAIVPMFMG ADALPKIHARLIKYGEDLVAIREGIKLKWMLLCRYTTCYGRFVQKMQCQSDIVVQNFS VELVCRLLDAYPSSAIYVKYQAELAIVSMADAFSSTDVMKRILQHGMVLTVSNRIDTP DGEMLYHPDTGLPESRLLFEYEGLWRGCLKRMQGEELQQAMVNAMADTMLTILQRLDL RYQLEADTAETSTSSQYTPTVARDHTIVLNATEFFERWVPTVTSQFQSWVALYVQWLL PSARQLPLVSAFYRLATVISHLLAANSAASRSPLLSDALASDYAAFVVQVSKAMPQYH DELLIATSVFVVATPVSMVDLAILVPALKQALALGVFHFDTAVAAVEALERWRSDTVV PFYPEVLPLLAPYLDEAANQSASFQISVLRLLGSLGGYSRFVVQEHHAARDDVDKMAA ALTFPVALDRVHADMSIELLLRQMRDLAVTSIDRPVKAAAGEAYHAMVLYLCGMTATL PTAKTHASEKSVYFDHWHGIFPSLVQLAADADTITRSLFAPLLAQLLRWFSGIAALYP FEAHAFLDAIMHGLSCVDDGSGGVRDVAAQSVATFLKYASKQPPTSRANTVFSAHALM ERLFALCGHPLLSCRVGAALAINHMYRDFREDDDLVRTYALSMAKNVLVALKPPDDPN AVLPLVHALAHMEKILVRRAADLQHDDDTRVVLGGTDCLNLNAFTTWLFSNVASSAPT FRSNCLRLFEQLARMVNMTGSCKAWLTQFQKNHSAAQLQYILAPPALTDISVRTTGVR HTDVVWYETLAASTESYVWACAPTLGNASLIADAVLLDPVVAKRTHDDEAVEGPHRLF VAIAEFVESGSREAPPSMARNRAFLGVCNLVALALEHPTVVPELHRHVMNVLTGTGDR WWDLVVLAAVDPKAATLFELSTPEAVDSWVRMCKASLEHQLPFRRVVAKHLESSTYDR TLLFNMESDLAQRLCMTYKQLDQIKYWDGESSSRRRDIACDFARLAIDKKASGLLTPM QDQLTHRAMETAMDMGWDVATFILQPTPANYTLFSAAFDKVFCDRDDVWLQVVRGLLS HVQTHPSFIHTLHHVLELTSSNNKNLSKRTELAPLVAPFAKFVLHATTEKEQPLLMST LLLFVRSILDKSTLPLVDCTAGVNQLLCDPSTSVGVKVAALQLIPVLIQANATEFGPP LVDAVGHLVVHGFPVHSTDVARGSLDFESFELLFHTYLSMLVQSGHVGLLKTVFRSLN EKNQHVFSNDMYDMLTGFCDDLPGPRIPSVCLEVLPLVFSPSLTDHIRTTLLRQVFVP LMHRLDEAAIVAVYTSTFSGAPVVQYLMTVVTSDTSPSLAVVVTFGLLELLYTCLSGD AVRRLVNPIYAATAGAKGNELTMRLCKTASQKSASADRPVAIAAFRCLLSTVRTTQTQ EKFYTQLLFADAIWPNIMAKTEDEGGGDEYSFETQTAAFPTKYLSRRQGGRSRTTHRG NSRLMDISTQFLQGSSLSQSAAPIEDTNDDEPMANDDEEDEDVLEMDALNSHPCMLPL LKTIKHMERLFQSQWTDAMMPGWMDKVWFNLSTNSSTSVRLFLCKVVLNRPMLFAPYA AKFVGPLVELMLLVPKRDEFHYLLRDVCHVVVDTWQVDTISDDLSRFVNHLMAVSPHP STFILRDNLYLIEAFVTKFPTQCRFLNLDILLDMINADDAENASRHVAGMQLAAVLIN LAFDASSPVLWFETSLRACSSRLETALLARLASTTHKTTPQLAADVSGLVLQHMPAST AFESGIDNCLQSFFQLDKPDRFLTCLKQLATHFPAIVSGSVLNRLASILPRILIQDTL SLHLLDIVHACHVDATTLFRVVRPHLPRLLSHHNPAVTLRLLDVLQKWWPHLTADMHQ VLLDGDAAVTIAFESSEPCRMKVLDFLLATTKGGVPNVQRILLKALTDANADVRGKVA SFWEAHLPSSCDDRLLALFGQLYHQETTDEWVRYAPTLWLSLSTSTPDNANMLFPTPL SSTCQFEPLEIDTAWARRTQSILTPLFSQDAIALQSQQPLDQPLERAGLVKATQDRVW SQTQSQQFQSSQGAATTGSIQTTSAGLAPRTVRFYKSTSNARISDESSRTKTFFQERA SRSKRQELARLNQEKAKGTAVSLFRAYRVGEFPDIQIPRMDVLRPLLVVASLHAATSS ALFSALLVAVLPTLPSSIQTQVFGYFETLLESAQHNTLVVAALHQAYIGLLCQSKSSV TLLSPDIIGTSSISSRNLVTGQRILEELLIHSTDENVSTHAWNQLHHVLQMVENEPYL LALATHESTVPETTLAVEAQLKGDLVAALQHYKDAEAKYASMDNANVTILERQRWKSE QFHCLATLNRWDTLLDDVHPDRLWDQHEPFLEKHTKCFLEASIAIQDTSLLREFIDPI LQPTRQQDTKLQYLVTRFPDLIACANMQLLQWSHAQAAVDEFYATCLHQWSSMPASHR LRQLQRLPNMVFLDDVLDVIKTHGGGAMSPCVEKWKPIVPLVHQDSLDAWSTYHLIRN VGYESLVAATDESKYGGRSIAQDMASIRVHTMLSYAEAAVASNVLALAGRLLADYRTL CNQANLPKLTLHMVQVYSAQVSKLASRQLQAAINLTDDHKQRVLAQVANYYAALTRLF DNDEVLVFIPTLPATTQADIYGWQARALTEAAAFHREYGDASLGTTLERGAMEIFQYQ TAKATTMTSMHVMYIEYLDKCLERSTAGTFGSLAKAMVETVLFGMALADKTCAAYFPR VLGLVRDHPADLLPILTSKMQPVPLWTCLHWSAQIMAMLDVHATPFNDWILSLLETMA KEYPKALYYDFRLSSASIPDMAANARFHRLAALLQDHTLNQFVAALEGLHHPEIRLKE ALRYLSDVVDDKKPKAQLASIVSTTLSAVFDDRTRVLGNQVGAYNRQWMAQHRKHIEA MLGKDVTKAALQSARGWLSQTFQVMPGKYGIDRHWKAKLADFSDWLAQLDPVKMRIEL PGQYTKHWGKPEPATHTYILSCEPQLMVLPSKQLPKRLVLHASDERTYMYLVKGGEDL RLDQRIEQLFGVMNGILHQHTTCSRRQLSTRTYNVVPMTTTIGLVEWLGNTTTLKTIV EEEWDDGTSSKKKTNLLQRPPGVQYEAFWAKQRGKTYGQKVATSATSAVVSSFVQAEA AVPCDLLRRRLVHMANTSDTFFQLRESFATSLAAFNGCSYVLGIGDRHLDNFLLDERT GAVVGIDFGISFGAGASLLPVPELVPFRYTKQLRGVLQPHDASLLLQQDLAAVLDALR GQQQRIDSVMRVFLNEPLLEWQTQSKTKSKAQQKETPDGDEAAAAATWMPQVKMDLAR RKLRGEHVVHILCDELALNPNVAAVLKPLQATLPPPAAPKHSVLSPVDQAKALIHVAT APNVLGRMFHGWSPWA H257_04586 MFAIIADGFAADIPKHKKQFNRFLKEFLTCLTDKLSDDKASIAL AGLGNFAAIVPMFMGADALPKIHARLIKYGEDLVAIREGIKLKWMLLCRYTTCYGRFV QKMQCQSDIVVQNFSVELVCRLLDAYPSSAIYVKYQAELAIVSMADAFSSTDVMKRIL QHGMVLTVSNRIDTPDGEMLYHPDTGLPESRLLFEYEGLWRGCLKRMQGEELQQAMVN AMADTMLTILQRLDLRYQLEADTAETSTSSQYTPTVARDHTIVLNATEFFERWVPTVT SQFQSWVALYVQWLLPSARQLPLVSAFYRLATVISHLLAANSAASRSPLLSDALASDY AAFVVQVSKAMPQYHDELLIATSVFVVATPVSMVDLAILVPALKQALALGVFHFDTAV AAVEALERWRSDTVVPFYPEVLPLLAPYLDEAANQSASFQISVLRLLGSLGGYSRFVV QEHHAARDDVDKMAAALTFPVALDRVHADMSIELLLRQMRDLAVTSIDRPVKAAAGEA YHAMVLYLCGMTATLPTAKTHASEKSVYFDHWHGIFPSLVQLAADADTITRSLFAPLL AQLLRWFSGIAALYPFEAHAFLDAIMHGLSCVDDGSGGVRDVAAQSVATFLKYASKQP PTSRANTVFSAHALMERLFALCGHPLLSCRVGAALAINHMYRDFREDDDLVRTYALSM AKNVLVALKPPDDPNAVLPLVHALAHMEKILVRRAADLQHDDDTRVVLGGTDCLNLNA FTTWLFSNVASSAPTFRSNCLRLFEQLARMVNMTGSCKAWLTQFQKNHSAAQLQYILA PPALTDISVRTTGVRHTDVVWYETLAASTESYVWACAPTLGNASLIADAVLLDPVVAK RTHDDEAVEGPHRLFVAIAEFVESGSREAPPSMARNRAFLGVCNLVALALEHPTVVPE LHRHVMNVLTGTGDRWWDLVVLAAVDPKAATLFELSTPEAVDSWVRMCKASLEHQLPF RRVVAKHLESSTYDRTLLFNMESDLAQRLCMTYKQLDQIKYWDGESSSRRRDIACDFA RLAIDKKASGLLTPMQDQLTHRAMETAMDMGWDVATFILQPTPANYTLFSAAFDKVFC DRDDVWLQVVRGLLSHVQTHPSFIHTLHHVLELTSSNNKNLSKRTELAPLVAPFAKFV LHATTEKEQPLLMSTLLLFVRSILDKSTLPLVDCTAGVNQLLCDPSTSVGVKVAALQL IPVLIQANATEFGPPLVDAVGHLVVHGFPVHSTDVARGSLDFESFELLFHTYLSMLVQ SGHVGLLKTVFRSLNEKNQHVFSNDMYDMLTGFCDDLPGPRIPSVCLEVLPLVFSPSL TDHIRTTLLRQVFVPLMHRLDEAAIVAVYTSTFSGAPVVQYLMTVVTSDTSPSLAVVV TFGLLELLYTCLSGDAVRRLVNPIYAATAGAKGNELTMRLCKTASQKSASADRPVAIA AFRCLLSTVRTTQTQEKFYTQLLFADAIWPNIMAKTEDEGGGDEYSFETQTAAFPTKY LSRRQGGRSRTTHRGNSRLMDISTQFLQGSSLSQSAAPIEDTNDDEPMANDDEEDEDV LEMDALNSHPCMLPLLKTIKHMERLFQSQWTDAMMPGWMDKVWFNLSTNSSTSVRLFL CKVVLNRPMLFAPYAAKFVGPLVELMLLVPKRDEFHYLLRDVCHVVVDTWQVDTISDD LSRFVNHLMAVSPHPSTFILRDNLYLIEAFVTKFPTQCRFLNLDILLDMINADDAENA SRHVAGMQLAAVLINLAFDASSPVLWFETSLRACSSRLETALLARLASTTHKTTPQLA ADVSGLVLQHMPASTAFESGIDNCLQSFFQLDKPDRFLTCLKQLATHFPAIVSGSVLN RLASILPRILIQDTLSLHLLDIVHACHVDATTLFRVVRPHLPRLLSHHNPAVTLRLLD VLQKWWPHLTADMHQVLLDGDAAVTIAFESSEPCRMKVLDFLLATTKGGVPNVQRILL KALTDANADVRGKVASFWEAHLPSSCDDRLLALFGQLYHQETTDEWVRYAPTLWLSLS TSTPDNANMLFPTPLSSTCQFEPLEIDTAWARRTQSILTPLFSQDAIALQSQQPLDQP LERAGLVKATQDRVWSQTQSQQFQSSQGAATTGSIQTTSAGLAPRTVRFYKSTSNARI SDESSRTKTFFQERASRSKRQELARLNQEKAKGTAVSLFRAYRVGEFPDIQIPRMDVL RPLLVVASLHAATSSALFSALLVAVLPTLPSSIQTQVFGYFETLLESAQHNTLVVAAL HQAYIGLLCQSKSSVTLLSPDIIGTSSISSRNLVTGQRILEELLIHSTDENVSTHAWN QLHHVLQMVENEPYLLALATHESTVPETTLAVEAQLKGDLVAALQHYKDAEAKYASMD NANVTILERQRWKSEQFHCLATLNRWDTLLDDVHPDRLWDQHEPFLEKHTKCFLEASI AIQDTSLLREFIDPILQPTRQQDTKLQYLVTRFPDLIACANMQLLQWSHAQAAVDEFY ATCLHQWSSMPASHRLRQLQRLPNMVFLDDVLDVIKTHGGGAMSPCVEKWKPIVPLVH QDSLDAWSTYHLIRNVGYESLVAATDESKYGGRSIAQDMASIRVHTMLSYAEAAVASN VLALAGRLLADYRTLCNQANLPKLTLHMVQVYSAQVSKLASRQLQAAINLTDDHKQRV LAQVANYYAALTRLFDNDEVLVFIPTLPATTQADIYGWQARALTEAAAFHREYGDASL GTTLERGAMEIFQYQTAKATTMTSMHVMYIEYLDKCLERSTAGTFGSLAKAMVETVLF GMALADKTCAAYFPRVLGLVRDHPADLLPILTSKMQPVPLWTCLHWSAQIMAMLDVHA TPFNDWILSLLETMAKEYPKALYYDFRLSSASIPDMAANARFHRLAALLQDHTLNQFV AALEGLHHPEIRLKEALRYLSDVVDDKKPKAQLASIVSTTLSAVFDDRTRVLGNQVGA YNRQWMAQHRKHIEAMLGKDVTKAALQSARGWLSQTFQVMPGKYGIDRHWKAKLADFS DWLAQLDPVKMRIELPGQYTKHWGKPEPATHTYILSCEPQLMVLPSKQLPKRLVLHAS DERTYMYLVKGGEDLRLDQRIEQLFGVMNGILHQHTTCSRRQLSTRTYNVVPMTTTIG LVEWLGNTTTLKTIVEEEWDDGTSSKKKTNLLQRPPGVQYEAFWAKQRGKTYGQKVAT SATSAVVSSFVQAEAAVPCDLLRRRLVHMANTSDTFFQLRESFATSLAAFNGCSYVLG IGDRHLDNFLLDERTGAVVGIDFGISFGAGASLLPVPELVPFRYTKQLRGVLQPHDAS LLLQQDLAAVLDALRGQQQRIDSVMRVFLNEPLLEWQTQSKTKSKAQQKETPDGDEAA AAATWMPQVKMDLARRKLRGEHVVHILCDELALNPNVAAVLKPLQATLPPPAAPKHSV LSPVDQAKALIHVATAPNVLGRMFHGWSPWA H257_04586 MSQKCHHLDQVDRSLRQLYDIASSRDVEVDKDTIESFVAALFRR SVRCLLESRAAAELCCFRLFQTTPGQQHAPSLLSIMQLQDYGTKKSTLGVVLGIALEH LLTFIKDMQDTTLRHAVAGHVGSITQACCILLLSSQLPSKTRSAAGELVTYFIKHHKH VSASAHFDVASLPDRFLNELNSSKCTQTVKGVILDVLGGLFNKYPDAMAVHRAAVGRW IDQALDKQFSSNAPEMQIIHGCFVCLSEILDEATYDQSKRDTLFQFIHVTLATAASGN LSRLAIVKACLGLLGKHMHLFAANLVEADPYQFYLLMLHCCASSNKKIRKPAFACLDS MFAIIADGFAADIPKHKKQFNRFLKEFLTCLTDKLSDDKASIALAGLGNFAAIVPMFM GADALPKIHARLIKYGEDLVAIREGIKLKWMLLCRYTTCYGRFVQKMQCQSDIVVQNF SVELVCRLLDAYPSSAIYVKYQAELAIVSMADAFSSTDVMKRILQHGMVLTVSNRIDT PDGEMLYHPDTGLPESRLLFEYEGLWRGCLKRMQGEELQQAMVNAMADTMLTILQRLD LRYQLEADTAETSTSSQYTPTVARDHTIVLNATEFFERWVPTVTSQFQSWVALYVQWL LPSARQLPLVSAFYRLATVISHLLAANSAASRSPLLSDALASDYAAFVVQVSKAMPQY HDELLIATSVFVVATPVSMVDLAILVPALKQALALGVFHFDTAVAAVEALERWRSDTV VPFYPEVLPLLAPYLDEAANQSASFQISVLRLLGSLGGYSRFVVQEHHAARDDVDKMA AALTFPVALDRVHADMSIELLLRQMRDLAVTSIDRPVKAAAGEAYHAMVLYLCGMTAT LPTAKTHASEKSVYFDHWHGIFPSLVQLAADADTITRSLFAPLLAQLLRWFSGIAALY PFEAHAFLDAIMHGLSCVDDGSGGVRDVAAQSVATFLKYASKQPPTSRANTVFSAHAL MERLFALCGHPLLSCRVGAALAINHMYRDFREDDDLVRTYALSMAKNVLVALKPPDDP NAVLPLVHALAHMEKILVRRAADLQHDDDTRVVLGGTDCLNLNAFTTWLFSNVASSAP TFRSNCLRLFEQLARMVNMTGSCKAWLTQFQKNHSAAQLQYILAPPALTDISVRTTGV RHTDVVWYETLAASTESYVWACAPTLGNASLIADAVLLDPVVAKRTHDDEAVEGPHRL FVAIAEFVESGSREAPPSMARNRAFLGVCNLVALALEHPTVVPELHRHVMNVLTGTGD RWWDLVVLAAVDPKAATLFELSTPEAVDSWVRMCKASLEHQLPFRRVVAKHLESSTYD RTLLFNMESDLAQRLCMTYKQLDQIKYWDGESSSRRRDIACDFARLAIDKKASGLLTP MQDQLTHRAMETAMDMGWDVATFILQPTPANYTLFSAAFDKVFCDRDDVWLQVVRGLL SHVQTHPSFIHTLHHVLELTSSNNKNLSKRTELAPLVAPFAKFVLHATTEKEQPLLMS TLLLFVRSILDKSTLPLVDCTAGVNQLLCDPSTSVGVKVAALQLIPVLIQANATEFGP PLVDAVGHLVVHGFPVHSTDVARGSLDFESFELLFHTYLSMLVQSGHVGLLKTVFRSL NEKNQHVFSNDMYDMLTGFCDDLPGPRIPSVCLEVLPLVFSPSLTDHIRTTLLRQVFV PLMHRLDEAAIVAVYTSTFSGAPVVQYLMTVVTSDTSPSLAVVVTFGLLELLYTCLSG DAVRRLVNPIYAATAGAKGNELTMRLCKTASQKSASADRPVAIAAFRCLLSTVRTTQT QEKFYTQLLFADAIWPNIMAKTEDEGGGDEYSFETQTAAFPTKYLSRRQGGRSRTTHR GNSRLMDISTQFLQGSSLSQSAAPIEDTNDDEPMANDDEEDEDVLEMDALNSHPCMLP LLKTIKHMERLFQSQWTDAMMPGWMDKVWFNLSTNSSTSVRLFLCKVVLNRPMLFAPY AAKFVGPLVELMLLVPKRDEFHYLLRDVCHVVVDTWQVDTISDDLSRFVNHLMAVSPH PSTFILRDNLYLIEAFVTKFPTQCRFLNLDILLDMINADDAENASRHVAGMQLAAVLI NLAFDASSPVLWFETSLRACSSRLETALLARLASTTHKTTPQLAADVSGLVLQHMPAS TAFESGIDNCLQSFFQLDKPDRFLTCLKQLATHFPAIVSGSVLNRLASILPRILIQDT LSLHLLDIVHACHVDATTLFRVVRPHLPRLLSHHNPAVTLRLLDVLQKWWPHLTADMH QVLLDGDAAVTIAFESSEPCRMKVLDFLLATTKGGVPNVQRILLKALTDANADVRGKV ASFWEAHLPSSCDDRLLALFGQLYHQETTDEWVRYAPTLWLSLSTSTPDNANMLFPTP LSSTCQFEPLEIDTAWARRTQSILTPLFSQDAIALQSQQPLDQPLERAGLVKATQDRV WSQTQSQQFQSSQGAATTGSIQTTSAGLAPRTVRFYKSTSNARISDESSRTKTFFQER ASRSKRQELARLNQEKAKGTAVSLFRAYRVGEFPDIQIPRMDVLRPLLVVASLHAATS SALFSALLVAVLPTLPSSIQTQVFGYFETLLESAQHNTLVVAALHQAYIGLLCQSKSS VTLLSPDIIGTSSISSRNLVTGQRILEELLIHSTDENVSTHAWNQLHHVLQMVENEPY LLALATHESTVPETTLAVEAQLKGDLVAALQHYKDAEAKYASMDNANVTILERQRWKS EQFHCLATLNRWDTLLDDVHPDRLWDQHEPFLEKHTKCFLEASIAIQDTSLLREFIDP ILQPTRQQDTKLQYLVTRFPDLIACANMQLLQWSHAQAAVDEFYATCLHQWSSMPASH RLRQLQRLPNMVFLDDVLDVIKTHGGGAMSPCVEKWKPIVPLVHQDSLDAWSTYHLIR NVGYESLVAATDESKYGGRSIAQDMASIRVHTMLSYAEAAVASNVLALAGRLLADYRT LCNQANLPKLTLHMVQVYSAQVSKLASRQLQAAINLTDDHKQRVLAQVANYYAALTRL FDNDEVLVFIPTLPATTQADIYGWQARALTEAAAFHREYGDASLGTTLERGAMEIFQY QTAKATTMTSMHVMYIEYLDKCLERSTAGTFGSLAKAMVETVLFGMALADKTCAAYFP RVLGLVRDHPADLLPILTSKMQPVPLWTCLHWSAQIMAMLDVHATPFNDWILSLLETM AKEYPKALYYDFRLSSASIPDMAANARFHRLAALLQDHTLNQFVAALEGLHHPEIRLK EALRYLSDVVDDKKPKAQLASIVSTTLSAVFDDRTRVLGNQVGAYNRQWMAQHRKHIE AMLGKDVTKAALQSARGWLSQTFQVMPGKYGIDRHWKAKLADFSDWLAQLDPVKMRIE LPGQYTKHWGKPEPATHTYILSCEPQLMVLPSKQLPKRLVLHASDERTYMYLVKGGED LRLDQRIEQLFGVMNGILHQHTTCSRRQLSTRTYNVRPPILSRQKRLPPSCTFVCRWC P H257_04587 MKNDQDGQMSFARHVYANPLNPEICPVLSLAVLLFTRGANLPGS QSLLFGYNAKERFSTWLRNTCSNSEDDIVSMGLAIADIGTHSFRKGVASSLSNCPGGP QAVSIWLRAGWSLGSVQGQYIFEGSGGDQFVGRAATGLNVNDDKFGILPPHFGNMAVV TPALWEQILPGYSTFYSPSFRSAIPFLLASLVHHHDWLNRTLHPSHPLFLSPAWVSGI LTALLPNVYVGNLHNPATNMVATGIPPMYHSTSSYVTCSNR H257_04588 MRRESLQIACRHLFPALLAIHQGQCRGREILRRSNAALYNITRL HEDVSPWTAAALWRTLRPKFLEMSVEKLKRSHEEVEAAIRAVPHHSRQTLRSLAAACA IPKTAIVRHLKAVARFKACSSYVKPFLTDDNSRTRMEYAMSFLNPSSSGNHIFPGIYY VYDDEVLALRSAKSKSFITKVMFLAAVARPRYDSGRKQVFDGKIGVWPFVMKAPAARA SKNRPIGTILTVPLIVNGDLYEDVVFEKLVPDIKSKFPRSVQGQGIFLQQDNASPHQR VTSKLLESREQFHEAAGPTRTNKTTTRRAELGSGRRARSLITEAHKAHKTDMRAATIV ARRAGYLV H257_04589 MTEDAGLPLDDDKPFRQIVGSLLYCAMSTRPDIVHAVTQLSRHL STPHYMHMLMAKRTVAYLLHTKDIGITYHGQPAGSSELIGFSDSSWADDRSTGLSTCG YLWMLACGPISWRSKLQALVTLSSTEAEYVGACLGAQHGMHLDNLMNELGLKANGKTV TLYLDNQSAIAIGSNQSSVQRTKHLALRFYFLRDLVRAGKFKLTYLPTNIMPADVFTK HTASPNGAMYLIAFTEDATNYVWVKFLSQKSDAYEAVMK H257_04590 MPSTTSYTKHFINNKCLYRNKVCLNVRAIKNNGQLHSLCDYHRL KANSSQRKLEKKQRDCPITPPAATTEQSNKPPRTFGVKELCINPHDVDDIDTGDDDVV IWVQPTRIELPQTFDHIIHEEV H257_04591 MPSAGRHSYSEALHICFRGGAFSAVLDITFSTPVMSATEIPLLM DGFGSSVVALFMQLGGASTPRPPMSSPISSATPTCLFESVAANIIGTISLAKEGAIEY PMLFVFFPVVVHAFDIVVSSIGILCVSEPGPTESDPMTTLQRRYSITYLFALARWLHF ASAAPGAIHWRWYDNIPPTRKRQRMPKSDHGRVTGSRSPEDAPLGAPLRTRSDLPDDD SRLLSTSVPRHMLPLHQQLKQMAIQCRCQLTTTRRTLERAGAPTAADIFGLDQGLNTR FYAQEVSGADQRSVVQWIAWFNRDHHSITNDELVAWFKSAFVEAPQDLDVLKQHLQRA IRFDTKILDAESLVGRMLDELMRSLEQDHQEWVLHQEGKMVVEVMMKSIKPESLKTAV QKQLQLQRNKALKSDVFRYVNWLRTFAAGHQLYVGLDDESKLSPAAKPVEAPRGGKPH VPRRDSGREDAAKNNGRVGGKAETIVPKNAEPSARKGCLKCGDMTHRVARCPKTAPGE AETSLAAQVKRWKDGIKVLVNQPQRQKTERGVLLEYIVRVVDVLLDSGSDVTVVTRGV MNALDAAGVKVGTVSHSVPHLAYPYGSDAKPVVMTRSVKFNCVTLDTTYGPLVLRRLK AWVDDASTATELIVSRPVMDLLGFSVEDLLVGAKKKKEELDVSSVPTNELSGMANVKR LMAEMLNPPELDSNDGMECDTPEVYPKTSVEDEVERCRSSHSGGQDSRGGDARACAL H257_04592 MLYHRAMMPSTTSYTTQFINNQCLYRNKVCLNVRAVKNNGLLHS LCEYHRLKANSNQRKLEKKQRDCPITPPAATTEQSNKPPRTFGVKELWINPYDVDDID TGDDDVVIWVQPTRIELPQTFDHIIHEEV H257_04593 MHKLLVNTTDLNPHFICTLCHGYFRQPYTIRECIHTFCKSCIFK YIVSGVGNQCPICQLEFGTYPLSGTKTKPPQIVQDHVMEGLVKKLFPQLDLQDKEDEE QFYAELEFDPRNGSAVTLGKDAKFKRMGGPLVKIIMRPVKSTLRATGDPPRSKDITFA WELPNKNRVSDLKLCLRKFLSRKNVVMDLGEIQIRCKDKILGKEHSLQFIQRTMWKKD APIQMEYRRVDATSKTLEPFGGPDAERDI H257_04593 MRRQDHVMEGLVKKLFPQLDLQDKEDEEQFYAELEFDPRNGSAV TLGKDAKFKRMGGPLVKIIMRPVKSTLRATGDPPRSKDITFAWELPNKNRVSDLKLCL RKFLSRKNVVMDLGEIQIRCKDKILGKEHSLQFIQRTMWKKDAPIQMEYRRVDATSKT LEPFGGPDAERDI H257_04594 MYYGWLVGIVLSLAASLVGTVGKVLMKLAHKRRENLLYFAGAFV CVIILNPVFDAWSYSFAAQSVLAPMAGFSVVWNIVLSPFVLQEKLSDQVVQGSSIILV GCGLVSMSGDHASPTHTPDQLYALFSEAIFMVYASISVVSCIALSYIVHTFPHSSYTR RFAFGALAGLVGGNLYFMKTSVELIGNGGEVWTYVGTYFIFAGALGSAAGGIFVLNLG LKEYDALYIVAIYESFLILCGSISGVIFFREDHGMQGWWQVVMYPVSIVTTIVGVVVL SRQPSQAATLEEEEHQPLINPKLVVNAV H257_04595 MNLRILAALLVLGCVNLVTVRAENDASDATIVEDVDGVDGASDE VFDQGDDATGTQQQPQISEAVFAQMLDLISPDCRAAIQASPEDASGLSDECKVEVQTT LHKLMGGKVRDPNDPVTPEIFQKMLETIPAECRAEIEANPKDVSKISDTCKHSIQSTM TKLIAKAKRAEEFKKSRASAKAYDASTDEPKKHPRRRGKKEPKGSNTTTLLIVIGFVV TAIAGVAGVAYTLSQKQRLEAGKAKPTKKLSKHKKEKDGRRQQAAAAASIN H257_04596 MTSSITMAAPRSSKQYDDEPDLFSQQLQMYASLGRNEGQQAATA KAKTDAEFMALLSAYISGTEPKDYRMYLSTPLVPQQRHASSSVDSSQRHVTSPTLTPS DSPQILHPRIISRVVNAFDLPTTPPHSNNNASSQRQYRIDVPATTYTDARSQRNGSSS VLHSPPATAPEDDLDFDQRSSLGSADDNNDTPPPHGVKWNSESGFDVVFQQQSMGMKL GYDAIKKCAVVKECFDGTESKKYKQITNGVAVLSVNGQSLSGISLSKIMSRLRVAQRP AVIRFETADGGSSFP H257_04597 MSKLQEVTPLLTAAVAPRYFYPHVPGWQVWNTASPAFRYWFLVL LALIPFAAHFVTNQMGALQQFMLDDKAFPITNTMYGALNSAVSVPNMIIPFFGGHMID SRGHYTIMFFLVLMFIGHSVVTFGMHQQTFWVALLGRVVYGLGGGSVIVGARAMVAYW FDASEITFAVGVMVAFTSVSKILAKSTVAPVAIYFGSYTYGLLYGLAVCVLSCVVAVV AVRYVLRLKQVKRTFKHADNQPPLDPALSWLNAYLVAPGKRFRATAIATSSSTSSQHM PSLASLRDFPVMFWVLVVMHVVYVNVFHLFLNISSSYLYQVHGFSVVESGIVSSLSHV LVLFAPVAGLVIDRTGGRVIVIVASAALGVVTYALLLFTSTSPVVALLLVSVCLCATP TVLMACVPLTIPKSRFGLAFGIAEVVDALGSFSGNLLVGYIRDATGSYTPVMYLFFTL AWVLLGLCILLAVLDSGHGNVLSGKKDDATRDHIVTVADDDDVVVDISRNLYETSSSD DDDVNRASKKAVTEASSTNVPLARP H257_04598 MPTKETSRLLASTVPFPVVLAPSWQFWHIGSPSFRLYLFVLLSL IPFSGLCQSPCSVPIPAVSVPNMLLPFVGVHVLDVQGHVSVVYFLLAMCVGHIVVTFA LATHTFWLALVGRVVFGVGVGSVVVGARAMVSYWFDASELTFAMGVMVATTSVSKMLA KATVAPISIILAAIVAAQYIYTLKECQSVAQATPISPRLRTPTRTNLRGFATTYRLRV GRAVDRPSSTRSCPPSHPFETFRSWHNFWMLVVLHVVFINVFHLFQNISSSYLYQVHG YSVVKSGLVSSLSHVLVLFSPLVGLVIDRLDGRVPIIVLSAVLGVVAYGLLLFTSTPS TVSLLIMSFCLASTPAVLMACVPLNIPKSQFGLTLAFGIVEVIDATGSTVGNHVVGCL RGATGTYTADMCLFFSLAWVLLGLCITLGCLDYRNGHVLAASAPTSTVPPPQAVLLRQ EIATCQCRATTPIFSSTLGVRCTTAVTSKGRLRLFRSYNLKHVEAASIR H257_04599 MPSSDHLPEESSPLLRRFKSVQVSSSWLFWRPSAPGHRFFLLVL ICLIPFGGHFVKNEMSSLEPFMLDDPTFPISNTMYGALNSAVSIPNMIVPFLGGHLLD NQGHKSILYFLLLMCIGQAIFTYAMDAHKFWLALVGRVVFGLGEGSVVAGARAILSYW FDRSELTFAMGVMIATSNASKMLAKATVAPLALHFGSYVYGLSYGLVVCVVSSLFALL LVKCTRALRVIRHSVRAQRGASTDLSTLVDPKLAWLTAYCNSSSHHHHHDHQPPHHHP SNTTKGSKHNAGVLQPVQASTAGGFSIVFWLVVVLHVVFINAFHLFQNISSSYLTQVH GYSVVDAGYASSLAHTFVLVSPFVGLIIDYVGGRMVVVVASCFLGVVSYTLLVFTTWP PIVAMLLFSVCLAATPTILMASIPLSIDKSRFGLAFGIVEVIDGVGAFLGNVGLGYLR DMSGSYDAVMYFLLALTSFGLGLSLVLAWVDNLYGGNLSSATVNIPLVAPNTPPGIVS PLSGSDSDRIAKSSRIYL H257_04600 MELPRKFALSDETATFHVQSRPPSQRNSMYASKIDPALEFPFDP LREYHKDSSSTIPWREYPYKQKTIDRVVHGVPGCTVLQAAGALLVGYGVEAKAISMFN LCLSSDQWGQFERRFHDERAAFAAAKAAYIHESSSKLRSQFKADAVRSALEAEVRAVA AQNATIKVIRYDNGDKYEGQVFDRDRVWIPHGEGTLFVQDTSQQDPLLPPVLFVRYRG MWMQGLMHGRGRYHWDDGSSWDGPFLCNEMQGGGVYRSEPEADPDDTDLDWTPTPTTV RYYYGGSHICWGTELATHSRIRIFHDMGATTTGRQTNVAVLHAPYIDGCVADYDAATD RHLVVIHERPDQWMCLSGMHFKLLSAAPLGRHIR H257_04601 MDSAFMHEEKTEFDFNDLVLGSMGDAAGLENVLSEDSLDDGSSS SSTTAAGLGKKRKYVNRQKLELEYLRDTVDALQKQMDFLGNMQANQQQTGSEWKDLAA EQQLQAQLAFVENARLRTALQEELAFAETLAGIVRKKPKVLDLPSTSNNAWRDFKLVA DIEARNTAIHAIADREYNKVLHNVLQSDAADSTSPSTAHQTVKSTTVKYLDDGIVPGI VIESFNRLMFPHVPFHILGHALWSFLNGDIGDVSHAASGSSFDQLDSVGDDVVYMKSR WRIGNHNAHSADKELHAESRLLIKRYVEPSRQIVVWRNIIEDALLPLDASALTFHGSG WAMVEHDTAGTIVKFFTEISTPLTHATSSPSVASAGFLAAGSSGHADDAAMLTSVSDD ASFQVGRFTDSILSAYRNAYRRFEHASMQAWSQMQKADVSSNLTAFGFVQGGGDGSSP ISTVTLNP H257_04602 MQPRAATSVAAMSAAAERRKINNLSFINEGEVKEPKHYVGKGQT PRTARLNDEAIIEVAEFNETITPQTPRLLNPIRPSNNNTGGVRISNLFNSQRASRVSM ILQSPAVHQRMSMVQPSYDGILSESAQTPVLGDEKPSSMYSFDSSASATDTRRYGAPS NILGSMREFDTARGVKPAIAKLHALRDLLHGITDVHGHIDRATFSHTFDIADPSAFVS TSNSDQINAKALLVDTCVELDIDDEEKLAFIFDTIDQEKRMVVTSAQIADLLAANFAS FKLQAVGTSFKDMADALFIKSRIANDQMTYAAFRQVFGPFLYNDQNDDDMDDDMDDMP VLTEPSVGPGTSNASKLAVFYDRHHLRILWLLLYTLVNTIVFLMKWQMYPVDPALGYG LKIARACAQVCMLNFGIVLLPMCRSIVQLMKRSTMLWHMVPFDDHIEFHKIVGSTLLV AGLVHTAAHVSNEIQLYCVATPDEISASIFVTRDVRMFDGGTAPPFLEMVLSLPVWTG VILLLITCVSFPLAAIPKFRQGKFNLFWYSHMLFFPFLLVGCLHGLAGWLATPSSFYW IGPPLTLYLVERRLRYAKMFTTPLHIVRARMLDGVVALYIEKPKRFHYRPGMYLYLNV PALSSHEWHPFTISSAPGDQYLSVHIRNAGDWTNALHDLIKDVLKHGDAYPQVYIDGP VGAPTQDYHRFKTIVMVGGGIGVTPFASILKDIVHLWEEYRCLNCAHIRHPQSFRIQK MYFHWVTRGQESLGWFQDTMNQIADMDKDNVIECHQYLSTVNTTDKTLPQLKMIQAVV HDATGRDVVSGLINAKQMTHFGRPNWDAVFHNLTRKHRGEEVGVFFCGPHALDKVLLD MCRKYSSDPDTGGVYFDYHSEKFA H257_04603 MLDKPTKKQGGIARLCVSIVDTFPYLFSIVSILLKFRIFKTFEI RRSRPWKRRTFGTPQVVTSHTPSSSVRRVAMLKVILQHHSKMGVLLVVASVVWEYPRI MLFQYLLGMLSDVVHRNTQPRAMYLFQSVVLGAMVAAIAHDLSVFLASSDNYYNSPRR VWKYAVATPMTLCGGLVRSLGVPVYSLVVAVWEAVVVLHGFPLDEAQYSRLHLAYVEE LRSHPDAVPSPHLLANYVYYASSASTTSSSSPLKPEQMEHLWTYARFSGKIVIALFFC VVYGVASFCNAPGLEGEHLRDAARNCDVDSARRALSRGTDPNSKGHDKATALHICGQQ ALPEMARLLLEAGADPNVMDSLGFSPLHWAVQLRREEPCVEKRLEMIRVLLEYGGNPR LGDFRGNTPLSIASRSENARSGHVIAMFDRGGDRFVAAPTTT H257_04604 MVNIPKERRTFCKSKKCRKHTVHKVTQYKAGKASKFAQGARRYN EKQQGFGGQTKPVFHKKAKTTKKITLRMECKDCKAKKQLPIKRTKHFELGEKKKSSGH VY H257_04605 MHAHHDFTCGFLDKSRPPPNSATPFSGHLTRVFLVLITSRLDIY KHDPRPSFKAAIHDSFPFDRKTKVLDCADVYSGLPPFTFSITTNGNTMLLTATSYDDM LLWLDAIRNCLDNQVHILRGTLWKKSARRPQQPWVPRDVELGHISLTYVTTRLHQRVR NHVKLTSRSFVVNLEATRGHAHVFGISTGDSTITLAAPSADVKARWLKEVEIRIAKQR IQRRVFQKPFDLAGFVDVRKATKSKWRRRFVELERGALAFKSDQRRVGMSTHVPLELI TAVVPTPPADESGRSLAFAIERFGAVTLYMAAFSAAEKLSWLTKLDLARRDVLQRQYL PNTPTTVLFPQAMQAILAHTGYRSVVVAEHEELDMTIEQHRRRIFVVVPTECEDAATY IPKASVLVKANDATGKHVDYDAMWHLLRVHARPIKLTFRLPISKDGLLRVKTDVPVPQ WVPRHCVIEHGTLRWFSLQKSTDDDNDNKTTTLAPLHVLRLRDCSVTLLRDLDPDFGH MPNCFVLTYSPPPVSPSSPMKPHATTTDEKDKCHRTLFSASSAKECVLWMSILQVEIC TFNGDTAYGPIAPPLAERRRNTFVSHVKVVADKVYAKTKDTSTHPEEGEEEGGDEVVD GEGARPPSPAADLPLDGGDDDDDDEFLSVTERLHRHFVERPGRYLQATKEDVVQRTAT YVRQRRQSLEATPIYRRLSEAKVKIEQELAPAREEAEKAARKVADAVHETMHDVRQRI LIPDPETSDYFNGCKRYLDATVLGTSARTIEYVARKKAVRFIETESIVQGLRRPGILN DMPTGSALASAGKMLEEGEHVTLTEDAARAFFVEVSGGKPKTSHEAVTRLLRHLCTSE LGHADMHLCAFEGAMTDLQESHVLTYAISIDVFVSVAVHTIRDRATIKGMHRFANGVV MQI H257_04606 MELKRLTVLVEEAEAVLARLRQSLDEEHDAGITSTEQDERHIQS MALLQQLTASQPDLDEKIQKFVDKLAWRDPITNDPRYGPAMQEKILAVAGRISAVKEA AAAATDIIEPKASVALQNQQLRKQAQDDLDAECLKKEQERACIEAQQVIAAQELLQKQ LKEAEIAAQIEREALAKAAQAVRDERARVQAEKERQDAEAQRQQDELNQSIPVGLAGL EVALGLLGRHFQSDAATFRAAKRTLLVLLKNICAAPDNATFRHINAANEHFHRELGQF PGGLQCLLALGFRPLRQGSTSDDGAPAPVIYVLEEPDLAQDLDAWSCWFDTLKLMRDY VETAATLG H257_04606 MELKRLTVLVEEAEAVLARLRQSLDEEHDAGITSTEQDERHIQS MALLQQLTASQPDLDEKIQKFVDKLAWRDPITNDPRYGPAMQEKILAVAGRISAVKEA AAAATDIIEPKASVALQNQQLRKQAQDDLDAECLKKEQERACIEAQQVIAAQELLQKQ LKEAEIAAQIEREALAKAAQAVRDERARVQAEKERQDAEAQRQQDELNQSIPVGLAGL EVALGLLGRHFQSDAATFRAAKRTLLVLLKNICAAPDNATFRHINAANEHFHRELGQF PGGLQCLLALGFRPLRQGSTSDDGAPAPVIYVLEVRTVQ H257_04607 MQQEGTASPPPSPSSVVPSKQQRVVVVKDERKKAECGHDGDDAH THAEGEEEEVKELQLTDCIEFGSDWEDIYHVGTAGLKARVLNGLDNMVQLKELRVRSN LLYKMTGIEHLVNLVHLELYDNQIKKMTSLDRLVNLRVLDLSFNEIRVIPDLSHLTQL QELYVANNKLTHITGIAALSTLKKLDLGANRIRVIEGLDNLYDLEQLWLGKNKITQIQ GLEALAKLKIISVQSNRLVSIENLDANTNLQEVYLSHNGIAAIQNISHLSKLLILDLG ANRIPRIPDMASLQSLEDLWLNDNQVATFDDLVHLTSTTSLQTLYLERNPLASDFEYR KRIHATLPFVSQIDATPIA H257_04608 MEPLLQLFCFANSMDVFLMTVGALSAFATGAAIPLPILLIGNGV SALNPTEGGHSLLAQVTYISLKLVWMGIGATVTGGSFKSCAGPSRRRGSPNACDKRFD VNDSATFATTVSNTSTLIQDGVAVGIEARRAILRFTPVIARSVVAEEALSNMRTIHIF NAVAATSDKYSMALIVAEAADIRKGLVAVAASAAVATTAAPAWSGSSQVPCRWGKRGR ALKPLSRCRLYTIIDRPSLIDPMAADGRHHVGRDRSSKWARGTSFVLRRGRRAVPAGP SASRHQDGHYAFEDWTRLWVNGGAQLSGGQKRRITLIVRVMIKNPAVLTSLDAPLTLK NRTTVIVAPSIQHTDFIAVYAGGGIVELGMRDQLLQLPRGGVYRTLVSKQM H257_04609 MMLFGGNLSGVKPVGQQEKPKVTSLGSSDAPAAVPMLPPPIEIT PHSDLINRLTCQEQRLLDELERTRSKLTQEWQLKADDMRDKGLAVDLQLPLNKLARKQ SSSKRGSRNQEDQLQQPKFPRHKTSSSLRRRRNESQSTGSLPTQRPSQPPLPVTVLRQ SFDMLPILTTSGETPFEVGLDHGVHVLPVFRLPPVTTKLHWTGSGESAGGTEGRRASA MTAITVPTEPSPTSGMTASDKEEAMRVLGLSSEEIDMIELTNSGLTTTTTTKSPTSPV ASSPLPPKATIKVKRTKASMTKNLNPQHTDSMRLELHQALRNVQTYTTLVKQDIAHVQ KICPVHTIRGNRFVQKWGLDKLNAVCTQLLYARIFAAFDRWRFVSTWMKQQEARQALM HFKGTKKLDLYFRNWTKRRMAAAWTQWMSLLVAEQAAYQAALELEATNTLQRSWRAFV KRRMYTFIRSQQRQKHRHDAACTIQALCRGRFAKHAAKTLMRDIRQGRAATVIQARIR GVLTRESVVEAKRLQRQAHAARSLQAMYRGRLARRRMALLKQANQRRKAATVIQRRYR GRLHNAKQIRQMIDRERRRQAVKIQALVRGVHGRAEVVRVKERRAKHVQKQHRSAVRI QAVYRGHRSRIGTSIQLASQREALRQRTEAATTIQTLFRGRKAKHTVLAHKVARMNDM VANARVWGQFWSDDANAFFFYHSQTGEAIWEPPEDGYTKVDGQLVLRDGSVIPDPALA VRVDDGTTGGDKPLDGYEETDDAKCVECDENDATRRCAQCEDVFCDACYDKTHSSGKR AQHTWKAMGPIKCVECEKMKASRWCDQCLDPYCLGCFAIIHAKGNKALHTWKDMPKMN GSIGPLEDAQTYDEFVASNEYNYINEGIAHQDDGGGYEEGYADYDAEAAEGYYGQDNH ETGDHDDGGQGGGEGVSDWVAAVDDVSGELYYYNNVTGETQWAQ H257_04610 MPVNLNESELDRIRQSISDPVQSNHEAKRQHLKELSTQRTGKWP NTLEAMRRKKENWKKDKEEREEAERLKIDEEELRLQKEYRTKQIERANRLLYEQTDRM KTLRSKELLADVLQDREYQIGEKNEFKAMDQKVEDGWTGVLMTQLRDADKKADDERKV RERKHKELVVMQQKQLEEYKNSHIATLREEMRDGERIKAKAEQDARDEEESELARKRR VKQANEDMRLANERLNLLRKQEAEKEVAEEVKRQEDARKKEERTLKRDELQRQRDEKK AAQKMRMVDLATENLLRFEAKSEARLESQKKEVRQKEDNVLKCRAERRASQKDAINHS RSLQLQEKERRKHDDLEIAKEQSLKWAGYNQRVEQSIVKEEQDKRLDNIRLAALQRQQ ADAKRTIDMEDRAAQILAEETIKNGHSMDQELYKQYAAHVLDDAEKKGMANTFPLKQA LKAKGIDLLPASGFRV H257_04610 MPVNLNESELDRIRQSISDPVQSNHEAKRQHLKELSTQRTGKWP NTLEAMRRKKENWKKDKEEREEAERLKIDEEELRLQKEYRTKQIERANRLLYEQTDRM KTLRSKELLADVLQDREYQIGEKNEFKAMDQKVEDGWTGVLMTQLRDADKKADDERKV RERKHKELVVMQQKQLEEYKNSHIATLREEMRDGERIKAKAEQDARDEEESELARKRR VKQANEDMRLANERLNLLRKQEAEKEVAEEVKRQEDARKKEERTLKRDELQRQRDEKK AAQKMRMVDLATENLLRFEAKSEARLESQKKEVRQKEDNVLKCRAERRASQKDAINHS RSLQLQEKERRKHDDLEIAKEQSLKWAGYNQRVEQSIVKEEQDKRLDNIRLAALQRQQ ADAKRTIDMVQISCIHGYEGCERRGVYI H257_04611 MAEVRERHKSPAAAEASQPTGTTTTTNQQKVDNALDWAYLNMGG ETWDCQPACWITDYVLAVQCFISTAAVVYSSSNRTDSMWYMVYFVAMGTTASLGGFLH HMAFKAMKKFNLDPAKTSKRVFGVYLQQSTVDTCLAWVWRFCLGFTTLTNFALVAAPA SRYLSLHLSELTIWIAGVGYSFVGAAAFVKMQTSIMLLGFLPPMFFGGISAVASLSDG WLLELLVLLFILAGGLVQAAEAAPSHKHFNHNALAHVLLSASATAMIMHFYMRTPEQV E H257_04612 MTVVDHHRRRRSDEDAPGTAPPSSPIALDDNEDSPWVYWATRGD SWDSQPACWITDYVLGIQCFASFGCMLYMSSFSRQDMFWYAVYLVAMGATATLGGVLH HVAYKAQTRFPADASQLRRRVFGLHMTQPSVDRCIRWMWRCVLGLTTLANFALVAAAA SRHLNPHWSQWIITVAGTAYVVVAAIAFATMHTSVMLAGFLPPLCFGSIAAVAAFDRG WLFELLVLMFVLVGGLVQAAKVSPSRRHFNHNALAHVCLSASVTTMAVHLSWIA H257_04613 MNYGDTSGNHVPMQHQSHQQHQQQQQQQHHQQQQQHVGHLHHQQ QEAPPSAPQQPGPPAANNASVRKLLRQTLGEQLEFAGSTQQVRAFVSSVDNFFQSTES LASLGKSLYSSRAVVFVVDKSMRVILWNDCAVELTGLSREEVAGRNFLDLPGLVSLGT TAVLERALQACVDPTKGKVQALELQLFRKDGRELRLLCECSALLGNPAGAGMLVVAQD LLAFQGTLAQRELAAVAETPAQLPSSQPSASHANAPAPFASVDAVAAPQQPPPHPRHA SKSVANLSGWDEVKPAYQLETVLGQGSYGQVVRCKHLASGEIVAIKKIQNVFADPIDA KRILRELCILRQLNHRNIVQIKEIVCPQDMLRFQNIFVVFEYLPSDLEKLLHSPQFLT AEHIRWLLLDLLKALKYMHSASIVHRDLKPANVLLNLSPVAIKICDYGLARTLTHSQL SHPSTKRKRGATSPTAATSSKGGGKKIQRQLTEHVVTRWYRAPEIIFRDHDYSTGIDV WSVGCIFAELLSMQKSSVPSHFAREPLFPGVSCFPLSPGAGQRALPQDSQDQLNTILR VLGSMADDDIAEIADPDVRFYLRNLPPQPRKSFADMYPGAETEAIDLLHRMLQMNPRK RMTVDDALSHPYLASIRTIEDVDDDIVAPHPIQLDFDDVRGPLPIHEIRRLMTSEIAY YHPHVLQHVQAAIPPRPPQHRKLNQSTS H257_04614 MAALVLRRGELLRKHPAGDGSPWQRCWMKMYSDRAVDHNNSKVF DVPSIQAVAKTSTSDTNVEFAVTTTGGTRLVFQAPSVQECDAWVRCITDAKRICLASN GRMLFQQAHNVASSSSSTARTSSPFVRFDDSASSYGSDDDEDDDDDDSDERTIVSAAN SPVKSPLREPMSRSNAGTFTILHGYINLPNGSRMIMALPYDAAALNAMPVSACKRDIL HKLKRKIDTDYPDLPSRSGMVAMLPTEDSGHFVLAIPDAVRDIWLRNEHKKMRHYLDQ RLDTATSSVVCVEVRLIQSMPPPPLVVSIVSTLTKVSDLSQKEYTVYKIQVECNGLTW TVDRRYKQFYALHDDVLREAESPFKMYLPPLPPRRALTPKKGAFVAKRQQRLEAYLQD MVALPQLAQDVRVMVFLGMVSTSRNAEMHPSDSRSVLHVSAVHTALEYGDVLLFKTRF GTSKVQRKLTGARYDHAAIVVPGSVPGLLSMLESTGEGIQVYPLKTRLLAYGREVTNA IVARRVLARRTPETLTKLQQFVLDVNGNKYSIVGILNRSKVDDKEKTSHYFCSELVAA ALQHLGWVHTNVPPSYFWPGSFAQGGEVETDRHLTPSVALGPELAIDCKIMEVGRAQ H257_04615 MDAVRPLLAPIGEGAADRTRPSCPSPETTCRPKSAKLTGTSFPK AHTVATFTPSDKLMQNTSGAVRRASLDRRHTTASLGHAGSSRRGNITADPLEEPASEN DPLPQDTDPPTLVGTKAVLVDAHGRPIAGVKYNKGAMTHMKFRSVEAPASHIHPNDKK LAAKLASTTNRSEKDVRCGFCHANNMLWHLRCTFCGCCRVSDVPRMHYIVTMILSVDP NISATKLAHQLLQYAKFDGTAVEAEKKFKQATLVRSKAAMVLMTRNTDRLRWHIVRMM FMAWKKVRTEATRGDETMARLIKIKEMQTQGRLKSQVFSNWKNLTMVKWDERYAKLSI AADRRVQMSKRYVWSQWTRYIVGRLRKKCSYLKQVMMSNQDLVIAQPSDEFDQAKKIV YDLKEALVAAVDNSLATSEALTAHLREGMQDIVHIQTMLPSTAGYLVGVSNLSPIVEG FVHGQIDLLESTNTTKKDLGFTQTALDAIADRVGRFIPWDAVLQWLNLQIAYIHGRLG RKVFAPITSLQDISASLSSPKLVLHLLWHLQPSTLAEYDKLYADECEKDPLLPLSQNS AANRFVQWKLFLKVAQARIYLPDDIATRDDLMAGYFDAYYGILVYMFAMFADAAPSTS VDNIGQFAFLQMWPGLKSALQTTNAYDTDAGLREACRELVRRLRTLEDCQVKLLGIHR QLQRVLAMHRQAVLRGNVSDFCRRLKGRESMIAVALEKEELLPAVQLDYARVAKLCSV DECNLIQNVFNDQVVPLMHLFKASGGKSISEHEFYKLMSQCGLIERKNMTRAYLQIIV QASIKGDVGGVGTPDVDRFGDVDLTSTEFTEALLRVANHLHEKRPAVPLVDMVKDLME ARLMPLASAIERQGIGSFKRLLRQPDVVAVIRAHDKKLKRLFAFHASEKRGKFMTLAE FEGWLKEQRLIDALFPFPKIKQLFYAVQQDTSDSEGDLELIFAEFVEALAAVAVYRNP NPYLSLSSRLEAFLGDNL H257_04616 MDVHTTLDDTCTMAQTPRNDILYAAAVDTCEDGIATTATEEEVV QTTNKWSKRRRAMVALATALVVVGGIVAALVLVQAPNSTTVATVGTGGDVSVCYDSYN DVNIAAHFKTIRQRFTGVRTYQTRGFRNAIDVAAEAGLKIYAGVWIRTDEGSINADMQ AVVDGVRRNPSVVKGVFVGNEELHEGIDQWTVLGRVRQMRQRLQAAGLGWVPVGSVQV DGNWAGAGALANECDLIGVNIHPFFSAASVSTWNPIEDLKVRWNAMYNAYGGRAVLTE TGWPTSGSQFYGHWPSFDTAKNYYFQVLEWSKANGGNMPSHFMFHDNALKPTDFEKAF GLAWSNGVWKWDAPAATEVKGVVFVNTANNQVLAAVPSSRTVEFHAKWGNDWVWDWSS QWTIRGPLIVTWDAANKVDLCLDAYEGWNGGTVHLWPCDAANGNQQWNYDGNAKLLRH AKFTGFCLDMGNANGGIPHLWACHDSWDPWVKLQQLEWWTK H257_04617 MPPSEHHASAVVVTTSSAIVMVTPDVEYKGSSKSTDALHRRRKT RLLQALATGLLVVGAVVGALMISTSLHDASSAHDATVAAANGQVSVCYDSYDSRNMPD HFKRIKERFAGVRTFQTQGAFNHIEVAAQAGLQIYAGIWIQSGNVEGDMAAAVYGAKK YPGTVKAILVGNEELMVGLSASFVIDKVNRMKQLLREAGVSNVPVGSVQMDGSWFGNP GLADVCDVMGVNIHPFFSATDISKTRPIEDLKTRWQNLVNRFGHKSIVVTEAGWPTSG SPLNGHVPSTDTAKQFINDMRDWAAGGGGGDMPAYFMFHDNPSKSMDFEKSFGLAGTD GVWKFDFNGPLQRSPSEVKGVVFVNTANDQVLAAAPLRKVEFHAKWGNDWVWDWSSQW TIRGPLIVTWDDYNKVDLCLDAYEGFNGGTVHLWPCDTANGNQQWNYDGDAKLLRHAT HDGFCLDMGNPNGGTPHLWTCHESWDPWVKLQQLEWWTK H257_04618 MKATETPSAVKAAYTPSATPLRWLPRVWIISLVLIIGVIGGIVY LVWTSPPQTAMEAFDSTKIMLQAGQPSGAYDDRPLSVCYDSHEAWVPGKVDFHFNRIK ERFSGVRTFQTIGLRNHIDVAAEVGLSIHAGIWIQGANGNVEADMQAAVDGARRHPSS VRTIFVGNEELIGGRFTEEFVLARVRQMKAKLASVGLSIPVGSVQTDGDWLKAPSLAA ECDVLGVNIHPFFSGSADSGVDPVLDLGRRWGAMISRFPTKSVELTETGWPTSGRPNG HHVPSMALAEKYANDVDAWVRRGNGGTLPAYFMFHDNLGKPDDFEKAFGLAWASGEWN FGGGSGNPRRASQSKDVIVHGTPAPVAANDTRGTFITSIKVPHANVVAALAIDNATIN QLWHSRRVEFHQLRSTWREDDAPAHWTLRGPLVVAASTVPDKASNVSSENAIALCLDA YEPWIGGDIHGYSCDDQNDNQQWTYNGTTHQLQHQRHIGFCLGNVNNEPRLVKCNSSA DITYLT H257_04619 MLSALRSAGAARRVRSVATIHRAMSTKTSDDKRYRPVNEVPESV LQNVHSDKVFSPGFSIKGQTTQGRAAYLDVQATSPLDPRVLDKMMPHLTHSYGNPHSR THSYGWDADRAVEHARGQVAALIGADAKEVMFTSGATESNNTIIKGIGRFYKSKKKHV ITTQIEHKCVLDSCRVLEAEGFDVTYLPVQTNGLVDIDVFKAAIRPDTVLASVIAVNN EIGVLQPLAELGRICRERKVFFHTDAAQMVGKLPIDVDAMNIDAMSISGHKVYGPKGI GAMYIRRRPRVRLEPILSGGGQERGLRSGTLPHPLCVGLGEACAVAQREMEHDHKWVL HLAEKLQKGIHDAIPHVILNGDKEHRYWGNVNLSFAYVEGESLLMALKDIAVSSGSAC TSASLEPSYVLRAIGVGEDLAHTSIRFGLGRFTTEEEVDFAVALCVKHVSRLREMSPL WEMVQEGIDPSTIQWTQDAHH H257_04620 MVQGTRRTHTKNLAKRRKRRLYAQLQAELQVHESPEELERVAME EEEARQVCHDLWVRATANADAAFKKKQRILEERKRLMDDIKQQMEQETLEKEMHDQEV RQATARARDTQRVMELKAWTENLDSMSDEQKAKVLCSFHVRTGVCRFGDRCSRVHAPP SSSTAGRFILFPGMHTIGIPSHRDGDDHLELDEREIRRAYRVFYTDVLQEFLKFGHLV QLHTCGNSAPHLRGNVYVEYQTAAMACAAHTALYGRWYAGKQLLPELTPMTSWADAIC GLFARRRCVRGGDCNFLHPLANPVERSPPTLRYRSPSASVQAKPEVQAATHDQVPRSK RRQRSSSDDSDDKRHCRRRPRYSRRRSRSSDRKQSRKTDGHHGSRNHRDDQRTTDRSS RRERR H257_04621 PSRVIVYETCQGGVGIVQRVATLFPQIVACAKSIVDTCDCVDGC PRCIHSPHCSELNLAVSKPGAIAVLAYMAGLLLCP H257_04622 MAAAARLRRKKFADATDDIDDVCLYDIEFSGSGPIGIQFETDFY GNHAIVKAVVAGGAASKVIAQQDKPTCIVQAGHMVVAVNGKDVSNESFRAVMESIKAT TGTSSRILRFLDPTVLALDQMNHHATDALLNRDNYGFAKHDDYILQYRKQLRAKKAQP NHYAVETAWAKFLQAHGGLDALDAKYNRSDASTRTQIQILLEPLVLTGIPTAFRASIW GILTNVRGYQANYPSTYYADLLQGDLRTSMVDDIDKDVGRTYPEHAYFQQAKGRQELT NVLVAYSIHNTEVGYCQSMNFLVGILLLFHSEADAFWLLCVLMDQYLPTENYSRSMLG SQVDQVVFKRLVTLHLPDVARTLDAAGMSIELVTLQWFLCVFVCTLPLDTALRVWDYM FLQGEEVLFAVALGILKAAQAKIMAASASHSALFMIVRELGMDLHDADAFMEEVVTFS SPRRTTDEEQVQSNKQNNPLQALLQSFHAFSNKLHKHSDQDDDATNLFTYKDIQRLTR KSGY H257_04623 MDNDLHNNEDNQAVMPTDKALLEARLRALTEEWGLSPLGQNIAF LWLSKAATDDTGKLTRLRQWALMELNKQRRPPNMSPWQYGCPSLLPGLRSRPVWDEDM RTTFPWIHALEAAFPAIRDELLALKNDPSTFQPYRAPSWAGVKQADDGIGSISHDAGD WNVYYLHLHDVDYAANRRRCPVTTAVVESIGGHYNHAFFSAMAPQTHITKHHGPTNKK LRCHLPLVVPSPDSCRLRVGDDVVSVREGECFVFDDSFEHEAWNDHMTKSRIVLVLDV WHPSLSAPETKFFKFLQKARLKAERKLAEDDVDGFYHILNEATHVTPNPLAIWS H257_04624 MHKHSHFMAHSSNVNCIRFGRKSGQVAVSGGDDTNVNLWRIREN ETKNIMSLAGHSSPVECVVFDPSEKKVVAGSKSGAIKAYDMEAAKVFRTLKGHMSNCT AIDYHLYGDYVASGALDTNVKIWDLKAKNCVQTFKGHHSEVTCVSFTPDGRWLTSGGV DGSIKIWDLTAGKLLKEFNDHNGAIVCLEFNPEEFILLSASTDKTIRFWDIQDMQLLG LTPTDNAVTTSISHTVSEPYSGKFALCSSQDAIKVWSYDQAVQCHDTLPWNRDSISSE RLGDTLVTDNLQLFGASFSNAFVSIWRVDLTQLQPFAPPKQQPTRPSPPLVTSNALAS SGISRGNSKPSTPSQPPSHYLPPRGSSPVVHPSTATQMGPDTIKRFSVDDSASQGSSV DDTPPPPAGPAVQPGKPDDTPLGTVDCVKELRCGMEVCVRTFRARQKCLNQFMTYWDK GDIHGGFRYLGQLPSGGNRESISNDVLGAMDLPTIGLDLEGCVLVLPLASELVATTSN SYIATGVAVAKVLVTSFGPLVRDHRASRKNSREVNFASEDRAARCDVCFREFVEMQKH VQRVLDNAGSSSGVSAGIMREVQAFQALLTEYCCWVAP H257_04625 MATHAQPIAARIEQQINDIFNSRQVNGSLDVDQLVGLCEELELL TWSSGPTPMHASLYAIFLAGLLTLRELNRAKYLWKRIPNGSKTDGLPEIWAVGQALWK REIGQAYAAIAALEGLPLPVHVQTIVATLKASIREYNASLLSRAYTSVSTSVVAQALG LTLEDALKFCASQHWNIKGDFAFPNSDGQRASVVAPTPSLPDLDQLHKLSSYILHLEA QTSLKI H257_04626 MHMFLAARHHAAPWRFVGRRSISVFDPHMVKDGKTDQRRFSTNF LTSPYVDGITRHSEATHIDCRHLDFLRLQLITPASPLYTASAEEAALMAPFPDPFWGF CWPGSYALCRFLLENPEVVRGKLVLDFAAGCGIASIVALHVGASASIANDIDSWACTA SLINAAANLPASTLATSFHVYDGNLVGTPLSSVHDATMSAAHPQSTSSSSPSDWVVLA GDVCYEEPLATDVIAWLHALAAQGVHVLLGDPGRQFLPHARLHPVASYELPPSIADGN FGLSPTGAVWTLLPTSHLHKQK H257_04627 MMQVQNLQSASPFRNTGGHCPSSPSYLHHVDDFDSVVSANPQPP TTTSSTGSRGKPTMDEVMDELQSRFLMNLPQSELSSSERLFFQIEQCYWFYEDFYADH HNHLTHLKLNDFARKMFNHCVLLQPLKQKCESMFQDFKTYQSQIPVVGCILLNPAKTK LVLVRNWKGTSWSLPRGKVNQGETDLECARREVFEECGYDPAAASSSSTIVSDVDTVL GINAKDFIEFHVNQQRIRMYIVTQVPEEFNFAPQTRKEISLIQWFDFDELPKKTWGVL PFMSRLRRWITNDTKKATAKKKATHTPVKSRSVSAPRHRPAKTDAYPPRPTSPPLPKV PVVTNPHHQHLALTASSARSMSTPHARPKAKQSPQPPPRGHFGTEHQSATSSDHVNDQ TFGPMTCSFSFNVDEMFRINEQLTGHTFSYDGNPHEFGKAPPKRADVQSRLAAASAPP PPEHIQPTHVPPTTTTNAVFAAFTFDTTEIMACVK H257_04628 MPGSAIATRRKSNVVYEGDIMYLAIDGDDGNVHSDGFVDEGLTA LGNANNLHGEGCLFKILPKLSYEAHKALRHATVVPGSEKHVLLTQQVKVEVEANASIV ARIGTGAGQPVVFGQPIQLQHVVSGKFLTSHSKALADMDKSCMKVSLQAHGSSKSWFT FIPRYRHRVIGQPLTFQETVCLVRSKSHSLYVHLSRSVNGHGEVNVHHKATDMKLVSY HSSRRATTTTTGLRFGKLYRLYHVEGKAFVALSANPSSEQAVTKPPYLRYIVPDSAYV DDVNMTVKSMFVLERSSNPLEGGDLVEFASPIRFRHLVTGRYLAVAIDPPAIETEVNV EHMQDKQTVLRLHSTTRQDAGTAFYLTPSGGDSQTVYRIETQARGGVKYRLHNPNRPK PNRSGKATASGHVVATTDLSDQDVFHLVEVAEADVHDTHLLLSVTTHLRHHFNLQDAL DRGNSVSFESMKIPLTALRLLLAFFGGQSAASIKPASTSNSTDHHHTQRQDKARQVKL IDTLFAMLRVVPANHLDMSQIAVNPRRRVIHRLHQLINTVLEHLLRENVANKHYITTR SVVSPTSPSSSATTYLDEIMHAIGSETGAKRVYCRAFENNVDLLEHRVNMRLVAASFR RLQDKGVRAMGMLPFLATICSCHGRTIPANQELIARALYSIDPDVPFARYNLVVEVAS CAATDRCFITNSMKSMALTIPQCMESHGIDWKPPGHEMAEQGARNIAISWKSCSGWQP GANALYHAPEELGLPLELTAVVSEDLAQYRLSYLSPELLFMEQNQGVAALDGDSDPSI PSIFDTFSMKNLIEPTVPHANKTGEKMKPSEVLQATTTCEKSEGLQLVLIGGSASTRT PEPYEPNNASKADTARATESTPSVPHTGPKWVLLEHIAWTLQPQTLYPLLFNINKKDM IPWSELEAKMPRTTRDHFIRLRDLAQYFQLQLDLLVELVRGGSASSIQVVTRQFSYTM LLGAISNERLPHCLRTAFGLLMHHCYVHVFPHEPIVSGSRVFVYEDIPKLNTKRHTTL PCTAFQLDAGHPAVAIGLATKDDFLSYPHPNKLSVLQAVLFSIVAKFSATPVHQLTVE STAFLRALLHMLHDLLRFGFYADVDMQKRLVGLLMGLLDGRNTGTLHDDQRYRRTPLH DAMTTTKSQICHILSTLHTMWRDIEVTRVMSFFKHVYLEPTSKWSAALHKKRHGVVRV SDVVKLLDDPSLDLLVLSNGTLDFICVDLIMYDDKVLVHEAMKLMIQANTRREQVLRA VMDCLLVYSSPPSRGASVGGLKASPGYHVFKELRGMLPTLKYNVSLAQSPAMTADLAA VLTTLRAMVVQLTGCCVDTSPTGLSVPLGGSRKSSFWGMVTPVSTTRHKFPNYEKQRV VLHLRVHEQVIPLLQLQARDDVATELAAVQAAVCDFLTHLVQQHPAGQHAIFKHLPTL WPRFDDVSGMGDVLIALLMHNATLCKNLPEEAMWKMVRILDGHCQRLARRPDRAAAPI TSKGHRGSPTSESFQVVCQIFDFVMVYMAPNEMPCASNQRLWLDVFTHAQFTHTVPPF AAGIEYWMDVLSDEIIESAGYRALVDAVQSPDQPHQLHYFQKVLVLMGLSCRGRNLPC EVKCQQTYPLNLILTLLLDAKMPMGLKYVASRYLTLVFLHADGFTELTSLQSPMWRLL MQSSNTIADFAASNSQRFLYRSDDRNFQDLYSEYVYFGLLDVVTCFFARVFRPAAHHI HVDGQITAIRTALATALAALAERSAADAAQSKVCHNCRVAVGLVESTSGTFSTTAAGR GRVLRRDDSAKLQMQSSPYDRFKLELSVDDAIQATLTSEFHALIRRWEAIDIERHSHS SNVTQRLFCTKIIEFVEANPTSGCVVDALKILHELCAKHHVSADDKKVMAEVDVEEAM DKHTTMQTFLASCGAARMVVHVIATSSRAPVVLCAIRLASELLYGGNIDVQTLVIDSL VARQDDRFFVQVDKLLRHEIDRVKEARRLTKLMGEVRPEFRRRGSEITSSQKPKATVT TSRPLMGGSPHAVGDDDHNVSADLVIQFLTQCAKGHFRRMQTAMLTQSGLGHPSTVKN VNILASVVAFMSILVKDELTLASLPLEDGESLVQCWAFLAVYMQGPCKENQEYLLQSS PMVELFRKTLKVHLVVPLTAHPVDNDGVQIVVEKLLKGHATKAMVSALEGRMTSASIT RLCSGLEVGAIKRRLVEIHEQFQLEKDKHVQDVTWDERFLEEGLDLITLAKVAFGASF DVADMPMRVKRVNFVSEDAYVVAKRAWQESMRFYTAHAFFASMHHSVEIWWGADIGIE TVYFALPSHCRMLACLGPKKDRLLNELNYKSNDRLKQFVKATYGFDQEMQHMEVLSTF KLYNVVRPYIPHFKTASFFLAISMNVIMVVAVARDDTRTHFIMKPQPLFDAQTVMGNF QVFFSLCVLMFILVISVPLVFRRRWNVRTKHAMLEYKLHRSIGSLDSVLDLDELKIQV EVRVEQSRVWWAHLHASYGTFGKLICLVLLLQFTLMQATPNLPSWLPVALLMLPFIQS TRMYLENGSGYGAFIFTALYDVVLDKYTAFYFFYFAISVGGLIVHPFMYMFHLFDIVM MSPTLQNVVASVTKPGRVLLLTTLLGLCGIYFFAMLLFFAQPTDAVDQVNHVAYCKTL MDCFALCVHRGIPHIGGVGYILSDGFHNPPQFLDRTHYWSRIVYDVAFFAFAVIMLNM IFGITVDTFSDLRTDSADRAELKMNQCFVCGQARAVFDNHYIQRGLPNGFQKHIDEEH NMWHYMYFLVHVNSKHLIECNGPEAYVKKLLVKEDLSWFPQGKAACLDATNTRQSVKD DLVQIKSQLQSLGLQSEVVADLFQRKRDSKAKNATRAV H257_04628 MPGSAIATRRKSNVVYEGDIMYLAIDGDDGNVHSDGFVDEGLTA LGNANNLHGEGCLFKILPKLSYEAHKALRHATVVPGSEKHVLLTQQVKVEVEANASIV ARIGTGAGQPVVFGQPIQLQHVVSGKFLTSHSKALADMDKSCMKVSLQAHGSSKSWFT FIPRYRHRVIGQPLTFQETVCLVRSKSHSLYVHLSRSVNGHGEVNVHHKATDMKLVSY HSSRRATTTTTGLRFGKLYRLYHVEGKAFVALSANPSSEQAVTKPPYLRYIVPDSAYV DDVNMTVKSMFVLERSSNPLEGGDLVEFASPIRFRHLVTGRYLAVAIDPPAIETEVNV EHMQDKQTVLRLHSTTRQDAGTAFYLTPSGGDSQTVYRIETQARGGVKYRLHNPNRPK PNRSGKATASGHVVATTDLSDQDVFHLVEVAEADVHDTHLLLSVTTHLRHHFNLQDAL DRGNSVSFESMKIPLTALRLLLAFFGGQSAASIKPASTSNSTDHHHTQRQDKARQVKL IDTLFAMLRVVPANHLDMSQIAVNPRRRVIHRLHQLINTVLEHLLRENVANKHYITTR SVVSPTSPSSSATTYLDEIMHAIGSETGAKRVYCRAFENNVDLLEHRVNMRLVAASFR RLQDKGVRAMGMLPFLATICSCHGRTIPANQELIARALYSIDPDVPFARYNLVVEVAS CAATDRCFITNSMKSMALTIPQCMESHGIDWKPPGHEMAEQGARNIAISWKSCSGWQP GANALYHAPEELGLPLELTAVVSEDLAQYRLSYLSPELLFMEQNQGVAALDGDSDPSI PSIFDTFSMKNLIEPTVPHGKNGLITKDPMFPGAPNKTGEKMKPSEVLQATTTCEKSE GLQLVLIGGSASTRTPEPYEPNNASKADTARATESTPSVPHTGPKWVLLEHIAWTLQP QTLYPLLFNINKKDMIPWSELEAKMPRTTRDHFIRLRDLAQYFQLQLDLLVELVRGGS ASSIQVVTRQFSYTMLLGAISNERLPHCLRTAFGLLMHHCYVHVFPHEPIVSGSRVFV YEDIPKLNTKRHTTLPCTAFQLDAGHPAVAIGLATKDDFLSYPHPNKLSVLQAVLFSI VAKFSATPVHQLTVESTAFLRALLHMLHDLLRFGFYADVDMQKRLVGLLMGLLDGRNT GTLHDDQRYRRTPLHDAMTTTKSQICHILSTLHTMWRDIEVTRVMSFFKHVYLEPTSK WSAALHKKRHGVVRVSDVVKLLDDPSLDLLVLSNGTLDFICVDLIMYDDKVLVHEAMK LMIQANTRREQVLRAVMDCLLVYSSPPSRGASVGGLKASPGYHVFKELRGMLPTLKYN VSLAQSPAMTADLAAVLTTLRAMVVQLTGCCVDTSPTGLSVPLGGSRKSSFWGMVTPV STTRHKFPNYEKQRVVLHLRVHEQVIPLLQLQARDDVATELAAVQAAVCDFLTHLVQQ HPAGQHAIFKHLPTLWPRFDDVSGMGDVLIALLMHNATLCKNLPEEAMWKMVRILDGH CQRLARRPDRAAAPITSKGHRGSPTSESFQVVCQIFDFVMVYMAPNEMPCASNQRLWL DVFTHAQFTHTVPPFAAGIEYWMDVLSDEIIESAGYRALVDAVQSPDQPHQLHYFQKV LVLMGLSCRGRNLPCEVKCQQTYPLNLILTLLLDAKMPMGLKYVASRYLTLVFLHADG FTELTSLQSPMWRLLMQSSNTIADFAASNSQRFLYRSDDRNFQDLYSEYVYFGLLDVV TCFFARVFRPAAHHIHVDGQITAIRTALATALAALAERSAADAAQSKVCHNCRVAVGL VESTSGTFSTTAAGRGRVLRRDDSAKLQMQSSPYDRFKLELSVDDAIQATLTSEFHAL IRRWEAIDIERHSHSSNVTQRLFCTKIIEFVEANPTSGCVVDALKILHELCAKHHVSA DDKKVMAEVDVEEAMDKHTTMQTFLASCGAARMVVHVIATSSRAPVVLCAIRLASELL YGGNIDVQTLVIDSLVARQDDRFFVQVDKLLRHEIDRVKEARRLTKLMGEVRPEFRRR GSEITSSQKPKATVTTSRPLMGGSPHAVGDDDHNVSADLVIQFLTQCAKGHFRRMQTA MLTQSGLGHPSTVKNVNILASVVAFMSILVKDELTLASLPLEDGESLVQCWAFLAVYM QGPCKENQEYLLQSSPMVELFRKTLKVHLVVPLTAHPVDNDGVQIVVEKLLKGHATKA MVSALEGRMTSASITRLCSGLEVGAIKRRLVEIHEQFQLEKDKHVQDVTWDERFLEEG LDLITLAKVAFGASFDVADMPMRVKRVNFVSEDAYVVAKRAWQESMRFYTAHAFFASM HHSVEIWWGADIGIETVYFALPSHCRMLACLGPKKDRLLNELNYKSNDRLKQFVKATY GFDQEMQHMEVLSTFKLYNVVRPYIPHFKTASFFLAISMNVIMVVAVARDDTRTHFIM KPQPLFDAQTVMGNFQVFFSLCVLMFILVISVPLVFRRRWNVRTKHAMLEYKLHRSIG SLDSVLDLDELKIQVEVRVEQSRVWWAHLHASYGTFGKLICLVLLLQFTLMQATPNLP SWLPVALLMLPFIQSTRMYLENGSGYGAFIFTALYDVVLDKYTAFYFFYFAISVGGLI VHPFMYMFHLFDIVMMSPTLQNVVASVTKPGRVLLLTTLLGLCGIYFFAMLLFFAQPT DAVDQVNHVAYCKTLMDCFALCVHRGIPHIGGVGYILSDGFHNPPQFLDRTHYWSRIV YDVAFFAFAVIMLNMIFGITVDTFSDLRTDSADRAELKMNQCFVCGQARAVFDNHYIQ RGLPNGFQKHIDEEHNMWHYMYFLVHVNSKHLIECNGPEAYVKKLLVKEDLSWFPQGK AACLDATNTRQSVKDDLVQIKSQLQSLGLQSEVVADLFQRKRDSKAKNATRAV H257_04629 MFRPFVARSSRLEGMERAPRRHEANALYEGDTIYLKIDGEGNVH SDGFVDERLGCIEMTSQHDAAQELEGCLFKVLPKLSYEAHKALLRIKSQFAEGSDKYI LMAQQAKVESDLNATVCRRTGDDGQVVLYGQTIQLQHVRSGKFLTSRVKTLADVDKTC MKLTLQEEGSTKCWFTFLPRYKTRSVGSSVEFNDAVCVSRAKYTKHFLHLSQRSYPRD QLQRKEVNMNAKETIVKVIKYAAATPDVGRALQAGKLYRIFHLEGQGYVTMSANPNAA TKPPYLRLVRPATTYNAPENLTLKSLFVLEKANPLEGGRVTNWDDKCRLRHLATGRYL SLGPQANDDDASQVALTSATPDQANGDGAYFRLTPSSSGAMANAAQLSCRVESRHDNG VLYRLHNPNKPKPTNPRPKISSSTKYKTSLTLTATTHQFDQDCFRFVAASETETQEAC FLHSAVQHLRRYDHDLSTALSLNIRITHELMYEKLTALKLLVSFLLTRQDSGAADDDD TRKVPNDDPVFFEGAPVPARQEKAREIKLIDVLYQVLRGIRQHRVTMSTLAADPKYKV LHRIHRLSNKVLVCVVQDNIVNKNYIANRSADQYFLRQATENDDYRQDMMDNPSPFTI PVAPPQDTLTYMDETLDNIGSETGSKSVYRSLFLNNGELLEQKVDLALIKSSCRSIQA KGVKASGILQFLSTICSHHGQNISHNQELIVRALYSLNDDQDMQDTRHNILIESCECP TPVRAVPAIIKDFVLAAPSGSSSFSHDGHPMGYSMLNKGMVNVAISWKSCANWFMGAS GLYFEADELQLSTIVDALVSEDLIQYDKHLCKEPLPRQWVLLEHVTWTLQPKDMFPLV FNNKPWADQFQTISKDPEKVEMFERLQVLANYYKLQLGLFAELLRGGCISAIEVLSKQ FSYNMLLSAISNPKLPHTIRNSFTTILHLCWLNRFPHEPMLVPKTVYAFDDVTAVEKQ AHPLLAHFHLKAGHPALTSDDAFISYPFADKFAFIQGVIHEIISRMAFEKSMLCSVDA NVLLSSLLEIVSWLVRCGFYADLDSHSRLAGPLIRLLDGRNTVVLADSPAHDSTARYR CNKLHNAVTKCKLQICQILGHITLIWRDFELWSVVSNFKFSNSVVDPLVLESGELGKA GVNHFVNLFAKSALDMRVVTKAPLETICMDLIMYDDDHLVHEALALLLQLNTRREQVL NYLMKCILVRNAVPNVAIAASTKSSSQVLKELEYIVPLFKHYIQAFESPLLCEHLCDA NHVRLGYFGVGRLLVDILVKLEECCADRLHYDDSLQPNVEKQAILLELLLHEHAMKLI RMHVVDTQYDPQLQAVKDECCAFFKALMAKNPAGQKAMFDYLPELIDRFDQVDGMGDV LINMFVDNRSLCLCVPDQAIWAFMKLLNNHVGDLRHPDPAKHRRSSSSNAISTIMEFF KRYIIPDEAPVKANQVHLFAIMTNPQFDHLLLPFGQDITPDMDVGSSSLRATAGYTAL MHVVETPSEADLLAYFEKILEAFSVMCYGKNFKTEVECQQLYPLNLILTVLLDDAMPL SLRVVASKFLSEVFFDTDLEVDPQLAVMPAVWDVLLHCSKQLATFNALSYELHTSSSL MSSLGEVVYDTSFIQLQEYIFQGVLCVVTSFFRGVYDPSAADLNEQTIHIYDALKADL KSLKKRAKLGWRQLEICNYCALALGVDLGGSADTGLTIVPPPTKPPLTSRRSRSPLQR VKSREVKIGALQRPAPRLGLTSSDALAAAQKFDEFKYAMVANADVAASVLDEFRDLVE AIEDIESLTSKTPGEDAAANPLDGRANTITPTLFCSRVVKFIQDNPASSCVVYAIEAL LQLISTKHLTEDAKKKLQTVEIEEARVTYQDTQSFMAGCGAAKLVLNLIAGGYKSTLV LKAVEFGIEMLYGGNVTVQTIFYNSMKDGDERLFLQIDQILQHAIEQVKETRRSFKYI KESRKNRGPAMVAAVDAASATLSAEDAASAHLDSTLSGDILVKFLALLIKGHYLNMQL VMLDQSYVGHANSVNVLQTVTAYLAILVKDELQLQNMTSNDCTSLNQCWEFLVETMQG PCSPNQEFLMGSVMVEIFRKTIKAQINVRTDDDDTDELPTADLVKSLKANSVKAMVSL LEGRTNDQVQTRLRSTLEIKAIKNRLVEIYEQFQAEKEQHKADVTWDERFLQEGVALF TLATSVFASAAGDDSFAPVATDAGTTKAPKRGDFSSESAYRRARDVWKRDATYAKVYA FFDAMHCSIELWWGVGEPRLDKVYFPKASHCRMLRYLKSKKNRLLSDLDYKSSERLKQ FTSAASGFNEEMQHIENLSNFFLYNIVRPYIPHFKNISFLLAIFMNLIMLVSIRHQDE SLEFYFYPSSLQEPMTYFGIAQICLSTLVLVFMLVISGPLIFRARLNAMIKNSMDKYK RKKNYTKQDVAADFDIKNAIQTIDIKQAMQLENMSSRAKGGAKWLKKTAIQLAQDSVH FVRSYLPFMKMIFLMYLLQFTLLQAFPDFPDWMPFLVLFLPFVRNTREYLEKSSSWVG LLYTFVYDVIFDKITAFYSVYLVTAFCATLIHPIFYGYHLLDLVIMSPSLQNVVRAVT KPGRALALTCLLGLFVIYFYTMLLFFFNPTDSTDDDTHIEYCSTLMDCFLTVVHRGLI SGGGIGDYLTSGLNHPPNINVRMAYWLRILYDLSFFVLVIVLLLNIIFGITIDTFGDL RTETNEREDLKRNQCFVCGLSRDVFDNHYMQLGISNGFDKHITQDHNMWSYLYFIVHL QSLSLVECTGPEAYVKCLLEKDDVSWFPQSMAKCLAKTNEHSTEHDLVEIKGQLKALA SQMEHVSSMVLDP H257_04630 MNRLLQSFVSNDSNGKPQQQPPRSNGSGPPAPFAHGHHANTPVN YGPPSLPANEDQYETLDLDAIGTGQQQAHQYYPPSGPRSGNHGVTYARQQDNGPFSAQ YTPYPSKQQHYASSTDSYFDQSGLHQQSPFAPQSGPPHEQGPSGHYHSYDHPPQASPP SFPPQATSFFSHDHHAPAPLSSSSSSASHNFFTDQSSQSSASDLFGSSDGPSGDFLTA APASYAPQASPRYTPAQPFGFDSTSPVTDAADLFGTPSSDAFHTPVTEQTPVDVPPAP VGDSSVELPPSAADLFGSPQRSANPHFTQATSSPAQSPVRNPPVHVRAAFSSMQLNSP DYSNPPSPPKPQIEDKPTPPSPVGTPVTPQFLHQTPPSPSRQQPLTKEPSPLKVVQRP PHHELSPPSISPTSSNHLDVSQPVNGDDHAVSSSLPEASVSSVEHTKQLMLQYKHMAE RLEHEKNELLDILTAQADQFYAMQAYIDQLVADKAATLAP H257_04631 METNTCTIVAGTAALVLFIVAWMMFHPQRRTSLRHKHVVVTGAA SGIGRGLAIALCEAGAVVSLLDVNKAGLDEVAAAISQPERVHVFPCDVSDYTQVETAL STAVATLQRPVEILINNAGIVNGKKFVDLTPTDMQRTMQVNTMSQFFTTKVVLPAMLE RHAGLVVTVSSVMGLNGASGLVDYCASKFALVGFHEALRLELRHTGVRTLLVCPMAVA SGMFNGIHDGQSAWHRLVNRYLLPMINVDDAVRSIIDAIQDERCNELVSCAPCWRRYV LPWAPRVVRLLPVWAMDRILGLAGATDGMDTFVGRAKVE H257_04632 MDLLLEEALRTDDGNEGEMVRLMIDIVAEAKDDMLMQKRALEVA GQLVRRKLALLQPVDSAPPAAAAATQLSHKAEVSSPQTFTSMITVFPQVERVVGRLLY LALKTQADPTLVETVLSLLTELLVFDARTVDAFSLWRTIQTAGPELRHRLLELTQTVL EGDAEVDLYRRHVFVMLGQHAGIIAPSSTALPLKKGYTFCASILVDATTPAMAMYSFR GENGHGVSAAIDGDSLVLASFTSHGSFINLPVPLNGRRIAMHQTWTHVAIVHAKKMVF KDKILVYLDGQLHFAGNLPYPDALQMAGGHNCVGATPQFPSFQGQMVDPTLLGTALSD AEVAAVAPCSHPPTAIMQQWLWENGSAADKSKFVFAYDARVCTRESICDDVSGHSANG WLEPGTRSLCRSSLAAALGPLGGAATYLLLLFDPTVSPQDAVSVLRLVASGLVVSRRC RSQFLRWHGSKVIAHLLTLLPTPALTEDLLDAVVAVVDAVAPHVQTSRLRTMVLALFV LQPVWFHGPVACQVRLLESILPTYLGLLHSNNESDSSVMTMDVSHWCELMATWYSGSS SAVAQAVDASMCCKLVLDNLIDPLLFPKQNVLDESDGWDALIRHLDMRVQSIRQSQTT AYDVQEIFGYFTRTLSSGQEMMPGVSSPPSRKLLFKLEKHSPMALWYTWLATPALELR LHVLEAFSAMTSHVHLRFPDAVLFHHALSSPLAIDMRQCAVILDVCLGPRSSALTGAR HTSTPRGHFVPVVLLKLLACSSVVDYRVQLYTLYELHTVLRGPNSDIFKEYIRVDPEW MKTLVLIQAKPHLATCIDSMQATDPPGLDTRQLNDYCMMLCDETAAVDTRVRIVQSIG AAQDKAGADFMLTVLHQASAPGPVKQAIIHTVISSFPEHAAHLVEALCSDMIVDILVY SLRQVNHGWIHVLETYFYLYKSPQRCVSLYTAVADAMLCTPVDKALQWENVSQFCSLL ALLYFIADRMHAPLGDESKHVALLQKARDLWLPMLPRLPVVAWAVGDDGGQDRDVLHE LFATYPLTRRLALYTMFQMIKVSLLTTGTASADVGRLRTAFETLHVVSVVADDDQVME PTMQPLFLLSVVTELHGLWALATAVEDKATLTDLIHSVALEALGRVGSESELAHALMG LTALPADTSAALWVPFMQVVSKYAVEAQYEVDSHVDRFVAKWKHLVETNAVFNVAMTM QDVDQRTVILSVHTQDVMLLREKNDEGGAVDRLQHMTERAAADAKAAAKRFQTQLERC LEPVVPDVSRQQKVVPSPPRAFKLLTSENLSRMRLELKVVEPLPYLEPHDVELDHTAT SSLAASMNAMASSWGSDSGVQGLADMLSDANVRAVLRTATSSNVEDDTLTFDEMLDED NDDNNPQTLADASSSSGSLVGRTLALPPPSPFKSATSATNVTNPKRKSSAAGFPTKSN STDFVCPAHLVRQMYIMVGQVHMTESEFVFYPHAIVDEHDQDVDQRAHNQTSSLVTSP SDEAKLLRPRRLRLDDMCHIYSRRYLLKLTALEIFVASTRKNYFFHFASTSIHDVHSA LISRRPARLVANPDWRRLHRHPSHAFRSADHTSLWVNHEISTFEYLMWLNTVSGRTYN DLTQYPVFPWVLADYTSPTLDLSRASTFRDLSKPMGALDAARLEFFKDRYAAFDDPDI PKFMYGSHYSHVGAVLYYLVRLEPFTSLARRVQGGRFDHADRLFHSVADTWANCLTDT SDLKELTPEWFYSTAFLTNHNHVDLGTRQNGVKLDDVVLPPYATSPQHFIALHMQALE SEYVSQHLHLWIDLVFGDKQRGPAALEAHNVFFYLTYEGLVDIDAITDPVLQASMRAQ IAHFGQTPSQLLREPHVARHIPDTLPPGGVVPLLLPHVHPIALIEFVSVTALLCLDTT GMLSMQKFTSPYKTATRQQALPATSTSSSSGALSPQRAGPSSGVDAILELVDRKPRRV LPDYAWLRGDFVWTAVGAAVASGGHPDGSVRCYAISDGAFLGCVLHHATPVTCLAQHR NTDLVCGSADGTISAWTMLPSSGWTSSLLDTLNIFKPTTKRIVVEPDYSPRQAYLGHA SPITALAVRNDLDVVVSGSAERCLVHSMSTGALLVDLALPPRSTNVHAVAVCTVGWIV VSVSATSDTGGDRTLSTLQSYSLQGEFLATTTSTELPVTKLVPFSRTAHVVAAGPMGA NVVAVHSMMEVQPLTTVGVSSAALSVDEKVAILGLDVMPAQLLAIAMGI H257_04633 MGDSPRRLEISHSSSFTGLAGGDNPDEFVARFQTTKLSWKGKYE RIFALSATRFCTIDPKDFDVTNSWSYQSFVSFELDLNDDHVFTLVLVGPKKEEQLKLR YKYRAYLLTEFLRLHTANAQTTSRPPPPLQCNATKLTRLGMERECILEIAPDGLVYKE VLPTSQSAAVLERFPYTDIDHITPVSNSTTGCVVGYYGRQTVFFTTDRSFLIGNMDKA AARVGLRLATRGKLTLEQVAADKPILDVAECIVQFPVQKFSRRHEKPERRILALVPSA LLELDPTSLTVLTSIPLCSLFAVIRHPGSFQFELEFVHGTHQRLYSCRDRDGVLAALY DAVGTTSSDKSTLEISSTPSQTGLRLLPRFAVEDMTETSSFFGDSSIGACFLKRLAAV GKYTIGSGIRAGAAAGRGLVSIAAEFNANVPLAGIQYHTKRSVVLEALKPLVVQLQTV AACQPPAPRTAVTLLQCLCRIASSFYGFRELLHFPNVLDSLRLLIVAEDELTVFWTAL LLQRVTMHTIVASAAAGGLPDGSSPDGETTDKRATNGEAELNNKRMLFSQGMLVTGLV GVLGRFADRKAGPLSLMGNLQVLEGAICSHRHTTDAATVRFLVDHLVPHYDSLTRLLF QSRCTTTVESCTLLVQAVLRLCSSDAAASIKDAALRRGLVLQHLYQAIFDPSFDQRCV SRYMITMWMSDHAPAKHLLRRIFPPGLVSCLEMRLLSPAEECQLDDLEKTTFMDKFGA FNAELLLSRRSPSEVDNESFYDNGSDGLSVHSNHAISDTMLSSAKLLDRMHIKTTGTN VFDKSNSNHGATTSSVSSRSSRSSVLKDGLFNVQMLRRAITRGLGAAIPESSAPSPSA TPSDRSPENFRILFHMVQQDHESIELVWTSATREELQTALSHEIHRFREIFASSTANV VWNYEDFSISYSSLENELVVDGMYLRHLLQCPVAAVSDDGEDAWRPPLHMEDMPVKKP KRFVTALYKKILREQGDAEFKGHVDITVSCLKALAFVTWVYEFEYYLPLEDVGYLLAM VESTTRCELLVHLLQVLRSVTKVAFNGAKLVKDPRAVPLLVALIQMAHVSQRNQPSTR GQAVWTCGANTLERKTLDEVRQYLKDNTNVNNHHESLEVPIGRWMGTANSTLGNIPQL KWEFGMDRPFDPVHVAHDAIHVLIALLKSNPLVTLPEVVVFPIPVGRQLTAAHIADMA SILVLHELPKLSELAMHVLVLLSSSYASPSLYTTGVFYMLFLYKGDSFTDFASFLQAT HQLQTVSTEPRHILADLLPPAMIDQLDLLNPAEFSALFCSGDHVESPRVIWNPTMRHM LWRNCLAHLDDHRATLQQDVATPYEYHPMAPVVYHEHLGRELYCHGYYLRQLFNSTEV IKDPVAFLTSLHDAWTAEVHRVAVGVSRDEAKATLELVVDDGNCDDVRDAYKRLGKPI CPEQALADPDKLQRFERIQVAFAVLTSPRESLLTSGYDAVNLELLLRAQIYIVTTCAP ALASSKLDAFPLLLDFLATHCTTDRLAVPPLTSHAEQLHLSLLATRLLRLSCAVSVQN IPWLLAQDQCGVVDDALQYVVTRMIDDNDPTDETTYIDTALELMQTVASLAGTSAGRQ WIATTASHVLHNIWRILWYYHSFTSPPTDALFVLVRHTLEALCRMCDDATKDAPLPEQ IAQNGGILWHLLDLWYAFDSAVDEQALARRLEPTVLFTAGGTTLHDDVGGHVQTLLAT LSVRVFVAANKSNVTIQAVCHTLLSPNLYFQSTNPSAFKFLHLFHRDTMSHRLIWTSQ MRSELKAFLAPLVNTPPASTPSSSVTQLGQSFRFSALKEHAIVDDIYLEPLHTTLSSS SFTANSVDVVRQLGLPPSFYTAAASFVRTGRLPLAAHGVVGWGITPDVELRFRELSLG ILAALVPWATAQVEAGFMGGAAKSAHTGVLTLLNWVLPPEHKFMQTHPSLKEGVAALP QDGTVAFATFQSHSLTILHALAATKSFGDSLVESKVGLSVLMHAALMEDQHSGGLLET VGRLCASSHNVARYVTTSIWMYHLLIWAFPTPSVSGKSADASGMIMDADCDYTPSMQI PAMKILSILGNPTSLVLEDTINVMVRFLPVSLIYELVNRPQNVATILSGHYEAPDLVW NVTLRTHFYREMLQLVVIVNKCSDTEVISDELAQVDIDYASVYPYPMVGDVYLLLYLE NPIHPLRDPKFFLECLFEDFEALGHALVTSLSQRSSFSPDLVMTVRQQTQILPMITSC IICALRVYPVYLDDVASWKVPDKVASLFVLLQNELRLPQPKEEEAAAVVAEVSLLRVF RVLFVSPRIVSSLAYSPYNLLSRLISHCHNLSTHKSDLHPEVGFILEVVRRFLLSFPD NGDKNSDKNVVAVVCGLNVMEMLLDMIEHPMTLQRVVNPILTRTTVIAILNYLEQHRT QGALAHHILKKHKKWDKVFRHEPTDAIRNQPEDKYLVGPAASADAMIRSFLANKAKAD DTSGPHSGGSLSPPSSRRKGGPSTSQKLKNLFR H257_04634 MVRLFLGALLGGISVLSSSATATGVCSGNQFEVSLLGSASIYCV DEVPCSGNYVDGQDIPNHACPGVGQLSVYGRHQVAAPTCCGIINSTSNVIGCVFQSAK FQCVGPLPPAVPTSSPLPPLAPGAKELNFQPTVLPSTQKSIATLAPTTTLATTDAPPM TATPTTTVTAEPTTVAATTTIQPITTPVAAAIVASSAPVAIRGGGANPDKQQIGAVVI VPSNSTAPSSTTTSIPRDSIVPVTSTPYPASIPAILEDNITSIVINGPGRVFVSNWTQ FLQASSLSSDEGPSEYQVGSVAISGTALNEFLVLNSTVEAASLALLQMYNTTAIDGVL TINFERHNAADVIDGQVLIEIFVKFPVVTSVVVSGLAETFVDRGVLGGADLVLSTGDG NMVAFVNQSAASSIDLRSTGDGTLQVRAASALKTVDSLVSRTNGSGDVVYFVSTLAVR NLTSISSGPGAIHIYASKLDLKNVTSRVSKSGDVVFASGSAMCKYHAVDISGSGSVEA GRVLCVEAMVKVAYTGRGDAVVQASYMISTDVRGPGNVLYYNTTPKVYPTYKKHFFLT QTLKANVSDAKVGVPSPREALEFHLGQPVGNSWLGMLSALNLDRIILYGCIVFVVIAG VVAGSKWYNIYKAQKNARGEYQSLQ H257_04635 MGGLMQDVNTRWSDDERSKFMSGLQQYGSDWNRIARVVDSKTVQ EVYEYASLHYKTMMTGKDLRSLESMQTSGSIISDQMKSSTDSLPGGGTALVSSFLPAG SVGPVVSPTNSTSDDIVKCKQVYHASEASTQDENCATHEPNTANKVTKSVDATTLLAR SYVTGAVVVPPSPPPTNRSRFEDKIKDLSDADIKMLLRKRLLDMQERRQESMLKRKQN ESYVNHQLSAFHTRHGASPESVASKPSKGPLSKKRKQSTTPKPSSSSSSDFFAGVNAL AMVSCEALQRYNLKYIRRGSGSQPHVVLHDDDGAAGADNDDEDDVPRHHRFLQTPASQ QCSVPWDFSIAPKLDADKMDSAKRAKWSDDEHRLFLAGIEKHGRKWKKVQEHVPTKSR DQIRTHAYAHFAKVQSNKVEHGDVLEVAGFLSSLAQVPPTPTAAEHNEVLPADQGPRG ASLLEDEIQPPPAAAPGAANMLGV H257_04636 MSGRISRLGHTAYHVVDAKGQVVGRLASQLAPILRGKHKPTYMP NVDCGDVVVVLNAEHIVLTGNKWSDKLYRWHTMYPGGLKTRTAQQIKDKDTTDILRKA VMGMLPKNKMRALQVDKLKIFPGDEHTFHAELGPNPKFL H257_04637 MPRPPDSVWAHFRRDDTQRRAICKFCHHNMVGLVNRMRVHLGKR CPDCPPSVRLEMLSMDSDGAKKAAVMANGGGGATFLASLDPTSSYKLHSSSTMMNDSA KSKKRKGVFPEHGNSVLSPLDKQDVQSLVARAIFGAGLAVSVIEDPSFARLIKRVAPS IPLSTSLTLTQLDAEFHDLQAQVLSDLNDMASVCVGIESWSFLHNRSVLSCMVYTPQP AMFCLDATREYAHTTTTLFQRMESFVLSIGLDKVSTVVSDVVTSPNMKDATDLLLTKY PHLTVLPSCAHAFDAMMTELLELPVFHSLYTVCTRVSAYFSRNHLHKARFARVAHELN IEDPANATQHAAAASGTTTATTSSSCFFGLVDIPDGHSKPPPTSILACLWAMERYRHV FDVLLAEDFGALDSLDLSLRDQLSNLAWWSSVVQFKTMFAPFVDVLETLESGDFTSLA TFYHKFTLLWTHLQSFPVQLSPDVTRIVSKHWATMRHPAMYTAYLLDPRFSPSSLGND EMNEALTFLKHLSSPALFANLISELTRYTGRCAGVFADDAVWESAKQGSPLQWWKGFL GSSCPHLQTVALRVLCFPASAGISRAKRAKVDAMQIANDKILNEDQAMKAAYVYLNLN LSTTSSDDLGANGANKLGTSNGASLCI H257_04638 MASDGPSAMPPSTTLPFKSAYERYVDTVSEITSLNIQLAMLETC SHTKLGEFARPFSVRFGCKTTGGGSHPPVAHLTPRTVSIDHLYDDNGVNLYERFLRSH MRLVAVTKLAFGPTSVECIGAELALAESYMRAGLWKQCHDHARVAEAILNEDDGVLNS LSPGGSSPLKSPSSMVKGHASRTTDAQLHHARALFSKMGAAAGLCSRHDIVAAFGASD YFQLVQGTTFSGGSTNGFTALCDAQVADETLDAIDVDATGFVRWDQVVWYLHTQDASF QSYLRHLERTMQPEVLAALTTAFQSVQTSLDEINTTSHLVSSGSISDVLLTHNSADVQ RIGHYVKSPPTAQGALQITWPELVEAASRATINAARAGMQCKTLLLHGRYHMKRGQVD DAILWLRRAVAGQVVVVGRDNHDMVDYFVAMADALCLKHTQSLQVAKDAHDQSFDKWC LSEEGVAACRNEALHILEDVHQSSRAKSFMQKQKTIKLPSKKEVEALARQNLRDRHQH GGVGSNSHPATSISAFLDEATELYVHVWTLEEQHYGRQDANTAIAYAGLGNVYILRNE PNEAVGYYTKAIDTFEAACDGAVPASAFLRMHLAKVHMHLQRKVDAMTLLHEAATFFK SHASQFLDSETTRRDAAANAIDAWRGWLQLANGDAHVDTPSIYRNMVEAAEIGYGEFS VEAADAMVAEGHYLLNVGNTDKGEEALTAASYIMEIHYGIHDKRVRKLRQEVVSVGAK RKQGEPSSSPLHYSVL H257_04639 MKRLGCVVVGCPGSPFSVAIPKRDDVDGLKENIAIKKRYRFPAD EMDVYMATNGAGFSSVAAAKVTLDQLHDARAFKKMHPLLMIKKSFGRTYPKTDEQVYV FVVVPKHAVPAPTRRLFCVVVGRAGSPFSVTVPEDEVVDGLKGNIAIKKKYQFPADEM DLHMATNGAGFTTIDAQAVTPNNVHDLRAFKKMDPLLSIKKTFEGTFPPNEEQVYVFV VVPNQALKQHKQDDARPIAISSLSLPAIKNLGYLMEPTKLPAVEAPPSLCPREFNWIK ELPESHPTNKENYLHYVADMLQPFPNLAVKPKIPHDLNTSVGESQRELTGACDLYVVP HACGDLLGPTDVVVLMELKKSEKLSSGYVTQTAGYLIAAHTLFDKQSYLPTPVGVLTN LRDEWLLFWVGPRGQICMASEDSNYEKLTRETTWHYIRMHCEYVNSILQARWGKGPPV VEESEAPQTFQAFGVSDIVAGHLKPCAPLE H257_04640 MLRLFCVVVGGVGSPFSVTVPEDEVVDGLKEQIAIKKKYQFPPD ELDLYMATNGAGFSTMDAQAVTLHRLHGDLEANLRAFKKMDPLLSIKKVFRGTFPPNK EQVYVFVVVPKHAVAAPTRRLFCVVVGRDGGPFSVTVPEDEVVDGLKEQIAIKKKYQF PADEMDLYMATSGAGFSSAGASAVTPYNLYDLRTFTKMNPLLSIKKLFGGTFPLTEEL VYVFAVTVEPEEVGVSPRKVHQRDQSYLAISDMSTATVVELGYRLESTSVPAIEASAT LSIPDFEWIEKLGKWDPTNIQKYQQYVADMLRDFLDLAVKTTVPCDLDARLSKCHREL KGASDLYVIPHACGDLLGRSDVVVLMELTQSENLSEQDVARTVGCMLAANTIFDKEVY RPTPVGVATNLRDEWLLFWVGPRGQICMAGVDSNNEKLSRETAWHYIRKHCAYVNSIY ESRFTKRETVIDESKSPTPHPVFGGINAGFLTK H257_04641 MATVQLPQGLKAHAAKKNLERLLSVAPEADRVFFVHDRSRTREH CTGHCQPPYPTNVMRTSKYSILTFVPHNLLEQFRRVANVYFLVISVLQLTTSSLSPTN TYSTVLPFVIVLAVTMVKDAIEDRRRYVADATVNLLTTHRLVRSSFEVVTWQSLEVGD IVRVMDGDSVPADLLLVVSGESSTEDNAADASQSSAYVDTSGLDGAAHPKLKQCLDNT SSSTTMSLEPYHGRQICCDTPNPSLLVMGGSITSGSGTIEDATKFTIDNVLLRGTMLC RTKWVVGIVLATGHDTKLLQHCQRPMAKFSQIDRVANRCIGALIGVLVVLVTASAAAG QGFLQQPAHVVGTFGLENEPGMQFGSLWITYLILYSHLVPMSLYITLDVVKWFQVKQI ERDTSMACPVTGRLVTANTANLNEDLGQVKYVFTAKTGTLTANVMALRLCSVDGTIVD HVRVDISHGYYQHLNSRLGTVHVDRTLARCVLLCHAATYYDQRLYSSSPDEMALLAGF SRLHCAFQGRNGNVVTISIFGDVETYLVLACNPFDSIRRCMSVLVQRQGEDQATVYCK GADSALMPRCVRQSSVTLIAQHVLQFACAGLRTLVFASRLITGDEFTSIGKVAISQDR DALVEALEGPSMAILGATGIEDRVQTGVPSCIGMLRQAGLRIWMVTGDKDETAVATAS ACGLYTTGCAPPTAAASQCQPFLQHHQSLLLSVDGTSVDECLDQITQHRRALKKQGLW NPETVIPTLVVVLHGLALDTIVAANYEVPTDLLLELLVQASTVVACRVTPAQKATLVR LVQMYDPGNVTLAIGDGGNDVPMLQTAYIGVGLYGRKGYQTVRAADFAIAEFRFLSSL LLLHGRWNHRRIMHVLLFTLYKNLVLVATVGLFSFFTGFSGQTLIDSSLIVGWNVLFT IAPMFVFGIVDQDITTTTVLHFPAIYQEMEPLHARKFLLWAASAVLHSSIILYIMTTS VRGSPSEQGGVFYLGTIVFGATLLSITVKAAMTMHRWHRWQRVHVASLAVGPVLFAVV VWVCSNLYILWPHLQVARDFAGLGTALISHWTSVTLLILVAVSASILPDVAFIVFQRL YYYSNRHVLQEIDSHSNRRSDKPQIVAPCPDTPAASLVVTDPLSDSCGGRSSPQSPAP TPVPTGDIPMSTAPPSSECAILIAQLQRLHATHRADPEDNLLAATDTKMHPTKMAFVG KQRVALESEFDASVVRQERHRVRFYVYVATSLMLASVLLEYFVRPATTFPNFPRVPSP PLVHSEAPPRRPSVRQPDARQEHAMTTSFLVSRLIIVVLALAYAQFTRTSTFSKHYHI AIMVPLAVTGVVVSATITVTGYVSAVLFPIVVLTMLHVQFTAALLLVLGNFAVYVVLQ MLFSAGLSPIELGAFTCYIALVVGLAAHGCWRRQYAMRVDFLQHRALAIEEFRAMDML RSMFPPHVMAKLKAGDAVISEQEPHVTVLFCDVVDLHAFMHDHAPAEVVALLDHIYSL FDEMCGRFGVQKMETVGKTYMACAGMQSDGVDGGQLAALRAACLAQEMLRLMATCRTP RGTTIPLRIGLHSGRVLSGLVGRKKQQFSLFGDTVNTASRMQSTGLIGAVQVSEATHL HLKSSFSFESRHVDVKGKGPMTTYLLGEPVSDAARQLLRPRPAKPAPSAATAGDRHDV AMAANVHPTWLHFTEPSMEAAFVAATSAARDTAAMYVLYALGLYMGGFALVRDIVLGV DDNRITSDLLVFSVVSRLTMVAATVGVLRWRPAMVLSRTCLVACLCITILASYHIWAV QTCGADRQGDMLALDVVWVMFAVSNSGALVHRAAIGFNVAAWAVSIPVFVLSYTRQGT SDCMYPIILTSCAAIANVTTSRRIEFFNRRVLWLQQRTQLETTKADELLYQRLPQAVV RRMKQGDVVCDEHVNVGILYSDIKGYTSIASKANTEQVIHMLDTTFASFDTLTDKHNV FKLQTIGDAYVVVSGLPYVDAAMPDAGKDGIPKLLRQDTNADAAVREIQRDQARQQTT TNAPCMRVDLHLQNLLHMALDMIHQVAQVHDPNTNEPLQMRIGIHLGRIYGGVIGNTT LRYDMWGPDVLTANEVESNGVAGKVVVTDAVKTELEALGIECHYHCDIKANVKTYVVQ LEPGHDLPRSDSPRRATSTVGSGGTSPAKLRKSY H257_04642 MQTSQVYCVERVFEDLKKPFVVKDVVRQLIHLDSIVADVFLRIG NKVQAEKERVQAIDKRIVDCQNKVTAIIERGLSNKPTTVFSTAKYPAPPVLPATKGLY SDKPFEEHPPLAVSDSAVHFLPSEAPTPGQRAQLMAEVLDLFERVNPAMETRRAEVNM AKEGLGPLPDDIPSIGSVLLFNSGENPYQQYTSWDNLLGVDVAEEEEKQKVLAAAPDS VMNGGDGFDGTIDKGLFKPKYVPYDKSQFPDVLPGLKGVAVEYKYDNANQTSIAPSMF QDTGLPDLPQIAEFAAGPTALQPHAAEGGVVLGPTDVLPPPPPPPPPSSFDGINPPGP PAPPHDDAAGGPPPPPPPIMADPNQQQPPPPPPPANSSVDGPPPPPPEPVNPRANLLD SIRNAGLNKLRKVTDKPHTKSTSVPEQEKPPLTLADEIKLRMTRRQNALSGKQDRMEQ DRDRKQFVKPVTVLKAEEVAPPQPTTFQPPPPPLADYPGHKKLPTVDMSDDGSNDGSD GTASNYGDENDVLTQIKNLKDKQKTTNPSSTQPPPVDSKNPVAQGFEQRMLGLNAANN RHRSDSLGMSEPSDWSDED H257_04643 MNMEDGDKAVEQSPRGIYIRFDKRLGTGAYKTVYKAYDVDQGID VAWNAIDIGALPHGEKARIIQEVELLKKLEHKNIINFYGSWFAKEKNQVVFITEIMTA GSLKSYIKRVHFVKWKIIKRWCLQILEGLHYLHSQHPPVIHRDLKCDNIFINGNTGDL RIGDLGLSTQMAVEKPRAQSVLGTPEFMAPELYDENYDEKVDIYAFGMCVLEMVTKDV PYSECHNPAQIYKKVMAGIRPQGLARIKSNAAKEFIELCLSRGDGHVDVTATYLMGHP FLQASSDDDQMVECVEEHSPTPPLSPAHKSLKGFDLEESNAALAAARLDARRDVRRHS SPPVPHHDLVPNGGGQVIRIPVDTSPSDLDNLPRSNSLVFTNRPTTDAPLSAGAIAAN DKAADAILASMRDTEMNHDSRKSVMDGRNNKLSDDKKFNVPPQPPTKLLPPQPSPPPS SDAISRRKGSVSDGSVPPSPPTSNNPLTQLPPMVPTLSKKSSSGENAPTRTNVGAGTG LLQQQLQQYQQQQQPATAAAAAPANRKVGRRHEIRATKDPSAPHSILLSMRLTLNGKS KEIKFPFNVFTDSPHEIACELAEDVGIMEPDVGDIADSIGFLAIEGKLANLPDVDVDV WEEAPEPHSFSSKPLPHVSKMNLVPYLTTPLELDFYDDTGSLTASMLSNNSSSRDSYH LGGGMGLDDVTLSSGPNSLSKQSSSFPDDLGGEWNVGSTPMSGGSSSGSTVAAAPLSS SPPPPLVREALYIGHAAVGSGNAGHHIDQTLHDEEWQHAKEMEKHDQEMEKYLKKIEE LKLKRELQINKMQQLHSDGDSSDPLLAAPATAAAADLLPPPWLGSPSAIPEALRKPFP VHPSVPPVVSSATRPVQP H257_04644 MEGMFNYFIPDDGDTPDHLNIFPIPSQHKNSLKLSHIKKEFPIP GQFHFRFKQAFEGTYVWLDVDDDDPVPDFNGLIISKISRISDSEVPSPSANTSSAPNK SASSVLPRVSTSPAAVPDLIQTNVATPKAADAAAPKVFNDDLVGLMSTPITSQSPRGP ANPSPSAQSQQKPGDAFDVFAGAPSTIKPPTPRGAPSPMNPPPPGAMNQFNNMPGQFG MGGQQPQPFNLGRPPMMNNVNPAMGRPPPMQPPPNANGFNNLQWQGMNPMQQQQQRPP GGQPPRQNW H257_04645 MASRRPAADAAAASEVDLTLTPFCTDDGSGRWVLNDEISQMFVD EARAHRKVALNVVFELPGAGVALPSGTKKGLFIVKHPIKVDDDGNLSFLLVLRHVDLT ATPQWGTLALLLASHAIFVREGHVHSDGFRNLNFLTKLMDVSMVPSTDDFAESAELNA QLLKRHMPKLTYVVVDVDKKECGGDAFPAYFEKVLASNPPNEFATTSVLANFFTQRDC FGIKSSMFKVPNAPYTAAKVVGAATDGHAIYKPFFGRYLCCGILAHLLHAVVPPLSTN AWAILPFRDFVRDVSLAYWHELTDNVIGTYADWLHVKVLPYDPVPVDGALVVDLTEIK MGIKQSEHDFHVIDHGQGDHSVFDEYGNLKKTRAGAKHDAGATSSTLDVGILHFLKQK RGGLQRQASIAVARPASSQESEEVDLDMHFEKIRAYPVPVQYIQNQRREKMPLDEQTL HAKHVESFAVASTALAPFMALSSAPDLIFTGENQLHVGAAQVESSVARLFAEFKTANA VASALFCSKLVRYLHGVVVEKTHLDDTASSSAVSQSKLMLFLIAYRANIDALVSQYQF LATGPAAQAVLSGFLHAVVPAHIQKAVVAAHRTFELQQSKLVDAIATAKQTLVLLNQS LEESNGVRIECMRREVDGQRQVDERKAEQARMVESSIAEAQAQINQAMRDKDALFAKT VETTQATVATVEIIAKKPKEFSGYLFRQEGSGLLGKKWKQSFFVLKDGRFLCFKAKSY YEENRESMEPPLSVSGYTVLESRSHGNEFKLAPPTAGRTFCFRAPSDDDKEMWVQKFN EASNF H257_04646 MEPQRVGVRFTPPLVSVEFKCSGKLYIHEIAMDSYLSKHSDVGS LVRQLQLDHAAYVDDVSTAQLTRLVQKIFQKAKPLATLPTADYNNVSENQLRLVKDKM DSVFLSNVLKPGDPGYAYDKQTEFKPSEASDWDD H257_04647 MFFWKSAASAVPHRMWRHFQAPARRAAGLGLLRSNASLPSMRHP MTVHAHFSTEAPRKVKKSKVPQRSDPHLRVRLVPMFDDNYGFLVIDEANQHVVAVDPA QPSAMIPVLEEELSKPGREFLGILTTHGHADHCGGNVAIVDKFPDLLVAGPHNEVIPR VTKSLKGGEEFKIGAMTIEVLAVPCHTKGHLAYLISGDPSTPPLLFPGDTLFVGGCGR FFEGTAENMYHALYEVFLHLPKDTKVYCGHEYTMANLRFALSVDPTNAAVRDKINWAK LRRSKNLPTIPSTLREEMAYNPFLRVHDDVIVNAVGGTDPVAVLANLRRKKDSFI H257_04648 MSTSPSKKAKTETTFVDVPSNSDFPIQNLPYGIFSTREDPENKR VGVAIGNLVLDLSVLAAEGHFTFDASFFSEPTLNSFMAAGKTTWDAARATIKRLLSAE EPTLRDDVDLQNRALINQSDVLLHLPARIGDYTDFYSSREHATNVGIMFRGKDNALQP NWLHLPVGYHGRASTVVVSGTDIRRPRGQLQADNKDPSKGSVFGPSRLLDIELEIGFF TGPANNLGDPIDINDAEKHIFGVVLMNDWSARDIQKWEYVPLGPFGAKNFGTTISPWV VTLAALEPFRTEPSFGPVQDPAPLPYLLDANYGKGTYDIALEVDLKPENGDYAVISKS NYRYMYWNMKQQLVHHTITGCSMNPGDLLGSGTISGKTEDSFGSMLELSWQGTKEIAI ANGETRKFLKDGDTINIRGFAQGNGYRIGFGDCLGKIIPAHL H257_04649 MADKRKHVDAAGLLSSDDEINETNLRKRLRLARQSTLHENELVP RSPESIYGSEDDSTALEQHSKPPPPPQSGVVGRPRRLIRDAKRAAPAVPPPASKAQQA KLLDYDAFLKQHGIEEKVSRGGRRTSTRAKTSKRSYSSDDIEDDEDDEDDEADSSSSD DDAKPVPKKGTRSSARKPPAKKQAAAVSSKSRTATQRSSKKTTTKQDHSNSNSSDTDD FVAEVSSSSKKATKKKKAASTTAAKSKKNKAGPAKAKKRNVQPKRALSSSHDDDSYNS SNDSAKATSFSRKKGKKPHDDSTDSDELFRKLPRGDDESDDGDECFIVDKVLAKETHT AAEWAKKCRGMHTHYVSLGSIFVDDDDDDDHRTTQDDTEPKDVAADDDDEDAPGIEKF LIKWRNLSYLHVTWETESALVEYEKNAKGKLQRFQDRTAKLLLLDEAQGDEYFNPEFC TVDRILNVQPSDVDDGKGGFQLEYYVKWKALPYDECTWEQEVDVHDDAAVQLYHAFNK EPPPPSSAASLKRTTAQFRPYNADNPIRFKTDLQQLRDYQVEGVNWMIFNWYNHRNSL LADEMGLGKTVQTVAYINHLVTKENLRGPYLIIAPLSTLSHWQREFTGWTNLNAIVFH GSQSARKIIEDYEFYRPTTSSSTSKARSKGKAASSNHHQQPQYRFDVLITTFEMCTAN DYLTLARIKWQLAVVDEAHRLKNKKSKFSSVLEDRFQYENLLLLTGTPLQNNVEELWT LLHFLDSDKFQSASDFVDLFGELKDSSQVEKLHKELKPFLLRRMKEDVEKSLAPKEET IIEVELTVFQKQYYRAIYEKNSQFLARGGKKAHAPSLMNVVMELRKCCNHPFLIRGAE EREVMRLQKQQPRNLPLSTRREAVHKQLNDLLVTSCGKLVLLDKLLPRLRDGGHRVLI FSQFKIMLNILEDYLRMRGYPRERIDGSITGNDRQAAIDRYCDPHRDSFVMLLSTRAG GVGINLTAADTCIIYDSDWNPQNDLQAQARCHRIGQKKSVKVYRLLTSKTYELHMFHQ ASMKLGLDQAVLGGIRQVQSAAKGGPPSKEEIESLLKYGAYEMFKEDDADAASKKFNE ESVDEILKRSKTVVHDPKKDQTVAAFGSSFSKATFVSSENPAEQVALDDPDFWIKVIG LTGVAESNAKHNKTPEKRRCKGRKTYKEIGSDEDRHDADGEYKVEDEASESSSDDDDT ADAHHGSTTSATGEVKAISAAYSNVHRFHQNFVTALLTYGYGRWTKIRMSDPILTNFP VVKIKDYAMGFMVQMLRVASMDPHTNHAAPTSTDATAVNQQQLVRDAMNKMALKYKFV VYWLQVLHRESNNQSSQSREMFNLHEIPVQEELTRLNVVASLAKTAGKYLQLLDSLFV LDQFVSKRLSPMLEVMAILNTPYNSDSSPLADNGDVPTTVARNLVEDLEDAVDAKEPL VAADASPSPANPPTTTAEDEGDTTSSLQDGNVHPSTAASSSKVILPPDVVAKWTHYFG ALYKMPAIPVVQWWSSPHDDVRLLYIVHRYGWLRGTKAQFQQIRTDRLLFPPTHPGGR DNAPWPSIATLNKRVKAIIRYWADKSIQSVLPTAPPPPVAPRLAPPPLAKATVKSASH AFLQHMWERRSRFMGLLMSHGVPDVRLCTNALEEREKWRYFLFDPVLRAKHFSPQELL AEATSLEAVCISFLGNTKPLKSTERSVFGAYRHDWVVTHDQARGILYRLDLFRILRQE VLVLRPAELHATMAQVVGHVHQVQSAPSWWKSPECDILLMQGVECYGLDDHIKDMWQL DLFQRLNPSQSFPSVSWVDSTVMTCAKAVVRTRRAAEAALEEQQRQQAIEAARVQAEE RQRALLANDQAKQEAGREAQPVPAEQIRPRFTSEPDVERTAMALEDPHCNTKAFMWYL LQKKEAELRSESNKVEYRARRDDIHRQIEHERQAREEELKAGLGTSQPGVRAPEVIEI DDDSE H257_04649 MADKRKHVDAAGLLSSDDEINETNLRKRLRLARQSTLHENELVP RSPESIYGSEDDSTALEQHSKPPPPPQSGVVGRPRRLIRDAKRAAPAVPPPASKAQQA KLLDYDAFLKQHGIEEKVSRGGRRTSTRAKTSKRSYSSDDIEDDEDDEDDEADSSSSD DDAKPVPKKGTRSSARKPPAKKQAAAVSSKSRTATQRSSKKTTTKQDHSNSNSSDTDD FVAEVSSSSKKATKKKKAASTTAAKSKKNKAGPAKAKKRNVQPKRALSSSHDDDSYNS SNDSAKATSFSRKKGKKPHDDSTDSDELFRKLPRGDDESDDGDECFIVDKVLAKETHT AAEWAKKCRGMHTHYVSLGSIFVDDDDDDDHRTTQDDTEPKDVAADDDDEDAPGIEKF LIKWRNLSYLHVTWETESALVEYEKNAKGKLQRFQDRTAKLLLLDEAQGDEYFNPEFC TVDRILNVQPSDVDDGKGGFQLEYYVKWKALPYDECTWEQEVDVHDDAAVQLYHAFNK EPPPPSSAASLKRTTAQFRPYNADNPIRFKTDLQQLRDYQVEGVNWMIFNWYNHRNSL LADEMGLGKTVQTVAYINHLVTKENLRGPYLIIAPLSTLSHWQREFTGWTNLNAIVFH GSQSARKIIEDYEFYRPTTSSSTSKARSKGKAASSNHHQQPQYRFDVLITTFEMCTAN DYLTLARIKWQLAVVDEAHRLKNKKSKFSSVLEDRFQYENLLLLTGTPLQNNVEELWT LLHFLDSDKFQSASDFVDLFGELKDSSQVEKLHKELKPFLLRRMKEDVEKSLAPKEET IIEVELTVFQKQYYRAIYEKNSQFLARGGKKAHAPSLMNVVMELRKCCNHPFLIRGAE EREVMRLQKQQPRNLPLSTRREAVHKQLNDLLVTSCGKLVLLDKLLPRLRDGGHRVLI FSQFKIMLNILEDYLRMRGYPRERIDGSITGNDRQAAIDRYCDPHRDSFVMLLSTRAG GVGINLTAADTCIIYDSDWNPQNDLQAQARCHRIGQKKSVKVYRLLTSKTYELHMFHQ ASMKLGLDQAVLGGIRQVQSAAKGGPPSKEEIESLLKYGAYEMFKEDDADAASKKFNE ESVDEILKRSKTVVHDPKKDQTVAAFGSSFSKATFVSSENPAEQVALDDPDFWIKVIG LTGVAESNAKHNKTPEKRRCKGRKTYKEIGSDEDRHDADGEYKVEDEASESSSDDDDT ADAHHGSTTSATGEVKAISAAYSNVHRFHQNFVTALLTYGYGRWTKIRMSDPILTNFP VVKIKDYAMGFMVQMLRVASMDPHTNHAAPTSTDATAVNQQQLVRDAMNKMALKYKFV VYWLQVLHRESNNQSSQSREMFNLHEIPVQEELTRLNVVASLAKTAGKYLQLLDSLFV LDQFVSKRLSPMLEVMAILNTPYNSADNGDVPTTVARNLVEDLEDAVDAKEPLVAADA SPSPANPPTTTAEDEGDTTSSLQDGNVHPSTAASSSKVILPPDVVAKWTHYFGALYKM PAIPVVQWWSSPHDDVRLLYIVHRYGWLRGTKAQFQQIRTDRLLFPPTHPGGRDNAPW PSIATLNKRVKAIIRYWADKSIQSVLPTAPPPPVAPRLAPPPLAKATVKSASHAFLQH MWERRSRFMGLLMSHGVPDVRLCTNALEEREKWRYFLFDPVLRAKHFSPQELLAEATS LEAVCISFLGNTKPLKSTERSVFGAYRHDWVVTHDQARGILYRLDLFRILRQEVLVLR PAELHATMAQVVGHVHQVQSAPSWWKSPECDILLMQGVECYGLDDHIKDMWQLDLFQR LNPSQSFPSVSWVDSTVMTCAKAVVRTRRAAEAALEEQQRQQAIEAARVQAEERQRAL LANDQAKQEAGREAQPVPAEQIRPRFTSEPDVERTAMALEDPHCNTKAFMWYLLQKKE AELRSESNKVEYRARRDDIHRQIEHERQAREEELKAGLGTSQPGVRAPEVIEIDDDSE H257_04650 MAHAAVLLDPALARIITAFQHGIYNDLLPLCSLRPPNLRNRYFF PQDTITKNAALVRPWLHTHGTVRICRSVASFERFRHCLALHAIFVGDLSLVEFLDDQN LLPLDVPLIDVAAHYGHLTIVERLHPRGTATTWAMDWAASSGHLAIVQFLHVHRLEGC TTMAMTRAALGGHFNIVAFLHLNRREGCSHKAMDHAAEQGHLNIVQFLHTHRSEGASE KAIDWSASKGHLAIVEWLHWNRADGATSSAVDWASTHGHTEVVQFLLSHRTEGGTSNA MDGAACNGHMAVLDILQAHGYTCTTDAMDFAAENDHLDVLEWLHEHQPAVGCTDAAMT SAATRGHLDIVKWLVGHDKPCDAGKALCGAAEGGYLDSVKWMWEHGIQRDVTTAIHKS MSQGHVDVVDYLYAVSRT H257_04651 MARSSLLVCALVAAVCCSVQALDPIVVRGNYMYNSVTGDRFIMR GITYEYDVSNDNFEKNSKEAIDRAVKDFAGTLNTLRIYQVNPEKNYSMFMAHMEVQQI YVMVAATPGTQDYFGSYRWSPIAKASPPGGNPSCYPSYLLHYGKSVAKAFAPYNHTLG LVMANEVMQASLIAAPCVKQYVADLKNWMRSKHDRMRLLPLAYAAADGAYVGEKGQTD KPKTLVDANEFATAKIQGLLCGDKMVNGQMQSSIDIYLVNEYRWCPGSKYSDTYAHLL AMASGVPIVMGLGEYGCDKNPPRDFAMIPYLFGDSTTSQGFSDVYSGGFVYSFGEANL GTGTFFPLFVGGDIAITGKPGKTATPAYENLVKQFKANPPLKEYAHATWDAANLTDRC TWVPPPATKTSTSNKRATAQGWIVQECTAVKVVPSDVWTTDSREGVACSNDGAPCDVA VAKTVALSQQSLCGGLLVSGSNCAKDSDCGASGTCTDGQCKCQGCFTGAGCQIAINDE EVCSSSTAKPPLSKDGSSTPKPNSAIGIGSTSAVLALAMVVVGAIC H257_04652 MDNQIEEMAYEGLDGTFTSTTPRHGCVPPPLDKFPDDNLDNLRL QLDEVEQELRQAAEYGLHLVATNNDLTQSMQRIQIAHETTTSELKGDIAMLERHLLAM EAERDAWKQKCHTAEESLDRLQQEQPSPNQPHCYGDTSTSEVHLSRVPQDEVDRLKAS VYDLSTALEQATASAIAKDLAIHRLQSTKRDMLDIIQQMKCAAANDASARKALAAKNT TLHGQLATALVKMDACHEMYQVRLADEARLRQTIEELTVELQAQGDDVEAKSNLVHST RLKCSHLERQLESLVGRETDLEGGGQSSHDPNEDEATSEALASFDRLESFFKLTALGI ILDHGAHDKFLQGSSRHTIQAWFRQATQADVPYHQWHRWLTIRIAGSSSQDGFRFFRP RKSLSVEAPSTASSPSSLAGAIADFFDKYKRKPEPNHSNL H257_04652 MDNQIEEMAYEGLDGTFTSTTPRHGCVPPPLDKFPDDNLDNLRL QLDEVEQELRQAAEYGLHLVATNNDLTQSMQRIQIAHETTTSELKGDIAMLERHLLAM EAERDAWKQKCHTAEESLDRLQQEQPSPNQPHCYGDTSTSEVHLSRVPQDEVDRLKAS VYDLSTALEQATASAIAKDLAIHRLQSTKRDMLDIIQQMKCAAANDASARKALAAKNT TLHGQLATALVKMDACHEMYQVRLADEARLRQTIEELTVELQAQGDDVEAKSNLVHST RLKCSHLERQLESLVGRETDLEGGGQSSHDPNEDEATSEALASFDRLESFFKLTALGI ILDHGAHDKFLQGSSRHTIQAWFRQATQADVPYHQWHRWLTIRIAGYDLKSALLTLVG YNMAVDRR H257_04653 MPPKKKEEAPKVYLGRPTNNVKIGIVGLPNVGKSTFFNTVSKLN IPAENFPFCTIEPNQAIIPVPDQRFTWLCDKYKPASEVPPVLAITDIAGLVKGAAEGA GLGNAFLSHIQAVDAVYHMVRAFDSKDVTHVEGNVDPVRDMEIILHELRLKDIERVGK QADGMRKNVERGLGGKEKKMEYEAYLKVLEWLEAGKDVSFGQWSAFEVDVLNTLQLLT AKPVVFLVNVSKKDYLRKGNKYLPAIAEFVAARGGNETVLPISCEFEMEMMDLDISGQ GDAYRQANPTHKSVLNRIIRLGYHSLGLIHFFTAGKDEVRGWTLRKGRKAPQAAGVIH TDFEKGFIMAEVQSFADLKEYGSEEAVKKAGKLKQQGKNYEIEDGDIIYFKFNN H257_04654 MAVHAGLVTMSENGHPKGIGTFNTMKKNIGIPDGVKLVVNYITL PYQADRYANGSRSYFFSDDNDVGITEIQRAVDFRVIKMSLPRYHDAAPMSHDRRIRAR RAHLTAKTLRWHSRRLPLLLPAIPPPIGYADPTLRPPRHPLGPEISPPAV H257_04655 MGGKRRRNTGEAAKKRFKTEKEAEGGKKDEAVEEKAPVTGNHND TENKPPPELIQEAKYYLESYRTKDKPATADEPQWRFKKAKQVWILRWMYRADVVNKAL FSIVLEYLEGMQGTARERVLRDAQQVVEALSSLEKVDENEQTLQQKLERRKLKRAFQV AKVLA H257_04656 MVSIFQRVQYALSGTTAGRRFQEQMDVATVAMLTHFKALQDANP VAEPTEKAALFEAAVNHMEVKSFDDHKKLAQAALTSQMEHVFDVLVRGKANAGYKPSV FSITEDSTPAQEAKGAETLDEIVRRELGYSLHVRDSSIPGAGRGLFLEGRATAGTAIA LYPGTVYLSEHYRKKYLHVVSNNPFARARFDGAIIDATGEAIPHANPLALAQLVNHPP PDTMPNVIPMAYDFPPADPFQTDPHHALIPNRFVHPPSMLAMFGKRALVHSLVLVALT DIEDEEVFLNYRYNPNMEYPPWYTPVNRDEDLNMWS H257_04656 MVSIFQRVQYALSGTTAGRRFQEQMDVATVAMLTHFKALQDANP VAEPTEKAALFEAAVNHMEVKSFDDHKKLAQAALTSQMEHVFDVLVRGKANAGYKPSV FSITEDSTPAQEAKGAETLDEIVRRELGYSLHVRDSSIPGAGRGLFLEGRATAGTAIA LYPGTVYLSEHYRKKYLHVVSNNPFARARFDGAIIDATGEAIPHANPLALAQLVNHPP PDTMPNVIPMAYDFPPADPFQTDPHHALIPNRFVHPPSMLAMFGKRALVHSLVLVALT DIEDEEVFLNYRYTNA H257_04657 MSVATDVLFNEGWGDILTNISPYAWGSMGIAFGLGFSVVGAAWG IFLTGSSLLGASVKAPRIRTKNLVSIIFCEATAIYGVIISIILLNKMDVPAVRRPTDP ALNLDQLYFAGYAVFGSGLAVGLTNIASGVSVGIAGSSCALADAQNASLYVKILIVEI FASALGIFGVIVGIIVSNNAAFPK H257_04658 MSSLLLKWLNDDLQLSQYIECFESDFASGYLLGEILHRSNQQHN FTDFVPTETSDAKIVNFSLLEPTIRSLGIKFDAVTATNVMNQQAGVAAKLLYQIKAAV ERVRRSGTVSSRPHAIGGVLPIHNIPSRLPKHSYEEGQHRSFEHTIRVHVKAVEVLAQ EKAARAAQVATTAAIAQAKADDIEALAATRQQRLHLTKIQREFTQSANEGETEAWTAA QTKRVEREQRKARYDTFVAQRQAAKRVRDEEAARTQVESGIGTFEGTHFAKPDDTTTK RRTKCLPKQISIGYGVRSLSSVYAKIDVAVPDTLPASRQYSQTNDDQPSSKQPQHVDV ARQKRLQRELRCDLAVKRRAKFVKQSDTRQRQRAETLDLHSLETALLRPTTSELAVEA TRRNVLTYMDVVVANREYREGKYAKRRKRDELEAITRDASAYGMIHHRYTDAVLAQNE RYDRLSGAMDAATSKRHQVFCSEVLDRVLDLVHEAATYRTTSTWIAPADEFIPDTVWQ EFKAFFVNATDAEFPQWHSPSFHALLDGHEYSNHVDSTRTTATASLAPTLPTPDTSVT SGGGPPFSRGPLVASQVLGEVIKYVRVITDPLPSPPKRPELPPFALKIVLLGKPFSGR KTLSKRLCATYNLAPLSVHTLLDSAITQQTELGKRVKNLLQDGKAVPNDVYIALLHDA LVALGSATPVVQGWVLEDFVCDVDEARDLERLLSGVVPGELPRTASDRASSLAPGQPD APLPSTFFQGKSGLDMVFMLPIQRTTLYRHCLGKLIDPVTHAQFHIRSNPPPENSTMR YRLQLWSDGIIAPENLSLHAQSHDTTEAGILAWFRQYGTLRHVDSKCSDFASTICDHV DHFLTDAAAARHQADRLVELAAAMALGAEEFRTRTLATLDAAIGAAQAEDATATASLK AAEDAKAKKDELAPLKAAADTAKGALDHAVAAATTFLSKASRPTTSSVASPSVAAVEP LAEYVATLWATTERTYETVLQRCFAGFRRVRMLASSHRVQIVSSFCSFVRRVDTRQSV VDSFQADFNAVIEDMRFDVATQAELHVRTDTLQDALLSLIEAKGADTAAELGRMLLAD GWKDVLTQSVVLLGQTCLQAEVDRYFASVAIIADAIQGHELGLGKPLDAVADGTPASS GDAAAAMLPPLLFTKEAHVEDEKEAKKPAKKAAVAVVEVDVAVTPEHDLAILTQNALD ACKKLSARFVKPDDKASDLTAAPPGATATLKDAKDTKGHNVKSKGDLHHDKSGGGLPP SFKETAGSANAVRALAFELVLVQTRIQAIGALTSAALGNIQDGMVALELDLRHMLTAR LERERSAVQSLVAGVRHAVEMHRALPHRLLVHTEVLDRYPPQRQEDQDTDVRLVKSAR IIEAPPVSPYPIVEYLDMVYLSTTQLNALVSSLRDVAVETTGRADFVPRPVFVEVFTR LASTSSGLPPAWSLMHAPQYMEMASYFDRKDSGLIKLFPNVMHTFQAKHKVDELLAAM GFDAKATTPTTRIASNVIPDDEPPTAAPQETPDPTALLDSLEQVTT H257_04659 MFLRRAAASSLSFGRSRAHATPRFLAPAANHVMNMSTLPRNDRI RNVAIVAHVDHGKTTLVDQLLKHGGNMLSEDRVMDSIDLERERGITIMSKCTRVEYEG RVLNIVDTPGHADFGGEVERILSMVDGVVLVVDATEGPMSQTKFVLTKALNRGLKPLV VINKVDRPTSRLGGEVENELFDMFVALDANDEQLEFPVLYASAKQGWAVNSLEDDSED RTTMKALLDQIVDYVPAPEAETDKPFSMAVTMLGHDPYVGRLATGRVYTGRVKVGDNI HVVNREGKKLEAGKVTKMFVTRGTTKSEIAEAEAGDIITVAGVNAYVSDTIANPEVLT SIPSPQLDPPTISMTFGVNDSPIAGKEGKFLTSGHIKERLQRETENNVAVSVTTSETS EAFNVHGRGELQLAILIEEMRREGFEMCVSAPRVLFKQCPDTNQKLEPVEEVTVDVDQ EFSGVVIDKLSTRGGELVEFKDIQDKVRLLFRIPSRCLMGYRSEIKTDTRGSGILNSI FYGYEKFQGLASVPSKGKLIASCNGKTTTYALNMLEERGELFVKPGEDVYTGMVIGEH TRPTDLEVNPTKEKKLTNMRAAGNDENVRLSPARQMSLEDVVTYIGEDEMIDVSPSQI RIRKRFLDPNARKRAK H257_04660 MASWQHFHLFIHSPLDGYYSKGLHDIRQDGTAALTREECLDILH LLAFYKSKGDKTGGKHGSVALGRNIAAVCGVWNEYCNYGTVTQAQPAAKRRGKKAGSS CYHLSKSNVLARDSFVKVMHQFVGESPKASVVYLYELFIHQHYKRHSDSLFDPSDV H257_04661 MEFQVVALDIFRGGKSTAKQPKDIHAMLNHYYFLKWFAKLLAEF GDMGVANVFIVMDNAKYHKGRPVGTPISRLCKTTLQAAWTRYGIPFEPTDFKSILWEK LSAYIEKHIQPQVVQMAIDKGHRVVFTPPPITPTCNQLSWNDSKKRSKN H257_04662 MSKASKGRGKSWCAASVNLLLDHVQEILPLGKNGWAKVELEYSK AGSAYPQRDGESLKRKYQQLRNNPKPTGNPECPSDVRRAKQIARDIDTKADVLPLGDE CEEDDDEAPCTPTPFTPTKGMWHLKL H257_04663 MLLHFYTAVVEGKTLHELFGLAPSTFCRVLRRAEEALARTLRRI PDALIRWPSKSGQAHWASKANEREPLVQGVFGFVDGKDLRVQEPSNVDLQNAQFNGWL HCVFVTGVLCYGLDGTLIWGRHNCPGSWNDGEMSRGLQDILADDTKVGPGMKVASDSA FPVGGRCAGRIITPLKEGDLERQPANCRLAMQTISDCITSLRQAAEWGMGSATKMYRH LLLPLPYNPALRSVRLENIFRLYNFRLKLLAALISVPTLGISFKLPGELLSTPSFFTS QPQLFTNCGTFIHRSHHRHEVRCRFGICRRSIFNELVD H257_04665 MHVINELYAQWGSLLYFNQKLVAKNIDRYCSAVASKGVPLSNVF GFIDGTKRIHCLNDQGVTAPDGIYEHFFGPVEGRRHDATMLRESGLIKYLGGCRNVFW GKAMYGDPAYGIVPYLISGFKGIDLSNEKMQFNKWMSLVRQSVEWNFKLVKTLWAYIS FKMLSKIRLSPVAKVVAIAMVLTNCHCCYFRGNQISEFFNLAPPTLRQYLDTLQ H257_04666 MPFQARRGQVMERWTEVASGLNTADEFRLTDIDAKKACNCFILL LDAHRKANNQSQQASGVAEDVGEKVVLLDDLMAAYDDVKGAKARRAEANRHAAEQMEA MGSQIRAEALESLGKRKRDKDGDDTATGGGKFKTVFTLMHEQAQADLEFQRTKFETEV NERRLDRQLLAEQLRQQQESMAEQFRQQQPSRIALMKLIVKKSTNN H257_04667 MATHDPQSTTSVDAFRNSAMVIMEESDAKDTNAYIVAFQRRRKM RIVAIATGALLVLGVAAAVVVATDSTADNASTSSGRDRLPLNAAGGNNGGGSQSPSTT TVDDTFVGGPEIYFTHTTLSPGSPSNVHGGSTTSSGKHSDVDGGSTASSNHGNNPGSV HHNSTSKLPSNGNSTGSNNGVNGSRPCPSITAVDNSIATISPPPSYGAPTRRPEITVS PSDPPTTPCPQPIVTSAPTPPPQYPTHAPRPTSPPATYPPTAAPTNPPTPPTPPPTRP PVQPTPPPTRPPVQPTPLLSGSDLKAQIVHQTSVIRAGYGLGPVTWNDDLAKKMQAWA DSCPQKTGGGHGGPSGNQNLASFVECGNNCMHEPGPAQTWWESEEKLWDYDANKSKDG NWMTTGHFQNSLDPGVNEIACGWSTCFNPVANQNDSLVWCNYIGGTNGRIPRPIISKA EIKARIIK H257_04668 MGDVVRVSDQGPVDPLERGPPKRVGHMVENVGSNIASSKKRASW KFTFGDSDKVHEVVLLHSVMSAKKVVEYDGREKYHVALISPGDWSIILMLEHRNTAIE VRINEFESPDMPRYDLLIDRAPFRKMDVYRRNNKKLVGANGSQGNAYQPASGHGHIQQ GGYHQSHWGPGDAASDVDDDHAPVVPCSEASYSKDKAKSTSTKKTAPPPEINLIDTSI PEITPPVHSIVFDPLVTGPAPPAYPTQAASPVNLNGIFNAQQVQPQQRSFAPPSFDPF ASLQPQPNKQPLGYFHPQQQTGYGYPTQQYGGHYANPTTPLYPAYNNIAASPIYPPQQ QQQQYYAPPLQQQQPNLNISTMMNPMQVQHSIQPKKPTGQDININPFSGMR H257_04669 MAAKVLEQLIARGRRERKPTEQREVSFNLAEISTNPEFHVKMVE KGVVRSLMHLITDSSDDQALRFACLCLGNTSSTASIRLKIVEEGVLPPLIALMNKEGG DIVGKQYSAMTIGNLAAEPENHEEIVKLHAISALVSSVDPEEPSLGAYAAFALANLGV NNEYRPLIVEQSAIPRLIALACCSDLSAQRQSMAALRGICITPDYRMIVVKEGILDPL VLMARSDEIDIQREVAASFCTLSCMEGNKMEISDRALLTIVSMCLSGDPSVEQHACCT LANLMEMPELHPKLLRENGLASVLALASSDEPNTKAEACRCLANLSANEDVQETLMVQ GVLDPISKALVVGHHVCQRFAALTLANLSVVGANQIAIVSLGLIRPIIALAQAFDREL EARRYAVLAIANLAAMLANHPAIVEEGGLLALFSLSSSSDALSQYYVAYALANLASNE ANHTRMVEEGGLQPIITLACSADSDAHHQAAAALRGLSVTGANKIKIVQEGGLEPLVR LLVSDDVEILREVCGALCNLSVSEETKYEIAKSGAVPPLIAHAQSEDMQVARQCTGTL ANLAEVDENQAMIALENGISPMITCMRSQFVEVQRESGRALANLCARHANQTEIVQSG GHQLLISYLLSPDMACQRVGALGLCNLSTNPDQRVVLMESGSMDPLMSLARSEDVEVE IQRFAILAIANLASCVENHKIMVREGSIPLLISLSSAPDQEVRQYAAYALVKVALNAD LRKKITEEGGLEPVLFLARTEDSDIHRDVLPALCTLSFADANKAEICKSGGLPPLISS LSSTNGATHRQACCALANLSENIETQSRIVNAGAIVPLVDAVTTGNEVIQREAARALG NLAANCDYGYVILKQGAVPPLITLLASEVVECQRMASMALSNLATNVDNQPKMLSMGI LEPVIARLDEALDPRSVADNETIRYCLLVLANLAVSHGTHEQLMDRCLTLLCNYAKHR DVKCRQFSVFAIGNLCSNPANLQRIVDANALKPIISFAFPGDPNVQFQAIAALRGLSV NQDIRQQVMRLGALEPVILAASSESIEVQREVAATMCNLSMCEENKVTMARGGCLPPL IALAQSDDPTRERHAICALANIAEMIEGHTQRKMFEEGVLTPLYALSGSPDVEIRQQV ARCLALFAAKPSSQTTLLRSNALQHIISFIHTDDAICQRFGTLAVGNLAVSCTNHKDL FDQGAIAALMSVEHSTDLETRRCLAFAINNIAGNDANWITICKMGILRSIVSLMHDTD EETHLQACFAVRRLALEPKSRSQVVLHGGLKPLFQLTLSENVEVQREATAAIRNLSLS EDNKIAIVLNGFLTPLVTLAHSGDIEVAHQATGILANLAEVIENQGRMVQEGVLQHVK FILRSKNVDIQREAVRTIANMSAEYAYTAEIASSGGLAPLVATLSSPDFLCQRYGAMG LANLSTNVDNVAKLIQDGAIHPLTVLAKFGNGDVDSQRYATFALTNLASVQSTHTQLI GGGIITLFTGLLQEEDASIRNAAAFGIANFAAFSENHRLIVESGNCLEALIDLVSSAD GKSVLRAVSALRGLCVDAVIREDVVRMGGLPPLLRLTHSKDMDVQQEVLACLCNLSLS GCIGADPSLFLSACDVQSLVSFLCSADATYRLFGAITLGNVAAKAEHHETIVGSGALT PLIELSRSVDLETHRCIAFALCNLASEPTRRQSIVDNHGIPPIIALACSESSDDKRTA IAALRGLSSTPDSRVKIVQDGGLEPLILGATCIDGFVRKEVAVAAYNLSLHEKNKAAI ATSQLMVELIQLCLSPDMDVEYHTKASFHLVATYACATIANLAELSDTHGYILRDRGC KFLVDLEDTQDALLIKEALKCVCNLGCNYDTHTRLLEDNCHHLLVHALDNANVDVRMF GAIGLGNLLANPSTHDAMFKKGNVIAPLVRLVSDEAFPDPQRYAVLALGSIFANPVHH ESCLAQGALVPLVRAMSVEHSLETRFYATYSLGKLAMNDVYHNDVAHAEQCIDSAIAL VLSDNIHVRCQAVSVLRRLAILDTIRYECMASARVPTLLPSIVQAASAAFAHADAELA REIAAWACNWTLSDAHKVTVAVSPLLVQLFTLAASTDIETARNACGALANVAEDQESH FNMIELHAVPSCIRLMRCRYLTVYREASRLAANLMSSVEMHVLFLGEDGLSALIRVAK TDDHECHYNVALAYHKLCVNPATHSGVMSSGSLFTIFNLMGVHGLTVQRQAAAAFKAL CSNIDNKPKILEDGGLLAIISLLRATDIDLQCMGAASIRHLALYLPVKMAFVAEAGLP PLFSACMIANADLQLQCAGALANLSENTNNQVTMVAQGGLAALVALSTATDEAIMQQT ARAFANVSSNPENQVNVFTTAELKALFTLASSLEENCGRDAALAIGNIAVTAKNQLQI ALQGGFVPLVALVQSPFASCRQYAARCMYRLSANKDNQAHLVDAGGLGPLVALLTDTD VDCQRNAAMSICNLSSNVANELRIVKANGLPPLIRLLASTNAECQRYAAMSLCNLAAN TSNQIHIVKAGGLSPLIQVAATNPHESESARYACMAISNISTHRQNRLVVVDQGALTP ISQLAMSPILECQRSATLAIYNVSCAALNQLRIVDQGVCVHLIKLATSPDTDTKLYAI MAMCNLTANAETRVAASRSGGLQALVLGAKDIDMAIRRYACIAICNLGCDPQLQVQVV VHGGLSAIISMSESVDVIDQRYAVMALSNLAANETNHPTMIARGVLKIVLRLATSPQD DIRQYAAFALANFAGNAEHCNTIGDEGGIIPLIALAHSEDPNAHTLAIAALRRLCQVS AVNRGRIVRGGGLVPLAIAGHSEELETQREVAATLCNLSLSDEYKLEIVASGALPPLI QLAQSPDVEVARQACGAVANLAEKIETHPRFAEARGGRFLIALMRHKSVDIHREASRA IANLLTSFGHHGDIITDGLPGLIHLALSLDVECQYNAALALRKLSPNLSSHKGIIEEG GLKSLLFLLLAKETNIRRHAVVALRDIASNPAFKLRFHEEGGLAALVTFMRDVEPNLQ CAALAALRHLSSAPELKRVMVEEGVLRPLLKCINPNHTTRHLDLLCQCAGLLANLSEN MANQPTMVDEGATIGLVALGTIDHDEIQQDVARALANLGANEDNHGTIYKQGGLKCLL ALTKSREEVCQRYAAMGLRFLSSNPQIRVHIVQENLLPQFLSLAQSPILDYQRTAASA FCSFSLNEENKMKLVRDGGLSQILKCMLYPDLEVIRDCTFALANLADSWEYQMDIVRE GGIGVLVQVGVHDDARVQRDSSRALASLSMTASIRPDLIKQHALKTLFCLARSLDVSS QRFSTLAVCNLSCGDDKAFIVNEGAVRPLMHLVRFPDHEIQRYAALALAGLALGDHGS NKIRMIEDGVVRPLIEMLRYPSVEIQKCGCLALNALVLGKHAMAKTSLMQEDGLLPLM ALLSSADLECIRIAIYCIGSLAENLDVLIKLIELGALANIVHQCRLPDLEVRRNCGYF FALVVEHHEFHDDFVREGGMVTVVSLASIEDMECQEYATFSLAHLASNRDYQVKLVEQ GALRPLISMMSVNAEPRHYAGLALLKLADNYENHLKIAEEGGIQALLRIARARSTDEE LQYKASMSLGQLASNATKLIPKGKLSNDSVGGSASRMAAATEHLQARKAREQTQEYLE KKIQKEKNNTSTTPRGSST H257_04670 MDGIAESNTGSSRGGRQRRRNNRRSGRGGSRQGKGDEEGGGNVA PALVPAESTIPLLPHQRPKSIAAPLTSAVTSLSLETVTTLLVNNPSLVNLVDHKGETA LTTVCGLRKVHSAEAHTAIVQALFAHGALLSVKNRQGRAAFTLACCRHHVHLLPFLLQ EAVKQEQVNPYETVPALLLATLGGLDNRIMDSDRGRWDAIVQIDPEQCFQTVEFLLEQ TKLLQNGVAFVKHACQSLNARGLTPLHLAAGLFQPKTVAYLLDNNGDPHVHEDNALTP VQFLDVCFCQIESFLVDTSASDSHPLSAPNHPRGNTRRGRGKRSHVVSADGTSVQTRA LETLLTFARHCGIEPLFMNNGCFSLRCRRLKNAVVAHLGLASILETTCGARETMGDAK LVLLDYVAGLYRAMQKNPDLRAYWDAAIRRPDIATDTASSAIKYPFTDKTLRWLVKGL TEGHPNPRFLSTWAYIIADVLKLYAPGSGGQLNNVEDLTAITTSMARSVKRLMFNLCD ADTADDEVEIKLLLSKLEMLMLWLVPYVTSLPDEVDPVHDVLKVVTEPLVELKFLVHL ALQTISGEVYAPDRFAATLHVLQLVAQLEACFESDQLPRLVRMFKAQVEKPHAHTWRD SDQSKVPVSLNLVSAAFGLPASFVQWMQPLRTNVNDLIRSEVRLLPEYFQEWTTHASF ITTENKLEYIETVAEERPGHFQLTINRQVSPECFLDFVIQQVLCTSIRNLTGEMEIKF LNEPAIGVGPLREFFELVGKHFFNPNLSMSLDPHTDHVVASTSAKSIFSQWLHLARHN ISTTLQLPSAKDLKADAKITHSSALWLPIFAFTDASQSLLCLHNHPLSVVCAPTKDDN GVVHTQFDHIVENVELGKVYRCAGRLTGLALRHQAPLGVHLPEAFWKLVRGTDKLTWQ DYTSHSPQFQSSLAAVLDHDFGTDDNNDAWELYFESCGAVTVVGTADEDGTTHHYPST EIELQVGGKSIRVTNDNKARYVQLRAERFFCNQMTHMQAFQKGMLDVIYKRDLLWLSA TELQGVARGAVTVDVKALQEHIHYVRPAHAEHPTIVRFWQAVHEMDQPMLRQLLTFWS GSSLPPLFGYDAMGGTWSIKLTTRDHSEHWPCPQAVTCDHQLMLPEYPTKEILIEKIT VALTYGAFGFDRV H257_04670 MDGIAESNTGSSRGGRQRRRNNRRSGRGGSRQGKGDEEGGGNVA PALVPAESTIPLLPHQRPKSIAAPLTSAVTSLSLETVTTLLVNNPSLVNLVDHKGETA LTTVCGLRKVHSAEAHTAIVQALFAHGALLSVKNRQGRAAFTLACCRHHVHLLPFLLQ EAVKQEQVNPYETVPALLLATLGGLDNRIMDSDRGRWDAIVQIDPEQCFQTVEFLLEQ TKLLQNGVAFVKHACQSLNARGLTPLHLAAGLFQPKTVAYLLDNNGDPHVHEDNALTP VQFLDVCFCQIESFLVDTSASDSHPLSAPNHPRGNTRRGRGKRSHVVSADGTSVQTRA LETLLTFARHCGIEPLFMNNGCFSLRCRRLKNAVVAHLGLASILETTCGARETMGDAK LVLLDYVAGLYRAMQKNPDLRAYWDAAIRRPDIATDTASSAIKYPFTDKTLRWLVKGL TEGHPNPRFLSTWAYIIADVLKLYAPGSGGQLNNVEDLTAITTSMARSVKRLMFNLCD ADTADDEVEIKLLLSKLEMLMLWLVPYVTSLPDEVDPVHDVLKVVTEPLVELKFLVHL ALQTISGEVYAPDRFAATLHVLQLVAQLEACFESDQLPRLVRMFKAQVEKPHAHTWRD SDQSKVPVSLNLVSAAFGLPASFVQWMQPLRTNVNDLIRSEVRLLPEYFQEWTTHASF ITTENKLEYIETVAEERPGHFQLTINRQVSPECFLDFVIQQVLCTSIRNLTGEMEIKF LNEPAIGVGPLREFFELVGKHFFNPNLSMSLDPHTDHVVASTSAKSIFSQWLHLARHN ISTTLQLPSAKDLKADAKITHSSALWLPIFAFTDASQSLLCLHNHPLSVVCAPTKDDN GVVHTQFDHIVENVELGKVYRCAGRLTGLALRHQAPLGVHLPEAFWKLVRGTDKLTWQ DYTSHSPQFQSSLAAVLDHDFGTDDNNDAWELYFESCGAVTVVGTADEDGTTHHYPST EIELQVGGKSIRVTNDNKARYVQLRAERFFCNQMTHMQAFQKVQRRRSSICWCSHYG H257_04671 MSEATATLLSRCALCEVTVDGRPDQCVTDCGHVFCVSCACRYLG HNKNRCSVCQAHIKIVRQMDAFGNEAQREKPCSVKFCNVTYILYVSIWSVDDPTSKLA SLFYLDHARLIHQGKIIKKGDVWPGTVVQLVGTKKDAGTPLHAAIASSNSTPTPSMLT SVWATLIAWAGAAFALILSPLKLIYLFFHSMVHGDDYQALPQRRYAPPVDAPLQATTS SFQLPGAVPLPPPTDTVE H257_04672 MARGATIKVNPRATALRRASSCGSLPLLDEAILKDLLKNTTWAA ALVHPPNAHEPESSDFPATKTASTKPIASTNQVEMDNNNDDNIIEKIDEVVEPDVVLA INDLTSTPNEENNYHDTCGDASFDSDIPELRVDSARQRGGTTLLDRHFTKHSLKKLFS LPSLVTTVLEKRKKPQTMPSVHRDNTSPTSRSGRVVALLEGRPSSPLALLEDDMPQSP THRNVPATWFNSHPAFNRCKFIVWRGGVPGFHVSYNNSGTSLVVDSISGPFAWTEGIR VGDYLESIGGMSVADMDPHAAMGMLRMSDIPTVLRLKSSSVVPSERFFVVLHDNEKLG VTFTSDGPQAIPVVNRITDRNDTLARCCGLGCGHVLVAINAQDTIAMELTAAMQLLAT VKKPATLEFRRLCPTLLTEPLPISIVPPTPTSMTQQRYSTFLSSRGTESSVAGLDRLS VAATTLDETRGEIFIVWRSGPLGLTFVECTDTGMPKVNRLTGKGRSPMIDRVQHGYTL LSINGSAVAPYMFDTTCAILAKTDKPCLLLFRPPPRPPQENKATPPWMDRTSTTSTTN NAIPCSSHKTSRPRIDRCYSRHALKAESQHLEYELLWEQAPLGLVFGTDDATPYIKRV KDDCTLHIKQHRSILLDALVAVNNMATRGMSASDLAAMLRSATFPTVLRFRMCPANAQ AYATPATNTLTSPSNHAMPTPRDDLGKNDSKNLASMDTISDASSSDVLDDNDDDDFLG SISEDLDTMQKFARSFNVVWHGGDLGLTFEFCGGAVVVKRLLLQGCARRSNMVQVGDA LASINGRRIPKDQAFKDTMVQLLELRKPVILGFERDEVITPNNHGQQKASRLVRNYED DGDIFAQVEERGAAEDESIDTSTRL H257_04673 MPSLMHMLHRSRRPSMRYVPPKKGGVEAAMEHLHQVDFCHVHAI ASRTPSPSSKLMEGGDNKNVLARSSANLRLYTVTMQNAKSGRSWIVRRRYSDFAALRM RLLQVVQPYYFMLHSVVDRLKLLPFPKRSAFITRYVRRHREECFLAYLRGAHVLLTNP DYGLDEDVKLQCASILRGFMGSQDVIDPMHVEYFCRDVIPQYDLHMIDRKCIARCGVL DTVLEEDGPHAVLSDETSSSSSTYGDVESSSSCISIAVADNAVPPRSMYSTKAACTIK LSSTSKAYAMLFPRKLAACHQGV H257_04674 MSTVEPSRYGPDFHCVTVSRDMFEVRSHYTNLRPIGGGSYGIVV SAEDTLRGRKVAIKKITDVFDDLTDAKRILREMKLLKHLGAHENIINILDVILIPPNT TNFDDIYIVTDLMESDLERIISSTQTLSDAHFQYFLYQILRGTKFIHSANVLHRDLKP SNLLVNSNCDLSICDFGLARGVELSHNEDLTEYVVTRWYRAPELLTDCQNYGNAVDMW AVGCIFAEMLKRRPFFTGKDPSDQLHMIVRILGSPTPDEMMFVTHEAAKKAITQHGYY PKRPLTEFFPEANPLAIDLLARMLKFSPDNRITVTQALEHPYLTQLHNPADEPVATSA FNFDFERESLDQGVEMPKNELQHLVYLESMAILSAENQHLLR H257_04674 MSTVEPSRYGPDFHCVTVSRDMFEVRSHYTNLRPIGGGSYGIVV SAEDTLRGRKVAIKKITDVFDDLTDAKRILREMKLLKHLGAHENIINILDVILIPPNT TNFDDIYIVTDLMESDLERIISSTQTLSDAHFQYFLYQILRGTKFIHSANVLHRDLKP SNLLVNSNCDLSICDFGLARGVELSHNEDLTEYVVTRWYRAPELLTDCQNYGNAVDMW AVGCIFAEMLKRRPFFTGKDPSDQLHMIVRILGSPTPDEMMFVTHEAAKKAITQHGYY PKVQQTAFYIGFKGYNLIEMMLATPHRVLSGSQPAGHRFACPHAQVQP H257_04675 MAVSAASATPSEVPTTKKPLPQSKKVPKPNAFLDGLLCSLVAIE CVVIYSVLPQHLLAMFGGMLVVVAVAVWATKASFRVVGRFVSRHFLKHLGDPLRKEVT MRKFTDQSWQLMIHGSMSVLEYAVIRDETWWNDTTTLWNHNSPTCAFSDQKFLTNFLY ITQLAIWVYTAFSCKFLEEVRKDYLIMMSHHVVTIALVAWSFAVGFLPVGVLVLFLHD ASDVPLDLLKMANYLKLEDRKGFFLSEVLFAVMLTVWVYFRVYLFPSKLIYTAFWENR EACCLPHEAHDLSIIFPSPGPPSWLAFSLLLSCLYVLHIWWTFLILRLLKGVLTKSVH DVAEDEYEGASDSGKDD H257_04676 MRPQSPVPTKVVKKPPHAILDGLLSALIVVECIVVVGVLPLDLL GLLGGLLAVVAVAVGVTKRSFCVGGRYISQRFLKHLGDPLKKDVTMRKFTDQSWQLVI HASMTVLELYVLQDEAWWSDTTTLWNSARPTCDYSQHKLMTTLLYMAQLAIWLYTAFS CKFLEEVRKDYLVMMAHHVVTIALVTLSFVMNYLPIGVLVLLLHDASDVPLDLLKMAN YLKLEARQGFYITEVLFAIMLSVWLYCRVYMFPTKIIRSSMWESRAACAVPLETWDFT ISWLGFNLMLLALYALHIWWTFLLLRLLYNALSNSGVHTAAEVEYEGRSDSDKED H257_04678 MAHHVVMIALVTWSFAINYLQGGVLMILLQDASDVPVANFLKLE APRVVHLRTSASTTLRLLFPS H257_04677 MDMAPPILIEFLDDGVDFSAILSQLVASRQRSTIATTTTLGALI LHTSFALVLTMHVGYLFRRVLLHRQRPPISPRHLLTTYIPTSERPEDVHATVKIATSI HLANLVPGSVLFAAYATIYYGMHLEISHTISIASTLSYWSYSLTIVGVLAAAFASKHD VVASIAALLGTAVIYPPVLWCSWLDEGWFNPRASNSVFGVGAVDFGGSGVLHVVAGTM VLVLSLALPSSTTPSTTPVKPLPHYSSYASASTMTLWLGTCSLLVNRMVLVVPANYYV STTIACLVNPTLAFAGGGLVGYSIDFFVQNNHHALKSRSSPDAVHTCSAAALVAIGSL GPLAEPYVACLVGASAAVIVLVVSHLPWLQALDIPLQQTIAIHLIGGMWGVFMGGVGG APRNQDDIYGHGRHIHSFGLLYKHRGSGGTGVLQWATNLLYLGCVMLWTASVTLVLVC GLRRFGLESDKPHVAFDTDMYAGEDGYVALLDDDDHTSVAVRSPGHRRRSSDILRRVS SPISPMPPGSVSPSLEELQGRRRNSPFQWV H257_04679 MTSRKPEKRKQRRTRENDDDDDSEEYQVGAALSAYKKQKEQGAA GGDAADDKVDDDQVAKEAFEERLRAKDEEFRNRRKKFKEESLDANEIRELATRGAVSD KQRDERLDEIKLAQRRKYLEQREEKLKKLVESSIKDEHLLFGDENLTEKERKQLELNE TILAIQKAKAKGDKTLGYQIPDAADQYDENGDRVVKKDELYDDDDDEEEVKTEQERWE ETQLKNATRQGLYGAKDRAPDETFEFEFDDQIEFISQRLMAGENVTPADIKDARKAAD EHKHLDMQESRKRLPIYAYRDVLLEAVRDHQVIIMVGETGSGKTTQVPQYLHEVGYSQ LGKIGCTQPRRVAAMSVAARVAQEMNCKLGNEVGYSIRFEDCTSDKTVVKYMTDGMLL REFLTEPDLKSYSVMIIDEAHERTLSTDILFGLIKDISRYRSDLKVIIASATLDAEKF SVYFDNCPIVKIPGRMFPVDILYTRAPEADYLDAAIVTVLQLHITQPLGDILVFLTGQ EEIETAEEMLLFRTRGLGSRIRELLIRPIYSTLPSERQAQVFETTPPGARKVVLGTNI AETSLTIPGICYVIDAGFCKQTNYNPHTGMESLLVMPISQAMANQRAGRAGRTEPGKC FRLYTAWSYQHELDETTVPEIQRTNLTSVVLLMKSLGINDLLHFDFMDPPPEKSLIRA LEQLYALSALNDRGELTKLGRRMAEFPLDPMMSKALLASETYGVVEQVLTVCAMLSVN NTIFYRPKDKAVHADNARLNFARGGGGDHISLMNVYNQWAETQYSTQWTYENFVVARA LKTARDVRDQLAALCDRVEIEKSSNEQNTEGVRKALCAGYFYNTAKLDSSGAYKTIKQ THTVHIHPSSVLIKLEEMPRWVVYHELAFTSKEYMRQVIPIKGEWLVEIAPHYYKARE VEDSTNKKMPKLIGRAS H257_04680 MACITTEDYESPAIQDWPSMQQSPQRDDFMAEVMNLLDKFMTLL STPKLPAVRLPKCVLGKDNMTVSGKNIPFTRSMSKAARTQIPLLPTIDELSTA H257_04681 MPLPNVSTLPMDSRVILLEHINLSTQEDGDAANSTNLFYLDVLG CARDPRVKWMVHANIGLSQFHILPNQPVSQRLDGEIGLFYPSLDEFEAHLVSRAYPYV STLCSSRHRTVPSTIRAWDFANATSKYDHLQVTCPNGNTFQCFQSPLDYFDVVGAIGA QPGERALGVGMPYIKILVRPGVAAGIARFYEAFLGAACVVTSTDAQAVVCIVDCGATQ RLIFEQVQDEAQLRPYDGHHICIYIAEFEAAYSRLHGRHLTWNNPLFEDRCDTWQNTQ THQQFRIRSIVDPESGTVLLELEHEIRPVDHSRCPLFANSNHHSVD H257_04682 MGGARRCGNHRQRRDVDATRLPHGACLARRRLARERGDAWNLAT WKRFSIRAQQPARPVNRQAPSMGSASPPSSNVESMEPSPRQSLPGKPLQRSAASQRRF HAHVATIEARHRLTDPVQSPSAPVAVVSASSSANYLHEWSDGSKHRLPEDFQYDNMGC DTLWIKWFHGAPKRRIGPYRHFTVDDVKGALNCKYMMRARRVMAELTSIAVATGLAAS EDAITQLRPPECQNVFNAAFAHLEIRQAVGECAKPVRRSSTCKTFIALDQRDRMTRTF EWADGTALHSVPEHWTLPNWSCLNIWLAWFLGDPQSGVRPFRHLYILPNQQQQLHLTR QVMAKLVQIALGMQLAASEVDISKLSKWNIQRVYLEAFDILTQRHVPPAECSNINAKK VKVSTLHAILQANNAFCSI H257_04683 MQAAATMHGFWGPVTSNMDWCEDNYAWSHYVAEWWNTWSNVPWI IIAVYAMHKSSQAFAESSQPPSIRRAYWVPLIVFSGSFAFHVSLTHVGQQLDELPMLY GCFYFHYVTLRHHRGMKVAALGLCGVMTVLMLLFRHSIVPFIVSYALLVIGLLARSYM LMNTYKTLRQSQILQRGFYLYMVGFILWVIDQQFCEVVKPLHLHALWHVFSGASTFYW IQFACAHEFIVTNHGLQVRNIATVLPFTTMGKQLQ H257_04684 MNPQQPSGSGAQFAGYAQFYASSTPPRPPPFRPPFTPQQMQQFS YQNPHQAYQQYATPQQQVPAGPSHLTGPPRPIPAAKTNGSRWDRIPRAAAPSEAPSSI SVASSNPSPTAKWQWNTGKAMPRPPPRPQHQGSKFGPSVTIASAPPRPYAPQAFQDKV STSPAAATPVTASQWPTSLKSYVERAFAKCRSDADKAIVQSILKEKISSSMTANNLWT KNWDVEILPLQHGAHVATPFSQPPPGPMPHLRPPPPRPPMMAARGLVPPPRPPMMRPP HTTKPSPFSDSFIPLDYTSMKKQQSKATKRKPDMDAEYGQSEQKKLQRQQRFLKDNFH ANAFRTADDEPAGPLQVLNDEGELDLDAMIIRGTCVKVEKDYLRLTSAPLPSAVRPEP ILKQALDLVRLKWKQGTCDYLYVVSQMKSIRQDCTVQHIKNEFTVLVYETHARIALEE GDMNEFNQCQTQLAQLYEHGVDSPHRLEFLAYRILYSIYVCLQAKADNAGNVGMYRAL SLVRPADRQDATVQHALAVREAVFANNYPSFFNLYDAPPKMTGYLMDAYANHMRLQAL KIMCKAYQPSVPVAFIKAQLRLDGKPGKGFLNECGIKLVDKGASKADAAMDCKASEIV SVLKSSAKSLL H257_04685 MMNRMGKLSVLLSCLPPMASMNVRPASRGWSSAHEACRMMSSKD TLVMSEADWQRKLTPARFRILRLKDTEYPGTGAFNKHTDKGVYTCGGCGTPLYTSEHK FDAGCGWPAFFDAVPNAVKEIPDEDGHRTEIVCAVCGGHLGHVFRNEGFPNPTNARHC VNSLSLDFESDEL H257_04686 MSQVRASHLLIKHRGSRRPASRLSDNITRSKEEAIEILLGLRAK IASGEARFEDLAVQYSDCSSGARGGDLGPFGRGMMQKPFEDASFGLPVGELSGVVDTD SGVHIILRTA H257_04687 MSASSFDETEELVNWIRDRIRLPGYTQAKWTPSDSACVKDFADS LNIPALFISTNTAHVLRVGTTAPRDATTIMYFVKNGQVSVKPTTAVTALQFGTIHGEG ISSLLQLMNTFYMQRLQQETSWPESIQKEFTAQFYRFMSSLTETVSRGCGKTVLYLPP IALDKANYKDKDLLQQLESTVIHWTRQIKEVVNNQDNAHDAEGAGPLEEIKFWEHRTE DLSGITDQLNRPGVKDIVDILSLAKSSYLQPFETLSQIIKQGSFEANDNLRFLKKLCP ICEQMATASPYDIPSLLPKLLTTIRLIWMYSRFYNTEDRITSLLRKVSNEIISVCCKT ISLQHIFNGDVQGSIRNLEETIECGVAWKTIYYSVAKSVNQASTSTTTSSSTPPVKWK FDDTSIFAQVDAFVQRCRELLEVCEGQIQFARKGQGSSSLPCFGGNRGQEIVKSLMGI ETQFHQHIDRLRRLEYDILDVKITFWHTDFNVFKNGVKDLEAMTQNVINAAFDSVSTI SAGCDLLQAFQTIAKREAIRRCVEKRTVDVYGMFKAEVVTVRNLFEKNKAVPPLSITE PQYAGAALWARGLQLRVKEDLARLALLTSLPPGAVELDEAQTQFDGLKAVLHDYIQKK YNDWIDELNSLGTTNLNSRLENPLMTKTSLALDAAVPTAASASTAGGVAGGNGAPGQT NPNPNPHALDVTNADKLLGRSNKGFLHCNFDRPLLQLFAEVHYWQYFNGEIQIPYIAH DICNQKEQLRVLREHVTLVVRDYNRILHELSTTERRLFDDIIRKLDRRIQPGLAKLTW LSKGVVEWYVNDCRKHCEATYRIVREFQDNKDVVASNCKMIAGLMHISIERNNVYDDG VFEVKQVSHRAAMETKLKAAYENIRSTMSAMYVHFAAGPGDVQREWARFVERADKTLE DSLRQSVKKSLQELSKAINGDAKTDPHPLFRVHVILEDGKVEFNPLMVNLTQMVNTAA KEIFNVISVVPRLTATVNGRADDHPPQPSTTITTDARTQPMDGSEGATSPTTAVVAAT GTTSGSSGSHTFYQSIFNDEEILKVLVHIMNGMSASATELQKYLGYWDKYKLLWNQDK QAFIRRYAKANRPLQQFRVDIERYREQQVSIQNEDLTNTINFIQIDTHFLKASLVDHT VQWIGKLTGLLNQTASDELKALMNMMKANTKRLQIKPSNLDHLGESIGLLQEIKDSAP TVEAQFDPLQLKYDLLAEFDVQITDDEMRDLQSLRPHWDAFEGMLVDANTMLQKCKIS MKQSLQDNVAELSNHMVELRSEAMATLPYSDQTQNSAAAHAILLDFEKKMEATRVRQA VLKKGLDIFGIEETLNDGFVQTEKELELLQQIWAFFDEWECVWSSWKGNVFGELQVDS MEATAAQFFKRITKLGKDMKEWAIWGSMKDKIDQFRATLPLIQDLKNDALRPRHWAQL KDEMQSAFDADSKGFTLEKVFSLGFHLHAEFISTLSGNASKELSIEQALDGIESRWNS INIDMVEYKSVYFKVRSADDLFTALEDDQVQLSTMKASPFFDSFATKLLMWEAALSTV SEVIETLLGVQRCWIYLESIFMASEDIRKQLPLESSLFDQVNTAYCSVTSSMAQVQNA LKATHLPHTLDTLLDMQDKLDRIQKCLDQYLETKRMMFPRFYFLSNDDLLEILGHQKD PDQVQKHIKKCFEAIKSLYLLYPGTRNNLTFEAAGMNAPDGEQVLFNTNVVIAGAVEG WLVRVEAAMIASLEKLYAGCLVAYRGKKEKWIKEFPGQLLITCGQTAWTNECIKALNE VAKGDKKAMKTLKKKWVSYLNKLADMVRGQLTSTERKKIVALITIEIHSRDVVDRLVK QNCKSTNDFEWLMQLRFYFNKDLGEHGICEVKQTVTCLKYSYEYQGNNGRLVITPLTD RCVLTMTTALHLNRGGNPLGPAGTGKTETVKDLGKNLAKYVIVFNCSDGLDYKSVGRM FSGLVQSGGWGCFDEFNRIEIEVLSVVAQQVLTIMQALTMKLPEFMFLGSVIKCNHNM GIFITMNPGYAGRTELPDNLKALMRPCAMMVPDLALIAEVMLQAEGFRDAKVLAKKTT TLYGLMIQQLSKQDHYDFGLRSLKAVLNMAGALKREDPNMQEEHILLRALRDMNAPKF IKEDAALFKLLLGDLFPSIELAIPEYGSLQSAIQSELTHQGLQLHPTILFKTIQLFES QATRHCNMIVGQTMAGKSTVWKTLQAAKSQLAKDGAPGYTPVRVQVLNPKSISLNEIY GVYDLSTFEWIDGILSAIFRTLASDDKPDEKWIMLDGPVDTLWIESMNSVMDDNKVLT LINGDRIGMSPSMALLFEVQDLSVASPATVSRAGMVYMDVEDLGWRPFVKTWLVQAIT DPDERDILTSLLDKYMTKVLAFRSAEVTELIPVTEFNCVKSFCNLYSVLATKDNGVDK SVGGADQFAPMVEKWFLFCLTWSVMGAASEDGRVRFDACIREIETIYPPVKTIYEFFV DPKGRELKLWDERLPPAYRILPGTPFYKILVPTVDTLRYGYLLQTLVNGGLHALIVGD TGVGKTSMIQKELDGLNDTYQRLVMNFSSATSSSTTQDVIENVMEKRSMNRFGPMGGK KLVTFVDDMNMPAKDEFGSQPPLELLRQWVDYGCWYDRKKQSLKYFVDMQLVGSMGPP GGGRSVISSRFQSRFNLINLTFPEATQLRRIFETMLVPKLSEFDDEIKPLGVPLVSAT IQIYQAVEATFLPTPQNCHYLFNLRDMAKVVAGLLVADKHIISSRDGMLRLWLHECLR TFSDRLTGSSDRATFKTKIDEILSTSFQTEWSRLLGSLPESLKENGPLFSGIMTPIED ESASGVKYDEIDDIRALKRLVEDHLDNYNVEPGLVPMNLVLFGDALMHLLRIFRQLTT PRGNLLLVGVGGSGRQSLTRLASFAAGCDLFQIEVTKNYRPMDFHEDMKKLYHSAGVV GKKTTFLFSDTQIKSESFVEDINNVLSSGEIPGLYEKDEINTILEAIRAKARAHGVKE SKDNMWAFFINEVRRNLHIVLALSPIGKGFRNRVRQYPSLVNNMTIDWFDEWPLDALQ EVGMKFLDEKRVATEPQRPKISAVFAVIHSSVVLASAQMLASMKRHNYVTPTNYLALV KGYVELLLEKSSTIADSRDKLKNGLAKLEESRAQVEQMSIQLEQRKIIVAQKNKDCSD LLVIIVSERRVADEQRKQVEAESERILKEEIETKKIADDAQTDLDEALPALAKAMAEV ELLDKKAIAEVKVYSQPPEAVSLVMCGVMVLFGLPPTWAQAKIKMNDVNFLQQIKTFD KDTIRDKTLSALKKFTSKEMFKSETVKKVSSAAGALCSWVLAMEVYSSVFRLVAPKRD VLKKSQQALAIKQRDLQTAKNKLQDVIEKVEALKKQYDDSVSEKNALREEAEVLELKL SRATQLVSGLSGERERWQVSIATKDAALVNVVGDALVAAAFLSYAGPFDSLFRSSLLD TWSNRVQQQALPMSQTFQFTDFLADPTDVRHWNAHGLPRDNLSTENGVVTLRGTRWPL MIDPQGQANKWIKSLEGAKLDVVDPMMKDFLRKLENAIRFGTAVLMQDIQEELDPSLE PILNKSIVKVGNREVLRIGDKELDYNRDFRFYLTTKLHNPHYTPEVSTKTTIVNFVVK EQGLEAQLLGTVVQMEEPALEEQKSELVVKVAAAKHKLVDLENEILRLLSNAKGSLLD DESLVNTLNASKVTSEEVTSQLVVSEETEKKIDAARMGYVAVAVRSSTLYFVLNDMTK VDPMYQFSLDSYVDLFKESIAKSRSSRQTMTLTDDLSERIAAINDFHTFAVYAYACRG LFERHKLLFSFQMCIRVMQSVHKVPLDEYEFLLKGGNLLGNDERVTNPVTDFCTEPVW LAVVDLNRMPRFQGLVSSFEQAGKAWKSWFQSSSPEIEALPGDWEGKCNELQRMILLR VLRPDRVTIQAAKFVSTNLGPQFVDPPPFDLRAIYDNSSYKTPLIFVLSPGVDPTNNL MALAEVLGKKVENCALGQGQSQFAEAMLARGLEGGNWVFLANCHLMLSWAPTLEKLID NFCASPTVNPTFRLWLTSDPNPKFPIAILQRGIKMTTEPPRGLRANLLRLYNTVTSDR FQRCKQAKKYKRLLFCLCWFHALLLERRKFNNLGWNIPYDFNESDFAISEDVLAIYLD EYEDTPWEALKYLIAQANYGGRVTDDWDRRLMLVYVGQFFCEDILELDQAPLADSPEY FVPEDGDLQTYGDFIRNLPLEDPPAAFGQHSNAQIASQIDNGRELLSTILGLQAMGAA EGGKGNDEKIMGVLSNLKDKVPDVFDLANVKLNLSTRSDPDALKTVLLQELERYNKLL GAIKSQLVALEKGMQGMVVITPELEAVYNAMLIGAVPKAWGFCYPSLKPLGSWTQELE LRIYQMRHWANTAQPVVFWLSGFTYPTGFLTALLQTAARKNGVSIDSLNWEFLIINQH EDSIVVGPKDGAYVKGLFLEGARWDFEHDCLAEPNPMDLYCNMPMIHFKPVETKKKAS KGTYSCPLYMYPIRTGTRERPSFMIAVDLRCGAGKSPDLWTKRGTAMLLSLST H257_04687 MSASSFDETEELVNWIRDRIRLPGYTQAKWTPSDSACVKDFADS LNIPALFISTNTAHVLRVGTTAPRDATTIMYFVKNGQVSVKPTTAVTALQFGTIHGEG ISSLLQLMNTFYMQRLQQETSWPESIQKEFTAQFYRFMSSLTETVSRGCGKTVLYLPP IALDKANYKDKDLLQQLESTVIHWTRQIKEVVNNQDNAHDAEGAGPLEEIKFWEHRTE DLSGITDQLNRPGVKDIVDILSLAKSSYLQPFETLSQIIKQGSFEANDNLRFLKKLCP ICEQMATASPYDIPSLLPKLLTTIRLIWMYSRFYNTEDRITSLLRKVSNEIISVCCKT ISLQHIFNGDVQGSIRNLEETIECGVAWKTIYYSVAKSVNQASTSTTTSSSTPPVKWK FDDTSIFAQVDAFVQRCRELLEVCEGQIQFARKGQGSSSLPCFGGNRGQEIVKSLMGI ETQFHQHIDRLRRLEYDILDVKITFWHTDFNVFKNGVKDLEAMTQNVINAAFDSVSTI SAGCDLLQAFQTIAKREAIRRCVEKRTVDVYGMFKAEVVTVRNLFEKNKAVPPLSITE PQYAGAALWARGLQLRVKEDLARLALLTSLPPGAVELDEAQTQFDGLKAVLHDYIQKK YNDWIDELNSLGTTNLNSRLENPLMTKTSLALDAAVPTAASASTAGGVAGGNGAPGQT NPNPNPHALDVTNADKLLGRSNKGFLHCNFDRPLLQLFAEVHYWQYFNGEIQIPYIAH DICNQKEQLRVLREHVTLVVRDYNRILHELSTTERRLFDDIIRKLDRRIQPGLAKLTW LSKGVVEWYVNDCRKHCEATYRIVREFQDNKDVVASNCKMIAGLMHISIERNNVYDDG VFEVKQVSHRAAMETKLKAAYENIRSTMSAMYVHFAAGPGDVQREWARFVERADKTLE DSLRQSVKKSLQELSKAINGDAKTDPHPLFRVHVILEDGKVEFNPLMVNLTQMVNTAA KEIFNVISVVPRLTATVNGRADDHPPQPSTTITTDARTQPMDGSEGATSPTTAVVAAT GTTSGSSGSHTFYQSIFNDEEILKVLVHIMNGMSASATELQKYLGYWDKYKLLWNQDK QAFIRRYAKANRPLQQFRVDIERYREQQVSIQNEDLTNTINFIQIDTHFLKASLVDHT VQWIGKLTGLLNQTASDELKALMNMMKANTKRLQIKPSNLDHLGESIGLLQEIKDSAP TVEAQFDPLQLKYDLLAEFDVQITDDEMRDLQSLRPHWDAFEGMLVDANTMLQKCKIS MKQSLQDNVAELSNHMVELRSEAMATLPYSDQTQNSAAAHAILLDFEKKMEATRVRQA VLKKGLDIFGIEETLNDGFVQTEKELELLQQIWAFFDEWECVWSSWKGNVFGELQVDS MEATAAQFFKRITKLGKDMKEWAIWGSMKDKIDQFRATLPLIQDLKNDALRPRHWAQL KDEMQSAFDADSKGFTLEKVFSLGFHLHAEFISTLSGNASKELSIEQALDGIESRWNS INIDMVEYKSVYFKVRSADDLFTALEDDQVQLSTMKASPFFDSFATKLLMWEAALSTV SEVIETLLGVQRCWIYLESIFMASEDIRKQLPLESSLFDQVNTAYCSVTSSMAQVQNA LKATHLPHTLDTLLDMQDKLDRIQKCLDQYLETKRMMFPRFYFLSNDDLLEILGHQKD PDQVQKHIKKCFEAIKSLYLLYPGTRNNLTFEAAGMNAPDGEQVLFNTNVVIAGAVEG WLVRVEAAMIASLEKLYAGCLVAYRGKKEKWIKEFPGQLLITCGQTAWTNECIKALNE VAKGDKKAMKTLKKKWVSYLNKLADMVRGQLTSTERKKIVALITIEIHSRDVVDRLVK QNCKSTNDFEWLMQLRFYFNKDLGEHGICEVKQTVTCLKYSYEYQGNNGRLVITPLTD RCVLTMTTALHLNRGGNPLGPAGTGKTETVKDLGKNLAKYVIVFNCSDGLDYKSVGRM FSGLVQSGGWGCFDEFNRIEIEVLSVVAQQVLTIMQALTMKLPEFMFLGSVIKCNHNM GIFITMNPGYAGRTELPDNLKALMRPCAMMVPDLALIAEVMLQAEGFRDAKVLAKKTT TLYGLMIQQLSKQDHYDFGLRSLKAVLNMAGALKREDPNMQEEHILLRALRDMNAPKF IKEDAALFKLLLGDLFPSIELAIPEYGSLQSAIQSELTHQGLQLHPTILFKTIQLFES QATRHCNMIVGQTMAGKSTVWKTLQAAKSQLAKDGAPGYTPVRVQVLNPKSISLNEIY GVYDLSTFEWIDGILSAIFRTLASDDKPDEKWIMLDGPVDTLWIESMNSVMDDNKVLT LINGDRIGMSPSMALLFEVQDLSVASPATVSRAGMVYMDVEDLGWRPFVKTWLVQAIT DPDERDILTSLLDKYMTKVLAFRSAEVTELIPVTEFNCVKSFCNLYSVLATKDNGVDK SVGGADQFAPMVEKWFLFCLTWSVMGAASEDGRVRFDACIREIETIYPPVKTIYEFFV DPKGRELKLWDERLPPAYRILPGTPFYKILVPTVDTLRYGYLLQTLVNGGLHALIVGD TGVGKTSMIQKELDGLNDTYQRLVMNFSSATSSSTTQDVIENVMEKRSMNRFGPMGGK KLVTFVDDMNMPAKDEFGSQPPLELLRQWVDYGCWYDRKKQSLKYFVDMQLVGSMGPP GGGRSVISSRFQSRFNLINLTFPEATQLRRIFETMLVPKLSEFDDEIKPLGVPLVSAT IQIYQAVEATFLPTPQNCHYLFNLRDMAKVVAGLLVADKHIISSRDGMLRLWLHECLR TFSDRLTGSSDRATFKTKIDEILSTSFQTEWSRLLGSLPESLKENGPLFSGIMTPIED ESASGVKYDEIDDIRALKRLVEDHLDNYNVEPGLVPMNLVLFGDALMHLLRIFRQLTT PRGNLLLVGVGGSGRQSLTRLASFAAGCDLFQIEVTKNYRPMDFHEDMKKLYHSAGVV GKKTTFLFSDTQIKSESFVEDINNVLSSGEIPGLYEKDEINTILEAIRAKARAHGVKE SKDNMWAFFINEVRRNLHIVLALSPIGKGFRNRVRQYPSLVNNMTIDWFDEWPLDALQ EVGMKFLDEKRVATEPQRPKISAVFAVIHSSVVLASAQMLASMKRHNYVTPTNYLALV KGYVELLLEKSSTIADSRDKLKNGLAKLEESRAQVEQMSIQLEQRKIIVAQKNKDCSD LLVIIVSERRVADEQRKQVEAESERILKEEIETKKIADDAQTDLDEALPALAKAMAEV ELLDKKAIAEVKVYSQPPEAVTTIHPSIHPLDR H257_04688 MGLVCSCLSGGGGGGGGGGKRFRDQYQLGDKIGEGAFSVVRRAT HRQTNVVYAVKCFKKVRLTEQDVKDIHGEVGLLKQMKHPNVLNLHGFFDEPEYYYTVM DLVEGGELFDRIVDKEFYTEKECRDLIKILLDTITYCHGLGIVHRDLKPDNILMTSRD DDASIKIADFGLAKQDSQDDNLVSSCGTPEYIAPEVVRNVFSKDKQLYGKAVDIWSIG VITYVMLCGYTPFHANSQVQLFRKIIKGQYQFHSPYWDDVSPEAQTFVSRMLVVDPKQ RATAEELLADPWMTLGDEDVNFAELNGVSKRMTSRQRLKAAMATVQTTMALTKAMANN KAVVPATLLPAIEGANKLKAA H257_04689 MGCCLTSWCDFRPRSERFREHYELGKKLGEGAFSVVRRAIHKET KKVYAVKCYRKTRLTSRDAEDIHYEVSILQQMHHPNIINLYGFYDEPEYFYMVMDLVE GGELFDELLEKLHYSEKEARDVIKVVLQAIEYCHRLGIVHRDLKPDNILLTSRHHGDG ASIKIADFGFAKQVENDHDTLLSSCGTPEYIAPEIVHNVLHREHKTPYGKAVDIWAIG VMTFFLLSGVTPFHSSNQSVMLRKIGQADFRFLPPYWDNVSDEAKAFVARMLTVNPKD RATASELLHDPWIVEHDIPAEPLPQVLRRIQARHRLKMAISTVHTTVLIDSSSRRRRR SFVANTPSSPSSPLPPHS H257_04689 MGCCLTSWCDFRPRSERFREHYELGKKLGEGAFSVVRRAIHKET KKVYAVKCYRKTRLTSRDAEDIHYEVSILQQMHHPNIINLYGFYDEPEYFYMVMDLVE GGELFDELLEKLHYSEKEARDVIKVVLQAIEYCHRLGIVHRDLKPDNILLTSRHHGDG ASIKIADFGFAKQVENDHDTLLSSCGTPEYIAPEIVHNVLHREHKTPYGKAVDIWAIG VMTFFLLSGVTPFHSSNQSVMLRKIGQADFRFLPPYWDNVSDEAKAFVARMLTVNPKD RATASELLHDPWIVEHDIPAEPLPQVLRRIQARHRLKMAISTVNLLTTYLNCHVPSR H257_04690 MLAVATPVAAPRASTASGILALLDEHDDIIRAHALQKLHEVVDY FWAEIADAVPFIESLSEETAFSHRELAASVASKCFFHLEEYQDALRLALGAGKYFDVN VHSQYTETIIATCIDEYIAIRTNGEGKAVDPRMQAIVEQMFDRCYASGTFKQALGVAL ESRRLDKVEESIRKSPDVSASLAYCFEVSRTTVTNRDFRLQVLQVLVQLYRGLPVQEY THICQILQLLDQHAEVATILQTLLASSDDDDTLIAYQVAFDLVENENQKFLHAVSSAL TATAAAPTSRLDKLQQILQGEFSVDLLLDFLFRQTQSDPLVMKNIKTAVENRNSVLHN SAVCAHALMNCGTTVDAFLRDNLDWLGKASNWAKFSATASIGVIHKGHVRESMNLLAP YLPQAAGGAPTSPYSEGGALYALGLIHANQGSTSPSSTKTLEFLRTALRNSGTDEVVQ HGACLGIGLSGMATHDAALYEELKNIMFTDNAVAGEGAGYAIGLVHLGAGFTDSDAVK ELLSYAHDTKHEKIIRGAVMGLALMAYGREELADGVIEQLIRDKDPIIRYGGVYAIAM AYVGTANNGAVKRLLHVAVSDVSDDVRRAAVSCLGFVLFRTPAQVPKLVSLLAESFNP HVRYGACVAVGIACAGTFKNEAIQLLEPMLDDAVDYVRQGALCALAMVIMQESEGRHP KVKEITEKIRKLTADKHVTTMTKMGAIFAQGILDAGGRNVVISMQSHTGFTKMSAVVG LALWSQHWFWYPMSHFMELALQPTVLIGLNHDLKLPTAFSVVCSTKPSVFAYPKRLEE KKEEKKELVATAVLSTTAKAKARLAKQEADHKAPPPAAVDAEVDAAPVAAIVAEEKAK EPTSFNVQNPSRVTLAQLPHLSFDLSQRYVPVVPTRRPAGIVLLKDRHPHEKESVVAV QAPSQAGAEEEADAPEPFEFEPTPL H257_04691 MAKAAANAYFVEEEFELAVQKYTEALKEFPTDADALSKRSGAYL KLNQLQSALHDASAALEVDSNLRMAHYRQGVALFGVERFREALKSFLKGKELAGNQEN VLSRFKTWIRKCEAEIEDLDDDTSTLDTPTAHDCNSPACDAIVVRAAPLFRHEWYQSS THVTVSVFQKHLKPGDVVVHFDTHQCLVKFTIDGTQVTALDLPLFGAIDPVESSFRVS TVKVEIKMNKADGGPWDQLERAAVAAVTSSSAPIVRGDVPAKPYASNRDWNQIDKRLT EELEQEKPEGEAAMQKLFADIYAKADESTRRAMNKSFQTSGGTVLSTNWNEVGTKDYE KERPVPDGMQWKKWG H257_04691 MAKAAANAYFVEEEFELAVQKYTEALKEFPTDADALSKRSGAYL KLNQLQSALHDASAALEVDSNLRMAHYRQGVALFGVERFREALKSFLKGKELAGNQEN VLSRFKTWIRKCEAEIEDLDDDTSTLDTPTAHDCNSPACDAIVVRAAPLFRHEWYQSS THVTVSVFQKHLKPGDVVVHFDTHQCLVKFTIDGTQVTALDLPLFGAIDPVESSFRVS TVKVEIKMNKADGGPWDQLERAAVAAVTSSSAPIVRGDVPAKPYASNRDWNQIDKRLT EELEQEKPEGEAAMQKLFADIYAKADESTRRAMNKSFVRRFHFCCRRLWIHGRKL H257_04692 MGHLSWGCPLNASKQSSMPAHVVAALQSWHRSFLQPYFQRLDIH QQVLPKLQVKDIGVIIQNTREFAFMRRGEAKLDGIGNLSVENSDRSHDTTSLRREAHA GCATTSRTPPGRQLRGHRRRRDDWSSGNERNLTATDRRRRGCNEAPGRHETNEETSGT TGVYTGPSARDDSRRQTDGSEDKEEERMHTEPCDDEKGTYAEPSNEESSCRQTEPNTS DASASPDGDSLAPRGAASVLSWTQSRVRGKLATFPEEPSDAQEPEAPSRDSNQVLGRL YPVMACSGAGTVSAITVGSTWTGLTLSPGEIWPDFPILNMGISKNSTSQSGSSGRQVL SNQHQMGVR H257_04693 MSRPNRDAGSYPSSGAYAQPVRVGYTQPSPRDTGSGYAPPAPYR PEPRGGGLESRGGYGGGYSGRTNPLAPPGSGYGAPFGAAVTLKDLLVVEDPHMEDPAG TMVVLLALREPDPVGTALVPDSPIHPGSAHQRHYGLNDTKVVQIFDDRLTSCEVLSVQ DWWAGRCRDSEPVSWVEAREAFRKEFIQKTLSRKVAEIPDNSRPKSIETIWEYAWRIA DASRKAGLQVNRTVVMMVNGCSDAEVAVCLRGASVRPETIEISLDYLIERDVSSEHAP DSISRLQRDMTSLATFNNDQFSSIQVVVAMISTDNRT H257_04694 MATGLAPLEDDDGARVSTPAGSPRVTPPTDRPETRSPLKRPTLA SEADLALVPTSPLARTVQERYGDMGWRKPKETSGTLNRVYLYRFDSIESNMRLLADIA FYRERLPGTVPEDILEAYEEFTRGSEVQKLAVSLLFAFQAIEDWARLLGVQDSQLVEM ADGLPRAAETDESHRQDEFQKVLTYLRDSYTRGVEVARAQVTNEANETLHREAEKNSL SWEDRARELERQLALKCEQREGLLVQKYAERVLEVRRKEDRLRQGGLISSLREKLRTF KRNHQEEILLVREATRDEDNSKGVANDLLQSQYDQNLASAQDEARSKALGFESKEKQD LRERERILEEEVQRRQRMERVSEEEQQRLLRSEETVWELQESILTEQNRERSVQYLTL SQAYAELERQWVQLQVEEKAVELQQTTPRKTNNAPYATYGIPTLSRMGSLSK H257_04695 MEGSAEDREIEITLKEELASEQAAKQARLKEEMEQARLSELIHG YNVVSNRRQTKFVTESFKNRGNLYGGMDFRTTSWNPTFPPIDQAGIRFAASVLTQPEA KSSKGGTISSSRPKDEKGWKVRMNTMKARIKAMHLDDQPTFFEKVLIDLMTYETNA H257_04696 MTLDECRICLSRLENVFRLLNIKIREAEDDEVYTWYLESYLVGA GSREYLALNQKLVKMISLLHYVVKYSQTYFRESRAALWIHENTDPSAPRRPSIKNESV TPSRRSSAQASIGTRDTMQSADPVLPQTTVDMEASMLEKKLDQKMEGIRSTLEALMAS LPTTALPRPSPSQSQNR H257_04697 MDYEPSDNFFGDPDPPGNSRNPGKPGEDGNPGYGGDDEGLWDNL SSMGHQVAMHVLSMDSARLLRHQ H257_04698 MAHENVTKAQQRQKEYYDKRRSNVTFKEGDQVLLVTRNLPLKHP QMLDKSERPKLVPRFIGPFEIVQAINDNAMRLKLPRSMSLVHDVFNVDRLKHYHPNEA KFAPPRPIPKATPVVLDEYTSEEMYIVEKLLKKRQFNRKLEYLVKWYGEPESKARWQL MKDIKHVVHFKQLVQDLESRRFKV H257_04699 MRGFTAVACFFAAAVLISQAQAKAKCPVTNPKSSKCWCNASMKV PRMVGKCDSTQKQNFHQSAQNAKNNGATFYLCCDKARAKSYCMTIAEAQACRWEQGIA FYP H257_04700 MKLEVRVVQLKTSLCHLPRFDLMANILAFLTAFAAMASGTANQT DDHQPQAATIFCWKATQTRGVGRVPESCAAGEERLGLLCYDKCPVGTARVGLDCHSIC PAGFADHGLFCRYSEYGRGVGWPIMWKQKTRSK H257_04701 MHVCLEQQKSPSMRDAIPRHGASESSLQRRMTLAILVAMAALDV QIVVFSNSIDAAVLSIEKSLAKVGKVVLVGVRLEKGGTVVFRQAGVHRVIVNSAHGDA DCARKIGLWQLPERSLATVVS H257_04702 MADTLEIDEGCYSDEDFDEESLQDDADVKPLMSPSSKMVPLDTK GKPSLKGGSSPPRRGTDSGKLAVLTNPRSPRELSIDASAMPKVKQCFTSRSNFPQVMT KPSSPSTSPSKKLPPPPERYNALHGGPIDPSSRVFMPVKGEAKHLPIPDKRDTPPHDK KFKHIPKSISHTTLTNPRLPVEEEAKPHVPHKVKSVQSLAHEIRRLRSRTQNVADDDS KATPDAKERQVAAAAPPLSPSSSKRGLSRSNFNLHLDTSPKPPKVLELQLPDSPKLTS TGKKKGIQRNNFSLGALNLELDLDLDESSSLHDKSYDLSASGTFDAESFQIKQTGITR SPGRSPTQPHDMKKHLIKLGVLGKGASGVVHKALHVPSLLLVAVKVIPVFENDKRHQL IAEMKTLYNNLASLSDDAERRKVACPEIVCLYDAFMNPNEGNVSIVVEYMDGGSLQDI VDTGGCTSEPVLANISYRVLKGLRYLHEIHQLHRDIKPSNLLINHFGDVKVSDFGIAK EMENSIAKATTFVGTLTYMSPERIASEAYSYKSDVWSFGLSIMTCALGHYPYTSKGGY WELLHSIRNEQPPKLPLGTDFSPDFQDFLAKCLIKDQNERWSVKELLEHDFLRECRRE TSFGHDHSGGSSKALDDDSEVDSFEVDVIIPKVMDYYLKSAKDLVLEHDYNYDDIVAW LKLLPPMQHSKLNRFADQIGMPRPVVYKKFEHAMNILAGNIKDVYFDDDDEEKS H257_04702 MPKVKQCFTSRSNFPQVMTKPSSPSTSPSKKLPPPPERYNALHG GPIDPSSRVFMPVKGEAKHLPIPDKRDTPPHDKKFKHIPKSISHTTLTNPRLPVEEEA KPHVPHKVKSVQSLAHEIRRLRSRTQNVADDDSKATPDAKERQVAAAAPPLSPSSSKR GLSRSNFNLHLDTSPKPPKVLELQLPDSPKLTSTGKKKGIQRNNFSLGALNLELDLDL DESSSLHDKSYDLSASGTFDAESFQIKQTGITRSPGRSPTQPHDMKKHLIKLGVLGKG ASGVVHKALHVPSLLLVAVKVIPVFENDKRHQLIAEMKTLYNNLASLSDDAERRKVAC PEIVCLYDAFMNPNEGNVSIVVEYMDGGSLQDIVDTGGCTSEPVLANISYRVLKGLRY LHEIHQLHRDIKPSNLLINHFGDVKVSDFGIAKEMENSIAKATTFVGTLTYMSPERIA SEAYSYKSDVWSFGLSIMTCALGHYPYTSKGGYWELLHSIRNEQPPKLPLGTDFSPDF QDFLAKCLIKDQNERWSVKELLEHDFLRECRRETSFGHDHSGGSSKALDDDSEVDSFE VDVIIPKVMDYYLKSAKDLVLEHDYNYDDIVAWLKLLPPMQHSKLNRFADQIGMPRPV VYKKFEHAMNILAGNIKDVYFDDDDEEKS H257_04702 MPVKGEAKHLPIPDKRDTPPHDKKFKHIPKSISHTTLTNPRLPV EEEAKPHVPHKVKSVQSLAHEIRRLRSRTQNVADDDSKATPDAKERQVAAAAPPLSPS SSKRGLSRSNFNLHLDTSPKPPKVLELQLPDSPKLTSTGKKKGIQRNNFSLGALNLEL DLDLDESSSLHDKSYDLSASGTFDAESFQIKQTGITRSPGRSPTQPHDMKKHLIKLGV LGKGASGVVHKALHVPSLLLVAVKVIPVFENDKRHQLIAEMKTLYNNLASLSDDAERR KVACPEIVCLYDAFMNPNEGNVSIVVEYMDGGSLQDIVDTGGCTSEPVLANISYRVLK GLRYLHEIHQLHRDIKPSNLLINHFGDVKVSDFGIAKEMENSIAKATTFVGTLTYMSP ERIASEAYSYKSDVWSFGLSIMTCALGHYPYTSKGGYWELLHSIRNEQPPKLPLGTDF SPDFQDFLAKCLIKDQNERWSVKELLEHDFLRECRRETSFGHDHSGGSSKALDDDSEV DSFEVDVIIPKVMDYYLKSAKDLVLEHDYNYDDIVAWLKLLPPMQHSKLNRFADQIGM PRPVVYKKFEHAMNILAGNIKDVYFDDDDEEKS H257_04702 MADTLEIDEGCYSDEDFDEESLQDDADVKPLMSPSSKMVPLDTK GKPSLKGGSSPPRRGTDSGKLAVLTNPRSPRELSIDASAMPKVKQCFTSRSNFPQVMT KPSSPSTSPSKKLPPPPERYNALHGGPIDPSSRVFMPVKGEAKHLPIPDKRDTPPHDK KFKHIPKSISHTTLTNPRLPVEEEAKPHVPHKVKSVQSLAHEIRRLRSRTQNVADDDS KATPDAKERQVAAAAPPLSPSSSKRGLSRSNFNLHLDTSPKPPKVLELQLPDSPKLTS TGKKKGIQRNNFSLGALNLELDLDLDESSSLHDKSYDLSASGTFDAESFQIKQTGITR SPGRSPTQPHDMKKHLIKLGVLGKGASGVVHKALHVPSLLLVAVKVIPVFENDKRHQL IAEMKTLYNNLASLSDDAERRKVACPEIVCLYDAFMNPNEGNVSIVVEYMDGGSLQDI VDTGGCTSEPVLANISYRVLKGLRYLHEIHQLHRDIKPSNLLINHFGDVKVSDFGIAK EMENSIAKATTFVGTLTYMSPERIASEAYSYKSDVWSFGLSIMTCALGHYPYTSKGGY WELLHSIRNEQPPKLPLGTDFSPDFQDFLAKCLIKDQNERWSVKELLEHDFLRECRRE TSFGHDHSGGSSKALDDDSEVDSFEVDVIIPKVMDYYLKSAKDLVLEHDYNYDDIVAW LKLLPPMQHRCVCTATLVYIARCG H257_04702 MADTLEIDEGCYSDEDFDEESLQDDADVKPLMSPSSKMVPLDTK GKPSLKGGSSPPRRGTDSGKLAVLTNPRSPRELSIDASAMPKVKQCFTSRSNFPQVMT KPSSPSTSPSKKLPPPPERYNALHGGPIDPSSRVFMPVKGEAKHLPIPDKRDTPPHDK KFKHIPKSISHTTLTNPRLPVEEEAKPHVPHKVKSVQSLAHEIRRLRSRTQNVADDDS KATPDAKERQVAAAAPPLSPSSSKRGLSRSNFNLHLDTSPKPPKVLELQLPDSPKLTS TGKKKGIQRNNFSLGALNLELDLDLDESSSLHDKSYDLSASGTFDAESFQIKQTGITR SPGRSPTQPHDMKKHLIKLGVLGKGASGVVHKALHVPSLLLVAVKVIPVFENDKRHQL IAEMKTLYNNLASLSDDAERRKVACPEIVCLYDAFMNPNEGNVSIVVEYMDGGSLQDI VDTGGCTSEPVLANISYRVLKGLRYLHEIHQLHRDIKPSNLLINHFGDVKVSDFGIAK EMENSIAKATTFVGTLTYMSPERIASEAYSYKSDVWSFGLSIMTCALGHYPYTSKGGY WELLHSIRNEQPPKLPLGTDFSPDFQDFLAKARDSTTCDMGLTNGLNISSIYLVLDQR SK H257_04702 MPKVKQCFTSRSNFPQVMTKPSSPSTSPSKKLPPPPERYNALHG GPIDPSSRVFMPVKGEAKHLPIPDKRDTPPHDKKFKHIPKSISHTTLTNPRLPVEEEA KPHVPHKVKSVQSLAHEIRRLRSRTQNVADDDSKATPDAKERQVAAAAPPLSPSSSKR GLSRSNFNLHLDTSPKPPKVLELQLPDSPKLTSTGKKKGIQRNNFSLGALNLELDLDL DESSSLHDKSYDLSASGTFDAESFQIKQTGITRSPGRSPTQPHDMKKHLIKLGVLGKG ASGVVHKALHVPSLLLVAVKVIPVFENDKRHQLIAEMKTLYNNLASLSDDAERRKVAC PEIVCLYDAFMNPNEGNVSIVVEYMDGGSLQDIVDTGGCTSEPVLANISYRVLKGLRY LHEIHQLHRDIKPSNLLINHFGDVKVSDFGIAKEMENSIAKATTFVGTLTYMSPERIA SEAYSYKSDVWSFGLSIMTCALGHYPYTSKGGYWELLHSIRNEQPPKLPLGTDFSPDF QDFLAKARDSTTCDMGLTNGLNISSIYLVLDQRSK H257_04703 MSTPSPSGKGKGRVKQPNPTKRQAPSTPVPSARRQSTPSSAGST QSGTPVVKRRYKPGNKALLEIRRYQKSTELLLRKLPFARLVREIQMNFSGHQFRWQSS ALLALQEAAEAHLIRIFEDATLCSIHAKRVTLMVKDIQLARRIRGREHE H257_04704 MTSFKELIEDGQDALTNLRNLTLNDGPPAMTTTVDDASMLRTYT ASLERKLLELLQEKERDAAVCKASAECTFAKNNAGRVLVAIFDHVMSAKVEALKTWWE TGSIQTRSGPGHWRLDPRTLRDKRGYNLLHVTVDRNLAKESAKVAQIELLVNTMGFDV NSTDLVGRTSLHHAAINGYEEAVKCLLAKTSCNPLWRDKAGMTALSAVQQITGASETM IQALLAAEKRENDAQASVPSAWRTHGEAWTSLHCIMRLERYVDRGHERRFQQCTAKLR HAMDSYSSTQHVPFDAMIRESGLLEMLDAAIDDSTIEHDEYKALVTIEASGFSIYAPV DQVTYPHGSWVNWLQRSLLRRQRASTGERYTWYYAALFQAQMHELPGVLDVQQGRASV EYSSEFASYWAITEQDTSLPNTLALGVLELQERAPGNKFDTTSSEDEMVQDYQRLAPD HSYEIGIKIGPAATRQAASDLAKAWSHECKTLFRRRQCISGAACCAYSCPQRVGQYLC RQSAEKVGLDLALQTFGRSTYSKACATYSST H257_04704 MTSFKELIEDGQDALTNLRNLTLNDGPPAMTTTVDDASMLRTYT ASLERKLLELLQEKERDAAVCKASAECTFAKNNAGRVLVAIFDHVMSAKVEALKTWWE TGSIQTRSGPGHWRLDPRTLRDKRGYNLLHVTVDRNLAKESAKVAQIELLVNTMGFDV NSTDLVGRTSLHHAAINGYEEAVKCLLAKTSCNPLWRDKAGMTALSAVQQITGASETM IQALLAAEKRENDAQASVPSAWRTHGEAWTSLHCIMRLERYVDRGHERRFQQCTAKLR HAMDSYSSTQHVPFDAMIRESGLLEMLDAAIDDSTIEHDEYKALVTIEASGFSIYAPV DQVTYPHGSWVNWLQRSLLRRQRASTGERYTWYYAALFQAQMHELPGVLDVQQGRASV EYSSEFAVCLPLVNPIFIQGQSYWAITEQDTSLPNTLALDRPFEGVLELQERAPGNKF DTTSSEDEMVQDYQRLAPDHSYEIGIKIGPAATRQAASDLAKAWSHECKTLFRRRQCI SGAACCAYSCPQRVGQYLCRQSAEKVGLDLALQTFGRSTYSKACATYSST H257_04704 MTSFKELIEDGQDALTNLRNLTLNDGPPAMTTTVDDASMLRTYT ASLERKLLELLQEKERDAAVCKASAECTFAKNNAGRVLVAIFDHVMSAKVEALKTWWE TGSIQTRSGPGHWRLDPRTLRDKRGYNLLHVTVDRNLAKESAKVAQIELLVNTMGFDV NSTDLVGRTSLHHAAINGYEEAVKCLLAKTSCNPLWRDKAGMTALSAVQQITGASETM IQALLAAEKRENDAQASVPSAWRTHGEAWTSLHCIMRLERYVDRGHERRFQQCTAKLR HAMDSYSSTQHVPFDAMIRESGLLEMLDAAIDDSTIEHDEYKALVTIEASGFSIYAPV DQVTYPHGSWVNWLQRSLLRRQRASTGERYTWYYAALFQAQMHELPGVLDVQQGRASV EYSSEFAVCLPLVNPIFIQGQSYWAITEQDTSLPNTLALDRPFEGKTARSVKGLICME ASVNPPYIGVLELQERAPGNKFDTTSSEDEMVQDYQRLAPDHSYEIGIKIGPAATRQA ASDLAKAWSHECKTLFRRRQCISGAACCAYSCPQRVGQYLCRQSAEKVGLDLALQTFG RSTYSKACATYSST H257_04704 MTSFKELIEDGQDALTNLRNLTLNDGPPAMTTTVDDASMLRTYT ASLERKLLELLQEKERDAAVCKASAECTFAKNNAGRVLVAIFDHVMSAKVEALKTWWE TGSIQTRSGPGHWRLDPRTLRDKRGYNLLHVTVDRNLAKESAKVAQIELLVNTMGFDV NSTDLVGRTSLHHAAINGYEEAVKCLLAKTSCNPLWRDKAGMTALSAVQQITGASETM IQALLAAEKRENDAQASVPSAWRTHGEAWTSLHCIMRLERYVDRGHERRFQQCTAKLR HAMDSYSSTQHVPFDAMIRESGLLEMLDAAIDDSTIEHDEYKALVTIEASGFSIYAPV DQVTYPHGSWVNWLQRSLLRRQRASTGERYTWYYAALFQAQMHELPGVLDVQQGRASV EYSSEFAVCLPLVNPIFIQGQSYWAITEQDTSLPNTLALGIAFLLPKIFG H257_04705 MLLAIKELMGGKVVSFQNAEYPVCGGRAVVRRLPNDKITLRCRG QSSIIVTRKTSTGNAHQEVIKSGMTTELLLLDVFFANGTLSKYQVFDATSAPSAPSFV AATKKAPVDMSKASITTAKAVSTPTIKAPPPRALDRVVTLPDKVIPIDLADEDATSSD GGISKAANTTNETVLSILDDSSDDDDDDVVAPRKRLKTGRSTVVVLDDDEPQSSQGGV ESIDEDDVYLLKEPKKPSPFGWMNQFNRENQEKSNGWIMSKKAVKASTDDWMQRKMQR PVKKPAQSKATIARNARNANREEVLSDDDDQSDGVDDSDDGDSYGKRRNTKRSKQDEV AGMLQSCEAIASTLRVSIAKWGQSNTDEQVTLTTINDADHRMLTQGEIPGLSPSLVLQ PYQLVGVNWLYLLYQHKVSAVLADEMGLGKTVQTISFLAIVCSQMKQPHLVIVPSSVL SNWKREIGRFAPSLRVRTFHGSISERQEIMDELQPGTFDILLTTYTYFERDSAADDRK FLRSFNYGYLVLDEGHTIKNANTSRFKRISALKTRNRLVLSGTPIQNNLSELLALLSF LMPTIFNHGSDELMDFFGGEEKTSCSKIRRILAPFILRRLKKLVLAQMVPKTEVVLHV KMNPHQVAVYKNVIDATLLRREAKKGGGAPASSSPSSESNGSTTKPSRELKLLMGGQA QSTVVVGKGKNDPTSDNNVFTLLRKAANHPVLLRQHYESSQVMDTIARQLYKLGEFGT ECSLAMVKSEIEGYSDFELHNLCVEYAHQPEMRSLQLSSDKLLDSGKFDLLRELLPRL KREHHRVLIFSQWTKMLDLLETFLVHSNHRYTRLDGSTSIESRQSLIDDFNDDPSIFC FLLSTRAGGMGINLTAADTVILHDLDFNPTVDAQAMDRCHRIGQTKPVTVYKLVTEDS VDKSIYDIACRKTQLNDTVLGNLGKKSRDTQAAVDIQAILTTVLSSYQPTPTTDE H257_04706 MEHGGVGGGSSINRKHLPPLQCTKSEHVVMDTSYGGALGMRQQR DRSSKNNSSMSLPSMLEAPMGVDVEDTPSQETHHATPSDIAPPHASNRVLPVGSIVPG VHNPLTSDRNLNAYNLWKPGIGSSGSLLRRFISFVFLQTYHGNIDIYHEAPKTFQWMI HPNSNFRKVWDTIIAVMVLYVCYLTPLYLAFDFLDWEPLYPVEATMNVCFVIDMVLSF RTGIFASGEVRMDAKFVAKHYLQSWFLIDLLSNVPFDKVFSDSSMNKQNSTVKFVKLE KLPKLLRFGILLKYLRQYAKYYNLLLTTSAMVLCLHCFACVWVYEFNECDALPCPTDA AFSMYSQSYYNVLLLFLGIAEGSTFQSSEYLSCPTRTAKPSMYGLCIAIAMIGALTCA FMFGNILTLLLSWDQQSSSFRNRMDVISSEMKYYELPAELQHRVKRNYDYLWINQRAY ADMTLLNQPGLSKPLRTTIALHLYKDLLNTVPIFAGSDSKFLGKVCMALDTAVYLPGD TIIHKDDIGREMFIVRKGQVEVLTAGSDLSQEQPPIRLSSSLSVRLSPIPHLRIILKD GDFFGETALVAEVRRTNTVVAITICDLNMLSKQAFNEILAEYPEFGSKMQQSVVSRQL ANMNIRSPTTKRKVENQLNHLVEKSMQRRQLSSTWKGVYKAKKMADKLKNIQDRAKKT DEDPGSTRTSFISTFLGKVRSKGELLELLPRSSGRRTLTSRSMRRESNTKPTDADDDQ TKPVDGEGAGDDTPADPDSSCSTELPPRKRKMAAGDLVSRRLNATEIQANFVPLALWE INTMVMDIKVALEKVQKKLAVTNADSDSDDDAAATVPALTNPTDKSGGESTRTKGAAA VEMPGEDQLLLQQQAKLELLTRAALLSNMSEKSIPMQGPSHTMLSR H257_04707 MGVVVAPLDESRPLPPRPRSNGMWLLRHQMFKLFDKCSQVMLKQ LGNRDMDIDIFHTLVKRSTTMIHPNSNFRRLWDVWTALNVVIVCTIMPLELAFDHYME AQSWTEPLELFMDVYFVLDMLLSFRTGYIESGEIVMDPKEVSHHYMWSWFVVDIVSNF PFRFVLTSTANPKSVKFLKLQKIPKLLRFTRLLKYMRQYAKYYKFVLTLCVMAVSLHS FSCIWVYVYFLCDHDDASNPNSLCDLSTLEISPVYLEALTNVVLLYMGFGQQSTYQSI TSVLASPDVKASEGSYLLSLGIIFLGMATMSVMIGNVISIIISWDQQSATFRNRMDVI SAEMRHYDLPMDLQRRVRRNYDYLWLNQRAYSEMSILNQPGISQPTRTTIALHLYRDL IESVPYFAGEDSKFLGRVCLALKTAVYLPDDTIIQEGDTGREMFFVRRGLVNVEVPTG PPHIQLKDGDFFGEMALVVDVRRTNTVRAVTICDLNVLSKNAFDDILAEFPNFFDKIK RVVIERQLNNMVHGQMDEGDSSILVTPHAVDICQRYMYSVGDHIIFFASIAHYVTERQ GHYRSRTQRRRK H257_04708 MDVIEQNVALQELWSRRDEYGLEFVLDEMNRLLEMGLISADDFQ RLMVVDGKNPSAHLHHPQRHHKGLNQRPLHTQLPPAQVLSILSQNADAVKREEWTNNV QETVRDLISTILDQEKYSSLPDIQGQVEATTGIDMRSHLDWLQDTIFQQSKQKLKRIN QAAEVIRVTAASTRVQRAFRARRWALRRRSRLRLEHTRVAADRVAQQAIQGYALDVVR RLVLRHVLLRRFRLLVQRLQREVVQQHALDIARRHMARYRVASWLRVSWPRYTLAKET HRRLTELEASVATMAQALVRGFLVRRRHICINNSVIHIQHVFRRYRLDKVQSAAATTL QTWTRKQRAVATYKEHRRQQIDAMQCILRMYRRWRHVRNQRAAVAVVEAWRGRHLAIR RAKDVASDRRWQRLLDASCRSIQRRFRSFLGRVVLQRQVAANCIQRNMRRHRVCLLRR QVAGMRIFRQVWAWRFRRERVVQRVLHAGNQQCIARRQSLLARKTHASKIIATIVQGW VLRCREAKNVAATRLGRWVRKFLARQRALLERKQRSSGRVPIRPPAKKPYTVPAATAN PPETIQTLPPVRLVKRFERMCKTCHRHAHPHACEEMAVASSPVKKMVAVRTFGGRVTK ATAMRAHELLLLGDMQRHHRHLQSQPPFVAKPPATNDSTKPLSLRKSLSLMRGIPTSN QEHHGV H257_04709 MYQYPKPAQTATSTPSTARLSSQVGYRGRCKYKSGRCPNERTLK YNGEEIHSLCEEHRVRHNRNQRKADTKRRKGGCAEDVGGMSPRTASSPMASALHVACR SSDSECAILEPSFALHDHSAFAHATASPVDDNYLAPLEDMDLYFQEDYPTWSNEDVAI LMEFFVDSKC H257_04710 MVTCHAIVAAIAALSVAQACTIVAVTKEASADKTPLTAHTDDAG FGAADLRLVFVPAADYDHGSERAVYDFNPGYPRVTTMQRGPDYMPKVGENLTEPLGYI PQVQHTYAYFDQDYGIMNEVQLSIAESTCAAKTVGWPTDVPYGYNMFGIAELTKLALE RCDSARCAIHTMGDAAVKYGFYSEDSGSPLTPGYGDSAEALAISDKYGETWIFHVLTG PKNASAVWAAQRVPQGHVAAVANGFIIRELNLTNSDNYLASPNVHSFAQSMGWWDPSA GPFDFTAAYNYHTPGPIGPLYVGRRVWRILDWFAPSLRLNATLGQSTLPTYPFSVPVD APVTLHSVFELLKDHYEGTAFDMTQGLAAGPFGNPTRFDGPSGGVTGGWERSISMYRT MFSYVLQSNGNVSDHVGGTVWFGQGTPHGTVYVPFSCRQTAIPTSYLVGKQSEFHPQS SWWVFDFVNNWSLLRFNQINADVRAEAAVWQARAMDQQLQWRRQPAVNASQLQAANNL FADQVFDAWWALAWRLVSKFTDGFVTTGEGAGQMQIPGYPAAWLRQTEFNNWPGKTFR PPVAEMPTVLATSFADPLDGGNGGVWHSLALVVAGVAVGVWGQRVRERYARRRSYDRL L H257_04711 MAPPSNPFVDGPPLKIRNVTVRGNKRTSPTLFDNELQSAYSATT FGGVMESLNAAALELRAFGIFDSVDILLEKTADGTRNETDVVITVKESRMLSLYAGVE SSGAEGTANTKCTLTNPLGHAEKIELSAAHGQYGSDGQNFSYRRPKFLGRPWWFTASA ANEVQVHERFSSFREKFRGGTVSVSDYNGVHDLAFNLGWRDIVPVRNKKIPNTYAASP SIMAEAVPSTKSSVKYTYNDDQRNDPTLPTSGRLLRASAEVAGLWGDVQFVKGEAEVQ HNIPIGPVAFHHPIFNIMLSSRVGAVHSYGTDKLRPARISDRFFLGGPLSLRGFNHKG VGPRANPDDGGVKTGDALGGEVFYSVGASLGFPFPLPLLALLGVRGHVFANAGSLLAW NRILDEKAWIKNILDDSRAAAGVGVVIATRFGRLEANYSWVLKALPGDRVKRAQIGLG LHFI H257_04712 MLLCRRIAHTWPRARCFTVRSRPDDISYLRQLGRDDDHVTLYLP GDIRTPSYAASLSRTAVLELINPSARNAMSGKMMAELIDAVDQLEEHASSLCCVIVRG SGGHFCAGADLRVAKDHLSSPEGGATMSRVMTHALTRLRRLPFPSVAVVEGAAIGGGA EITTACDFRVLARSASIQFVHGRMGVSPGWGGGARLVQLVGRQRALRLLGRTEKVAAA DAEVLGLADAVVEDNAVDAGAVSFVRPLNAHAPDVLQGIKRVLSHGDDSSLLRMLSTE HAVFQQLWGGPANVAALAKQLSNNPRTKS H257_04712 MSRVMTHALTRLRRLPFPSVAVVEGAAIGGGAEITTACDFRVLA RSASIQFVHGRMGVSPGWGGGARLVQLVGRQRALRLLGRTEKVAAADAEVLGLADAVV EDNAVDAGAVSFVRPLNAHAPDVLQGIKRVLSHGDDSSLLRMLSTEHAVFQQLWGGPA NVAALAKQLSNNPRTKS H257_04712 MSRVMTHALTRLRRLPFPSVAVVEGAAIGGGAEITTACDFRVLA RSASIQFVHGRMGVSPGWGGGARLVQLVGRQRALRLLGRTEKVAAADAEVLGLADAVV EDNAVDAGAVSFVRPLNAHAPGTSCSYEDGHHGW H257_04713 MESIVLYAVGLFQVWFTPYAKVEESFNLQACHDLLYHGVNLTKY DHFEFPGVVPRTFLGALPVALLSSPILHVFHPSKPVMQICVRSSLWTLSFLAWLYLKR TISALHGRDTSVWFTVVSIVQFHVVFYMGRTLPNVFALMLVMLAYSFWMQNRPKVVIS LLSFSTIVFRGDTAVLFAPILITMLAMRQISLLATIASGILSTIIALATTILVDSYFW QRWLWPEGEVLWFNTVLNKSHEWGTYPFHWYFASALPRIMLATALLVPLGATSLGTVL SGSKNVRGMFEALRTTTFVDGPTCQYFIPVLVYVFLFSFLPHKELRFVLNAVPILNFV AAVGVTKLWRNRTKSFWPLVLALGCLCATLAGSVVFSLASHANYPGGVAFHRLHKLGA SFEHTPKTVHLDVASAMTGVSRFGEQYPAWTYLKTEGLNASADFATVDYVLTADPWHP SKQEFEVVDTVDAFDRVDAGRLAIVQKPHIYILQRKPSQV H257_04713 MESIVLYAVGLFQVWFTPYAKVEESFNLQACHDLLYHGVNLTKY DHFEFPGVVPRTFLGALPVALLSSPILHVFHPSKPVMQICVRSSLWTLSFLAWLYLKR TISALHGRDTSVWFTVVSIVQFHVVFYMGRTLPNVFALMLVMLAYSFWMQNRPKVVIS LLSFSTIVFRGDTAVLFAPILITMLAMRQISLLATIASGILSTIIALATTILVDSYFW QRWLWPEGEVLWFNTVLNKSHEWGTYPFHWYFASALPRIMLATALLVPLGATSLGTVL SGSKNVRGMFEALRTTTFVDGPTCQYFIPVLVYVFLFSFLPHKELRFVLNAVPILNFV AAVGVTKLWRNRTKSFWPLVLALGCLCATLAGSVVFSLASHANYPGGVAFHRLHKLGA SFEHTPKTVHLDVASAMTGVSRFGEQYPAWTYDYQYLSYREIYI H257_04714 MKWMLVAAALACLHDTISARKINGWYPCNLRTFATPAPATTTSS TGQATDDASPTIRGWRLNDQDPANAIFRTLIVNPATDMSITLGAAAAAPTAECAEITM PLCHEGICESNATITVFVKRMRAAPGRNTAAAKSLWVLQGGPGASSVNMEGIMAALYD APGSYLGGSVDVYTMDHRGTGRSSRLSCVASQVETSGSPTKGHVTSQSFPDCIQDVNM QLGDDADVNLLKAYSTTSAATDLSKIISLLNTTPNAHTTVYGVSYGTYLVQRLMQLAN PNVKGYVLDGVVSQSGSQSGEKLSFADWAVNMDEIGTAFMALCAKDATCGPKFATSSL QETLKALYTSMDSKPKGTTCSSFLTSVGGRSLTPPSYTLRQLLGHLLQVQSIRNFIPV LVYRLSRCNPNDATAVANFVTAYLNIIGAPDESDAYDSSMLYNLVALSELFTYPAPSR PSLRASFASSLIASDTSSLVTMYCLASNGKDAACASEKPSTKPGFQFIYPTDKYFDVA IAIPNGTSVLLLSGLLDPQTPPKFARYQLASFVGTAKRLIEFPTSAHGTILNTPVTVQ NKVPCGASIVSSFAMAGGLDKLDTSCLEYLTPNSFAITTALAQRLMATDDVYEGTPRK AIVPRTPSDSAASDVPASTKPPSESQVNSSWRSLAIAAVVVASVIFLVMVVVVMRLRR QVREAKDLSAAEYIVDPVDP H257_04714 MKWMLVAAALACLHDTISARKINGWYPCNLRTFATPAPATTTSS TGQATDDASPTIRGWRLNDQDPANAIFRTLIVNPATDMSITLGAAAAAPTAECAEITM PLCHEGICESNATITVFVKRMRAAPGRNTAAAKSLWVLQGGPGASSVNMEGIMAALYD APGSYLGGSVDVYTMDHRGTGRSSRLSCVASQVETSGSPTKGHVTSQSFPDCIQDVNM QLGDDADVNLLKAYSTTSAATDLSKIISLLNTTPNAHTTVYGVSYGTYLVQRLMQLAN PNVKGYVLDGVVSQSGSQSGEKLSFADWAVNMDEIGTAFMALCAKDATCGPKFATSSL QETLKALYTSMDSKPKGTTCSSFLTSVGGRSLTPPSYTLRQLLGHLLQVQSIRNFIPV LVYRLSRCNPNDATAVANFVTAYLNIIGAPDESDAYDSSMLYNLVALSELFTYPAPSR PSLRASFASSLIASDTSSLVTMYCLASNGKDAACASEKPSTKPGFQFIYPTDKYFDVA IAIPNGTSVLLLSGLLDPQTPPKFARYQLASFVGTAKRLIEFPTSAHGTILNTPVTVQ VRTTASTTSITLEMASFRWMDGVGRNMMLGYVRDNVE H257_04715 MVISRWGLSACVWVAFGGGEGCVGVVRHGHSSCRHHGRSMLHFL GMGHAPALLLCPLQAFDHFATLATAMHAAQLLQMGLLLTAPDSTKLAAAVGFAQSAGL RRALASFKPASRAAAVSNAQPSTLAVLDTPFKRALASSAVFLASLVGPRAIRTPCLQT NLSCGPRRRRERHVCRVAGCVWLRRNGQSAHQGFGFDRWLLFDHGFGQAFGGRWPLMV VCMRGQAGRQRHSLLCVFFVLLADVVVKYTREWRDLAQCSLLGDHFPVIVVVLVGIRS GRSLKVARLHIVRVRRHIDDLGVDIHDRGAVFIVICQVEVVQLEVERVHLHVEQAFLH EEGLVFIGQGARRDVQVGGDVLTLVLEGVHAHDSRVQPWRRT H257_04716 MPFYAVAAGRRRGIFTSWAQGAKEEVTGYPAAIFKKFNTEAEAQ RFLNQAENVTRKRARPAADDDDVEAQWHPPTIHQRTVPPHILVRGARIVSQPHYVVSK GHVTGVFASWGEVEGAILNFAAPEFRKFPSKEEADAYWTQLHGSTPAPSPLISSSWST TLVPPASAAASSPSVPPTQPPMKYYAVAKGRKGVSGIFTTWKDVEPLVNNFVSAKYKS FWTRAEAEAYMATHQAVASLPGTPDPDPLDSSTLVAFCDGSAIGNGKATCKAAFACVF PHNESWNVAEKLPSLQAAATNNRAEYLAALEALKRANVQDPSQSQPLFIFSDSMLLIR SMTEWLPTWIDNNWRKADGTMVKNVDLLQQLTHTRGRRRVLWRHVKAHTNQRDWKSTW NDKADQLARSTAQF H257_04717 MSPQSPDAAAAAPQKDMYFGKYVSPEGSKNLKLYTYKGIDHSLI YKHVLTPMNNYLMQFVPLWLAPNTITLLGLIAVIVSHIIVASYSPNFEGEAPAWVYLF SAVALFFYQTLDNLDGKQARRTGTSSPLGLLFDHGCDAINCSIGSMTMAAVAQFGAGW KSVLMVLWINFAFIGATWEEYYTGTLELPIINGPTEGVLIAVVLKLATAALGTRFWHH DTFVSGVQNNTLFLFTMLATSSITVLGNVVNVSQTVRKQRISVAVAMTRLIPFALFNG LAIIWALYSPSDILRHHPRLFLWTAGLLNSKLLLHLMLAHLCEEEFHPFRKTLVPVFF VAGHYLYGHIQGTLVVGLGTETLLLQEFFYIALAAYVHIVITVIGEVKTVLNIPVFTV PKANQTLANKSQ H257_04718 MNFSAADEAISFLHDFHRVFGGPADFSPYDPAYKTFLRQLTLGC FAIGVLVMSLLLLIVVRRVMALGAGTPSRTSPSYAAYTRLRRNWNHHGSNDIFAVILL GFTALSALGGLLAEAQVDYSVHRVSHSMHNMSNTFHSIHGIGANVSTIAQGMRANTDD MLLTFKDTLPANATDLALEAMRLVHISHSLANSTHGLPSNFSHLANQWEEEYFWMKSS TNGIILTMTLACFLAISAIGWSMSSALRMAVFLILVVIPSSHGLFGIYLSNSIESADF CVAPAANTMMLFHNDSAVQYFVECPANTTLFGPTMSNFHASVHDASAIEELLQKFATT LPEDTRKRLQVGYLDPIADQLAALAALEASYYSVSACAPMSQNHKDVVQTWCTNGILG MFTLWVHQVALCAMLFLSVIALVTVFEQVRAKEERVEMQYHLLSTYEEDNIEHLYMSP E H257_04719 MNFSAADEAISFLHDFHRVFGGPADFSPYDPAYKTFLRQLTLGC FAIGVLVMSLLLLIVVRRVMALGAGTPSRTSPSYAAYTRLRRNWNHHGSNDIFAVILL GFTALSALGGLLAEAQVDYSVHRVSHSMHNMSNTFHSIHGIGANVSAIAQGMRANTDD MLLTFKDTLPANATDLALEAMRLVHISHSLANSTHGLPSNFSHLANQWEEEYFWMKSS TNGIILTMTLACFLAISAIGWSMSSALRMAVFLILVVIPSSHGLFGIYLSNSIESADF CVAPAANTMMLFHNDSAVQYFVECPANTTLFGPTMSNFHASVHDASAIEELLQKFATT LPEDTRKRLQVGYLDPIADQLAALAALEASYYSVSACAPMSQNHKDVVQTWCTNGILG MFTLWVHQVALCAMLFLSVIALVTVFEQVRAKEERVEMQYHLLSTYEEDNIEHLYMSP E H257_04720 MEARLTELSIAARVTAVEEEVQAFLRSLDTLKKSTTLAQRNHER KKLYKTEEFIRLDIEDELLKLHEVPPTAPDAPVPSDELSQCKDPLVVGYATRLNALRA LLTLEIQPSSHTILEKVYMFVRAATFALVMFGGFFVVCFLVPLRWIHLILRQFGVPNY YLPMDWIQTTFGLALCVASGIQISTNDRVNLTMETTKNDPTVVMFTYVSSLIIMPHSV VMPRHGSNLDGLMIQGTSPTSLKFIGKKALFMLPIVGWGFRWGFGNIPIDRSNREASK RSLKVLAKAVLEYGRSVAISPEGSRSKTGQLQDFKKGPFYLQSDVNKSITPAIVHGAF ELWPPGRIFTLSGKAHVDYLPQFHVDPHKSRNANRLALRRVYLTALAKPVPADLSTAP DTPHVLMHVWSIMCLWVVIPGAVAAICGAISRLSTVLGVSTTSGTAQLVASVMLGLET FMHFTC H257_04720 MEARLTELSIAARVTAVEEEVQAFLRSLDTLKKSTTLAQRNHER KKLYKTEEFIRLDIEDELLKLHEVPPTAPDAPVPSDELSQCKDPLVVGYATRLNALRA LLTLEIQPSSHTILEKVYMFVRAATFALVMFGGFFVVCFLVPLRWIHLILRQFGVPNY YLPMDWIQTTFGLALCVASGIQISTNDRVNLTMETTKNDPTVVMFTHGSNLDGLMIQG TSPTSLKFIGKKALFMLPIVGWGFRWGFGNIPIDRSNREASKRSLKVLAKAVLEYGRS VAISPEGSRSKTGQLQDFKKGPFYLQSDVNKSITPAIVHGAFELWPPGRIFTLSGKAH VDYLPQFHVDPHKSRNANRLALRRVYLTALAKPVPADLSTAPDTPHVLMHVWSIMCLW VVIPGAVAAICGAISRLSTVLGVSTTSGTAQLVASVMLGLETFMHFTC H257_04721 MARTSTRRRCLGMQCCPIKRSSCLKWKTPKRDTGNLWATSMASL AFFPRIYGYNSSPNCLVRLAFSAKQVGLGCWEAKGYHDVVESDERSCSDGAPVKAILN PLNGWFAWYSVHFPANSFAAQQCLNWDVRRIVFNWRDYWMVLTFWTCFPLYGLYHSAK LVLLLRRMKLIQTTRVVEAMDSAVQAARESTKPSKIKTATVVKCRCKSRRCSDCQQCV KCQCYCNGPPQRPLRPLDGAKKSPVPQSPMSSEGNFTSNTVASRRRATRNIEPELPKQ PAKNSPDDDATTPLSRTPSPQRPDNHPSVSEPETMSTTNGPAKVWAKLTCRCKARRCA ECTACSKCSCTCGGNTGSPTSAAKRKVVESPAKLPPPSKKSKVAKSTTPRRPSRHGKP VEIDTHESTNETQAGAVRRRRSPRGVSVPKSKEDADHDPPAATDSIPHAHDDEITSTT AAAALKMDEETRKMDEELKAWMGKRSDEQEELTQLERQIYELEGAYLRRSQHAGNIVK GYADANVFVAEMAKDELQDPPPNEVVDAQRVFSLSSTTSPAEPPLHVATAAATETAAV AAPPVDVPNHSVVDRARTLSQELAEADEV H257_04722 MSFFTSFDSFKTTRIHATSAQVFNVLKRVEHWPVWDVDLKKVTL DTPASTPLNSTKGTLFMKNYGGGEHAFAIQNVDEARHFEYYTRLPGVDSEWYWTWSEH PTDGYVDMKMGVRVHGGAALLWRGALAPFLGSAFDSCLKNFKSLAEHGHVDGQDFTHL YQ H257_04723 MQKHANKQAVKARGVLAGSSLAQHAASVASAYCLYRVYAWSVVE YYPFGEAYLDTPPLPVTPNSCPLKFTASGAANGGFIPVQDADQVETNSAFVMLNGENQ GLWVSWHEDDLNKKKDVDLSMCAQALASFGAKAFGKRGVDVDQATLYDQMGRMLPRHS WDTVATRVHVLLDQEVWVWPGIEVGHEWMVDGVRLKTLSLSPKAIFVSKFLSPEECQH VIDLGKDMLQPSPSVSQFNLKGLDRYRTSSTAFMGHLPFSQEVKTRGASLARLPSREF IEDIQLVRYEDGQMYKVHTDYFTHLDVGAEVNPPALKSFSHWITWVQRLNVISPHHPL YPRHSAAFELQLATLLLQPHSIVNDAMWKYLGPAWKVWMQEHVALKSDYIVSSMISAF RPVNPVVPLCYIRQRWEEVVGVPDATFRLPLLAKYIEPNRHATLFLYLNNVDEGGETV FPLHPTPPGNVTTRAGMPECSRGLAVRPQEGGGVLFYSKHPSGENDYRSLHGGCPPAN GSIKWGSNVFMWNVPAERGQRLWKFW H257_04723 MQKHANKQAVKARGVLAGSSLAQHAASVASAYCLYRVYAWSVVE YYPFGEAYLDTPPLPVTPNSCPLKFTASGAANGGFIPVQDADQVETNSAFVMLNGENQ GLWVSWHEDDLNKKKDVDLSMCAQALASFGAKAFGKRGVDVDQATLYDQMGRMLPRHS WDTVATRVHVLLDQEVWVWPGIEVGHEWMVDGVRLKTLSLSPKAIFVSKFLSPEECQH VIDLGKDMLQPSPSVSQFNLKGLDRYRTSSTAFMGHLPFSQEVKTRGASLARLPSREF IEDIQLVRYEDGQMYKVHTDYFTHLDVGAEVNPPALKSFSHWITWVQRLNVISPHHPL YPRHSAAFELQLATLLLQPHSIVNDAMWKYLGPAWKVWMQEHVALKSDYIVSSMISAF RPVNPVVPLCYIRQRWEEVVGVPDATFRYAEARRGSYLEWMVVGCRCWPSTLSPIAMR RCFYI H257_04724 MTEGGGPAIGSAAYRQLDGLHFECTVVDITYDHEHKPVMWTVQY ADDDNTESVTDVSELNFDVQAVVDMRKHRQLFLDQFASFLPYLTPWERLTKCCVLSKS CQRATMQATLWQHVDLSFWPSTTPVGRYVNTVLNRLVYHDVLDKTPVKSIVDTLVLDG LPVTDQDLWGLMRQTPLLTSLSLVGCPYISFHLFPMCQNLQTTLALETVDLYLTFVQH ASVMDVRNKFHRVVSFTSSGLQSLSPDISSHLLAQYTVATWSNDRILFVDKDRLVLDH PNACSDELYAWPFSLVPVFQGDVSPTITPKSNPLSSSTAFMFRSLADAGRFLDDLRVP ESLATLVHRMKSSQSTTPRTRSKPVDPLDEHAKQVFASLYAWKTSLQAQVDDLSVAVA KAKEEHHVALTDYNRAELQRRHVEKAANRAVLALSMGQASVSPPPSGVIVNRPGDLDL PPLPSPDAIVALATTLALNQFAVCDAFLGEAMAIALYETLDNLHTYGGGPLSFERGVL AGGKTGRNLRYEKPSVRGDDVVWLDGSEAGCPGIVKQTLRQLDRLVMERLANDELAEC TLIRNKAMLTCYPGRGASYVKHCDNPNGNGRKVTAILYLNPAWVPAHGGELVIHHAHD TQHVVAPVLDRLLLFFSDARNPHEVRPTSAKRYAMTVWYMDWDEYTTTQVFADDDHNE RTKIECEIEKFDAVAST H257_04725 MLCARLGRNVVVALVGQRGGARGLDILRHRPRNHVITPAGTSLP VVYGTDTGWMLVGVSSMYSHNNSLFYDDIRHADKSSVPPPLLKSSSLGDMPREDMVPQ KAMQAPGSKIRMLRMLPTKSVGPGMETAVPRRVNHMATLPFHSSSKQPSIDPPVKHSL QSKRKVYGIPLKSVLKCNDNVSRNLRLLRPLDNSTALQVALQQQESHIQDTMHRVGKN LPIQFLKESNHREFAVKIASETVWRILVANWTLAQRRALQQWQAWTLHARKLDHNAKR RLLDRQAGLARCLHIAQDRLYRLIRLRLRTWQHEAQHRTHQLHVNMALYIQCAWRQKV ARHAVSHVHARHIRLTRHYAAVVIQRHTRGVLGRRRASHLAHAKLTQTSARTIQRAFR RFVVVQLHSRQVKQDRTSDIQRVWRGWRGRRRGHDRRKGVRAAAVTYSNAQTEIAVDR STTYVCAIMAIQRSIRHHLIRQQVRAGVQCMARRRQCFPRIQIQRSWRRYRRAVLVDV MARLVHTLVVVAKQLARKVVYHCAKLAEAKRRRGATAIQARIRGTLSRMHGFPMQYRV WYELDKQLPRCDKEPLEWEPLASTDRIKCLVVWRTKVQRWRDGCATDIQRLYRGYAVR QKFQVRQQACGDLRQLLNRPVHAYLRRLKARRVRGRWRRHRHATLASTMLAWKGMAHA LSQLKTLRRGARQRQIAQWHFEKTHRRRLLRHWGECVRVQRDRARRALLATQFSNRHI QARATKQWRRGTVVEVICDVVWKLSILVDVYQRWARHASLMRRLKRLQAKVHGRIKVD LVNQWKQLHILNHLHHNMATRQRCHMLVQSHLTALHAFTRRNQLVNQRYIRMGARYGV RTWAEWVSLVKFRRDQHELCRVFRLRHMFARWKTLKAILRAKALQRQRLDAFATKCKL TRGLVQWLEATDHARDYHVLHMKSQRLFSRTFKRKAFAEWFELWSATAGKRRIASALL IQATWRGIKGRRRFAKAKALHEYKMAKRIERGVDSPECSIDNIASLLTTQQWVILYAY LPWEPPSLQFRKAFCTVATHFHLKRHTSFGFCDATQMDPVTMVSLASRLHLKRRLPSI SVFWQGRGPENETSRDPPRRISRRFTKIDMQFALSSMTAETLLVFVDGLFQRGNHATA VDLQAIMRRTLVRLRIYYATQYRHRNAATTIVWWFVRQCRRRRYKNATKLQRWYRRRM AQHAAWMTAKARMVVLRQPIQLIQRVVRHFFRRVQYAHARDERLATPTLYPNAPLCMT CEVKIGVTKCIDCDEPYCDDCFAAFHKQGARANHRAVTMEFQAMHLNLCMCRRCHVRA TARVCEACKMGLCLLCFEKVHSMRSGLHNHRFRRPIRLQQDPKHRLKLKKKPAYLSQL LCSDVAQVVISQHGWPSKSSVDAAAAAADKVQRDAAERESHIQAICRTLEKPVLDAFK LYDPHHQGYVGVGELRMLLTHELCIPVTKNEICDIVASIDLNHDGHLGWNEILRWLAI QIVDGAFRGTFRAVRTKALHVHKGYRKTQQHIRDVKRKLRDRWPKVVPRKKRVPPYDD VFQLHPVDDFQRKKHVFYRFLQHEFALEWIFEDMHEIDLDKQMTVFADVFVPRWNAGA LGYEYLYDGTTFEHQATLFEQKWDVDLNKYVFLNMETHDTHLVDPRKEDVLYALALEA FTEVDVDGSGEVDVHELFTLLNESLCEPMTMDQVIQVMTSIDQDGTGTINFNEFYAWY GSEYSQKQIKSVKHDGLKLALRTRRQAKRIAAKSYRAGVKQGSKLIQGVKHRMHERRL QKDCEHASPETVELLMEGFDKHLIQKALMMNHNDVHKARDWLAQKQDEAAIDAATKRA ASRRRREEQLHVLRRVQTSTKSGALKLAVAIKVMLFGQKLNRDAEVDYILKNLKMEID GARQIVQDEIDPE H257_04726 MAKILALLTVFAAMASATVNQTNDRQLQTTDPSFCWKTSQPRGI GQLPSSCAAGQERRGLQCYDKCPVGTTRNGLDCHSYNPAGIAAQGATPTLATCAANED FDAGLCYPKCNPNYTGVGPMCLAPPPATWVDCGMGAAKTPSDCDTAVKGQIMSVGQLA LKIVVAFAGSSPMALRRPADASKFAELTKAWDVIKYNPSVKRAIKTYDNANRGKAGYQ TIEELEKTNSTAEDYVNMATSIASIIASIFDLISISDAFVAFKYSICDKVAA H257_04727 MLRPSLFARRFLSTSSTFTPRNATPETVDKLRQLLGDRLSTATS VREHHGKDESYHSAPPPDAVAFVTSTDEVSKVLQICSEAKTPVIPFGAGSSLEGHISA VCGGISLDLTGLNAILNVEPENMSCRVQAGVTRLQLESELRATGLFFPVDPGADATLG GMVATNASGTTTVRYGNMKANVLALTAVLANGQVIQTGSRARKSSAGYDLTRLLIGSE GTLAVVTEVELRLHGVPELQRLAVCSFPSIQQAVDTCTAIMQMGIPVARMEFMDEHTM AATNKYSKLHNAELPSLVIELNGTADDIENQTSMVEALTEEYDVQKVEWATSTEDRAE LMKARHAAWYATLNLVPGSRGLSTDVCVPLSKLTQVIVDTQADLQSSNLIGNIVGHVG DGNFHVMLPFVPADYPQIQAFSDRLIERALAAGGTCTGEHGIGLGKKKYLALEHGGAT TDVMRAIKAALDPHEILNPGKLF H257_04728 MPSEILDFAVGTIIGNISTAVVLTLVYYNFILFEDYFRVIIWAF LFSQALRGAKEKICRLLRYLSRDKEIQRDGLLYTVWTQAIPYFLRSTRRPRATGTDAD APPPVDRPQDKLTNLVMDNGIFIFAWISGVSIYVRMFSLSSFLQLSVGIVVVASLAVW VLDRRVFYYRLFISDDVLVSLLLILGCFVIGFFVLFYLGTESYMEGSLAASQVSRWIH TNVVNDERTRAMWSEQMANGKAMVSSAIHGVEGSYNRTLWFGPFKAMLVSYYDTPANT TIVHGGASGSSSLFPNLTWVQACSLAYAQFSDFNLTSVEVTDMTSKGLEYSGMAVGSV VQLLFVLVTIVVAFVSIGLKSFFFVTSLFYLLSAKWDPIERIVHDLIPIAPEKRPAMV ASLRRAIEGVFFLPMKISSLHAIVSLVSFSIVGTDFVFLGTLLSFFISIVPIIPPYLI CLPYVCLRLSTSFVPAVALLVVHYLAFTWIDQVLYERSLTSINAYISALSVAFGVYVF GLEGVVFGPLLVCGVNWAYEISNHGVQAATSDDAVMTSPSSSPVHDEHGSIFSSAYRA LSGGLLRSNLSRGFSFDSARDQAVVVVDVQVHYGKEAATPWVVRYVARKEWSYEDLVK NLCRTLHVSHVRGLYAKANHAQILGVEHMIPGELITVDVQDDPLQDDVLSASSARPPM HFQQHDKHGGRFAKAGKTTAAVARASSPSTTPTTTPVLVRRRSHASTTPRGRSKQSSL GSRRSIRSSDSGSSSAFGGDSDGDTAAIAADSPCPVTVDSQSESFDSAANTSFRSALN GLRIQTDFTRANDDAHGAATAENTVGHEDEPMPPPDKPIQPITQDQPNKQQPTLQPPT SPLYITEKVTTLRQRRGSMASPADEATKPGLWKSLFKPN H257_04728 MEGSLAASQVSRWIHTNVVNDERTRAMWSEQMANGKAMVSSAIH GVEGSYNRTLWFGPFKAMLVSYYDTPANTTIVHGGASGSSSLFPNLTWVQACSLAYAQ FSDFNLTSVEVTDMTSKGLEYSGMAVGSVVQLLFVLVTIVVAFVSIGLKSFFFVTSLF YLLSAKWDPIERIVHDLIPIAPEKRPAMVASLRRAIEGVFFLPMKISSLHAIVSLVSF SIVGTDFVFLGTLLSFFISIVPIIPPYLICLPYVCLRLSTSFVPAVALLVVHYLAFTW IDQVLYERSLTSINAYISALSVAFGVYVFGLEGVVFGPLLVCGVNWAYEISNHGVQAA TSDDAVMTSPSSSPVHDEHGSIFSSAYRALSGGLLRSNLSRGFSFDSARDQAVVVVDV QVHYGKEAATPWVVRYVARKEWSYEDLVKNLCRTLHVSHVRGLYAKANHAQILGVEHM IPGELITVDVQDDPLQDDVLSASSARPPMHFQQHDKHGGRFAKAGKTTAAVARASSPS TTPTTTPVLVRRRSHASTTPRGRSKQSSLGSRRSIRSSDSGSSSAFGGDSDGDTAAIA ADSPCPVTVDSQSESFDSAANTSFRSALNGLRIQTDFTRANDDAHGAATAENTVGHED EPMPPPDKPIQPITQDQPNKQQPTLQPPTSPLYITEKVTTLRQRRGSMASPADEATKP GLWKSLFKPN H257_04729 MRPSQSSHVAGMEPHHPDAYEPSPPYIPLTTRGKKSLSETKARL VNMYRGAPGTLLGAGMGLVVGYLVTLDAVYPTMRAYDARSNRAIERIMLEPGRAYLRA LTCVALPFAFLNLTLVAADIASSRTPGVAKLGVRVGLMSLAMSFAIVVQGVYVGSLVA PTFEGSRYNFRAPAVSLECPTTSTKTSLFFDPVRQIMTCHATNQTVFSFGSDEFAPYA IDPSVNRLARYTSTIQEVIAAVLEITPTRFVEATNTNVVALVVGALATGVAIGAHLTQ FKSLSSDSAAGNITVLAALRELVSVFQIMTSWIAMTTPLALISLVAGPIYAGTHNVFD FTKPTNGLIGVCWYVLVFVGVAAVHAVVVLPVVAVVGSRGRLSPLRFLWHMRDALIYA LHTSSSRKSLHVLLSTFERTVGQPTAKTRFAIGTGATLNKNGGALYVSLSVIWLFSNG GLQTIFSPTKVALLVVLATVGSLAVTPVRNGGMVVVICVFAMLTGLAPPYAISFLFVA ECIVDPVATVLNAWGNIIVARLLGEAS H257_04730 MPLSASSSIVLAEEGVAASVCDRCSLCYDPNFGCTTLYDKNSCV FPDQTWCAYYVYSQQTILQPSSAASPAAANAAPAGTRRPPDVWRRRPLGNAFSSAQVW CGDVRKQCGACHGCLDSTTKECKPDTVDTCSSAGQVWCSPLTPWRQPKSLVIDYNEWY NGKSWGERPASLLCDWPPIDSTCFTDPFVKASNRWIHLPMAPSSSSAMVASELVASEP TLSDGPVSCNPDPRYIDISRPGWWGVGNWPPTICKPNLLTVPSWRWLWNEASEGANSE QQPQDDDNTLDFPFLS H257_04731 MLTPNDDMPWRWTMASTPNEASHDDVETVGSLATTMPSVLYSDD AMLFLSSSLTEDQRAVLADCNQMRNFGRPGYEHEWAPSEHQASAALADAPPAAAAASP LALAEAWSADLLQNVWSHADNTNTHLALMGGGIVVAFVAVVALIVTRITGPSTSHHLR ATFDEDGDEFDPDDVYHPLL H257_04732 MRHTASSSAKGGASSPYVQGLAPSSKSIQQLMDDQEAAYIRVTN PEPETRGVAPLRHRSHIVRDRYFAAAAAVSTSPKGYPPKNNYTKQTRPGKGAATRSDA PLSSPCRTPPRPTSAVTNASTHAALAKKRITSFEHRRLNHFYNRQVFSLGGNNMPSDQ RLEMAPSLPSASVPYHVRDNDRGSGSEDEVDKDNFDTAEDEDGFDERILDAHPQTDDL YDQCFEADWTASQIEKVVKDGVECRRIYAAIKPHYRVLVCLFRLHATVAPTPTDPCFR LTAKLKLLEGLNVVVADPTRHGINDQPMPRHGLLPFVVHIAQVYLKREELVIMLKRLA REGLDTSSALHHLLHDNILPYANIQDGQHFRRLFHNHPDIQSVHFKYATAVAKVFQMH ATLATSDPLLGSGQGNPPPDVRFLKLAGFLSILSSLHQMDAKFDDVKATHVFVSCLPV WPDDLAVVAQELTLSTFQEALTKAAYFKCALALCNGDDDVCPGRANSERCKCHPNVVA DEERYSLHVLVDTLQVMLTKCGAPAGRRSRRQSIKHDSLVKV H257_04732 MRHTASSSAKGGASSPYVQGLAPSSKSIQQLMDDQEAAYIRVTN PEPETRGVAPLRHRSHIVRDRYFAAAAAVSTSPKGYPPKNNYTKQTRPGKGAATRSDA PLSSPCRTPPRPTSAVTNASTHAALAKKRITSFEHRRLNHFYNRQVFSLGGNNMPSDQ RLEMAPSLPSASVPYHVRDNDRGSGSEDEVDKDNFDTAEDEDGFDERILDAHPQTDDL YDQCFEADWTASQIEKVVKDGVECRRIYAAIKPHYRVLVCLFRLHATVAPTPTDPCFR LTAKLKLLEGLNVVVADPTRHGINDQPMPRHGLLPFVVHIAQVYLKREELVIMLKRLA REGLDTSSALHHLLHDNILPYANIQDGQHFRRLFHNHPDIQSVHFKYATAVAKVFQMH ATLATSDPLLGSGQGNPPPDVRFLKLAGFLSILSSLHQMDAKFDDVKATHVFVSCLPV WPDDLAVVAQELTLSTFQEALTKVLISIFRIHYVYTGTVRQRILSARWRCATATTMFV LDGPTRNGANVIRMS H257_04733 MNCSSTSMDMFMDASRSSNLQDIDQLYQLFGKLFMTARDPVTLD THGHIVAKLGLTKLLAWLVERGLDLDATNHVGNTCVHVAAAHGCMETVLWLIEHGCNA LATNYNGLSAFDLASQYSFEHAATLRNFLRNNQDSNQLWDQDDDYGDDEQQQLESSHN STKQQQQLLVQTLVRRNVTEPTLGLEILELHCPETLESVQRALDLSPTDVATAISSNA KLMLTYNHAFQGNNIDNQLDNL H257_04734 MSKAKATTAAPKFEIPDVDVNPFKLAAVGNLQILKTLVNPTGNK EGEYQGKPIDVNAKDVYGCTPMIWAARNGYFELFEFLVANGSDIDTTSFGGMTALHHA VNHCRLNVVTKLIELGASIDIKDDSGNTPLHLGAGRGILNPVLSLLAAGANINETNAQ GITPLHKAASAGHFPCVEKLLENRADPNAANVEGNTSLHLAAKGGFDNIVHVLVDSKA NITLKNKAGKTAKDLALTPEIARRL H257_04735 MDIFGDATSIQTKFIAALALLFLFFVFLIMITWDRYGKEVSALY EARLESPSQPTDDDDFKAYKTLPTFFYEYAKKKKP H257_04736 MSVRLEEVAVLAGHSECVWHASWHPNGSLLATCGSDKTIRIWSP SRNAKTPQDAWDCVATLEDAQGRTIRACEWSRNGQYIASVSFDATTVIWEKQGDVYEV IASLEGHESEVKSVAWSPSGSYLATCSRDKSVWIWEADADTDFECVSVLHGHSQDVKF VTWHPDEDLLFSTSYDDTVCVWAERDDDWACVETLTGHKSTVWAATTDATGLRLATCS DDKSVLLWQRHPATLTADGRSSEWTQVDALTGYHPRAVFSIHWNISTNVLATGCGDDG IRLFQANPSTAKFELVYQQVHAHAGDVNCVRWSPTDPALLVSAGDDANVRLWRAVTL H257_04737 MQNLSFSRGVPVDSAPSRPALQAPRAVQFTIPWQSKDHKQRSIS RGPSLAPYTAPKRRRQPAIPPPRRRTVARPGWNDDVKQGVYFDQALAKTKMFNAPKKH RDMVEHKSVLSASQPRASVSLTYAPTKQNKAALPTKSKPAIPPPRASRSSAPRSSTTP PAAPNRPVPSATIPTGPNSQTSTAFIAPLSSSSSHIIEPSSASFLSPSESTAMENLRR NIPDRTSPPSPSPVLGSANQEDKDDHIAFPSFPPPPSSQMFSLSQEQQSSTAPTTITL NVPLIQSTFRAMDLKRKGTLHAREIHQGLQLLGIATTMRQIADYLYLVNDGQGDTIDI HDWTTLVQTLQSSAWRRIQDMFGRAEAAVATRWNNQQSTTANDNPPTEFLRRAASVVH GFKSSLYPLVHQADETLRAIQERHAGGHLSLILSRHELALVAHHAEDFCDLLVDDLLL DAAVSLTDTEQAKARYATERTKRQQLHDLLAMINEIEAMEDAVATALSHPRSTNYDEA ATPCKLSLAVAAAADQLTTDMPITKSSKPIDLETFTDPLARWEQRTVCTSNVAPIAES LEKHRQAFLRCRRIAEASLVDTGMAQPVVIELLEGMLVDDLVDALVVELDNCFHTLSD KIVHTV H257_04738 MSTDENDNSDNDPQQDGKVIDAFPDEENGENEQVVGGPTTKQGQ GHVSSFLRRLSSLGKSTKDFVKGNDDDNSGRPQDSPSPPPPNRRRWSLSKFTKDPFNS MKMTQEEDEVITTTEGEERPQQPSPTNNSYRRRWSLLSKVTENHTNVTADACQYSDDN VDHCQGNDSNTSSSPIKVDSTTSVGAVDTRCIRDIQSEAQRVCSVMSGDAKRHLKILR SIDSSNAVISDVKTAISQANVWLAECISPTNNGSEDIRLKVAKVTQQFHAERNLLHAN HMARSEIKRLCDLATKNATSAKVRDDPPQQQHRTMSF H257_04739 MAYRWEDDDATAAIVASLQSTATVETRVLLKPIFRWAKREPEKA YEYVLWLLQLYTHGDADRHIEVQRTLQADYAINTLITASQRCGKSMEAERAFNFLRTH NFVPDVFAYTALIDVLGRGGQAEMALQRYDEMLRTPVEPNIVTFTTVLRVLAMSKTVD ATFATSVLDRAKQQDACDPSLFMEALDMCAKRGNLALATDILRRRRHNLENGTLSDLL VERAVHSLSRAMRKLGSFDIVQDWLDEGLIHEGDKDALTFGSANNGIQDKAAVGCLGY ETPASVRQAVIQQDIFKLMERSIRGVLPTRMDFETLIHQCRKRKWKHEIDAVFVAMHT LGTVGWTSKSQEPSTLKLPPQPNVLPTASTYLALIDAFICCNAPSLAWKTFVGMDDMR IPRTQAIVRKYIRGAYLTIKDDLTTADPQLWHMCDVVRLALRDAIPITQRMAMYILRM YGNDEVAGLTIVRGMLRGHDDDSTTKRQLTRRMLFDELVQACVYAFNARGAIVVWEAF QAAVEHQEDACNIGEIAKANGHDETREDVTRHTTTTATMERVLLLSCLHEPVLDDALR ALHAHQAARRLLPLLTYESILREFFTKFTSNQLLMNASGVAKSVKVLYERRALFEAIE DHASALRMTNTWTDAMNRPEIDGLQWCVAQVSSVAPLLLAQHVHERFTVVRDKAGKVA AEAAARSALNLSPDPLLLVLHVLLAFPKLDISFRVQAKFAKTLFSLVPREAATPSPHH QAQCIMHLDDMSMYLMQELNVVFDLVGIDISRVAAFCARTIVLDHHPEKTLNFIIARP AFFEPEIAALLVPALAELYAQGVTLVLRYIRASLTDARVAAVVPVHFTRLVEQWTDEY PAADMHTLINEFGLQAEFAHHVVAAAALSRRSSVRPRVVVHDPSVVYYSLPIDRDRVI FVDSDAAVEAAHAILLQSPVVAWDVEWRPDQMPVKSKCSIIQLACASHVFICDVVNHW TDAMHALVEAVVTASVPWKVGFGLVGDVHRLRYSFPDMSCFESLDDWENVVDIQTYLK STCTKNQQRGTVGLSKCCQDILGFPLDKSQQISDWEARPLTEAQLVYAASDAYCLLDL VRELNPPEMRSMYM H257_04739 MAYRWEDDDATAAIVASLQSTATVETRVLLKPIFRWAKREPEKA YEYVLWLLQLYTHGDADRHIEVQRTLQADYAINTLITASQRCGKSMEAERAFNFLRTH NFVPDVFAYTALIDVLGRGGQAEMALQRYDEMLRTPVEPNIVTFTTVLRVLAMSKTVD ATFATSVLDRAKQQDACDPSLFMEALDMCAKRGNLALATDILRRRRHNLENGTLSDLL VERAVHSLSRAMRKLGSFDIVQDWLDEGLIHEGDKDALTFGSANNGIQDKAAVGCLGY ETPASVRQAVIQQDIFKLMERSIRGVLPTRMDFETLIHQCRKRKWKHEIDAVFVAMHT LGTVGWTSKSQEPSTLKLPPQPNVLPTASTYLALIDAFICCNAPSLAWKTFVGMDDMR IPRTQAIVRKYIRGAYLTIKDDLTTADPQLWHMCDVVRLALRDAIPITQRMAMYILRM YGNDEVAGLTIVRGMLRGHDDDSTTKRQLTRRMLFDELVQACVYAFNARGAIVVWEAF QAAVEHQEDACNIGEIAKANGHDETREDVTRHTTTTATMERVLLLSCLHEPVLDDALR ALHAHQAARRLLPLLTYESILREFFTKFTSNQLLMNASGVAKSVKVLYERRALFEAIE DHASALRMTNTWTDAMNRPEIDGLQWCVAQVSSVAPLLLAQHVHERFTVVRDKAGKVA AEAAARSALNLSPDPLLLVLHVLLAFPKLDISFRVQAKFAKTLFSLVPREAATPSPHH QAQCIMHLDDMSMYLMQELNVVFDLVGIDISRVAAFCARTIVLDHHPEKTLNFIIARP AFFEPEIAALLVPALAELYAQGVTLVLRYIRASLTDARVAAVVPVHFTRFKCLYRMIS NYDLCVDSWNSGRTSTRQRTCTP H257_04740 MRVLLAFAKMLPTTTLKPSASTDDVPVAIAVIDDNHDYIDVASP VQASPSSILPTQHKPLTWLRNALFNAGCTVALIVLQAVSAAFSLAGCVVVLVGFVMGV SLLPLMCVGLVVLGVLWTLLEPLAKMDEDLFLQRQVLYRSIRANMPKHASQSCAE H257_04741 MGGVITDEGFSLLEEDDEARDFTPRKRATARQSRPTWCQVLRRR RIMLVLVLLVVVIGVGVVLVTLVLKLKDTTVTLQRLHLPDVCNKTSLGAASLQFSNPS FCEPVIGPIAVAVVANNGTQLMDIHVPAFPLASGMSVVTSIITFSIVANASTWQHVLF DAPSSTLSLVGTVPIRLSCLIVPFTVTVDIHDILHDASSSSSSLSLSPLFTRAFFRPY PSSNTIGDTFDIASRVRQMVHDILQSIALSQGHLDQDKDGVYLFTDVTFQYASPVQWA LPDLSFQLLQKHQNTPPTSQNNSTAVLLSAGLYGFLLGGGATHLNSFAYLRHADSQPL LDAVATYLRGDDVRLHVQGNDPLSSCFAQRLWNDMHYTFHVPGTVDGKPAFLRHYDVD PTLKKLDSTTHSCELRVDVNLTIHNPLPFELLLRHVQFDVLYRNVSEPAHHMVGTAVD ATPVDWKSHMVNDVAFSLVVTNFDVCEDLLVLYLNDLLAFAIQRGNLTLGFGGGDSFH IPFHVDDIRVHPPPDDYAAIMLAAGQWTNSVMQLVAVEDL H257_04742 MTESFQEYYDSFKDARNDAMSVIRRMPDATGSGEKAALENDANS KINEAERYYRILEQESRGGDAHEKRKMQVQLRSCQSDIDKLKNNLNKALLVGQASSAR TTTQTDASGYQQRLDRTGDHLAQATATIVEIEQIGANVGETLARDRERLEAARGNVQE VRADTDEAKVHLGSLARKALSNIVLLWIVILGLLAAIAYALYNRFKKK H257_04743 MTEIFQEYYDTFKELRNEAMGVIRAIPDASTSEKGSLEREVRSK LDEVERYLRILEQEGNGGDAQQKRKMQTQLRSCTSDIDKLRNNLNKALLVGQAQQRAT AGPRTGPMDAQGQAAAYQQRMDRTGNYLHEAKNTIGEIDAIGANINNNLARDREILER ARENVHETRADTQEAGAHLSSLARKTYANIFVLWIVIVCLTLAIAMVLLKRGGVL H257_04744 MAPKHTKLYDVMGVAPTVTPEELKKAYRRKALQLHPDKRGNTPE AQEEFTVMKAAYDILSDPKQRDIYDMMGEDGVKLVHQYGDLRPDELLAAMLNSLAQSG PLGKCIIFSFVAVFLCFFILIPLFVCLKVDQDIGWSWLTVFTPLWILDGIYLCCVGCS FFEQAPDIHQDAEDRPSPSVRILLKLLLLLKGVLFVGFQLLVAMKLQGALPNLAMPLV FAPYFVLEGFYLVEKIIAGVLSYSAFAAATAADPSAGSGQSRSNLVLEISNSWAMSVL RLSFGVLVAFKLDHTIDTSWWITFIPVWIYIATLVVPHILVYLRHKKADDHHHDDGAS EASLGGLLCVLLMTLVFMSPFFILAYRLQSAEFSSFYVLLPWFIVVGVLLLPWLVMVL CGVLSCVCGCCCGRRSKGDVTDENGDRSSHASPANHHVV H257_04744 MAPKHTKLYDVMGVAPTVTPEELKKAYRRKALQLHPDKRGNTPE AQEEFTVMKAAYDILSDPKQRDIYDMMGEDGVKLVHQYGDLRPDELLAAMLNSLAQSG PLGKCIIFSFVAVFLCFFILIPLFVCLKVDQDIGWSWLTVFTPLWILDGIYLCCVGCS FFEQAPDIHQDAEDRPSPSVRILLKLLLLLKGVLFVGFQLLVAMKLQGALPNLAMPLV FAPYFVLEGFYLVEKIIAGVLSYSAFAAATAADPSAGSGQSRSNLVLEISNSWAMSVL RLSFGVLVAFKLDHTIDTSWWITFIPVWIYIATLVVPHILVYLRHKKADDHHHDDGAS EASLGGLLCVLLMTLVFMSPFFILAYRLQSAEFSSFYVLLPWFIVVST H257_04744 MAPKHTKLYDVMGVAPTVTPEELKKAYRRKALQLHPDKRGNTPE AQEEFTVMKAAYDILSDPKQRDIYDMMGEDGVKLVHQYGDLRPDELLAAMLNSLAQSG PLGKCIIFSFVAVFLCFFILIPLFVCLKVDQDIGWSWLTVFTPLWILDGIYLCCVGCS FFEQAPDIHQDAEDRPSPSVRILLKLLLLLKGVLFVGFQLLVAMKLQGALPNLAMPLV FAPYFVLEGFYLVEKIIAGVLSYSAFAAATAADPSAGSGQSRSNLVLEISNSWAMSVL RLSFGVLVAFKLDHTIDTSWWITFIPVWIYIATLVVPHVRTMKTKRTNDHLHTLYLVF TIPVVP H257_04745 MSIESSLTGRLLGAFFGLALALGFIGFFPMVVTQPVERAVPLNA AEVAAFVGFVEAGKVVRGWGRMQRHGVQLGQQHGMVMARYGGSNVVEQLIVHGNGN H257_04746 MPCSLSRTPCMDVLFHHHPSHLNLNMLRLSLRRAVASVAVKSAL PRPQHAAFRALTSFNVSAPRNVMMPSMIRAFSTADSTPADRHEFQAETRQLLDIVTHS IYTDKEVFVRELISNASDALEKFRHHQAVGATLLTPEVEPKIVITTDVAANTLTIEDT GVGMTKEELVANLGTIARSGSKAFLEQLKTEAPTETTAAATGIIGKFGVGFYSAFMVA DKVEVYSASALGDGSGHVWSSDGSGTFEVRAVADAGRGSKIVMHMKEACKDYAKPDRV ESIIKKYSNFVAFPILLNGTEVNVVQALWTKDTRDVSDDQYTDFYKFIANAYDDPLYR LHFKADAPLELKTLFFIGSSHTEKFGYARLEPGVSLYSRKVLIERHSPDILPDWMRFV RGVVDSEDLPLSLSREKMQDSRLLAKMKDVLTRRILKFLDEQARKDPEKFDQFFAEFG QFIKEGVCMDFQNKAGLSKLLRYESSALDQGKTTSLDEYVSRAPPDQNEIYYLCAPSR ELAFQSPYYEAFKKTKKEVLLVYHSMDDFVMNSVGEFNTRKVVSAEAAKLDVQEDHDT TDKLTEADANLLTAWLSLQLEASVTKVEVTNRLHDSPAVVTDHESASVRRMMQMVNQS QGGGGLPTSSKHVLQINPRHPIVVKLHQLRTTNEPLAAKIAAQVHINACLSAGLVEDG RVMIGDLNVLLDELLTHSLKK H257_04746 MPCSLSRTPCMDVLFHHHPSHLNLNMLRLSLRRAVASVAVKSAL PRPQHAAFRALTSFNVSAPRNVMMPSMIRAFSTADSTPADRHEFQAETRQLLDIVTHS IYTDKEVFVRELISNASDALEKFRHHQAVGATLLTPEVEPKIVITTDVAANTLTIEDT GVGMTKEELVANLGTIARSGSKAFLEQLKTEAPTETTAAATGIIGKFGVGFYSAFMVA DKVEVYSASALGDGSGHVWSSDGSGTFEVRAVADAGRGSKIVMHMKEACKDYAKPDRV ESIIKKYSNFVAFPILLNGTEVNVVQALWTKDTRDVSDDQYTDFYKFIANAYDDPLYR LHFKADAPLELKTLFFIGSSHTEKFGYARLEPGVSLYSRKVLIERHSPDILPDWMRFV RGVVDSEDLPLSLSREKMQDSRLLAKMKDVLTRRILKFLDEQARKDPEKFDQFFAEFG QFIKEGVCMDFQNKAGLSKLLRYESSALDQGKTTSLDEYVSRAPPDQNEIYYLCAPSR ELAFQSPYYEAFKKTKKEVLLVYHSMDDFVMNSVGEFNTRKVVSAEAAKLDVQEDHDT TDKLTEADANLLTAWLSLQLEASVTKVEVTNRLHDSPAVVTDHESASVRR H257_04746 MPCSLSRTPCMDVLFHHHPSHLNLNMLRLSLRRAVASVAVKSAL PRPQHAAFRALTSFNVSAPRNVMMPSMIRAFSTADSTPADRHEFQAETRQLLDIVTHS IYTDKEVFVRELISNASDALEKFRHHQAVGATLLTPEVEPKIVITTDVAANTLTIEDT GVGMTKEELVANLGTIARSGSKAFLEQLKTEAPTETTAAATGIIGKFGVGFYSAFMVA DKVEVYSASALGDGSGHVWSSDGSGTFEVRAVADAGRGSKIVMHMKEACKDYAKPDRV ESIIKKYSNFVAFPILLNGTEVNVVQALWTKDTRDVSDDQYTDFYKFIANAYDDPLYR LHFKADAPLELKTLFFIGSSHTEKFGYARLEPGVSLYSRKVLIERHSPDILPDWMRFV RGVVDSEDLPLSLSREKMQDSRLLAKMKDVLTRRILKFLDEQARKDPEKFDQFFAEFG QFIKEGVCMDFQNKAGLSKLLRYESSALDQGKTTSLDEYVSRAPPDQNEIYYLCAPSR ELAFQSPYYEAFKKTKKEVLLVYHSMDDFVMNSVGEFNTRKVVSAEAAKLDVQEVRGI YIYIYISIYLYIVWVSCSRKQITLHMSGYFFEPTICCVGFHVRCRVYRIYFLQ H257_04746 MPCSLSRTPCMDVLFHHHPSHLNLNMLRLSLRRAVASVAVKSAL PRPQHAAFRALTSFNVSAPRNVMMPSMIRAFSTADSTPADRHEFQAETRQLLDIVTHS IYTDKEVFVRELISNASDALEKFRHHQAVGATLLTPEVEPKIVITTDVAANTLTIEDT GVGMTKEELVANLGTIARSGSKAFLEQLKTEAPTETTAAATGIIGKFGVGFYSAFMVA DKVEVYSASALGDGSGHVWSSDGSGTFEVRAVADAGRGSKIVMHMKEACKDYAKPDRV ESIIKKYSNFVAFPILLNGTEVNVVQALWTKDTRDVSDDQYTDFYKFIANAYDDPLYR LHFKADAPLELKTLFFIGSSHTEKFGYARLEPGVSLYSRKVLIERHSPDILPDWMRFV RGVVDSEDLPLSLSREKMQDSRLLAKMKDVLTRRILKFLDEQARKDPEKFDQFFAEFG QFIKEGVCMDFQNKAGLSKLLRYESSALDQGKTTSLDEYVSRAPPDQNEIYYLCAPSR ELAFQSPYYEAFKKTKKEVLLVYHSMDDFVMNSVGEFNTRKVVSAEAAKLDVQECGCP VRGSR H257_04746 MIGVCDNVIPFQSPLVGPAKHNIIKLYKVYRVPVVVRWSQSRGT FCFILSNHPALLDVLPKQVANSCTICHRFTMHTPVNIYIYIYIYIYSSGTFEVRAVAD AGRGSKIVMHMKEACKDYAKPDRVESIIKKYSNFVAFPILLNGTEVNVVQALWTKDTR DVSDDQYTDFYKFIANAYDDPLYRLHFKADAPLELKTLFFIGSSHTEKFGYARLEPGV SLYSRKVLIERHSPDILPDWMRFVRGVVDSEDLPLSLSREKMQDSRLLAKMKDVLTRR ILKFLDEQARKDPEKFDQFFAEFGQFIKEGVCMDFQNKAGLSKLLRYESSALDQGKTT SLDEYVSRAPPDQNEIYYLCAPSRELAFQSPYYEAFKKTKKEVLLVYHSMDDFVMNSV GEFNTRKVVSAEAAKLDVQEDHDTTDKLTEADANLLTAWLSLQLEASVTKVEVTNRLH DSPAVVTDHESASVRRMMQMVNQSQGGGGLPTSSKHVLQINPRHPIVVKLHQLRTTNE PLAAKIAAQVHINACLSAGLVEDGRVMIGDLNVLLDELLTHSLKK H257_04747 MVPFKRHLRDLWIAEDISDAEGGDQVPAKVKRITLINRAMKAWD MVTPEEVRGSFFEAIPKS H257_04749 MRGVDYNCNTYSGNGNSDGCNRRKCLVSICNTAATTAGATTNAT TTTATARGPATNDTANVTITTWVVTIEKHVVLACLLHSYTAPVEHKTLCVLFDMPPTT LSRVLLNAECALLRALKSMPEASIRFPDHATQLGRCLHCS H257_04750 MTTITDEDNDDITAYIRQERQRSLTKEERLDILRLHVELRCDNV RNASTKFTIARLLGRSRKIVKEVWSDYLRTNTINVATPPSNQHARPTRIPSTHAVTSL VRQFVRPRSMTRVRTVAKDVMAVLLDTGVIQCDVDRRVASCLRVVQLHLDRMGFKRGK CRGKASYSVSAAHAAARDIYIKRMTNLSPDTPAMDSVEALGKGGVTFVMDNAKYHKGL PADIPRGTWRKAGLLLACQRYGVDVECHDLKKTIWGRLKHVLSARADPVVMSMARSRE RDGISLRRRFQGLNNKTKPTGTSYCPPKIERAKRLYWAIESKVDVMELHAASERSDVG SDGNSPQEVSEAVEELVVSSRIGTDAAALLTVVKQAHAVHGCSASLFLSSPLRLKAHR YRVLSCPFFSKWSLMLVLVNLSGEKNRRNSGKRGSASRLISVVVRTNNAVKTYWIVKI GSEKLANVSTSMKL H257_04751 MAQSEQRRNWSWDEDKVLLIQPATDKPFAAEKGQLTKAWQALAN TLLACDHFTRVVDGRKVQNRFSALVEEHRRFNKASAKLSGDDEEETEKHILLDDIVAL LDDVKEIASQKTSNSVV H257_04752 MAMRTMKRRNDNDGDEQKTKPALDNRRNSLAAAIEAESERELVV REKELSFQQFKLESEMNQRELDREERQEEPRYDDIGNPQQTGQFQHTTNVKPERQQGI GIAHSAIASLGRSFFLLAHLTKKRANV H257_04753 MTQHEAMIPATCQRLSWCEAVHNLKNGSRLLDDSFAPDGMTIRF HSDDPGHQVVLLSPYAPVISEQLMVAEITNNCFERSMDKCDPATHIAA H257_04754 MPATHTQPGLIARSNEGCDYQRSQSPVNGTERFRSLEAERGRPV HRPTTTKGAHTNPVAVHLIPPRQLRHQLAPPTFSLSPMAMTWVNATSVFVYNAPALLD PPSFNDSTKLERRIFIRQYDKYLDQVNALQLNGSRPFAMPVSACRDVLTKKRMAM H257_04755 MVEERHRKLFKLYKEERNICTAIDMHSSTTTSNDAWDMLPSDYD NLRVFRGRLASVLANTTAVESDFSILGARRVP H257_04756 MLRLDRLSGALAFTAAGLGFTLGTWKSPARSEDETNLRDIEFGV PRDRKRVDPFSPFFPSDKHPCTHAGMFIPGCHELKIFSGSSNFELADDIARRLGTRVG RLKLGRFADGEVQCQIQESVRGKDVYIVQSLGTPVNDNVIELLLMVSTMRRASAKKVT TVIPYYAYKHHRRGNPSATSLNSKFIHSPAQDIAKMLEVMGVDRVIAVDLQLRGQGHE ASFFNTNIPVETLETVMAGVEYFATQVYFKGPIVVLAPNPECVKRARVFQRGLRKWLP DIPITFATCIRGGASGDEPDAIQLIGDVQGAEVIVVDDMVDTSGTLVKLAQVVKNAGA RRSFCFASHPLLTGDAEKTIDDSAVHEVVVMDTIPSEGGRYHSSKVKRLSVAPMLAEL IQAEHFKPHSYIDKSNSREDFKHSYIST H257_04757 MDSHAGTMCTAEMLFDAAKESHVRGYKHLMQLYRDLTGIAVLDL PDKKTVSAAAALLRAFDANATRQAVEHTGVAMFTAYTSDYSVGYACEIVNRMYAARHG YEFHTDVLPYDDMMAAISPRQFCGWYKVLMIQRFLADMAELRRREIGYIMWIDADAVV VNHSFRVQELIERSRHRDLIISEDVNPCCLVNTGVVIVRVSSWSEQLWTDVWAMRKYF DVFFYEQSALVRCLKARLEGLDSVQPFHSYVRGGPHGDKLFAHTMVTCHLDLNSNRCQ GLTVHISDDNRKIDTSHANEHDHHMAKFIFHCAGRCNKARTLRAVLAAHGFDVEALPL DDRMKLVRYNATTSTPSATETSPPLASPIILT H257_04758 MGSAISRPVVTTVGVLYPAYASFKALETPQTDDDKQWLTYWVVF SITSSAEEVAEKVVAYLPGYYVCKCIFLVWMMLPKTRGAIWVYERFIKPMLIKYEPVI DTKLKDLRMFVDNWLVDMKHNGARYAAQGLTLTIEAAKHIHGQANKSPIKKQVVAKIQ DIQNASLRKLGKKKPFKEAVEEEEEPVREAEAVDAAE H257_04759 MSAASRPTLRIRGADDMHHHLRDGAALGLTVPQASAQFRRVIVM PNLVPPVTTAALAIAYRERILQHVPVGRAFEPLMTLYMTDGTTPAIIREAKASGVVFA VKYYPAGATTNSDSGVTKIENIYPVLETMAEIGMPLLVHGEVTDQAVDIFDREAEFIR TVLVPLIARFPTLKLVMEHITTADAVAFVAQAPANVAATITCHHLLYNRNAIFQGGLR PHKYCLPVLKRETHRLALLDAVKSGNPKFFLGTDSAPHIVDKKHSGCGCAGIHTAHAA LELYAEAFESIGSLDKFEAFCSVYGATFYGLPLNEGPSVELVPKTWTVPASYPFESSQ VVPLRAGESIAWKRLDA H257_04760 MKGSPSATLSPLWQGTVPLLCVALLAFAVFANTLSCGFVWDDRA AILTNRDIRTDDNTTAVGDLFVHDFWGTPISSASSHKSFRPITVLTFRLNYAVGGFDP WGYHLLNVVLHSITSALVVVVGRRVMSIAPSSSQVHRAPVLAGLLFAVHPIHCDSVAS VVGRADVLCTLVSLVAFTCYDTAISDRTTTKWMHFILSIGLIVLATLCKELGATTLGM LVVLDLLQSYRLSTQELLASPTLGRLAVLVAFGTTAIAGRILLNGPHMLYPWTEMEND ISLLPFGTSKVLTIAHTHAWYLYKMAWPQYLSYDYGFRTIPIVHSMLDPRNISTAIAY TCVVTLVFASAWHSRTSPSLLLMASFALFPFVPAANVLFPVGTIVAERLLYFPSVGVC LLAGYTLDTALHRGSQRQQVALVGIATTLLIVATARTLCRNRDWTDEVALFEASVKVA PWSTKVLSNLSKVLLNSDAPRAAAYLERALHVLPHYSIGHFNLGLAYVNMGKSLHCMD SLLKAIDVDHSLASYSYLGKYLFEFYATNQHDKFRTDQPTHALATATKLLDFTLSHHH NLPTIVFTRGLIAYYADDFAAALPYFERTLEENARVRRRGYDLEELVAPCSVYNMYAL AAQNAGDNVRAGLIFDEGLNDGVECMELYNNAGVWHKTNGNLVKAAELYAVAIDRFPR HGALLTNAGFLAETMGNRLDAIHYYLKALELDPTNDQIQTNFYNLQAKLAVPDVDSEL APMPLEAS H257_04760 MLVVLDLLQSYRLSTQELLASPTLGRLAVLVAFGTTAIAGRILL NGPHMLYPWTEMENDISLLPFGTSKVLTIAHTHAWYLYKMAWPQYLSYDYGFRTIPIV HSMLDPRNISTAIAYTCVVTLVFASAWHSRTSPSLLLMASFALFPFVPAANVLFPVGT IVAERLLYFPSVGVCLLAGYTLDTALHRGSQRQQVALVGIATTLLIVATARTLCRNRD WTDEVALFEASVKVAPWSTKVLSNLSKVLLNSDAPRAAAYLERALHVLPHYSIGHFNL GLAYVNMGKSLHCMDSLLKAIDVDHSLASYSYLGKYLFEFYATNQHDKFRTDQPTHAL ATATKLLDFTLSHHHNLPTIVFTRGLIAYYADDFAAALPYFERTLEENARVRRRGYDL EELVAPCSVYNMYALAAQNAGDNVRAGLIFDEGLNDGVECMELYNNAGVWHKTNGNLV KAAELYAVAIDRFPRHGALLTNAGFLAETMGNRLDAIHYYLKALELDPTNDQIQTNFY NLQAKLAVPDVDSELAPMPLEAS H257_04760 MKGSPSATLSPLWQGTVPLLCVALLAFAVFANTLSCGFVWDDRA AILTNRDIRTDDNTTAVGDLFVHDFWGTPISSASSHKSFRPITVLTFRLNYAVGGFDP WGYHLLNVVLHSITSALVVVVGRRVMSIAPSSSQVHRAPVLAGLLFAVHPIHCDSVAS VVGRADVLCTLVSLVAFTCYDTAISDRTTTKWMHFILSIGLIVLATLCKELGATTLGM LVVLDLLQSYRLSTQELLASPTLGRLAVLVAFGTTAIAGRILLNGPHMLYPWTEMEND ISLLPFGTSKVLTIAHTHAWYLYKMAWPQYLSYDYGFRTIPIVHSMLDPRNISTAIAY TCVVTLVFASAWHSRTSPSLLLMASFALFPFVPAANVLFPVGTIVAERLLYFPSVGVC LLAGYTLDTALHRGSQRQQVALVGIATTLLIVATARTLCRNRDWTDEVALFEASVKVA PWSTKVLSNLSKVLLNSDAPRAAAYLERALHVLPHYSIGHFNLGLAYVNMGKSLHCMD SLLKAIDVDHSLASYSYLGKYLFEFYATNQHDKFRTDQPTHALATATKLLDFTLSHHH NLPTIVFTRGLIAYYADDFAAALPYFERTLEENARVRRRGYDLEELVAPCSVYNMYAL AAQNAGDNVRAGLIFISFLHMTHDVSYFVMQYRESP H257_04760 MKGSPSATLSPLWQGTVPLLCVALLAFAVFANTLSCGFVWDDRA AILTNRDIRTDDNTTAVGDLFVHDFWGTPISSASSHKSFRPITVLTFRLNYAVGGFDP WGYHLLNVVLHSITSALVVVVGRRVMSIAPSSSQVHRAPVLAGLLFAVHPIHCDSVAS VVGRADVLCTLVSLVAFTCYDTAISDRTTTKWMHFILSIGLIVLATLCKELGATTLGM LVVLDLLQSYRLSTQELLASPTLGRLAVLVAFGTTAIAGRILLNGPHMLYPWTEMEND ISLLPFGTSKVLTIAHTHAWYLYKMAWPQYLSYDYGFRTIPIVHSMLDPRNISTAIAY TCVVTLVFASAWHSRTSPSLLLMASFALFPFVPAANVLFPVGTIVAERLLYFPSVGVC LLAGYTLDTALHRGSQRQQVALVGIATTLLIVATARTLCRNRDWTDEVALFEASVKVA PWSTKVLSNLSKVLLNSDAPRAAAYLERALHVLPHYSIGHFNLGLAYVNMGKSLHCMD SLLKAIDVDHSLASYSYLGKYLFEFYATNQHDKFRTDQPTHALATATKLLDFTLSHHH NLPTIVFTRGLIAYYADDFAAALPYVVAYRQLCLQH H257_04760 MKGSPSATLSPLWQGTVPLLCVALLAFAVFANTLSCGFVWDDRA AILTNRDIRTDDNTTAVGDLFVHDFWGTPISSASSHKSFRPITVLTFRLNYAVGGFDP WGYHLLNVVLHSITSALVVVVGRRVMSIAPSSSQVHRAPVLAGLLFAVHPIHCDSVAS VVGRADVLCTLVSLVAFTCYDTAISDRTTTKWMHFILSIGLIVLATLCKELGATTLGM LVVLDLLQSYRLSTQELLASPTLGRLAVLVAFGTTAIAGRILLNGPHMLYPWTEMEND ISLLPFGTSKVLTIAHTHAWYLYKMAWPQYLSYDYGFRTIPIVHSMLDPRNISTAIAY TCVVTLVFASAWHSRTSPSLLLMASFALFPFVPAANVLFPVGTIVAERLLYFPSVGVC LLAGYTLDTALHRGSQRQQVALVGIATTLLIVATARTLCRNRDWTDEVALFEASVKVA PWSTKVLSNLSKVLLNSDAPRAAAYLERALHVLPHYSIGHFNLGLAYVNMGKSLHCMD SLLKAIDVDHSLASYSYLGKYLFEFYATNQHDKFRTDQPTHALATATKLLDFTLSHHH NLPTIVFTRGLIAYYADDFAAALPYVVAYRQLCLQH H257_04761 MATPGRKKAATGASLLDALSPQLMSLVEASLGHSLAAPSSVRNG LSSANASPPPQRSTVQPPANRGESVIPQSRSSDYIRVGVLNPSEIFLLRQADSPGFVV REHFLGPAAATAVHDACLGLTESTPMRPAQVGVGKSTAVTCHVADARGDQLVWLPHDK TALPPPLQHLLAQIERLMHGLAKAAPELGVRNVKSTQLAVFPGHNTRFVPHVDTYDHN NNAKQHLSRRITCLYYLNPSWESSHGGALRMHLQDGTTWDIPPVLDTLVVFRSTDVLH EVLPTTVHRLALTTWYYGGGSATTIPSTPPSATSPTPTTPSFATNIATRTTSSCVTGS NQPLEVAGGDATSTIFVSIPSYCDSECQPTVAHLFATAAAPSRVFVGLCLQHEQDDQS MDMYGEHVRIKRMRPADATGPCLARWETQQLWKGEAFYLQIDSHMRFRRGWDVYLIDQ LRRCSNSPQGRPRKAILTTYPLGYTLPNEIPNDTRPTLLCASAFDSHGMLRQCGKVLK TSPSSPVPSAFWAAGFAFSRACVIQEVPYDPSLAHLFFGEENVMAARLWTHGYDFYAP CEAVVYHLWSRSHRPTFTSPQRVDQAAKNASLERVLALLLQAKENEPMVACGLGRERS IQDFHAAQGVNWSTHEIQWTSLWGHRDPIEFDLTAAVDT H257_04761 MATPGRKKAATGASLLDALSPQLMSLVEASLGHSLAAPSSVRNG LSSANASPPPQRSTVQPPANRGESVIPQSRSSDYIRVGVLNPSEIFLLRQADSPGFVV REHFLGPAAATAVHDACLGLTESTPMRPAQVGVGKSTAVTCHVADARGDQLVWLPHDK TALPPPLQHLLAQIERLMHGLAKAAPELGVRNVKSTQLAVFPGHNTRFVPHVDTYDHN NNAKQHLSRRITCLYYLNPSWESSHGGALRMHLQDGTTWDIPPVLDTLVVFRSTDVLH EVLPTTVHRLALTTWYYGGGSATTIPSTPPSATSPTPTTPSFATNIATRTTSSCVTGS NQPLEVAGGDATSTIFVSIPSYCDSECQPTVAHLFATAAAPSRVFVGLCLQHEQDDQS MDMYGEHVRIKRMRPADATGPCLARWETQQLWKGEAFYLQIDSHMRFRRGWDVYLIDQ LRRCSNSPQGRPRKAILTTYPLGYTLPNEIPNDTRPTLLCASAFDSHGMLRQCGKVLK TSPSSPVPSAFWAAGFAFSRACVIQEVL H257_04761 MATPGRKKAATGASLLDALSPQLMSLVEASLGHSLAAPSSVRNG LSSANASPPPQRSTVQPPANRGESVIPQSRSSDYIRVGVLNPSEIFLLRQADSPGFVV REHFLGPAAATAVHDACLGLTESTPMRPAQVGVGKSTAVTCHVADARGDQLVWLPHDK TALPPPLQHLLAQIERLMHGLAKAAPELGVRNVKSTQLAVFPGHNTRFVPHVDTYDHN NNAKQHLSRRITCLYYLNPSWESSHGGALRMHLQDGTTWDIPPVLDTLVVFRSTDVLH EVLPTTVHRLALTTWYYGGGSATTIPSTPPSATSPTPTTPSFATNIATRTTSSCVTGS NQPLEVAGGDATSTIFVSIPSYCDSECQPTVAHLFATAAAPSRVFVGLCLQHEQDDQS MDMYGEHVRIKRMRPADATGPCLARWETQQLWKGEAFYLQIDSHMRFRRGWDVYLIDQ LRRCSNSPQGRPRKAILTTYPLGYTLPNEIPNDTRPTLLCASAFDSHGMLRQCGKVLK TSPSS H257_04762 RAVTCSSLHPTTAHGFAKPTCKAILEFPSTPSHLPSDIMTLSSV SPHLPSARSHSADFQESFKALVKQTMLTSERYMVLSTSPTDVALGVQWRRDYNTATSQ PIAINFGHRRTLSFDEVLAAEDDDVQYSPLHSAHHVPHDENDDVDDNIFVMEL H257_04763 MGWSVVVVGAAAFVAFGNTMFSHFTWDDRGAILMNLDVRTDVTP LRDLFAHDFWGMNLSSPQSHKSYRPLTVATFRLNYAVHGLHPHGYHITNVLLHVVVSI LVVSTGRVLCSPSSSSTPVLAGLLFAVHPIHCDSVASVVGRADILCTAISLLALLAYN QRLRHVQHSRRLVWTMVAIGCTCLATMAKETGFTMFAVLLAMEWSSDTRYSKAQSGAL MVAGVMFLAWRVQMNGSSTTLYTWSIYENEFAHLPSFVSKAMSYAHVHTLYLWKLLWP QYLCYDYGWNTIHAVTSIYDVRNLASSVAYMAVVGAVGTSASHRRTSPLFVLLVLGIC PFVPASHVMFPVGTILAERLLYLPSVGFCLVVGYATERVLLAATPASKPKLVALLGLV LAVATSRTIRRNLDWHDEHTLFQSALSVAPTSVKVLTNLGQDILPKDARTAVLYLERA VALMPSYSLGHLNLAAGYAALKKPLQAMHHLVQSIELVQEPKAYTSLGQHFVEFWESH VGAGQSTLHTAAHLVQTALDVGATYPSASYGQAKVAFALGNMGATLQSLAITRTANAY VASRGYDLNEVVDPCFVDTLAGLAWEHMNTTTALAFYDRAMMNASTFWDCASLVNNAA ACFHRANRSMDALKLLDKATKRHPLQVVLWTNAGYMAESVGHQAQALTYFEAALRLEP DLAHLRTKLELAFKQQQP H257_04763 MGWSVVVVGAAAFVAFGNTMFSHFTWDDRGAILMNLDVRTDVTP LRDLFAHDFWGMNLSSPQSHKSYRPLTVATFRLNYAVHGLHPHGYHITNVLLHVVVSI LVVSTGRVLCSPSSSSTPVLAGLLFAVHPIHCDSVASVVGRADILCTAISLLALLAYN QRLRHVQHSRRLVWTMVAIGCTCLATMAKETGFTMFAVLLAMEWSSDTRYSKAQSGAL MVAGVMFLAWRVQMNGSSTTLYTWSIYENEFAHLPSFVSKAMSYAHVHTLYLWKLLWP QYLCYDYGWNTIHAVTSIYDVRNLASSVAYMAVVGAVGTSASHRRTSPLFVLLVLGIC PFVPASHVMFPVGTILAERLLYLPSVGFCLVVGYATERVLLAATPASKPKLVALLGLV LAVATSRTIRRNLDWHDEHTLFQSALSVAPTSVKVLTNLGQDILPKDARTAVLYLERA VALMPSYSLGHLNLAAGYAALKKPLQAMHHLVQSIELVQEPKAYTSLGQHFVEFWESH VGAGQSTLHTAAHLVQTALDVGATYPSASYGQAKVAFALGNMGATLQSLAITRTANAY VASRGYDLNEVVDPCFVDTLAGLAWEHMNTTTALAFYISFFDYDDFSDISQINWHIQS WHFSLTFSSFTTVP H257_04764 MVKKGKAVKQVATAAQLLERAETLVDQLQPELAIKFFQKAAALE PNNSEIYDAIGELATEIGDPHTALQAFLKSIDVAPKHNPGKYLYAAQLVQGEESEKFA LQGIEHMSASLAHVPDHLDESKLLKKQICDAYCSLGELYMSDLCDAHDAEAKCEHYLQ EALKFDLGLPDATQAMANFRLVQQRTDEAIAFLDETLKRLNACDEHTMPSLEFRIVTG KLLVEVEKFEQAAYVLEGVMQEDDENAEVWFLVGSCYNALEDYDTALEFLERCDAMLS KLQKDMGDEFELDQQLDTVRSLIESIKQIEPVGDDDGDKDQDME H257_04765 MAPPQQWPDPDTVLYLTANELCCDVAPPEDADMDMPQVTTPPPT IPLSIQLITVPTHPVVNSYGLFAAGVIPPNTLVCNYIGRVHLQTTYPDSDYAVTYFGS YAIDATLAGNEARFINDYRNIGSRPNVAFDTYKDCYGGIQVGVWTLNMPIARGEEILG NYGRAFWRARGMRGVLGPDWDDAWD H257_04766 MKKDLQELVRLLQEAMHATVEDEAAACADQLRYGRFKDTFTVSK CNTNQKKCSLCERLRLQFNIIVEGDSAVTTTSLKNEEYDFRAKYKAIRIKEAATGNYS EILIDYPVYWDDMVVAFGDMRGLSDVKFGDDVPFPIRPAQGGG H257_04767 MVPSLPAMSALSVYDDCTQGRRHVDPIFGISKTMAKTYTFQVCQ VLCQCYLADVVAMPTPQAAWETIRGGSEDVAGVPNAYGAIDGTLIPIKRFRDYDGWNC RKGFPAFNMQAVVDDNMRFMFVLDSFWE H257_04768 MTNKEEVEFTHTRANGGKAKKIMRMFTGSGWEAWLVFGLNLFDY TRWMQYQFNDESAMEALHDDIQLLLHGHHLDKYLSSTTNHPNNRNLPYTQQIQLGMAF LTELHCPIGTREILLERLRRLRKRGNQRVAEYSVEFNRWLRKLSILQASEKEQLSTND QLQIYKSAMPTNFQIEFRKKYGVRVFESIEDVEAAFTTIENDFSFIRGLENVKPNAAP FKPDKAKTKFKEDRKTTTSPYDKQAKRSAKTCGFCESKNYKADNHSQSRHSVC H257_04769 MDSARVDEFRATLPEYGITHKNVIKCNMDHDGLDLSLAKHHVMS VQYTRCVSRLCHPCGEDQTQPLRCPDDDPKHNSKTLFKMLKASKCQNRFGGMPCPTLK QVQHAVHYMRSKDHLQKSTVPAAIEELMKWRLTDNVEVQVAHKPFVFGVEEEGGRFEL ATVLCRHFVLYYAVVTAIPTKTVICHMNTTFSTNELGYPMFVFGYSDMAGSFHLLCVC ITSQRTHADVAWLLRSLKEKFTSLLNYAWAPTRLMGD H257_04770 MTSEVWTSVTFDIYLLHMSTSEADLVNNMDTAHANWEGSLTLRK FRTYFFNTWLPYHAVYSTNRVPLKYQVFHSHSGCSYTNNPNEHFNRKLKYAIGRVKKH VPHLVQEVAKLVQEISTEATPWVQHPVPTERMKKYFKKLLASRRLRVNEVPRVHPVIW RVLHLPEEIAEGEQDEDIPRRAWHYNSVSRNVQRLESHNQPDIGWLVQQVPAPVLLQC QCLH H257_04772 MADPVANVDLVAVEVVVAVVELLLLSTHHLTIAPPCSMHSIKAA NTATRQADIATPAATKAAILATPIAIMVASATITVAKARIANIKGPPVTAAARMTGTV ITAVFAVITLSHYLHHSSGVDLATYDGKFKSTYDERTGLYVI H257_04773 MKLHADEWPRVPLVQGALNHQPVERMGGIAPLTAFTGLSAKTPV VGFVHPKSKEVYVADWLGDARENHVMDLQETLEETHGNVEVRIERLRQQARGRRDRKS QVKFAGFSVGDFVLVGLVVNRPAKLALHWRGPCQVTTDHDDGGFAAGATRLDEARCMD GQQVLVKWLAHDDDESSSEPEANLLDDIPVVFRKWAAANREDTIVAALIKTLDFPQEE RGSFLQCAEQLGRALISPISPASNSTSENYVRYARSLGPLSTLAELAANPVTMLSTSS PTDKIWSLMAVMQSKVVFAAPMPQFTHEGGGRPQHTGPRPVCLGLHLG H257_04774 MELSSQWGDHLSSGSYIHRRVARVVFGDALLDVVHEIGADVGGH EQRATNPYPTITLSMGIAVELTSGVNAACSNTQHV H257_04775 MTNLFAFLAVFAAIASATVNQTDDRQLQTTDASFCWKTTHTRGV GQVPVSCAAGQERLGLLCYDKCPVGMTRKGLDCHSNCPAGFADQGLFCRNTEYGRGFG YPWKFGDWLNDSGMYQRCQKDHGQGKCENWGWVVYPKCLPGYTSFGCCICRPTIPDCE ALGLGGRVDLSCAKKSRLGRLTWAQPIPCLFVSARLLDQGLKAGTPLSVEIHASKVED RVVQLKTSLCNLPRLDVMANLLAFLAVFAAMASASVNQTDDRQLQTTDASFCWKTTHT RGVGQVPVSCAAGQERLGLLCYDKCPVGTTRVGLDCHSICPAGFADQGLFCRYSEYGW GVGYPWKFGDWLDNSGMYQRCQKDHGQDKCENWGRVVYPKCDRATLRLALGLGGRVDL SCAKKITIGTPYLGTCAANEERDAGLCYPKCKPKHTGLGPVCWGRPPPSWVNCGMGAA KTTFDCITAIKDQILSVGELVLNIVTGFAASSAKVLKGPADPAKLAQLLKAWNAIKSK PVVQTAIKSYDIANKARIGNLIIHDLQQANSTTEDYVRIAASIASLLDPSGVSGVIAA YTFSTCDKVAT H257_04777 MRMTAVLDESKLTILFIIKGAIGGRIEASEFPIFPGGHSYVVQE KAWMGDRVRKSYLRTVLHDDIEEASVILVAHLYDIHESGFVSLSHIGITRWDQELGRF VVPTDEVDDTATPVDDSTMTQVVNLARRLKQPPTCVVFQETMNRNAQQLDTFQHHLNN EVGAGNYVLFTNDPRATSADPVHRRHCGVASFFHKSMPGFASLVHLVNHDIPGRYLVV RTEWSGLPVYIHNIYAPVEPHLRGAFFAALPRDFEHDSLHLVGGDFNRSF H257_04778 MGQKDRNQVMWTEDMDEALLREVVRLGPYEVGHGKVTATWTKAA LAMHEFDPNISARACQTGCDTLLHEFSQDNQRSVRASGVEEDDDDIVKLKQDILDRRD AKMRRTRKRDSEHERLDELELAGVKACSDAKERVSKRLSTSLRHR H257_04779 MAVLLASRRSVATKLRFLLRQRTLRDRIPIPHFTFNVGAYNDAE CLSKFRFVRADINLLVRCFQLPRVIVTHERTRCSAVEAVCILLCRFAVSDRLIVIIDV FGRSPSAQSNIMLFVVDHLHSRFKKTLFLDRDRIAPQLRRFATAIKEKGAEIHNVWAF IDGTVRACTRPTNGTMQRCVYNGHKRKHALKFQTLVTPDGIIAHVFGPVEDRRYDLTI LRRSQLERVLRMDPRFDGFIIHGDSAYGKSAHFASPFADANVNPAQVCCQEEHEPCSG H257_04780 MPRHCTQVTGMAKPTVWSGSQHSVWSTGPGRVNRLDYLFLHDEL ASHLNPEARYDPNGYGGDHLTHTVTLSQSPCPTTKGYWRLPRELLSDPNIQRAITMEA TTLLGKMRADETLNHGAMWYGWLKRMRRQLIKCHRLHIESANTQLHHLRLRLAATKRA LDGDGDDVSKAADVAAAQLAYDSAKSEHGQYARDRQFDFHANSNERGTSHFFRKPLGT KVPINCVTVDGVMITDEPTVQTTFTAHWRSIMTSPQDANPPDHHRRRAVIESLTKRLN LADRDSLDQHTHHCD H257_04781 MLCMARALLRQARIVVMDEATAAIDHETDQNLQRVIRTEFESST VLTIAHRLDTILDSDRILVFDQGRLAQCDTPAVLIGAGSGIFFELCHEGCYLDKVMGP SCLVGALKHWNPKNSFDCTTAIKYQILSVGELVLNIGTEFAASLAKVLKGPADPAKLV QLTKAWNAIKTKPLVETAMKVYNAANRGKTGYHDIEELEQANSTKEDYVRIAALIASL LDPSGVSGVIAAHTYSTCDKERKIPRPVR H257_04782 MANFLAFITVFAAMVSATVNQTDDRQLQTTDASFCWKTSQTRGI GQVPVSCATGQERLGLLCYDKCPVGTTRKGLDCHSNCPAGFADQGLFCRNSEYGRGAG YPWKFGDWLNDRGMYKRCQKDHGQGKCEKWGWVVYPKCLPGYTSFGCCICRPTRPNCE ALGLGGRLDLSYQILSVGQLVFNIGTAFAGSSAKALQAPADVSKVAELTTAWKAIKNK PLVKTAMQVYDAANRGKTGYQAIEELEQANSTTEDYVRIAASIASILDPTGISDVIAA YTYSTCDKVAA H257_04783 MADNWQGDYSTNSRCYYSNDLNTVDTTILFCVSGLFGVVTNALF ALDTKFWMTLYMGLIALRLLHIGTLRKYLYPFGELMDADLRSSIKTDVAYFRMGERQM LCMARSVLH H257_04784 MANFLAFITVFAAMVSATVNQTDDRQLQTTDASFCWKTSQTRGI GQVPVSCATGQERLGLLCYDKCPVGTTRKGLDCHSNCPAGPAMTQVVLNRGHRVPTLG EPT H257_04785 MTNFLAFLTVFAAVANSTVNQTDDHQLQTTDASFCWKTSQTRGI GQVPVSCAAGQERLGLLCYDKCPLGTIRKGLDCHSNCPAGFADQGLFCRMSEYGRGAG YPWKFGDSLDDSGMYKRCQKDHGQGKCEKWGLVVYPKCLPGYTSSGCCLCRPTPPDCG VLGLGGRVDLSCAKKITVMAPTLGTCGANEDLDAGLCYPKCNPDYMGVGPVCWGRPPA SWVHCGMGAAKTPLDCATAVKDQTLSVGQLVFNIGTAFAGSSAMALQGPADVSKFAEL TKAWDAIKTKPVVETAMQVYDAANRGKTGYQAIEELEQANSTNEDYVRIAASIASILD PTGISDVIAAYTYSTCDKVAA H257_04786 MESVPTKQTIQESFRGASTRRTYLTYQMQFQEFCVSHKHGLVPA AATTEDCTDFFHHLYSLGRKPRTVNFAKTSLVAYFKDQHVEPNLAQAPLSKQYVVGLQ KYNRQNNVDDVEKAHPLTIDELSTLINGFARLNPFVGAIFRCLFSCCYLGCFRISEML GLIWGDVSFGKSAHGPYVSVRLRWHKKASVEKECQTSKDAFVFPHVTMLPSGNVDWFK AMEQTFVRRQLNDIVESSPGLPVGISLHSMRRGGCFYRVFESPERRFDFRELMAWCRW GDAKTCCEYLVTRTLSNAIDPRLLLEKRSLVPSGVHGGNLGGHVTADNIAAAVMKCLR VESVLSPHVGLPVAKRQQTMQEYACALKDYSKEMVKSDRRKYSEWQTLATAFNKFVLG FASRS H257_04787 MHGLAVVDDLWLRAWSRTVETTNPAEFQANTAFNLSKYGSLAMV ARTLMSISGTLSVVGTSALFALGTMFWMISRLYIQPAREMKRVNKTTMTPERISECSN IEPEACA H257_04788 MRPWRASALEFTEFCGERKYRGETIDIVRVAALQEEAIWEYVGL WWARLVRMGPVPNVFQYQLQLSVVPELPRFRRDFVHQISEYCRSRERGHEM H257_04789 MDSFAIVSRLQQQVDDDVHALDEMSQVYHAHSQHVEEADDSPTP VIDSFFSQGGNASLSTMTNFTLSEFESIWAIVESAMDAFFMAMSVLKHCNAYDKHAFD YKMKAPTFEKMIHRVFDTVELILYEHFVKPISMTYQVQHGHTFNNFPSALYCTDVKFQ PSYRPTGRFDEAKHYFSGKHKLYGLKLEYSVAYPGVAVDMSEHSPGSVADVTMFMHRR HVHKDMLRKSASEMEEVDHDEGAEEYPDSWSILVDMGYQGIQHEVRSMQPKRRPQGGL LTARELERNDRVSSDRVLAIQCTGVKAKWSIKGSTRAFCVLYFDAKKLRRHIDDEVLR LSSEPPHPPPVLDDVEPKLARPFKEYLAKTSFGQRSIALVYALEAVRRYARLQTSWLR DKFCSEEQQFASAVDVYTKFHGLKFEKHCSTYGSQATFDKFRKLRDLVPQDWNELTQK FPDFLDAKPTAHALPVHGYDQAPETTRSSDLRLSSYDIYGQTTGLSPLRSQRDMPFLV HSTKMRCDATSTSHPNGWRSRQGNSHHTAVANGTNTAKVEGVPPRQKDPPIAHAAALP MAVNTEAATPKAALVRTTTVIQTAATPKAAISNKATPKAAENELRLRTDAINVTKSYI PSKMTGEAAVAASTKVAPVKIPEETTIVAAQLDVRLYVTGSNGDPLAIYLQDVYPIPE LHFNLFSVGRALHMDRHRIVSIDPNMDSSHFKHDIVLQSRHAMSLHLPLNRSQANNHG VMLRLARLKSFQTPQHVVGYASVRIYRTRGEGLALGP H257_04790 MQEPILRQRVQKGYSLGTESSFCTWLTKKAKYLATAPNKKLTSL GGQGHPVHMMERTISAWESIYESSVKMSFEKAPPALQDGPGGPESLGLVNLTLAPSSL TKAALCQEAAGNDEHSHRW H257_04791 MRPQRSLSQPSSGADVSVMELPNGSSQYSHIPSSSSRDMLPTNS FQGGKRYSETKATLINLYTGAPGTLLGAGLGLFLGYLVTLDSVYPALRAYDARNNKPI ERYLLQPGRMYLRALTCVAIPFAFLSLVLVAAEIASSSRTGVTKLGLRVSLLSMLTSF MVALQGVYIGSLVAPTFDGTPYYFRSPNVAFQCPTPTRTSLFLDPKANNSMVCSRTNQ TVFSFGDSRRLPYLIGPTVNRFVVYKSTIDEISSVLLNLTPTNYIETTNTNIMAVALG AVATGVAIGKYVTGFKSNPTLNHSIVPVLRELVAVFEIMTSWIAMTTPLALISLVAGS IYAGTHNVFDSDKATNGVVGLLWYILAFVGVSLVHAVVVLPVVTYIGSRGRLSPLRFM WQMRGALGYAIHSSSSRRSISVLLRTLERVVGQPSASTRFAIGAGATMNKNGGALYVA MSVIFLFSNGGLQDRFSATKVALLVVLATFGSLVVTPVRNGGVVVVICACAMLTGLAP PYAINFMLIAECIIDPVATMLNAWGNVLIARLVSES H257_04792 MTSDPSTHSPTTRPREAVRTMPAPTMHILGPFPDLIAKRLVQVD LREQWGSSVRPIRKHLAQANPMRRKTRRIVRTATVTSYSLWRSLHKVIAVGGHAACLL V H257_04793 MLTPAPLPHAPPTEIISPITFLAHCLVQSGTAPNFQSLAMTLFL WPWAYRPVTKPTIFVHALVYIQRAVQSSKLDPCAVKAMFVGLPHNQKGKGRGKGWSNP EVMSMLDCIESHLRLGTNQWDAVQADYNSRIEQNNGWVVRDTESMRRKFKSLRNSKKP TGDPDCPENVKCTKRINRAMEARMSVLDMGSGDENKDNENNVNNDSDSSSDPLSAPTP LANSEQTMSQKAQRRRRIDEILADSAENEAIKGRLVFEQRDARQHTFEVLAARQELQQ AMELEYRQYQMQLAAERDEREAKLWSDKK H257_04794 MARTTKFTEDGHGVVADSAFPVSGGLIGKIRTPLKDGDLERAPA CRNGLLLMSNAITALRQAAEWGMGAVEQVYRQLLLPLPYNPEQQQMRLHNIFKLYNFR VRRTGVVGPK H257_04795 MPIIDHPDANQILPRYHTEMSVDLSSVGHWNSTDWMIVNMSALI TPAVVHVFRVASRVPTDSDFSSTMSKATKDENTCRQSTTHDTSTVYGIAKNKACSVVV SRPVLMVEPTMPIVMLYTAVTTTAPVMLRNTRSVAACLANVDADMSLLPVHTIVTVP H257_04796 MPNTGETNQPEPHEPAKDADEIVVEADEQIEDKSFLELGVNPAL CESIAALGWTRPSKIQQKSLPAALAGKDIIGLAETGSGKTGAFVIPILQDLLLSPQRL FALVLAPTRELAFQISEQFEALGSSIGLKCACVVGGIDMMNQQVALAKKPHVVIATPG RLVDHLENTKGFSLRTMKYLVLDEADRMLSMDFEEEINQIIQLMPNERRTYLFSATMT SKVAKLQRASLQSPVKVEITHKFATPETLAQKYLFIPAKYKDCYLAYVLNEFAGQSML IFASTCNGTQRITLMLRSLGFPAICLHGQMTQPNRLGALNKFKAKQRSILVCTDVASR GLDIPSVDVVINFDIPTNGKDYIHRVGRTARAGRAGIALSFVTQYDVELYQRIEHLLG KKLDTYACEESTVLVMLERVGEAQRAATIELKEETSKGTGKRARYGKNHEGDGDDGDN KRPYKSSKKIQHGKGGSSGGSRGGMKKGGGRKF H257_04797 MARGSTYQSVAIGGVASARAAHPIENANVISRLFFAWATPLMAV GNARPLDPSDVWPLQLENQCSIVGRVFEPVYSQKHSILWTMASVFGWDLLWIFIMQVG KVLGSLYGPYVLQQIVLSMESQGGFDATYCFHLIGSLVVVQLVSGLLSAHSDLQTQLV VVKVTSALQHLLFQKALRLDATSRRVKSTGEISNLFLSDIPYIVFVSTQGNQILILPV QIALILWLLYTVIGWSSFVGAAVIVLTMGSNQVVSNVIQACFRTLMTQKDIRMKAINE TFTAIQVIKLNAWEEKFAANTALTYLGPTLVTIVSFAAYTLLQHATLPASTLFTALSY FNMLRQPFSLLAMITSNCMQALVSMQRVNEFLELSETNATSGGVLTPATTPNDQLQDY ALDNVAVAIENARFGWDADINKPLFKDVNLTIKRGEFVVVHGSVGEGKSSLCAALLGE MHKFQGSIFVGGRVAYYSQQAWIQNMTIRENILFGHPYDRVKYNNVLAACALSKDLTL FPAGDRTEIGQKGVNLSGGQKARISLARACYSDADIFILDSPLSAVDAIVQNEIFTKC FLGLLRHKTVLLVTHSPEIIASKFIDRIVEVKDGKLMDKAVLGRESTDDMPSSIDPLA ARRGYPVAGDDDNQVLTTDMPVYKSNDYNTMLSPSTPHHGESFDVFTPVGPHLTFDED AEVSGQLVLDEGRTQGKVSAAVYKAYVEAAGGWGTLVGVVGVSILRQGLSIGSDLWLS VWTSTVPIETPLEFQQHTPYYLGIYAVLAVLAVIATAVATLATYGACVTASKTLFNQM TDALLRAPMRFFDMNPVGRILNRYTTDIGAMDVNIPFQVGSMASVSFRTLCTVATAMY MTSYLGLLVLPLVYVYVWMGRYFVKPVRELERINKTTKSPLLNLMAESIEGMLVIRAF GDNQRRRFQRMHFRNVDATNESMFAKEVVTTWFTLRIQFTSAFVVMVVSVALVMMRDS LSPGLVGLALNYIFSSLSMLEYLIPNFAQFETVMVGPERVVEYANIAPEAPRVISGAV AHDWPTNGDIAFTNMSFRYKENDPLVLKDVNVHIQSGEKVGIVGRTGAGKSSLTMALF RINELAGGSIKIDGVDISSIGVKTLRSSIAIIPQTPVLFKGPLRNYLDPFGEFGDADL WGCLQKVRLADRIDSVEGKLDSPVEENGENFSVGERQMLCMARALLRQARIVVMDEAT AAIDHETDQNLQRVIRTEFATSTVLTIAHRLDTVLDADRILVFDQGRLAQCDTPAALI GAGAGIFFELCHEGGYLDNVVSSQLVE H257_04798 MTTGSTGVKLVVEGMRCQNKCARRIREALEDIPGVASADVRFQG KSATITFTSPQTSVSVVELIQAVRGLDAGLNKSYDAYLPGDDRRARTVVLHVDGMSCM MNCARKVESALATAAGVKSAKVDFDLKQATVVIEPGSRITEDDLIDTVQGAGKKFVAS VQVSSPRVVVPTSPAVPILPSLSSSTTPPTTKSHASISIKELPADSSSSRVTIAVSGM TCNSCANSVESALRATAGVESCVVNFATESASVKFIASTIGIRSLIEVVEGIGYGAAV ATTSAVVQSDDNRQIDIATWRSTFVVALVFTFPILIVMMLLDNIAPISRGLRTQVFHI NGSTWNDLVLFLLATPVQFYCGRRFHIDAWKGVRNRVLGMAFLVSMGTNAAYFFAVFS DLRCLYLGDASFSVPDMYMTSSTLVTFIALGKTLEAVAKGRTNDALRKLFDLQVKVAT LVVSDHGSTSEKVVPIELVQRGDVLKVVRGTSVPADGVITQGEGRLDESMLTGESRLI KKSVDDTVLGATMNIDGLFYMRVTGVGRDTALSQIVRLVEDAQTSKAPIQAYADQVAA VFVPVVLVLSTATFLIWYSLGSLGFVDLPQHTSAFLFAFKFAISTLVVACPCALGLAT PTAVMVGTGVGASLGILIKGGEPLEAANHVDTVLFDKTGTLTNGTPSVTDIVVVAHPS TDGQEGDDITSSCPDELVRLAASAELGSEHPLGRALVNRAKLLAKPLETPVTFHAVSG KGISVELSDETVHLGNLDYMDKCGLQRGHVDDAVRGRLEAAGKTVIYMGLCDRVVALF GISDAARPDAKATVAQLHARGMAVYMVTGDNRRTAHWIAEQVGIPVHHVMAEVLPSNK VAKVQELQAAGRVVAMVGDGINDAPALAQADLGIAIGAGTDIAMETAQMVLMKSALKD VVVAFDLSQTIYRRIQINFVWAMGYNIVLLPLAAGVLYGFRIQIPPMFAGAAMAFSSV SVVASSLALKWYQPPTTHHSHRHRADTADERTPLLHV H257_04799 MLANSASSTNPGRVLHSEYEARLLKGHHPITNNCKSPSSSTRSA SSRQPPNVENCSQLQHRGSRPNVTSPTTKSHPSSAPRRSKCVYSDRFIPSRTASNLET TFDLLPDMAYPSSKRTQLHPPTNPPPTKNQGDLSLLLQREYLGVDASLASSKPFFDRR VATDPRQSSATTRRNLFRFQSPRDHLSCSFPTTTPLLKRNPLAATSPPLAKQRKIAKS PFKILDAPALQDDFYLNLVDWSSMNILAVGLGSAVYLWSSCTSKVTKLCELGTMVTSV AWSSHGTHLAIGTHHGDVQLWDAVLCLRIRVMTGHTERVGTLAWNSTVLASGSRDKTI CLRDPRCPLDVTSTLQGHKQEICGLKWSFDNTQLASGGNDNKLMIWNCHAVHPVLRFT DHTAAVKAIAWSPHQPGLLASGGGTADRCIRFWNTVQGHALQAVDTGSQVCNLVWSKN SNEVVSTHGYSLNQIIVWKYPSMAKVATLTGHTYRVLYLAMSPNGQTIVTGAGDETLR FWNAFAPAPTSQTSSLVLPLGSQHIR H257_04799 MLANSASSTNPGRVLHSEYEARLLKGHHPITNNCKSPSSSTRSA SSRQPPNVENCSQLQHRGSRPNVTSPTTKSHPSSAPRRSKCVYSDRFIPSRTASNLET TFDLLPDMAYPSSKRTQLHPPTNPPPTKNQGDLSLLLQREYLGVDASLASSKPFFDRR VATDPRQSSATTRRNLFRFQSPRDHLSCSFPTTTPLLKRNPLAATSPPLAKQRKIAKS PFKILDAPALQDDFYLNLVDWSSMNILAVGLGSAVYLWSSCTSKVTKLCELGTMVTSV AWSSHGTHLAIGTHHGDVQLWDAVLCLRIRVMTGHTERVGTLAWNSTVLASGSRDKTI CLRDPRCPLDVTSTLQGHKQEICGLKWSFDNTQLASGGNDNKVHASPAAVFPITVTYY RSLILYQLSS H257_04800 MSSTTVVQCTRPVILNEAPQQAWVEISMEPGNARLKSGAGFIWL REAKVYAERKDKVYDVRVTDAKTNIMRFKMEWKTWKETGGGFEAALAQTIDKVKSSSS IEAMMMRRRKRAPPAVNAVAVTKKVDENVKTESVQPVPAAAPTEAASTPPPVHANPPM DDTAVVTTDEEDKRLLPLDVLGSPRPARKRLSLPLQSPPRLVTHRMSISPSSPMKQGM TAKSPFRSPFRSPFRKTEVEKRYLSPTRDASSSSPMPRRRLKRPHQDIVEALDSPDRP PRRVRSLFDANEADTLTPASPHLMAPLAVHVATPPLSTTSAEPSLASKSLPSTVSKYF KPPVSLASMLPSAFVDAGTMSPTATTSPSQPLETSSSSLAPPSARRSPCTPSGSTDDG SHHSKNMRQRDGQSPSKNDSPHKETDHLSTSAPTNQAIIVVPPPQLHGLVNLGNTCYM NAVLQALLCLPAFTSALRTESWVDDVHLKPMAAAPHTEEANTNHVLYTLFQAMVQAKL TGKALGNPSALKAELAKRSPIFANKEQQDAHEFFSTFLHELEEDMTRLAIMQALENAA KPKQTLLSYFGRSSHHQPPRPVTPGNLLPTAACFQTTLQQTLTCTSCSYSRPISETFR ELSLDFPPLSVHPPRPLVCSCRKPPVLLTVKKEGANHGRQFVKCGQPVNPCKFFEWQD CPPLAPLALTQLLTEHFKPRQVDITCEKCPHGKQATMTCHIQSLPPVLVLHLKRFEVQ HATLTKRVDAVDTPVSLDPSTWPLAGIASTPTDLAIATTHVPTPLYELKCIVRHLGRT ANEGHYVTDVHDDQHQWMRYNDTLVTQVDATQVLQGHGAKSGYLLVYVQRDLVLRPSV HASAPHAG H257_04801 MTRVTPLGSRSPDVEDTTHVLADQVVPGPARIEVEAGGGFGISK KGLADINIDQMTETNVKNLKQLGGVDGLAKKLRVDTATGLSRQEIDANFFARRAAFGT NTFVEAPSKSFLSLFVDCFKDTTLIILNVAAVASIATGMIENPQHGWVEGFTIVVAVV LVAFVSTVSNYTKEKQFRALNAKNDEFNVKVLRAGNYDQVPVADINVGDIVVLESGDK VPADAVFLRGQDVKCNESSLTGEPDEVTKGVTKDPFLLSGCLLASGRCEVIITAIGSD SRWGRIKAKLVREHRATPLMEKLDDMVKIIGYGGMGCAIATMIAMVSIYATTSPELRK GTWVHKLLDTFIIGVTIVVVAIPEGLPLAVTISLSYSTKKMLNDHNLIRVLAACETMG NCTSICSDKTGTLTENRMTVVELWTQGKHYDEPSMRNHPIKFDARYFDMVATAVCANS TAQLLEKGGPQDMPIVQGNKTEGAMLLWLRNQGVVYKQVRDAAFRPQTGDRMYSFSSE RKSMSTIVRVHGGGFRLYSKGAAEIILSRCTHVLKANGTVGVLNTVTNDDIHDTIVGM AKMCLRTMCVAYRDFSADELPADLSTLDNPPEGSMVCCAIFGIMDPLRADVAESVQTC QRAGITVRMVTGDNIHTARAIAKQCGILTTDGVALEGPVFREMPKDQLQALLPKLQVL ARSSPDDKHMLVTMLRARQEVVGVTGDGTNDAPALRAADVGLAMGIAGTDLAKEAADI IIMDDRFASIKQSVLWGRCVYDNIRKFVQFQLTVNVVALSLTFLGALAGFDPPLNAVM MLWVNLIMDTMGALALGTEVPKPELLLRRPYKKDASLVSRIMVKHIIIQSTFQLTTLL MLLFLGPGWLDVPNGNACISTTYAWIDDIANVAAPEPCVLLQNHSTCWSLNCSAYVPL YPTFNQSHAMPPNVPLACLKSPRCDVYDYRHFTFLFNVFVFAQVFNEINARSVTNDWR VLHGFFSNTMFLFILAMTVVFQVVIVEFGGDFTKTSSLDGTLWAYSVAIGVITLPLGV VMRFVPVQEDPDSFANPNGLVIPKQPSLAL H257_04802 MMIPGMSLHHVQIKKPNHRKRHAMAQIMAGTEDIVSAVAHKACS SMQQQPAASPPVKAAEATEAHEPSSRYTATKTLPTWITNDRQVLRFFCYFLDSSSDDS SSRDRSTSTPETSGPPPPTIRRLVLHYYLSDASIEVCEPRVVNSGLDQGLFLRRTILN HPSTSQPYTPFDLVVGSSLPIKGRLFTLVDCDAATREYYVQAKQPQPPAKLYPDTPPS STWTEVERIKMARSAVPPSHHPRTQRDPITDKVNHAAKVHQFMTYSNKVLRFFCRWDD PHPLYPVSRPFVLHYYLADDTVEVREATKDRGSSRHAVLLSRRRLPFEPVPTHHHHHH DRGSPGTGRFVHPLDLRCGDGLMVFGRCFNLIDCDEFTRAFYLDRHGVTQESQTLLGE PAAVRVDAPEPDDSILRPLLQKSERKAISKPLGVDQCLRFRAKFASPPDDIQANRRFI LSFYLLDATLAIYEPHVPNSGRLGGKFLDRQSYKLHQPPGNRFVRAGDLVVGGTLQLH LTPSQTFMLLEADDQTLTYCEDHPEEFPHANIDLVLRHVVSQLVTQSTSLRHVFRAQA SLEDGKNLRQVTPQAFDHVLRHRIHLQLHPHEELTLRRRYGRSTSEQDQLVWYDVFCD AVSRTYACAHRRSLPAAATTSLDTLRQLHVNLRGAMLRVDTGTNNGIVPRSLLEKLLG FYQVHLPAQLLDRFEVSAEAQVDYHRFFDAVYPCDLFQEDERSKGVVPADVDASSSRR PVVPMPVPDEGPLYAPTPRTNLDTERFGVLGPLEQGRGGAEAATQIALQRPQQRLPMH DDDDDVHSVTSSLASSAPFSTVDLSQRASLSAKGDATTRPPSTDDMQVPPPPPPISAT PLDQSYLLPLSRFQTTNQRVQQESARTARALQQQRDDSGAVTLADPTIYSRRRHPATP TNVTGSTPIQLTKDQKAALYKTTSQRMLRGERTAALTSQRAADVISRARAKLVTETKR NPLVPLTVTDVDAVDPSSKALFANLFAPHKYELRKALRQHDHDKCGFVGEDEFMDALA SVHPDMTDEDRYRLADAFFPSVDAQLNYKHLLDVALVMLPPAPHASISTKLGHAVP H257_04803 MSSNHGNMEVLPREAKRRKVASHANEVLATEYEVRDGYRYVKPY VFAFETHAKQRWFGRTLLEIFTTEFGSFSPEYYALAIDTGRITLNGKLTPPTTVVKNG DLLCHKTHRHEPPVSGDTIEIAHETPDLLVVSKPAGVPTHPCGAYRFNSLHFILLHMR PDIPKLHVVHRLDRLTSGVVILAKTPSKARALSASIADRTASKTYLARVRGSFPQNLS AEWLSKLHLPTPRARVTIDGKWLRVQCPLVCKSHKDGVWTWALDGASDVKEAETLVQF HSNPPPSSRPHDGEIGHDDDDDTTVVLVKPVTGRTHQIRLHLQLLGLPIANDPCYGGT LHFGSSFVENEDVLDGSPSSKDAVETSLASTVPQLPHESEADFLTRTCQWCARRPENE NHKHCARIWLHAWRYELLGETFQVAAPDWVVVPPQVLLGDQA H257_04803 MSSNHGNMEVLPREAKRRKVASHANEVLATEYEVRDGYRYVKPY VFAFETHAKQRWFGRTLLEIFTTEFGSFSPEYYALAIDTGRITLNGKLTPPTTVVKNG DLLCHKTHRHEPPVSGDTIEIAHETPDLLVVSKPAGVPTHPCGAYRFNSLHFILLHMR PDIPKLHVVHRLDRLTSGVVILAKTPSKARALSASIADRTASKTYLARVRGSFPQNLS AEWLSKLHLPTPRARVTIDGKWLRVQCPLVCKSHKDGVWTWALDGASDVKEAETLVQF HSNPPPSSRPHDGEIGHDDDDDTTVVLVKPVTGRTHQIRLHLQLLGLPIANDPCYGGT LHFGSSFVENEDVLDGSPSSKDAVETSLASTVPQLPHESEADFLTRTCQWCARRPENE NHKHCARIWLHAWRYEVLSS H257_04804 MSPRAPHITLFTAVSSLPKAMQQPHSGTVLAATAMVRCTWYSAH LPEVECMGITVQAQDGPDEFMDCRRHIPHTSCGANPGGMHDMKSDIRGATPLETVTLL MGRMSSWIVVAISRTSPAAPIQVACMT H257_04805 MAAVADGYVQTEALPPVLVLHATEAAPSTLQFLPTGSNGSHVGH DNSINGGGTAANSDAEQSSDPEPDKEQDYENDMDVNDENATPDDDAQASGGDRKPRRE LPPATVKILKDWMLSTEHIKHPYPTDDDKKKLLETTGINMKQLTNWFTNARKRIWKPM MRREHSRQLQTSLDIEASSGTAALPVATDSTASYSQPPYDNHHHHHHHRGYNEFAYDQ GHHSPHPMMERRLSMPSSSAEFDPRHHPQVQHHHTPSMRRHQHPLASISTPGGYHGHQ AYPTPSTAPMSPYALPRMLPPSSSFFPPRCSRSASESVAHRHAPPLFAMPTSRSSTQQ QPPPPPIPARLERTKDAPSPPQKRTRPSSTGDAENVDDPGKDTTTKRLRRSALLPPHV IKILKDWMLSPEHVEHPYPTDLEKKQLCDETGLDLCQLNNWFANNRKRLWKPTMANRS KALYTNENIRNLIYKTDHNSAASSSHPPPASHHPANYQTATSVNSVTGFKSERTFRVN ANLARPPVGGPDSVPSSFTSSQRYPFPRAPVSQVTGMAPREGRSHTLDMGHFRRNRMN FQDVLNATPSPSDWNNHHPDGSFMRRGSGGGGTMTLPSLHTSSSAAAAGFHGVNN H257_04805 MHLTKYMSRQPPYDNHHHHHHHRGYNEFAYDQGHHSPHPMMERR LSMPSSSAEFDPRHHPQVQHHHTPSMRRHQHPLASISTPGGYHGHQAYPTPSTAPMSP YALPRMLPPSSSFFPPRCSRSASESVAHRHAPPLFAMPTSRSSTQQQPPPPPIPARLE RTKDAPSPPQKRTRPSSTGDAENVDDPGKDTTTKRLRRSALLPPHVIKILKDWMLSPE HVEHPYPTDLEKKQLCDETGLDLCQLNNWFANNRKRLWKPTMANRSKALYTNENIRNL IYKTDHNSAASSSHPPPASHHPANYQTATSVNSVTGFKSERTFRVNANLARPPVGGPD SVPSSFTSSQRYPFPRAPVSQVTGMAPREGRSHTLDMGHFRRNRMNFQDVLNATPSPS DWNNHHPDGSFMRRGSGGGGTMTLPSLHTSSSAAAAGFHGVNN H257_04806 MAPTWANGSVVTITHGETGSTFRALVEKDKAGQIVTLCNIDTPY EKLKVSQHDGETSWGAGGGKFAAFAATPVDSISNSTFTFQLCANQKKLNVDGSEGWYL GVSSSSAASRGILLTPDHVLVGNGAPCTFVVSEVTSRAHMQLSSATACNLPPLTPSQL ESFCREGYLVLPRAVPLPLVHDALRRINHELGKPGMMIDGGVEGTAKLAGNISNHPAI LDLYRPVHTAVESIVGQGCVVPPLGAQLALRFPELCAPYEPLGNEWHTDGMRQGKWNP FSLLVGIALSDTATSAENGNLLVFPRTHRTLHNMLQSPTDKEDLLRACVAADKAWGQG QHLPNLGPPLALKLSPGDVVLAHPKTAHRGGPNFSPHIRYQVYFRIKHKDHARLETQL ETDLYADLTGCCHVIL H257_04806 MAPTWANGSVVTITHGETGSTFRALVEKDKAGQIVTLCNIDTPY EKLKVSQHDGETSWGAGGGKFAAFAATPVDSISNSTFTFQLCANQKKLNVDGSEGWYL GVSSSSAASRGILLTPDHVLVGNGAPCTFVVSEVTSRAHMQLSSATACNLPPLTPSQL ESFCREGYLVLPRAVPLPLVHDALRRINHELGKPGMMIDGGVEGTAKLAGNISNHPAI LDLYRPVHTAVESIVGQGCVVPPLGAQLALRFPELCAPYEPLGNEWHTDGMRQGKWNP FSLLVGIALSDTATSAENGNLLVFPRTHRTLHNMLQSPTDKEDLLRACVAADKAWGQG QHLPNLGPPLALKLSPGDVVLAHPKTAHRGGPNFSPRALQLPTLVLVVS H257_04807 MINVREFQALQTQLLKEGNERFELQEVNKQLMSRVKMLERDLAK KEYELTVAAAANAITTQDDIHELVENNVALKEQVRALKGMLDAMQSVPLHAGDPQSVA RTTTTSQDMTDFQTVLGKLTAKEEELSAIRVECRMWEEQLMQLKFDLQSERFQSQTLA KAHLQQAKAASCSGSSGNKDAPPLSSINPFGFPSNSPSKQSAELQQYKALAMQRAEYW KLSEMALDQANSEIARLKQAVATLEERHLQMGGGNASDSKHQHSTHDPLQSVEAAVDE ARKETKLAQDTLTQAMKELARLQEQVRDMPLQYTIETKRLRHLVRDLQSDLAGQKTAV DQLKSAALLYSPRNSRGIDVSRKKAPGNVKHISF H257_04808 MGEVVGPKSEWRATMGLFLFYSSWLGSIGFFIASLAALAIYPPS RVPLALFYGVYGGALYLLPWGTWTRFCDCVRWFNKDSVPYFQGQKLVFDDGVTPCAAK SKSLLSFHPHGVLVCGWTVNGAAHTAWKDSAVRWLATDLLFVLPVIAQIMYWAGGGPA NRSSFEMLAKEGTNIGLLPGGFEEASLFTYKKHRVFLKNRKGFIKLGLQYGYKVHPVY TFGEEDTFWTLPYFASLRLFLNQYKIPTVVFWGQWWCPFMPHPSAKLVTVVGPPLALP LTPSPTKEDVDKYHALYVDALQTLFDKFKAEYASTPDATLEIW H257_04809 MATDTTTEVPEEVVDGNSRCFRFRGWKVETQKKAALSTVGRTHL SEACELPHIPLPEIVFGDNYLRLTHEPSGWTVSFNAHDALMTWAQHQRQDAHSTLTSY DVMYSCEYEGSIAEINQNGHHPTSTIEPTHDDIPLEKLREHTAILFYDHVSLFEDDIR DLGEVELSVKIRVMPFGFLVLCRYFARLDEKEIKLQDARYYHEFGSSIVLGDFEARAM SAADLRRVYFANQKKHTTLEQQDQQPAWFIPTADILYANSTPIKQSAYKIGLQVAPPN IATS H257_04809 MATDTTTEVPEEVVDGNSRCFRFRGWKVETQKKAALSTVGRTHL SEACELPHIPLPEIVFGDNYLRLTHEPSGWTVSFNAHDALMTWAQHQRQDAHSTLTSY DVMYSCEYEGSIAEINQNGHHPTSTIEPTHDDIPLEKLREHTAILFYDHVSLFEDDIR DLGEVELSVKIRVMPFGFLVLCRYFARLDEKEIKLQDARYYHEFGSSIVLGDFEARAM SAADLRRVYFANQKKHVRQICSIIPIILIPCRRRRWSSRTSSPHGSFPRQTFCTPTQL RSSNRRTKLASKLPLQT H257_04810 MSGAAFEPFTGVVLASLVNTAHKCQVFSQVGQHCLVTISTKQSF YSHGPVGANVDWRRHINQTIDRAKPRRRHNDRLGNVRGLLDIECPHSTTTDSEVG H257_04811 MTGGVKTGPVYALGIEGSANKLGVGVLILHPDGSCEILSNPRKT YIPPAGQGFLPRETAWHHQVHFAPLVRLALTQAKIKPSQLHCICYTKGPGMGAPLRSA AVGARMLSLLWKKPLVAVNHCVGHIEMGRAVTKSDDPVVLYVSGGNTQVISYSTQKYR IFGETIDIAVGNCLDRFARVLNLSNDPSPGYNIEQLAKSGTKYIELPYVVKGMDVSFS GLLSFIEKEARQKLATGECTKADLCYSLQETIFAMLVEITERAMAHCGQSQVLIVGGV GCNKRLQEMMELMAADRGGSVCAMDHRYCIDNGAMIAQAGALQFQSVGPTPLVDCTCT QRFRTDEVDVVWRTT H257_04811 MTGGVKTGPVYALGIEGSANKLGVGVLILHPDGSCEILSNPRKT YIPPAGQGFLPRETAWHHQVHFAPLVRLALTQAKIKPSQLHCICYTKGPGMGAPLRSA AVGARMLSLLWKKPLVAVNHCVGHIEMGRAVTKSDDPVVLYVSGGNTQVISYSTQKYR IFGETIDIAVGNCLDRFARVLNLSNDPSPGYNIEQLAKSGTKYIELPYVVKGMDVSFS GLLSFIEKEARQKLATGECTKADLCYSLQETIFAMLVEITERAMAHCGQSQVLIVGGV GCNKRLQEMMELMAADRGGSVCAMDHRYCIDNGAMIAQAGALQFQSVGPTPLVDCTCT QRYFTPRTGFKTSVDGGCRFRTDEVDVVWRTT H257_04812 MNACDSNESLLGMVQDMASRLKQKHMDVEAVGCLEQGLWLKWRL LGPQHADVHRSLQEVVALYNQHAMEQLSANDVDRCLELLQKADRLASSDKFTYTESLR ILTYNNLGCCYRRLNKLPKALKYLDAAAAIGAETTHVKNLSITYLNLCAIHSQMGRHD TALEHAQSAIFHAQEELVVEKVEADDDDETKDLLDTPTTTEEKIVGLAIAYHNMGVEL EHNEKADASLQWYKKALQLIFKYKTSNPELWHTFKATFDAAKQKSHRATHVSPTKPSA AHITTRASRSSVSHPSFSFEHSRIATGTSTITTPTPPGNRKPHRQQVQPSTSKTSYGA AQQVYNKPSKPPMTAARPTSARPRTAAPKATASALHNTDHSSRLPLSIATSPPKRTPI STLPSRAPPSKLYRTRPPTAKPVDPPKIAPTFAHLRPKSARPSQRLRHAVQASRSPVN NDDDDVVEVFDDDEDEEDVLQVFDTVQRVDVRRPIKPTPPVPCTFDAVAVVKEDVPVV VPERVSHVAYLKQLKHSIDANVSPTACVDITRRHKLAMDVQRVRRVASVRLQALCRGH LVRLRSAVAQKQRDAQHAKELEAARQLQARLRGGGDRHLCLMTTRMDMEVARIHVTAA TRMQAVWRGSRKRRRRCTHDQPTSWSLDLACSRTTGQSQVMDDRADIESTTALATTGD ITTDKAKVALERRRLLDATVQRERLRQEASRLEAERKLVEEATKAETTRLELEAIKLE ADKRKLRELAEHQREEAIRQAQARRQLEEAARADESARQREIELEIAKFEALRKETAR LEEERLRQAKAYAEAEQRRLAELARLEAERRVTLEGEMKREQEERRLGEATAKAKAEA DEAEQRRRQLDKVMKAEQLEAQRLQVELTAIAEVERIRKLEARTLEMERERGDDAVKV EAAAAARQEADRRRQEEVANAEQRKKESRLNQVAAELKAERLSQEFKAEVVVGRQEDA KAEMLRLNEAVKLQAERLRMDELLAKAEADQVRLNKLANEEIWHQDATIINEASRQKT IELDKVAKAETDRIRQAAKVEPERLEREAERCRVDEIARHAEQALCAVQTRALAAGVI QGVYKGAAVRTSAMVQFARKLCRSYIVQLHVNTELNHALAIESCVIESAVLIQKRVRG MLCRIQLDAAKESKTLSTSSSPHFAPPDATTGGSTKSLSHSYGESTFSSQSSDTPPLD KHPVVASLASTGDRSFPSPTKPSSSINPVDDAVRSHVEFLAATAIQSIVRGHQSRLLA LASHAGFDAAARRIQRIVRWKTQAVQAKAKRGQLKELLRAENVARQAQATTVATQTRQ VRELAAGVIQGVYKGAAVRTSEMTQFARKLCRSYIVQLHVNTELNHALAIESCVIESA MLIQKHVRGMLCRIQLDAANESKTPPTSTLRLSPPHTLLSVADKSTTRSTPSSSIDLP GGAMRRHVESLAATAIQSIVRGRQSRLLALATRAGFDAAARRIQRIVLLHQLRNRIAA GRCVSSASAMAEGRDLLWATTTDHPQSLNQASTTSHDIAVHHVAASVIQAAVVKASHR RRQDDNLELDTANRLARACLDAGKCRSVDSYEQTIASRLGQAACFVPMEMKSMDQDDD LNMAQRLAHACVTLLAGKHVRISVPIKQSSQNALVDTTVHTVAATFIQAAVKGFLVRL HQPRAALDSSRHISSTNEAVVAPDLLICAIDYSDDIAAVRPLDEPGGVGDFNNVSNAR IDDVLPEASPVSSSSSGRLLHQYSQSSFICDTKPASSTSPSVHSDSSHPLLPAAISHT SLLSSHASQASFYSDHDGPMEDALTPLLHTQFRAFVQTASPPPTNDLDVQDMLALGLS FESFMEAFESAYDDIGVAAAADALRVLAHEDGKWKTKAALHQVATLMQAKACDPDIWT EEVNACCMWLLKELLAN H257_04813 MPQQVELLDGGTGEELFRLGVPDDRKTWSAYALTHDEFHGTVVD VHRSYLLAGATYITTNNYMVTPAGHFSPEDIPNYVKVAGQLARDAINSSTWNSPRKVC GSLPPLSESYRHDLVLPATDAIPLYTVIGESLSPYVDLFLAETMSSIAEARNALTGVQ HLGKPVLVSFTLRGDGVLRSGETVADALAMLQSMAHPVVVGVLFNCCEPEAVTIALRS LISSSFEFRIGAYANALTPVPDGWVMEGASPQSFRTDLSPTEYLEHVQEWIRLGASLV GGCCAVGPRHIQAIHDAVIVGAVPAVHDGTSAIRHG H257_04814 MPRLSEADEAVLAKDREEHPECTHSTTISSSCRSINGDRQCETL RRIFRKCPGRQPALILDVKDQTQDNSVEANTDSDSHDAVLDPFQLFRSRGGIQHDDGG MSPFRGGFMGQPPHPFESMDDIMQEMLRPFGFGSFGFGPSDNDDNGVHAPYRQPHQAP PPHHSYSRKPPTGSKAPSRKKDMFDGFDGHVEEI H257_04815 MDMQAKLEEWKEKKRKRDENSSSKKNIATGGPLAKKGQVAAGHG STSKLRAPSTLKTVPTLKHAHASKPLVVSNASNGRIVAAKRTSSLGTLARSTPRVAVA PARSGRINARMLNPSTKAPPPSAFSSHDESKDASISSDASNVASEASSTSPTNTTQTS DRASSYTGSASLEHTHDAPRRILNKKRLSHGEAHWESDIATAIEASTSKLTSRSSSLP TLAVHHSTHSSLTKRRLSNEAMLDALAKHDSPTRVLRRVSLDAPSSVVTKPTEDRRAS YEVTPSKRRSSLTSGNGGGALRVLANKPPDSSDDEDDDVLSSSIPEDRELPQHHARGQ LRRKSSSSRLSVSRAPFRTSLDPSVEEQNHDKSRAKFTLDDFKYTDKKLGFGKFGYVY LAKQRTAAETEVALKVLTKSNMDEVGIRSLKMEVEIQSRLKHPHILRLYRYFHEDSLA YLVLEYAPHGSLQRLLSDQPRGYFAEAVAVAFVHQVVRAVQYLHARHVIHRDIKPENL LLGTLDTIKVADFGLAIHAPPPNRKRRHFCGTPEYMSPEIVDHQEYSVEVDVWSVGIV AYELLVGHTPFRGDNVFHNIQTWYTHKLQNPDMAVPGLDECDHVSPMAKQFVHGLLAP AATRWSLDQAMQHPWLAGGAIKHLPVPRVDA H257_04816 MSRLITRGFIGTIGNTPLIRIGSLSDATGCNILAKAEFLNPGGS VKDRAALYVVEESEKAGLLKPGGIVVEGTAGNTGIGLAHVCAAKGYKCVIFMPNTQSQ EKIQALQFLGADVRPVPAVPFSDPLNYNHQARDYAAQTPNAVWTNQFDNVANRLAHTK TTGPEIWEQTEGNIDAFTCATGTGGTLAGTGIYLKQKNPNVKIVLADPPGSVLFNFVK NGVLERQGTGSVTEGIGQGRVTDNLKDSPIDDAVHVEDHRSINMVFRLLKDEGIFVGA SSGLNVVAACDVAKSIGPGSTVVTILCDSASRYQSRLFSRSWLESKGLFDAVPEDCRH LVTLP H257_04817 MIAGDNDEDPASLKLLADQVMRSLVSSGCRLVCVDFDSTFLRIH TNGSWSRPAAELLPYVRPLFVRLLPLLAAHVSLAVVTFSPQVPLIREVLSLCFDPSVS ESIIVRGDVEGWTLSRDDAVQFTGIDSHHLVLHRRTKLPYVASAALEASSSMSSADPI RSHHTVLIDDCQDNVFLAAQCGIAAIHYDPTQFPQHMHAVLKRRKKRRRTSDTNLTLV LPSASALRQSIQSPLAGQPEASSSFATAVLSPVRSADSHPARLHFCTPSPVTKLRVTN SIGKPKPKRYTRPVKVVDDPVVSEQVVADLQTCHTITTTDAPCDNVQDTGDDHSGTTQ DVVEIRSTDVIESRPDEDDEGITNMSMGDSTAAAPGCRVPFLVTAATSEDDMHPALHL QVRDRSCPGWQSTKLCEYPQEVVLMVNHGTPVHIATMQVLVHHTKIPSRIDIFVAESA VTKLRKLGYVALDSNESSNFNARELKCITIDCAVAYFKLSLHQCHRNRFNVFDQVGLV DITLLGSPAKASDTTTSLPSLNPIMHLPNNNNHPASNSPRTQCDTASLELYHIPHPSR PGTRLSLRQLVEALRIQRATCVDAEDYAAAKHTKDLERTVLQCLAQLERLHLQKNSVL QVENYDGASLVKAEMDVVIAQVCAVAAQATALSPLVPQESKSSSPKTRREHKCRSPPK VDLMKATALATSRQANDDQLAEEPSEPEHLEPKLAAACEGVGGILTRIDGRTFCCAYS RHRTLREPATAAIVHAIQTLGHFSQNGSSTSALEKLVLPWLTTGILQQPVHAFASGCT FVVVAITSCAAVADQLVHELHPLCIHLNGLCFAEGGISTAPGDDFYPTAWRPVVKSTQ QYWRGDARDCTQRRRPSSCPRLCSCVYSIRPHCRLATALWARASDHGTS H257_04817 MIAGDNDEDPASLKLLADQVMRSLVSSGCRLVCVDFDSTFLRIH TNGSWSRPAAELLPYVRPLFVRLLPLLAAHVSLAVVTFSPQVPLIREVLSLCFDPSVS ESIIVRGDVEGWTLSRDDAVQFTGIDSHHLVLHRRTKLPYVASAALEASSSMSSADPI RSHHTVLIDDCQDNVFLAAQCGIAAIHYDPTQFPQHMHAVLKRRKKRRRTSDTNLTLV LPSASALRQSIQSPLAGQPEASSSFATAVLSPVRSADSHPARLHFCTPSPVTKLRVTN SIGKPKPKRYTRPVKVVDDPVVSEQVVADLQTCHTITTTDAPCDNVQDTGDDHSGTTQ DVVEIRSTDVIESRPDEDDEGITNMSMGDSTAAAPGCRVPFLVTAATSEDDMHPALHL QVRDRSCPGWQSTKLCEYPQEVVLMVNHGTPVHIATMQVLVHHTKIPSRIDIFVAESA VTKLRKLGYVALDSNESSNFNARELKCITIDCAVAYFKLSLHQCHRNRFNVFDQVGLV DITLLGSPAKASDTTTSLPSLNPIMHLPNNNNHPASNSPRTQCDTASLELYHIPHPSR PGTRLSLRQLVEALRIQRATCVDAEDYAAAKHTKDLERTVLQCLAQLERLHLQKNSVL QVENYDGASLVKAEMDVVIAQVCAVAAQATALSPLVPQESKSSSPKTRREHKCRSPPK VDLMKATALATSRQANDDQLAEEPSEPEHLEPKLAAACEGVGGILTRIDGRTFCCAYS RHRTLREPATAAIVHAIQTLGHFSQNGSSTSALEKLVLPWLTTGILQQPVHAFASGCT FVVVAITSCAAVADQLVHELHPLCIHLNGLCFAEGGISTAPGDDFYPTAWRPVVKSTQ QYWRGDARDCTQRRRPSSCPRLCSCVYSIRPHCRLATALWARASDHGTS H257_04818 MPRGDGDAQRTMSNVASELPYDIVYASSTDYAPIFPSAVELMLM QAAPGALPVRWMSSKSCSYPQEIGLILSTRAYVKSIRIVTHLLFAPSKVEVCASDDAR VQRYMDHNTSTGDLQLAMQVYCSTDCPSLCSMDWPCAGTNARPTDIRMDIHEPLNVLK FMLHAPRVVGSNLYHQVAIFQIQVLGVPLPQPLHISSIPLVGTEDASSPSTNEEVYQA LLDSAVPMDLIAQVLDVATDVDAYTAKAVQHATMVKASCIQQDDYDRAKDLATRIASL IDLGKQIHAIATLKTHAVAMEAFDQAQMYHMQLEALAVTREKRIADTFAVCHASQTSK TTDTSVLDVPVAPPDPYIATIHDVAIRRWLVDEKKMTGCPASGNSRRRSPADAFLATM WGRDFMACTGSPVWNIRRACVEIAEQHVAILVHVFDVETLYEMYIELVRTTFLVDPTI PVLIATLHLVRTMYERPCMLPPTTTPVGRTSKGLGFGTWGLRRGVLRPGIERIIDAIF QCSAYFNTLLREDCIRTVRFLAQQPHVAGLALECTWQRTVQVSPGFERVLGLTFLQDQ LQTILCLAMESSLSFNEPSKAMGQLYEDMSAFLTSTVITTDDTVRGAALECLSLVHAC QQRDFRGVVAISREVHVPLFRTFPFSDSEADRLVDQAQVFANSHQLPVQLATYELDAP GLDGFHEFHQLKKGTVAFAGQVSPRYLESTTRVQLIPTAALASDLTLSNTISSSLQQT PTTTTTTRPQQQTINCSTPHSITPSQPPLTTVEATPNRPVDLSIPSQPKELHPRASPS PFPPTTVSPLHPSQSMTSFLPGTTAEPFPSPSVIPSLTSNDPLRLAFELEPSSPMPAA APVTLVSAQSPHSSQDTSLIVEKAVSSPVKPLAGRKNQVAPATTLEIPPSSVSVNGTP RTARERIETLQVVAEVAKKVTHADDKKAGCSIS H257_04818 MPRGDGDAQRTMSNVASELPYDIVYASSTDYAPIFPSAVELMLM QAAPGALPVRWMSSKSCSYPQEIGLILSTRAYVKSIRIVTHLLFAPSKVEVCASDDAR VQRYMDHNTSTGDLQLAMQVYCSTDCPSLCSMDWPCAGTNARPTDIRMDIHEPLNVLK FMLHAPRVVGSNLYHQVAIFQIQVLGVPLPQPLHISSIPLVGTEDASSPSTNEEVYQA LLDSAVPMDLIAQVLDVATDVDAYTAKAVQHATMVKASCIQQDDYDRAKDLATRIASL IDLGKQIHAIATLKTHAVAMEAFDQAQMYHMVIPCYTQVVRSSPDDWLHATQQLEALA VTREKRIADTFAVCHASQTSKTTDTSVLDVPVAPPDPYIATIHDVAIRRWLVDEKKMT GCPASGNSRRRSPADAFLATMWGRDFMACTGSPVWNIRRACVEIAEQHVAILVHVFDV ETLYEMYIELVRTTFLVDPTIPVLIATLHLVRTMYERPCMLPPTTTPVGRTSKGLGFG TWGLRRGVLRPGIERIIDAIFQCSAYFNTLLREDCIRTVRFLAQQPHVAGLALECTWQ RTVQVSPGFERVLGLTFLQDQLQTILCLAMESSLSFNEPSKAMGQLYEDMSAFLTSTV ITTDDTVRGAALECLSLVHACQQRDFRGVVAISREVHVPLFRTFPFSDSEADRLVDQA QVFANSHQLPVQLATYELDAPGLDGFHEFHQLKKGTVAFAGQVSPRYLESTTRVQLIP TAALASDLTLSNTISSSLQQTPTTTTTTRPQQQTINCSTPHSITPSQPPLTTVEATPN RPVDLSIPSQPKELHPRASPSPFPPTTVSPLHPSQSMTSFLPGTTAEPFPSPSVIPSL TSNDPLRLAFELEPSSPMPAAAPVTLVSAQSPHSSQDTSLIVEKAVSSPVKPLAGRKN QVAPATTLEIPPSSVSVNGTPRTARERIETLQVVAEVAKKVTHADDKKAGCSIS H257_04818 MDLIAQVLDVATDVDAYTAKAVQHATMVKASCIQQDDYDRAKDL ATRIASLIDLGKQIHAIATLKTHAVAMEAFDQAQMYHMQLEALAVTREKRIADTFAVC HASQTSKTTDTSVLDVPVAPPDPYIATIHDVAIRRWLVDEKKMTGCPASGNSRRRSPA DAFLATMWGRDFMACTGSPVWNIRRACVEIAEQHVAILVHVFDVETLYEMYIELVRTT FLVDPTIPVLIATLHLVRTMYERPCMLPPTTTPVGRTSKGLGFGTWGLRRGVLRPGIE RIIDAIFQCSAYFNTLLREDCIRTVRFLAQQPHVAGLALECTWQRTVQVSPGFERVLG LTFLQDQLQTILCLAMESSLSFNEPSKAMGQLYEDMSAFLTSTVITTDDTVRGAALEC LSLVHACQQRDFRGVVAISREVHVPLFRTFPFSDSEADRLVDQAQVFANSHQLPVQLA TYELDAPGLDGFHEFHQLKKGTVAFAGQVSPRYLESTTRVQLIPTAALASDLTLSNTI SSSLQQTPTTTTTTRPQQQTINCSTPHSITPSQPPLTTVEATPNRPVDLSIPSQPKEL HPRASPSPFPPTTVSPLHPSQSMTSFLPGTTAEPFPSPSVIPSLTSNDPLRLAFELEP SSPMPAAAPVTLVSAQSPHSSQDTSLIVEKAVSSPVKPLAGRKNQVAPATTLEIPPSS VSVNGTPRTARERIETLQVVAEVAKKVTHADDKKAGCSIS H257_04818 MDLIAQVLDVATDVDAYTAKAVQHATMVKASCIQQDDYDRAKDL ATRIASLIDLGKQIHAIATLKTHAVAMEAFDQAQMYHMVIPCYTQVVRSSPDDWLHAT QQLEALAVTREKRIADTFAVCHASQTSKTTDTSVLDVPVAPPDPYIATIHDVAIRRWL VDEKKMTGCPASGNSRRRSPADAFLATMWGRDFMACTGSPVWNIRRACVEIAEQHVAI LVHVFDVETLYEMYIELVRTTFLVDPTIPVLIATLHLVRTMYERPCMLPPTTTPVGRT SKGLGFGTWGLRRGVLRPGIERIIDAIFQCSAYFNTLLREDCIRTVRFLAQQPHVAGL ALECTWQRTVQVSPGFERVLGLTFLQDQLQTILCLAMESSLSFNEPSKAMGQLYEDMS AFLTSTVITTDDTVRGAALECLSLVHACQQRDFRGVVAISREVHVPLFRTFPFSDSEA DRLVDQAQVFANSHQLPVQLATYELDAPGLDGFHEFHQLKKGTVAFAGQVSPRYLEST TRVQLIPTAALASDLTLSNTISSSLQQTPTTTTTTRPQQQTINCSTPHSITPSQPPLT TVEATPNRPVDLSIPSQPKELHPRASPSPFPPTTVSPLHPSQSMTSFLPGTTAEPFPS PSVIPSLTSNDPLRLAFELEPSSPMPAAAPVTLVSAQSPHSSQDTSLIVEKAVSSPVK PLAGRKNQVAPATTLEIPPSSVSVNGTPRTARERIETLQVVAEVAKKVTHADDKKAGC SIS H257_04819 MRIHTCYFCSSPVYPGHGMTFVRNDSKVFRFCRSKCHRNFNRKR NPRKVKWTKAFRKAAGKEMALDSTFEFEKVRNRAVKYDRDLVGATLHAIARVTQIKEK REAAFYKNRMKDSKAKQKAQDLKELEVNISLIKPDMARVREANQLNAQDMERQTNVVG GDDGADMDE H257_04820 MPRYYCDYCDTYLTHDSQAGRKQHNRGWKHRENVKLYYEAMLQG QGATMTPGAWLRPDAARPPGGGAPRPPPMMNMRPPMGMGINPMGMNMQPPPMMMGMRP PPPMGGMFPPPRGPPPGMVPMMMRPPPVT H257_04821 MSRELDGLLDILAKINVEMGGEETREKKGMKKGDRFGELRVKIS ERLHALKINLNDISQPVSTKKPMHPREKIQQQQAIRNDLQGLEEDLEELRSVYDAEAK KKKSKLTKEELQIRKDFVDQYTSELEFVKEQASNAYLKASPAGRSPQGGAAHGFDRAA LFGTSTAVSPSAGQSTFAAGFNGNGKTNGWTVGSGGGSGGGGGDVHQEEITTEHRDVM LQIEQKDQHLDGLVDQIGTGVMELGQLARGLNEELVKQNIMLEGLEERIDNTSNNVEN LNAKMKKTLTEMGRSGDKCMMDFICLVILLGILAVVYNMFVKKTPATK H257_04822 MLPSPLPNKAQAQAHRLLSSGDDDECRKFIRENNIDMNLPNAQG CTLLITACAFDRSTLLHELVARTNNIAAATYANANNALHFAALSTHPDVLRLLLEADV DKWQPWINVGNANGDTPLMVACTTKCVQAVNALLLHGADVTASNKDRVTALMCAAKEA CDSPPDEAVQLVGRLLATRDVASTLNATNVDGNTALHFAVQSRRPNVVRILLNAPSVD SSIRNRLGLTALDLATAINGSSNHSTRTSEVLELLQHAWVLLEAAADQRSQELLLSSY FTQDTPHKQPKAKCLVSSTPPAIPTTTTTTASTKKKKKSKAKTNRQRTSAAGANKDSL VTKHFTDDSKEDSTDEPTVVCVQKPPSPQHSITAQVKPNSNVPSDNDDDEGQKDVTDD EADVLYDTFPLFRTMGISLAHFALHELDGLSMAQLDMLQDAHMHAFTVIGDKKVQVAR QLEADRIHAAYELEQHIFNLG H257_04823 MGEAEAYIQLRLAETLFHEVEKLENELGSGSLLFQEKLTVTMER LHKLKANPALSDLYSPNESGHELQTAQLQFLLIDYYLGMLAQKINTIHVGGGENDRSA FVLARLKNLTYASALLDAFLDQCVGVELLKRSERAAQLSQLEEGRKETREDKIRKWQL AQEADKALQHVLYIRSKAKDKDDDDDLEDIERECLYKLVQVAILKSMEDQAAMASESE MLETMVQMAKLSTNQVFNAAERTPPSQGQGIEVTHINPKMEMKREVIRGDVFQPGHRL PTMSLEEYADRELDDALARQEKEKNAPAGPRRIEQLEEDGDEDDLGLVDEATARDRAW DDWKDANPRGIGNKKGTQY H257_04824 MATTFGSPSADTTSRIVEAGQDPRQHCLLFGLPIVLFNQQLPGY VGAEGFGDLRVTLLKTSARPLRSSGAASSTDGDNDDDAEIDVELIKHNFNERVFVVLP AQQHAASTALQKYLIDHESDPSSALEIRLRESARLEKRLNDDAVHASHGQVVTYGQTI QLLHVNSSKYIAVRPRVLSDTERDAMKVELVATAFEDAHFQIQSPYRHLSPGDEVLLQ DDVTFASGTWQVQLHVGHGLSVAQHSAGGAEFVELNGSATGDAFFIHRFAHIDIPPQC LRGGDLVRFMHCRAGAFLYGNGGSQDLLQVRLCHQPADETGGVHSVQSLWQVQHVDIL NGNEVTVTSVCCVRHVASRQFLVVDDHNGIQTCSLTPHRSSRCQFTLATESFLSGRLL RHDRPLFLKHVATGGWVGLPPGASDPTTTFLESSTGSSVDKTNAFRLQIIQPSEATES LFLLSLLPQLQRVNDELHHILHQTVVNTHNLRSSTLWIVHILDELARFCMDNRDDDRG TPIAARQNVLVEMNCISLVVGLLQRPFVDWSGPLAMSMCSSQDGEPSSPISSWDDELH GSIRAICQRSYKLLVHLVRLNPKTSVVCAAHIGTFGRQVGFDLHAEALVQELLCSSES LVTTICDNVVTLFVTLLRERGRRAEYVDYLTKLCQFKTTGIPAKQVALCGHLFPTVPS SPPCRILLEVRCIKPNVLHVCHPDTNEWLSIADLIATDKALARYFLTTIRMLSSMSWS RNYIAIHAVEQHFPRDAVLAVVRDCHVHTKMRAAFCKLLAAVYVDRLPHEVRPRPKLI FVSPAVSLGPETAQRPAHQNHDAGDRLFFVALKQTLNETLAACEGTLTASQSHLLLGM LPLCLQMMSFGCYTDETELKTLVQVLVPLLKDRSALKKPVMSPTSTMNRLRNYSLRHA LPSTDDVRPAVSTDKLHPHTLATTPPITGPSLTTEASAASPMANSPQRQNTPRKQHSI VKCKLLVCQILTWSLHLSLDAQLDALVHWFFTQSIVHVESHALGDNARAVEIDAQWTT HQAPLGTACEALFRHATLFDRLVHGHDLTSALLSLLACEYPALVSAALDLLGSSFNVH RELRKHLDHVIVVPSDRVFSIYTTMQASASVLRLYEETSETWLSVRAGETWNDVLGSL LWFTDALATTDDLVQVQQLVRGLGVDDLVLNITRSLGTFLLTTPRTSSNRVFLRRQEH LLDGCYRFFVLYTWHHHDAQVKLHQQVDFFKDCVAHRHMPASILYALYHNNLVLCRSR PPWLVSFVVDMIDQGGAVAEHVDILTALAVCSGVPIKENQNAISLQMLASHRKPHVVD VSLEWSFVSNDNENIATLETLLESPQTDVLRVRRKALFDDTHPVSTAFNNHTTTTHSI QERILNLVDRYISTGDESHVKQPPLMPFVFSHKATACKLVGTIDTPPRPGLYQAKLLD LLANLTLGANFVCEARLQGLIPLDVLLRTLHRKQLRLPLKCKLVKVLHEGWLHTEQFV AEVAGSHMLVQFIVSQASIVRDMVPRMKPGRTHESIFVWECLLPMLLHYFEHHCDGSL NAELVGPLNDFADMFQSVVDQWNPKWVDNSTTTSCSETTLETLDRFLHVASTLLMDRP TPSSAVQTKPTPTSHVLPRAGLSLAQSTLKSFAKWLHVAPFFAASIDCDKALMLDAFM RTHTADALRRHTRLSVVRVHPAKPNATGLSDSVDFKFIVARLIAHANNSEIRVGLLAQ HSTLEVLTILEQVSSSRSTQALLHLLGAPKMVVELSCRACDTDVATHKALVLLAMSLL RNGGLDAQHQFFDLLSGGKNVKWFERVGTHLRTAIAVVDTGRLHPKTDGQDASIDRNQ DTTHVLEMLRLLCEGHHTKFQNLLRHQPSSHPSVNIVELVVQLFNELVRTITPASVPV LKKTFQAIVEFIQGPCEGNQVAVVDPTEHHRGGCNFIDSINALLSMSNTDPAYSPGDL YSLKYMCSLALVALVEGRTDTTIHDRMGSLLSMRLLKETLVAVYASFAKWHEGHYTEA AFDPDLLSVEGRQRDNYIVRLIKITLGQAHVPPTEPQTPTTGPDKPSAHDENGPTATP RLGSLMLSSSPPPSFQFMLNAGFNIYILFHRLLEVPGVGHVLRSSLLPSDAELRELDE PPITFMNPVVAAFSARRRLRRLTLLMKSDRDVSYAEAYAFFRKHCASVEVHVGGQSSP LPDHLGGAAASGGTTRRLQRFYFPKPPVCAFLTSDMRDTVMEDINRDSPAEKIQDLFQ RSDAIIAEMTHRYLMSFAGDKLFTWGKLVSFGVAIVLNVLLIACYVDMGDPRFKDLTL PFQSQDDRQYHIGCVGTIGGVPTMSIVRAFGLLQVIVSVGIVVLYAFTYGPLIMLEGW KRHAANGKTVSTSPGHADTFPSHRSPPPLSQPSTSITTLSHSAAAYTWTIGGVQFENV RLTNIVRSLGFLLLNPVYVYYVVYLSMAILGFAGHNFLFAFHLFDILLRFPELTIIVH AVAWPWKSLVLSFCLMMIAIYIFAIVGFTYFRHQFPTVASSVVNSTDAVAECGMLLSC YLTTFDQTFKNNGGIGAHLATQTPDDLMNWGPRLVFDNLFNIVILLIIVNIFFGIIID TFGDQRSRMEARLNDIAGKCFICNLSRETFDRLAPLGFEHHVKNEHDLWNYVFLVAHL RFKSETEFDGVEQFLWRCIRHDDYSFVPLYHALALKNTLPDKQHRHGIV H257_04824 MKVELVATAFEDAHFQIQSPYRHLSPGDEVLLQDDVTFASGTWQ VQLHVGHGLSVAQHSAGGAEFVELNGSATGDAFFIHRFAHIDIPPQCLRGGDLVRFMH CRAGAFLYGNGGSQDLLQVRLCHQPADETGGVHSVQSLWQVQHVDILNGNEVTVTSVC CVRHVASRQFLVVDDHNGIQTCSLTPHRSSRCQFTLATESFLSGRLLRHDRPLFLKHV ATGGWVGLPPGASDPTTTFLESSTGSSVDKTNAFRLQIIQPSEATESLFLLSLLPQLQ RVNDELHHILHQTVVNTHNLRSSTLWIVHILDELARFCMDNRDDDRGTPIAARQNVLV EMNCISLVVGLLQRPFVDWSGPLAMSMCSSQDGEPSSPISSWDDELHGSIRAICQRSY KLLVHLVRLNPKTSVVCAAHIGTFGRQVGFDLHAEALVQELLCSSESLVTTICDNVVT LFVTLLRERGRRAEYVDYLTKLCQFKTTGIPAKQVALCGHLFPTVPSSPPCRILLEVR CIKPNVLHVCHPDTNEWLSIADLIATDKALARYFLTTIRMLSSMSWSRNYIAIHAVEQ HFPRDAVLAVVRDCHVHTKMRAAFCKLLAAVYVDRLPHEVRPRPKLIFVSPAVSLGPE TAQRPAHQNHDAGDRLFFVALKQTLNETLAACEGTLTASQSHLLLGMLPLCLQMMSFG CYTDETELKTLVQVLVPLLKDRSALKKPVMSPTSTMNRLRNYSLRHALPSTDDVRPAV STDKLHPHTLATTPPITGPSLTTEASAASPMANSPQRQNTPRKQHSIVKCKLLVCQIL TWSLHLSLDAQLDALVHWFFTQSIVHVESHALGDNARAVEIDAQWTTHQAPLGTACEA LFRHATLFDRLVHGHDLTSALLSLLACEYPALVSAALDLLGSSFNVHRELRKHLDHVI VVPSDRVFSIYTTMQASASVLRLYEETSETWLSVRAGETWNDVLGSLLWFTDALATTD DLVQVQQLVRGLGVDDLVLNITRSLGTFLLTTPRTSSNRVFLRRQEHLLDGCYRFFVL YTWHHHDAQVKLHQQVDFFKDCVAHRHMPASILYALYHNNLVLCRSRPPWLVSFVVDM IDQGGAVAEHVDILTALAVCSGVPIKENQNAISLQMLASHRKPHVVDVSLEWSFVSND NENIATLETLLESPQTDVLRVRRKALFDDTHPVSTAFNNHTTTTHSIQERILNLVDRY ISTGDESHVKQPPLMPFVFSHKATACKLVGTIDTPPRPGLYQAKLLDLLANLTLGANF VCEARLQGLIPLDVLLRTLHRKQLRLPLKCKLVKVLHEGWLHTEQFVAEVAGSHMLVQ FIVSQASIVRDMVPRMKPGRTHESIFVWECLLPMLLHYFEHHCDGSLNAELVGPLNDF ADMFQSVVDQWNPKWVDNSTTTSCSETTLETLDRFLHVASTLLMDRPTPSSAVQTKPT PTSHVLPRAGLSLAQSTLKSFAKWLHVAPFFAASIDCDKALMLDAFMRTHTADALRRH TRLSVVRVHPAKPNATGLSDSVDFKFIVARLIAHANNSEIRVGLLAQHSTLEVLTILE QVSSSRSTQALLHLLGAPKMVVELSCRACDTDVATHKALVLLAMSLLRNGGLDAQHQF FDLLSGGKNVKWFERVGTHLRTAIAVVDTGRLHPKTDGQDASIDRNQDTTHVLEMLRL LCEGHHTKFQNLLRHQPSSHPSVNIVELVVQLFNELVRTITPASVPVLKKTFQAIVEF IQGPCEGNQVAVVDPTEHHRGGCNFIDSINALLSMSNTDPAYSPGDLYSLKYMCSLAL VALVEGRTDTTIHDRMGSLLSMRLLKETLVAVYASFAKWHEGHYTEAAFDPDLLSVEG RQRDNYIVRLIKITLGQAHVPPTEPQTPTTGPDKPSAHDENGPTATPRLGSLMLSSSP PPSFQFMLNAGFNIYILFHRLLEVPGVGHVLRSSLLPSDAELRELDEPPITFMNPVVA AFSARRRLRRLTLLMKSDRDVSYAEAYAFFRKHCASVEVHVGGQSSPLPDHLGGAAAS GGTTRRLQRFYFPKPPVCAFLTSDMRDTVMEDINRDSPAEKIQDLFQRSDAIIAEMTH RYLMSFAGDKLFTWGKLVSFGVAIVLNVLLIACYVDMGDPRFKDLTLPFQSQDDRQYH IGCVGTIGGVPTMSIVRAFGLLQVIVSVGIVVLYAFTYGPLIMLEGWKRHAANGKTVS TSPGHADTFPSHRSPPPLSQPSTSITTLSHSAAAYTWTIGGVQFENVRLTNIVRSLGF LLLNPVYVYYVVYLSMAILGFAGHNFLFAFHLFDILLRFPELTIIVHAVAWPWKSLVL SFCLMMIAIYIFAIVGFTYFRHQFPTVASSVVNSTDAVAECGMLLSCYLTTFDQTFKN NGGIGAHLATQTPDDLMNWGPRLVFDNLFNIVILLIIVNIFFGIIIDTFGDQRSRMEA RLNDIAGKCFICNLSRETFDRLAPLGFEHHVKNEHDLWNYVFLVAHLRFKSETEFDGV EQFLWRCIRHDDYSFVPLYHALALKNTLPDKQHRHGIV H257_04824 MRAAFCKLLAAVYVDRLPHEVRPRPKLIFVSPAVSLGPETAQRP AHQNHDAGDRLFFVALKQTLNETLAACEGTLTASQSHLLLGMLPLCLQMMSFGCYTDE TELKTLVQVLVPLLKDRSALKKPVMSPTSTMNRLRNYSLRHALPSTDDVRPAVSTDKL HPHTLATTPPITGPSLTTEASAASPMANSPQRQNTPRKQHSIVKCKLLVCQILTWSLH LSLDAQLDALVHWFFTQSIVHVESHALGDNARAVEIDAQWTTHQAPLGTACEALFRHA TLFDRLVHGHDLTSALLSLLACEYPALVSAALDLLGSSFNVHRELRKHLDHVIVVPSD RVFSIYTTMQASASVLRLYEETSETWLSVRAGETWNDVLGSLLWFTDALATTDDLVQV QQLVRGLGVDDLVLNITRSLGTFLLTTPRTSSNRVFLRRQEHLLDGCYRFFVLYTWHH HDAQVKLHQQVDFFKDCVAHRHMPASILYALYHNNLVLCRSRPPWLVSFVVDMIDQGG AVAEHVDILTALAVCSGVPIKENQNAISLQMLASHRKPHVVDVSLEWSFVSNDNENIA TLETLLESPQTDVLRVRRKALFDDTHPVSTAFNNHTTTTHSIQERILNLVDRYISTGD ESHVKQPPLMPFVFSHKATACKLVGTIDTPPRPGLYQAKLLDLLANLTLGANFVCEAR LQGLIPLDVLLRTLHRKQLRLPLKCKLVKVLHEGWLHTEQFVAEVAGSHMLVQFIVSQ ASIVRDMVPRMKPGRTHESIFVWECLLPMLLHYFEHHCDGSLNAELVGPLNDFADMFQ SVVDQWNPKWVDNSTTTSCSETTLETLDRFLHVASTLLMDRPTPSSAVQTKPTPTSHV LPRAGLSLAQSTLKSFAKWLHVAPFFAASIDCDKALMLDAFMRTHTADALRRHTRLSV VRVHPAKPNATGLSDSVDFKFIVARLIAHANNSEIRVGLLAQHSTLEVLTILEQVSSS RSTQALLHLLGAPKMVVELSCRACDTDVATHKALVLLAMSLLRNGGLDAQHQFFDLLS GGKNVKWFERVGTHLRTAIAVVDTGRLHPKTDGQDASIDRNQDTTHVLEMLRLLCEGH HTKFQNLLRHQPSSHPSVNIVELVVQLFNELVRTITPASVPVLKKTFQAIVEFIQGPC EGNQVAVVDPTEHHRGGCNFIDSINALLSMSNTDPAYSPGDLYSLKYMCSLALVALVE GRTDTTIHDRMGSLLSMRLLKETLVAVYASFAKWHEGHYTEAAFDPDLLSVEGRQRDN YIVRLIKITLGQAHVPPTEPQTPTTGPDKPSAHDENGPTATPRLGSLMLSSSPPPSFQ FMLNAGFNIYILFHRLLEVPGVGHVLRSSLLPSDAELRELDEPPITFMNPVVAAFSAR RRLRRLTLLMKSDRDVSYAEAYAFFRKHCASVEVHVGGQSSPLPDHLGGAAASGGTTR RLQRFYFPKPPVCAFLTSDMRDTVMEDINRDSPAEKIQDLFQRSDAIIAEMTHRYLMS FAGDKLFTWGKLVSFGVAIVLNVLLIACYVDMGDPRFKDLTLPFQSQDDRQYHIGCVG TIGGVPTMSIVRAFGLLQVIVSVGIVVLYAFTYGPLIMLEGWKRHAANGKTVSTSPGH ADTFPSHRSPPPLSQPSTSITTLSHSAAAYTWTIGGVQFENVRLTNIVRSLGFLLLNP VYVYYVVYLSMAILGFAGHNFLFAFHLFDILLRFPELTIIVHAVAWPWKSLVLSFCLM MIAIYIFAIVGFTYFRHQFPTVASSVVNSTDAVAECGMLLSCYLTTFDQTFKNNGGIG AHLATQTPDDLMNWGPRLVFDNLFNIVILLIIVNIFFGIIIDTFGDQRSRMEARLNDI AGKCFICNLSRETFDRLAPLGFEHHVKNEHDLWNYVFLVAHLRFKSETEFDGVEQFLW RCIRHDDYSFVPLYHALALKNTLPDKQHRHGIV H257_04824 MATTFGSPSADTTSRIVEAGQDPRQHCLLFGLPIVLFNQQLPGY VGAEGFGDLRVTLLKTSARPLRSSGAASSTDGDNDDDAEIDVELIKHNFNERVFVVLP AQQHAASTALQKYLIDHESDPSSALEIRLRESARLEKRLNDDAVHASHGQVVTYGQTI QLLHVNSSKYIAVRPRVLSDTERDAMKVELVATAFEDAHFQIQSPYRHLSPGDEVLLQ DDVTFASGTWQVQLHVGHGLSVAQHSAGGAEFVELNGSATGDAFFIHRFAHIDIPPQC LRGGDLVRFMHCRAGAFLYGNGGSQDLLQVRLCHQPADETGGVHSVQSLWQVQHVDIL NGNEVTVTSVCCVRHVASRQFLVVDDHNGIQTCSLTPHRSSRCQFTLATESFLSGRLL RHDRPLFLKHVATGGWVGLPPGASDPTTTFLESSTGSSVDKTNAFRLQIIQPSEATES LFLLSLLPQLQRVNDELHHILHQTVVNTHNLRSSTLWIVHILDELARFCMDNRDDDRG TPIAARQNVLVEMNCISLVVGLLQRPFVDWSGPLAMSMCSSQDGEPSSPISSWDDELH GSIRAICQRSYKLLVHLVRLNPKTSVVCAAHIGTFGRQVGFDLHAEALVQELLCSSES LVTTICDNVVTLFVTLLRERGRRAEYVDYLTKLCQFKTTGIPAKQVALCGHLFPTVPS SPPCRILLEVRCIKPNVLHVCHPDTNEWLSIADLIATDKALARYFLTTIRMLSSMSWS RNYIAIHAVEQHFPRDAVLAVVRDCHVHTKMRAAFCKLLAAVYVDRLPHEVRPRPKLI FVSPAVSLGPETAQRPAHQNHDAGDRLFFVALKQTLNETLAACEGTLTASQSHLLLGM LPLCLQMMSFGCYTDETELKTLVQVLVPLLKDRSALKKPVMSPTSTMNRLRNYSLRHA LPSTDDVRPAVSTDKLHPHTLATTPPITGPSLTTEASAASPMANSPQRQNTPRKQHSI VKCKLLVCQILTWSLHLSLDAQLDALVHWFFTQSIVHVESHALGDNARAVEIDAQWTT HQAPLGTACEALFRHATLFDRLVHGHDLTSALLSLLACEYPALVSAALDLLGSSFNVH RELRKHLDHVIVVPSDRVFSIYTTMQASASVLRLYEETSETWLSVRAGETWNDVLGSL LWFTDALATTDDLVQVQQLVRGLGVDDLVLNITRSLGTFLLTTPRTSSNRVFLRRQEH LLDGCYRFFVLYTWHHHDAQVKLHQQVDFFKDCVAHRHMPASILYALYHNNLVLCRSR PPWLVSFVVDMIDQGGAVAEHVDILTALAVCSGVPIKENQNAISLQMLASHRKPHVVD VSLEWSFVSNDNENIATLETLLESPQTDVLRVRRKALFDDTHPVSTAFNNHTTTTHSI QERILNLVDRYISTGDESHVKQPPLMPFVFSHKATACKLVGTIDTPPRPGLYQAKLLD LLANLTLGANFVCEARLQGLIPLDVLLRTLHRKQLRLPLKCKLVKVLHEGWLHTEQFV AEVAGSHMLVQFIVSQASIVRDMVPRMKPGRTHESIFVWECLLPMLLHYFEHHCDGSL NAELVGPLNDFADMFQSVVDQWNPKWVDNSTTTSCSETTLETLDRFLHVASTLLMDRP TPSSAVQTKPTPTSHVLPRAGLSLAQSTLKSFAKWLHVAPFFAASIDCDKALMLDAFM RTHTADALRRHTRLSVVRVHPAKPNATGLSDSVDFKFIVARLIAHANNSEIRVGLLAQ HSTLEVLTILEQVSSSRSTQALLHLLGAPKMVVELSCRACDTDVATHKALVLLAMSLL RNGGLDAQHQFFDLLSGGKNVKWFERVGTHLRTAIAVVDTGRLHPKTDGQDASIDRNQ DTTHVLEMLRLLCEGHHTKFQNLLRHQPSSHPSVNIVELVVQLFNELVRTITPASVPV LKKTFQAIVEFIQGPCEGNQVAVVDPTEHHRGGCNFIDSINALLSMSNTDPAYSPGDL YSLKYMCSLALVALVEGRTDTTIHDRMGSLLSMRLLKETLVAVYASFAKWHEGHYTEA AFDPDLLSVEGRQRDNYIVRLIKITLGQAHVPPTEPQTPTTGPDKPSAHDENGPTATP RLGSLMLSSSPPPSFQFMLNAGFNIYILFHRLLEVPGVGHVLRSSLLPSDAELRELDE PPITFMNPVVAAFSARRRLRRLTLLMKSDRDVSYAEAYAFFRKHCASVEVHVGGQSSP LPDHLGGAAASGGTTRRLQRFYFPKPPVCAFLTSDMRDTVMEDINRDSPAEKIQDLFQ RSDAIIAEMTHRYLMSFAGDKLFTWGKLVSFGVAIVLNVLLIACYVDMGDPRFKDLTL PFQSQDDRQYHIGCVGTIGGVPTMSIVRAFGLLQVIVSVGIVVLYAFTYGPLIMLEGW KRHAANGKTVSTSPGHADTFPSHRSPPPLSQPSTSITTLSHSAAAYTWTIGGVQFENV RLTNIVRSLGFLLLNPVYVYYVVYLSMAILGFAGHNFLFAFHLFDILLRFPELTIIVH AVAWPWKSLVLSFCLMMIAIYIFAIVGFTYFRHQFPTVASSVVNSTDAVAECGMLLSC YLTTFDQTFKNNGGIGAHLATQTPDDLMNWGPRLVFDNLFNIVILLIIVNIFFGIIID TFGDQRSRMEARLNDIAGKCFICNLSRETFDRLAPLGFEVGPHSNNIIMSRTNTICGI MCFWSRICGSRARPNSTASSNSCGDASATMTTRSFHCIMRWP H257_04824 MKVELVATAFEDAHFQIQSPYRHLSPGDEVLLQDDVTFASGTWQ VQLHVGHGLSVAQHSAGGAEFVELNGSATGDAFFIHRFAHIDIPPQCLRGGDLVRFMH CRAGAFLYGNGGSQDLLQVRLCHQPADETGGVHSVQSLWQVQHVDILNGNEVTVTSVC CVRHVASRQFLVVDDHNGIQTCSLTPHRSSRCQFTLATESFLSGRLLRHDRPLFLKHV ATGGWVGLPPGASDPTTTFLESSTGSSVDKTNAFRLQIIQPSEATESLFLLSLLPQLQ RVNDELHHILHQTVVNTHNLRSSTLWIVHILDELARFCMDNRDDDRGTPIAARQNVLV EMNCISLVVGLLQRPFVDWSGPLAMSMCSSQDGEPSSPISSWDDELHGSIRAICQRSY KLLVHLVRLNPKTSVVCAAHIGTFGRQVGFDLHAEALVQELLCSSESLVTTICDNVVT LFVTLLRERGRRAEYVDYLTKLCQFKTTGIPAKQVALCGHLFPTVPSSPPCRILLEVR CIKPNVLHVCHPDTNEWLSIADLIATDKALARYFLTTIRMLSSMSWSRNYIAIHAVEQ HFPRDAVLAVVRDCHVHTKMRAAFCKLLAAVYVDRLPHEVRPRPKLIFVSPAVSLGPE TAQRPAHQNHDAGDRLFFVALKQTLNETLAACEGTLTASQSHLLLGMLPLCLQMMSFG CYTDETELKTLVQVLVPLLKDRSALKKPVMSPTSTMNRLRNYSLRHALPSTDDVRPAV STDKLHPHTLATTPPITGPSLTTEASAASPMANSPQRQNTPRKQHSIVKCKLLVCQIL TWSLHLSLDAQLDALVHWFFTQSIVHVESHALGDNARAVEIDAQWTTHQAPLGTACEA LFRHATLFDRLVHGHDLTSALLSLLACEYPALVSAALDLLGSSFNVHRELRKHLDHVI VVPSDRVFSIYTTMQASASVLRLYEETSETWLSVRAGETWNDVLGSLLWFTDALATTD DLVQVQQLVRGLGVDDLVLNITRSLGTFLLTTPRTSSNRVFLRRQEHLLDGCYRFFVL YTWHHHDAQVKLHQQVDFFKDCVAHRHMPASILYALYHNNLVLCRSRPPWLVSFVVDM IDQGGAVAEHVDILTALAVCSGVPIKENQNAISLQMLASHRKPHVVDVSLEWSFVSND NENIATLETLLESPQTDVLRVRRKALFDDTHPVSTAFNNHTTTTHSIQERILNLVDRY ISTGDESHVKQPPLMPFVFSHKATACKLVGTIDTPPRPGLYQAKLLDLLANLTLGANF VCEARLQGLIPLDVLLRTLHRKQLRLPLKCKLVKVLHEGWLHTEQFVAEVAGSHMLVQ FIVSQASIVRDMVPRMKPGRTHESIFVWECLLPMLLHYFEHHCDGSLNAELVGPLNDF ADMFQSVVDQWNPKWVDNSTTTSCSETTLETLDRFLHVASTLLMDRPTPSSAVQTKPT PTSHVLPRAGLSLAQSTLKSFAKWLHVAPFFAASIDCDKALMLDAFMRTHTADALRRH TRLSVVRVHPAKPNATGLSDSVDFKFIVARLIAHANNSEIRVGLLAQHSTLEVLTILE QVSSSRSTQALLHLLGAPKMVVELSCRACDTDVATHKALVLLAMSLLRNGGLDAQHQF FDLLSGGKNVKWFERVGTHLRTAIAVVDTGRLHPKTDGQDASIDRNQDTTHVLEMLRL LCEGHHTKFQNLLRHQPSSHPSVNIVELVVQLFNELVRTITPASVPVLKKTFQAIVEF IQGPCEGNQVAVVDPTEHHRGGCNFIDSINALLSMSNTDPAYSPGDLYSLKYMCSLAL VALVEGRTDTTIHDRMGSLLSMRLLKETLVAVYASFAKWHEGHYTEAAFDPDLLSVEG RQRDNYIVRLIKITLGQAHVPPTEPQTPTTGPDKPSAHDENGPTATPRLGSLMLSSSP PPSFQFMLNAGFNIYILFHRLLEVPGVGHVLRSSLLPSDAELRELDEPPITFMNPVVA AFSARRRLRRLTLLMKSDRDVSYAEAYAFFRKHCASVEVHVGGQSSPLPDHLGGAAAS GGTTRRLQRFYFPKPPVCAFLTSDMRDTVMEDINRDSPAEKIQDLFQRSDAIIAEMTH RYLMSFAGDKLFTWGKLVSFGVAIVLNVLLIACYVDMGDPRFKDLTLPFQSQDDRQYH IGCVGTIGGVPTMSIVRAFGLLQVIVSVGIVVLYAFTYGPLIMLEGWKRHAANGKTVS TSPGHADTFPSHRSPPPLSQPSTSITTLSHSAAAYTWTIGGVQFENVRLTNIVRSLGF LLLNPVYVYYVVYLSMAILGFAGHNFLFAFHLFDILLRFPELTIIVHAVAWPWKSLVL SFCLMMIAIYIFAIVGFTYFRHQFPTVASSVVNSTDAVAECGMLLSCYLTTFDQTFKN NGGIGAHLATQTPDDLMNWGPRLVFDNLFNIVILLIIVNIFFGIIIDTFGDQRSRMEA RLNDIAGKCFICNLSRETFDRLAPLGFEVGPHSNNIIMSRTNTICGIMCFWSRICGSR ARPNSTASSNSCGDASATMTTRSFHCIMRWP H257_04824 MATTFGSPSADTTSRIVEAGQDPRQHCLLFGLPIVLFNQQLPGY VGAEGFGDLRVTLLKTSARPLRSSGAASSTDGDNDDDAEIDVELIKHNFNERVFVVLP AQQHAASTALQKYLIDHESDPSSALEIRLRESARLEKRLNDDAVHASHGQVVTYGQTI QLLHVNSSKYIAVRPRVLSDTERDAMKVELVATAFEDAHFQIQSPYRHLSPGDEVLLQ DDVTFASGTWQVQLHVGHGLSVAQHSAGGAEFVELNGSATGDAFFIHRFAHIDIPPQC LRGGDLVRFMHCRAGAFLYGNGGSQDLLQVRLCHQPADETGGVHSVQSLWQVQHVDIL NGNEVTVTSVCCVRHVASRQFLVVDDHNGIQTCSLTPHRSSRCQFTLATESFLSGRLL RHDRPLFLKHVATGGWVGLPPGASDPTTTFLESSTGSSVDKTNAFRLQIIQPSEATES LFLLSLLPQLQRVNDELHHILHQTVVNTHNLRSSTLWIVHILDELARFCMDNRDDDRG TPIAARQNVLVEMNCISLVVGLLQRPFVDWSGPLAMSMCSSQDGEPSSPISSWDDELH GSIRAICQRSYKLLVHLVRLNPKTSVVCAAHIGTFGRQVGFDLHAEALVQELLCSSES LVTTICDNVVTLFVTLLRERGRRAEYVDYLTKLCQFKTTGIPAKQVALCGHLFPTVPS SPPCRILLEVRCIKPNVLHVCHPDTNEWLSIADLIATDKALARYFLTTIRMLSSMSWS RNYIAIHAVEQHFPRDAVLAVVRDCHVHTKMRAAFCKLLAAVYVDRLPHEVRPRPKLI FVSPAVSLGPETAQRPAHQNHDAGDRLFFVALKQTLNETLAACEGTLTASQSHLLLGM LPLCLQMMSFGCYTDETELKTLVQVLVPLLKDRSALKKPVMSPTSTMNRLRNYSLRHA LPSTDDVRPAVSTDKLHPHTLATTPPITGPSLTTEASAASPMANSPQRQNTPRKQHSI VKCKLLVCQILTWSLHLSLDAQLDALVHWFFTQSIVHVESHALGDNARAVEIDAQWTT HQAPLGTACEALFRHATLFDRLVHGHDLTSALLSLLACEYPALVSAALDLLGSSFNVH RELRKHLDHVIVVPSDRVFSIYTTMQASASVLRLYEETSETWLSVRAGETWNDVLGSL LWFTDALATTDDLVQVQQLVRGLGVDDLVLNITRSLGTFLLTTPRTSSNRVFLRRQEH LLDGCYRFFVLYTWHHHDAQVKLHQQVDFFKDCVAHRHMPASILYALYHNNLVLCRSR PPWLVSFVVDMIDQGGAVAEHVDILTALAVCSGVPIKENQNAISLQMLASHRKPHVVD VSLEWSFVSNDNENIATLETLLESPQTDVLRVRRKALFDDTHPVSTAFNNHTTTTHSI QERILNLVDRYISTGDESHVKQPPLMPFVFSHKATACKLVGTIDTPPRPGLYQAKLLD LLANLTLGANFVCEARLQGLIPLDVLLRTLHRKQLRLPLKCKLVKVLHEGWLHTEQFV AEVAGSHMLVQFIVSQASIVRDMVPRMKPGRTHESIFVWECLLPMLLHYFEHHCDGSL NAELVGPLNDFADMFQSVVDQWNPKWVDNSTTTSCSETTLETLDRFLHVASTLLMDRP TPSSAVQTKPTPTSHVLPRAGLSLAQSTLKSFAKWLHVAPFFAASIDCDKALMLDAFM RTHTADALRRHTRLSVVRVHPAKPNATGLSDSVDFKFIVARLIAHANNSEIRVGLLAQ HSTLEVLTILEQVSSSRSTQALLHLLGAPKMVVELSCRACDTDVATHKALVLLAMSLL RNGGLDAQHQFFDLLSGGKNVKWFERVGTHLRTAIAVVDTGRLHPKTDGQDASIDRNQ DTTHVLEMLRLLCEGHHTKFQNLLRHQPSSHPSVNIVELVVQLFNELVRTITPASVPV LKKTFQAIVEFIQVKNYIGFQRVYTYYLPFSVL H257_04825 MSLKIVAKKVVAGIPNAAFVGVGGYFIYFGATAQRDQWTVAFTD TFLPTAATFLILAGVLLIPLGLVGVAAASYPQLKKVQITRYGIMGIIVAFAATLGWTL YADADSMRNDLNARVFMSSTPSLPSRATGLADFVCHAELRYACNEALAWVSVNRLVPL NEEHYVWGVGPRKYTNATLDVLLRPVNGTVGFRAMCRNVSSQVRAFGPAVALAEQYKT ACAVCAQTPEDQPANLPSWLCDGFSNKWCDISLSAEGVQSCSQRLVPNNAQDGRMMAF PNAAQSALYSGQQDCLGLAITSSILFVMSVYMTYKARQQAWMATDDYITKA H257_04826 MHFPIFSIVSTMRTGLWVLVVMVATVAADVKTLTAATFETTTQA TTGSNGDWFVAFYAPSCPYFRELAPQFEQLSKQVKGKVNLGWVDGTLHSSLKDRFRVT RSPTMLLFHHGRMYSYKNARTVEDMEAFITTGFEMRDREMPQFKFYESVPPANNTSFV PEAPIVAKSATIVSISSIATSSKEEL H257_04827 MQYLEKSPAIFSATLAIADARNAQNVVLVLSQLLKSVCGVKYTF DLTHSAECVMDSTHKTNASDTAEVKVAYLQRWLALLLDDFGLNPAFVHMDKGSAQIAA ATVVWTGVKLSLCLWHMDRAIRARFMQGKVPPRYKVWQLTPPMWVFHTTKDEMVRQEC TKISSDKEVNALSARAEHNHDTVSILDESQLLASAHVAQTIQELSLWLIQHASDIQHA PRQLAKVQKLLHPIQAYRKSILAVNNMRKQQRTNQASSLTVMYEPPQQ H257_04828 MLSLMAPRRNVRSRGNAVSVSAVEKPLRPCTRRRQTFAASPHST SPRTAMTESDRIIIAVPGPDKITPFQFHTPVPIEMDGNEDKSLHDNDDNEEADDYNDI ADDDDVADDLTEITDNSLPEDDVADMEDKENEKKDDEPVAGCSGFNLGHSVKCVWKQL HGCGWRTRPDQTRGGRELCDCLDTLRMRKRDVSSTCSLASTVARTGASEVVECGFEGL EVVCDKAYPHSNDGFKVHVHSVQKFKWPRKGRTIYGSMILVTPLVVTATALASSPTEP EVSLESDIEDSTKPTLSRLSNEHVSPVLPYHDCPVPPSMFADMCDEDHDQYTRRTDIV LDTSPYFERPTQSSVFDLMRGHNISIDVAIIFMLDSLLKKRGGDVRQLFVDHALRCAM EQEAMESQNEEVPPPVAMKSLTSKQKAAKRKVAAAVKRSSKK H257_04829 MPTGASIAVGWNRFGQGSLALALKGYVHVGQVDVVAKRALTNLF ALQGLPTIILVDRGKMTKYKAKRQLAAITAFARADAQDAAEPLPTWNDVSDDANKNKS AGAGGDYYRRQRLQRLLLLLQDPLPWLVVGCASPLSHIIHERPFSSHRRGIYLYTYTG EWATDDVIAFAAGGFQRSQPMTAWHNLRRRVLTNIAIVVQLHDDMFETIASTTTTTHN DTWLIALPRWTYRRRHSKLV H257_04830 MSENEVAALKARVATLEDQIRRMASDGHQGSLHRPKVTSMSGEV VDSNPYSRLMALKRMGVVPNYEDIRTLSVLVVGLGGIGSVAAEMLTRCGIGKLILYDY DHVELANMNRLFFRPHQAGMTKTAAATQTLMDINPDVSFEEFTKDITTPTNYEHLLDQ IQHGGVVRNTPVDLVLSCVDNFAARMSINQACNELGQPWMESGVSEDAVSGHIQTLLP GRTACFECLPPLIVATGISESTLKREGVCAASLPTTMGVVAGLLVQNALKYLLQFGQV SYYLGYSAMTDFFPRDLMRPNPECGSRHCRRQQTQHLNWSPTAWNRDEEEVTHEDNDW GIQVCTQQENDVTAGFKNEATPDHIEYKKVRGDVPVVGITFAYDQSVPSEERDLVHVP IDLSLEDLMGQLQALH H257_04831 MASSYDQVSRVSESKSLMEDNSTPSATASPVAKPVTAEKPAEAS TPVATPVPVAVPVAAAVPSSERSALLPASSSDTAITKFKQMEIERINGYLRLVNLVMG LALGWLGLYHFYHLNSYKGFMSSLFITVQALLIVLFELRENFPNASKIVHDYLGFMYT AYGRGALFFVIGTWCPTQGPYGVAVGVCFIILALLNFFIILQHPGYKNAMSVRHIRIE EGEEDDEVPHYGTTPEKAV H257_04832 MPAFRSLLLVLSMALLCAASNVVDLTSDSFEHLTQASTGATTGD WLVEFYAPWCGHCKSLAPVFEQVADELKGTVNVAKVDVTANAPLGQRFAIKGFPTILF FHEGSMYEYESARTKEALVAFAEGGFASAANTPVPGVPSVVDTITKELDVVRRDVVQL LGTKKNAIVAIFASGLTIGLLLGCFCNCFTSRPIATKQKRN H257_04833 MCRKIDCSVCHKPTWAGCGQHIDSALANVAVADRCPSWQTGKHN AAAAPPKAN H257_04834 MCRKIDCSVCHKPTWAGCGQHIDSALANVAVADRCPSWQTGKHD AAVTTAAAPSKAN H257_04835 MSEVPTSDFAMNSKIRVVVRVRPQLASEKTHSCATLQLDNQSVR LGVTERKEFRFDHVLGPSASQKEVYDTTGVAQSIASVLEGYHATLFAYGQTGSGKTYT MEGFEYERIASSRPSERAHTKAKIDVSSDRLGIVPRVILELFAALKTAAVVKNKAYQV KSSFVQIYNEQILDLFNPSSKRCLKLRWAAESEFFVEDLSLVDSATGQEMLVKFTEGV KQKIMASTNMNAASSRSHCIYTIYVESIDLENPALVTTTKLCLVDLAGSERVVKTGAT GVTLQEAIGINKSLFVLRQVIQILSDEANNAPNAAKPHVPYRDSKLTSLLKHSLGGNS ITVMVVCLSPSDLCYEENLSTLQYAARAQQISNTPVKNAGEAHKVLVQQLRDTIRSLQ SQLTEIDYSVGPSRFDLVFLSTWSCNLWQAAVQVVLVVLVVRPHLHPHHWKTTKSNHR YNSKVTELPCKLLHHVSEVHRGGWGAPGVCFERRRPIGYPFHWTTTHTTQCRPSIPWQ RGRIQVPWLNLTFVT H257_04835 MSEVPTSDFAMNSKIRVVVRVRPQLASEKTHSCATLQLDNQSVR LGVTERKEFRFDHVLGPSASQKEVYDTTGVAQSIASVLEGYHATLFAYGQTGSGKTYT MEGFEYERIASSRPSERAHTKAKIDVSSDRLGIVPRVILELFAALKTAAVVKNKAYQV KSSFVQIYNEQILDLFNPSSKRCLKLRWAAESEFFVEDLSLVDSATGQEMLVKFTEGV KQKIMASTNMNAASSRSHCIYTIYVESIDLENPALVTTTKLCLVDLAGSERVVKTGAT GVTLQEAIGINKSLFVLRQVIQILSDEANNAPNAAKPHVPYRDSKLTSLLKHSLGGNS ITVMVVCLSPSDLCYEENLSTLQYAARAQQISNTPVKNAGEAHKVLVQQLRDTIRSLQ SQLTEAHLTIDRLQRRTKSFRPCIPIDMELQPVAGSSTSSTSSTGSTTAPPSTSLENN QVEPQVQQQGHGVAVQVAAPRLRSPPWWLGGAWSLFREKAPNRLPLPLDDDTYHSVPS IHPMATWSYPGALA H257_04836 MNENSQNGASRSGQCVEVAVRVRPFLPKEMLSGTNGVNGSTVGS VAIDKEYNAIQVTSASTTASFCFDHVLGPECTQDQMFARVLQPKVFTFLNGFNTTVIG YGQTASGKSHTIGSGLTNQSEAHWGLIPRMLHEIFRKIEADKLDAQLHVSFLEIYGED IHDLLLPITNTKTSRTPLNLRQDRSGVFVQGIREVPITSAHAALEQLRIGCMARITGS TEMNDSSSRSHAVYTLTLVQKTQRTQPDDATFVDTVTTISKFTFVDLAGSERLKKTMA EGTRMKEGIQINVGLLALGNVINALGEDDQSDPKKKSGDGTAKFVPYRSSKLTRLLQD ALGGNSRTLFIACVSPAWGNAAESLSTLQYANRARNIQNKAVKNVDPRSAEVSNLHAY VSILQRELVRSKYLENPSDDTKLNALLADPTIQHFLKTLKGQGQDAPLSSAPPDNRPA PNNNNENEDDDDENPMDVTRIPACLCILELGLEKEAAVADLARCERELHVERQTLELK RRRERARRDTLEQHVVALKFDLAQYDTWQHELASWHDQKLKHPEDQTVHDEWKRAHDR LQPLKKVYAVWKYTTDRLDEAKVTVDAVQQAMEQLEDKLNNQRQVTAHVVDTKDKEIE HVRKYPQSATKWSHVSCIQHLTDLETMYGKDMLKRMLRQLEDGESRLLTWLDSYTAET PTHPRHPRAQAYATDVVNRLHMEDTEAMLMQALRKRASVLKKLLQKGPLGDNDKVELH AIDESIQTLSDTLKRLASSLLSSQPEMETLTGDEAAAVIQDLVMLLQESKRMELCAVV AKQQTPSVSCCQGGSTNISATTSTVLATEELESQLHALKLHHEQEMIDQLKTRQDNHD GVYAALEASEAQVAELKLQVQSLTKQIEEGKQRESAFSALQRCQEMMDELGIKDDDSD EPLRDITTKCQQEFERLLLKKQESYGILDKLTQAIRLCEQALGLQQHAELLPTTAKLT DQITSAQSTLAELENSVAQRALLRLRNLKTASDLCDEMQLGVVCAPVPESSEHEERMS TELQHQLMLQGKIAEELRRLHNDMSVFSMFDSIGQNNVRDIVNLTTRLLAEGNLPLGE QAVEDDTVLIALLNEMKESRLRNMEEMMHNTRGLFAELQFVAEDLTHISQKVVDRLAF AFDPTNLVQRVLNTGGVMDFTSHGLSFMHALFTTLLSIRECRVQTRRRLKVKCAIADR MYQSAMATCQSCFYSPPKQMVVDHRHDHVANAALTEWCEHASLGTFRVRKAAQASLRM IQQELDAFGMEEATDRLDFILGTRNPVAGMAAHGKNLLEKYMRESNEVVTVSQLTTKQ PTRAPLVLYEVDPYAVELDAMLSHALDSQVLDHLQTELHEFQTLQTILSDAYKRLTSL GSIMKCVTQIRDYNKKIAEFETAASDSDRLLDRRNSSLRLLDEEKFRKTAAKKYPLLV QKVREEILKWTSETADGFDLSILGQDMQALLLDTMNVNTDLMHLTLHNNSRRRKAA H257_04837 MSTATSVFKLGRGAVQMHYESCETCGKSRVVSLDNTVGLVPASK SVRTTDRIIRDTCRCKRKRSSHPSIDVSQTKPTGSSKLPTPQHHQPKQHRRSVPSSTS PVGAKSSLSKIGDASSKAPPQASPLSQHYAPRSLRIRLHKVVDGYKALAPQTVSLKIL IHHYDGVYIAIPPMGVDTANCNSTTSTTSSSTTTTALLPPTPSTPRSTNIHAESVVAT TTPPPPPPKEPAETIIHVTTTPSPPRGPSTRSATSTPSTSPAPSAPSSPIARPVLLPN GLTLLDLSSPPWTVMHPEAIHNRTQCEVCGKQGSLICCDRCPSVYHTDCLPRDHAITV DPWLCPSCLQTPFDQDKACRLCAGDTNLSQIILCDCCDDEYHLYCLNPPLAAVPDGDW FCPVCSSLGHTRRQCLKKKSQRGGGKPRPQFSYPSLLQETYEDLTILDRGAWMRPPGL GKQWTTDDVRKLTHAQTKTRLFRDKATGRARREAPSLSKLSQTANVLWFATKLPDPDA RRRLLQLAYSTQLNAEFRIRLPMAERVAAMLRWRYIWPSSEFEVDVFMRLLRTWHEME QTLYVRRPQLSPPPSPPHLPWGFGPHRRNNSMPTARGGGGGGGVKERLQLGYDHPDRP KPADLDPQLVAKVALLRITSPMSVRSILNPF H257_04838 MRTFLLVLNAVASHVAQGGGSTGCAHDFSQCGGVKWPYLPCCAS EAFECSYVNDELSLCLQTPVRSNHTSSDGTDGGSRQRRQLRSGDIMSSAAGASGDPAP YLGQCGGLGYSGSTICTHGLFCHVESPYYSSCLFNPTDDTHVAVWRKCGGANWSGQTR CQEGSTCQVQTMWYSQCVPL H257_04839 MQVVEAPPRPATAMDIAAETENLLQSLRQDNVRQQDEIRGRKEA LLLKLRAKSAPASTQVLFPPKSKALSSHMHIPVARATSHPLLNSKKRMLGEMKKAARK QGADSMAKLFGYKSYVEQKEHLEKEEHVRIQLMELKKKSHEAAKANHPIPDNNDDDES SDEDFVPEVEATAADSGVDGSVNGDVDEGAGQSAVPVSDEEGHDERDVGLVAGAPPRV VASDDQADRMAAAGLSPDDDDDDGDHLQSSGDEHDDDDARSSANGPPTSTPPREDTNT LRSIRRRRLHRPIATSSDEDENDADHSDIEADLVVEKARRNTTDKAANYRAILAADQA AESGRRRDKRLQTGGLLNLVESEAEEEEDEDVLKIGGLGDFGFGVAAPPKPAEQERET DLALRDDDLDNIVDELSDDEQGKHADEYFRERMDAQDKQQVHEVMRNVREGFGRNRRV FSSSLNGEARGRFNLDQLVAADGSKYEAARLGLLESDEEKDEDEDGMEKGEVEEDEEE RMERELRERYQRHPKIYITSSESESSDSEPGQMDKENDDDVPSDEEREARQIKLFSAK AKINRRMQRMMQVQANEPKPALNALDEIDDKELEQVVVLSTSTSTAMAPPLPPMPSVI QSGPATTTASFTRMVDSRKLFHVSASKAFIFTTTNDDSLASTDDKASSQLKRRAESAH VPSSRKKPALHKRPGSLFAALSSFQCN H257_04840 MPASGVVCPPVSNARFHAYMCKAPTRRTMWTHLAATGPSMSATR DGPAALVTDHAAALHGCCPCDKVFQCQWHKAYESSGMGFALLGGQLLAPCYVQNASGL RRRETARLGLLHIGGPVCGTMIKLSVHEKHPLRGHIPTGVPTAPSLACSNIVKS H257_04841 MVELIEVIVKGLCTAYALAIFGVTQLLKNEARDRFFLYMTYVLA MTCPCIWALIRCAREWDARRKVVVVKEKKKKKPDIATKKDPLVGLTQAEILAAQREKA KDIGGIGEAKGGGMKAKKPKGSPKRKGPPKKDKGPKKGDSNKV H257_04841 MQSSSSCILNVHLRHGCSIWALIRCAREWDARRKVVVVKEKKKK KPDIATKKDPLVGLTQAEILAAQREKAKDIGGIGEAKGGGMKAKKPKGSPKRKGPPKK DKGPKKGDSNKV H257_04842 MAKIISTTHQVLLAVLGLLSTIAAVYALAEDTYLSSSPRLDVAN VFLRLYQLFFALVLLSTAALGWKVPLKWFSFLESYVGSGLFVIFLGFYTYRLLNDYGL YSAWIHFVVGGVFVVYGLFAGEQKAEYTPILPQ H257_04843 MKLFVAVATALAQVALADDGYCPNIFYDAARVILRSPFLLSTDP SINFQCHSCIANLAAKQAVAPLCYVIGMDGYDPQYNLAKDCHCLSLGLMEDCAPVCLP NPLCSKFSPSTACSACVAKQAPASCNRDEWNIPCYETCQSPMCITECSPTPQCHPADP ICSSCVKAQTPMCDTTVVSFGRQWSAGCTGACLSSACQADCNRRCGDGIKTSDEQCDD GNANNNDGCSTACQIEVGFACTTSDHALSSCNLISCGNARLEGAETCDDGNIVNGDGC SSSCQVERGAVCTQPHQLTKSLCSATCGDGVHALGLEGCDDGNLLAGDGCSPTCGVER GYTCSPDATGKSVCSPVCGDGIVTGSEKCDDRNTAPFDGCSDTCIVEPGYKCERNSDG VSQCLATCGDGITAFPVEACDDANRVSGDGCSLDCKVEKGYKCTPPSFDGKTSVCDTV CGDAFVTGGEKCDDGNSLDNDGCSALCAVETGWSCDNSLANAAKSVCGPVCGDGVVKG WEECDETSRGCVNCKKVEIPPTRCGDGYTDAGETCDDGNTVSGDGCSATCQVESGYVC NGRVCQGLCGNGVKTSAEACDDNNVVSGDGCTGSCGIEFGYTCKQSDAGLSTCTPTCG DGKVIAPETCDDGNTAVGDGCSTTCQIEAGFVCSTLTTGVSHCRAVCGDGILAGAEGC DDGNTRSSDGCSSTCRVETGYGCSKASPSVCSTICGDGIRTSNEACDDGNTASKDGCS ATCTVEGSFTCSTPLGRATTCTRVPTCGDGYLSDSEFCDDGNTVSGDGCSKRCRVEAL YTCVSSEAHGASTCTKSRCSNVRKDWYQLSPQEKATTQLCVTKLFQTGLYQKMTGIHV FKPNDEYAHHTNAFVQWHRKWLLVVENMLRSMGGVCSCLTLPYWDWAQDAVAMQTTKC ASRTQCSGVLRDWGGGASTSSPFVSIPIYNDPESGMASGSASGYCVLNDVTKDWHAGM DLHKYKTAWDPSCPIIRRGWNDFSDDSGTYATPLASTSFLTLAASLARASDYSTFVSI ALGDIHVLPHDRSGAYLRTFISPADPVFLLHHTNIDRVYALWEACHACTHPSTATRTT EGPCYRGSNSGDGINDPFVFETFDLQPNGEYRQVDIDASEELTEYIPRTFTTPGDVLD TTTLGEYAYTYQTNDMDDRLWSTSGCVSRPAPSVLATLVASRPRHVDLSSMLLQASSD NDGTTIDDDTNATYSIELAQQYLEWVDGVFANTHHVLSTLQESNVFSIPYLSSQVGKL QSTEAYLTDIVATCECMAINKLLLARNGEAHLTPSFVDVSPSTKRAWNNRFEIRSCFH RLLDTDEKSALIRSYCDVVLAPDFAAKLQNLVNDLRSLMTSVIDPAAGGSSIQKIWDY FTKG H257_04844 MTTKEEIHVSIHGIKEFPDGYDEPRGHGPRYSQTESPAEMGSMK RPPAHSAIQMMQKLPSSVLGFSFTCNSFFARRLFVSGFTIVVIDVSALVLLYFFRDRK YRMWRNDNDFQCDRWVSFAAFSYWCGAAVFFLTLPATGIRMFELFTRKKPSDLSDKKF KVTRTWYLQFCEVLAVFLVVYMVCLTLGCIGYLINNQFRCYRVLDIAYACAAIVVFCS QFAFISHFTQFREHIKMQLGAFRESNQTGDIRAHWGTKRAKTSVQHIRKQLYKAVEHG NLDDVQALLDEAALLLGNAFAQHMYPSAKLVLGYFAVSEKNPVHVAASMGNIPALRLL FAAGFDVNALDKVSRVRISTSDLFWFFIRYVVEQPVSSVDQHKHKRLTLLTPLHCAVA TSQIDTVRFLVDEMHAAVDTLAVSPSAADRIPPLFYAEHPNIVEILLSAGANLLMVPG SGTSNCITALEVAYLRENYSVCAALEDWGGDVALTPMHRYAALGHDTKVQKYLKMKVD PDCLGEHGYIGVNIRTPMHWAAINGQASTVDVLIQGGADPNFQDARGRSPLHWAVRNN KVDAVQVLLVNGADANLQDVKGMTPVICAAFAERVHPDLLRALVEFGGDINFQLKSSG DTALHIAIKHEKQDTATALVGCGASIMATNHAGFRAIDIATSTALQFAIKSAAGHRDV MISYTHSHAQVALKLRQSLEAVNITAWLDTMDPSGIGGGSVWREEIAKGISNATLLVA IITEDYTRSEWCLKELATAKQVGTPVLPISTENVRLNEELQVYLYTRQIVPFEPAITH IDNTNPRNITYEYNEAKYNVQFRLLLDGIRDEIEKKRKKTAHLRSQLTMLSPTGSNTS SFFASPRASPSPAQGLLDRKFVFLAHGDCHRSFSRRLYIELTKHKQLECYLDLKTSQE MSVRVHAAKDAILRCSAVIVILSAKSAKSELLNDQLAFAEDKGKPIFPVILHSDFTLP PSQMYSLSRTTLHHFAVDMGFAPSFEYLWTSLKSRVGLGAIEEADEELNLLSSSSRVV NGGMV H257_04844 MTTKEEIHVSIHGIKEFPDGYDEPRGHGPRYSQTESPAEMGSMK RPPAHSAIQMMQKLPSSVLGFSFTCNSFFARRLFVSGFTIVVIDVSALVLLYFFRDRK YRMWRNDNDFQCDRWVSFAAFSYWCGAAVFFLTLPATGIRMFELFTRKKPSDLSDKKF KVTRTWYLQFCEVLAVFLVVYMVCLTLGCIGYLINNQFRCYRVLDIAYACAAIVVFCS QFAFISHFTQFREHIKMQLGAFRESNQTGDIRAHWGTKRAKTSVQHIRKQLYKAVEHG NLDDVQALLDEAALLLGNAFAQHMYPSAKLVLGYFAVSEKNPVHVAASMGNIPALRLL FAAGFDVNALDKVSRVRISTSDLFWFFIRYVVEQPVSSVDQHKHKRLTLLTPLHCAVA TSQIDTVRFLVDEMHAAVDTLAVSPSAADRIPPLFYAEHPNIVEILLSAGANLLMVPG SGTSNCITALEVAYLRENYSVCAALEDWGGDVALTPMHRYAALGHDTKVQKYLKMKVD PDCLGEHGYIGVNIRTPMHWAAINGQASTVDVLIQGGADPNFQDARGRSPLHWAVRNN KVDAVQVLLVNGADANLQDVKGMTPVICAAFAERVHPDLLRALVEFGGDINFQLKSSG DTALHIAIKHEKQDTATALVGCGASIMATNHAGFRAIDIATSTALQFAIKSAAGHRDV MISYTHSHAQVALKLRQSLEAVNITAWLDTMDPSGIGGGSVWREEIAKGISNATLLVA IITEDYTRSEWCLKELATAKQVGTPVLPISTENVRLNEELQVYLYTRQIVPFEPAITH IDNTNPRNITYEYNEAKYNVQFRLLLDGIRDEIEKKRKKTAHLRSQLTMLSPTGSNTS SFFASPRASPSPAQGLLDRKFVFLAHGDCHRSFSRRLYIELTKHKQVRI H257_04845 MTDERANWTDEKDASWMTEMIYQVVVLGKRANSGFKKEAWQAAL SKLNIEHRVNYTKVQLKARNAEMKKQYAQVSQMQHFLEGKPKRWALWETKRFPQYLHC QQLYDDLPCHGRSDANIDNDVADMDFMDNISDNSEASQVELSSGKPAKRRVRASGAGL SKRVRPSLASTMTAQLKQLEDYGHQEMSVFVKALKDNVLPTTSHGMHDASPYTASEMA LDRLQSDFGAILSLDDMTIAFEVMEDLKKATLFLRMSGEV H257_04846 MSSRTFHLLVDAVVTTGSLVGGRDVCVQEQVAMFLYFVGHRASS RAIQCRFQRSGETVTRHLHAVMASLVKLCPTYIRIPQPGAAVHPSIANNLKFSPFFLN CRMAIDGTNIPIRVPACDASRFQSRKGVTMNILAACDFDLNFTFVMAGWEGTAGDGKL YDAALRMGLHIDDAKFDILDAGFALTTKALTLYRANRYHLKEFARGRQRPRRKSSSIY GTLS H257_04847 MRKSTVTQSTPLLSRPSTEGNNGSLSNLAETTDNPACRRVSITK CKCSSDALTAAGSLSKNPETPSVKCPLHDVEYIHTLPVDRLKLACIPSQSGIKALPPF ILGEEVYMNGGLPPSSQLHSAPPRTPVIPPSPPKDAHDKCVVIVVDDSKYSIDGTFSV QTESGVVHHGISGLRLEPIGKASETPRYVDTFVHKMGRRGTGLSLRDLSTYMPHQLEH GQLLAMLEQYSKLIRLKYESNRNMAVILSRRATLYAALGHYNESLDDAEHAIQLEPNF STAYFRKGYALCSLGRYAEACVEFRKGLEYDACCPHLRHALEVTMNSLHHKPK H257_04847 MNGGLPPSSQLHSAPPRTPVIPPSPPKDAHDKCVVIVVDDSKYS IDGTFSVQTESGVVHHGISGLRLEPIGKASETPRYVDTFVHKMGRRGTGLSLRDLSTY MPHQLEHGQLLAMLEQYSKLIRLKYESNRNMAVILSRRATLYAALGHYNESLDDAEHA IQLEPNFSTAYFRKGYALCSLGRYAEACVEFRKGLEYDACCPHLRHALEVTMNSLHHK PK H257_04848 MDDGRGWISSPIENKDDKLSMSASDVYRELPYHLPRRVSQDGVP LVLASFDDSAVALSPANEDDETQLQVSTDSGWDKTQKLESVEPPRVDEVSDTETHIFS DDDDDMELTQIIADDDIDDDTEAPREAQLCRPPRVGDAEDVGRGEATMRLLSPPPSAP FKRTAVHSESVHTYEPTQRLMEPPSASNETGASAAPNNQDPHSSTSIASSTTTMAHDA SAGDVDHESSSVVHHQFLQHGASEPCDTVTSETRQSPRSYAMVIGAQPNDNQPQPAGI ECPIETPPSSSSISSSTAAGEASAPESDESMSTAVDDPPLPPPVYLQRRMKDADTPLI HSSRPPPVVASTFDFDNNDSASQTSRPFAFLDQSQSQQDTQASLPDLNGLAATTLDAA MHVQGYTSHLTRQIMDDNKNVFVTPPKPPRRLRSSTMISTPTTTTSSSPPTCSRRLKK RKMPTPDQPSPVPPRSAYATRVKCFADVHFYLSGFKEVASGQLVSQITSYGGKILKDI AQMKKVQDRCYVVATAEASRRPKTLYAMACGIPIVHPDWLAACFQSRVLVDVTGYWIP SGFSWITRRSLVHIPQHQHTLFTGLRFGIPNDVPNKQRKEYQRSIANVMKFPLEHCGA VSVKMNVTRKAIEEGSVDVLLTNEFTPLCTLAQEYDIPVVRFLWVNECLIHQKLVDTS EPNLVPDEYDDRNGTLNSRVMEVILPTASKLRLCLGEMVFVDLDNRPHDLLYHICQVV RLDEADDGAVSIQVQVYRRNVQGGRQRGQELTPTRQLVKIQPTQVKRKASLVAFEDFT RLAYNDSSLFYMRNDS H257_04849 MDELNAMSLHVFEYLGTLSAVSNVEYKPSPGIQLHNIALWEQRN APKKLPLDLVAFLGASNGLSVKWYTTLKAKQHLVGHFALNSLQHMRKIDADFPDGNYL ALVLDSSKIMGDVCLVFKSNDQAEVWLRDVMSNWILLARTFADYYRLMIVHLGLIGWQ ALFTPVGLDPLTKQWFHLFVPGRLRQLTSPDKHATTSAQIPILPFL H257_04850 MADNDVDLDALLDDALDDFDDDEVDPVQEAKAKVEAAQTREMEN LKESMAKFLEDAHNPEFQSVLEQAFREMNPDDTATSNGDSLESLLGSLKSKTTADAPA ADVDVGVARTLQSMAKAAEDMEGLDTNKTEEMGEEMMQEMMKQFEQMGEKNDFQGLVD GMMQQLLSRDVMYDPMKQICDKYPEWLAEKEPHLSKHDYERYGKQYQYFQQILAVYEN EPDNYARLSELMQEMQECGQPPSEIVKELAPGLQFDDEGMPIMPNMGPGAFPGMAGLP GAPLAGMPGADQCCVM H257_04850 MADNDVDLDALLDDALDDFDDDEVDPVQEAKAKVEAAQTREMEN LKESMAKFLEDAHNPEFQSVLEQAFREMNPDDTATSNGDSLESLLGSLKSKTTADAPA ADVDVGVARTLQSMAKAAEDMEGLDTNKTEEMGEEMMQEMMKQFEQMGEKNDFQGLVD GMMQQLLSRDVMYDPMKQICDKYPEWLAEKEPHLSKHDYERYGKQYQYFQQILAVYEN EPDNYARLSELMQEMQECGQPPSEIVKELAPGLQFDDEGMPIMPNMGPGAFPGMAGLP GAPRTQHMFMPNT H257_04851 MTRGEQQPLLTPTKKNLWRHGGSTPTTKLGWLANTVLVIVALDV VVMLSQLPQLSIVWFVDSALPEWRYEQLPILLTLLKAVVLVVAVFSPYAVLFGLVVIV AILVVLANDAFALDAMTQQEVETQGLKHANLFNILPLMFSVFEGVLLIQAYLKQKRRA QELATAKQQSEDLETSQSTFSESATSASGGKAGISLVKMLMVLRPYFWPHGLNNRVRA MSTYCFLVTSKVLNLYAPMFMARSTNALVAKDYAGALRAVTLYCASILACKVLDELKS VVYLKVKQTAYVEIATMTYEHLHSLSLDWHLKKKLGDVLRSMDRGVESANSVVSYMFL YLLPTILEAVVVMIIFATHFQLASLSFMAFSSLVVYAHVTILLTLWRKKFRQESTKHD NEYHDKATDALINYETIKYFTNERHEIQSYSSSIQKYQSNSVAVQASLSVLNMSQASI IQATTLACLALAIPHVIRDDGRGVDIGGFIAISVYLNNLFTPLYFLGSLYNMMINAIV DMQKLSELLNVDPDITDKPFAPKLSLDYEAHRNGITVEFQNVSFDYPDQNEGSGLKNV NFVIPAGTTTALVGETGAGKTTVSRLLFRFYDVHKGHVLINNQDVSGVTQLSLRKAIG IVPQDTVLFNQSVLYNIQYGNMDSSFEQVVEAAKKAKIYDFIMQLPLTWNTMVGERGL KLSGGEKQRVAIARTLLKNPPFVILDEATSALDTVTESEIQQALTRLQTNRTMLVIAH RLSTIRHASQIVVLGKGSVLEMGTHDDLMQANGKYAGMWKAQLESQKELDDCV H257_04851 MTRGEQQPLLTPTKKNLWRHGGSTPTTKLGWLANTVLVIVALDV VVMLSQLPQLSIVWFVDSALPEWRYEQLPILLTLLKAVVLVVAVFSPYAVLFGLVVIV AILVVLANDAFALDAMTQQEVETQGLKHANLFNILPLMFSVFEGVLLIQAYLKQKRRA QELATAKQQSEDLETSQSTFSESATSASGGKAGISLVKMLMVLRPYFWPHGLNNRVRA MSTYCFLVTSKVLNLYAPMFMARSTNALVAKDYAGALRAVTLYCASILACKVLDELKS VVYLKVKQTAYVEIATMTYEHLHSLSLDWHLKKKLGDVLRSMDRGVESANSVVSYMFL YLLPTILEAVVVMIIFATHFQLASLSFMAFSSLVVYAHVTILLTLWRKKFRQESTKHD NEYHDKATDALINYETIKYFTNERHEIQSYSSSIQKYQSNSVAVQASLSVLNMSQASI IQATTLACLALAIPHVIRDDGRGVDIGGFIAISVYLNNLFTPLYFLGSLYNMMINAIV DMQKLSELLNVDPDITDKPFAPKLSLDYEAHRNGITVEFQNVSFDYPDQNEGSGLKNV NFVIPAGTIYIYIYPRKLDFVVLVVFTLI H257_04852 MVAQPTTIVHQKPPVYYCLGGVSAMTAACFTHPMDLLKVRLQTS KELHLSLLGTVRSIIQVEGLGGFYRGITGGLMREGTYSTVRFGVYQYLKDVAVERNNG EALPLVENIALSMFGGAVGGFCGNPADVVNVRMQADGRLPPAQRRNYAHAVDGLVRVS NEEGRGVLMRGVRPNMIRAMLLTSGQIASYDVFKRFLMTRVGLHDNIITHFVASMAAG LVATTACAPMDLVKTRLMNMAHSGTAEAEYAGAVDCFVKIVRKEGVRGLFKGWTPAYI RLGPQTIITFMTLEQLRKLI H257_04853 MPFKARSNDQNKVIVIGIAKSLDDAGLSDMFGLHGTVADAKVVL DDKKQSRGFGFVTYASAAAKNKAIKHMNQSSVDGRVLTVRDVIPKADRDGYDAKKDEK QKVGVCWAFQKGLCDKGDACKYPHEAKDGDYGSCFEFVQSGKCKRGDECKFAHTGGAK STDSLSQVGDKKASVGDPSDGKPRVCFAFQNGKCHRGKACMFVHSHLEDPAKDGQPDS KKRKRDDYNPKERLAALVAAEDKARKVYEAAKKERQELERQLDVKPLLPAKKTGVKAN DQPANNEISLESDQNVKVEVKIKAERGQQAKVALAPAVEKLTKIVTHEKGTPHAATLR HPKVVAEHDNEADSDDDGPPVKQAAFDDDDDDDGPLSFVIQNAINSMKKVDEDLAEPE VPAAKPIKPVKTAPKAVKYVAAPLDDDVDMGAAFDDGPPPKKKKSKPDDSRLKASDHR RLRQQQKKEALQRLKAKKEIAV H257_04855 MSTTMDGSVYVVDEVTRAVSSVGSAREAQKLYRYDPTNVVPGVE LLYSLSLPLPIVNKIINFVGIWTRRAEELAHSVNGRADLDSEILRLVVPFDDAVDMVA RVRPPEELVFDCVSHDQGWATDSPELNHTYQGCHSWIEFEIQDAHGVTIVPRRDVCRN FRASSSSRHHMLIVRDRDVLENVLPGHSIVVHMRAQYGGWANHVDFCRVALHQVAHVR DEVDRCIFNHFTEHLTTTTDIPL H257_04856 MLKLIYHIVGAREPCAVKIDANQFVADLKNKIKEQNPTLVSPSV MDLHLYQSLKDATWLSAEDLDQMISDGVMDANLATIPRMEPTDDLAYYFGPNPPLHTK RCMRFFVFMRKRCKLLQLPICEAFRIPALMQGKAIANFVPNVEAPAFWSQADQANANG ILVEMAFVAFITPFFDAVLANCDMVFVNSEQVAWMPQGPPLPRSSTNLKPVRFATYRG MYHATAAPMDHVHYCPSEHAFRFGEPEKQLMGCVVLFESKLRITDSAFGQVVNLIGSG GGRRGERRVPRSWCPRSCVQGRAENRQKSAKTCLVDSSSTSDLYREEWALKYAKLTGL TAHIEYGLINFPGGAALLVTPVGAPLPRPTTLQEVVNLFDLLRQLHKKNVIHGDPRVP NVIVVKDNGKDKLLWIDLVEALEVAPAFCTTDAAILTRSILRLPHRSLLEEPLESLTD EYGEAPTSENAHRLATAVFQSTKFSA H257_04857 MCDSVTPSPPFSLLKHDDAFLVEASSTSRLTATESKPQSTKGTM GGDPLVSPELMKAMLPSRQEWKDDRGKWQQNVSLAPSSRAEVLDLQAALESNLVTEQA KKVGICANRERLHAQLFDEIIRQVILACPERGRSLLRVRDEIRMTIEAYQALYNTSLS FGIRKTVLAEEGTQEAEDKIAQLQDEIQRLQEQTLQWSHRSMVLTSYYANERDKRTTQ QNNVVAALQTQIHQFEAFRDLTK H257_04858 MAFADGKERVLPSSSSRRDVTEKYKAILQPKSSVDIQPQHVDRV FKRMLTQHKTVDTTSKWTNRFVASSTEMAFQTWSVAFYSTSARTLMLCVVLVHMLVTT VDFTFSQWIIALAMVMLCTGLMVRTGCIYEVNLHTLHTKYMASVNALVANDPSNAQTT EAIDALSQLDATFATTLGNYGDLVVAVNTILILVTQVVVSASHCDLVHTVLAWFALIV ALMSNSAYWHVIPHEEAYVYFMVSGLLCFQITRELDLCHRTNFLYYYNAEKSAEVLRD QLDHALELIVYNECKAEEMQAVAQTIDENAELCAKLQPYRIPLEELTLKRVIGQGAYG EVILAEFCGTMVVLKRMHRHKITRAAVQEFTDEILLMCQLRHPNIVQFIGASWNTYSN IGFVLEYVGHGDLYVIIHDKRVAKSWSDPLHRIAVDSARGICYLHSKNIIHRDLKSSN ILISPTYTAKICDLGMSKSMEELKANEKQVGTPLWTAPEVVTGGQFSLKSDVYAFGII LTELITRKTPYADKTKTMSAYKIMLEVAANGLRPALPTWLPEALRHLIVSCLDVSPDD RPTMLQVLQLLQTDAIYQLQGRALWSKVRGLVSERAHRTTSFSMEMIRVAKAQSELET YSKPRAIDSDDSEENDVPTLSNFHSNIVETTVVEEW H257_04859 MPRPMARRIEMVMIASSTAIRATDQSPSTIFVTVFNVTDPVNVC SSVATATQQTVACDRATDVQAGAPEFTYKFATRPPLWSSLQTRHVTAARYECDPASLS KEDLYAPMSTFVGQRVIRITPRPFARGCERAAFYAQTLGLQPQNSTSHMKTREGQAMA VALTRAFNNLMNKNHSTFISLTFLEASVAKLDEPVEFVAMERLLSNFERFSNSVDWHS PLATTEPCVQYAVAFTHWTWAATNGYLMVVDLQGQRVDHHTLVLTDPAIHCIIGVRFA GGTNLGPRGMAKFLPHTCAMTIAQSSRCY H257_04860 MDWRNNVASELASSDIPSLNLTPYSTADVVSVGCSLSLRGLPLA LVNCILDSAGVWVHHQTDTREVVEGWAPMEREYVRMTIPVDAYPSVHVSVCRALTIEC VSHDQGWATDMPESNSTYFGCNSWVEFDTCAATFAHASYRCHILHITDSALLDRLTPG CHVIVYLRALVPGWSNHAKYGRVRVQYACALNDSFNVEQCPKLASTSSELNVGPSAYI PPSFASSTNQPHPDTVTRSFQRRDAITSITEHEEWNVSTEDVQTDLDRTLETIRSSLQ RTQEVYLAFVMDTTGSMFSNMHAVKTQIRAIAEA H257_04861 MELMTPETTVAERSKHKVTLNVLFSLIGIGYLFPFSALTQPVDY WKFLFPDVNIEFYITCVFLYTNLITLGLVVAFGSTDTATYASRIVGGFGGQFLVLMIV PTAYFVVSSHNAYMAVVLVATAFAAVATAFLDSCVIALAATYPVHAQEALQFGVGLSA LIGSVFRVFTKLVFPIDAVVESSAMYFYIGAITVVVCVGAFHMLMRLKRHVEFEPTSS YHAPPIDVALSPMNRWNVLRQCYRQELLVTLSFFTTLCLWPPLVTEMTSFNFPDLQRS GWWPLILLTVFSVMDCIGRVLVPYRFGLTKDTIWKPVVLRMLLIPCIVMTVQRVWFTH DAWSVLFVVLLGLSNGYFGSLTILVVNESVDERDRGVAGTFTGFFLIAGLVLGSTMGL IYMHVTHP H257_04862 MLLHQRRILRPTPPPPPPTFEDPLSLPVWLVDNVTHEATEIPCE PATRQVNYLATEVADTLSILSRVSGAPVALVNLIAYMADICTNHAVDTHDLVVGNAPL DREYLRFVVPISSTPHLEVLSSSLVIECVSHDQGWASDDADNHSYKNCWSWVEFEVVD VSNGSVVLPRQEMCRNLRASKPFRRHRLRVTDKAILDRLRPGCHVVLHLRAEFNAWSN HARYASISLRQWWGLRDEESAVISSR H257_04863 MHRRVLERSERSELWHVDPVTKVANEFSSTAAALQSFRRAIYLP ADIAIMVQLLRRCGLTSAVIRVIFDRANVFAAYVQETNDILDGYAPMDEAYLRLRLPT PVVSSALTTSPLPSALTFECVSRDLGGVAVTHQSPVRCHRWLEFEILDAHQRVI H257_04864 GSGSMNRPIYVVDEVSRAVCSVENVREAQKLYRYDPTNVVPGVE LLYSLSLPLPIVNKIINFVGIWTRRVEELTHSINGRADLDTEILHLVVPFDSAIDGVV QVRPPVELVFDCVSHDQGWATENPELNHTYQGCHSWIEFEIQDAHGVTIVPRRDVCRN FRASSSSRHHMLIVRDRDVLENVLPGHSIVVHMRAQYGGWANHVDFCRVALHQVAHVR DEVDQFELRKWAKTALLRVDPGQHVMPRQLVPLNLDSMMALVVQLLRSYCTVS H257_04866 MLVVLWTMLTAGVMRRLWIYRNKVKYEGTAGPYVPVMLELVLLQ WTMQVRRHLQLPTTLDDERTQIQTVLRHFGQHPSYHGFWSKYPLHFSVNPLVRRLPLR H257_04867 MVSTRATANAPLLAATVAAGTNSMVQTMDALSPPTTGTGETNSD DMEVEIHDLTSPVAKMRDFGLNSFPATRDAASTDPASPPMVREYATSPGPMVPVGVPN GTSNGATGAKLGPSTTLSHHLAMASRPGTTTASAATAPAPMLRDRSASPPSTGPTRVA SDVMGVDDHDLGPPVTANDDSGRSGLSTDNTVSPGDARSDVSSQATLPARVGDAGSSE NVNPRSLPRARGSAASATTATSPPSTDPWAACAAKRAEATKTTRIKDVGAHRPSMVDL APLLAKHAAGTLAFHDTMPIQKHDKREVVGWLHMDTGNHTKAINEDAAMASLLHDNQS LVKGDTLVDVIKCEKDTQNRMLRWGIASDTALRQLQGTTLKLRVTTTSGKIKTTTMMS FQMSLPHVLDGFYMDIPAGLQGLFEERLLFETLQRLEPRFLWGLSPNAFAALHERWNV GHAVHRANHDGVSFESIIPELHQPDNDLAHPTADEYVTCPKPTKGTVSHVEVPLDDLL AELQLLEAQSSAAIQHHESHVADAVRGSEFDLATMVNSGRVDSICTMMARHPVDFGVQ LHRLFTADRPTFELLIRQRLLHRWLRATWGGSASFDKLYTKSFGHKMTRESVVELFRA LQHSDTLAPIVSETEAGDELTLSRLDLELVLALAEVLAAAHSPLYFASDAAVMVSTGC TIEIIPAHRGLRSLSAPTMLAVLMSTHLGEELWRIMETMFDGDDDMNRVMAHLYDIHE SGFVSLPHIGITRWDQELGRFVVPTDEVDDTATPVDDSTMTQVVNRQY H257_04868 MGTGTSCEEVPPSAQSGRDAVAAALTDDNYSVEDGVHSTSNAVL YAHKFTQRHPLLQWNDTENVVHGMGTRSSKLLVLVDICDMSGEVSSRVVLSVMSSSSS LLLSTAHPSGYKLKALLVSVRHPCLLPVLDVDKQHGGHGIFIMAQPFVPTGSIKDLIY CNPVPAKGYASKYSRVGRGLPRTLISRYGRHVLEALRALRSIGVVCDHLKTSNVMLDQ DVARISDIFNPILGLSRDRSMQALTMPLEATVPLDILLFGHFLFEMAVGRELNAVVPR ESDVAALPVDIANVLASIFGVSTVSPCSVEHLLAMPLFAAAPPMYLFDISELLSGMAL LESSNQLNAERRSHQLRQFHVAAIHAARTNAGKGTDGGKEKAVLPTSRGAKKPPPLKR MSYRRASSSVMA H257_04868 MGTGTSCEEVPPSAQSGRDAVAAALTDDNYSVEDGVHSTSNAVL YAHKFTQRHPLLQWNDTENVVHGMGTRSSKLLVLVDICDMSGEVSSRVVLSVMSSSSS LLLSTAHPSGYKLKALLVSVRHPCLLPVLDVDKQHGGHGIFIMAQPFVPTGSIKDLIY CNPVPAKGYASKYSRVGRGLPRTLISRYGRHVLEALRALRSIGVVCDHLKTSNVMLDQ DVARISDIFNPILGLSRDRSMQALTMPLEATVPLDILLFGHFLFEMAVGRELNAVVPR ESDVAALPVDIANVIKSKRKSQPSNPC H257_04869 MASRSSTHHRRAFQFEVEQDFQPGPSWAFPGPGRSMDLHMPRSE VAAIATELEKDCPHALGEWTSTAITGNDVLSSCLYSAGIVASKAGKMAPLANVLVAAT MFLFRFIYVEVVSAIPLNGGSYNTMLNTTSKRWAAFVSALAIIAYLATGVVSAVSASD YLQKELPALDTVWSATAILTVFAVLNVCGLKDSAVVALVIFVVHTLTLVGLVGASIVY AIQHPHIFWDNMATPFPALVVEGRELRGNASTALFFGFSSAMLGVTGFETAANFVEEQ VPGTFRKVLRNIWLLSTGFNFALSVLNLCVLPLATTTANSNVVLAMMAYTTVGRWFEL WLSIDGFIVLSGSVLTSYVGITGLAKRLAKDRVLPEFFLAENPWRHTNHYIVLAYFVV ATSLVWILHGNVTMLSSVYSYAFLALLVLFAVSAMLFKLKRSQMPRETTAPWWACFVG LTMTCMGFWGILLGDPKVSVVFATYLLTVSALMLLMLERLFVLRMVMVVLKSVSPSPA NEKTRLPLLQSPSEVLGGRAIVKAIKTINEPPIVFFSKHPDLQVLNKAVLYVRRNEHT ENLHIVHVYPRGGAPPPNFIDIVAVLDCMYPKLRIDSVVVEGEFAPSTVHWLSTFLNI PTNMMFIRQPDNMDAHKVSMLGVRVITG H257_04870 MSSSPPHEVAPSTTPPPPPPPQYLIINNIQKRKNIRDMLLSASA FGVAEVFVVGHKLLSFDQAMNIEDVLPGFQFPFRITRFDTLAECRAHLVSIEPTVTIL GIEILHNAKSVNDVDVFQGPTAVMAGNEGSGLSDAQVAICDRFVYIPQYGGGTASLNV TVATSIVMHAFALWAHDYSTAGTPLLPVSK H257_04871 MTASAAQRWTPSAWLHATDVLASTLRGYISLQKKHQDELRAFSS TACRNLDQAAVGSASLRGVLTHVSELFAHAASAPEELCVNLPLDIDELQTELQRQVNQ MDRLVQDAHARQAAFEGAKHTYTTTLTAFHDAFALASRLLSRAIDNGIDPNTFLDADD ATAILVTQATAPTHLIESSPSKKAVSQVTDAIRRAKDFKAKCMIHYKQFRKVAGEYAQ ALDVMTATQQTIERASSGNLTAIVHKFIVCYLSLVKNLEYDLVQLHGSVEAEQSQVMS TPLQFVGHAADIHMQMQALPPPLSDPLELSYFLEQHFPTTQVRPLLVRHQPRASMHAA H257_04872 MGASFSGSKVGLLSYFHHESAALKRKEAIWGTSLLAPLYSIRSL PSLAAVSNDIVLTPRSPHVIPDDMHLIFIYSGHITATVLDVGKVSFMIERTRRNAITR RRSLLRRDDSLAVRLRYYAVDREHATEEVMLCRRGPLNHDGSSSGGGTYLLRFVASKI RSHEVVVKSLLVTQVEIKAKSRAIALPPIVPSSPKGTTWGRFNSLVPLAPRRTSAQTA AGGAFANSAAAISYNNLLPHATAPLDPGNVPITQDCASILQKSPYFRGIAIGDLKALS DLGTISIVQTDCVVMQEREDGGHEMFVVLAGDLRVCVRDQDTKVLKPVATLHSGSCMG EMTLLLRGPRSASVTAMSNCMLVSIERSTLLSFLRRQPQVEAKLKSVLVLRLLQNVLG MRSVPMFEAFQYDDVMKVVPHCFIESDVARGTEILAATSTDGEDATSRPTPSRLQKQF RIILSGTVEIIPAMAGPSEFKQSGHVGELLPGMDPLPAGTKVVASTECVFLSMYTESC WGHLSAQTMAEALIRWSQANCTVEMVLRHPGARQFYLRYLTFEFSEENLQFVIAVQAF KLSPTVVADAKAIVAEYILESSPKQINVEAKMRHEIVAALRAVETTNHSNNNNNADGS VDRDKGAPDAFGIFDKAVDEITTLMRKDSFPRFKKTPFFKDMLAAYPLLDHQAHTATA SAAVASGGTSDAPGGDDLSDIGKRFRYVLDQVFAHRETRREFRRSGTGSSRDAFAFGV GAVVNRSKHSSRER H257_04873 MSKKAAVAATPAAAASTADKEHEERTDVEEDEEEEEPSVEEEDK SKAEEASAMNSMNDTNDDDNDDDVDVGTLRKLLTSLKVQEEQDKEATVKREKELAAIK VSKEDVAFVVSELLLTPAQAERKLREAHGDLHGCLKSALRLP H257_04874 MTATTTTTTQVDAVCFGSGRFLRAVLVPVWRHVNLNVVVLQTRG DDFVKQCTLDNLQYEVDTVERDGSVSTQEVQLAGVASLGVAAQKAAFFGRIPELTHLR YVGVGVTEAGIHPSSQAMKDLAAFLVALVEYFPDKCISVINTDNLAANGDLIRSIVLE LVPPALQPLVASHVTFHNSMVDRITASRPSNSMVPYTEPLPPKALVIEDLTGQLPLAW GSIPGVQLRTQPNALTQDHLLKLGIANATHTAMVYALALSRLPTTAAAPPVVFTYLDG LVQKDLAPGLLTHGLSYGVIQEVYKDWIHRLKHKYFGMDTFFVAQNAWTKYTIRLLAT IAPHVQANPTYMPSIYFTFATACLLRFLTPISHGGGIVTARGKQFLGQMDHVLRSGNG PTKWTYATGLSADVATGAYDFRDDEAGEVPSLLREASASGSVEATTNMMRTLLRRWGG YDDADDRWRTFAANVAAMYRRFITVPPTSVLDVLTELVAQSTEALKDELAIAAYVADS VASTWAIDVHTHLFPPSHDTLMLWGIDALLTYHYLVAEYLMTAPVAPETFLAWPKTKQ ADAIWTHLFVDRSPLSEACQGVVTTLNLLGLSALVKTRDLPAIRAWFATQEPNAYVDL VFRLAKIRYVVMTNIPFDPQEASYWTNQTPYNARQFRTALRVDQLLLGDWASLGPALD LQHLPHTLAGVTQYLESWVDILRPEYFMASVPATFALRESAAADPLAIQPDGAMLLQH VLLPLAQSRRLPVALKFGAVRQLNPRLSIAGDGVAVTDVSILSRLAKQNPNVKFLATY LSRVNQHEVTVVANKFANVHIYGCWWYCNNPSIIAEMTRLRLEILGTGFTAQHSDARV LDQLLYKWRHFRGVLTDVLVPLYTQLHRNGWPVTALAIDRDVGTLLGHGYEEFLHKQL H257_04874 MTATTTTTTQVDAVCFGSGRFLRAVLVPVWRHVNLNVVVLQTRG DDFVKQCTLDNLQYEVDTVERDGSVSTQEVQLAGVASLGVAAQKAAFFGRIPELTHLR YVGVGVTEAGIHPSSQAMKDLAAFLVALVEYFPDKCISVINTDNLAANGDLIRSIVLE LVPPALQPLVASHVTFHNSMVDRITASRPSNSMVPYTEPLPPKALVIEDLTGQLPLAW GSIPGVQLRTQPNALTQDHLLKLGIANATHTAMVYALALSRLPTTAAAPPVVFTYLDG LVQKDLAPGLLTHGLSYGVIQEVYKDWIHRLKHKYFGMDTFFVAQNAWTKYTIRLLAT IAPHVQANPTYMPSIYFTFATACLLRFLTPISHGGGIVTARGKQFLGQMDHVLRSGNG PTKWTYATGLSADVATGAYDFRDDEAGEVPSLLREASASGSVEATTNMMRTLLRRWGG YDDADDRWRTFAANVAAMYRRFITVPPTSVLDVLTELVAQSTEALKDELAIAAYVADS VASTWAIDVHTHLFPPSHDTLMLWGIDALLTYHYLVAEYLMTAPVAPETFLAWPKTKQ ADAIWTHLFVDRSPLSEACQGVVTTLNLLGLSALVKTRDLPAIRAWFATQEPNAYVDL VFRLAKIRYVVMTNIPFDPQEASYWTNQTPYNARQFRTALRVDQLLLGDWASLGPALD LQHLPHTLAGVTQYLESWVDILRPEYFMASVPATFALRESAAADPLAIQPDGAMLLQH VLLPLAQSRRLPVALKFGAVRQLNPRLSIAGDGVAVTDVSILSRLAKQNPNVRYKYLS IIYIYRYINMYYT H257_04874 MTATTTTTTQVDAVCFGSGRFLRAVLVPVWRHVNLNVVVLQTRG DDFVKQCTLDNLQYEVDTVERDGSVSTQEVQLAGVASLGVAAQKAAFFGRIPELTHLR YVGVGVTEAGIHPSSQAMKDLAAFLVALVEYFPDKCISVINTDNLAANGDLIRSIVLE LVPPALQPLVASHVTFHNSMVDRITASRPSNSMVPYTEPLPPKALVIEDLTGQLPLAW GSIPGVQLRTQPNALTQDHLLKLGIANATHTAMVYALALSRLPTTAAAPPVVFTYLDG LVQKDLAPGLLTHGLSYGVIQEVYKDWIHRLKHKYFGMDTFFVAQNAWTKYTIRLLAT IAPHVQANPTYMPSIYFTFATACLLRFLTPISHGGGIVTARGKQFLGQMDHVLRSGNG PTKWTYATGLSADVATGAYDFRDDEAGEVPSLLREASASGSVEATTNMMRTLLRRWGG YDDADDRWRTFAANVAAMYRRFITVPPTSVLDVLTELVAQSTEALKDELAIAAYVADS VASTWAIDVHTHLFPPSHDTLMLWGIDALLTYHYLVAEYLMTAPVAPETFLAWPKTKQ ADAIWTHLFVDRSPLSEACQGVVTTLNLLGLSALVKTRDLPAIRAWFATQEPNAYVDL VFRLAKIRYVVMTNIPFDPQEASYWTNQTPYNARQFRTALRVDQLLLGDWASLGPALD LQHLPHTLAGVTQYLESWVDILRPEYFMASVPATFALRESAAADPLAIQPDGAMLLQH VLLPLAQSRRLPVALKFGAVRQLNPRY H257_04874 MTATTTTTTQVDAVCFGSGRFLRAVLVPVWRHVNLNVVVLQTRG DDFVKQCTLDNLQYEVDTVERDGSVSTQEVQLAGVASLGVAAQKAAFFGRIPELTHLR YVGVGVTEAGIHPSSQAMKDLAAFLVALVEYFPDKCISVINTDNLAANGDLIRSIVLE LVPPALQPLVASHVTFHNSMVDRITASRPSNSMVPYTEPLPPKALVIEDLTGQLPLAW GSIPGVQLRTQPNALTQDHLLKLGIANATHTAMVYALALSRLPTTAAAPPVVFTYLDG LVQKDLAPGLLTHGLSYGVIQEVYKDWIHRLKHKYFGMDTFFVAQNAWTKYTIRLLAT IAPHVQANPTYMPSIYFTFATACLLRFLTPISHGGGIVTARGKQFLGQMDHVLRSGNG PTKWTYATGLSADVATGAYDFRDDEAGEVPSLLREASASGSVEATTNMMRTLLRRWGG YDDADDRWRTFAANVAAMYRRFITVPPTSVLDVLTELVAQSTEALKDELAIAAYVADS VASTWAIDVHTHLFPPSHDTLMLWGIDALLTYHYLVAEYLMTAPVAPETFLAWPKTKQ ADAIWTHLFVDRSPLSEACQGVVTTLNLLGLSALVKTRDLPAIRAWFATQEPNAYVDL VFRLAKIRYVVMTNIPFDPQEASYWTNQTPYNARQFRTALRVDQLLLGDWASLGPALD LQHLPHTLAGVTQYLESWVDILRPEYFMASVPATFALRESAAADPLAIQPDGAMLLQH VLLPLAQSRRLPVALKFGAVRQLNPRY H257_04875 MGPHACSSHTCYHGRHYIIVTSVLEAAHHQAVHSAPEHENGYLR KRIVAQAPGLARTAVLGFILWTAYDIAIEHLSERQTHKSLGSTVWISMVAGGSAGALH GVLTGATDKFFFPQLAVADRPSRLGGVTFSHGLSHMAMFATYQSIRAYVVDNREWHPM AGIVAAGAVSGVAVDVVSHLTAPFEHVAFADACRHFKYVKLPPASEMAISAGATVVGW VAFERAKEMHNN H257_04875 MGPHACSSHTCYHGRHYIIVTSVLEAAHHQAVHSAPEHENGYLR KRIVAQAPGLARTAVLGFILWTAYDIAIEHLSERQTHKSLGSTVWISMVAGGSAGALH GVLTGATDKFFFPQLAVADRPSRLGGVTFSHGLSHMAMFATYQSIRAYVVDNREWHPM AGIVAAGAVSGVAVDVVSHLTAPFEHVALYGRTPPQLGYKRDAISDRLGWIAPTRVDI SSM H257_04876 MVSLVAVIAFVAAAATADAPVPKRPLGLTYNYGSPHASIQLDFF LDLLCPYSRDAFPHVTKIADDYPDKIRVLFHHFPLPYHRNAFVLHHAGQAFVNITGSD EAFTRWAAAVFKNQSVFNQDVGLPETKAAIKKLALAALPELGGGDVDSGLKSRDRNLE VRADFKYGTTRGVYGTPSIYLNGIHAFDDVDYDILYAKIRPLLG H257_04877 MVKSTTLLAALAPLALVAAEAPIPAHPFGIPYNDGSPDAPIQID FFLDLLCPDSAHAFPNVVKLADKYPIDVRVLFHHFPLPYHRNAYILHQAATTILNATN DDASFAKWADTVLKNRKLFSHNATVEGVSANIKKLAATAFPDLKGSVIDAGLANRQRN LEVRWDFKYGGTRGVYGTPAIFINGIFVDFNDNVTYDRLYDKVKPLL H257_04878 MKVVELALRFPTTTQLTLEVLATAEETAIRDKAVASINKVLDVV TDAAELALLLIKRLTEGDWFTSRVSARWIFPVAYSKVDPASKKELREQQHY H257_04879 MEEAALHFSYKHQVYRGDLKHSSTPLNTLTMNVHRDSILLLYFT ASAKFRHMYHTKDLYKAEINEIKTILKDIGQSRRRPTLFRPRRVEPPVPECPEYQSKR IKVSGVRVPFSIHVARRYIHRYTSLPTIHECEA H257_04880 MASDSAAVNHVDAEDDDDSSGEAALGGAMRNALRTAITRIRLQN PIVGSFPRTTKVPTKAVASASDNLSFLMDVTGYVKEAKDQIAEKTSSKAKAVKLAHWA TTTWVPNLVRSTILGSVTWTSYEVTTAHLVATSPALSTASDLQTLLPWAFGVSVVAGT VAGSLHGTLWSVSETALARFKREASSPFRVRGVLFSHTSTHLAMFASYETTKTFLMHQ VEGDHTDVQGAACIVGAAAASGLVGELATHFAAPFEHQSFAAARQELRTLPLPSLRSM APSGLSTMLGWLAYEFAKEALEAPSHAEVQNHG H257_04880 MDVTGYVKEAKDQIAEKTSSKAKAVKLAHWATTTWVPNLVRSTI LGSVTWTSYEVTTAHLVATSPALSTASDLQTLLPWAFGVSVVAGTVAGSLHGTLWSVS ETALARFKREASSPFRVRGVLFSHTSTHLAMFASYETTKTFLMHQVEGDHTDVQGAAC IVGAAAASGLVGELATHFAAPFEHQSFAAARQELRTLPLPSLRSMAPSGLSTMLGWLA YEFAKEALEAPSHAEVQNHG H257_04880 MASDSAAVNHVDAEDDDDSSGEAALGGAMRNALRTAITRIRLQN PIVGSFPRTTKVPTKAVASASDNLSFLMDVTGYVKEAKDQIAEKTSSKAKAVKLAHWA TTTWVPNLVRSTILGSVTWTSYEVTTAHLVATSPALSTASDLQTLLPWAFGVSVVAGT VAGSLHGTLWSVSETALARFKREASSPFRVRGVLFSHTSTHLAMFASYETTKTFLMHQ VEGDHTDVQGAACIVGAAAASGLVGELATHFAAPFEHQSFAAARQELRTLPLPSLRSM APSGLSTMLGKTMP H257_04880 MDVTGYVKEAKDQIAEKTSSKAKAVKLAHWATTTWVPNLVRSTI LGSVTWTSYEVTTAHLVATSPALSTASDLQTLLPWAFGVSVVAGTVAGSLHGTLWSVS ETALARFKREASSPFRVRGVLFSHTSTHLAMFASYETTKTFLMHQVEGDHTDVQGAAC IVGAAAASGLVGELATHFAAPFEHQSFAAARQELRTLPLPSLRSMAPSGLSTMLGKTM P H257_04880 MASDSAAVNHVDAEDDDDSSGEAALGGAMRNALRTAITRIRLQN PIVGSFPRTTKVPTKAVASASDNLSFLMDVTGYVKEAKDQIAEKTSSKAKAVKLAHWA TTTWVPNLVRSTILGSVTWTSYEVTTAHLVATSPALSTASDLQVHLQGQRIECVSHGL VDVASVGIWRVGRGRYGRRLAARNSLVRIRDSIGPVQARSKQSISRSWCPLQPHLDAF GHVCQLRNHENFLDASS H257_04880 MASDSAAVNHVDAEDDDDSSGEAALGGAMRNALRTAITRIRLQN PIVGSFPRTTKVPTKAVASASDNLSFLMDVTGYVKEAKDQIAEKTSSKAKAVKLAHWA TTTWVPNLVRSTILGSVTWTSYEVTTAHLVATSPALSTASDLQVHLQGQRIECVSHGL VDVASVGIWRVGRGRYGRRLAARNSLVRIRDSIGPVQARSKQSISRSWCPLQPHLDAF GHVCQLRNHENFLDASS H257_04881 MTTTATNVLHIAAFSNGNVGGNPAGVWIGDTLLPSADMARIAAE VGFSETVFASPTGDKSFRVRYFSPESEVPFCGHATIALGAALAYQQGDGIFALTLNDA TISVEGRNSDEGCYVALQSPATHSQPAPSDLVLDALNLFGLSYDDLDNTLPPGLAHGG ADHLVLALRSRATLAAMKYDLAAGRKLMQSAGLVTIVLVFAESPQRFHTRNPFASGGV YEDPATGAATAALAGYLRDLDWPHGGVIDVVQGDDMGIPSRLRAEISNVRGSSIWVSG MARIMTNV H257_04882 MSTANMANITTTTNHAPPLQATGEAIPYSHPQGSPTKANLYSTS FSLRDCESWFCLAGAFYVASVCLQVLQASTA H257_04883 MHDDTWSGMYTTTTVEGPNHPVYPVNVFSANPTLAHVDYEMANW YSSTRAQNRFTQIPICSKRTTTGFLTLADREFKETKHGDTIRSKTIASRDELIELLRT TFELEPPTLTGTAVTSSTNQH H257_04884 MHHSKEQLAECPSNSIAHLTMLVQHHHEAIPFENRAACLVFSVD PAHADTSIGERVSLHTAKIFKKLVLDRRGGWCFEQNALFTTRLRALGYAVETICGDVV TPAMEVAHGKYLDKAMTHMLLLVTTNTSDQFLWDVGFGGRGESPIPIPVPPSAHQPST CQEDDVGLWGIL H257_04885 MFLAAVTRLRFHHNKGSMFSGKIGVWPFVEQVVAQRNSRNRPKG TVLLVPQAVTAEVYRTMILDKVVPAIQAKMPQRVQVFHNNGQLVRELGFAGKQSSGEE TNVAKLLHSNNLVHLDCFLELVTVPPHYLATKHNHVVD H257_04886 MLGHYSKNNLLALFSGEYEQPSRRGKGGRPPRVVHAHADLALVL HLYTGAVEQKSLQELFALTPSTCARILRKGEEALVRALAACPDAVIKWPSKATQARWA AMSNLRSRLSTGVFDTGVLCLGLDGTLVWGRHNFPGSWNDGEMSRRLQKILADPTKTG VGMKVASDSAFPVSGRCAGRIVTPLKKWDLERHPPACRLGLKVMSDCITLLRQAAVWG MGAVSKVYRQLLLSLPYNPSLRTMRLESMFKLYNFQIKNVFGL H257_04887 MEDEDVDEDVDDTVDGPFDVAECEVSLHEDDQLNDKPNRTGLPR NVLIELGKDLKRQRATESSGMLSNIARKRQSLDKFIAGAAEANAKASSDFMSMMMIME ARSTEREEQRHMREMEWRAEERVRHDRRDELHMMLMAKLFDSKHK H257_04888 MPRRGKGWRPASTELMLDKIELFLPAGRNVWTKVADAYNTDGKA FPKRDIDSLRRKFATLKNRAKPTGHPSAPPTYAGRSDSVAISTKTLVCARWRTRTLMK TSMTPWMDLSTSLSTTSRIETGLPRNELIELGKDLKRQRATESSGMLSNIARKRQSLD KFIAGAAEADAKASSDFMSMMMIMEARSTEREEQRHMREMEWRAEERVRQDRRDELHM MLMAKLFDSKHK H257_04889 MRLFQDGRSNRGPKDNPGYEGWFRRYVRCRHDVLKNIALKVGRS WEDVHAPLHHNAIFMVDDRVACVLLYLTHADGYDASALVVDKFLRRYLALNTTSPSDS AKVRLCTLTPCTSSSVLDNDSADTGRHWVRSPIGQSYSLRCLEHWVLVLPLLGKDASN GCLAEPETFADTVLRTLTDCPGSQVANMHHRTSLGVTPWAAATAPDAWDRLRRVWKTH VKNNKNHECEMVEANVTVEAGMEATVAASVSEAEAVNTTKVVTMAVAKAYEARLEDEC RRKNIQFPRTCNKLRGVALGQATAVYHDVDLEDPRRARYMSEQILKDKLQDIAKKPMN DVSPNLKTSDYMTACSERIDVRAAGEILRTPDIRKRMYTSLLNQLPGKVSEYTKDAFT KKWDPVDFEWGDLIQIVVDISVERQTARSTRRASTTMIIKIVAKTRSQAEMTEDSKAV DATKAEDATEAEGATEAVGVSTVVDVRRVADTTEFVDEARKATTVCVNDMEVVDAQAS AIKARTVEAITPATEAVPKTLRLVGPEMTKARSVEHLRRKQSIATRDIKIRARSETRE DGADVREVILDEAGALALVGQPKGELPPNFHVQNPHPPSVIMSGQPHYNNVWCDGIRT EFGINKYYHWMTELPILDDRTVHPVQCLFCGDHERLHHFKDCPDIDQEGIDRIKWMWG WRMCGYLRNPKFQKYAKKTAQMRKMLGKKFYRIYTPDNAMNMATLNSVLETKTEIDVV QLAKTWKGYAVDEQPVYADVAANLRICLSTAAGPANLPGVQLCYVLSRSDSLLVSRYA LQSIGIDLNHLLEQVAQHQSHEDGDDVGVPDEDEDIAFGVATRRLQGGEQDLDKLDED AAVSLLEKAFETLKIKDTGKYVKTHKLKDIVMQA H257_04890 MKSNKPGNIGPKPTYTPAPIKQLVGATPVEQRSTYRDMAAATGL TLGTLSRHLKKGTLQRRSSRIKPLLTEANKTERLAFCPKALNSTTVRHLSSFDRTSLS QASGAVAAAHGVTPKDVQW H257_04891 MSSTLPFDKEAYLERIGLPTDPVELGSENSLAFLTKVVVHHHLA IPFENLAACGVFPVDRGHVQDSAVERISLDADKIFQKLVTDKRGGYCFEQNALLAAAL RAFGFTVDTLAARVVIPFQSDASAGLRLTGLSHMIMLVRCEGETSQYLCDVGFGGRGQ PPSPLPLNEDHVVETKGGEKYQLKRVHLERHASPSTYSGDFLLVATAPPAASTFWGVY YKTQQAGDFHASYVFSTENLMAHADYIPANWYVSTSPHSRFTQAATCAKRTEAGLLSL LGMTFNHIEYGQVVESKTLTSTAEVLNVLEDRFGLVPPSPQ H257_04892 MGILGAKREAQLKAGIEQWLLKKTDDAPELKQIKNILKTHDTQH HLLPLAFHKRIKPPVSPPRRLLFKAEVVAGKRVPFTKALAVKDRKRMDVIYESDDYEK EKRQSTYSTQLLLH H257_04893 MRPLSPRSTSSGVKLCHKLQQMGCPLELMPHMFHELYQSPSVRL HAFLHWFLDVVSPDQSTAKQFTAAEQAVLASLHLESGDELQAKESMQQVDDDESRLLA DIARLERQHSKESRKADILRRHIAATEGQAVRMGPRQVHTYPLETSSGMEALDHILDH LAEGSNALELAVLSPTSCISLSVQEDKMLDHIQAHVMPYFQNSSPPRQLSVIAESPMQ SHTVTWMLEALAAHDEILAGGSFDYNQSWSQAIEQWRAEFVAVEMAWLQANLTLRDDQ VASPSQSHLDEGEIAQWMEHTVPALLDAVADARVSSLVVGDYPNKYLRQERHLEHLDH VLDELEWQTARLQYAKL H257_04893 MRPLSPRSTSSGVKLCHKLQQMGCPLELMPHMFHELYQSPSVRL HAFLHWFLDVVSPDQSTAKQFTAAEQAVLASLHLESGDELQAKESMQQVDDDESRLLA DIARLERQHSKESRKADILRRHIAATEGQAVRMGPRQVHTYPLETSSGMEALDHILDH LAEGSNALELAVLSPTSCISLSVQEDKMLDHIQAHVMPYFQNSSPPRQLSVIAESPMQ SHTVTWMLEALAAHDEILAGGSFDYNQSWSQAIEQWRAEFVAVEMAWLQANLTLRDDQ VASPSQSHLDEGEIAQWMEHTVPALLDAVADARVSSLVVGDYPNKYLRQERHLEHLDH VLDELEWQTARLQLMALVLAHEKNLITAFQSSMDAMLRDMQRQLLVVVDRMGAPLARA PPSPPEQRRPADTNIQVSQVHSMQRQVRHDWVVLGHAQERLVDQLREILTSAPTVTEP LHQVCRLKDLVETDLAHAIERIHTTSSSSLRP H257_04893 MRPLSPRSTSSGVKLCHKLQQMGCPLELMPHMFHELYQSPSVRL HAFLHWFLDVVSPDQSTAKQFTAAEQAVLASLHLESGDELQAKESMQQVDDDESRLLA DIARLERQHSKESRKADILRRHIAATEGQAVRMGPRQVHTYPLETSSGMEALDHILDH LAEGSNALELAVLSPTSCISLSVQEDKMLDHIQAHVMPYFQNSSPPRQLSVIAESPMQ SHTVTWMLEALAAHDEILAGGSFDYNQSWSQAIEQWRAEFVAVEMAWLQANLTLRDDQ VASPSQSHLDEGEIAQWMEHTVPALLDAVADARVSSLVVGDYPNKYLRQERHLEHLDH VLDELEWQTARLQLMALVLAHEKNLITAFQSSMDAMLRDMQRQLLVVVDRMGVLLYEI FPEMFV H257_04895 MKRRERVSICLVKVDDDSLPFPPLLNINCSSMVTSLLTTWATWF GGMTQLFCPWPHSLQYHARDCRDFHIHSFPSVKFQSHLDRGSAANMPFALVPDLGGIW YLHGNDHASTKLSSFANIQQIVEHDAYTFTTYEGRGAAFSDVGGLHSFLRHAGVTYRV TATNNTAYRITPELHLPPLLMGLTLVVPGFVATFDLLKTSDPDVLVRASTVLTREATP YNMLRIVDAEGQLTPKYYSHYIKNIPPTLVVAQQL H257_04896 METRSRRFIEEKVPKSNYPGTSSSLPTTNTYLVLADALDFLDGL LKFDHQERLTAKEAMAHPYFQSVRDYHESKKQPDYTSSPSDASS H257_04897 MMTTQAASTLKFALKSIDVLNKLPTRTQIKTRRAWIGRKKSGGW EISNLADLITWGQAHLCATKETFFDREETFVYEEFIELDNAYRHKLIALDIIEGTFTS DSVESTYAGLVVTSRQNMWNIAWARDRQGDSLAIATDGTYKLHFDIVPGGWTLIDLGA VYTRYTNGSFRHRFLPWTYGTYLYERNAKRYFKLFDVTATKFEEFFDTNLVPATAWID HTPYIYAALVRKWPNVHVVSCYVHMKRNVHKHKKLLRESNNYSRVKADIERMWLARSH HQFHVIAAICLSEWMVDLSEIEMSTWFRDVYLSPPWDQWFSTASYCPGVMPHQQHIES HHKSIKIVCAHELRATTSVVLEHTLPRVLVSDGLEINTSPALWDESVLSICAQFGLKL SPKKCHFFLREAEWCDKVISADGITHSPSRIQGLVHLSPPTTAADLQQFVCATNWMRA SIPGYNQLVDPLRHLLDVATKAAGSCKKTALVRSSDYLKCFNDVKHALAHVVPLSHPR EDMTVCVFTDASDLFWGAVATQVPPADLDLPLEDQRHQRLAFINGSFFGASARWPIVE KEAYAMVESCRRLDYLVVRPGGFRLFTDHRNLVYIFNPSGSNTNMTKYQADKLQRWSL AMSTFPYTIECDSGDANVWGDLLCRWGSAPADQPVVNVRKLIHVVSPLQQVDFEWPTA ATIRGIQRSTMEGGGTLPNGMDWDDDSHFYVDPDGRIWIPDGAVDLQKRICVMAHQGA SGHRRIAATTKSVYDKFMWKTLSTDVEAFVRACLHCLCIDGEMIPRPLGSALHVEKPN ELIHFDWLSMPMAKSGQKQVLVVKDDMSGFIAFGDGGFHVERLDEARCVDGQHQVLVK WLGLDDEESSWEPAANLLDDIPVVFRKWAAANKEDPAVTALIKTRLSVGGEVFCSVQS NWVAR H257_04898 MITRFRLVAAVLAICGVACATVGAILFAQHQAKLDTKSIVSNIQ QASALNVTFTALRSTMQLNGKSQATVYIVPRASDLEEDAGSALTFDAILTQPGNDVNE TYVLLNNRAYWSISYSDGTPSTSGCMEPSQIPPIELLQSSLEGSHAVSVVDEHGAPTT VGCDQGQLLELKFAGETFVVCKSSANRITHVLGDDLTFTVEYIYDPNRVSDIVSDIVA PSTDRQCPFVRAALPKAPPSKVSGRVPRTLSLGRSSCSCNGLRRPCLFVHGLGTSSNG PTVDSLAEYWGSIQDNAPCCSSTNFVQMETVKRGWADEEIQHDFCRLALQYGTNNNRT TVGNLILVTHSMGNLVAGGALATGRCSFSEGVSWISLSAPMQGSKTANLLEQKCSSGG WGDAPIKVILNLVGKCPAERAFLQLKHQSTVDLTLHDQYAAAQKARINHPDKKLLCGV SPVGLITWASGLNFVSSLSKHNTEDDGVVDFWSCGVGVSTSRFGSNTRSANYKAALNH LDTSFRNGDGWWGDDRKPVKWFECAL H257_04899 MDETSSNDHPEGDHTPSEMPGADESGNEPATVGIPSQAPAFGDL LGIHHRFNDANEFVTCVQAWARARGFTVSRTGKNFSEKNPHPVHGGRGAIMWRSTLYC THKDQACSGRSTCTWHIKFSFDKANLNYSITSIGLGHNHSITGMHVIGHGVQLLTLEK QLEPDEIDRVVGLGRFNLPVSKVREIMDALYPERMFCPSLLSRLKDRGRVLHLGPEVD SMGRFFEMGYGMKATGGVFHVNLTSELQLNSVVMQQPGMIKYATSYSDFVLCDGTHNV SMYVLKLMPFTVVDCLGRNALCGVALDESENTETVKLGLELCKLHEANATLMTDGGSA YPGVALDLGMIHILCTKHFVDVILKGSTGLSGLAKAEGNALVYATMSKVEFQTRFDRA KTIYGEYKEADKALCSIYHHKEKVCRAFTGNVVTCSSLVTQRGESMNSVIKENAYGQQ TFFKRSCCRMWFKLPGSGMCRLRSSTTWQTQRIGSSLARTVGTQLVIALLLKEARFLV LEFVSLGQHKLRVSVMSITSELQQAPLRELLRQESRRNGINSNENKSILSHRTAHPGK RKRVSLTPRSATVEAKSSVGASVSSRGRLQVAKQFDNFVV H257_04900 MKANESAYATAKRHGPQISQVPRYQELGQFESSSSHIAAQVDSG KRPWSVKVFWSQAEVLTYCKCLRDEDIAVSTKMLIVKALSIKMAGRRFLPFGTLVNVP MDRLVNMDETPVYFEPKLHTTISKKGSKTVSARVCSSHNPRVFVCLAVTATGEKLPLY VMFQGVPGARIDSSLEKIMPPTCLVVHKDGFNNTSVSFGALPLVFARGGAVVLYNGGN LVRKVFFLLQQAQREEADVVCFLADNKAEHLENLFQVPTFALYVKAATNQVVDCKAIP TYVMAAFSSMSRHAHKYS H257_04901 MKSTAFLTPMALIMAMMVQDACAHGRLLVPPHRGYIGRLKQFNG LVPVNYGDHSLNAGGIGHTSGGKHGICGDKDSGKRLHETGGEYAKFPQHREKVIGACY APGSTMDLQVQITANHKGYFEFGLCKLNSLNDRETEDCFKTLVQPNGEKDWQLPAGSK TFSMQYMLPDGVSCDGDSHCVLRWHYVGWNNPDADINGQEHYWNCADIYVSNTCGSSP SPSSSQSTPSTSQSTPSTSQSTPSTSESTPTTSQSTPSTSQSTPSTSTPSTSKPAMTN DPKPTAPSSMDPQCGKCTNCYFPLNNGCFLGWSKAQCDSQDEYKWCGAGGSNPSSSPS NTPNPSTTSSPNPSTTSSPKSSSPKRTPSSNPSTTKQPTLSPDTSLKPTPTSSPNTPP SPPGKSGLTNILSEELFLRIFPKALDIYKYNKLVAIADKYPEFANTGNADVDRREVAA FLGQISLESGDLRYVEEINKSTMCEPSPEYPCAAGKQNFGRGPIQLSWNYNYKDFGKA VNLDLVARPELVAEDDSLVWWSALWYWHDERPNGNIHKVVGLPGGFAKATNIINGGLE CGVNPRNRDSEKSRIASFKKFCDLLRVAPGDNLSCQTADFPPKAL H257_04902 MLEFLRVPSKFALMTGQATKGKAMKGGQKLTKANGCRMLAEFVN RAAGISDRTWTTQDAKSRYEACVASYRRALKWSS H257_04904 MIQHVRFCLRMKCRVSMVALVAVAVAATNGGDFWSNWDTRQVCH PRVHVSPSTATGLQRAVQAASHVRVAGAGHSFSPIVLTEHTLVTLDKYTDVVAFDADT ITVQAGRPLYAVNDYLAAHGRALPNLGAVAIQTAAGVTQTGTHGTGNTGCLSDNIIGM DLVVANGTLVNLGPGHDLFDAARVGMGVLGAVSTLTFRHVPLWTMEQITFTLPLATFQ LHRAALLATFERTQWYLSGLPANTAVTVVLRVNTTMPITSGCWGNVFTTVPATPAPFN WTNWPDDTKACVDHSYKVLGRDGKNNTNLFTEMEMMLPVDSDAAALADMLTLHANLAP RHDPNVPLFLGFRYVEPDNLWLSPFYQRRTVVVSTIVYHHGEYEGEIDRYHRHMQAVL TKYKARPHTGKANYFTATDMAAVYPKFHEFVALQRAVDPHGKFLNKYMRRLLVLPTSA TTLTTTMSTWSYEVGYVAMAAGGCMVLLVGGATRQAAASRGYQRL H257_04904 MFVAGVTQTGTHGTGNTGCLSDNIIGMDLVVANGTLVNLGPGHD LFDAARVGMGVLGAVSTLTFRHVPLWTMEQITFTLPLATFQLHRAALLATFERTQWYL SGLPANTAVTVVLRVNTTMPITSGCWGNVFTTVPATPAPFNWTNWPDDTKACVDHSYK VLGRDGKNNTNLFTEMEMMLPVDSDAAALADMLTLHANLAPRHDPNVPLFLGFRYVEP DNLWLSPFYQRRTVVVSTIVYHHGEYEGEIDRYHRHMQAVLTKYKARPHTGKANYFTA TDMAAVYPKFHEFVALQRAVDPHGKFLNKYMRRLLVLPTSATTLTTTMSTWSYEVGYV AMAAGGCMVLLVGGATRQAAASRGYQRL H257_04905 MTFSRQSTGNDSSCLDTTVALPFVASKVDQVVQVRPMKAPRSLP RSTLPPPAATTLIHAFQRTVQRYGYQKAVHVKWQDKWHSLTWRQYYTRVQEFMKSLIH VGIQPHDVVAISGLNAIEWNVAYLGTIMAGGAATGMYVNSSKDLSFFIAHHSEARVIV CDSVDSVEKFVSIQPSLPHLKAIVLWGHDLPSTYASSLPVYCWQPFLEQGLAITKGTV RRRMQAITAGQCASIVYTSGTGGTPKGVMISHDNFCFNAWAMEAAATSSQLSHRDVLV SYLPLAHVTAQLVDIVLPLWVGYEVYFAPVVRNGPRLGKTLKEIRPTRFCGIPSVWDT MAVKFREVQGATSGLKKHLVSFATSRAWKKTVQSQYGSTGASPCGAGIAEKLVLSKVK AALGLDRCKSFSVTWAPLRRETTEYYGGLDMPILAFFGASETTGVATINMQYGWKLHS VGRPLPGTEIRLQKQSTEILVRGRHVMMGYLKNEAETRLVLDTEGWLRSGDGGAVDDD GFYSISGPLHELVTTAGGAVIAPVSLETVLKRTIPILSHAMVIGQQREFLLALFTLRV ESDEADRPTDKLEMSVRTFLSSIRSNATTLAQAQTCPKLATYLDSQLRQVNKATSKLS FGNFIQKFVLLPREFSVEGGELTPTLKVRRQAVCDIHHGLIESTYA H257_04905 MTFSRQSTGNDSSCLDTTVALPFVASKVDQVVQVRPMKAPRSLP RSTLPPPAATTLIHAFQRTVQRYGYQKAVHVKWQDKWHSLTWRQYYTRVQEFMKSLIH VGIQPHDVVAISGLNAIEWNVAYLGTIMAGGAATGMYVNSSKDLSFFIAHHSEARVIV CDSVDSVEKFVSIQPSLPHLKAIVLWGHDLPSTYASSLPVYCWQPFLEQGLAITKGTV RRRMQAITAGQCASIVYTSGTGGTPKGVMISHDNFCFNAWAMEAAATSSQLSHRDVLV SYLPLAHVTAQLVDIVLPLWVGYEVYFAPVVRNGPRLGKTLKEIRPTRFCGIPSVWDT MAVKFREVQGATSGLKKHLVSFATSRAWKKTVQSQYGSTGASPCGAGIAEKLVLSKVK AALGLDRCKSFSVTWAPLRRETTEYYGGLDMPILAFFGASETTGVATINMQYGWKLHS VGRPLPGTEIRLQKQSTEILVRGRHVMMGYLKNEAETRLVLDTEGWLRSGDGGAVDDD GFYSISGPLHELVTTAGGAVIAPVSLETVLKRTIPILSHAMVIGQQREFLLALFTLRV ESDEADRPTDKLEMSVRTFLSSIRSNATTLAQAQTCPKLATYLDSQLRQVNKATSKLS FGNFIQKFVLLPREFSVEGGELTPTLKVRRQAVCDIHHGLIESTYA H257_04905 MKAPRSLPRSTLPPPAATTLIHAFQRTVQRYGYQKAVHVKWQDK WHSLTWRQYYTRVQEFMKSLIHVGIQPHDVVAISGLNAIEWNVAYLGTIMAGGAATGM YVNSSKDLSFFIAHHSEARVIVCDSVDSVEKFVSIQPSLPHLKAIVLWGHDLPSTYAS SLPVYCWQPFLEQGLAITKGTVRRRMQAITAGQCASIVYTSGTGGTPKGVMISHDNFC FNAWAMEAAATSSQLSHRDVLVSYLPLAHVTAQLVDIVLPLWVGYEVYFAPVVRNGPR LGKTLKEIRPTRFCGIPSVWDTMAVKFREVQGATSGLKKHLVSFATSRAWKKTVQSQY GSTGASPCGAGIAEKLVLSKVKAALGLDRCKSFSVTWAPLRRETTEYYGGLDMPILAF FGASETTGVATINMQYGWKLHSVGRPLPGTEIRLQKQSTEILVRGRHVMMGYLKNEAE TRLVLDTEGWLRSGDGGAVDDDGFYSISGPLHELVTTAGGAVIAPVSLETVLKRTIPI LSHAMVIGQQREFLLALFTLRVESDEADRPTDKLEMSVRTFLSSIRSNATTLAQAQTC PKLATYLDSQLRQVNKATSKLSFGNFIQKFVLLPREFSVEGGELTPTLKVRRQAVCDI HHGLIESTYA H257_04906 MSRSRVYADVCASRPRGYWDYENFDVVWADQDAYEVRRKVDRGK YSEVFEGVHVASGSRCCVKILKPVKKKKIQREIKILQNLRGGPNIIQLLDVVRDVQSK TPSLVFEYINNYNFRSLYPTLTDFDIRYYMFELLKALDFCHANGIMHRDVKPHNVMID HEKRQLRLIDFGLAEFYHPNQEYNVRVASRYYKGPELLVDMHEYDYSLDMWSVGCVLA GMIFKKEPFFHGHDNCDQLVKIAKVKGTEELFDYLTTYDLELDPQYDGILGTHSKKPW DKFVTPDNKHLVSADALDFLDGLLKFDHQERLTAKEAMAHPYFQSVRDHRDDELSVPM ADVAMSDSY H257_04907 MIYLSVAESDAFSETFAKLKAALSSSSSEKVPPPAPSVGCMVME LRKHPAVHRAVESEIKREGVAVVGQLRREHQRRRALAEERLAYIRMRREHVHLHAEEI QLTVDEDVRAMDHHVATVQAMATRRLQVLLFECLGEIQKAIARAQQRVETQESSVDSM VATCELNYAWAIFAKRCEEHFSVASLVDIPPKMLTPSATYVENPSFVAWTTALAPYLS AQLDLPLASATLASPELTITRILAVNQRIGTATTTSPSKFPVSSNSKTRLVVPRHIGG VDDTCMASQFQSLHRLVGEWSALHRPSAFKRPKSKVKVPPPTPHPLFPDGFTCTTPLS SSTIRWLPLVPHGPLQAPTNGCIYYCLDDFQHTCPPHTTVLSSEPQPLSSLVRIASPN LQQHAAVAQTTALAARYPANSPAKEPLRDFAGPFRITHVTSHDHVVRAVRCTSPPSSA LNRGDDESVGPSPERTSMHFAPANVSHLIQTATYIDMRGTLAPDLQTNLRLRYPPETF GSHVLSHLYMAVPHDTRASSTTSSSNPLLESILRPISSDAVRALIATYVIRDPSVMMA QGSLYALYRPSFGFQGTAYCIVDTPGLLLQSHTPSASADAPSALVVQYTTTGTNAGQC AISTGVTKGDLPSLAASSSILGSFCSWRSCLDEAAAQGTTSHLCAAHHRLEPFLTPEE RVQVLGGHSTHRGRCDDVMAPAMEIRQIKHSSSLLEELLNQQLRTTLVSFLQKMATEG SNRALLAHFSAWNPDTCSSLNASQDDDAMPLEIIAEKDMLEAIWQVERAATDEVKTLV ALGVYPTAELGLLRQKQDPTHTALQASKRKLKLFRARRQEEDDRLIKVKDKRPPLLST SKSCPIVSKARKRRDGFKM H257_04908 MSRARVYADVCESRPREYWDYENLNVSWGDQDAYEVCRKIGRGK YSEVFEGVNASNGSKCVIKILKPVKKKKIKREIKILQNLSGGTNIVQLLDVVRDPQSK TPSLVFEYINNADFKTLYPTLTDFDIRYYIFELLKALDFCHANGIMHRDVKPHNVMID HEKRQLRLIDFGLAEFYHPNQEYNVRVATRYYKGPELLVDMQEYDYSLDMWSVGCVLA GMIFKKEPFFHGHDNCDQLVKIAKVKGTEELFDYLTTYDLELDPQYDGILGTHSKKPW DKFVTPDNKHLVSADALDFLDGLLKFDHQERLTAKEAMAHPYFQSVRDYHESKKQPDY TSSPLFLVPCLHAYAYEVCRKIGRGKYSEVFEGVNAANGSKCGIKILKPVKKKKIKRE IKILQNLSGGTNIVQLLDVVRDPQSKTPSLVFEYINNADFKTLYPTLTDFDIRYYIFE LLKALDFCHANGIMHRDVKPHNVMIDHEKRQLRLIDFGLAEFYHPNREYNVRVASRYF KGPELLVDMQEYDYSLDMWSVGCVLAGMIFKKEPFFHGHDNCDQLVKIAKVKGTEELF DYLTTYDLELDPQYDGILGTHSKKPWDKFVTPDNKHLVSADALDFLDGLLKFDHQERL TAKEAMAHPYFQSVRDYHESKKQLDYTSSPSDASS H257_04910 MHIRSLVILLWTTVAVASSGDNDKCGHDHLIDRFMNSMDPASMQ NHQILADVTPHDDGHNARRSLSAATYQPLRIAFDLSKLHTDHGFACTRVGDIVSLDGK NYNCTANDILTDDKLDFITGMLLPQAQDYFGSILSVPPVQTLKVDGLKCGNPTDWACC TGNFPAQFSTPGTPNADFLLHVTSRPVAAGIVAWAIPCNTDQYGRPVSAQVNFGPQKL SADPAKRMAQLGTILHELSHALGFSAMLFGSYKPRNTGEGPPVQQTNGPNGTKITHLV TPKVKQLAQEYFGCSTVPGGELQSDNALSYSSHWAKRLYLNEYMMATTSANPIYSAFT LAAFEDSGWYQVNYTRAQPLRYGHLAGCALATGRCTEWKAPLCYRSSDQDCTPDYTSK GVCNLGQFASPIPAAFQYFNSPTIGGTDDKANYCPRYVPLSGSDCRGFGATPSARGSL LEKIGLTSLCFRGALSKPSSSPPPASTLSSYCFAVQGCTPTALQVAVGTSVVSCPFNQ SSIQVAVEDETGANYTGTITCPRNPRDLCSVNVCSNMGVWTSAGCVCTAGYTGVDCSA LECPRNDVTSQVCSNQGTCVGGACQCDEDEATGVACSRPPLKKSMVVALMDLQHRVLL LCCFCAVAGGVVAMYRSRRARRASTFPKQTKMLYLQGGQDKGSYGTTQDTFVLSINNE V H257_04911 MPALKGRRHFGFPSVLAHHTRSMLPYGSESRELKANAVRISRKS TEYSWKQIAVGASLTVMGVGYCATLHSMMNQQAATLSAMQQQMTSMSSKSNSHAGANV ILPFNPKVNTAKQPAQPLAALPHKYAVDHVTPRKTQDLRGTCWDFATISVLEYTYRQQ GIANGWLAPNTYVSLSEQAYGADLLRLCTTVDKNKCYFTATQNTTEGGFVLELPLLAK DISIFPDAVCPYVAAPGSDTVCPGQTEAAKKTNPLKVTVNKYSTLIDADDIKRALVTD KRAMALSTEMAVLTHYQPCVGDLTKDPRCDVASPQCTLCPPNSFQTACCIPVGEGEDY NMDGEFIAHYGMESEGGHAMTIVGYNDNYRTQDGATGGYILKNSWWDGVDPVLGPKHA RGSHSIRYWLQTITAFEERAACPNSANPNNWYSCQGSTGVIQTNSFAGPTKSVVANAS LDMCLTEAVRLDAQSQIAPLTLLCLDKTKCDPSLAYYRRNLTSVGDHFNVLCLFEYNS TKGAVSHDVCFPPMLLMDIAHTLQPVASELRENDPDHCGFYFYPYDKQLQQYQRGWEM TVDNLDVTWAAQSYAANAAKFPHLDYSLVKASTKTQHANPISGPFPIVGA H257_04912 MAPRFESSYSCVATSLLLSPDLMHVVVAYQHGIQDDVRPFLEFA IVSSPDAIDSAYVSIVNQCHAMLMPWLATFGPSRLGKLFECIPAMHHLVACVAICSVD HPLLVHCLRQQPQSSANLLGMAAYANNTRACEYLIAHNHTRGALDAMDWAAINGNLDM IVQLRHAPRNHSGAIQQCLDKGLQGAALNGHRPIVQYLLDNGLAPITSLHHPKLFNVC ASRGHLAMIQYMFALGCSFTTDAMDAAATNGHLDVVQWMHASKRRDQGCTYVALAGAC RNGHLEIVTFLHRHRAHDCCQRPYIAQSALEIAAANGHLDIVMYLHVHRFSDGALYAM DAAAEHGHLHVLQWLHLHRSEGFTSRAVDLAAKHNHLDVVRWLVSISACQKHSTFLGQ LMARLGVFQRQYYCTTRAMDDAAANGHLEMVQWLHEHTGAGCTVAAVDQAARGNHLEV VQWLLFNRREGGSTDAMDFAAANGHLEMLQWLHQSKDAPGCTTEAMDNACRGGFLGVV QWLHANRSEGCSRNALMYAASLGHLDVVEWLLVHRRGAGCDGCTRRVAKSCGININDT LQSTPSFCSSEHTNEVDEPKQDDDGGVGAVIALVVAVIKLCLK H257_04913 MAEPKPHGRLLAQSHRLDGKTQPHGRCPMAIVRSPAPVVGPVVS ATVARSLYDRRHGRSGHQWPFGNGAVSPPPPTAGCTSSTVDKTIELNRVRVAQWLVDP QLVQNYNSRHSMFAAAANGNLKALEWLMSKHRPSVEDFTAMYYMASRMGYVDVVAYLQ QLQPEVQVDVISLDFAAAIGHLSMV H257_04914 MTQASQLAAAATARRRGRDIGTPIMDEISYTPDFNEPPSPHATP DSDLSGWLWMRSSLLGRWRHRYFSFAHGLLSYFESFPSEEFLKHHTLSSSSQPGSPRP FLHGTIGTGAQPRGVLRVAHIEEINNKLGFKVFAASGKVIEIRAPRSDIRQTWLAALR ATAITRSRSWSASNHHHHPHDHLSPSGKAVSLGFMRATDPLHGLLRMDPTQRDTLRID KCGWLLKRSDILRRWNRYYFVLQDRMLSYYVSDKPYAVPRRRGYIQGARREPNKHDSV VVVSLSAGHDLHLRLPHGSPSDCLSDWFDVLVATAMLPQDYIGSSSLRHDAARLVSND STDDSIDQSFI H257_04915 MSPKPPLTSTTPQWQLYLYAAIFLVLSIVLSNVIAWFKQFRQEA RIAQKTTTLTQDAAVATSPTKAVIPVTILTGFLGSGKTTLLNSILSSPDHGFKIMVLE NELGAVSIDHDLIANHPREGVVVMQNGCMCCSAPATATTNELERILDQLLEMQTAATD RFDYLIVETTGVADPGPILKTFLELRASRFRLDGVVALVDTSSLLKMDSQQHWPVEMH SQLVYADLIALNKMDLVDGKGIEVGKLKAHIRTINPDATVVECRHSRLPIADLLHIRT FDASRFDFDAVVESKHTKDLDTVVLSATTPLDLGAFGHWLNNVVDSHWKRGIFRMKGF VLDEGGNVWLLQCVLDTYTLAPATDQQHKTKQRALTSQVVVIGLHLDKHALETSFASV VARHQSSQKDKRV H257_04916 MRNDAVILVYAGQGGNSNNGGGGGGGAPPGIMILGREEQEYQMN MQRNRLQRLLSTTIFVCFFLLFLDGNTQQTHMRSTTPSISDKWPYTDNATERTTQIQY FQSLLDAERTFRHPGQVIVSDNITGVYKGEWTTVSKPTFQADLALREANRSVHPAYRP YYGSAAHAPSDIPPTGSSTGGVIWLILSEKPSPVEDVNADVAYVSGQVIMHDKSLAMT ILPVQGVFLRRIGRLTLFGNMPEASIDLVYPAMSLSNATTIATPDDNEDEMHFEVSPP RYNPFMGSNQRLRTGGGGFPSPREPCVYTVDMDVTRGTSITPGDVNSVGALAGLAGSD VCGLYWRANATFVEENFNTFYTKASGYAILMALLCLVQIYVLLKQLQLSSTQAAAAKV SLVTVGMQAVVDSYLCLLHLTTGIVAQHIFTLFATVSFFQLIIFSIFEMRFLLVIWKA RRPHQFTEGWNIMRRELTTLYSRFYISLLVGLCVIYYGWQWLHVLVFVASSFWVPQIV HNVHREVRNPLENGYICGMSATRLFLPLYVFGCPKNFLSAMPIFPLQYHPNVCIALVL WMGAQVGVLLLQRYWGPRFFVPAMFLPVKYNYERRMDADQLSLLRLNQGDELDCVICM VELDLEARDYMIAPCDHVFHRPCLQEWMQVKMECPTCRSVLPEP H257_04916 MRNDAVILVYAGQGGNSNNGGGGGGGAPPGIMILGREEQEYQMN MQRNRLQRLLSTTIFVCFFLLFLDGNTQQTHMRSTTPSISDKWPYTDNATERTTQIQY FQSLLDAERTFRHPGQVIVSDNITGVYKGEWTTVSKPTFQADLALREANRSVHPAYRP YYGSAAHAPSDIPPTGSSTGGVIWLILSEKPSPVEDVNADVAYVSGQVIMHDKSLAMT ILPVQGVFLRRIGRLTLFGNMPEASIDLVYPAMSLSNATTIATPDDNEDEMHFEVSPP RYNPFMGSNQRLRTGGGGFPSPREPCVYTVDMDVTRGTSITPGDVNSVGALAGLAGSD VCGLYWRANATFVEENFNTFYTKASGYAILMALLCLVQIYVLLKQLQLSSTQAAAAKV SLVTVGMQAVVDSYLCLLHLTTGIVAQHIFTLFATVSFFQLIIFSIFEMRFLLVIWKA RRPHQFTEGWNIMRRELTTLYSRFYISLLVGLCVIYYGWQWLHVLVFVASSFWVPQIV HNVHREVRNPLENGYICGMSATRLFLPLYVFGCPKNFLSAMPIYHPNVCIALVLWMGA QVGVLLLQRYWGPRFFVPAMFLPVKYNYERRMDADQLSLLRLNQGDELDCVICMVELD LEARDYMIAPCDHVFHRPCLQEWMQVKMECPTCRSVLPEP H257_04916 MRNDAVILVYAGQGGNSNNGGGGGGGAPPGIMILGREEQEYQMN MQRNRLQRLLSTTIFVCFFLLFLDGNTQQTHMRSTTPSISDKWPYTDNATERTTQIQY FQSLLDAERTFRHPGQVIVSDNITGVYKGEWTTVSKPTFQADLALREANRSVHPAYRP YYGSAAHAPSDIPPTGSSTGGVIWLILSEKPSPVEDVNADVAYVSGQVIMHDKSLAMT ILPVQGVFLRRIGRLTLFGNMPEASIDLVYPAMSLSNATTIATPDDNEDEMHFEVSPP RYNPFMGSNQRLRTGGGGFPSPREPCVYTVDMDVTRGTSITPGDVNSVGALAGLAGSD VCGLYWRANATFVEENFNTFYTKASGYAILMALLCLVQIYVLLKQLQLSSTQAAAAKV SLVTVGMQAVVDSYLCLLHLTTGIVAQHIFTLFATVSFFQLIIFSIFEMRFLLVIWKA RRPHQFTEGWNIMRRELTTLYSRFYISLLVGLCVIYYGWQWLHVLVFVASSFWVPQIV HNVHREVRNPLENGYICGMSATRLFLPLYVFGCPKNFLSAMPIVRPFQTLSCAHIYSF HCSTIPTCALHSCCGWGLKWGCCSSSGTGGPASSSQLCFFP H257_04916 MRNDAVILVYAGQGGNSNNGGGGGGGAPPGIMILGREEQEYQMN MQRNRLQRLLSTTIFVCFFLLFLDGNTQQTHMRSTTPSISDKWPYTDNATERTTQIQY FQSLLDAERTFRHPGQVIVSDNITGVYKGEWTTVSKPTFQADLALREANRSVHPAYRP YYGSAAHAPSDIPPTGSSTGGVIWLILSEKPSPVEDVNADVAYVSGQVIMHDKSLAMT ILPVQGVFLRRIGRLTLFGNMPEASIDLVYPAMSLSNATTIATPDDNEDEMHFEVSPP RYNPFMGSNQRLRTGGGGFPSPREPCVYTVDMDVTRGTSITPGDVNSVGALAGLAGSD VCGLYWRANATFVEENFNTFYTKASGYAILMALLCLVQIYVLLKQLQLSSTQAAAAKV SLVTVGMQAVVDSYLCLLHLTTGIVAQHIFTLFATVSFFQLIIFSIFEMRFLLVIWKA RRPHQFTEGWNIMRRELTTLYSRFYISLLVGLCVIYYGWVRTDPKQWLAK H257_04917 MAKVGRSSAYAYVLRRSLGEVPPREYVEDIYAEGSRQLGVNQKK VIHRQGMNVWHMDLDPVEHQFLLVGAGTGALYIFDVSVFDTVPLPEDSSSIKPMCMVK PLKRPRDVRTFDAAYTQNLPGHAGGITAVQWYPVDNGMFVTGSQDKTVKLWDANEMEV ASAFCLHDVVHAASFSPCGTSLLAVGTDHADVRLCDVVIGASTHRLLGHRAAVRCVAW SRTDEFHLATGAADGTIRLWDIRRSGASACLMVLNQDGLPDSSIPRQEATGPVSKRPR RHEDDRRSSRSVHFSNAQAHTSAVQSLRFTPDGRFIVSSGRDNCMRLWHATSGVHLFH NYGVITCNGPRPVTVGLAQEGGSDSTVVYHPFGTNGAVVSQLLHGSDPCTKLTAHYSR VTSCIYRPTTRELITGGEDGLIMLWSPPSVQVDRTCAAGRDGERADGDGTMSDGAAAQ DEDAWSDEEGSGEVFVPPILLQQHAAGDNSYNSAVV H257_04918 MDRQQQVRVHLKRLLDIPSDTGLLGSNSCDPYVVMEIHGVHKTP RPHVSKVVPFTVNPTWNAEMYVVTMLETERERCILHVSVFDHNDILPDELVGEVHIHL GDLPTGIHNHVSRLFPITTSSSRRRRRATPSSTPCPQVELAFDIITQSVKDDTIQLEA WEHQRYSIVRREWSKDFLRLPPMDPYPWTSTDNQLPIGGFHMSDTTAATPTGFVSRVG WVYDVRLGDDNGWQYARCFNGPWRRQDSFTMQVRKRLWINFCTKVPSPSFVRTVPVNA AAADSS H257_04919 MRKADGAFELVGCTKTRLDQAERDRMDLKMEVAHLRERLLQRMG GDATAQELEEESFIMKKELMQMEQSLVEHQELLLVANTKHEKALTNLQKMDAAWKASV GRIQALQSEVESRDKQLAEVDMLKKILRDQEFAIQTLDGDNKQLRGLVASKDATVMEL QGTIERMQVEFDQVHRQLNAKAGMSKDAQRGAEGELQVMVERAARLQGEVNALREEVT SVNARSAEVESTSTQLKSKLLHLTEEHATLQIHLAGSKAENERQAADSSFLKAEISRL QAELNSKDHELLQHQQLNLDVESAIKDTKKKIQLDEQVRQLQTRRMELDVEKKCRDQA HEYDLQLQRQGAILADTKDQLDSALALHRQLVHVLGIDDPSNLHDQVTAELHQKATLQ DTVDKLRHKLAVAEKAVSDHHKLQYAYTELEEKHSKTRLAMERIVNRKTKLGGAAPVV VVPTPSPAMPSPVATGTAAAAVSCLPTVTTTTPPVLEKPVSGTVGGGGGAPPLKDSTA TTPTSHLHLKPAPSFTLKRKAPSFSTGVKPGLAELRSRVAAQPVAKHVAVKSRYMQPP KYL H257_04920 MPDSKFIRFTRISPTMVTMADTMRFRVHASSGESVIRYHPLTAR FVGQPKLEQLYMEKAAKEWSPRSFRIVCVFLLLYFMTISPNLYNLISPDDVVRDKSKT YFLYVFPAMIPIPLMLWLSTMKRFRPHFHNIYAVVVTCWTFSIIGGGMYSMLHEWKLY VQDDVTKLLNYTHFLNESDVFTINHDDDLWPYPTYTGTGRDILFDYMGEVLLPAATMN INLLRMVLVSIFIPLLRIGSVQSAVVSVVTTFAYTLLTVVVYPSTSNKYFNTNKILVF CFPLLFSIIMLLQNRDMERMLRVEFLQMHEKEQEAETAKKQREAFAEENKNLKQELQK QQDYLQNPIDLQSPVHKIISDLKAVQDESNFTDAQAFRMAAIVSALSRLDTNLFTPDI STQMANSDSEVDKDTKNWAISVLGKKEYNATSSRLSNAMGTSAPGSMGGSNHLHGSNA TDSRSKSSMHMLGQGFVALEHMPLLDSVMLSTVISTVQREGWNTDVFSLDTDGRPLFV LGTALFEHYNFYDDVKVDRVAMKNFMYCIDEGYIGNPYHNVFHAADVMNSVNYLIAQL HNGYIRTLLTVNEFFAALVAAAIHDYKHPGKSNNFMIKARHRLAMQYHDKSVLENMHL AESFLLTQDNPNCDIWVRMKDKTYREMRKAIIEMVLATDLSMHLQLVGSLKSMIISDE KHDIANDPMILMRVVVKCGDIGHSAKSVKLHGQWSSLIVEEFFLQGDAERDACTEVSP FMDRWAENSAKNQIGFFEFIVLPFFDTVSQVVFQDCFRPIHMATKRNYGLWKEAAARN MTSIAGIREELFSDDSFAAETL H257_04921 MSQQASAFSPFVGAKLHTGNKPAVLSTDGLKPPPTVGDDLDRRP STQVLAPELAALRAHGRRAAENLIRLNASTQWHSVQRTVPDEHHPMDSTADKALELVQ TAEDGFCSIRGTAAVYASFDEVMQVLSVKHPRRVRSMYSHFFGKMSSEGRTPVSSCVY YQPAEDNAAPNQVLSVETVQVRPHWSSINPTMTTTHLRDKHEYAILRFVAPDDYAGVC VWDDVDLKCTPLERNTPLRRCGFVVSRASPHHVQASFISSTALKTAADGRPALPASTY FAMQNLVTSTLRGMHAAVVDLRLHAPMVLPKEVMGDGPRCVRCSKAFTFYRYKHHCRH CGDVVCTHCSSSVGVIDDDDSFGSQPPSESQEPSGGATTLPRGGRNGQPTAATTTTTP TPTWSRRVRLCVGCQDGRNLHCMRRASLKRHSSGTSSIGRPPAPHAPSTSSPVVTSPT MFDGDNTPLPSPYQFRGASRLNTAPPPPFQGGPSGSSSSRHLPGSKTPSQPYQQHHGG RSPFVSSTTSYDGNSSSSSSTPPPHPSPWSPTGTPSVVESPKFRADQFDNFVDASAVK NSARHRHRSSARGKKAAAAAHEPIPEPSVVQNEDEKAPDVVTESTLLSYPLTFKDGNA WPDPPTTPHEAWRLQKTKTLDLLRPHPETHTYVKMACKTMQCHVGALTIVGGSKGLLI AKVGVAADSIPRHILFESHVLMSTEPLVVLDCHNDLRFMTNPLVCQGDIGIRFYVGVP LITSDGCIVGALSVVDTRPRTKVRQLDLHTLVLTARTLMRRFEDLTTAAALHSGQSSD EKKSRPVSWQQAAVSDVD H257_04922 MASSQDEVTAAKVSPPRASHHRRCPRLLVHSAIGFGLVVVSLVL VFVFNSAFSLSASPLPRGRSTPVIVVLGDSITEYGENPQLMGYASMLANAYVRRADVV NRGSAGWTTRTWLPLFPAMVQDWERKPPALVSIFLGANDAAVDTDAQHVPIDEFASNL REMVRLIQQVFPACHVMLVTPPTVDDATCIYPRRNALTEVYAKSCVEVGAALNVSVVD LWTAFQNRQNTTKRLHVANDGLHLNDIGNELVYDLWLAQVNQDMPLLSPRQLPIVFS H257_04923 MAHRAQRPSIRHRAQRDFRRRCCCHVGNSVDESRPEGTRDAHMV GFALSHKARRGSSVELGSETKGFVISQHHEGRFSCWLDGKRKRMTCTYSRQDSVGE H257_04924 MLVLYDVLGEVGSSERHPNAFRVSDAVHPIRLKDVQAACPFEYC HFSFQHDNGVYGSYTNPNSVVPVVNRSRIHAKIVVSEGPAPHEKGVRHDDAIHVEVSN GVTRSTSRTSSSSSHSGTQRNASKDPNTDKAPWEATFGDNPSRDSSGGSNSSRGDGGG GGLSAAEIKEKFKKQTDMAKDFAKNLHVDDMKRNAVEFAKTINLDETARKAKKWGGSL LTSISNSISSASNAMSKGEVVSVGTAGAISATQVHIVRLLAEGAYGHVFLVKTTATNE TCVLKRIVVTSAQVERDAAIERQVLENVQHPHIMRMLQYGETRSASKHEVLFLLPFYD HGTLWDSIWRATNDVADQVWPFNERRSLRIFQGIAAGVAALHAAGFAHRDLKPHNVLL DHRDHSILMDFGSCAPLVTEIVDRRTLMDVQDDANRKCSAPYRAPELFEPEIGHVING QSDVWSLGCLLYCMAFGSSPFESAREGFMRLACLNGKVTFPPSQGDVVRFRGVEFSVD FCEFIKDMLHPDPSDRPAMSDVLEYTAELLHAQ H257_04924 MLVLYDVLGEVGSSERHPNAFRVSDAVHPIRLKDVQAACPFEYC HFSFQHDNGVYGSYTNPNSVVPVVNRSRIHAKIVVSEGPAPHEKGVRHDDAIHVEVSN GVTRSTSRTSSSSSHSGTQRNASKDPNTDKAPWEATFGDNPSRDSSGGSNSSRGDGGG GGLSAAEIKEKFKKQTDMAKDFAKNLHVDDMKRNAVEFAKTINLDETARKAKKWGGSL LTSISNSISSASNAMSKGEVVSVGTAGAISATQVHIVRLLAEGAYGHVFLVKTTATNE TCVLKRIVVTSAQVERDAAIERQVLENVQHPHIMRMLQYGETRSASKHEVLFLLPFYD HGTLWDSIWRATNDVADQVWPFNERRSLRIFQGIAAGVAALHAAGFAHRDLKPHNVLL DHRDHSILMDFGSCAPLVTEIVDRRTLMDVQDDANRKCSAPYRAPELFEPEIGHVING QSDVWSLGCLLYCMAFGSSPFESAREVRAKVMINIYLLHVCISNACIYRDSCDWPVST AK H257_04924 MSCRLSSTSPNTDKAPWEATFGDNPSRDSSGGSNSSRGDGGGGG LSAAEIKEKFKKQTDMAKDFAKNLHVDDMKRNAVEFAKTINLDETARKAKKWGGSLLT SISNSISSASNAMSKGEVVSVGTAGAISATQVHIVRLLAEGAYGHVFLVKTTATNETC VLKRIVVTSAQVERDAAIERQVLENVQHPHIMRMLQYGETRSASKHEVLFLLPFYDHG TLWDSIWRATNDVADQVWPFNERRSLRIFQGIAAGVAALHAAGFAHRDLKPHNVLLDH RDHSILMDFGSCAPLVTEIVDRRTLMDVQDDANRKCSAPYRAPELFEPEIGHVINGQS DVWSLGCLLYCMAFGSSPFESAREGFMRLACLNGKVTFPPSQGDVVRFRGVEFSVDFC EFIKDMLHPDPSDRPAMSDVLEYTAELLHAQ H257_04925 MSVDRMLSGVFAMGKFPGGFTSNANDADGSGNPRHHSGRSPMNR SGGGSSSSSATFSNREVDGFHAQGEVAMLDLLEYDGAASYHKISDKDKCVLYECSSSS STTTTVTEDTYSVKGVHTICTHMSEVMELLSAKSQYFSSVLTKLLGPIHADNSPLFPV DTHSIDEHALLIVYLALQSSSTAAMNNDLASASHFRTKATAAGHITREYCFLRYCGYF TNNNEAGRMERVLPSEPLRPQSQAVSVWDSIASAQSCTPHGPIGRLIKTGFILEQAKA PNAVKVNFIMSMHPHNYANSRQLASEKLFLQRMVRTMLLNVSAAVMELRLLTDHLLLK TSWTDSPMCTICLKNFSLLRRKHHCRLCGDAFCTTCSLTRARPDLGDSVRVCHACVEG NPSSLVRSSEKLFSTTQPSHLFRHASMHGDKGTSSSTTCTSTFKGRALSAGGKKSAAA TTTAGWSLSGRGRSPKETDHHQTVPSVYMQHGGAMHSGEAAKPPPKKAQDASQHEHPM EGSGGGRRLNPSDQPRRNYPAIPSNPLAHHQYDPFRDGPRSIPSQQVHRRHPDHRPLG TRHVASPRNPPNTLDNNDDNNMYPGNASPTRRRGNQPTTSHQAQPHSPPRMVREDNED NERLHGLHSFRPPTVLYDGSSSHFRPPQTRRGLADPPLNDDDEDHLQYNVPDPVVLDQ DSHPSAFDFDLRHRDHHHHPHIPPSMRTTPPTPLYPDPQPEPPVDDTSQFLYHSTPFS YPLNFYNGNAWPDAPVTASEDERMERARDLDLLRRRDDILMYVRIAAKTLKCPVATLC IVGGQAGLLIAKVGGVTTDTIPRQVMLESHAILSPEPTVVLDCLSDLRFATNPLVCEG DIGIRFYVGMPLCTSDGLVLGVLSLVDTQPRDRVRIAELQKLRQVTDTVMHRFEDLSV GTTKTRWDQQAAFQRQCEMDLELD H257_04925 MLSGVFAMGKFPGGFTSNANDADGSGNPRHHSGRSPMNRSGGGS SSSSATFSNREVDGFHAQGEVAMLDLLEYDGAASYHKISDKDKCVLYECSSSSSTTTT VTEDTYSVKGVHTICTHMSEVMELLSAKSQYFSSVLTKLLGPIHADNSPLFPVDTHSI DEHALLIVYLALQSSSTAAMNNDLASASHFRTKATAAGHITREYCFLRYCGYFTNNNE AGRMERVLPSEPLRPQSQAVSVWDSIASAQSCTPHGPIGRLIKTGFILEQAKAPNAVK VNFIMSMHPHNYANSRQLASEKLFLQRMVRTMLLNVSAAVMELRLLTDHLLLKTSWTD SPMCTICLKNFSLLRRKHHCRLCGDAFCTTCSLTRARPDLGDSVRVCHACVEGNPSSL VRSSEKLFSTTQPSHLFRHASMHGDKGTSSSTTCTSTFKGRALSAGGKKSAAATTTAG WSLSGRGRSPKETDHHQTVPSVYMQHGGAMHSGEAAKPPPKKAQDASQHEHPMEGSGG GRRLNPSDQPRRNYPAIPSNPLAHHQYDPFRDGPRSIPSQQVHRRHPDHRPLGTRHVA SPRNPPNTLDNNDDNNMYPGNASPTRRRGNQPTTSHQAQPHSPPRMVREDNEDNERLH GLHSFRPPTVLYDGSSSHFRPPQTRRGLADPPLNDDDEDHLQYNVPDPVVLDQDSHPS AFDFDLRHRDHHHHPHIPPSMRTTPPTPLYPDPQPEPPVDDTSQFLYHSTPFSYPLNF YNGNAWPDAPVTASEDERMERARDLDLLRRRDDILMYVRIAAKTLKCPVATLCIVGGQ AGLLIAKVGGVTTDTIPRQVMLESHAILSPEPTVVLDCLSDLRFATNPLVCEGDIGIR FYVGMPLCTSDGLVLGVLSLVDTQPRDRVRIAELQKLRQVTDTVMHRFEDLSVGTTKT RWDQQAAFQRQCEMDLELD H257_04926 MALVKVTDRPQLSFHMTAADLAEAQLRAYNAKDLDAFCACYSDD VEVYRMPSMTPAVVGLAKFRANYVATFNNPAVHATVPTRVTMGNKCMDHELCFKAENS PPVELMVMYETRADKIFKVTFYYNEDK H257_04927 MKKQLTKLFSRKKTGSDEHDLTLTSSPPSKSPATATATVTTPVS PPPPPPAARYSRMTVQMKDIAAACKLFVSSIKKDSSSGSSSSSSDDIAKLVSSINALA SKIQSNMGDASTRWVELYCIGDLGVPLSDQSTLPALVVETLDRIRLLYIVAHIQSMLP SPSINLPDDMALLHAVETLGTCLVGMLSNESILDRYRCEVPNVMRLAVEVYAPRTMCI CDVVGTAINAIAASPAFNAGLVWYLHDVQAISVVVQTMQQLVTATPATPATAAAAMSW FQALQASSSLTCMPSPSSGGKNAADDVQLAVSKALGLHKPTFIPPPAAATLKKFNHSA SETDGETTWPSPNEVRPATDLASTAPSSLSYSLLSHDPSVGHKWLIMMLQTSCRYSFV LVSDFDVAGGYNVVWDSLIGRVSSFNDVTDDSSSPERTWFCTELDFFKALLPLGEGGV KPASQLSMDWTFDACGAWNDAAVISLRNFIAEHATDPTKMSILTPCFKLVEHIICSRD DQIHRVEAATQLVASLVTKFPALASQTHQDLVLACVQHMAVHGPTLEVTRGILSSLCG IFVDAQGGDDVAMATKVCRSFTSMLHQVPSSDHPLRDTLLDLGLLDRGVYVAFQHVLA AKAAENNPEHTIRVGDDMQQQCRYVQALSALTCVVLHNHVAACTQFRQCHIHVALYGV ISAWVGDTATFHSLFKILVELAGVTKSPLLVQCIEDDVRMILELMERCGAAQGDLQAL GTLLSLLSHYLRNNVTVQRLWRDTTSGMEGLLSTLSALHASDISLLKSWFAIVSLLLD DAPDTRQYAVHHRMYHRIADTVVMAGWLTSSHAAQVLACLMELVFRPVQGVDPSNDVV LHNADAGVVSFLLYPHLDQAQQMDLLARWMSNLTKLSKPHGDTAKLVAAGVFTWLLPL VVTASEPFLPLLAMLATTDIPMPHLREYLHVLHATPGRGLPILDALCNANAVSHTHLT NHSYIHVTSCDKVWPPTSGYSFACWFQFPDNVKGRITRHTVTVPMCEGPVTFDHGLGA TYGVLVGSTLSLYKSKADAVSGATEVAVLEVSEYAADIANEEEGEEGHGSFRICSNDD WFKVEVDDEAVMWKNALQVYAKPYVMLVSMYFGLDQQQQQSQQCFTRIYFEPATTCLR VETAHKHILFKNVDVGLFAQDASWHHLVLTHKRAVMGSSVVTLYIDGAEAGAKKLSYP ASPPIGTPLRCYLGSDPHLFASTSIRPICLGPTWLMSDVLPPLAATCMFTLGPNYKQS FPGNTTSLGAIYEWTESALTVFLHWITYRKVELGRAANRLNLASLGMATQRNDCDMLA SWNDGDMSAIRRFRHFLDRHCSCEALGVEWLALVASFKWADTAVMWSLHTDVPNSLTH VQYVDTEPIVPLHLAKLVPSLGGLRSLLLPLLDPSTTDLPRFLSVLTSCLQTNAATLA LFLQAKGYSWLTAFLVEHMVAMDLPTLTAVAKLAIAGTLSTDKHVFTRDTGAMIFPVI VDTHAVADVLLNVAFRNGLSSPLQHHLVTFVAMIVHPSNPNAVFNARQLRQCQFVQWS LHFIGHLCRGVVQTTTTMTLSPTDASLVDEVVHLLAMYLQVEVHVDDMLECVDVLLSS LSHMDTSHHGRLLRRTLLRFLLHHVQMSPVIARTLVDSVFYRLQQDKTKTVVSPTHTG SMGTSTTSTTSLTSPQWCTPSTFSVDGLEHVLLEIICRSNVESNTSPLSSDAELASRL LFSLAQLQPAFAMHVLVNSQLHIRLKQVLSLHSGHVTTYIPLLAFVGLIPLSNVHDSP HHDENETEDEEGALNRYFPDKYTPTAMDRDCIDAVWDILGHLWTRNHHPSDTAATIQV FAWLNHRLQTDTLFFQAVCRSSCAFLSVVLRCGQILYPAHDSCQVQPPDAPSVDVSSC LRTFLLRSLLERDDWADNALFCLHTWPPHAPALEWLILVQTIVITDAKILTGNATVVA MKNVCSLLLGLLRRLVCRRQTKHVKRVDKHVKTMTWHVELTPTLVAFVCRVLEGCGDK AMSSVLGDEPTQHFYNVLVFCGQSLALLALYPIQHLSSWHLDVLRVVVPSKHLFLQQT NVSNVMLYHSPTVTSSSSSSSSAADTPFRVHMRQLSLHGTAAPLKEFELGHESDKLFV QCLATALFRILVVDPGCFEVTLLWQYLLQQRVGLVKDLLIVDIKQPPPPPSSLRMSSS AKKESAAARLDVFHRGFDQVLGIDSVQHAQYPVFYAWIQAQAEVLEDLFASRTDAMYA HLVDTLEGTVALRHPKHSDWCVRVAPTADVPPSCAHPNHDECKEEVTVTVAADKAGLR LAQYVSSQRDDMKEANAIWDRDQVATKYARSLWPQPEDMQINTGQSVYQLSWPSTALD AAEGPGRKRVRLQWHERAASAVGLEDHQHTSALSSTSAVPSAKKPPSFRVSSDVQRCL EFHDIVEVYRQCHFKPDALAVALPCKFAQTRELLRGVRTPWAVADTICSVYLQEGQYQ LLGISGIVVLEVKAALDLAAKQSTIYMDQAQRNVVGSGEDGGSIDSSKNEAQGMALAN TLFDVADLEAMQKRALIQSNASKLPDQEDGRDSDEDMSDDEDDSSHRESLVDLRTSDM EPLCDTVQPTSDVRQFSGALLRLLHRNDQTPHHACNAMSVAGMQKTSGVWLMCGESLT FVEGYVAIDDQQQPAKGLVDLRSGSDKPGGVILKPNPANISSPLVWRLKYHDIKQFYR IKFQLRPVGLELVDRGGWTYFCTFESCRCREDVFKALFQMPIHNSIYWAHVTPFRSTK RLRQSLTKKWLRGALSNFEYLIELNALAGRTFNDVTQYPVFPWVLADYTSETLDLTKD STYRDLSKPMGGLGAKRADQFKDRYAAMSSDGFDGSPAFHYGTHYSCSAYVTYYLLRL EPFASMAQELQGGEFDKADRLFRSIGASWTSASSENLQDVRELIPEFYFLPEFLVNAN HFDLGTTQNGEVVQDVHLPPWAANDPREFIRLHRRALESTYVSENLHSWIDLVFGYKQ RGQEAVDALNVFMHMTYEGTIDIDTIQDPLLREATMAQIENFGQTPSKLFNSPHPARK VPQLQVHSSLSTLLTHAQDLSMNAQSSIEAYVKWHTPLAPPLVSIGKEYVYLKKAHAV QVLDEPVGDVQATTDNKYVCRGGAGVLVPPRFKKAIDWGTGSVALRSVKPKAALLVCV ESCHLSAVTCGAVSADGLTFVSGGDDAVVNILECTKVHGERVLTHKGKLTGHEDAVTC VAIDAAFNVILSGSKDGSAIVWDLRMRRYLRDLRGHDAPLRQVGVNAANGNLVTITTS QLRLWSINGDLLAAAVLPSLGLAPVTAALCTTCDVWQNGVVLVTGHANGTIACWGVKY PTDAKDVRGGGTTTSSFVVSKPSERGGMAKKVDAVVPSCQLVVMKLLVEHRASVTALA LTVDQRQVISGDADGWCMRWVDDSMTNGAT H257_04927 MKKQLTKLFSRKKTGSDEHDLTLTSSPPSKSPATATATVTTPVS PPPPPPAARYSRMTVQMKDIAAACKLFVSSIKKDSSSGSSSSSSDDIAKLVSSINALA SKIQSNMGDASTRWVELYCIGDLGVPLSDQSTLPALVVETLDRIRLLYIVAHIQSMLP SPSINLPDDMALLHAVETLGTCLVGMLSNESILDRYRCEVPNVMRLAVEVYAPRTMCI CDVVGTAINAIAASPAFNAGLVWYLHDVQAISVVVQTMQQLVTATPATPATAAAAMSW FQALQASSSLTCMPSPSSGGKNAADDVQLAVSKALGLHKPTFIPPPAAATLKKFNHSA SETDGETTWPSPNEVRPATDLASTAPSSLSYSLLSHDPSVGHKWLIMMLQTSCRYSFV LVSDFDVAGGYNVVWDSLIGRVSSFNDVTDDSSSPERTWFCTELDFFKALLPLGEGGV KPASQLSMDWTFDACGAWNDAAVISLRNFIAEHATDPTKMSILTPCFKLVEHIICSRD DQIHRVEAATQLVASLVTKFPALASQTHQDLVLACVQHMAVHGPTLEVTRGILSSLCG IFVDAQGGDDVAMATKVCRSFTSMLHQVPSSDHPLRDTLLDLGLLDRGVYVAFQHVLA AKAAENNPEHTIRVGDDMQQQCRYVQALSALTCVVLHNHVAACTQFRQCHIHVALYGV ISAWVGDTATFHSLFKILVELAGVTKSPLLVQCIEDDVRMILELMERCGAAQGDLQAL GTLLSLLSHYLRNNVTVQRLWRDTTSGMEGLLSTLSALHASDISLLKSWFAIVSLLLD DAPDTRQYAVHHRMYHRIADTVVMAGWLTSSHAAQVLACLMELVFRPVQGVDPSNDVV LHNADAGVVSFLLYPHLDQAQQMDLLARWMSNLTKLSKPHGDTAKLVAAGVFTWLLPL VVTASEPFLPLLAMLATTDIPMPHLREYLHVLHATPGRGLPILDALCNANAVSHTHLT NHSYIHVTSCDKVWPPTSGYSFACWFQFPDNVKGRITRHTVTVPMCEGPVTFDHGLGA TYGVLVGSTLSLYKSKADAVSGATEVAVLEVSEYAADIANEEEGEEGHGSFRICSNDD WFKVEVDDEAVMWKNALQVYAKPYVMLVSMYFGLDQQQQQSQQCFTRIYFEPATTCLR VETAHKHILFKNVDVGLFAQDASWHHLVLTHKRAVMGSSVVTLYIDGAEAGAKKLSYP ASPPIGTPLRCYLGSDPHLFASTSIRPICLGPTWLMSDVLPPLAATCMFTLGPNYKQS FPGNTTSLGAIYEWTESALTVFLHWITYRKVELGRAANRLNLASLGMATQRNDCDMLA SWNDGDMSAIRRFRHFLDRHCSCEALGVEWLALVASFKWADTAVMWSLHTDVPNSLTH VQYVDTEPIVPLHLAKLVPSLGGLRSLLLPLLDPSTTDLPRFLSVLTSCLQTNAATLA LFLQAKGYSWLTAFLVEHMVAMDLPTLTAVAKLAIAGTLSTDKHVFTRDTGAMIFPVI VDTHAVADVLLNVAFRNGLSSPLQHHLVTFVAMIVHPSNPNAVFNARQLRQCQFVQWS LHFIGHLCRGVVQTTTTMTLSPTDASLVDEVVHLLAMYLQVEVHVDDMLECVDVLLSS LSHMDTSHHGRLLRRTLLRFLLHHVQMSPVIARTLVDSVFYRLQQDKTKTVVSPTHTG SMGTSTTSTTSLTSPQWCTPSTFSVDGLEHVLLEIICRSNVESNTSPLSSDAELASRL LFSLAQLQPAFAMHVLVNSQLHIRLKQVLSLHSGHVTTYIPLLAFVGLIPLSNVHDSP HHDENETEDEEGALNRYFPDKYTPTAMDRDCIDAVWDILGHLWTRNHHPSDTAATIQV FAWLNHRLQTDTLFFQAVCRSSCAFLSVVLRCGQILYPAHDSCQVQPPDAPSVDVSSC LRTFLLRSLLERDDWADNALFCLHTWPPHAPALEWLILVQTIVITDAKILTGNATVVA MKNVCSLLLGLLRRLVCRRQTKHVKRVDKHVKTMTWHVELTPTLVAFVCRVLEGCGDK AMSSVLGDEPTQHFYNVLVFCGQSLALLALYPIQHLSSWHLDVLRVVVPSKHLFLQQT NVSNVMLYHSPTVTSSSSSSSSAADTPFRVHMRQLSLHGTAAPLKEFELGHESDKLFV QCLATALFRILVVDPGCFEVTLLWQYLLQQRVGLVKDLLIVDIKQPPPPPSSLRMSSS AKKESAAARLDVFHRGFDQVLGIDSVQHAQYPVFYAWIQAQAEVLEDLFASRTDAMYA HLVDTLEGTVALRHPKHSDWCVRVAPTADVPPSCAHPNHDECKEEVTVTVAADKAGLR LAQYVSSQRDDMKEANAIWDRDQVATKYARSLWPQPEDMQINTGQSVYQLSWPSTALD AAEGPGRKRVRLQWHERAASAVGLEDHQHTSALSSTSAVPSAKKPPSFRVSSDVQRCL EFHDIVEVYRQCHFKPDALAVALPCKFAQTRELLRGVRTPWAVADTICSVYLQEGQYQ LLGISGIVVLEVKAALDLAAKQSTIYMDQAQRNVVGSGEDGGSIDSSKNEAQGMALAN TLFDVADLEAMQKRALIQSNASKLPDQEADGRDSDEDMSDDEDDSSHRESLVDLRTSD MEPLCDTVQPTSDVRQFSGALLRLLHRNDQTPHHACNAMSVAGMQKTSGVWLMCGESL TFVEGYVAIDDQQQPAKGLVDLRSGSDKPGGVILKPNPANISSPLVWRLKYHDIKQFY RIKFQLRPVGLELVDRGGWTYFCTFESCRCREDVFKALFQMPIHNSIYWAHVTPFRST KRLRQSLTKKWLRGALSNFEYLIELNALAGRTFNDVTQYPVFPWVLADYTSETLDLTK DSTYRDLSKPMGGLGAKRADQFKDRYAAMSSDGFDGSPAFHYGTHYSCSAYVTYYLLR LEPFASMAQELQGGEFDKADRLFRSIGASWTSASSENLQDVRELIPEFYFLPEFLVNA NHFDLGTTQNGEVVQDVHLPPWAANDPREFIRLHRRALESTYVSENLHSWIDLVFGYK QRGQEAVDALNVFMHMTYEGTIDIDTIQDPLLREATMAQIENFGQTPSKLFNSPHPAR KVPQLQVHSSLSTLLTHAQDLSMNAQSSIEAYVKWHTPLAPPLVSIGKEYVYLKKAHA VQVLDEPVGDVQATTDNKYVCRGGAGVLVPPRFKKAIDWGTGSVALRSVKPKAALLVC VESCHLSAVTCGAVSADGLTFVSGGDDAVVNILECTKVHGERVLTHKGKLTGHEDAVT CVAIDAAFNVILSGSKDGSAIVWDLRMRRYLRDLRGHDAPLRQVGVNAANGNLVTITT SQLRLWSINGDLLAAAVLPSLGLAPVTAALCTTCDVWQNGVVLVTGHANGTIACWGVK YPTDAKDVRGGGTTTSSFVVSKPSERGGMAKKVDAVVPSCQLVVMKLLVEHRASVTAL ALTVDQRQVISGDADGWCMRWVDDSMTNGAT H257_04928 MKRSASPRRWSLDSLQHSIFLLRRRISWRKAFQSLILVGLSLAF VYFAAMYLFASSFRHIHYGGLDLDVAAKPPLVARVVDEPASSSTSLSFKILQIPDMHY TGNPNHPCRNPPPSAFPCTESNMTSFIAALLDHTKPDFVVFTGDQVESVELHQSVYQV NRALYAFSTQVIERNIPWAMIFGNHDQGDSMSKREMFRRINALPLSYSEYGSPNQVSS RVGNYVLQIEAPNAGPWGPEGKALLRLYFLDSEDGQFTNEQQTYVKGVAAQLAHQQVP ALMFIHNPIPEYAEFDQQLSAKQQLRQGHQGEPVSHAHTNSHLFNTLLQMGDVKATFA GHDHLNDFCFPKQGLHLCYGGGVGYGAAYGNEAIPRRARVIEWTFSRQAQAITTHLVH RSSTTEDMTTGEPYLIYKKTIERPSIGSLRGGGGAF H257_04929 MPARHLDDDIKDAVPLINAFPQDKLSLLLRRILHSSDPPVCVFT AQEQSQLMAMGNMSASQVSTFLAGVTRILTTAGYHDWDDAQFTGEVTKLGLVETAASS LTLAWSQERSSYRAALLRASTTTPGHLPNVTESHWRLHVTIADSSSSGHAVPHALFHL QTTKDSPSPSSDIHMDMNHAELYDFFIQLDAIQAQVDALATPPP H257_04930 MTVTEKKTLCMNPGPIAFEKDVLDAFAHEGISHVDPYFIDVFGN TLENLRKVFLAQDGQPLVVTGSGTLGWDMVASNLLEVGDDVLVINTGYFGDHFGDCLT QYGATVTHLRSPVVGSRPSVADLTKTLSSTQFKAVTITHVDTSTGVLASVADYTAAIR AAQPDALVIVDSVCALGGEELRMKAWDVDVVLTGSQKCLGVPAGLSILVIRPRALKVH EKLSAAKPKYYCDWTNWLAIMKNYEARRPSYFATPAVNHVLALHKSLEILLANGGIEA RFEEHRVVSTAIKAAIESWGVGFVPIEGVAANTMTCVRFPKGISGPDLLPKVYARGVS LAGGLHKDIKTEYFRIGHMGPSTRRADHAIQTVAAIEAALIECGYAIPAPGQAVQGLK AALKGKIPLEAGGVSKTKADCGCCIVPVKCQFLTLGIVALSFGVGYLLSGRRR H257_04931 MHNTGRSVLPPRPTSPSSSPWTRCTDQIDAKRALQRRQPHGAPP TDTGIDDVEVQSLVQQVSDLQTRLNELTNNATTLTPCKRKWSWCDVALSQRKELGDGL HEQKRLKLAVDEHRDIIASLQEVVQSSQRIPMEDWRCNRLPEDQAAWTDGILSMLTRD YERVDTMLVRNGLVDCSTNVCKTVVRRGLCGAVTSIDKVTHLQLQCHTFDDVVKIMNA LVLTERGRECLVKGTKWDSLELLERMEHNSMYVKCKAKCHAGTIQWLEGQVAVKRFVE LNRVVYVVRSVLEDDRHPMNHPNETCYSHDEVGWFVIERQASAQSSATAVCCVKSIVS CTPSPPRQCVVAKAIHSVALSAADCVQKWYRSCVNVYRQQNRSVTELLVHALAQRFRP LSHTTSLPPPPISSSSSSPGPVPQGATLVVASDGTAKDATTLSAGDTA H257_04932 MPPLSNLIHRQRTYMFSPIDGCCRRRHHMHNDDDDDTMFQDILA VLVHPCKPKRRHRPQPTPPSAPPTKSTKPKRIPKRIRTTPFRMIQALHSQVAELTRHR DRLRSTKELLRNDLPKEHVEVEAALRERVTLLRQKDQLAAIIDTLQSELFPPEYWCVP PPFQAKPEPYCNNFDEPHDNWADMPPIHHAACHIIDMNPPMLTPSFARTLPPLQHPPT IMATGHGGIHDIDFIKMEYL H257_04933 MNNPIPTEIAMSAREIEGLVHDAVIKRGSSKEKQGNKKASKQHA KRKGPKRIRVNKASINITDSKIMWWSYPNDLSSGQNIDTVCFTKLKPRVSLRAVQEQD RLIKATKKLRDDPRLHPSASIRSRVV H257_04934 MHDELEARLKDFANGYKRHIAQLREDGEMPIGEGKLPMTVDGYA YLAKAALSARRDHFLAVNAHTFLLFCWNLMARSVSTASIRFDNVTWQGDALLVKFGRT KSNQEGAALFPKHVYANPLCLWICPILSLAVIVFTRSLPVGQRTTLIFGVNAQIRFSK WLLKTCAVNEADILAMGMAISEIGTHSFRKGVATALSNTPGWTPGGIRVAACRLDPGQ CTRTVHF H257_04934 MHDELEARLKDFANGYKRHIAQLREDGEMPIGEGKLPMTVDGYA YLAKAALSARRDHFLAVNAHTFLLFCWNLMARSVSTASIRFDNVTWQGDALLVKFGRT KSNQEGAALFPKHVYANPLCLWICPILSLAVIVFTRSLPVGQRTTLIFGVNAQIRFSK WLLKTCAVNEADILAMGMAISEIGTHSFRKGVATALSNTPGWTPGGIRVAACRLDPGQ CTRTVHF H257_04935 MNHANGHGQHGTYPREGDAIECTYGALPSRGDGSICSDCHHNVH HKRLTSPGARTLLAANPQFSYVNRKDIDVRKIKQGIQMAERAIDEQQKLIEALDTTKK LRDQRARLDAEIARLEIDLDAAMLTALLTDDTSPP H257_04936 MHTPTTTDISIPPHEIDAMLQEVATFLWSSKEHQGNTSVSAQQQ KPKRKWKKRTRMTPYTIIQRLKGEVEVLTQRRDTLVARSVQTRHHLNRQYQGLDAIIG KLQCELFSPQYWALPLDSSPSTGRAISIVSQPTDIHFHQMGSVLAKYGLVPNVPNRLI HSVLTPVAGKHTLVYAQTNYAPAPAATYANVYWNTFTRNLPLQLPGLRVELLKVLDMD TMLVQQYHDQVVRYIQRRYQVSKDRTVFVMRSIDHSDTNTRQLQCGYDWNEVTWCVVD ALSDSTCFVSTCAEVNLTFTQGWGFQGDFAAQLTTIKDTVASYVGDLVAPSQQHQEAL RLEYV H257_04936 MHTPTTTDISIPPHEIDAMLQEVATFLWSSKEHQGNTSVSAQQQ KPKRKWKKRTRMTPYTIIQRLKGEVEVLTQRRDTLVARSVQTRHHLNRQYQGLDAIIG KLQCELFSPQYWALPLDSSPSTGRAISIVSQPTDIHFHQMGSVLAKYGLVPNVPNRLI HSVLTPVAGKHTLVYAQTNYAPAPAATYANVYWNTFTRNLPLQLPGLRVELLKVLDMD TMLVQQYHDQVVRYIQRRYQVSKDRTVFVMRSIDHSDTNTRQLQCGYDWNEVTCTHVV HPVGVSWTRSPTRHASFLLVLK H257_04937 MSATKGKQTRYTNGQRKQLLARFRASNGTSERQFCRDNKIFRGT WQDWRSRESAIMASKRHSRHATLGGQGRRELLPFKDDRLAYMRAKRDSEEHLRVFHLM RCVNTNHKEWLVQYLAPKKNEALAYLSFRSLNPETPKPRNQPVSGA H257_04940 MPLLLEDIPRHVDEEGVRRGSTVCRRVVLPLPVSTAPNKIPPLP KRPLIITEHVLARLTTALLKKRESATYVARPQMRLQLRRQLRSVLTNRRDMNEGKSAA ATVVPVLPLASKSVVDKDSSCDAAEAQRWSQGALGQYLAPSVTKAWCALRSMATSVTK VVIVV H257_04941 MARKSGKKRKATQDPAAVHAANQVTEAEELLNAGSIDQGRALLE SVVAKAVDAQVVQVAQYSLAMLHLCSGNASAADDLLSSLGMRHRLHESVFTPDSGLAT VADDDLVATRHVKVVDTPVSPAVWSHVQSKFHHESSPFWPEHRYGAPDVGYFSYAFRE CASAAPANCVESWIQLHLLPHVRASFPDKARDIVHAEWWVHSRDQISGHQLHYDTDES RLTQTNQLFYPLVSTVWYLSPGMSAAPTLVMDKMFGQDASAAKGYLVVPKANRLAMFD GRLLHGVVPHFQQQHDDVVSLARDTRLTLMVGFWDKHVASNPFNTKQPTANMTLPHKT STLKWPAHLAENLPLSSPAAATSQPGPVVAVPDPLWVEVPTTCDAASSVDTSDGGLVG VGKYFVPDLAALDADIAGLLAEPTAEDHEWLVAHVEADDVDHPRLLQTLCILHAWMQR GGDLADSAGDFLLELTAHCPAAARAVRCSHLWASLFVDSFLGDAGTQESVDTLAAIAW NVLKGSSPTSSDKPDCFHTEDMVEALMAAADRDDQVTGMVCGALAYCLGFVPNRKWVL EGLAPVLRDLYEDQANDDDFDGHVDIRDSEHAVMTVLRASSGDRKKLLSALKAGLGHF YVGDVRAKFQSAK H257_04942 MASCSGPPPQAILLDKFNQVLAFRSVSAFSTLGVADYLGAHGSS TAATIASSLALHPSALFRVLRACANVGVVTQSCPHEADAMFTLTPMGECLLSNVPGSL RNVLDAWSRPGHWHAFQHFENAIKTGEAATHAAYGSDIWSYYRQHPQEQEVFASAMSD LSGAAIPPILGSVSFENASVIVDVGGSHGSLLAGALAKAPPQARGILFDLAPVVATAP ANLAQFETGSRITAVAGSFFEFVPQGDVYLLKHILHDWSDEESVQILKTIARHANHGA RVLVMELVLNSNVEAVAPVGGLLSPSIFMDLNMLSLCTGQERTAEQYAGLFAKAGLRY SKFTQTPSPYGIVEAIVE H257_04943 MAPIGSSSRPHFPLHTTWTTGEGAHLRSTATAAFDALLEAVTAS DHVGRNQTKHSRNHTQLVLPGIVTDVAAFFLDALDASDSVYCDRNHIDDTELLYVLKP RTMDQPLRFMGLRWSRFGAPLLCRPRDVCVVEYMDAFVDERGRRGWATCMQSVCHPSC PDFKPHHGLVRSTVHLSGYVAIESDVPGVIDVHVVLDWNFGMPPWARNAALAKRLQGL DKLDAYLKLKSLDHRPRHQQHVTHERVADKASHHNDNHDPLRRALCQGDMSTSAPSFH SQPSNLTFASSPSMRTTMDDDFNGATFDSLHIPSHCGVCQAYLRHASYMPCQLCDKVE KNPGSTGEDLCMNMNLCLLCDQTMLPTPPAITPSQQHRPRRTRPLSTSSARKNKTSPR PTSISDGVVLLDLSYLNAITSSASRSTDVSQPSVPKPTMTQQPTRS H257_04943 MAPIGSSSRPHFPLHTTWTTGEGAHLRSTATAAFDALLEAVTAS DHVGRNQTKHSRNHTQLVLPGIVTDVAAFFLDALDASDSVYCDRNHIDDTELLYVLKP RTMDQPLRFMGLRWSRFGAPLLCRPRDVCVVEYMDAFVDERGRRGWATCMQSVCHPSC PDFKPHHGLVRSTVHLSGYVAIESDVPGVIDVHVVLDWNFGMPPWARNAALAKRLQGL DKLDAYLKLKSLDHRPRHQQHVTHERVADKASHHNDNHDPLRRHVRDLPSSSNQSDHV SRQRALCQGDMSTSAPSFHSQPSNLTFASSPSMRTTMDDDFNGATFDSLHIPSHCGVC QAYLRHASYMPCQLCDKVEKNPGSTGEDLCMNMNLCLLCDQTMLPTPPAITPSQQHRP RRTRPLSTSSARKNKTSPRPTSISDGVVLLDLSYLNAITSSASRSTDVSQPSVPKPTM TQQPTRS H257_04943 MAPIGSSSRPHFPLHTTWTTGEGAHLRSTATAAFDALLEAVTAS DHVGRNQTKHSRNHTQLVLPGIVTDVAAFFLDALDASDSVYCDRNHIDDTELLYVLKP RTMDQPLRFMGLRWSRFGAPLLCRPRDVCVVEYMDAFVDERGRRGWATCMQSVCHPSC PDFKPHHGLVRSTVHLSGYVAIESDVPGVIDVHVVLDWNFGMPPWARNAALAKRLQGL DKLDAYLKLKSLDHRPRHQQHVTHERVADKASHHNDNHDPLRRALCQGDMSTSAPSFH SQPSNLTFASSPSMRTTMDDDFNGATFDSLHIPSHCGVCQAYLRHASYMPCQLCDKVE KNPGIHSGCLCVTSVCMS H257_04943 MAPIGSSSRPHFPLHTTWTTGEGAHLRSTATAAFDALLEAVTAS DHVGRNQTKHSRNHTQLVLPGIVTDVAAFFLDALDASDSVYCDRNHIDDTELLYVLKP RTMDQPLRFMGLRWSRFGAPLLCRPRDVCVVEYMDAFVDERGRRGWATCMQSVCHPSC PDFKPHHGLVRSTVHLSGYVAIESDVPGVIDVHVVLDWNFGMPPWARNAALAKRLQGL DKLDAYLKLKSLDHRPRHQQHVTHERVADKASHHNDNHDPLRRHVRDLPSSSNQSDHV SRQRALCQGDMSTSAPSFHSQPSNLTFASSPSMRTTMDDDFNGATFDSLHIPSHCGVC QAYLRHASYMPCQLCDKVEKNPGIHSGCLCVTSVCMS H257_04944 MQPTTTTNDPFVANPATPRELDAVQHHKPLITRFRLVAAVLAIC GVACVTVGAILFAQHQAKSDTKSIVTNIQQASALKVTFTALRSTMQLNGNSQATVYIV PRVSDLEEDAGSALTFDAILTQPGNDVTETYVLLNNRAYWSMSALDGTPIRSGCMASS QVPPIQLLQSSLEGSHVVASVVDGDGVPTAVNCDRGQLLELKFAGETFVLCNSNGNQL THALGDDLTFTVEYISDPTQVPDIVAPSADLQCPFVRAALPEAAPSKVSARAMSWGEF VTPRTSSLGRSSCGCNGPRRPCLFVHGVGTSSNGPTVDSLAEYWGSIQDNAPCCSSTK FVQMETVKRGWADAEIQDDFCRFALQYGTNNNGTTVGDLILVTHSMGNLVAGGALATA RCSFSEGVSWISLSAPMQGSKTANLLEQKCSSGGWGDAAIKGILNLVGKCPAERAFLQ LKHQSTVDLTLHDQYAAAQRARINHPAKKLLCGVSSVGLNTVASGLKFVSSLSNHDTE NDGVVDFWSCGVGVSGFGDSTRSPNYKASLNHLDTSFRNGDGWWGDDRKPVKWFECAL H257_04945 MHHHNSSQQHHGGCRNHDQYACYLDCCSYDYHRCTKHIHQFACY DDGSCDYTVVARTNIGQRKNWKPSHGSQW H257_04946 MVSTGSSIEVIPTHHGLHSLKVPTILNVLMTSIMGEELWRIKET MFNGDEEMHRAMVHLADIHASGNIDVTCVGLTRWYQDSGRVVVDNDQNNESVTHFDTS TATQGSASSTTELPAPARRLDFPGSKHVLEYLLLV H257_04947 MSITICASDFSIHVNAFSDIMDDATSLFLYRFTVPQLRVLCVAL HLPSTVTTSSRDYVPCIEALAMTCRRLAEPCQMYHVANEFGRSSGAVSRIVKTAITAP DGLVVSMYGLVGGRLHDSMVLSMCGILDTISLIPELNGHMMYGDLAYGCRPHLCCPFS NVPHGSNADNFNKSMSSVREAVEWSFHLIKCLWSFMDWSKTQKDQQLPIGQLWIVCVL LTNCHTCLQPMGNQISMYFICKPPSLEEMLTAHD H257_04948 MDNTKTSSLEGSDKKPSQSFVSASDDIALLNEVSFSRPWESRRS AAGLAWEKIAKKLTTDTSLSAFKSGPALRKRTEFLLKKHAANEHASLRKSGSTEEYDV RTQLLTDVATRIADYEQYKEVHLDLDRRKMQGLINSGEAIRQTALQSTSKEFNGVSSS SSDNNNDIPRTATNKKRKASTGKFCSKKTRTKRESLDLLLSGVSEGVSKLSKADDEMA AIQRERLEFDREQSALAREQLSFAREQAL H257_04949 MEEALELVAAAGREGPSNSSSLTVHDDVVPLKKQVPSALRDADA LDYILRRSSRQPGKSGEEASAKGMLWCDRSVTYATLFSLRYNSPLASRFDSKYNYGKR VAYVMLGRSSASKCNVNSSQSSLPR H257_04950 MSPPLLNHLDPSAISSVDYQNQIWNYYTLCAMFDTFFGEKELWE VVTNSIATARIVIQSVVVADVCASLWRIITNRLQQTYTLGVNGSATTIQTRTQLAAVT NAVAASGPHPKRLRNRWLRSCFERIHYSKLCSP H257_04951 MSLRHVVSNVLATTAMIAISLVAARALIANMDGDQDSLMILEMA GGTTIGTTVVTTTVTATEAATANATKATTALIVEVVMTRLTLLLVQPLGLLFTKAFAS MDMPTPTSSPNTLCLRLLSIMSFSVPTHHRFYQSAHSATCTDIINPASETSIKVVLQL PLRCVDGVRPHPPFQVVGGLCVFALHADLCCCKSDLGDPPLPMSVTFRPNDFLALQEH LIVDSGASASCIPNRDYFSKYVPCALYLTVGNGAHLPVLGYGPITLAVDMPSRDQADD IRPCAHY H257_04952 MNTGGSWDIVATIFMEASPIFQKQVMNFVKVFHAFVIRKYVNAQ LSVLPNGFAIDCTKHYKGSVSDKTIFDENLHFHMASLTKQASEDRMDDPDQATRQWAI KKPTGGILTADGIRTSDRIATDRNYDILFETYVAMMNVHIRMRPLRVDDGDVNVQYVN ELNAIETKTVKGKKSSARTYRSKRKARLSLIMAVESSMAAGDAGGSDTNLGSNSENES SSRLFI H257_04953 MNERLEDPLHWFEHNFGPNNYAFLEGFYDWLLIKILAAKRSAEG RTHWTCQTQSVVLEKTFLQPSHPASGGPPIETRMCPITPSRVLARQWANHCGR H257_04954 MQPTTPTNDPFVAIPATPRELNAVQHHKPLITRFRLVAAVLAIC GVACVTVGAILFAQHQAKPDTKSIVTNIQQASALKVTFTALRSTMQLNGKSQATVYIV PRVSDLEEDAGSALTFDAILTQPGNDVTETYVLLNNRAYWSMSALDGTPIRSGCMAPS QVPPIQLLQSSLEGSHVVASVVDGDGVPTAVNCDRGQLLELKFAGETFVLCNSNGNQL THALGDDLTFTVEYISDPTQVPDIVAPSADLQCPFVRAALPEAAPSKVSARAMSWGEF VTPRTSSLGRSSCGCNGPRRPCLFVHGVGTSSNGPTVDSLAEYWGSIQDNAPCCSSTK FVQMETVKRGWADAEIQDDFCRFALQYGTNNNGTTVGDLILVTHSMGNLVAGGALATA RCSFSGGVSWISLSAPMQGSKTANMLEQKCSSGGWGDSVIKGILNLVGKCPAERAFLQ LKHQTTVDLTLHDQYAAAQRARINHPAKKLLCGVSSVGLITKGSALKFVSSLSNHDTE NDGVVDFWSCGVGVSGFGDSTRNTHYKASLNHLDTSFRNGDGWWGDSRKPVKWFECAL H257_04955 MAMPTHLPIRSPTTITDRTNRARATATTPKEARATDRANGGAHP VPNCPKLKGISQEQVRQWLLDRDTYEEDLRAVCMRRNLEVWKYREGWKECFEDKRLLK QFMIMRKLRGDPKELDEANGVEADIPLLFHGIHMDMKDDDGLMDMKDDDCLSRVCKFL ADCAERIEARVMKGHLKKPEMRKKIFKRLLEVVDPEPVRDACVLDMEKVWHPVEFSWE SISELPGYEAKEVKNKIDHRKDDYSRQELRDVRPRRDDHERNRSQGRDRRDRSSNRAN HETITQATPRYIATETVVVRTSDAMKTAVPLMDRPIANPSSKWDLRCDVDEAPLHPME EFCTTAMGNETTGGDIHSGATARRKTV H257_04956 MQNGTTLKSKAKKLRDSLMTANKKWREEQEKSREAKAKEPEKKK LRRMLESSADQSVATINGMLDVPYCPDNGSDVGIISTAMVKTLRKLDKTVQTTQLPKA WVGSAVGNLPVIAKTTVELRVTLSTAAG H257_04957 MNSTPVPKATAPAVPRPRIKWDEETIAMHDLDRGTRMKIEEPNT PYHYYTTGDDDMEGGTGVEITDVALKEHNAAHPADIASIELSTHHGKGPVSPTHSASH GTELKWDELNARLQQHQDTHKPSEWDSDSDTSTTSSSRKHPAPPGGADKAFSQKRKQH YNEFERMKQWRLQHAKDDENDNDGEA H257_04958 MSHSPTKGAVSPPRSPRPSPSKLLAAGLTTGFEDIKSACGDQVD SRKRTAPTTCFGTSSRPRDAGDGSQSPGPGAYQVPTAIGKPVLSTMKTAAACSISGRE KFGSTADLKSAANCPGPGDYSPHIVNPNEKTAPQYSLGKKWSKSVDGTNRSPGPGAYE TPESLLNTKTVLSTSKSATATSFSKVNRKPLMETSTADVGPGQYSTGNVAVGKQVVST VTNSAAYSFSVVGRNKAPIGARMSHDVGPAPNAYKQKAAVGTQVLSNLKSAPKCSMSG RTKFGSHF H257_04959 MQHRVSTFGALTPAESSSFKRGHHLVDQYELEYLTSLTHRTFQD CVQTALALLTDDPTIAKHITRPHATFHHERIRLRTTVDAFSSSFLRRVNVDESYASQM GFSGSHLLIDLTQHDAALTTTSPSSPSRHHAGFRWAGLPSSLAVISDRDFCGLERADS FVDASGRLGWAYTLVSMEHACCPSLPNYVRGWARLTHLCVPSVAQGCIDVLSFVHADF RGNTPQFVARQVLKQLVKDAHGAMQVVLSALPRRRKSSASQRQPSPIDLSDTCLDTSR TWTLAASPSSSDHQDGDADGAPSPPQRNDAAKPSSQPTSVFRAMANALVDPESPLMVL PPSPKMLLPTTCSTCRVAAPFAKCHVCDAVSCHICSAAWCVVHKYQANVILCDRCHNG LILHQGQSSLGNTSSRNHRPSGTTLVV H257_04959 MGFSGSHLLIDLTQHDAALTTTSPSSPSRHHAGFRWAGLPSSLA VISDRDFCGLERADSFVDASGRLGWAYTLVSMEHACCPSLPNYVRGWARLTHLCVPSV AQGCIDVLSFVHADFRGNTPQFVARQVLKQLVKDAHGAMQVVLSALPRRRKSSASQRQ PSPIDLSDTCLDTSRTWTLAASPSSSDHQDGDADGAPSPPQRNDAAKPSSQPTSVFRA MANALVDPESPLMVLPPSPKMLLPTTCSTCRVAAPFAKCHVCDAVSCHICSAAWCVVH KYQANVILCDRCHNGLILHQGQSSLGNTSSRNHRPSGTTLVV H257_04960 MGRHDDALANLHKKHAEVQDIVNDMHGQLVVKDEEVRQRTHQIS MQQEHMEQLKREWASDSQSLRHDIETYVALIRDKDDQILETRRQLGAANHENTISQET LRERKAFITSVLSEKEISFKFQLQEMLDADGRGKGCGRQEKLAIDQLEQVRMTSKYLD SYASDITKAIGELTREVRSIEEGQGTCEAMMLMLSSSKVQPDCVSTSSQKSLIQLLCD QVGALQCQTIDLGNKLQTSESHHQKLNDDIHTLQIRIHHMAGKLQEQQNLVAKYQESS LCGTQTIDAMQLSIATLVSQHDTERHAMQHQIEEMSMASLKAEECNQTEAEACRANLV DMENDLADKTARIGYLESRIRELEVAAAAELGCRQSNHRIANESPMPTSMPTSTHLPP VAPPSQAIASAPSKPSISPSNVIADEPPDAASLPIPHESVAAVVIPDVCLACREEPFG FMVRCQKCKQQFHAGCVRSKRQKTSRVGCYVFVCEPCEAT H257_04961 MHTASITSTHPPSSSSMASSPLPSGFHQRSLLSSPTTSVPSMDD SSSADETFPSFNQMLRSSSSSTTVKKSFKMRKDDSESSREIRREYKKEWYEKNREKAL AKMKEYYERRKQAGTLHRRSIKQKKVTPMPPQQSTMAMAPVVTSLPSMAQIQHRNASM GLMPSRYVYEERCIPTQRTIPSPWGYDRALPSLSEQPTRRSFLHPNHHHAAVPAPPTG TVAHLNLLCDVALMN H257_04962 MSVPIANSKEDDKNDTVLQCIPRNNPHAVFLGHNGTTNSDTIST PLLHNANDSNVNSSMSALLFVASPTTSPLIDGNEVTIKAPLEGPSMHDSVSNDPVPRP NGAMANMQTVVLCVVASTVVVVAIVTGMQALRRQIRRPNHFDTQANVDATSVSSM H257_04963 MSVVTLQLGQCGNQLGLSFFDKLAHELEHFSAEDRECFFRSHDA KTIASHESNGAATARAVLIDMEPKVVNQCVGNAGRTSSGLPWQYDDANVVCEQSGSGN NWAYGYAVHGAACHEAVLDVVRKEVERCDYFKGFLALQSLAGGTGSGLGSFVCDSLRE LYPTSYLLNTVVWPYRSGEVIVQNYNTLLTMACLSESSDGVLIVENDLADQICRRLLA IPTPSFHQMNQVLTNHIAAMLMPALPFQKDTHTSRFSSCALPSIIQHLCAHPGFKLLN VKLVPQLAQRSRDFSVHQWPGVLKHLHQMHIANSGMDEGVRWDVSLENGPINKSVASM LFVRGPHCDGQVDPFAFRDPRLYCPWTTAPFTCMYHPRAFSEYDKTAALLSNSQAILP QVESMLDKAHRMFTSNAYVHQYAIHGVDADFFQSCFLRLDQMVVNYTSM H257_04964 MIMLPSSLASPRKQQHQPSSKPMLVPPSVAAARVATTSNDDNVI AGLLGDNSHHHLERKRQRRRKSEREWYLKHREDRLAKQRDYDAKNRERRLQQMKDYRT KHKEKQRVYKQEWYRRNRDSIKMKRMQRKDDGDDDQDELASSAADTPATTTAPQTSYP HSPMDTTAIAPSAFLSLLCEVALMT H257_04965 METKQYFVRLQKYNRQHNIDDEKKAHPLTVHELSLLVNSLAHLN PFMAALLRFVLCACYLGCFRINEMLGLRWCDVALGDLPGGQFVSVRLRWHKKASVEKE CQVYNLVDERAYPCLRVCGFYNEYVNAVGATLMNVTKDAFVFPQVTCLINRSVKINWA KAMEENFLRKQINDLVESTPSLSVNISLHSMRRGGCYYRVFESPERKFNFRELMVWCR WEDTKTCCEYLVTKSLSDAIAPRHLLQTRHRGPHGVNVEPTNVAEIVDQIAQYELTHL QGDRTSSVLSSSPATPSELSAIVNKQPPLAQRSMQDFVVPKIIPTARSAKDAWEQWFF VDHKNGHPCALKDYTKSMIKSDRKKYSERQTIATAFNKYRSYSHFEQAYAGYTNTYSN LLHEALEVSAAAASGAFGWRTVLWSAMESVICAPQILSQLLIYKIKE H257_04966 MSLLPTSAQPFVGTPLDESRPLACTLWKTDFLSQLTTRDLAGFY IEPNHVPRGIRLEALKVSKTYLGRDEIDNWEFYVVDISLSDYYRNLRLAEIKAHTAAI HVKAINVEASKKLAAQRYAAHTFLVSAISVYLRRLYQTTMCPYSLFELLKHLRTLKFT EESCIDTLAIELIDLVKRYRVSMTPPFFKLLDASVISSIDYDIHIWNYHTLCAMSDTI INYKELWEVVTNYVSTARAVGTPVVVDDVWVSLRRILTNRLQRASALGDHGSAATIQS RSQFAAPDGSYHALNAFTIPAYAHHDCVKIPGKSCFYCGVANHTLPMCPILKSDYVRN TMRAGFDRTGFDKYGSAPAKKRKRDGLVARVVLVAVEVVKIVTVVVVITVVTVDMDVM TVAMGVALVIETAAVIVLAVTTAALVVMTATANYTIIPSPLNRVLEKPQPSSVLPKVF TTGSAPLFDVFASPPRPPLPLTLAPASALSLAPVTVDPASLPANYGSPVDAGDLDFAE VPSSEVLVTDRLASTHHALPSWLPTATLLPLHRKHPLRWFSNFHHAVSTVFVPIHTFK PSREMAFLPYTRIPATAVTYGPANFPALREHWIIDSGASTSCTPNRDYFSRYAPCVLS LIVGNGARFPVVGYGAISMLVDMSSHHQVDGIGPCTLRLNFGLHCPELQFKLFSVRQA SDDDITVRFPARDVCEITTSFGDVLKAPNNVMGLCSFPSQPKVVLTPNSQQLVLRAFG TTVSLMLFKAKHLRGHLCETCAYAKSKRSPFDSSAVFRATSYLALVHTDIWGTQPDSV GGWLCLLHFYETYELFRTDAMSILKHDVGALRHTHPTDIGTLQSDNAKEFEKLDRLIR PKYNTRVASTHLNKMRSLNAISKLDPRAVKTMFVGLPHNRKGYTLLNLHSHARIYSRD VTFWESEFPPSTLLKPPLRIANDLLQILLSPSAFIYDKHLTPSLPAPSVEPPSSQPIM ALTVSFINSSPHGHNSMESLVSYSNQPHYALSALASPSFQSTNSVPPSTPMGPLLVYA LLADRADNSDPKRLA H257_04967 MKRWPFLILFFVNAIAVGILVAYGQETVLAKIPTLQQCDHVQRP HCMGNQTIFRASMAIGVFFLFMMGWSASTESGHNRGCTILALELPLYAGLSIGAFFVP NNVFDGYAWVAAVASGVFIVMQIVILLDCVYDIRDYVLNKIQASPNSQVWPVVYLLLS FSSLVATIVGLVYLFLEYAGSPLAVVFMVITAVFAVLLPAIGVSDKIGSGLLPPAAMT MYLVFLCWQAVSKLPNITPSIAATSPILVPSAIIGAFTVSWTCWRTSEATKSLFRLEM HPGNAAATPPFNPPNDAAAVVTDHSSTCDNDVAVVIADAPPTAPSWQFFFIMFVSSFY MAMVMTNWGVNDTQGSDKSNVVSVWVQIVSQWTTSLLFLWSLVAPVVLPHRDFA H257_04968 MTTDEVDPPLDERTTQRIPVLNVRAGPRDGGEWIKRLKEEYTAL IHFVKMNKEADGDWFTIASDSTGTRWTGTCWTFHDGLRYEFGLTFDIPATYPVTHPEI CVPDLDGKTAKMYRGGKICLTGHFAPLWQRNVPRFGIAHAMALGLAPWLAAEVPDLIE RGMITPV H257_04968 MTTDEVDPPLDERTTQRIPVLNVRAGPRDGGEWIKRLKEEYTAL IHFVKMNKEADGDWFTIASDSTGTRYARARQSLCRTSMGSVTCCRWTGTCWTFHDGLR YEFGLTFDIPATYPVTHPEICVPDLDGKTAKMYRGGKICLTGHFAPLWQRNVPRFGIA HAMALGLAPWLAAEVPDLIERGMITPV H257_04968 MTTDEVDPPLDERTTQRIPVLNVRAGPRDGGEWIKRLKEEYTAL IHFVKMNKEADGDWFTIASDSTGTRWTGTCWTFHDGLRYEFGLTFDIPATYPVTHPEI WYSTLSCTYPGEKMHAVCPTWTARRPKCTEVVKSASQGTLPRCGNAMCLVLELPMQWH H257_04968 MTTDEVDPPLDERTTQRIPVLNVRAGPRDGGEWIKRLKEEYTAL IHFVKMNKEADGDWFTIASDSTGTRWTGTCWTFHDGLRYEFGLTFDIPATYPVTHPEI WYSTLSCTYPGEKMHAVCPTWTARRPKCTGIHTSPYHIAI H257_04968 MTTDEVDPPLDERTTQRIPVLNVRAGPRDGGEWIKRLKEEYTAL IHFVKMNKEADGDWFTIASDSTGTRWTGTCWTFHDGLRYEFGLTFDIPATYPVTHPEI CVPDLDGKTAKMYRYTY H257_04968 MTTDEVDPPLDERTTQRIPVLNVRAGPRDGGEWIKRLKEEYTAL IHFVKMNKEADGDWFTIASDSTGTRYARARQSLCRTSMGSVTCCRWTGTCWTFHDGLR YEFGLTFDIPATYPVTHPEICVPDLDGKTAKMYRYTY H257_04969 MSDDGNCGDGGVAFDHDDAWNVDDAERGDAITPHEIHVREVIAR ELEDDVFKAEEGDGTYDILDGSISNGASEEYTIVQGKCPICLKQLTDGVLIKGCLHEF CFECILIWSKHVARRGALPLKCPVCRTPYEAVLANLRSAYDYDTVNVLHAASSTSLPH GVNSARRKRCLVYRRRHTHESLPSLWSPIRKTNDQAKTWIDRELHVLMGDDADTSLLL HIVFTYVDLARQHQDNRPMPPLKRARTTSSETALHPFVTLRDALRDFLHDDADLFVHE VAKFMASRLNMTAYDKNDDYSNGCPAVSDGGRRQ H257_04970 MSTAAAVTRAGATIPDENATKKPKLDFESLYQNSLQLTRGMDQL PSLQRSLDQLHAVTSAKSLGSSAAPSTATNKAQFLLAGRGFDAEKLSRELRQHELKAS FEPKESLGACDFDGFLRHHHEMIVVTAIEEALAASTRTSQQSAINALTDEFQVSKAKL MQDLQGKSTPAPLASHRPLHLPSTSSISTSSPATVSSVNLPSAMTEPMQVYAAALRSS FQAKSLSVPDFNLIEASMTAAKSLVSPTLSTDSAEHETLLVWELLGHMTRHRPATASS RAAGARQFFEARYAVFIETKLAQLGVPRGGDFGRRATVLTYVRDVLQLRPPQQVWAVV YYLLRSGSLTDAAAVAEGHAASSGSTSLPLVTEALRLYASDTPTSPPPLEKTHPALYR SLTSLFDTLLATHKASPGTTNRFEVAVLNLLTGRDPLLRNAGVIDNIEDFLWQVQFCS QQHVDPVAVAKNVLALVQPSEFNHTTLGGVFEYVRLLVTCQQFEAAIESLASKGFVVE AVHVAIALLLADHHHHHREDKEEDDVPVKTTRDAVFTRLLRQYIHQFQTTNPSDAAMY IACHPNPDTVRALTSDLLLDTRAFQVLAGSIHPADGSRVPGALELYLTRAEVREIVLW TSQQAQSQGRHVDALQLLVLVGDVEGGMVLLNGQLVQTLASPDRMTWLNHARGFADTW LRTSWALDIALNHARSAALAFQTLMNIGLFLELLDAKKFTDGIVFIEALALLPAPGGE QAAVDKFMAMDGGVQQNVHLLLVGYMECLVWETERSKASISAVETQRHVCKQLRDKAR AVVSFSGMIKFRLPLGVNERLNQLEIRMM H257_04971 MSRMSSSEKAVTVCVLFIVKTLVVLGVSVAIAVAALDPLDSVAA DDGNGPEAQVEAAPSLPPKMSRAIRTQSRQKPKLRPLKHVQWLHSLQLWVQQVLHQLH PDVSIIPVTVMRSLAIPHPPLHRIIFQRSPLYGIHFDPRSLVRKVQSIPVPYARIHRW LALAVLPAVRGRRQRQPPLHVIAKQHVYNAVRLIQGFVRGRLAIMLLASKQKYFSSLV HSTHLIALGRGRRAAILLQACWRYYQSGRFWRVYSSVYRIRLLVLAHRLRRSRHALAM TPHVKEVYPILIAKWFNVRPANPTSRVWFCRRPSIIALIMWGYQCAAGFTFFNVPLAT ENVEFTTPDMVASE H257_04972 MQLLLRAAATAAATVAFLGCTDASTSLGSNYLPPQSLRRLGATD VNNATLDSAKKFSQDALKFLTNLRGGDEVFYQHLITTMQIIMCDQSVNSDGHAEAAEA NAVWTGTDDLILPYHRFVSKDSKLALKNKTGSCIHVARPAGVVATNYGRGLCEVKPNC YWAPIDETALTDRGPKYSTLQGPQPPDGAYRVTDAKAFLQTYAKGFGAVVVPSIILLV LSVLSILLFILCRCCCNKCGGRNGKPGGYNCMEKFLPVLFYLLFAIAILVLAALSYVY YGIVTTSVSKIFDIVLALIDTITLWMASLLAPLKDIGDNVISSSANISTQLDNSGFIE VGLDGITSQLNAFAAQTNGVTLPTACRVGVDLFCTACQACTDINTQITSVNSQINSAA GAGVASLKETRTSIKSLLIGASDTIQGVVKMAFDTQDTLNTNIKANTQPVVDLQTQWN SNTAVTKYGIIALFALAIVVIVLGLLGILFGLTPLRCLVVVMHLAYIVAFVAIIITFI LSAVFLAISVLLGDICQVQTVISANWTIALGDDAKIVDACFKNQSLVDVLHLSDQFAF ADKITFPKIDLSKMLDFSSFSSFSSTIGSTNTSTFSLDPTLIQTFVDALNTQTTVNAG GCVVTDGRYSAANLLTPWTANSDPAPAPSQPPDGYMQNRYNVKNAQCDSLPMRCMASA PTCHYSDFVTEIWRNASTLKTIERDAVVFVTGMTTSMNSLVGYIDTFKTNVTTLTTTL SGIGDDLGSSLIADVNLIKARMRCAFVADTYTQLSDEFCVNMVPSFLMISLFLFLMGI FLIPVIITLIIMVKRLRHKHTSGAAEADTKYK H257_04973 MWLLRCSPFMGLGLLALSVDATSYVRVDDEVRVERAAIASVLEA TTTTSSTAQPLPAFTAGQQSQAIALSRNVMTSLQKLRGGTPAFYQKLYAALQVYYCDH LNSQHPHMPSDELNAVWPVQAAHSAPVPPYIRLVHNGHPNALKDPATGACIPSPYPSP SVAAQYANGYCEVMANCYWNDIVRNATTDRSPTYSILQATAPTDTLPSLVLAKATLMD WAKSVSICVAPAIVLGVLCLLTTLLFLCCRCCCNKCGGRSASPRGYSCMQKTVPVVVF AVFGGVIIGLTLCALLYNNVIVDSIDRLFGDVLGLVQGIVAWIHSAETPLVHVRDSVE GSVSAISSQLVSSGFIENGLHGIVAQLQQFAHDTADVVLPHGCVEGVDVICIPCEVCS EVNVQASNAANQMDAAAADGISQLQSTRDTIVRTLVDTKDSIKSTVDAGVNAVNGFTP LFLDANTTIRNVQVTWQEQTVTRRGAILALFAVAIAILVLGAVGIVLGLTPLRCLAIG LHVAYILGFVALVFLFVVSALFLAFSVLLGDACQLSSIVASDWSPVLGASNGVALNAC FHDKSLLEALQLQDALSFADAIEIPTIDLSSMLTFDSLDQFAQAILSADPTTFDVGVD ASQLVQVLNLYTNGNSLAANFPTLSRDCNPHDGQYSIETMGVPWEANGEGATNHGMSP ASYIAARYAPYRRACAATVVTTCARNTPCSYDQFVTELFTNTSTVLRISSDAVAFVST MHGSMQQLQNYTRTFKNNVTGLVTSLDAVGHTLQTSLVADVTAFKASMNCSFVATTYG SLHQSLCSDLTPAMLMVALCLVLSGICMIPVNITLILLVKRLRAGARLSSDVSPSNKQ DCEVLKFPQGDRLS H257_04974 MIRSQQRHAVEDDEVDVPLHMLEDLGIEFEFEMDTESMSRAYFE CAAEGNVETLRFLLSSDVHGELLNSVDVDGFSALMIAAAEGNRDVVLELLRRNADANL RTFELKSAALHFAAKNGDPEIVEEMCKHTTQIDFWNINADTPLVWACIEGRDAAVAVL LRHGADPRVTNHYGATTLMCATMIGEDTDDATDMARKVIVTLLLTMCPDLVNVQDRDG STAMHLAASCGYLQCCRALLEGGADITIRNAVGQTPLEEAEQTGCDGSDACVAFLKVH WAKLEDEVNKRMRTMLELEDDSSVATPGKAAKKKKKHKKNNHAAKKKLPPPSTTKMST APSSPKADEDESSDDGASVPHVAPPPSTTTAGIIHHQPPSPTPTTTTTTGLSVAVEDD AWTTVCRKQPHDKKDTTKSLTPPVTSFDTESSTVHPTGSATKRVATPPPETIKPSPPQ PPEPASSSPPHDQPQQQQQPPAPHLQPTLAPSADVVPTKEPRATTSSPTTSDANSTLA HSPPPLARPFRTSNSPPVHHHREVLSTPRYTTASSIPFLHHRPTHSLSNMPSAPISSL TWQAHIQPVSSSATPSRNGWWSDRHYRKGAAVPLRPANLLGWLQHLDTNVRDALAMLA CGTCGDWVHDNLQCPHCQQLYCHRCVPLSCVRCGVACCDPSTLQHNVVAQQQAACVGL VQGDGSTATTTKSLAALEDDMHAAVPLARHVALSPVGLVPGQTEYLASCSMAQLDVLE EMHYTALRQLQEARVTSVRAHERMRYDQELKQQLYLHQSLVTPVSTVFASHDDDRLVG H257_04974 MIRSQQRHAVEDDEVDVPLHMLEDLGIEFEFEMDTESMSRAYFE CAAEGNVETLRFLLSSDVHGELLNSVDVDGFSALMIAAAEGNRDVVLELLRRNADANL RTFELKSAALHFAAKNGDPEIVEEMCKHTTQIDFWNINADTPLVWACIEGRDAAVAVL LRHGADPRVTNHYGATTLMCATMIGEDTDDATDMARKVIVTLLLTMCPDLVNVQDRDG STAMHLAASCGYLQCCRALLEGGADITIRNAVGQTPLEEAEQTGCDGSDACVAFLKVH WAKLEDEVNKRMRTMLELEDDSSVATPGKAAKKKKKHKKNNHAAKKKLPPPSTTKMST APSSPKADEDESSDDGASVPHVAPPPSTTTAGIIHHQPPSPTPTTTTTTGLSVAVEDD AWTTVCRKQPHDKKDTTKSLTPPVTSFDTESSTVHPTGSATKRVATPPPETIKPSPPQ PPEPASSSPPHDQPQQQQQPPAPHLQPTLAPSADVVPTKEPRATTSSPTTSDANSTLA HSPPPLARPFRTSNSPPVHHHREVLSTPRYTTASSIPFLHHRPTHSLSNMPSAPISSL TWQAHIQPVSSSATPSRNGWWSDRHYRKGAAVPLRPANLLGWLQHLDTNVRDALAMLA CGTCGDWVHDNLQCPHCQQLYCHRCVPLSSVVFWLYVFFSLVLVDVYDVEWPAATRRR SNTTWWRNSKRRAWVSSKAMAPPPPRPSPWRRWRTTCMPRCRSPDTWR H257_04974 MGRCPDLVNVQDRDGSTAMHLAASCGYLQCCRALLEGGADITIR NAVGQTPLEEAEQTGCDGSDACVAFLKVHWAKLEDEVNKRMRTMLELEDDSSVATPGK AAKKKKKHKKNNHAAKKKLPPPSTTKMSTAPSSPKADEDESSDDGASVPHVAPPPSTT TAGIIHHQPPSPTPTTTTTTGLSVAVEDDAWTTVCRKQPHDKKDTTKSLTPPVTSFDT ESSTVHPTGSATKRVATPPPETIKPSPPQPPEPASSSPPHDQPQQQQQPPAPHLQPTL APSADVVPTKEPRATTSSPTTSDANSTLAHSPPPLARPFRTSNSPPVHHHREVLSTPR YTTASSIPFLHHRPTHSLSNMPSAPISSLTWQAHIQPVSSSATPSRNGWWSDRHYRKG AAVPLRPANLLGWLQHLDTNVRDALAMLACGTCGDWVHDNLQCPHCQQLYCHRCVPLS CVRCGVACCDPSTLQHNVVAQQQAACVGLVQGDGSTATTTKSLAALEDDMHAAVPLAR HVALSPVGLVPGQTEYLASCSMAQLDVLEEMHYTALRQLQEARVTSVRAHERMRYDQE LKQQLYLHQSLVTPVSTVFASHDDDRLVG H257_04975 MKSAPPPSPQCALGEHERTPATRHHSSITVSQRHQHTHALNSSN YFEKAAKKENRTISQIKNGLRSITHKASSLFDDSTSSSSTSSRRPYPPSHSSSSSEHS RTSSQVTLCQSPSTTPIDGLHIHDAASPRLTAPDDSDDEHAITTYIRDLTPDPRKILR GRGSSALVRAVIRANMIDCMSCKWASGYFSYVSYGVRQKQLSTLEANSLRWLICQYII LEATRRNAVQQVLRDQLTRKLTDFRIAVQCVSGMTVVKYGRKGKPHATQLLVENADVI RWTPKLGHHLTSMLQQHKHKSISLSTVISVQSGIKSDVFRKAYKDAKGMLDPACCLSL VTPTRSLDVVTTSRQQCDWLQRSVQLMVAQAHENEKKASMHVETTIMKKLGSMTVHKH GRKGRPHKTNLNVDKYGEITWKGKSGGAILLQEVLELRLGHATAVFHRVSTQKANNPN HCLSLVTASRTLDLELHSESERDYMVIAFRYLLNKMRDRAREAKRMKAERGVRMLQEA YQYHASRMQVQAQPVGYDLERYPGSSLPPSPTASSSYLPSPFASSSSVERYPPSPSAQ PLPYPQAPHALSQFRVESKQGLTTPQAVASPKPSKALLLPPHQFPSSNSSMRVMKQSL VPSATS H257_04976 MDRLQRLFGNLPGMQGHGMGGANDGPAVDTAEKVHISSLALLKM LKHGRAGVPMEVMGLMLGEFVDDYTVVCLDVFAMPQSGTGVSVEAVDPVFQTKMLDML KQTGRAEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNARAVSIVVDPIQSVKGKVVI DAFRLINPQLMMLGQEPRQTTSNIGHLNKPSIQALIHGLNRHYYSIAIDYRKNELEEQ MLMNLHKNTWSDGLVLTEFEAHSAKNEEMVTSMLKLTKQYNERVQEEDEKTADELVVS NVGKIDPKKHLESDVYDLMALNTVQCLGAMLDTIVF H257_04977 MQREECIYNLIPKVVVVPDKPTRYTSTHDPNSQPTASTFGLQGK TKLLGSNLGQEKPRTPPTAAKTFGKLPQKPDPKDFTKKHSKCNMPPSKPTKFTYDGVK KPPLVKANEKPVMGLKTSKNFIAANAVEAILDVPGNRARVKAAAPVYRDKPDYGQVPE YLREVKQEIEQENEMIEEFVRQNKNILADQEPKVEAMDDDERLQLIDALKAKWDHVNA KYQKLCHNVSFDTQGKVRRKETFEKELTQIEKDIQVLSRGPVAVNRD H257_04978 MVQHMTTCDPPAPPSTPADDGADVGFQRVSDTAPLKGPLLMGTY ALKFRGVESMPANPEVNAAHRRLAIDPTHRNATWDSHRRRHRMIILFWSFVSSFCGIG VLAAIQYSVQATYGSGVKVTSIIGSFGATAILTFGAIQSPLAQPRNVVLGNTLSAIVG VSISQLFLHVAPGDGWKWLSCALAVSLSLVVMQVTDTVHPPGGATALIAVISGPDIQE LGYLYVVMPVFTGSCILVLVSVVLNNIQRQYPRYWLYKT H257_04979 MNYTAARDDTSHNLHEEDEAGLFRGYVQRIQGNETTPEICPALP MLAILPANRTVSNYTREAYAAKAKVILWSFIASFSGIAILAAIQFTLHAEYSSGVQVQ AITGSFGAMAILVFGVVQAPLSQPRNCIVGNTLSAFVGVLVADVFQHVAPGDEWKWLS CALAISISLVAMQLTDTVNPPGGASALLAVTSGREIQDLGYLYVVIPVFTGSCVLVLV SVLLNNIQRQYPRYWFSK H257_04980 MAQKKALWSSLATLNKLTSSAKSTLYTLETSKGPLEGFAVHGET PRAPFPPFTAETALQKVKAAEDAWNSRDAERVSLAYTPDTVWRNRDQIFVGRAAVVDF LRSKWALETNYTLVKNLWCHDHNRIAVRFTYEYQRVSDGQWFRCHGNELWQFDDQGFM QHRDMSGNDVPIAASDRIYVN H257_04981 MLEYLKVDLPAIRWDPETHGPDIALSKDLRTIQWCRKGRKWQGA VAEEPLVATFTIRVDSALGSYAIGLGSFGMIPFSSESMSTCYLYVSHGAMWLKEIQIH RLPPIEEGDVVTIRRTTLHVMFAVNDGEPFKMNLVGPSEELYPVVFMVTQAQFTILD H257_04982 MEPGDVGDVGTAGASVDNAEDDAVDTKVANWAELEARIDQCVKL HPNIVTLNVGGTTFQTSNATLLRWEGTYFHALLRSGRWKPDGDAYFLDLDPTLFRRVL FFLRTERIMSMVGLTAVE H257_04983 MVQKSGHRHFENKASIPTMSSPAPEVAAADEEKPAVAPPAAPVD VFATEEISHSLDYIMAMGQGLDADKEYTATLDIVRSNPNSHLFSAVSFEELNLPENLL KGVYAMKFSKPSKIQTVALPMILTEPPENLIGQAQSGSGKTATFVLGMLYRATKDTTK RVQSLCLAPTRELARQIMAVVTVMGSFCGIETFLAIPGNEVEKGQHITAQIVVGTPGR VESLVKKRTLDLTHLKIFVLDEADVMIDESGMRDRSLAIKKMIKNPACQILLFSATYA DDVREFAEKMVPNHNTIMVKKERLSLDEIKQFWIDCKSQNQKAEVLSDLFGLLNVGKS VIFVHTRETAKKVTANMREKGHKVGILHGADMAKEVRDQVLDDFRLGTTKVLITTNVL ARGIDVMGVSLVINYDIPVNREGHPDPETYLHRIGRTGRFGRKGAAINFVYDTKSKMD LAFIESYYEKKIEEAPVDDLEALEKLLAWT H257_04984 MSLDEGAQIRVKFVTKNAAIRVTETPFAVPIKLARAGLSQVVNH LLNTATPKPFDFLVENTFLRTTLEKYVVTNHLTDEAVLTLEYVEAHLEPEESQSQNHP DWISAVSSYNGVIVTGCYDGILRVFAQSGELLGSVKAHDTVIKSISIYEDVIVTGSKD LTAKVWSWDATTKSLALLGVNGGHGNSVDAVAVHDQQVVTGSWDNTVRVWSVPTAAAV HDNNEDQDRGGASVTKKQKTSANGASKAKVTQQEASTILPGHTAAVLAVAVDAHQAHV LYSGSMDRSIKLWDLTTERCTQTMTGSSTLSDMSVSSTGTILSAHPDNHIRLWDPRAG KAGTTLVQATFTSHKQWVSAVEWSPLNAHQFVSSGYDGAVKLWDSRSSIPLFTLAAHT GKALDVAWLPNTKPAFVSGMCLIVCSVLPEANYSWDVHCRRGGLPAQVLRHRLMQRKK QMNF H257_04984 MSLDEGAQIRVKFVTKNAAIRVTETPFAVPIKLARAGLSQVVNH LLNTATPKPFDFLVENTFLRTTLEKYVVTNHLTDEAVLTLEYVEAHLEPEESQSQNHP DWISAVSSYNGVIVTGCYDGILRVFAQSGELLGSVKAHDTVIKSISIYEDVIVTGSKD LTAKVWSWDATTKSLALLGVNGGHGNSVDAVAVHDQQVVTGSWDNTVRVWSVPTAAAV HDNNEDQDRGGASVTKKQKTSANGASKAKVTQQEASTILPGHTAAVLAVAVDAHQAHV LYSGSMDRSIKLWDLTTERCTQTMTGSSTLSDMSVSSTGTILSAHPDNHIRLWDPRAG KAGTTLVQATFTSHKQWVSAVEWSPLNAHQFVSSGYDGAVKLWDSRSSIPLFTLAAHT GKALDVAWLPNTKPAFVSGGEDCQLKFYATA H257_04984 MSLDEGAQIRVKFVTKNAAIRVTETPFAVPIKLARAGLSQVVNH LLNTATPKPFDFLVENTFLRTTLEKYVVTNHLTDEAVLTLEYVEAHLEPEESQSQNHP DWISAVSSYNGVIVTGCYDGILRVFAQSGELLGSVKAHDTVIKSISIYEDVIVTGSKD LTAKVWSWDATTKSLALLGVNGGHGNSVDAVAVHDQQVVTGSWDNTVRVWSVPTAAAV HDNNEDQDRGGASVTKKQKTSANGASKAKVTQQEASTILPGHTAAVLAVAVDAHQAHV LYSGSMDRSIKLWDLTTERCTQTMVGSSQDWKRFEPMKEGGSHV H257_04984 MSLDEGAQIRVKFVTKNAAIRVTETPFAVPIKLARAGLSQVVNH LLNTATPKPFDFLVENTFLRTTLEKYVVTNHLTDEAVLTLEYVEAHLEPEESQSQNHP DWISAVSSYNGVIVTGCYDGILRVFAQSGELLGSVKAHDTVIKSISIYEDVIVTGSKD LTAKVWSWDATTKSLALLGVNGGHGNSVDAVAVHDQQVVTGSWDNTVRVWSVPTAAAV HDNNEDQDRGGASVTKKQKTSANGASKAKVTQQEASTILPGHTAAVLAVAVDAHQAHV LYSGSMDRSIKLWDLTTERCTQTMVGSSQDWKRFEPMKEGGSHV H257_04985 MMQLHLKEMVHQDNLVLAGGIVGNHSTSSSTIRVKAPPNGGRWS DHEHKLFLQGIELYGKDWRRIARLVQTRTTVQTRSHAQKHFDRMEKERREDRFLLAER AAKADFIARKASSPSVDTSSVMTKKRTQSAPPKKTTSNTKPQSSELLSNVTFPSAPHP PLAMMKTNFGQTAPRFLDDHMYRYLHGITTKPVLVHELPPAPTLEEFGLPPPNPMECS VLDYISFDDEDESTRPDVEYLFEAMPASDFYSILDEAKGGPSSSTTNHLHQSANDESV NFTSEGTPLTRSNTPHDHPVITYEPSISPVRQQRGLSFPRPIRIDATHNSSVMNSIYV E H257_04986 MPPPLPSLGKKPPLTLHPVAPLVGKLPPSRSRLHMFKAKPQRSK FDHSHDFDMKPDTPEPQLMVSHRYLSSRSIVDFLSDDGRGDVENDSSGRVLEGTTTTT TTTTSNEKAVVLSKELVALKSIQGRVDHVMLAFDESMAKASVASFDSGDPMAPLTWKV GEILMATHESLTMASGFNERLFTKDMDEVKVLMSRDSQSVVDDWAMESTPAMRKLTNL FHQTVKTLQDMQSADRHTSPSSTLLAPSSKAAVHGAILVPTTGLSDDAAKLVQRLQNE KAILMGQLSETSNMYTSIAEVVKEREDDAKRLKTLLHGKVDEITRLKQQLFVLNNEEA KRDEQERSVAHWKEKYMTLLNVHHVLEGTMRDAAKRASDLAAKVQELTLRDVHTHVQL TETQALLSAAHDEMAKKAEESARRQSVQLHGVAHEYEHEINDLKGKVKELKDQLGESQ KLHKLALERQRTDMKKHFDSVLAAGHHGFTEHGEDRDVLTRGRNDSASLAAAQSATAL VPQNTAPQLNSCTSDVELDTFDDDHCDDDSGENDGDDGDDIATSGPNISDDDDEEEKD DKVETTNDGDDGDRNSPNEAKHPQPTQQVEVWHGAPRTRRQSIQEQHIHNQLSGMINK AFTEGSVAHAMASVVPPSEMLSSTVPRRKSITMTGPNGLPVQVEVPDGVVYDDAVVRL TQHASTGSRRTSMKGSVSSHDLSSTRPFEGRMSPQLGGAEAALDATMRAMDSTPANVD PELTQGDRIVQLQQRFDAEKAILKRKFIDAMCLFRQQIIDQYDKRAHELVKKHRAEVI RIATVAESKFGKLLDEKDDLLHEAKRTIKSLYKSLAVSSDAKTTNQNKNHHDVSAVKQ ILKSTWSLVSAKRMSKRLVSIHQSELAELCKNLQHTTDTPDERPTSPTHATPLRSSSP QLPPEESSAPLQSKSPTPVSSTPVTSRTPTPLPLAPALNIPEITLSMPDVDILLPDPQ PPPPQLRVFEARQLLPRRSVTYTTAEVPTVVVASGVVASGVVARPSMRNNSTQVGDAE WNPEHMGMDNSDDASSGQTTFSNYVLEGTGMYNPDPSRHHHHQGHHPNRLSPSFHHLP NNQAVQPLNDGGSSIVDSMLMLPRSLLSHQYNASNGMYTPPSVTKVPSRHAVHQLIEN YANTLTSLRENINWNKWQCVLKCLGLKRMEDVLKVDMDTSATSDHALIRLRRRFATKR AAFSRTHETLQAQQQQAWTHCMDAFVCYNTMGDPCSSVNHDSSVPPMDIRGTSMVKTN DQFDSPNTSNATPLAMSPRNHLRGEFSPQTAPHLGAIASPTDLLQRCKTSSAVQTKGR QDPAAMAKRALTLASLVPTDISALSMDERQRYLLELNAYMQTTPPLPREPTPPPPTTE GRTASTTKEPTAALPSSRRGKLQMQFDQPTQATTTIKGIPSQSPFMRRKALESLQKRM RHTKQQYADSTSGCGGGTALDQSVRRDQFLHSAPQPTNHHNVHLATFPRGEPTT H257_04987 MSALFRASPDASPTARGAAPVLVEFVANKLFYTSVSAAPKSTED MLYFSIDNQLIYWNYCLDFGPLNIGHVVRFHDIVQDAFAQAGRLGANTRVCLFSSTNG QRRANAVCLLGCWGVLFKDMTAAAAWAPFQDLRFPPFHDATDFECSYDLNIKNCLDGL EKAKANRYINTSTFNVDEYQFYEKVENGDLSWVSPKFIAFAGPHNKFSNKNGQITLTP EHYVPYFKKMNVTLVVRLNDKCYDRNRFVTAGIDHLDLYYPDGTNAPMNILKQFIEAS ERTPGAVAVHCKAGLGRTGTCIGCYMMKHEQFTAREVIGWLRLCRPGSVIGPQQDFME EVQDFMRSAADLPSDETKHDVAQNSPLKHKSEGSIMTNMKKGALTLLMPGKAVGRPAP NKKMTINPQGDYLVAQKLSNSPPQSPVRSPPKSPLRIR H257_04988 MGPAAHPWRERASQRVDHRQGNAATTTELDWPFDNLSALHHPIT MTSMQVESCISCIPLPVVCDACTASPSETELEFLVHQVNQLPTDALEEMIYIVSAYEP QWLDVLERSVDSNFDVTTLSPLAIEVMQHYVYTNLACCFVANMDNNHTSNDDDMDVGA H257_04989 MIRSLIRRHGVRHATSSSRLETLRANLADEGNDLHSHRFEQESY YSSLRKPVLEATTINPEAYTSIAFYEREQELLFAKSWQVVGYTEKLRQPGDTIVSTAA GQPILVTRAKDNVIRGFYNVCRHRGAQLVSKNGRFSVISCPYHRWGYALDGRLIATPM FKGDGVSEGALSTDFVKNFNTKDYGLLPVRVDTFGPFIYANVSGDAPPLATYLGDVTH SLHDYPFDELVSFKSTTVSVKCNWKLLAENFMEYYHLPAVHPQLCNVSGVDDHHRAQG TGSYIGFATSPLTNGGTPLDINLLPPMPGLTGANTVTAWFHHYFPNAFYFLLPHGLFT VILETTGPTTTVEHAELFVHPSVYDVPDHKDKLDKMWAFYDQTNNEDFAVCERVQVGV TVRAYQGGRMTFRFEETIHRFQNMVADYMTSHPTIPKSDTSPPYTFEQKSQQ H257_04989 MIRSLIRRHGVRHATSSSRLETLRANLADEGNDLHSHRFEQESY YSSLRKPVLEATTINPEAYTSIAFYEREQELLFAKSWQVVGYTEKLRQPGDTIVSTAA GQPILVTRAKDNVIRGFYNVCRHRGAQLVSKNGRFSVISCPYHRWGYALDGRLIATPM FKGDGVSEGALSTDFVKNFNTKDYGLLPVRVDTFGPFIYANVSGDAPPLATYLGDVTH SLHDYPFDELVSFKSTTVSVKCNWKLLAENFMEYYHLPAVHPQLCNVSGVDDHHRAQG TGSYIGFATSPLTNGGTPLDINLLPPMPGLTGANTVTAWFHHYFPNAFYFLLPHGLFT VILETTGNTLCIYISKKVASFDGGCTSILYRTDHDGGARRVVCAPKCVRRARSQGQTR QDVGILRPNQQRRLCRV H257_04990 MSRSTRTVQTEEDASLRTRINMDEDVSTRKTKTPRVATASRPAR RTFIAVVAEGKCREVGITGIDISAPHELLISNMVDTHTFMETISLLDAYQPDEILLVE TNKARSLHQEIRKHFKNSMCRIVPIARKYFDQAKGADDLKRIAMNCLDMSLLKNYIVM GSVACMVKYVEFIQGVYIAQKTIKAVVNTASPVLLMDYNTVSSLELMRGAKSGSTQQS LVSRIDYTQTSVGKRLLRTTILRPNSDLETINGRQQVVGTLLDHPSTFFDAREILPEL PDLEILMAQLVIVPKLATTRVFEQGVASIVALKSTLDALPRLKTCLQSIDSNSTLLKA IVSSLQHEEFRQIQDSIRKVIDPNSHWKKSARHMKIQGSFAVKSGLDGKLDLTRSTFV DIMDAIHQQVVEYQEKYAFNVKLNHSASRGYHLSIANLRQDIPPMFEECVKFNKSIAC TTKQFSSLNSRATECIQEVYALSYGMIQKLLDEIRPHAASLYSMVENIATLDMLLSFA NLVALSPADQPCLLWTLVKNLKFDVDTCPEVTEHGCLSINQGRHSLIETMMQDQPFVP NDTHLDVVVTFNVVTGPNCSGKSTHLKTVAVITVLAHIGCYVPAVEASIALRDRLFTR FGTSDDMQENASTFTVEMQETAFILDNCTCRSLVLMDELGRGTSNEEGFAIAWSVSES LMKKGAFCLFATHFHGLRELSELYPSCSNYHLQATTTDKNILRFQYKLKDGPTELRHG YGLMMAKVCGLPKSVCELASSLHPTVALREENLVVKTVDDTSDLQNMLLKRLMALRYS NLDDNELRMQLQHLRDQFILE H257_04990 MSRSTRTVQTEEDASLRTRINMDEDVSTRKTKTPRVATASRPAR RTFIAVVAEGKCREVGITGIDISAPHELLISNMVDTHTFMETISLLDAYQPDEILLVE TNKARSLHQEIRKHFKNSMCRIVPIARKYFDQAKGADDLKRIAMNCLDMSLLKNYIVM GSVACMVKYVEFIQGVYIAQKTIKAVVNTASPVLLMDYNTVSSLELMRGAKSGSTQQS LVSRIDYTQTSVGKRLLRTTILRPNSDLETINGRQQVVGTLLDHPSTFFDAREILPEL PDLEILMAQLVIVPKLATTRVFEQGVASIVALKSTLDALPRLKTCLQSIDSNSTLLKA IVSSLQHEEFRQIQDSIRKVIDPNSHWKKSARHMKIQGSFAVKSGLDGKLDLTRSTFV DIMDAIHQQVVEYQEKYAFNVKLNHSASRGYHLSIANLRQDIPPMFEECVKFNKSIAC TTKQFSSLNSRATECIQEVYALSYGMIQKLLDEIRPHAASLYSMVENIATLDMLLSFA NLVALSPADQPYTCPEVTEHGCLSINQGRHSLIETMMQDQPFVPNDTHLDVVVTFNVV TGPNCSGKSTHLKTVAVITVLAHIGCYVPAVEASIALRDRLFTRFGTSDDMQENASTF TVEMQETAFILDNCTCRSLVLMDELGRGTSNEEGFAIAWSVSESLMKKGAFCLFATHF HGLRELSELYPSCSNYHLQATTTDKNILRFQYKLKDGPTELRHGYGLMMAKVCGLPKS VCELASSLHPTVALREENLVVKTVDDTSDLQNMLLKRLMALRYSNLDDNELRMQLQHL RDQFILE H257_04990 MSRSTRTVQTEEDASLRTRINMDEDVSTRKTKTPRVATASRPAR RTFIAVVAEGKCREVGITGIDISAPHELLISNMVDTHTFMETISLLDAYQPDEILLVE TNKARSLHQEIRKHFKNSMCRIVPIARKYFDQAKGADDLKRIAMNCLDMSLLKNYIVM GSVACMVKYVEFIQGVYIAQKTIKAVVNTASPVLLMDYNTVSSLELMRGAKSGSTQQS LVSRIDYTQTSVGKRLLRTTILRPNSDLETINGRQQVVGTLLDHPSTFFDAREILPEL PDLEILMAQLVIVPKLATTRVFEQGVASIVALKSTLDALPRLKTCLQSIDSNSTLLKA IVSSLQHEEFRQIQDSIRKVIDPNSHWKKSARHMKIQGSFAVKSGLDGKLDLTRSTFV DIMDAIHQQVVEYQEKYAFNVKLNHSASRGYHLSIANLRQDIPPMFEECVKFNKSIAC TTKQFSSLNSRATECIQEVYALSYGMIQKLLDEIRPHAASLYSMVENIATLDMLLSFA NLVALSPADQPCLLWTLVKNLKFDVDTCPEVTEHGCLSINQGRHSLIETMMQDQPFVP NDTHLDVVVTFNVVTGPNCSGKSTHLKTVAVITVLAHIGCYVPAVEASIALRDRLFTR FGTSDDMQENASTFTVEMQETAFILDNCTCRSLVLMDELGRGTSNEEGFAIAWSVSES LMKKGAFCLFATHFHGLRELSELYPSCSNYHLQATTTDKNILRFQYKLKDGPTELRHG YGLMMAKVCGLPKSVCELASSLHPTVALREENLVVKTVDDTSDLQNMLLKRLMALRYS NLDDNGESYLCLA H257_04990 MSRSTRTVQTEEDASLRTRINMDEDVSTRKTKTPRVATASRPAR RTFIAVVAEGKCREVGITGIDISAPHELLISNMVDTHTFMETISLLDAYQPDEILLVE TNKARSLHQEIRKHFKNSMCRIVPIARKYFDQAKGADDLKRIAMNCLDMSLLKNYIVM GSVACMVKYVEFIQGVYIAQKTIKAVVNTASPVLLMDYNTVSSLELMRGAKSGSTQQS LVSRIDYTQTSVGKRLLRTTILRPNSDLETINGRQQVVGTLLDHPSTFFDAREILPEL PDLEILMAQLVIVPKLATTRVFEQGVASIVALKSTLDALPRLKTCLQSIDSNSTLLKA IVSSLQHEEFRQIQDSIRKVIDPNSHWKKSARHMKIQGSFAVKSGLDGKLDLTRSTFV DIMDAIHQQVVEYQEKYAFNVKLNHSASRGYHLSIANLRQDIPPMFEECVKFNKSIAC TTKQFSSLNSRATECIQEVYALSYGMIQKLLDEIRPHAASLYSMVENIATLDMLLSFA NLVALSPADQPYTCPEVTEHGCLSINQGRHSLIETMMQDQPFVPNDTHLDVVVTFNVV TGPNCSGKSTHLKTVAVITVLAHIGCYVPAVEASIALRDRLFTRFGTSDDMQENASTF TVEMQETAFILDNCTCRSLVLMDELGRGTSNEEGFAIAWSVSESLMKKGAFCLFATHF HGLRELSELYPSCSNYHLQATTTDKNILRFQYKLKDGPTELRHGYGLMMAKVCGLPKS VCELASSLHPTVALREENLVVKTVDDTSDLQNMLLKRLMALRYSNLDDNGESYLCLA H257_04990 MSRSTRTVQTEEDASLRTRINMDEDVSTRKTKTPRVATASRPAR RTFIAVVAEGKCREVGITGIDISAPHELLISNMVDTHTFMETISLLDAYQPDEILLVE TNKARSLHQEIRKHFKNSMCRIVPIARKYFDQAKGADDLKRIAMNCLDMSLLKNYIVM GSVACMVKYVEFIQGVYIAQKTIKAVVNTASPVLLMDYNTVSSLELMRGAKSGSTQQS LVSRIDYTQTSVGKRLLRTTILRPNSDLETINGRQQVVGTLLDHPSTFFDAREILPEL PDLEILMAQLVIVPKLATTRVFEQGVASIVALKSTLDALPRLKTCLQSIDSNSTLLKA IVSSLQHEEFRQIQDSIRKVIDPNSHWKKSARHMKIQGSFAVKSGLDGKLDLTRSTFV DIMDAIHQQVVEYQEKYAFNVKLNHSASRGYHLSIANLRQDIPPMFEECVKFNKSIAC TTKQFSSLNSRATECIQEVYALSYGMIQKLLDEIRPHAASLYSMVENIATLDMLLSFA NLVALSPADQPCLLWTLVKNLKFDVDTCPEVTEHGCLSINQGRHSLIETMMQDQPFVP NDTVRMLGVIP H257_04990 MCRIVPIARKYFDQAKGADDLKRIAMNCLDMSLLKNYIVMGSVA CMVKYVEFIQGVYIAQKTIKAVVNTASPVLLMDYNTVSSLELMRGAKSGSTQQSLVSR IDYTQTSVGKRLLRTTILRPNSDLETINGRQQVVGTLLDHPSTFFDAREILPELPDLE ILMAQLVIVPKLATTRVFEQGVASIVALKSTLDALPRLKTCLQSIDSNSTLLKAIVSS LQHEEFRQIQDSIRKVIDPNSHWKKSARHMKIQGSFAVKSGLDGKLDLTRSTFVDIMD AIHQQVVEYQEKYAFNVKLNHSASRGYHLSIANLRQDIPPMFEECVKFNKSIACTTKQ FSSLNSRATECIQEVYALSYGMIQKLLDEIRPHAASLYSMVENIATLDMLLSFANLVA LSPADQPCLLWTLVKNLKFDVDTCPEVTEHGCLSINQGRHSLIETMMQDQPFVPNDTH LDVVVTFNVVTGPNCSGKSTHLKTVAVITVLAHIGCYVPAVEASIALRDRLFTRFGTS DDMQENASTFTVEMQETAFILDNCTCRSLVLMDELGRGTSNEEGFAIAWSVSESLMKK GAFCLFATHFHGLRELSELYPSCSNYHLQATTTDKNILRFQYKLKDGPTELRHGYGLM MAKVCGLPKSVCELASSLHPTVALREENLVVKTVDDTSDLQNMLLKRLMALRYSNLDD NELRMQLQHLRDQFILE H257_04990 MCRIVPIARKYFDQAKGADDLKRIAMNCLDMSLLKNYIVMGSVA CMVKYVEFIQGVYIAQKTIKAVVNTASPVLLMDYNTVSSLELMRGAKSGSTQQSLVSR IDYTQTSVGKRLLRTTILRPNSDLETINGRQQVVGTLLDHPSTFFDAREILPELPDLE ILMAQLVIVPKLATTRVFEQGVASIVALKSTLDALPRLKTCLQSIDSNSTLLKAIVSS LQHEEFRQIQDSIRKVIDPNSHWKKSARHMKIQGSFAVKSGLDGKLDLTRSTFVDIMD AIHQQVVEYQEKYAFNVKLNHSASRGYHLSIANLRQDIPPMFEECVKFNKSIACTTKQ FSSLNSRATECIQEVYALSYGMIQKLLDEIRPHAASLYSMVENIATLDMLLSFANLVA LSPADQPYTCPEVTEHGCLSINQGRHSLIETMMQDQPFVPNDTHLDVVVTFNVVTGPN CSGKSTHLKTVAVITVLAHIGCYVPAVEASIALRDRLFTRFGTSDDMQENASTFTVEM QETAFILDNCTCRSLVLMDELGRGTSNEEGFAIAWSVSESLMKKGAFCLFATHFHGLR ELSELYPSCSNYHLQATTTDKNILRFQYKLKDGPTELRHGYGLMMAKVCGLPKSVCEL ASSLHPTVALREENLVVKTVDDTSDLQNMLLKRLMALRYSNLDDNELRMQLQHLRDQF ILE H257_04990 MCRIVPIARKYFDQAKGADDLKRIAMNCLDMSLLKNYIVMGSVA CMVKYVEFIQGVYIAQKTIKAVVNTASPVLLMDYNTVSSLELMRGAKSGSTQQSLVSR IDYTQTSVGKRLLRTTILRPNSDLETINGRQQVVGTLLDHPSTFFDAREILPELPDLE ILMAQLVIVPKLATTRVFEQGVASIVALKSTLDALPRLKTCLQSIDSNSTLLKAIVSS LQHEEFRQIQDSIRKVIDPNSHWKKSARHMKIQGSFAVKSGLDGKLDLTRSTFVDIMD AIHQQVVEYQEKYAFNVKLNHSASRGYHLSIANLRQDIPPMFEECVKFNKSIACTTKQ FSSLNSRATECIQEVYALSYGMIQKLLDEIRPHAASLYSMVENIATLDMLLSFANLVA LSPADQPYTCPEVTEHGCLSINQGRHSLIETMMQDQPFVPNDTHLDVVVTFNVVTGPN CSGKSTHLKTVAVITVLAHIGCYVPAVEASIALRDRLFTRFGTSDDMQENASTFTVEM QETAFILDNCTCRSLVLMDELGRGTSNEEGFAIAWSVSESLMKKGAFCLFATHFHGLR ELSELYPSCSNYHLQATTTDKNILRFQYKLKDGPTELRHGYGLMMAKVCGLPKSVCEL ASSLHPTVALREENLVVKTVDDTSDLQNMLLKRLMALRYSNLDDNGESYLCLA H257_04990 MDYNTVSSLELMRGAKSGSTQQSLVSRIDYTQTSVGKRLLRTTI LRPNSDLETINGRQQVVGTLLDHPSTFFDAREILPELPDLEILMAQLVIVPKLATTRV FEQGVASIVALKSTLDALPRLKTCLQSIDSNSTLLKAIVSSLQHEEFRQIQDSIRKVI DPNSHWKKSARHMKIQGSFAVKSGLDGKLDLTRSTFVDIMDAIHQQVVEYQEKYAFNV KLNHSASRGYHLSIANLRQDIPPMFEECVKFNKSIACTTKQFSSLNSRATECIQEVYA LSYGMIQKLLDEIRPHAASLYSMVENIATLDMLLSFANLVALSPADQPCLLWTLVKNL KFDVDTCPEVTEHGCLSINQGRHSLIETMMQDQPFVPNDTHLDVVVTFNVVTGPNCSG KSTHLKTVAVITVLAHIGCYVPAVEASIALRDRLFTRFGTSDDMQENASTFTVEMQET AFILDNCTCRSLVLMDELGRGTSNEEGFAIAWSVSESLMKKGAFCLFATHFHGLRELS ELYPSCSNYHLQATTTDKNILRFQYKLKDGPTELRHGYGLMMAKVCGLPKSVCELASS LHPTVALREENLVVKTVDDTSDLQNMLLKRLMALRYSNLDDNELRMQLQHLRDQFILE H257_04990 MDYNTVSSLELMRGAKSGSTQQSLVSRIDYTQTSVGKRLLRTTI LRPNSDLETINGRQQVVGTLLDHPSTFFDAREILPELPDLEILMAQLVIVPKLATTRV FEQGVASIVALKSTLDALPRLKTCLQSIDSNSTLLKAIVSSLQHEEFRQIQDSIRKVI DPNSHWKKSARHMKIQGSFAVKSGLDGKLDLTRSTFVDIMDAIHQQVVEYQEKYAFNV KLNHSASRGYHLSIANLRQDIPPMFEECVKFNKSIACTTKQFSSLNSRATECIQEVYA LSYGMIQKLLDEIRPHAASLYSMVENIATLDMLLSFANLVALSPADQPYTCPEVTEHG CLSINQGRHSLIETMMQDQPFVPNDTHLDVVVTFNVVTGPNCSGKSTHLKTVAVITVL AHIGCYVPAVEASIALRDRLFTRFGTSDDMQENASTFTVEMQETAFILDNCTCRSLVL MDELGRGTSNEEGFAIAWSVSESLMKKGAFCLFATHFHGLRELSELYPSCSNYHLQAT TTDKNILRFQYKLKDGPTELRHGYGLMMAKVCGLPKSVCELASSLHPTVALREENLVV KTVDDTSDLQNMLLKRLMALRYSNLDDNELRMQLQHLRDQFILE H257_04990 MDYNTVSSLELMRGAKSGSTQQSLVSRIDYTQTSVGKRLLRTTI LRPNSDLETINGRQQVVGTLLDHPSTFFDAREILPELPDLEILMAQLVIVPKLATTRV FEQGVASIVALKSTLDALPRLKTCLQSIDSNSTLLKAIVSSLQHEEFRQIQDSIRKVI DPNSHWKKSARHMKIQGSFAVKSGLDGKLDLTRSTFVDIMDAIHQQVVEYQEKYAFNV KLNHSASRGYHLSIANLRQDIPPMFEECVKFNKSIACTTKQFSSLNSRATECIQEVYA LSYGMIQKLLDEIRPHAASLYSMVENIATLDMLLSFANLVALSPADQPCLLWTLVKNL KFDVDTCPEVTEHGCLSINQGRHSLIETMMQDQPFVPNDTHLDVVVTFNVVTGPNCSG KSTHLKTVAVITVLAHIGCYVPAVEASIALRDRLFTRFGTSDDMQENASTFTVEMQET AFILDNCTCRSLVLMDELGRGTSNEEGFAIAWSVSESLMKKGAFCLFATHFHGLRELS ELYPSCSNYHLQATTTDKNILRFQYKLKDGPTELRHGYGLMMAKVCGLPKSVCELASS LHPTVALREENLVVKTVDDTSDLQNMLLKRLMALRYSNLDDNGESYLCLA H257_04990 MDYNTVSSLELMRGAKSGSTQQSLVSRIDYTQTSVGKRLLRTTI LRPNSDLETINGRQQVVGTLLDHPSTFFDAREILPELPDLEILMAQLVIVPKLATTRV FEQGVASIVALKSTLDALPRLKTCLQSIDSNSTLLKAIVSSLQHEEFRQIQDSIRKVI DPNSHWKKSARHMKIQGSFAVKSGLDGKLDLTRSTFVDIMDAIHQQVVEYQEKYAFNV KLNHSASRGYHLSIANLRQDIPPMFEECVKFNKSIACTTKQFSSLNSRATECIQEVYA LSYGMIQKLLDEIRPHAASLYSMVENIATLDMLLSFANLVALSPADQPYTCPEVTEHG CLSINQGRHSLIETMMQDQPFVPNDTHLDVVVTFNVVTGPNCSGKSTHLKTVAVITVL AHIGCYVPAVEASIALRDRLFTRFGTSDDMQENASTFTVEMQETAFILDNCTCRSLVL MDELGRGTSNEEGFAIAWSVSESLMKKGAFCLFATHFHGLRELSELYPSCSNYHLQAT TTDKNILRFQYKLKDGPTELRHGYGLMMAKVCGLPKSVCELASSLHPTVALREENLVV KTVDDTSDLQNMLLKRLMALRYSNLDDNGESYLCLA H257_04991 MLLLHAVDAVDDIDVAESLMALTKGGMVRDYDLCDLVDVCVPSG PSGSTSSTLRHLTELAQQEFKFMNSPTGVDDAWSLVSVTKMEQGLKRMKVEDLSLDGH YLRPSTSCTEDDDDDDLHYHDTDRQRKLSVSSWSSSDSDSYHLHGDATKRRIGSYSPE DRAERIQRYLEKRKHRTWGRKINYGVRKNFADSRLRVKGRFVKKEDEELLCLYLGMT H257_04992 MTGATKGSRLTLPCAPCGMHFNATSLRSMSELALLVQLLLELSS LQASSNSGSRLWSSSSLPPRFLRHHSLKYTFLRSLFFSRHSFIFCSRWALFLAWFSRL YASRRSRFLMWYCLHCSLRLSRFASASSAVSNFLTMM H257_04993 MVFTHAVDYLEDFFLSIGPGDEPKFPHVPATLRSVWYLTPRQHA METVCYVMIFAPMCYVALKQALNHSKWKNQRPIRAPTALDGVLGAITMSSFLGVCYYK AHSVNGWRLLYMFQPCHVMTFTLAILCIARGRTANFIFQGDIYNFYIEHYLMLVIPVL LCVSGRYEYIGSPSWILFGFTVIALYHAIVLQLACLVTEVNIATLMSPPNVLRSLGEW YRPAQYGMCFVLHWVHNLSITGFVAIASSSKVLKPLLSPKQH H257_04993 MVFTHAVDYLEDFFLSIGPGDEPKFPHVPATLRSVWYLTPRQHA METVCYVMIFAPMCYVALKQALNHSKWKNQRPIRAPTALDGVLGAITMSSFLGVCYYK AHSVNGWRLLYMFQPCHVMTFTLAILCIARGRTANFIFQVYVAMTWSSDCALAFPDTS DYIYIVSGRYEYIGSPSWILFGFTVIALYHAIVLQLACLVTEVNIATLMSPPNVLRSL GEWYRPAQYGMCFVLHWVHNLSITGFVAIASSSKVLKPLLSPKQH H257_04993 MVFTHAVDYLEDFFLSIGPGDEPKFPHVPATLRSVWYLTPRQHA METVCYVMIFAPMCYVALKQALNHSKWKNQRPIRAPTALDGVLGAITMSSFLGVCYYK AHSVNGWRLLYMFQPCHVMTFTLAILCIARGRTANFIFQVYVAMTWSSDCALAFPDTS DYIYIGDIYNFYIEHYLMLVIPVLLCVSGRYEYIGSPSWILFGFTVIALYHAIVLQLA CLVTEVNIATLMSPPNVLRSLGEWYRPAQYGMCFVLHWVHNLSITGFVAIASSSKVLK PLLSPKQH H257_04993 MVFTHAVDYLEDFFLSIGPGDEPKFPHVPATLRSVWYLTPRQHA METVCYVMIFAPMCYVALKQALNHSKWKNQRPIRAPTALDGVLGAITMSSFLGVCYYK AHSVNGWRLLYMFQPCHVMTFTLAILCIARGRTANFIFQVYVAMTWSSDCALAFPDTS DYIYIVSGRYEYIGSPSWILFGFTVIALYHAIVLQLACLVTEVNIATLMV H257_04993 MVFTHAVDYLEDFFLSIGPGDEPKFPHVPATLRSVWYLTPRQHA METVCYVMIFAPMCYVALKQALNHSKWKNQRPIRAPTALDGVLGAITMSSFLGVCYYK AHSVNGWRLLYMFQPCHVMTFTLAILCIARGRTANFIFQVYVAMTWSSDCALAFPDTS DYIYIGDIYNFYIEHYLMLVIPVLLCVSGRYEYIGSPSWILFGFTVIALYHAIVLQLA CLVTEVNIATLMV H257_04993 MVFTHAVDYLEDFFLSIGPGDEPKFPHVPATLRSVWYLTPRQHA METVCYVMIFAPMCYVALKQALNHSKWKNQRPIRAPTALDGVLGAITMSSFLGVCYYK AHSVNGWRLLYMFQPCHVMTFTLAILCIARGRTANFIFQVYVAMTWSSDCALAFPDTS DYIYIVHDSSYSVLLARVSNANTYIYWWSPRATFITFTLSTT H257_04993 MVFTHAVDYLEDFFLSIGPGDEPKFPHVPATLRSVWYLTPRQHA METVCYVMIFAPMCYVALKQALNHSKWKNQRPIRAPTALDGVLGAITMSSFLGVCYYK AHSVNGWRLLYMFQPCHVMTFTLAILCIARGRTANFIFQVYVAMTWSSDCALAFPDTS DYIYIVHDSSYSVLLARVSNANTYIYWWSPRATFITFTLSTT H257_04993 MVFTHAVDYLEDFFLSIGPGDEPKFPHVPATLRSVWYLTPRQHA METVCYVMIFAPMCYVALKQALNHSKWKNQRPIRAPTALDGVLGAITMSSFLGVCYYK AHSVNGWRLLYMFQPCHVMTFTLAILCIARGRTANFIFQVYVAMTWSSDCALAFPDTS DYIYIVHDSSYSVLLARVSNANTYIYWWSPRATFITFTLSTT H257_04994 MPLSFVVNFLEDAYSRLGPNGGLIVLNPHIPEEFRTEWFLTPKQ HAVETIAFGFLYASIAWFCHSRAVKSEAWKALQPARAPTAVDWVCFVLATSSYAAAYY YKHIAPGSWRVAYMLQPCHVLTAWIAILSVLPGRWANYIFQVMVTLTWSSVVAMAFPD LSDYTHVGDMFNYWYEHALILVLPIVLSRTGRFTFLGNWHFIVLGYATTGLYHCVCLQ VAALATNVNVATMASPPAILAHLGVYYRAVQYVMCFVLHLVYNLIIVVGCYMLSTKQL KTA H257_04994 MPLSFVVNFLEDAYSRLGPNGGLIVLNPHIPEEFRTEWFLTPKQ HAVETIAFGFLYASIAWFCHSRAVKSEAWKALQPARAPTAVDWVCFVLATSSYAAAYY YKHIAPGSWRVAYMLQPCHVLTAWIAILSVLPGRWANYIFQVMVTLTWSSVVAMAFPD LSDYTHVGDMFNYWYEHALILVLPIVLSRTGRFTFLGNWHFIVLGYATTGLYHCVCLQ VAALATNVNVATMAVRNQSASTGRCCERVPLIVECSRRLQSWHTWACTTAPCNMSCAL CCILCTT H257_04995 MGDATMWSSTHKRHLTKQELQTLRRIQDQTALRDTQCARARSHL KSLVQDTLPNDPTIYAGLYSDSTKWTKDETLVLAVKPLVPLGPADVSGMYHLRSIKHP FPIPGSQVVREKERMSTALAKKLSIGLKIASASAPNLANAVPSAAKSDYQDCLHTLES GKLHFTAQSMLDTLTEQEHLLRVQHDERQHRLASAVGTAQDLATFWRLLVTGRTREAV LLLTEHVFVDINVAVVQNTTDGSITLDPSKSHRCLHIFCREVYSYLSVCSLASTPLMA AARLLNVDVVAALLDRGADPNVPNGNGDSPLHCIWRDIRPNKPHNISVKWTLRASKAV AILDKLLSHGALANTTNVFSETALHCCAKLGIQDAVMKLLHKGADPRIPDRTGHTALD YAKANGFLDVHSAMANFHLIDQARRQCNDMQKAKDIPIHPGHMSLSWSTPPDQLLQQL KLASHRATYLKGHCVTKDGAVVLAPDDDDPIVGSAKSTPKPQ H257_04995 MGDATMWSSTHKRHLTKQELQTLRRIQDQTALRDTQCARARSHL KSLVQDTLPNDPTIYAGLYSDSTKWTKDETLVLAVKPLVPLGPADVSGMYHLRSIKHP FPIPGSQVVREKERMSTALAKKLSIGLKIASASAPNLANAVPSAAKSDYQDCLHTLES GKLHFTAQSMLDTLTEQEHLLRVQHDERQHRLASAVGTAQDLATFWRLLVTGRTREAV LLLTEHVFVDINVAVVQNTTDGSITLDPSKSHRCLHIFCREVYSYLSVCSLASTPLMA AARLLNVDVVAALLDRGADPNVPNGNGDSPLHCIWRDIRPNKPHNISVKWTLRASKAV AILDKLLSHGALANTTKGADPRIPDRTGHTALDYAKANGFLDVHSAMANFHLIDQARR QCNDMQKAKDIPIHPGHMSLSWSTPPDQLLQQLKLASHRATYLKGHCVTKDGAVVLAP DDDDPIVGSAKSTPKPQ H257_04995 MGDATMWSSTHKRHLTKQELQTLRRIQDQTALRDTQCARARSHL KSLVQDTLPNDPTIYAGLYSDSTKWTKDETLVLAVKPLVPLGPADVSGMYHLRSIKHP FPIPGSQVVREKERMSTALAKKLSIGLKIASASAPNLANAVPSAAKSDYQDCLHTLES GKLHFTAQSMLDTLTEQEHLLRVQHDERQHRLASAVGTAQDLATFWRLLVTGRTREAV LLLTEHVFVDINVAVVQNTTDGSITLDPSKSHSLASTPLMAAARLLNVDVVAALLDRG ADPNVPNGNGDSPLHCIWRDIRPNKPHNISVKWTLRASKAVAILDKLLSHGALANTTN VFSETALHCCAKLGIQDAVMKLLHKGADPRIPDRTGHTALDYAKANGFLDVHSAMANF HLIDQARRQCNDMQKAKDIPIHPGHMSLSWSTPPDQLLQQLKLASHRATYLKGHCVTK DGAVVLAPDDDDPIVGSAKSTPKPQ H257_04995 MGDATMWSSTHKRHLTKQELQTLRRIQDQTALRDTQCARARSHL KSLVQDTLPNDPTIYAGLYSDSTKWTKDETLVLAVKPLVPLGPADVSGMYHLRSIKHP FPIPGSQVVREKERMSTALAKKLSIGLKIASASAPNLANAVPSAAKSDYQDCLHTLES GKLHFTAQSMLDTLTEQEHLLRVQHDERQHRLASAVGTAQDLATFWRLLVTGRTREAV LLLTEHVFVDINVAVVQNTTDGSITLDPSKSHSLASTPLMAAARLLNVDVVAALLDRG ADPNVPNGNGDSPLHCIWRDIRPNKPHNISVKWTLRASKAVAILDKLLSHGALANTTK GADPRIPDRTGHTALDYAKANGFLDVHSAMANFHLIDQARRQCNDMQKAKDIPIHPGH MSLSWSTPPDQLLQQLKLASHRATYLKGHCVTKDGAVVLAPDDDDPIVGSAKSTPKPQ H257_04995 MGDATMWSSTHKRHLTKQELQTLRRIQDQTALRDTQCARARSHL KSLVQDTLPNDPTIYAGLYSDSTKWTKDETLVLAVKPLVPLGPADVSGMYHLRSIKHP FPIPGSQVVREKERMSTALAKKLSIGLKIASASAPNLANAVPSAAKSDYQDCLHTLES GKLHFTAQSMLDTLTEQEHLLRVQHDERQHRLASAVGTAQDLATFWRLLVTGRTREAV LLLTEHVFVDINVAVVQNTTDGSITLDPSKSHRCLHIFCREVYSYLSVCSLASTPLMA AARLLNVDVVAALLDRGADPNVPNGNGDSPLHCIWRDIRPNKPHNISVKWTLRASKAV AILDKLLSHGALANTTNVFSETALHCCAKLGIQDAVMKLLHVLQFDS H257_04995 MGDATMWSSTHKRHLTKQELQTLRRIQDQTALRDTQCARARSHL KSLVQDTLPNDPTIYAGLYSDSTKWTKDETLVLAVKPLVPLGPADVSGMYHLRSIKHP FPIPGSQVVREKERMSTALAKKLSIGLKIASASAPNLANAVPSAAKSDYQDCLHTLES GKLHFTAQSMLDTLTEQEHLLRVQHDERQHRLASAVGTAQDLATFWRLLVTGRTREAV LLLTEHVFVDINVAVVQNTTDGSITLDPSKSHSLASTPLMAAARLLNVDVVAALLDRG ADPNVPNGNGDSPLHCIWRDIRPNKPHNISVKWTLRASKAVAILDKLLSHGALANTTN VFSETALHCCAKLGIQDAVMKLLHVLQFDS H257_04996 MENSLGDDLAGLWADIMNEGGDSSPSSHHISFPHEDHFISSLMD NGDATSASTTSSSTSTVHASSARLPAAATFLERERQRKMMYRRRMKDEAAHLQEMCTH LESRRVQLIRRRERRLQQSPEEKRAIEQWALTVQTMRDQNAATMQYNATLRDSIRQQI LMAMSYQRTVRHALHHMNLSLANDERKRDNIHRLLVHQRAQLEHIRVKWLGHLEGEDK CNVTFNAAQTDIAHAEIVRCRRFQNTQPAVAANRIWLQLTGDTTHHSTAKLAPRIAQR LDTIDPATIYTRVYMNDDVAPFGLNVVQHRQDLLDRHLIMYRTMEDGHTCFDDVIKWD VVCWLEVTQEDSDTLVKEYISYTQVGHDAILPALLGCDADNGQVAAKQSKLRAWMQQV VSKLYSGCKVPLVPSTS H257_04996 MENSLGDDLAGLWADIMNEGGDSSPSSHHISFPHEDHFISSLMD NGDATSASTTSSSTSTVHASSARLPAAATFLERERQRKMMYRRRMKDEAAHLQEMCTH LESRRVQLIRRRERRLQQSPEEKRAIEQWALTVQTMRDQNAATMQYNATLRDSIRQQI LMAMSYQRTVRHALHHMNLSLANDERKRDNIHRLLVHQRAQLEHIRVKWLGHLEGEDK CNVTFNAAQTDIAHAEIVRCRRFQNTQPAVAANRIWLQLTGDTTHHSTAKLAPRIAQV SGRILLLSMLDLCLYG H257_04997 MRLAWFLAAVASSAVGGGGHDCNHDVQLDRFTRSVDMSSIMNDQ VLETPGSRRLADATTLFQPIRISFDISRLTSDPDYLCTQAGQIVTRDGSSYSCTQNDI LTKEKSDFILSVMLPAVTAYFSSVLSVQRVSGNLIIQGLGCQANEWACCANTIPPYLK TTGIANTDFLIHVTARPTSGAVLAWALPCNIDQYGRPISGQANFGPNRLDTQSGSRAG QIGTAIHEITHALGFSSSRFADFRQPLNGPLWGYSNVVSQTQQNGIFVSKIITPQVVK QAKQQFNCPDWPGAGAELENGPTGSSDFSSHWEKRVFNKEYMTATSSSSPVYSAMTLA FFEDTGWYTANYSMAQALPWGYLEGCSFATGRCADWGSDYFCPAAGEHCSATRDAKGY CDINTYTSSIPSGFQYFKNAKLGGQDTYADYCPTYQGYSNGACADTSTYIDSDMGEAL GPGSKCFQSTLTKGSSRLTTPACYPVLRCGQGNMYIKVNGKEVACPLAGGDVSVPGFQ GTVRCPAGNKICQLLQTQCSGQGILMVDGSCSCNPGYGGSDCSLKDCPLNGGFECNGR GTCDRRVGTCQCEAAYTGLSCSELLCPVVTNDKSLGEQCSGNGACNGSSGTCTCANGY TGKACECVPGCTTCSNGGSCDCLTGSCVCPSGFFGAKCDSTGDAPTATLELDATTATT GQVSAKTYSYFKVLLNSSSSDITVLLTSSTGDADLYGSFVDKYPSPKSTRTTLFVSNA NRKDRLDAIQLCGTLGKFPRAINDTFRFCSQANSLLVQGAPGYFYIGVFGFAASTFQI NVVSDPCVNELCSNHGTCGKYFAGVCACDRSWSGERCSTPRCRPDCVDFNNCNTPSAS AMVTSSSGLRNTTDCYGNGECRVLTVNGIEQPTCICDEAFSFENPTDDQSICKVPLPS ISRIQHYADPFVVYGDSLQYYVPVGEWTIYTLTVKPEWQYVYVHLRELSDGSDPLVLV RKTKLPSLNTSSPYPLQAADAAAWTSAATSQRVLLTRASSTLSDGLLYIGVYNTRYGR NPLAYHLTVEANSTCDVKTASVCGTNAVACAVALPTLCQCASTSQGPFCDQVNVTHRS VKAGVSTELGSLVAVREGNWQYWTFDVADPSVEFVKVELSSVYYDADDEVKPLLLVRG PLEPGFPSLDLSSAYDFNAVTSSKGGKHTVLVPVTTSCAGPHCYKVAVHNKLYSGNVL QANVTLVGLQSAADAYTVPDCTISGVGDTENCNGRGTCVQVGDQPTCKCKNGWSGVTC NAPRAFAMSQLWFAATNISLLCSVCDATFTLSNGGMAMFTLPQSMQPNTGLELRVKAN GGGVSPNIYVSEVNPRSLYDFAVMSFSHASEEVVQLTTRPFQGHFWVAIYSEAPLAMD ANATNDAAQTTFTIQSRVIPLAKTTVDKRLITQSSFLGAVLAWLTTSPLGIALFSLLL IFLGLAAFYFVWRTFRSPDNQDGAVRNLWWRQRTHGYVCRSARWLRNWATDPTKCMWR QDWWPSGQNHRCDLAAVPEARMHPRQARHPWSWTSRWRPSFGSLEQQRSVYIMEITGR RKVYLY H257_04997 MRLAWFLAAVASSAVGGGGHDCNHDVQLDRFTRSVDMSSIMNDQ VLETPGSRRLADATTLFQPIRISFDISRLTSDPDYLCTQAGQIVTRDGSSYSCTQNDI LTKEKSDFILSVMLPAVTAYFSSVLSVQRVSGNLIIQGLGCQANEWACCANTIPPYLK TTGIANTDFLIHVTARPTSGAVLAWALPCNIDQYGRPISGQANFGPNRLDTQSGSRAG QIGTAIHEITHALGFSSSRFADFRQPLNGPLWGYSNVVSQTQQNGIFVSKIITPQVVK QAKQQFNCPDWPGAGAELENGPTGSSDFSSHWEKRVFNKEYMTATSSSSPVYSAMTLA FFEDTGWYTANYSMAQALPWGYLEGCSFATGRCADWGSDYFCPAAGEHCSATRDAKGY CDINTYTSSIPSGFQYFKNAKLGGQDTYADYCPTYQGYSNGACADTSTYIDSDMGEAL GPGSKCFQSTLTKGSSRLTTPACYPVLRCGQGNMYIKVNGKEVACPLAGGDVSVPGFQ GTVRCPAGNKICQLLQTQCSGQGILMVDGSCSCNPGYGGSDCSLKDCPLNGGFECNGR GTCDRRVGTCQCEAAYTGLSCSELLCPVVTNDKSLGEQCSGNGACNGSSGTCTCANGY TGKACECVPGCTTCSNGGSCDCLTGSCVCPSGFFGAKCDSTGDAPTATLELDATTATT GQVSAKTYSYFKVLLNSSSSDITVLLTSSTGDADLYGSFVDKYPSPKSTRTTLFVSNA NRKDRLDAIQLCGTLGKFPRAINDTFRFCSQANSLLVQGAPGYFYIGVFGFAASTFQI NVVSDPCVNELCSNHGTCGKYFAGVCACDRSWSGERCSTPRCRPDCVDFNNCNTPSAS AMVTSSSGLRNTTDCYGNGECRVLTVNGIEQPTCICDEAFSFENPTDDQSICKVPLPS ISRIQHYADPFVVYGDSLQYYVPVGEWTIYTLTVKPEWQYVYVHLRELSDGSDPLVLV RKTKLPSLNTSSPYPLQAADAAAWTSAATSQRVLLTRASSTLSDGLLYIGVYNTRYGR NPLAYHLTVEANSTCDVKTASVCGTNAVACAVALPTLCQCASTSQGPFCDQVNVTHRS VKAGVSTELGSLVAVREGNWQYWTFDVADPSVEFVKVELSSVYYDADDEVKPLLLVRG PLEPGFPSLDLSSAYDFNAVTSSKGGKHTVLVPVTTSCAGPHCYKVAVHNKLYSGNVL QANVTLVGLQSAADAYTVPDCTISGVGDTENCNGRGTCVQVGDQPTCKCKNGWSGVTC NAPRAFAMSQLWFAATNISLLCSVCDATFTLSNGGMAMFTLPQSMQPNTGLELRVKAN GGGVSPNIYVSEVNPRSLYDFAVMSFSHASEEVVQLTTRPFQGHFWVAIYSEAPLAMD ANATNDAAQTTFTIQSRVIPLAKTTVDKRLITQSSFLGAVLAWLTTSPLGIALFSLLL IFLGLAAFYFVWRTFRSPDNQDGAISTLAAELGNRPNEVHVEAGLVAERSKSPLRLGS STRSEDAPTPSAPPMELDVEMAPVVRFS H257_04998 MDGAKHASVHVVPILEEPNEPINLDHIAHTLDQTELNPIFPQWL VERKDFQTFFPRFGTRALSEDEKLRMAAQKEPEKRNGIDIQLLSKWLLNVPSLKALNV MQAGEIAKRMRWASFAPNQLVFKKGDIGDACYIIVNGQVDIVVNGEKVGRLVKGMNFG EVALEQEDALRTADIQVTESGPADLLLIRAEDYQKNVYRYQSKRRKKLTKWLRTEVSI FRDFSDNKLRYFESVSIDLFLHPGNCVYMEGEAVGALYIVKSGTISLEKNVLFETKHR RPTGIKAWSVQSHKTHIQVPSYVVESAGCFGMEYFVKIPTRAHTAVVKTETHLVVINK IDCASTVHSFSVKAIDKLSERYRTIWNATLQATTAQVSVYEKAQKISRGYSPGHQAPI TVNQKHPTSQEPHPNLQFPSLSRAVWTASNNVVAKAQDRCYTPTYTLGRSVSTPTLSS VNFASPPSAVMTTLCPPTSASTAINNNSVFGTDTGDLPRLLSP H257_04999 MASIIINTPAPDFDIVDLETGVTSSFGDIAGNGKPSVVMFYATW CNSCIPAVEEFEMWSKHNIPTPYINFVLINVDKHIGNAIKFVSETNPKTKKPRVCTEY RGDGDMPTVIHVGCEDIPEGYGVHVVPHKLILDHNGLVVRNFEDFHWDDIAGLFKHRF EEDNQCHWKPFLFQDQVKAQE H257_05000 MARSLRFCHMYGITKKMDTQMPPTTAAPPRPSPNTYRMAKLNAS HDILYHVRNVWIRSGFSLASMSLGRLAPVDLSKASIWSRTTKLTFCMPRRSPGNALLA TAVPSNGAGGSTSSRGRSLANSAWICSTCSGDNT H257_05001 MVVALPASLQGPDGLQRLEHLADTYGTPLQIYDEQMIRDNARHL LTTFRAQFPSFTQFYAVKALPNPAVLKVLYQEGCGFDCSSTAELHVCKTLGVPGDKII YTSNFTSKKDLALAYDLGVIINLDDISLVDSLVEVRGRVPDLMCFRLNPGLGRTDSET KSNVLGGPDAKFGVPPFQIVDAYRKAQAAGATRFGIHMMTGSCVMNNEYWKETITGLY ETAMQIKKELGITFEFMNIGGGLGIPYLPDQPRVDIASIVTLLRTTFDELMGTHDNTT LPTLCMENGRYMTGPYGWLVSRCQAIKQSYAKYYGLDASMAHLMRPGMYGAYHHITIP ARELDQSPLITANIVGDLCENNDWFGKDRVIPDAKVGDLFVIYDTGAHSHSMCFQYNG KLRSPEILLAADGTPRLIRERETYDSLYGNCLVPDDL H257_05001 MVVALPASLQGPDGLQRLEHLADTYGTPLQIYDEQMIRDNARHL LTTFRAQFPSFTQFYAVKALPNPAVLKVLYQEGCGFDCSSTAELHVCKTLGVPGDKII YTSNFTSKKDLALAYDLGVIINLDDISLVDSLVEVRGRVPDLMCFRLNPGLGRTDSET KSNVLGGPDAKFGVPPFQIVDAYRKAQAAGATRFGIHMMTGSCVMNNEYWKETITGLY ETAMQIKKELGITFEFMNIGGGLGIPYLPDQPRVDIASIVTLLRTTFDELMGTHDNTT LPTLCMENGRYMTGPYGWLVSRCQAIKQSYAKYYGLDASMAHLMRPGMYGAYHHITIP ARELDQSPLITANIVGDLCENNDWFGKDRVIPDAKVGDLFVIYDTGAHSHSMCFQYNG KLRSPEILLAADGTPRLM H257_05001 MVVALPASLQGPDGLQRLEHLADTYGTPLQIYDEQMIRDNARHL LTTFRAQFPSFTQFYAVKALPNPAVLKVLYQEGCGFDCSSTAELHVCKTLGVPGDKII YTSNFTSKKDLALAYDLGVIINLDDISLVDSLVEVRGRVPDLMCFRLNPGLGRTDSET KSNVLGGPDAKFGVPPFQIVDAYRKAQAAGATRFGIHMMTGSCVMNNEYWKETITGLY ETAMQIKKELGITFEFMNIGGGLGIPYLPDQPRVDIASIVTLLRTTFDELMGTHDNTT LPTLCMENGRYMTGPYGWLVSRCQAIKQSYAKYYGLDASMAHLMRPGMYGAYHHITIP ARELDQSPLITANIVGDLCENNDWYSMIKVPNPGTL H257_05001 MVVALPASLQGPDGLQRLEHLADTYGTPLQIYDEQMIRDNARHL LTTFRAQFPSFTQFYAVKALPNPAVLKVLYQEGCGFDCSSTAELHVCKTLGVPGDKII YTSNFTSKKDLALAYDLGVIINLDDISLVDSLVEVRGRVPDLMCFRLNPGLGRTDSET KSNVLGGPDAKFGVPPFQIVDAYRKAQAAGATRFGIHMMTGSCVMNNEYWKETITGLY ETAMQIKKELGITFEFMNIGGGLGIPYLPDQPRVDIASIVTLLRTTFDELMGTHDNTT LPTLCMENGRYMTGPYGWLVSRCQAIKQSYAKYYGLDASMAHLMRPGMYGAYHHITIP ARELDQSPLITANIVGDLCENNDWYSMIKVPNPGTL H257_05002 MKQDADVRVRPSVVILRRLVWFLLPLGAVISACILLSIYQQPSP PNYHMTFAITQGRSKVQGVNLAGWLVADFDVTPKSEIYKGVPEDVSSAGEFAVANWYT TQKKKAEMVTKFTSHRESWITEDDIKAIAAAKLNAVRVPIGYWIQDNVTESISPSDAV NLAPLYSIFAPGAVNYLDKLVKVWAKAHNISVLVDVSAALLAQNGKPSAAPIPWSIKK EYGDMSFDLRPTKASFNATKDLVKFIVNRYKDDDGFLGVGLLNEPEKADNRVTHREIV GLYRELYPWVRNITKRVVLTTQSFGDVQHKGASLQITDNDETMMTFSIWPEESAVVVN TWHEWHKVMVTDAAFKDDAGINTLKDDISGWTGNPLLIGSWNAAKFGNESVPTPDQPA HAKKVLGVVDMAAKGWVYSNWKHDGGQKQWGWSLKDLLAQGVYAFTSTP H257_05003 MKAFTALIALALIVYEGGRVRGDPAAAAAAAAANFEGKMADQAK NLHKFMPNPTGPGFRDKGMTGEAEFTQMDRFGIPDLNNPTAHELTDLNFDKAIEGEQY VAVLFYSPTMDEYDYYALHWEKASMELAENIKAFTTAKISMARLDISEPEHQDIALTY GISLSTPDMRLFFNSTHLTAITSPVSHQDIRQFIIAQTIPSVTQLVSDLELKKWKRRK VFNQANLKFLAHASNDDPDALSTFKSVASDLKAKVQFAYVTDLALFRSPSGVDIPDAA ANSIYLLRDFATPKIIPFPTHDRPFSLDSVVSFIDGHNTPWLTNLADKDEHTVRLFLE HKKPFRALAFFKTNAMLVAATPALEALARDFHDDVLVAYTVEDPEDATNFDYQFWDVP SDKPALLVVHMKRDRKFLLSTDTAHDLTNMRTFVADVLAKRATAELKSQTPPTPNTGL VRVVVTNTWEDEVKANDKQDVLVLLTKGPSHPPSRWMLRHMERFAKVWRRDPRLLVAT MDMTKNDLMELEDEAWTALPKLYFVPRTHTGASPFDIELHAAYPTMEMLMEFVRTHQS HELVVDEKVWTRLHAEDKEALASHKAKMANGDDVHAPDDILKELEMELKKAQGKEEAD VRDEL H257_05004 MERIMDHIDTLNTQYAKHPLWQSLACGQGGKVARAKLQAFAPAI SYFILAFRDFNDFVLPYESPQSELEAALNVHALEDSTHYKLFLEDWEKLGGDGLLVPF AQLIAGLPEDDHRVPSTQSSVSLAPSTRTLSFLWSDATNHHSRKLMFALTKLIHQRAS DAAVRFAAVEAVEETGRVMFEATAKLANEIEAAGGPAYRYFGEYHLALETGHVMNQDP AATTGGAGGCGCETDDKFKQLPLSPAQERDCTLVADQVFAIFTEWIDGIHALMQATVQ V H257_05005 MAIYLVLFFVFVPMKLGFFAVDPTVQIGIGSFITILLWVLTVQF LEVVPSASYLLPMMSNLLQDVWNFFILFGVFQMGLTITFYQLFKHQDDDAFATITQSF ITTYFVTFGELPLDSVKAFNQTDDGDRFLSSCAVVLMMFHAAVVVILLLNVLLAMMNK TVDNGFERAKTEALASYAQCILRLEESMNLSKAETVELIHFKSDDGDLVLNPIFNERV AKSSIQVPDGQEAHINAYQKQKMAWVDLMDALKASTTSELDSLRSGLHHVQHFVTFDV ATALAPEFALLDKVQEQVNELIDLAKKTRGQDADNALKKLDALVKKTLSTFEDNMQRA WNAKDQAVEHTKCTLLHQMTYKVTITDLLKSTKTTIQTTFEHEIDQAKARAAPEPRLS EIVDRFDKVDATLKEKNEDNTTEMNQDLAKKIEDVTQQTQTMASKLDDVAQHNQDMAT QVEAMNQKLEALMSAILDLKR H257_05006 MMSEKKNKQPYRLEDSIIGASVTVVVADDVDDFIQDEDAVDEED VDKSIVELFAERKFSSVKKRLQTRNVTEDELATLDDFQDSLLDNAILQSNFEIVSLIL ECTNYKCIPKYAGKPLNLAAQRASNIFHLLLKTLGSSADLNYSTPDDGDVALHHAIRN QTFDVAEALIHAGAPVDIENDAGESPLFMAVQSWNSRGIELLLLANASVHHTSKSGRT PLHVAAASGYDEGVKLLLMACAEIDVKDSDGKYPIQETDNDYIRSILRTERMTRVKYP AHIMARNGDISALNAWLSETTAKGPGVAHVWNGQYLRDGDWRDLQLKVKLVRDNDSHS YKCMGQYEDTDGVFYITGMWVVANGRLDILKTYADDGYTIAYGGDVDDATGTWTGEWT AGDLMGELKFNLPLHDCVVCGASAKVPNAQQKCFGCEATEAQYFTVEAEDDSSSNDWS MSVYLAFTPDVEANVYRVDCAGYDTESYVVSGAWKDGCLNFAKHYAKSTVNYDMYYND STKKCIGTMVDGDGVSKSISFAMDMSPCMECGADVPVANSKCFSCAKASLHNWTGQCR LVYVHKDVIDPVEAGADRGDDDAEEEGGGDVNDVAEGIEGDDLNDQVWRDLGFHMLVQ RDVSQQCYHLIGEGKDNDTGETFDAVGKWQANVIQVTKIYADGVSEFNGVFASDTNEW TGTCTLANGNKEAFRVTVPLFSCVLCDGRIVPNVNDVCLACTSPTTSSSLPWNPQNGK LVHAWVGRCRQERGEWEGDEFSVKVLRDVAMQAYRFTGFDKDGAGYFAVSGTWKDDDI HMERIYMDATSAQDGKFDAMKSEWTGTDVTTDNDGSVTTSRFQYKIPMWPCTTCATAA VPIQNSVCFACDQPRHTMWVPFELPFQVDAIRRDLSARQEVSSLLNVKDRQGRTALMH AAEFGRFNILQEILLYVNPADIRINDNAGKSALDIALSRKLTCANNMHHRTNDEILTF VELLRHRSCLQLKPTTIPYTFVYDKLCCGDCDQSRDNSNDKGVSLFELAKAKSWDELE AQLDSTVAGDRLNAKDADSGSTVAHVVCREGKQKILKMLLDQPELDLGIFNNEYYYPL YEAMKKDRVGCVQLLLHYGVAPTMIEAYESDDNQLTLLNPKSRCYKYIVDKFTLMQRE TLNAYYRANVPSVRVAEKHQQAKQTALHAAVLHGQPPHIVELLAQDDLIDVDAKDKNG DTAMMLAARGKDEYAETYISILIAREADYDATNSDGKTALMIAAEAGHVEMVALLLKE MADIDIQDSQGHTCLDLVNKYDVMVGSPKSKAATPHGKIKDLLNVEMQARESSVEFRD KLAKSLMGMTAEEAFLQGGFRKAINCSPALARTFLDDSVVINRHDVVFSQMEEIYGDE HPQRVIKLKVG H257_05007 MPSLCRQLCALITCGGDVCDIFQCVCAFCVCCHDKETKPQPFTT LPVYSTTTVTTSIAAYPSENPQSYGTIASQQPTAALPLSATDEDDTAAPVTKSFGISV H257_05008 MGKHKKGVEDADGGAESVFTPQLGADDMPDHFDSVPIFSPRQST VRESIMSQFPVSELKYKENPKTFRGRIRTWPGVLLALALLALTAVLVTYFAIDSHNTK QALVAAVQKRKFQANTIGGGDTTPPGDVLVDADDGVMGNPKVYPVQQCELPNYISKSN KIFSVSSTGVEVPVAIKGINWFGMETGDAIPFGLWANDQNGTTAYQIASFLSANKFNS VRLPVSVYNILNNVKPKQSMINVAANRAFDVSSYLALLKSVITTLAYRKISVMISIHT LTPQSSGGSWFNNDISKDMFLSSIDMLTTELCHAKYWNIIGVDLKNEPYESTWGDSGP MDFHQGATIIGNRMLKGCPQWLAFVEGIVTAHEVDIGGDTFSYYDWWGGGLQRAKDFP VQLSIPNKVVYAPHYYNPAVYPQSYFFDKGGVVRSNGAMIGYKELPDSVLRQRVAATM DTMFGFLTKTQDAAVVLGEFGGLYALDLHPLKTTQRCTDYTVQEIMRPGYVGGYVWSM NPESAYQFNPSDVRGNFVEGVLNLDWLSANKDFLAALKPLDQMADLKMFPCFEKEAL H257_05009 MPSVMQQHTPVYHPEDTTDWNTKSPAPALLEARPPSWIDRLRKV TLQARRRMGLQALALIFLIIGMALLLRRRQQAVAPTTAPPGIDIPSTIPLKG H257_05010 MSVDDRPRKSEDILAVTEAPIVGSDGKSIRRKQKFGGRRSVWPG ALALILGIAGAIGALVYWGTWEHTQMLGRQPDESSLAKAYGSGHTISDGQVVNTTTEL PLEVTNPVEYKDMKCAQIDYLSKNNRIYTVSKGKETPLVFKGVNWLGLEGWDHVITGL WDGPRDGNSFYRIASFLSSNGFNAVRFPLDIDSAARNIPIKTNFNTNSQRALASVKTY VDLITRLTEGLGQFKIAVVLDFNTRSKATDLNSTDQSVISLDQRPSSDGSTGNGWENV NVRYAEYEKAIANLATALCNEVHWNVVGLDIKDAPAGDAGQWDGEEKTSWQMFASKVG AAVVKACPTWLVFAQGLTGKTKFGTGDDTKSVADWPGSSLREALTSPINVGKANKLVY APPFWSPSIYPAPYFFKSSTGGSLLTKWTGFTTQVDMDTNVGDAMKAIFGDLLNKQSA AVVLSSFGGLFGTEDLDKGKVSTMAITAIVNQMTLSQKPLSGGFWWSLNPDNRWPHPA PDSPVSVASGLLDPTWRKGNSEALRATKLMDAIPGLAFLPCDPR H257_05011 MGWTIEEDERLRSLVHEFGEQQWSVISQHMTSKSPEGHMRNRKQ CRERYLNHLSPSLRRDAWTRDENATLRTLVDKFKSDEGKTPWAKIATSLPGRSVDQVK TQWRRLVRQQPSSQQPLDAVTTKTSSQTSPWTSDDNKKLMHLCTSTTSLQPSWLWIAS HFPSRTDLQCRQHWTHVLDPALKKGKGTWTDEDDQLLGSLVERFGPSWKHIATNFPGR LGKQCRERYRNHVDPSLTHEPWTLDEDNIVLAAQDPHLEQVVQPT H257_05012 MEGRRNPFLPQPKAAEAVPSQPSFGQQAAGSLSFGQYMASKIQK LRTQNDGIKKSSRIFDGVAIYVNGHTEPRKEKLRTLILEHGGQFEAYQTSRVTHMIAE QLADSKLREIMSMKKPLPVVHPKWIVDSIASGKRRSLHTYIYSRCHDPLQPTFGISAN PTPRLMDNIIACEVQPSTATKLTPSMHPPSLEPAPPSAQRRPVEAPRTPQECPRSQTT ILPTTAIANGAFHALSNDNERSRVCPTFPPPLHLTSSYRSKNLPPTSPPPPTTRPAAV QPPPTTSAAKLNMFQHPQPAKKPSVPLFNAAPVSFGEYMTSKIAKLRDQAVSHVKRTS RVLDGVVVYVNGHTHPSKMALRLLVLQHGGAFESYLTNQVTHVVATHLSTSKALEMTS SRKKKPLPVVHPQWIVDCVAQQHRLPVHLYIYSHMHPKYSPSSSGARSSHPITPSPLP PPVVGSMYDSNLEHMDIPGQASCDDVNLAQRSPAQQDVSPPRTSSNGAQLTQCMDDQS SPVGSINLFPYSQTQPLSSPASTITLSQGSVDDSVDIPATQQLSYSQDNEDSPGRGRD NTSNHVTSPSTPSHPHHDAANDADDGWPHAIDEQELEITTSQHAHDSSLDSPPPSQTQ VLSPLIETRQQDSIDTIEKDQSSCNHHHLIAHNQSRDVAGTVDAATAATGMPPKVTPP ATFRPIKSTKDGAAAFVRSFFANSRLHHIGSWKSFYMQHVAEFTSTTDMSTLSFGSCD KVDRVILHVDMDCFFVSVAIRHMPSVYQTLPVAVAHSGYSSHGALGCDEVELPPNPPG PHTRMRQGTSEISSCNYIARSFGLKAGMFMETAKALCPNLIVLPYNFAEIEAVSCQIY KLFHQFTPAVQAVSCDEAFLEFPIGTDGMQVARDIRRTVFDATKCHASVGVSYNILLA RLATKQAKPNNVFEISSETASDHIHGLSVRDLPGVGHVLHSKLTELNIHTCDDVLKWS DADLGRHFGAKTSVMLRQFARGKDTRPLELAPHVKSISADVNYGVRLADGPAAIEFVR ALGAEVHSRLVKAGFATAALTLKLKIRHPDAPVEPAKYMGHGRTDDCSKSTRLPAPTN DVQVIEATCVTLLNQLKIVPHDLRGAGVQASRLTRVVQNQSNGTNKMDNIHQYFTAAA SQDIHTSVADVSGATGTATTGCPLQSGALPPRPSRRRLRPVEEATIVTNDRASKVQKR QTTEGTHHRPMWSVPTLSQIDPDVLNTLPEALRREILHQVAPPTVPTSYSQIDQSVLN ALPKPLRDEIQHHFPKTMHLRQCAAYSKAKPPPTKPAASGRCGRMSQVDAGVWAALPP SIQRELLSEVPVDTSLGLSERSAAPPPSCLTMDTAVPVLKAKIQQFGLADVGALLRRL KRQHGKADPRRFNAVLASINAHVRQLYGHDLSPAVVGPFACLDVD H257_05013 MLQRVTGKVGGNTVVLRPALQALKEKAEHTLDKKAGDVVLFSKN MHNNTGMTDLSFETHKAKKRVSMYFTSKPDGIASYRRCAAILASVDSVELQYTPQFGQ SRISFEWDEFWSMMEHNKLGFLASESSQPLLHLGGDPSQDYIDVHGAEDGASFDMEFE EIKKLE H257_05014 MFGKSKSLEVRDASPSFLPKKAAGTGVKLPPPMAKKTSESTVMK TNTVTGVDVSHLQIGSRVWVPDAKVLWRVGEVTAVLDGGVVDVFVPESPDDKHQKVAA SAMLGFDPSHLMDHADIAQMNNMHEAPLMSVLHRRYLIDAIYTFTTDILISINPYKSI PMLYDIAGFMAASKAKLDCELKSPHLFSIAEKAYRDMRLGKQRDTAQSIVVSGESGAG KTEASKHIMKYLAVASRQADESSKGVGHAATMSLHEKIEECVLLSNFVLESFGNAKTS RNDNSSRFGKYIQILYDQDGRMCGVSIKHFLLEKTRIVLPETNERNYHVFYQMLAGLD ALELAELELVAPDEYEYLTSGNCIGIDGVDDAADFCGLRTAMDKLGFTSATQRELFQV LAAILKLGNASFVPVHPQDREACQFAPEVPLEKIAQLLGVQAADLEQKMTTQTTVTGR GSILHMKLTCDQAQNAKHAFCKFIYGEMFNYLIGRMNSTSAEFVKSKSFIGILDIFGF EVMPVNSFEQLCINFANEMLQQQFNKHIFVLEQERYAAEGIAVSVIEFQDNQECLDLI QKPPSGIMPLLDEQIMLKRKTTDRQLLTIYHQTHLEKHANYAKPRFESDDFVIKHYAG DVMYCINGFIGKNNDNLHEDLMDLLRASSLQLVRTMLCGNTPAAPVHMKSPRGASSSD PAATKHRRNASSLSGSTTVASKFKAQLSGLMDMLTSTTPHYIKCIKPNNIKFPGGFSS ELVRDQLICSGILEVIKIRQQGYPIRRPFDHFFDTFRGILRGKQGGISVLDGCRLITT AALLPTAFQIGKTEVYLRYGQLELLQSVLAGVKSELATTIQSKFWRRCVVHRQFKVLR HGMIQLQAKFRQVRLATQFQAIKWATLKLQASHRRNACVRVFAGQKQAARVLHAIGRG FVTRRRVVRDAKWQQAAVVIQKIVRGYLHRSKASRALKCQHSSAILIQAVYRGYRDLQ RFCHVYENVVLIQAVYRAHQNRQLFLRGKAAAVASQALVRKRLQRKKFVHQRRMVIRL QSFARMVPHRSEFLRRQRAAMAIQRFCRRVLARHHVTQVSQAANVVVSFMRMFFCKKT LSIQRQSILRIQRSVRGYLNRRWFVSLVRAVRVVQRAVHLWREKRRLASQLRRLRDAC DRRESATVLTLVRATPELMYVRHHHDQYNSLLHIAAASGDLNVVEFILSQDKHAIKLV NKDGNTPLHEACAHSRLDVAKCLLRATSSIPWCHSPETTDGDDVPVATTTTTSTTLTN ENDVVVMAGTLKKRREASGWMTRYVVLRTTNHVPELHYYHSKPRHGGTKSDKVLDLRR ALFKKCDDVAFSFEVHSPELLQGRNREGRLYFQATTEMELQSWLACLRDTVPSTLETR LFAMQRSTDSIQYVDRTNEADWVNATNVHGETTLHVAARGVPGKATAASTPVVRTPDD QPQHLSPSPVTSSIRSDEVHAVKTCLWLLEHGAELNLVTLRGNQSALKLAIQSNYLTL AKHLLDRGATAGDLNPAETAIVQTLRADLAKTAITCLQSQGNHDAVLFLLKRPGHVRY SSYVSLYVEQVGLLNVLQFTRPRLVISVYDTQKNLVEKKQQVTSLPLAHANAMFWGCT WHMQTPMENLPTGALVVIEVMSSSNHGSIMPTSPGYGATEPVCWTYIHIDKRTATTSR LNAEMYMYPLDLKFKKLQRYDGFISGDIVLSQG H257_05015 MATATRPLQRSHSDLNVLEITGPREALVALKAKTATPSLDHKVT LCLSEQDECPICLDEFTPLGASVFTGECGHKFHFTCLLENVNHDEANSTKCPICRKTQ TQWPEPTSGLAKAHPCCTNCGKRGTGGQFCDGCGHSLAHTPTAAERARMAAQGQPNNV IVECPACRIRCLVSSTARGTLQCPNGHLFTLRMPSSSGAPANLAVPPPPPFRGGAPGG GVVMRQCPTCLTRVQMPPGSQAGQYMCPQGHTFTFRP H257_05016 MHPDLSGFCMVLAQSIDVQAHGGHSSFVDKTPVTYAYLSATGMA SAAALFMDMEHQLTLDVSKIANLSQYWRLLTCHFALDNGIAVAFGMYVLFQFRVIERQ FGSNKFGTLVLLVLLTSTALQVWLKWPTTPGPYPLIGALFVIYFSTILQPIKTDTDLG NVPQLQPRLYSLLGLHVSDKASTYGLLSVVCLRSYATAFPFATGVLVGLLYQSKALPL SKLRLPGFLVWFFEWFHPIFMVVPPSVLQQQRQRQILDAQRRQAAAMGGHPPQEGVGH GFRDQLLPGNGHVPFQHRTPPSEDAINQLTGLGFERDQAIAALQASDNNVEAAANRLL NAT H257_05016 MHPDLSGFCMVLAQSIDVQAHGGHSSFVDKTPVTYAYLSATGMA SAAALFMDMEHQLTLDVSKIANLSQYWRLLTCHFALDNGIAVAFGMYVLFQFRVIERQ FGSNKFGTLVLLVLLTSTALQVWLKWPTTPGPYPLIGALFVIYFSNVPQLQPRLYSLL GLHVSDKASTYGLLSVVCLRSYATAFPFATGVLVGLLYQSKALPLSKLRLPGFLVWFF EWFHPIFMVVPPSVLQQQRQRQILDAQRRQAAAMGGHPPQEGVGHGFRDQLLPGNGHV PFQHRTPPSEDAINQLTGLGFERDQAIAALQASDNNVEAAANRLLNAT H257_05016 MHPDLSGFYKTPVTYAYLSATGMASAAALFMDMEHQLTLDVSKI ANLSQYWRLLTCHFALDNGIAVAFGMYVLFQFRVIERQFGSNKFGTLVLLVLLTSTAL QVWLKWPTTPGPYPLIGALFVIYFSTILQPIKTDTDLGNVPQLQPRLYSLLGLHVSDK ASTYGLLSVVCLRSYATAFPFATGVLVGLLYQSKALPLSKLRLPGFLVWFFEWFHPIF MVVPPSVLQQQRQRQILDAQRRQAAAMGGHPPQEGVGHGFRDQLLPGNGHVPFQHRTP PSEDAINQLTGLGFERDQAIAALQASDNNVEAAANRLLNAT H257_05016 MHPDLSGFYKTPVTYAYLSATGMASAAALFMDMEHQLTLDVSKI ANLSQYWRLLTCHFALDNGIAVAFGMYVLFQFRVIERQFGSNKFGTLVLLVLLTSTAL QVWLKWPTTPGPYPLIGALFVIYFSNVPQLQPRLYSLLGLHVSDKASTYGLLSVVCLR SYATAFPFATGVLVGLLYQSKALPLSKLRLPGFLVWFFEWFHPIFMVVPPSVLQQQRQ RQILDAQRRQAAAMGGHPPQEGVGHGFRDQLLPGNGHVPFQHRTPPSEDAINQLTGLG FERDQAIAALQASDNNVEAAANRLLNAT H257_05017 MPGVIAEATASSREPPVAPSSNALSEEGPLRSSSPRRQLKSIVR RDKQPGSVVDSLKSLLFKPKRRHSVQFTVTQTYMLPPQVATPSSDLYYSKSELQDLHR EDAAPVDVPEGTVRVQGFLTVLVPHPLWKPSTASRTTYYVTLKAHTLFLHRSPKFAHI NQPQYTLDVTNAIDMSGNKYTTPLSIPSIIQELSSVHDTAATAFAVVDVHGHHAVFTT ESAKVKTLWVTALVVAPARQGSPTRLSKQRSS H257_05018 MAPPLRQLLICLSLLLLIVLPLNALVIDRPCCNVERHVPARFGH LYPKDVVQLELVVVRPFDACGLIRNDLSGKVALMVRGDCNFAHKVLQAQTAGAKAVVV MDNEHRMNNSWVVTMVGDPGNASQVVIPSVFVSRAIGLRLLESIDAMNLADMSVLVTL NSTGQILVKAKSNDITQQNIIMILIGCFGRVIMVLVAHWFRLGT H257_05018 MAPPLRQLLICLSLLLLIVLPLNALVIDRPCCNVERHVPARFGH LYPKDVVQLELVVVRPFDACGLIRNDLSGKVALMVRGDCNFAHKVLQAQTAGAKAVVV MDNEHRMNNSWVVTMVGDPGNASQVVIPSVFVSRAIGLRLLESIDAMNLADMSVLVTL NSTGQILVKAKSNDITQQNIIMILVGVIMVLVAHWFRLGT H257_05018 MFHAHVCVYSPARFGHLYPKDVVQLELVVVRPFDACGLIRNDLS GKVALMVRGDCNFAHKVLQAQTAGAKAVVVMDNEHRMNNSWVVTMVGDPGNASQVVIP SVFVSRAIGLRLLESIDAMNLADMSVLVTLNSTGQILVKAKSNDITQQNIIMILVGVI MVLVAHWFRLGT H257_05018 MAPPLRQLLICLSLLLLIVLPLNALVIDRPCCNVERHVPARFGH LYPKDVVQLELVVVRPFDACGLIRNDLSGKVALMVRGDCNFAHKVLQAQTAGAKAVVV MDNEHRMNNSWVVTMVGDPGNASQVVIPSVFVSRAIGLRLLESIDAMNLADMSVLVTL NSTGQILVKAKSNDITQQNIIMILVG H257_05019 MAAASSKVTTKYPHLLTPLDLGFTTLKNRVLMGSMHTGLEEGRS LTKLAAFFSERARGDVGLIVTGGVAPNRAGRVSPLAGKMTTSSEINRHKEVTQAVHGN GGKIAMQILHSGRYGYHPFTVAPSPIKAPIGWFTPKELSHRGIQSTIKDYVQCAVNAR EAGYDGVEVMGSEGYLINQFIVKHTNKRTDEWGGDYKNRIKFPVEIVRQMRRAVGPDF IIIFRLSMLDLVQDGSSWEEIVELAKEIEAAGATLLNTGIGWHEARVPTIATSVPRGG FSWVTGKLMGEVDIPLITTNRINTPDVAEAILSNKHADMVSMARPFLADPEFVQKAKE GRADEINTCIGCNQACLDHTFKGITASCLVNPRACYETELNYRPTQYPLKVAVVGAGP AGLACASVAAERGHDVTLYDKHEEIGGQFNLAKQIPGKEEFYETLRYFGKQIKKHGVK VQLGQYVDAQHLIDNQFDRVVMATGITPRKLTIEGAASSPKVVSYVDVLNGNVTLGHR VAIVGAGGIGFDVAEYATHTGTSPSLDIDLYADEWGIDRTMTNRGGLAPRHVHPPPIR KVYLLQRKSTKLGKDLGKTTGWIHRLSLQHRDVEMIGGISYDKVDDQGLYITKTKTNE QLLLQVDHIVVCAGQIPLRDLEPALQKSNIPVFRIGGSDEASELDAKRAINQGSRLAA KIETATPGEPLGPLPTWSEKAVAYTMQFFQK H257_05020 MAAASSKVTTKYPHLLTPLDLGFTTLKNRVLMGSMHTGLEEGRS LTKLAAFFSERARGDVGLIVTGGVAPNRAGRVSPLAGKMTTSSEINRHKEVTQAVHGN GGKIAMQILHSGRYGYHPFTVAPSPIKAPIGWFTPKELSHRGIQSTIKDYVQCAVNAR EAGYDGVEVMGSEGYLINQFIVKHTNKRTDEWGGDYKNRIKFPVEIVRQMRRAVGPDF IIIFRLSMLDLVQDGSSWEEIVELAKEIEAAGATLLNTGIGWHEARVPTIATSVPRGG FSWVTGKLMGEVDIPLITTNRINTPDVAEAILSNKHADMVSMARPFLADPEFVQKAKE GRADEINTCIGCNQACLDHTFKGITASCLVNPRACYETELNYRPTQYPLKVAVVGAGP AGLACASVAAERGHDVTLYDKHEEIGGQFNLAKQIPGKEEFYETLRYFGKQIKKHGVK VQLGQYVDAQHLIDNQFDRVVMATGITPRKLTIEGAASSPKVVSYVDVLNGNVTLGHR VAIVGAGGIGFDVAEYATHTGTSPSLDIDLYADEWGIDRTMTNRGGLAPRHVHPPPIR KVYLLQRKSTKLGKDLGKTTGWIHRLSLQHRDVEMIGGISYDKVDDQGLYITKTKTNE QLLLQVDHIVVCAGQIPLRDLEPALQKSNIPVFRIGGSDEASELDAKRAINQGSRLAA KIETATPGEPLGPLPTWSEKAVAYTMQFFQK H257_05021 MLHRRVKVLLLRSTTTQARLYSAPTVSTVTVGDVLAAREKVFSN LDSAAQGELHPHFEQWQSIRHDAPVHSAVNLMVQRNIGSLIVTQEDQGVVGIVTERDI LVKGKETAEHEELAVKDIMSKRILCIDPSTTIMAALATMNKEKIRHLAVVHTDPSQPA AADAVPIEAMRSVLSIKDIIKAYAEDKKQELTDPTNTATSATAIPSSTTEASPEEPTK DIIPATVNASTLLRKKHETINLILNTRLEDNVSVAEAVAEMAKRHFGAVLVMDDGKKI KGIFTERDYLTKVLHPKLDASQVLTKDVCTRSVWTLKSDDSLHTCVFEAATRNFHHFP VVDAKEEIVGLLSVKDIVHEIVSEVKPTTGFWLMEYFKKAKQPAAAAEKVEAAASPSV GQEPNAATATKDDQTIAAKTDDAKASDPKTAASS H257_05022 MPPANRRQVCARCDRPPCVCYCAFIPSPKLVTQFKVHCIQHPNE FKRKALSSVPVLGHALESMSLEVTTTSTFTVGENESVLLLFPGSTATVLTSADLTPNL TLVVVDGTWKEAKQIVQRDPTLRALRRVVVQSTATSLYGTLRREPMEGCLSTLEAVAA AISVLEGQTSTETTLLSMFQQVVALQQEYVHAGVQRNLSFYDGVPKPARVLQEVAVTV PEALEDGESHWYEVYLTQHSVSGIATTSNGDRFFGTHSQAIGYVAALNAGRVRGHRFG VRRFHILTNQSQG H257_05023 MLVDGLAGVGVDMTGANLEITLNSVLSRIRWQQQPQRRHLSSTA WLRLKRSTRVTSSTTSRLLRPTTKARSKRTSRSTTVRSIQVVANWLNAQPTVYSLDTV DAKNNGAPSGARRGGGGGRGWKNAGGRGGRGGRNFDSTQGAYVNGVFVPTTDVAVTAD YAKTQIEFFLSPDNLVRDTFLRQHMDVDGYVPVAFVGSFQSVYSIHQDYPSLLAAMKT STLLEYDDKNEKVRPQDWQKWLWPTADGQYGVPRYIKVLDDAAAVHAE H257_05023 MATATATTTPVKYRLVEAEEVDQGNQQHHQQTSSSHDQGSFKKN KPFNNDAKNNGAPSGARRGGGGGRGWKNAGGRGGRGGRNFDSTQGAYVNGVFVPTTDV AVTADYAKTQIEFFLSPDNLVRDTFLRQHMDVDGYVPVAFVGSFQSVYSIHQDYPSLL AAMKTSTLLEYDDKNEKVRPQDWQKWLWPTADGQYGVPRYIKVLDDAAAVHAE H257_05024 MKCTFPVGMLVATSVVATTNDRCHLFNNKDACLGSSEGKPCYWC ASAAVPSSCFDEDEAAQLPPAVFQCMKEDATPANSGCHFYNSEASCQQNTEGDKPCYW CKSAAVPSQCYNETEAEQLPPAVFQCDMKKKSLVFEADVVDLAALATSGGMDATVWPS TWLGPVNHGCHFYNSKDSCIHNTEGGEPCYWCKSAAVPSMCYNETEAKLLPPAIFQCD KEDSINWALTEMPSQNALNALFQQWQAKHGVAYLSAEEHQQRTATFHENAHAVAAHNQ HPLKRYSLELNQFADTTWDEFKQLYLGVSSPQNCSATHTARVGGHTNVPDAIDWRRWD AVSPVKDQGKCGSCWTFSSTGSLESHNLLTHGKKVLLSEQNLVDCAQAFDNHGCSGGL PSHAFEYIKYNGGLDTGASYPYHAKDEPCKFSRASVGVHVVDVVNITSTDELELRKAV GTAGPVSIAFQVAPDFRFYKNGVYDSTVCHSGEQDVNHAVLAVGYNTTEDGHKYWIVK NSWSAKWGLQGYFNIARDKNMCGLADCASYPLV H257_05025 MASLEQSLMELDAMADEMRMAFPLRSYRLLSAPSLTEDDDFFRD LPVATRDTQPSKEVSGGDEESRAFSNYSYSSSSVVDDKGRRAVHERLLPGKKMVTTWR KQNKDDEGSQETICSQGVSKEEFEEEWKSTPFAKAQESAKPLEGEKPKALQGEQSKAS EGEQSKQQVAA H257_05026 MASLEQSLMELDAMADEMRMAFPLRSYRLLSAPSLTEDDDFFRD LPVATRDTQPSKEVSGGDEESRAFSNYSYSSSSVVDDKGRRVQSIRRRYEDANGRLKA VHERLLPGKKMVTTWRKQNKDDEGSQETICSQGVSKEEFEEEWKSTPFAKAQESAKPL EGEKPKALQGEQSKASEGEQSKQQVAA H257_05027 MASLEQSLMELDAMADEMRMAFPLRSYRLLSAPSLTEDDDFFRD LPVATRDTQPSKEVSGGDEESRAFSNYSYSSSSVVDDKGRRVQSIRRRYEDANGRLKA VHERLLPGKKMVTTWRKQNKDDEGSQETICSQGVSKEEFEEEWKSTPFAKAQESAKPL EGEKPKALQGEQSKASEGEQSKQQVAA H257_05028 MTNMLNAYQPLTMWDVVHPSYWYPLTSLEQSLMDLNALSNVDMP VQTYCIMEAIPPSTSDEPDDFFRDLPVVAQGSPETKDVSTNADDKAQSFSYSYSSSSV ADDKGCIVQSIRRRYEDASGRLKATHERRLPGKKVVTTWQKAGKDDEGKQDTICSEGT TKDEFDEEWKKTPFAKAKASYSF H257_05029 MFRYYQPMSTWDVVRPSYWYPMASLEQSLMELDAMADEMRMAFP LRSYRLLSAPSLTEDDDFFRDLPVATRDTQPSKEVSGGDEESRAFSNYSYSSSSVVDD KGRRVQSIRRRYEDANGRLKAVHERLLPGKKMVTTWRKQNKDDEGSQETICSQGVSKE EFEEEWKSTPFAKAQESAKPLEGEKPKALQGEQSKASEGEQSKQQVAA H257_05030 MTNMLNAYQPLTMWDVVHPSYWYPLTSLEQSLMDLNALSNVDMP VQTYCIMEAIPPSTSDEPDDFFRDLPVVAQGSPETKDVSTNADDKAQSFSYSYSSSSV ADDKGCIVQSIRRRYEDASGRLKATHERRLPGKKVVTTWQKAGKDDEGKQDTICSEGT TKDEFDEEWKMTPFAKAKVQKTIGGEQTQEKICKPQEQPPLEGESKPAGEKVGEALKG AAAKVGEVLKDAAAKVTNSA H257_05031 MSDDDGPMPMPLPAGKRRKVVDVQAEKALLDQLPCAEMYEKSYM HRDSVTHVAFSKSTQFLITASADGHIKFWRKMRTGIEFVKHYKAHLQAIVGLAVSDDG LRLATTSLDGTIKFFDILGFDMVNMLTLGYIPSQCCWIYQAGHVVPKIVVAEKGSHVL RVYHAEKAKDAALLHVVDKLHSAPVSLLGFNAVANVVISGDTKGMLEYWSADTYSFPG SPAVKFKSKMDTDLYELLKHRAYATTIDVSQNGADFVVTASDSILRVFRFATGKLRRK YDESLVQFEDAQADGSLQLDAIDFGRRMAVEKEMASASVTSNCIFDASGHFLLFSTLL GIKILHIDTNKLARVLGKVENTERFTHLCLFQGTPSINTQFQKHASTEVEKKLLMSDA DDAKAWVDPMVFAAAFKKPRFYCFSSREPIDSDDDEHGRDVFNEKPTLDESQISTKTT AKALGTRAVIHTTMGDIFLTLYPNECPRTVENFCTHARNGYYDNCLVHRVIKNFMIQT GDPQGDGTGGESIWGGEFEDEFHRNLRHDRPFTVSMANAGPRTNGSQFFITTVPTPWL DNKHTVFGRVETGKDTVAAIEGVRVDRFDKPFEDIRIVNVDIM H257_05032 MPLLEPRGRSHVPRVTWQQMLHHLSLQQRHQLDQTRLLWAGRQF DDGSGRDVMHERVPQLFERRRRQLAVVVSVVQVPRFVQHDQLLGGAATYGTFILKPPE HCQHLVTCDGLFQVQQRRLDILDSPRKQLCVEIQLWMRQELHDEVPPGHEESAQNLRV FFVMTTLEALNDMLGTFLGCGVKDLGAGHLGLHGFVVNQFQHVFVDAVEVYGGQACQQ RVQSLRQRRRVVRHAETDRRGGHAKRNRQICRQHRRQRLKVSAQNSKQRDDGVAALGV HVLFKRGVAEQRVQQHKRQHVGPHSSRRYRNPSSRTANKCLAT H257_05033 MKKDTDALCRFLLSRKHLVMQFLPHPELNLHAELFPWTVEDVQS SLLDLKSPSQVTKCWQCSGAYVAAPPSSWSCSVGGDVQLWHALVHHVPTTAIAVVELS PRPQESSLVQQVALLQNQVLCSMPRDGRTLNIGQVIESSHVLRKPDDAVLYVAHGDGW VFDVDPSDGMVLLEEQVLARDAIPAHPPSLYYSLSATLQEMVQAKESTSTKGWLEFGS VGEGCTVDTPNIVTCESDGQWTTALGNKSIKQGSGIYTWRIQVIKCANVGQILLGLST KPSCTALTALCIGTTVDSFGWMLTGDFYHCGQRSQHTSTLPLFSSKSIPLLDLIVNTT ANTLVVCNADTGEPYGPAYALELAAGAEYFPAVSLFRRHDCVAWKSAILFPSEWSLTQ QKQRYVVPAVVPTSMALSCAKEVLGVFREATHHDNNDAVRMRWLGPMVAQFEHVPVDK YARRTRLLDRFDRRHLAPSTVDGGNDR H257_05034 MSCGAVVRGFMIVGEDVDALTVTEAGPEHKWIQSKLFHGGVHKR TEKHAFLKQFMDGHWSSLDKMMLRFVGGSALVLRMGGPALDRAIRGVVATMLLHCGMT VSAECVDVDSLKMPPKSLRLIWQKAVELKSWALRYKTSANTTYDEIATMLTTKVDLLL SLTPCKSDAYVGDPPSLSRRISMGSSKRSGSFDATPEDAAFPSWEPELLQAIYNFYRD VDCNVNKVQEMLSLSQQKAITRASGLRRVTNVLHVATVAVPAKAAILVHLMRVLRRNP SSLWHYQQGIDGCPAATKRDVHVAFEGFYYCITQLLSNGWNDVSWQFVLLDTSALRIL PEDHAMLASCRVFQTLQEILDRTTESQSLLGLRQSAMKVVYLLALQVASDGGAELDPT TNPTINSLSPPHFQRQLSGPQTLSANVFDMLYSEMYVVVHSMLEDTGMVYNMDTIGPN PRILGVLSLLQFVSTSSVCQTFLCTPNWLSLFVTTACFGQVEPQTRAMQLLHTLLPLC DPSFVAIDLPFDLTTMACVVGTSVRARPLVEFFLANVGRALSPLDATTTDQLPRSVES CLSLGSESVSMLRMLIGNDTWSELVAQCLTDSYSSQAASHQLGVLGVMGSFAEPLRFG GHVELVDCGTQATIVSVNNQTTIEIQKRNQTSICQVQVEAVTAVPRVAISIAAYPPAL VESVLRQTALLVDSSALFLAHFQVARWIYNEEPARVVMLVSEHPSVALKVFEIGATLT DTNGLPSLAQLEDKLELIRRAQYATTYGSIRTALYPVSEANAPLHQPPDVSIHLSCDT RLGFAEGLVCTMKQVSTFDVPVDIDPNAIAWLEALLNHVLSLTVAELMQTWATATDLT RIELRHVQQAVETVYGRIQLPEMLQAACAEANEWLSNRDHWGKEWTVHETSIVQYVLR LLAQNNSSVSMYKWRDKCAALKVGSYLCATCECLVADVLSLSMEVLRNAEATTPVITL ASILEAMQGDDELGRLLVYHESYVALQSIATNFPTLATSSSPVVASKTSDEKVLDVRI QSMIAMGFPEQWCKRALDESGQDVNAALNWILINGELLQDTSAAQPFPTSNDNSPEPD VAVVVDDPPPPPSLEWSTATSLDVAGAYATYWKLGHGNTLAFTIQSRTGTALVGLFCS RVLHYELRISDKAIDLSFDGHVVCTELGAFCDDVTGVSFWAVWTPTTLYIGQGPRVHL DALVLQWTRTDNALALDSFSFGSTPSTMILAVSAISWTHVFASDRSLTAAPIDTVVWP TYTPATHDLTACFYDRPSLSFQRTNDQFNVWGLYLTRQGFQDAMHQESAPALVQHAHE MIQVLRILYARRLGLTILAVCNQLSALEPVFRNHEALFVEFVSLVSNRHWISDMTKIT TQTQVGPPWYLPRKQTSLDFVRPAMQSVCACLNPLSTAVCAYLQSHMSAFLLQPASLH WTEPSSLKTDVAVRQGSDLRFLLLVTQWLLPVSNNVMERALFGIWATSLTSNHVHVKQ TAFQVLSRMLHQCLTRVNESTTDKNDYVESLYSYTKLVSYPHLKTLTARLLQHEQENC PMYSQYFQAVVDFLALYERALHRLDQQASTETAPSSTRGLFFKGGASYVDIKGDDISP PWTAQYTVHPVAGGAVAVLANSTSTAILLRCGQSFNAGHPSLALKTKANAQVVPFHCH LPNDTWSVLTITASLSHVSVYINGTLAAKADVSDVRLPMGQLGDPSQGFRGWLANVRY FDSILTSSQITSLVALDRLQFEQPSNAASALLTPPPPLDSVFPALTAIAHWPLNEGVG AVVHDALRKYADVTVHGSKWSRLELPSVDKVLLATSHIAMALDAEYLQKYLVFSGTGT FTQQPCGALGGGTWNASSTKALAVHLYSLDQRSNLEGMIVLNSTLRWLVTGTTREDGF VEFSVQSRRGNGETVDDTQWMDGVVFQGWHRHGVLKGTWRTSLTQTIPPRHDCAMYFL APTTDSVVLSDGGRMALSVLRKGKKKANVLYMGFGYHGLQQAWASNGCLCTSDLPNAA QHDILNRTDGFATVRCHALVRRGQVYFEMTLKSSGLMQLGFVSAGFKPAFATHGVGDH FGSFAVDGKRRKKWCNTGSSYGGDWSWSAGDVVGAMIDFEAGTMGFTHHGVDLGVAFT QNEYPQVNWTNGLYPAGSFSSGEGAWFNLGQSPFQYQPPWGYISVLESVNATSSSKNE AVPLPRVDVYSHRQKRFSPISTGHRVTEILSCPFPTHRSLSFGWGFCSSGKIYHGNTA LRYASVGFVQGDRVGIELNMIKGTLAFYRNRTALGDAFTNLHMELPMQAFVHVNNQGG FVPAVSLFRPQSMVVALGLKHGQDEVEYSKTQ H257_05035 MWLADKHQRFVLLPPSHDTAILSSDLTSGKLEPHASAGNHVLFR GNIGMSSGVHYWEVGVQACNHGSLFVVVLIASSQITSSEGWGDFGFVSYRVRWSQAEG EHLYGRYFSAGDTIGVRFDMEQGTLSFTKDGDDFTKGRPAVIHMGVAFRHLRTQLASH NLTFVPVVGCSHPGDAFTVKGYKWHSQDTSPQWPIARLDQDGVVWYVIQGNEAAGAWY WTDPEVAHLLTLNPSEVAADPWSCAACTMLNDPNLSKCQICDTPRETDVDDADDVDGN DDDMTAGQPLIVLQRAFTDATTCESPWSVATFVDGGSWDATLVQQIDALCDDNGQDPE NVAWSDIWNRVAPLVDMDEDQAARRLSVLLAWNSRVQLLLPFLDFHGQHLLESPISRT ANRLTHLRHLIFKRHKIKFWRDMVDFTTTHTTPPSDELKPHIWTK H257_05036 MEGMAAVLPYALCTLFMLAQFEELVVCGFPDIDMLQRITQCLQD MNQAQRSLFVNFVLARSRLPRSIEEFTLHFKIQPAVIMGDDTNVPGSLLAPFPNVLFL AVFAAV H257_05037 MATRHRIMVPLNLSDLFWKPLVGLPNDRKDLGAVDTMLMRELHD LECLGDAEVEALDPDEVVEYLLRVTQAPRNPHMDKMNTLTVPTLAQLVDDSVALKLDA IRLQLVSFMEGMAAVLPYALCTLFTPAQFEELVCGSPDIDIDMLQRITVYEGVDATAP HIGFFWQCLQDMNQAQRSLFVNFVLARSRLPRVQLLLPFLDFHGQHLLESPISRTANR LTHLRHLIFKRHKIKFWRDMVDFTTTHTTPPSDEYERPDSLREVSVNRIVALDEPVSF ATSVFGQLHEAMQAWDNHSLRRAYADELQDAGQRRAFYVKFLGEGVDDHGGPYRAVFQ TGAWDEPSGGLQYVATVG H257_05038 MFIVLAIVLVCGTVATALGAAHFVFVTKVDNQAKAILSGLQHTP GVYVTLTVKRASMRLNGVSTAEAYIFPRGGPNLDGALLFDAVLTHRNEDVMTTYTLLN SRAYVSTSSVSTGTIMHVSCLEASQVPPIHMMAFSLTTSTVLDEVQGTAQPLCDNGKL LQLSFAGENFVFCTAQDSKLQRAASDDLDMVIQYVSDPTLLPDLVTPWNGTATPLDCP VVVSSGIPPTPVAPTYMETASMVYNAATGPKRSFMMGKLSCECRRAKQPCLFVHGLGN SKAGPMVDTFKSYWGKIHEHSPCCSSTKFVQFDTVTQGWTDPNLQREFCTAALKISQG ESQSTVGSLILVTHSMGNLLAGGAVASGMCQFSSRVTWMSLAGPMQGSQSTNLVASRC AASNSWFDRAFADVLGLTGLCPSPRAYVQLQHQSTVGADMQAKYQQAQAVRRTHGARV LCGTDPFGIGSPMSIALASVGAISGHADRAHDGVVDLTSCMAGVSTSGAGADSSDYHY RAAINHLDTSFRHGDGWWGNDRKPQKWFECAL H257_05039 MLPNNDDKLKGDELKGHTRASHVGGTLQVALNFYSHSSDGGLPY STFGPQRARFSGPMGNIVSTPHVVELLDMRGQECAFDLDLHGFVPLGNVPSLATDLLD EHGEIADLVEDTLRLVTAHVDAVVRGLIPSTESLSIFTTMTRCSNPHNSAHSQRRPSP LIHIDHTPTSGASFLTKFPPDIQNDIQSGRLRVRILSVWQPLVPKVLDWPLALADART CPPTALLDSETRLAHGNIGAMSLMTYDPTVQWWYWSSMTHSEVLVIKNYDSKDSQGRT PHTSFFDPRSVSSPGVFRKSVEARVIVASTM H257_05040 MTVSPTKHNQELTTASSSGPVHASVLQSGNEAALVSAKARCESQ MIAKDFQGAYATIVECIKDAVGVYGKSALSLVPYYLCMAEVGLELSHMNQVEEVLALC IWNIVKAAEDDAANEPKTLVHRAVVCKLFGRYHCECEQFDEAVKQAANGAYYASVIHG PEHIQTSTLYFTLGAIFQKMFRTEEALGMYDKVVEIWFKHLAAATRRQRGGHDDDDDD GGRDVVGASNGTIHPGPSSALPAHAAKDTASPNTERIYHEGLAMLKQVLSTRTKILGD THIATGEVQYTVGLLSFYMNDHDSAKAAIESAFSIYTDALGSLHPSTMDVQTILDQLA H257_05041 MLRLRVTKAALEKIITMPRRRTSSLPIHGTSAVPCQQPASVPSS ADHPLPQQQHSKSTPLVSAAWKPPRKEEGQLDNDAIDDPRGGPLKLDDLQLNVLAKSD AFLVLNKNPDVRLDGDFNVTIEKAMHRDYPEIAKFRWIHQLDFATSGVMCVGLTKEST AAASGLFAKKCAEKEYLALVHGTMPFTPPRGHKCCTLSRVPDFIHDKDHPKVILQHQH KFTKQFKGPRTGPSLFAMDQGVLRRKLAAAGTATTIADATFLGKKWNDLCDNVQKTYF DRAAADKLRFDAQVKAMEAETLPVHVRYDLPLLQPHAFAAGPIGTSASSRPRSMSDLS CPSKRSRLNNMASTSEPMGYIFDDSISPSTTNSFQMEISPNGKISTTVAFVLGHGVVE GHPVTKVLLRPLSGRRHQLRLHLSHHGYPIVGDVTYGSDDDLAPRMMLHAWKLNLRFP PDQQHMYGSTYFQSPDPFESMVKTPMLSTVQWTVQPPPVLRHHHAATSSKKKKAL H257_05042 MSHIEREHPEFVHFDALDPATQQSVIASSTPKPVQAVHGWLTWI TASLMPFSFCENDIARRFTTLGTISVKPLMKWKHAMCRWMESKISETLPESFAIVYDG WTSGSTHYVAMFATFPNNYHRGYEKVLLAMSPMNEEDSLSAAAHVQYLNFVLGVYAKD RGNVVALIGDNCSTNRAFARTADAPMIGCASHRFNLFVGDVLAE H257_05043 MASRAKKRRKMQQSCRGFMDCRFLRPTSNMCERLFSVTKWALTD RCQSMLPSNFEEQMFLHSNAFLWGIDDVKSVMEGVAQDE H257_05044 MSIGSRIGNDSLTKHGIPSGQDASRLEDPREFANDPNELWMDDF FGEDVILHHTGSFLTKAKLVRRAVMFMAILRRVSSLYFQLLAIRTMGKFPIDEQQAWL LLRLLGTMPWGSIQLLTWQPQSHALRG H257_05045 MEKAGLAPRQSSFLSRAFFRKSLAQLQDEIHRDVHVRSLSLFDL LMIGIGGTVGSGVFATAGLIARCYAGPAAVLSWIFAGLGCVLTGCAFMELSGLIPTHG STYAYAYHALGEYPAVIAGFLLTLEYGIACAGNARAWSAKFVVWMKLMFDVDGPTWMK PPGTSIDLYACFLMTACVLVVLGGMAIGKRLINAITVTKIAVVLFIIIAGLTQFHVEY MDPFLPPSTVNAATGQVVFGWPGVMVGASASFYGYIGYDEVCCLAGEAMNPRKNIPRA VLGTVVGAALLSVLATLSVVGMQRYDLIDVQESYGEAFKSVGYYWASPIVETGEVLTM PVGILIGFLAQPRVQCAMAKDGLLPPLFGRLDASGNPRVGTFVAGVVLITFATFVEFQ VLWNFISLGILLAFNMTNLSLLVVRYGQGSPQLRVGKWRLQLPFVLTLFGLTSFLSAL HWQHGAIAPIVATSRGAEAYANYMTSAGVFVAAACSVLMMAVTAILVVNHPTHFEDED LGDEGKGLFRCPAVPYVPCIAIYFNWLLVVQMPGYTVLMMAGWVLLASIMYLVYGTRH GLAATGAL H257_05045 MEKAGLAPRQSSFLSRAFFRKSLAQLQDEIHRDVHVRSLSLFDL LMIGIGGTVGSGVFATAGLIARCYAGPAAVLSWIFAGLGCVLTGCAFMELSGLIPTHG STYAYAYHALGEYPAVIAGFLLTLEYGIACAGNARAWSAKFVVWMKLMFDVDGPTWMK PPGTSIDLYACFLMTACVLVVLGGMAIGKRLINAITVTKIAVVLFIIIAGLTQFHVEY MDPFLPPSTVNAATGQVVFGWPGVMVGASASFYGYIGYDEVCCLAGEAMNPRKNIPRA VLGTVVGAALLSVLATLSVVGMQRYDLIDVQESYGEAFKSVGYYWASPIVETGEVLTM PVGILIGFLAQPRVQCAMAKDGLLPPLFGRLDASGNPRVGTFVAGVVLITFATFVEFQ VLWNFISLGILLAFNMTNLSLLVVRYGQGSPQLRVGKWRLQLPFVLTLFGLTSFLSAL HWQHGAIAPIVATST H257_05046 MSHAVSDQQVEMELEHELHSVDDVDLDVDDDEEYDYASDTSSYQ YSSDEGAADESKSKRSKTESKTRTTALKVNTNIGSAAAAQYQVISPTSLATQQNALIA DVANILSLPKAKASLLLRYFFWNKEQLMDRYCSDPTGVSQAAGALSTGEEEESKDVKR RCAICCEDETSQLVALGCKHFFCVDCWVPYLQIKIQEGPGCITTTCPQHGCSEVHTTS ISRNILTRIRQRVSDSIFQKLLPKKDYMRFQEYLLRSFVDINKTVKWCPSPGCDKAIA SSGGLMTVSCVCGCLFCLRCGDEAHMPVTCDQLATWQEKCKNESETANWILANTKKCP KCSIRIEKNQGCNHMTCRSCKYEFCWTCMDVWSNHNANTGGYYKCNRYDPDTVPSDTD AARAKAELDRYLHYYQRFANHAEAGKFAARKRDDTDRRMAEFEAEANGSYMDVGFLNA AIETLIACRRVLKFSYVYAYYLPQGKEKDLFEHLQEDLEKNTEHLTGLAEKPLDKMDR SDIINYTRVTENFLKNILGDVDNGLLSN H257_05046 MSHAVSDQQVEMELEHELHSVDDVDLDVDDDEEYDYASDTSSYQ YSSDEGAADESKSKRSKTESKTRTTALKVNTNIGSAAAAQYQVISPTSLATQQNALIA DVANILSLPKAKASLLLRYFFWNKEQLMDRYCSDPTGVSQAAGALSTGEEEESKDVKR RCAICCEDETSQLVALGCKHFFCVDCWVPYLQIKIQEGPGCITTTCPQHGCSERVSDS IFQKLLPKKDYMRFQEYLLRSFVDINKTVKWCPSPGCDKAIASSGGLMTVSCVCGCLF CLRCGDEAHMPVTCDQLATWQEKCKNESETANWILANTKKCPKCSIRIEKNQGCNHMT CRSCKYEFCWTCMDVWSNHNANTGGYYKCNRYDPDTVPSDTDAARAKAELDRYLHYYQ RFANHAEAGKFAARKRDDTDRRMAEFEAEANGSYMDVGFLNAAIETLIACRRVLKFSY VYAYYLPQGKEKDLFEHLQEDLEKNTEHLTGLAEKPLDKMDRSDIINYTRVTENFLKN ILGDVDNGLLSN H257_05047 MGRKVFRKKKVRAVDMHRLVYLGNAALRTVSRDVKDVMQIAPVV KAMKSIVGEHQGLGLAGPQVGVNQRFFLMIESIPQDDDTPFTYEAVINPVINSKSAAV TKDFEGCLSFPGYQGVVSRAEVIDVTYTTLDGRKIENRTLANLHARVFQHELDHLDGV MFLDKIDMSTLIHDDEFRSRDYLDLQMLLKDD H257_05048 MQRRHSGVNPDQLPHENHQVNSPRASMPRKNSMPAGESSRSSDH RTSASPSGGGGGRGRRYNRWTLVVVSGLLAFFVLSELRYQQGCDVSESFSRHTSRIGG ITLSVLDKVNVIHWLAFGTLMEALLLANAKGDAGTLLAAAKSSVGRRPPPLDMDFHVS TVEIAVDTTSMDDTAFWHIVTELEANHLHVHFDPSQRMMRIFDKDESFDPTALPNKYI PSWCVSEPYHAGQPHAMLWFVHRDTKAATYSVKQDGTNRRRVFAEQDVVPLKHLDFLG RVVAVPANAQKVVAQEFAKELHDGIVIKGRSQCVEELVRGDAFFQAESSRWLWLGLFG LTTLAVYKGLFALYDVAAPAPSKLRSLTDTDHKAFV H257_05049 MEQVFEQLSEDSKDFWTPRSIARIPQPTPLEFYRNYVSKNIPVI ITNAMDSWPAMAKWTNEYLVDALGETQVTVDVTPFGYGDAVTTVGTENVFVMPEERSM AFRDFLAILHDPCFDGVPYLSHQNDSLRDQFPALVDDVDPMLAFASEAFGNTPEAVNL WIGDERAVSTMHKDHYENMYCVVRGRKHFSLLPPSDVAFLYETEYPTGRYRSTRETTI HDETPTILHQCRSHPSWSIAMQDNNDLTPWIPVNPLHPQVEKYPLTKHLQPLVVTLEA GETLYLPSLWYHRATQLTETVAVNYWHDMEFDAKYAYFNFVHGVASAVRDSTTTSAPD NMCS H257_05050 MATRAREEHPTRRVSISQSSINHWPRRNYTKPWLTSPTPQALES CPTPPLPPTSTNFDAMPGWDTSPPQTARILVHQILAKPHKDAKHRKGHARGLSRQQVL DAKTYIMDLRREIDWRLACDADPIHLDEGERNHSTPTSDDDWSQCM H257_05051 MSTSSTRVPKKRTLAEDYGGGVDEDLQAYVDDDNDPTQSSDAVM ASNKLSQRIQKRLTTLESDSGNSQYQDFSSLKMKNDHASRPVWVCPNGRIFLEAFSPL YKQAYDFLVAISEPVSRPEFLHEYKLTPYSLYAAVSVSIETESIVKVLERLSKNHLPL VIVQFIKECTASYGKAKLVLHHNEYYVESLYPKVLKRLLEEESIRLARVKEDVLGAGA ASTYNATGEFLQKEISAEDQANKQYEQLLMEDYDQTEDQQRAKAAAAATTVQTVSFRI RKTHVEQVKRACLDIDFPLMEEYDFRNDKSIPDIDMDLKPTTRIREYQEKSLSKMFGN GRARSGIIVLPCGAGKTLTGVTAASTIKKSCLCLCTSAVSVEQWTAQFKMWTSIPEKK IARFTSVAKDYIDPVSGVIVTTYTMVAFGGNRAAQSAAVMDIIQSREWGCILLDEVHV VPAKMFRKVIGSIACHCKLGLTATLVREDDLIGDLNFLIGPKLYEANWMDLTQSGFLA NVSCVEVWCPMTGPFYAEYLKENVSARKRALLYVANPNKFSAAEYLIKYHEDRGDKIL LFSDDVFALRLYATKLQKGFIYGGTGERERMRLLQSFRSSPHVNVICISKVGDTSIDL PEANVIIQVSSHFGSRRQEAQRLGRILRPKANATGGFNAFFYTLISTDTHEMYYSNKR QQYLVDQGYTFKVVTELYDKATFEGVFTSKDSQSELLAEVLEAQDLEKFIDDENAEIS KIGGDEDLSRLSGKKKKTTMGALSGADGSKYMEYSTGHGAKQRHNLFRDRYK H257_05051 MSTSSTRVPKKRTLAEDYGGGVDEDLQAYVDDDNDPTQSSDAVM ASNKLSQRIQKRLTTLESDSGNSQYQDFSSLKMKNDHASRPVWVCPNGRIFLEAFSPL YKQAYDFLVAISEPVSRPEFLHEYKLTPYSLYAAVSVSIETESIVKVLERLSKNHLPL VIVQFIKECTASYGKAKLVLHHNEYYVESLYPKVLKRLLEEESIRLARVKEDVLGAGA ASTYNATGEFLQKEISAEDQANKQYEQLLMEDYDQTEDQQRAKAAAAATTVQTVSFRI RKTHVEQVKRACLDIDFPLMEEYDFRNDKSIPDIDMDLKPTTRIREYQEKSLSKMFGN GRARSGIIVLPCGAGKTLTGVTAASTIKKSCLCLCTSAVSVEQWTAQFKMWTSIPEKK IARFTSVAKDYIDPVSGVIVTTYTMVAFGGNRAAQSAAVMDIIQSREWGCILLDEVHV VPAKMFRKVIGSIACHCKLGLTATLVREDDLIGDLNFLIGPKLYEANWMDLTQSGFLA NVSCVEVWCPMTGPFYAEYLKENVSARKRALLYVANPNKFSAAEYLIKYHEDRGDKIL LFSDDVFALRLYATKLQKGFIYGGTGERERMRLLQSFRSSPHVNVICISKVGDTSIDL PEANVIIQVSSHFGSRRQEAQRLGRILRPKANATGGFNAFFYTLISTDTHEMYYSNKR QQYLVDQGYTFKVVTELYDKATFEVYVHRRIYKQRLAK H257_05052 MSDHRHQLQDYPSLLLRLFHQSQGPHSKPSKKAQEELFQHLQTT SLPVECFDALLPHFLVCLGKGDAASKWLVLLFASNLLRTADVVNMVMHQQKLVISILP ETLEKWWRLVEAQGTAQSNLSNLRDGVYVPNAVLQHEKLALQSSQFFALGRLVDTLAL LLRVTDDVDIVDFATRTFQVILKLAQKGAIQNVFVRVADVLITWICRQDTPTGPRDVL VRTLFDMRELWADNPVFSLQLIASFTAEMEACCAEDAALSAADLHRLNAIVVCFMAVG HGLRSLVSSPDAILRRVVYALTSLGDRYLLSIDTVTTCAHAMVAIQSQDAALFSPLCV SCVDLLLLHAATLFHRHLATASDVSVVLDRALVLLEGCSSVQFSLPIVNLLHRNLPLS LPLGVSPNNGGATPPSTAPSQVTFLSLLCTTSQAGVLIKLTRVAVQCVRLGGIPALQV FGFQAVSASNDIPVMFFLMVFCLALASPMNTPLAPGDAPCLAGLYTRLIQSLPKWTDT PLFLHGVKAIHCLMQFILQARAAATSPPIKPADSLALATILLHHVVASPQSVVLFQVL TDLVAEAPLFQLTLPLQAPVAFLALANHPNDIVRRHCVALLPSITPHPSHLAVALDRL FDSVPNVATAALQAVGNLAVVSLGNATATITPSGRPQTSFKRAAKQTTDYKINFSPAQ FELVVRWIDSGDPDDTCVAGLRLEHHPAPLVALKEHVWAASSWCVLNRLRTHWGNAGH TLAALEKLLLKPTVVHPRLVVELLHALELAISRMLVETTSIHDTDNHHQQLEKALSFF KTNRKVCDDWFLRIRPALVRLCDATGASGLGRHHALALVTSLAAKAATAEWDAAMYAL CSASCDLQDIPGLLGYMEYAAHVGQSKPWMPPLALEAQMQYEAATAGYATILSPLVAL SRQLESSENHRNSEAATGVQAYVASMDMGPVSFKGVVLRCAQCFAYLQDWDGCRQWMS QALQVAALLRTVQHWLTDEVEQLASALNGLVAAWGLELRMLLPADQPPVASSTHRDHL PPLQEWTVLSVVDDAKARNLHDGVQAHTKWLKQRLRVLAIDNRAAAPHRGDSMSRQLR RTLMQLQCFVQPKVPRTLSLDPTAHDLGVWYPLASRLTAPIFEFDLQLIRLARKQRNF NLATTKLANVAATSTVNKLLVDYEQAQLLHATHRHAQAVSTLVQLQASFSATTAATTD SERRVQVKTLVKLAAWTTDHHQPPIRQMYLHHATTVDPLSCRAWLSWSHYWYQVSHSQ MEFISANQHEYALTIDEKQQFTAIVSSFSDLGVMASALLAALQNFESFVCPAPVPCVE ALDRLSALYQQARTRVLAGYASAIPGYVTYLQCASPSSLQSHGAIVTLRLLGLLVKHG SEPSLQSALDAAVTESPLPPWERIVPQLLSRLAHPDSNAAARVEAILLRLAAQNPHLI VYPAVVESTKLTEALDSDLVGQVRLLIAELRRISLLWDEAWVSLLSKLSTDVARRSHT LEKEATRVERNAFLPDNEKRALAQRKFVAMMKPVLLALATLAKDTIETTSQTPHEHWF AHHFGPLITSALSTFQRCLDPNDDLALQLLRPSQSQPTHTLSSRLANPWVQCLWSPFE DILKRLNACQRRSSLQMASISPVLASWESSLVHMPGMPTLQIHRVAPDVQILATKTKP KCFELVGSDGVSHRYLLKAREDLHLDERIMQLLATVNGCLGSDKQAKHYDLSARHYNV IPLANDVGLIQMVRHVTPLFQIYTAAATTGPSSTTTASTDVNSVAAAPTAPFYAKLKQ HGITNVTPSGRPHWPLTTLRQVYTDLVNEHPKTNVLLQELMRRSASLQEFGAKSTRFC RSVAVMSVVGYVIGLGDRHLDNMLLCHSGDLVHIDYNVCFDKGKKLKVPEVVPFRLTS IVLGALGLTGTAGRFRHSMETTLRVIRTSKAKETTLALLEAFVYDPLVDWKDGPTPKK LWRMEMNVQLALFSSRAQERRAEANAALAHVMHTWQVVEDLTSDLVRAVGPVTGLHDQ LCTLQATQNELHKQLAQLLEEVEGDEATSHHEQLEQVYMHAKDELDHFFDECQGRKGG VRQWLDLPDLGLPALASFAPTAMSFTDLYIALNLADRSLEQNCHALDKATDALIAFLR SVSKVQPALSWYERKRPQLYGSLRGPTVYDQWIDWVDQARASDGKFDVHQVVQLAEED TRLRQCLDGVATAPRREMPQLAVGINDLDTTGAHSQLNALTHALSELKTTWKLSNAQL KRVLKVAVADHWRRLQSSTDLSVDQLALRVRSAQWLFEVGNMPKGTSFRYLPLDEWLL HPDTRPSQVIPALIPLHNFCLAIRCALHLVYEYFPRLAYGTSATSVVEAFRTSLDQAY ALPCWSALLDSFGWVYDDVPVTTLSQTTGLEVPTHRPVAIWDLPGLPSLRQCLNAMCA ADIALTRDGNVEATQYYWLDTLATIARMVAVDQQPPHVVGSYLTAQAASVLAAASVAL DACLHTDICVQAWTFQPSDATTPTIISADQLEAEVQRVMPLDAPRDFGSSLASRLQLD QLVDTMAAVATASIEQAQQTQLAAWTQALEAHRDQLDALAAWWNVSDQGDAVDRSRLL ALLPHMKDNDETATTLAQHEQLLETLLAPTALALTRHATSDDTHRRISHAVDQSLTAT HGVQSFSAIGRWIEYVESTFRDSKSATCRAVDAEGHRVLSTFVQAQTAWTTHTRRSIT SSDQRRAVQQALGQAMSQATRVRQSLVAHWLEIRDDVASPLLALAQAVAALLDTDKAK SAAVLWENERLVKILSKSTKRTPELGELQAAVSVYEATSANLHAKYTAVMQTCDDFAQ QCSEIKVAAAVASAPATTMASVVRGNSSKPRQDKSLATLSTDDPMTVLNAIQQVIQVS SEMRPIRQAMETVVGLFFDIADLATSLSTHERSTDKDEGGAVVVVAAASHDSDSSSGD DDSVTDESDDDDDVAVSSVDAAAAAITAPNNQVQARNACGLQVLHRVKQKLDGEASHL SVEQQVQWLIQEATSVDNLCQMYEGWTPWI H257_05053 MGTKMVFVTVGTTSFDDMVVAVDADEVRTILKEKGYDKILFQIG RGTYEPRALNGTLSTSFYRFNAAYKDDIRRASLVISHAGAGSIMDTLVERKHLIVIPN SQLMDNHQEELAGALAERHHLVATTCTLLASCLKAVDLNTLEPYPDVDEAAFPSFVDS VVHPPQHSKQA H257_05053 MGTKMVFVTVGTTSFDDMVVAVDADEIGRGTYEPRALNGTLSTS FYRFNAAYKDDIRRASLVISHAGAGSIMDTLVERKHLIVIPNSQLMDNHQEELAGALA ERHHLVATTCTLLASCLKAVDLNTLEPYPDVDEAAFPSFVDSVVHPPQHSKQA H257_05054 MVGGISMQGITTVGIIGAGLLGSAIAFDLAMHGVKVVLFDRDPT ITTPDALRHASYAVLQPLWRLGYIPQIMVGNAVKNIRGVHTLEGVAEHAPQLIIEAIP EDLALKKSLLRELERLTPPTTILGTSSISLNVNDIAAGCLRPANFLGIRFMHPCVLIP FVELTASASTDPETLLRVQSYLSTVHKICSTGPTRRVLNKSDVNSYQLEHAKALGFYH ESLPMAKPVPAASLGHRHAH H257_05055 MAPTGEPAGGSGTPSTPRAATTTNGNTTRDSIGSIMHEFVDSFP IDMDSRQSISDLGKVLFADGKKDSSVAPSTPKVPYQMPKEIKGIPRQKQQDVMGITAS GRVALPQGSVRKLLQTQGKAIPMRWTTEEDEMLRQAVDTHGERNWKSIAEIVPGRNHT QCLQRWTKVLAPGLIKGHWRPDEDDVLRQLVAEGRKNWGQVAARIPGRTSKQCRERWY NHLDPNIIRGDYSMEEDQIIIEAQQRIGNRWSAIAAMLPGRTEDAVKIRWKSLCRTKS GRNRKAAQQAEKDGKVYDHHVMGHPNGAPSYHMTLQGGGYPQHQQQQSPHHHVLDDQY KQHGQQYHHHQTMSHTPHGGNEGYHQPPPRDVFQSAYPQQHQQQSHHPPQMQMHQMAP PHTPPSFQSSSYNASFLQKMNAPPSYLQQPPPESFNAYFNHHSPHSGYGRPTNGGATP TSTGYQPTPYPPPAQSTPLPPDAMFHPNAHNLHQQQQHHQQQQPSPLPPPPPSMKAEP TSFHLPDENGSSSTTPSNGVAASFASPRHSLVSSFVQSLNTPLPSSEFAATHPRPAAA ALNFAASFAQSQAPKDRHPPAPSAINVAASFANNRAASSTPRSDNAAADPDHKRPRLP VNMDAARAAAARRMRQGDNHADFMPPADVAPMGGDIPRISVSDINLSELGPIEEMWRV SSDMNRLSL H257_05056 MDAHLRQPQQTTSYQALPLHAPHGDAVDIRERSKWPWWSLLLAL AGCIGVGFTAQATVFATPSLSSTRVEPLCDASVRQLSGYLQVTNATKYFYWYFESRDN PTKDPLVLWLNGGPGASSLIGLLAENGPCLLDPDTLTLKDNPHAWNAHANVIWLDQPA NVGFSQGPPTPPSQVGPNVHTFLENFLAQHPELHRRRLYLTGESYAGHYIPGIAHTIL QGNAVKPSSEALNLQGILIVNGLTNVQVQAQHELDMVFENAYNVTLVPNDQLSVVKTM QTTAVDLARTCQHDSSVCPNATLAFIALQSQVNEYTTRDSLDIRQDCNVSSCSAKMTA IDAFLSQARVQTRLGVHPYHPSYSVSNGNVMTDFATDIATNYAPYVDTVLNAKVPVVL VAGDADLQCNWRGVQAWPEHLHWHGAHGYKSAPLQPHFIDHVQVGERRAHDLLVFYRV FNAGHMVPQDQPRTALAIFTAFLNHTA H257_05056 MDAHLRQPQQTTSYQALPLHAPHGDAVDIRERSKWPWWSLLLAL AGCIGVGFTAQATVFATPSLSSTRVEPLCDASVRQLSGYLQVTNATKYFYWYFESRDN PTKDPLVLWLNGGPGASSLIGLLAENGPCLLDPDTLTLKDNPHAWNAHANVIWLDQPA NVGFSQGPPTPPSQVGPNVHTFLENFLAQHPELHRRRLYLTGESYAGHYIPGIAHTIL QGNAVKPSSEALNLQGILIVNGLTNVQVQAQHELDMVFENAYNVTLVPNDQLSVVKTM QTTAVDLARTCQHDSSVCPNATLAFIALQSQVNEYTTRDSLDIRQDCNVSSCSAKMTA IDAFLSQARVQTRLGVHPYHPSYSVSNGNVMTDFATDIATNYAPYVDTVLNAKVPVVL VAGDADLQCNWRGVQAWPEHLHWHGAHGYKSAPLQPHFIDHVQVNQPTLTVIS H257_05057 MEIWTASRNGNVDAVKDLLDESNANVHKVRWSGVTALHRACEGG HVATIEVLLDRGANINARSTWGWYSPLHVACRYGQEATVKYLLVRGADWSIKDKRKLT PFKYAVRAGHASMAHRIDEVDIDMTWHVIHPTRRFVVECSAVKRSKLTVQVQGILGHD FRV H257_05057 MEIWTASRNGNVDAVKDLLDESNANVHKVRWSGVTALHRACEGG HVATIEVLLDRGANINARSTWGWYSPLHVACRYGQEATVKYLLVRGADWSIKDKRKLT PFKYAVRAGHASMAHRIDEWNAARLKGPN H257_05057 MEIWTASRNGNVDAVKDLLDESNANVHKVRWSGVTALHRACEGG HVATIEVLLDRGANINARSTWGWYSPLHVACRYGQEATVKYLLVRGADWSIKDKVWSR EQSPRTIYYVNTYFSAS H257_05058 MSDKQKRQVVKLHKSFLEEIEACKVLERQGQQGFSSLVNIAERL PHVMSEKGTKYGVLDSMDNVRVLLQQRHFESLEKTSTYIAQVIQGFFDRVENMQRAMS TCADVLDQLPVVDQHLFVVELMEWMENVLTMYEREYPFDERLLLLLVSSIYIYLPTTG SWLLNLQCTAGRKKGLGQHLEYWNVSDLQARQARWLSSSVHGHIKADYGTLTRTLAMV VDAVTAASST H257_05058 MSDKQKRQVVKLHKSFLEEIEACKVLERQGQQGFSSLVNIAERL PHVMSEKGTKYGVLDSMDNVRVLLQQRHFESLEKTSTYIAQVIQGFFDRVENMQRAMS TCADVLDQLPVVDQHLFVVELMEWMENVLTMYEREYPFDERLLLLLVSSIYIYLPTTG SWLLNLQCTAGRKKGLGQHLEYWNVSDLQARQARWLSSSVHGHIKADYVAMVVDAVTA ASST H257_05058 MSDKQKRQVVKLHKSFLEEIEACKVLERQGQQGFSSLVNIAERL PHVMSEKGTKYGVLDSMDNVRVLLQQRHFESLEKTSTYIAQVIQGFFDRVENMQRAMS TCADVLDQLPVVDQHLFVVELMEWMENVLTMYEREAGRKKGLGQHLEYWNVSDLQARQ ARWLSSSVHGHIKADYGTLTRTLAMVVDAVTAASST H257_05058 MSDKQKRQVVKLHKSFLEEIEACKVLERQGQQGFSSLVNIAERL PHVMSEKGTKYGVLDSMDNVRVLLQQRHFESLEKTSTYIAQVIQGFFDRVENMQRAMS TCADVLDQLPVVDQHLFVVELMEWMENVLTMYEREAGRKKGLGQHLEYWNVSDLQARQ ARWLSSSVHGHIKADYVAMVVDAVTAASST H257_05059 MMMLQLSRHSATAMLRRGALAARFSSESMVTKTTFSGPGLSEFI RNSKEETTAPVVVEESTMNMPSRITELSEIETTVPKSFHIETYGCQMNSSDSEIVRAI LLKHGYIDQPSSDDADVVLLNTCAIRENAESKIWRRLEVFKQNKLKARKQKKGTPTQT VGVLGCMAERLKSKLLESDKMVDLVVGPDAYRDLPNLLGILTQGAQDTAVNVQLSLDE TYADIAPVRSDDSNPAAFVSIMRGCNNMCSYCIVPFTRGRERSRDVHSIVDNVKVLRD QGVKEVMLLGQNVNSYHDKHTQGAQDEGRAYVSASGFTNMYKARDALGYRFVDLLDRV SAVDPEIRIRFTSPHPKDFPDDVLHLVNDRPNICKAIHMPVQHGHSDVLARMRRGYTR EASIIPGISLSSDFIAGFCDETDDEHEATLSLMRHVAYDHAFMFAYSMRAKTHAAHRM EDNMEEATKLRRLAQVIDTYNTVVTAKNKVEDQGRLHIVLVQGTSKRSALNLTGLTDT SKRCVFADIPVVPEASMAWNPSTLDFVPTDSTGPKVALQRGDYALVRVHEAGRHTLHA TPIARTTLSEMHRLAPVGLLGARPHNLAALML H257_05060 MFRRAVHSTAKAFAPRRGVWDLLRPSNWHPAAALEQSLLEGDKL ERQLMKSLKLIPWGWNLEQLEKEEREFFQDFPDEYEGKATKEAAKDTTTTLPYANYSY SSAYLVDSDGRRVESVRRRYEDSTGRLKAMHARDVNGRKMLSKWNREQYSDKGTHEVK CSTVGTPEEFEELWQSTPFAKEEKAIELGEPKPKKDTEQALPLHASPTESATA H257_05060 MFRRAVHSTAKAFAPRRGVWDLLRPSNWHPAAALEQSLLEGDKL ERQLMKSLKLIPWGWNLEQLEKEEREFFQDFPDEYEGKATKEAAKDTTTTLPYANYSY SSAYLVDSDGRRVESVRRRYEDSTGRLKAMHARDVNGRKMLSKWNREQYSDKGTHEVK CSTGTPEEFEELWQSTPFAKEEKAIELGEPKPKKDTEQALPLHASPTESATA H257_05061 MDHIAYQGSTIYDAALKGNFPLVVLLWGMVAAQQSSPFTPDEHN NSIVHFAAAGGNVEILHFFAQQAMLVSPNVTTIMDALNNNGETPLIRAAHVGNSLAVK VLVDMGCNMMHQDANGNTAAHHAAHEGHLWTLHYLLEAQVVTDTESVRGGQCCMKRDV LQWACEGGKEQTINYILNRGHNPNIPDIEGRTALHHATLDADKDLIKKLVSYGAETNA SDDRGLTALSTANNLGRHTIVNMLLHTSRLSNDLNPVPKSFGHRRRTRLHVMALYAVL WVACLSLSYVAPWYLFLPGLLVAMVLSMRSMAKRNHKHSKDLKTNIPFPPHVALTLVR HTRGSVFEIQETQVELHRLLQEERPLHPLGKAWRWLKGQPEVAIGLWLGWFIGFSASL GHRVYVDIAADTLGFWNDHVVVLGVLVSLESICMVMWMVLITGDPGQVATADKDFPVL LQKAANGFDPLEHLHCITCLVAKPIRSKHCASCGMCVARMDHHCIWINTCVGLHNHRL FVLFLIGHWAVLALYFTLVVLYLQSKHLYVEQLLHTALPEVTVVGWALVSGLFLAKLL WDQLKGVMRNVTVNEAINWKRYSYLNRDGTLVNPFNLGWRANAAEFWTRSVDYLTLMT APVKTTTQQDQ H257_05061 MDHIAYQGSTIYDAALKGNFPLVVLLWGMVAAQQSSPFTPDEHN NSIVHFAAAGGNVEILHFFAQQAMLVSPNVTTIMDALNNNGETPLIRAAHVGNSLAVK VLVDMGCNMMHQDANGNTAAHHAAHEGHLWTLHYLLEAQVVTDTESVRGGQCCMKRDV LQWACEGGKEQTINYILNRGHNPNIPDIEGRTALHHATLDADKDLIKKLVSYGAETNA SDDRGLTALSTANNLGRHTIVNMLLHTSRLSNDLNPVPKSFGHRRRTRLHVMALYAVL WVACLSLSYVAPWYLFLPGLLVAMVLSMRSMAKRNHKHSKDLKTNIPFPPHVALTLVR HTRGSVFEIQETQVELHRLLQEERPLHPLGKAWRWLKGQPEVAIGLWLGWFIGFSASL GHRVYVDIAADTLGFWNDHVVVLGVLVSLESICMVMWMVLITGDPGQVATADKDFPVL LQKAANGFDPLEHLHCITCLVAKPIRSKHCASCGMCVARMDHHCIWINTCVGLHNHRL FVLFLIGHWAVLALYFTLVVLCTSIYSEEFLYQTTTEMS H257_05062 MIKRQASKFLRTPSMEQEDGVDEATRLLEATPTVSPSTATFVVD GLPVTTTATPPSFGHSVWNILVGSYVNLFLVFAPFAVWSYLAEWGDIAVFVLNFLTML PLASMLGDATEALAFHAGDTIGGLVNATFGNAVEVIIAIFALRNGEVALVQSSLIGSM LSNMLLVLGSCFIAGHIGGAKESEFSAQSASVNMSLLFLSSFAMLVPSYYQYSQPSST VGPSLLTNNDDAVLGLSHISALFLILMYILLMLYQLVTHRVILAERDDDEEEPELSLQ GSVAVLLVMTLLVSIFSEFLVSSVDGFTINADIPKPFVGIILLPIVGNAVEHITAIKV ALKNNMELAMGVAIGSATQIALFVVPVCVLAGWLMNEPMTLAFNAFEAMTYVVSSVIV YVVVADGKSNWLEGAMLIVLYCLVGVALLEITI H257_05063 MAYRSSTSGMWVPGVKKAQMSDRGEEQLPPVVSVSSLPIEVREK EILYAVETSSVVILAGETGSGKTTQTPQYLYRAKFGKIAVTQPRRIAAITVAERVASE MGVRIGGEVGYAVRFEEKWDPERTGIKFLTDGMLVRETMLDPLLSHYNVIMLDEAHER NLETDILLGLIKKILKKRPDLRVIVASATLHVESFVRFFSSVKHDANVKQPVVSIAVQ GRQFPVDVLYLQEPAANFEQKAIDTVLAIHEREAPGDILVFLPGQDEIDHVVQRLSQM ASRTLLPLAFYGSLPVHVQQAVFELPPPHIRKVIVATTIAETSVTIPGVVYVVDSCFV KLPFYNPLTGIEALVTTVESKAAAKQRAGRAGRMRPGKCFRLVTEATYRKSFQKHTIP QIQRTNLAPIVLHLLSMGIQDIVHFDFLSPPTPEALIRALEVLYSLGAISKDCQLVEP LGAHMAEFPVDPFMSKMLLSSFEFGCTEEALTIASMLSVDDIFIHPRSSKERQLKVKE AVAGFAHQQGDHVTYLHIYKEFLENDLSRSWCDEHCLHHRILMRATEIRKQLHRYVKR FATADRTIQSCGDNIQPVLQCIVAGYFANAAKLHGSSTYRTIKDGRIVHVHPTSVLNS FIRSPEWVLYHQSVLTDKEYVREISSIDPRWLVTAAPEYYLCKDVSAVVSGSSGAVGL PKAPPSTAAATDASGRILFRRPTTQATDSKKPKMPVHIGKSKGGLRSQF H257_05064 MTDANIAAEGATIPAGVYHEKQTLMRCGLHSVNNMLQRKAFDVS DFDTIARSLVQVQNDARWSFNPHQTVLGIGNYGVEVLIAALLRHGYSTCYFDRRKATD TIDLVVAVDGLLCNVPSSSMMGLWQSRHWFTIRQVHGVYYNLDSKLPAPLVQEQRMHA LLPSRMNLSPCT H257_05065 MSLIRTVLPRAKAAFARRGVHNSRGLLWDEIRNQNHPGDHTNPV LSTPQTVSVIGAPMTHGQPLLGTDFGPDLLRNGGLHNVITDLGWCVEETGNLNFRAPS AGDPQIDPSYGRAKRSFAVGSGLKQIADVVEKKASEGKFCLVLGGDHTIGAGSLAGIL KVHPDAGIIWVDAHADINTPQTTESGNMHGMPISFLMQGLADPARVPGFEWLVDGPIL KPEQLVYIGLRDVDSGERRIIKELGIKAFSMQSVDKYGIGKTMEMALDHLCGKQARPL HMSYDIDAVDPVDAPSTGTRVRGGLTWREANYVAEAVAESNMLVGLDMVEVNPSLAPG KGAAITVDMALLLIGSALGNRIL H257_05066 MPGRWRQSMVLLAMSLLAWGGAVSADSCSAYKSGNALADRVDEL GSCLAHLGSNLADAIVSHELDYDRKTPLSIVLFANSTELLHRSSEILAATFFGHGSAA TDRVFRLDIHEVIQSMASKKAAHAAIHATLVNLVKRCPRRNMLVLENLHLVPQAWLPV LDVFLLPLSGKVATTKDDSTQETTSGLLDTLPTVFVFLFETHTSPIAADGQHWKEYLD GKWQFPGVEFTPQALIGRLGHGMVVTRTSAPTCVVLLAPETIRSSNSVAAAVLISVTV VAVLIAWWVARSRRSHGAKHTPPVVHTPRVCDQRFDDEDEVTFIPHTSHDTRRDVTAT TTSSRSCIESVSADSDRVSHDTHAQPRADTTPPSPVSVAAPSVSHAHNIASHVTASHP TPPSAKSRRKKPTLAPTRHSERLEKKKSA H257_05066 MPGRWRQSMVLLAMSLLAWGGAVSADSCSAYKSGNALADRVDEL GSCLAHLGSNLADAIVSHELDYDRKTPLSIVLFANSTELLHRSSEILAATFFGHGSAA TDRVFRLDIHEVIQSMASKKAAHAAIHATLVNLVKRCPRRNMLVLENLHLVPQAWLPV LDVFLLPLSGKVATTKDDSTQETTSGLLDTLPTVFVFLFETHTSPIAADGQHWKEYLD GKWQFPGVEFTPQALIGRLGHGMVVTRTSAPTCVVLLAPETIRSSNSVAAAVLISVTV VAVLIAWWVARSRRSHGAKHTPPVVHTPRVCDQRFDDEDEVTFIPHTSHDTRRDVTAT TTSSRSCIESVSADSDRVSHDTHAQPRADTTPPSPVSVAAPSVSHAHNIASHVTASHP TPPSAKSRRKKPTLAPVRSISK H257_05067 MFHRRLLSSSSQLATVAKSFSDVPRFDPVAQRTLKLLERKKVTT LDVGILKAKNKPITMVTAYDYPSAVHVDLAGFDILLVGDSVGMVELGMDTTIPVTLDD IIYHSKAVCRGASRPLIVGDMPFGTCEGDPYEALKNAQRLMKEGGVDCVKIEGGVERA NTIRMLVQGGIAVMGHVGLRPQAISVLGGFRAQGRTAKQADQIIQDALAVQKAGAFAV VLECVPSVVAKAVTDILTVPTIGIGAGPHTSGQVLVFHDFLGMLQHPHHAQHVPKFCK KYADVGERIRQGLEDFREDVENQRFPSEEYAPYKMSQDEQRKLQGLVATKYAKSTDDD DDDLGGGGDGGFGVSKVY H257_05068 MVLPKACAVLARRSARGMPRLRTPIRTAMYSSQVDGQSSSLRKI FDAVATGKVSVSKAMDDWNALEYDVLEDFAKLDGQRAARTGFPEVVYSEGKTTDQVTT ILVAMKKTNEIVLATRVSADVAALVKAHADLTDIHYFPTARVLSLHPKPTTPATSQVV CVLCAGTSDLPVAEEAAVTLELAGVHVQRIYDVGVAGLHRLLRNRQAIQDADAIIVVA GMDGALPGVVGGLTSKPIVAVPTSVGYGAAFGGLAPLLTMLNACSPGVGVVNIDNGFG AAVLAYRILH H257_05069 MVWLGTVDHWYIESSKCQSISSALDRRDDAVEVGRLVLDDVDAF AAAVEGMKTRHRSLYATIGHRMSPTQIVLVQSILKKLSSLKMTDGKNQP H257_05070 MNMLRGATAVIGRRSMATVNNNYVKRLVQDANNHPMDPLRQLRV LQMLNKIHPSLVIQRVEAVQFTMSQEAQKEYMKALVNTDQMDSVDLNQFLARMQTTGL AGFASRASHKAHGVPHHQTVDESGYRAWATFAMLTWGGIVGISLVTFWNEEGWKEEHP MTAGFMRGLELHMELPKRSNDRPASQDKSKSSPPPPAAPRA H257_05071 MAKRIEESDDSGSASESGSGSGSDSGSGSDSGSDSGSGSGSESG SGSESGSGSGSDSGSGSESESADEKPKKEKSPAKGKAAAKAKSAAKPAKKKSPSKPTK PAVKKTAAGKKVVVDDDDTSKIEEIDPERLKGLNEVEKEALMLEHYESQAKKSKDVAL KKKLESEKEALDDEVSGRRLRNRDTDSRKSALSNLKSIKQGDKSSKSILSKRFGNDDG NHSDYDEGDNNRDDLAEKRRRRKELQRASATEAAASSSATASDSRSNKLSHDAHHPDQ VELQEPEVSGPLEYRHALAYLFKSREWFEKNFFEPFFDKLVQGTYVKIAIDDNVDGER VYRFCQVSHVCNVERSYPFAGEKTKKGVMLVYGKSKRTSTLAALSNHRLQEREFDLWR LYLESLKMTVPTKEMAIKQYNAIKEMPSRHKYTDEQVTVMVNRKRASGKSQVSLGVEI VRLERDVAAAKTANNFDKSIELEARLAQMQHANEQRLAVKTEDVVRINEINKRNREIN MKRDMDAGASNRAQMDNMSAAQKLQYVRASASRRMYLSKDKIDVNLAEGKLVKLPDGR IMTVNKLVEVEALPDDIIPDNKKNSKEEDVLVKMERKRKAQELAVVETRVNSVEIEGD GTDIANKTIRFIDDDGTWTTIRPAAEILQEQELKKRPVVSDEVKKTRRGISMKDYFNR VKERTAAEDGA H257_05072 MLGSGRRGIGQLALVRQRWRCDVRAIASKPDPSKPIHAVSVKKN VSVQDMHSDQLDTRHLVLPSTNPAWQVTTQSHFEVYANVPPDWTTALESLQVHWDWRF DNVLHLQCALTHFSRYFPNTHHLFPANRASNRSLEWLGDSVLNTCVASYLFQSYPTVQ EGQLTQLRSALVNNVVLSQVAMDLALPSAMLLGDSITHAYDHDTALANTMKQTLHASV VEALVGAVLLDQGMVRAIEFANTRVLPKAIQYAKTKPWDPVSEIYRRANAHGFTIRFE RTKIDNHKHLISLYLDDKKVLTQLGPSFKAITTRLAERLIKSWSTLAPKKKATDTAKK P H257_05072 MLGSGRRGIGQLALVRQRWRCDVRAIASKPDPSKPIHAVSVKKN VSVQDMHSDQLDTRHLVLPSTNPAWQVTTQSHFEVYANVPPDWTTALESLQVHWDWRF DNVLHLQCALTHFSRYFPNTHHLFPANRASNRSLEWLGDSVLNTCVASYLFQSYPTVQ EGQLTQLRSALVNNVVLSQVAMDLALPSAMLLGDSITHAYDHDTALANTMKQTLHASV VEALVGAVLLDQGMVRAIEFANTRVLPKAIQYAKTKPWDPVSEIYRRANAHGFTIRFE RYVHGSVYGGVSSRSLGPKLTTTST H257_05073 MECVDGTDDAGLHRANLEVIAQKILHEMTLAAEKAHVNNAPQLK KNVSVHDMHKIPLDTKQILEPASNASWQLMKQSHFEQFASLPTGWSDTLEALQAHWDW TFEDIIHLECALTHFSRFRSNTIIHFPSNRIANRSLEWLGDSILNTCVAIYLFQSYPL TQEGQLTQLRSALINNSILSQVSTQLNLPPAILTGSRVAQSEEEETDAAISMRQTIYA SAVESLIGAVFLDQGMSRAMEFVNTRVLPVAIDLATNSRNWDPVSELQRRLTSQKRSV RYDRSKDELVHDVTLYVDNKLIMRETGANFKDIQRSIANHVLDVLEETHPELKFD H257_05074 MSVGNQLRSSPSPGCELRRHLHQQNDRRDLSYNCVAPCDHHELL PLLPLPLEELTILVPPLCANDPPPTAVPVTNQATTAAPGDKFDGNRNTCCWSGNPLLV PNSKSDCASFSSFVW H257_05075 MKTALCLVALATLASDVLGHGRLLKPPHRGYIGRLPGYQFVPIN YSDNGLSAGGIGATKDGLHGVCGDPYTDKVPRPHENGGLYGTFATETSRAIGACYSPG STVDVTVQVTANHMGYFEFGLCKLNKKDDVETETCFQTLAQPTGETRWPVPAGNLDFT IKNVLPKDVTCEGDAHCVLRWHYVGGNNWNGAATNPNAGVWGQEHFWNCADIYISNTC GAAPLPTSAVPVTTQATVAPTTAAPVSTAAPVTTKGTTTVAPVTAAPTTAAPATTKAT TLAPVTPAPTSAAPGDKCQGNRNTCYWPEARQTVPYAQSDCALFPTFVWCP H257_05076 MKATSCILLACAASAREIRHEEFAMAYPTAAQGTARLPTHNLVG YWHNFENPSGKTFPLSQISKDWDVINVAFADSLGSGALGLNIDPSAGSEAQFIADIAA LKASGKIVALSLGGEKGSVTIKDATEKANFVNSLYGLITKYGFDGIDVDLENGVSIGA PIVQNLIDGIKQLKQRVGPTFYLSMAPEHPYVQGGYGQWGGLWGSYLAIIDALRDDLT QIHVQFYNNNGFTYPDGRYLREGTVDGLVGGSLMLLEGFTAQWGQGFKFNGLRADQVS IGVPSGKSSAGQGFVTEDVILRTLTCLTKGVGCDTIKPKKLYPDFRGLMSWSINWDRF DNFPFSKYARRALDLLNDNVTPTSAPPATSTPAPSTPAPTTSSTTSTPVTTKAPATPA PTTLAPATTIQPPATTTPSGPCGSCTNCYYAPTQACFVGWTAQQCSSVATFQWCGAA H257_05077 MDESKELAAVSLPTEVEISAVDSEERRGEAPIKPEFRRILEKKD DNEERERGTFKKRPRDPTDDPSTVLCRGVAAGEGCSFEDKCKFSHDVADFLKRRPKDL GDTCPVFAANGYCRYGLNCCYGLSHVDANHVNLGTPADFKATEANDLSMDNRNLLRKS TYPFRSVASTYKKKGGMAKKARDFKIDTVDNPNVPDHKPVDFKGKVYIGPLTTVGNLP FRRVLKQWGADITCGEMAMTTNLLQGQQSEWALLRRHESEDIFGVQIAGAFGDQTARV CELITRETSVDFIDINMGCPIDLVCKAGAGAALMNRTPKLCEVISGALTGIEVGSFGR ATRPGLTVKMRTGWSDKQPLAHKLVPKVQSLRSGADFMNQSVVLNYAMRTNVNVDALT IHGRSRLQRYSKSADWVYVEECAKAREAGDGGRQMALIGGGDVLSYEEFHQHLSSGVL DTCMLARGALIKPWLPTEIKERRHFDISASERLDLLKDFVKFGMEHWGSDQKGINRTR RYLLEWQSFLCRYIPVGILEQLPQRINARPGLYYGRNDLETLMASDQAVDWIKISEML LGPVPEGFQFVPKHKANAYA H257_05078 MVQINDKYSSLRNTSNQTVGGPCKIFAKEHMTLSVTTRKKSHGH KVIYPIRGLELGLFVVVALRGFLVFIFFVVHGKRHRCNRRTPSCPRNDVARVHADQIV LVQRDVVVVMIVIVIIVVVVVISLLLLLLSRGRVILVVVVLLIAVVVIAFLRVLVATF VLAFFNIFIVVVVGFFVVTSALLRLGLAINSWLAFFGSGKRRLLLD H257_05079 MHLISQLTMNVSQNTTFKLNLDALNGFDLDLQEASPGGADTDFF LTTSSPINALNEIAFEWPTTHDDELDSLFTTKNDDAAGLVPELTLLQSEPSLDEFRDF TVVFMTSARKTRQPKRKLFSDDEMEARYCLRQSDVQSPQVPASPLTHYSRQRLEIAEL TVVAEALERQLHAMQASRVLHGFTAYENALKAKAALLEAQEANMSLKHQVQGHLRQRQ QLEIIAFGSVIGEAV H257_05080 MKWLCRAWRTVFSEDSSRSVATNSGPPALYYKYVDDLDDDDAKD ADERWSVVLRALRSHWTECTTCTGPSLIGPINDAVTLERSNRVLSEYRAWSQYQPTLP TGASLGPKTACAHVS H257_05081 MSATTYDIILGLLSILPGIGCIVAMAYTCFFTKHGFRPVPLLDH DFLNFMPGIDRRELEEQLASESRWTCGICSFKNLDSSLVCSLCSTAALVNANEPLTTP QRMVRRRRQWTRDIDDNHHVHWVAHSEVSTLHKSWKADVVSLSGESGTLPMHVVPPRD SELKPPAATSVWPDVASMPLKLQWSPSQQRDANCTMLGKALSVPVWEGLVAISRLMFS SKYAWFLQQVADMRLPVAELRLEVKSCRMTLLADATATLLDLQGPTRCAIARHQFDGE VAIDAGAVQREWYTLVAQAWMDPATTGLFDITNRTNNSYYVNPKQQHNDNSKSHLEQY RAFGRFLGRALLDGQVLPIQLNPVLFQLLLGIPVEFEDLECLDPVVFKSLTYVLECQD VAELCLTFCATNEYVDGHVEEVDLIDGGRHICVDKTNRVQYVETMTKYLICGRIQDPL MAMVKGLYDIVPPELLVIFDHKELELILCGLAVVDVQDWKRNTVTSPNLTQTRLVDWF WDVVGAMETHDQAKLLQFTTGSSRVPVQGFKGLTSYDGRICYFTLNGVAYAAGKYPVV HACFNRLDLPLYPTKDLLQDALSTLLLSDPTGFNTV H257_05082 MKDISQRQKVRSARKGRSKKGAEIFHERRNESVQQTRDKKKQAR KRLRMVQIQRHVDKKLDHLRAYPVDVVHVVKRPKGPLKPEEWKLRGAARPAALLARIA NGECDVDGNEFKAPDPTKDFYEEMRGRFAEHNDTLEYLRLRKDLALATCAAGMMEAGI AHFEECIELDPTDAACARDGLVCALIDEGRADEARGLIDRYENVSPVLEYCRTIIEYV SWEVLEEDGSSEDVVQAAFTKAWDGNPFIGVFIAGLDAFNAVVEYVEDIKNPPKGSIE EAFVYCAHNIGVWLDTVGAQAWIQKEVAARGIPQATEVHYVDPMYLGMYATAVEMYQE ELDEAAAAAAEDDNEDMHGDGHDDQAEMYTK H257_05083 MAATTAGTTSSSSGGGSFVTPLDDMNGNPRGCRRRSQRQQYVLA LMYASRMQYLHQDESKDRTRPDSLFMSRKQAHKQSAYNATGKKLDHHHHHHHGHNRRT SIKQHSSQHHDAEANWHPVFQAGCHFWQNAVTGECVADESMGCSPCPFHQPEVACEWN ADDDEPDGDLAPFPPSFQFLDAAAAPKAAKNKHINKSVHHVVMRKSER H257_05083 MAATTAGTTSSSSGGGSFVTPLDDMNALMYASRMQYLHQDESKD RTRPDSLFMSRKQAHKQSAYNATGKKLDHHHHHHHGHNRRTSIKQHSSQHHDAEANWH PVFQAGCHFWQNAVTGECVADESMGCSPCPFHQPEVACEWNADDDEPDGDLAPFPPSF QFLDAAAAPKAAKNKHINKSVHHVVMRKSER H257_05084 MQHSQSAVTLGANKGSWADIKKEEGMRKRSNTAQNLQFYVEEQK RLYHELPFMALPGMRRQSFQRERSFSNIKSKSSWGSFTNLVSQGQGEGQALLGEPRGE PGYTLPLLLSCCVALVSAFQFGYNIGVTGALNTDFIFPETVKSVSQKNLEWSIVVSIF AIGGPIGSLVAGQISQTYGRKKALIFDSFLFILAGGLMAFANNIYWLMAGRFLVGFAA GTVSVVVPLYLGELAPPNLRGALGTGYQFGVVLGILAADLLAFGFSDVSDGFARPGWR FMFGFTAIPALLQLALASFLTESPRWLLTQNKPKEAAEILRRLRGTTDVYEEIDSICS ASDNESSDMTVWEVLSDKSIRFPLIVGISLQIAQQLSGINAVMFYANSFFKGVHLSNP LVGATLVGAINVVSTGVALVLMDTAGRRPLLLWSCGGMIVSCVILTLGLWHMLPYYDM VSVGGVMLFVWFFEIGLGPIPWLIVAEMFPAKPRPTAMSVATMVNWMFSFVVGIAFPT MQSSLLENTFVPFAIVLVIAFVFVYKYVPETKGKTLEEIQQDMTKM H257_05084 MQHSQSAVTLGANKGSWADIKKEEGMRKRSNTAQNLQFYVEEQK RLYHELPFMALPGMRRQSFQRERSFSNIKSKSSWGSFTNLVSQGQGEGQALLGEPRGE PGYTLPLLLSCCVALVSAFQFGYNIGVTGALNTDFIFPETVKSVSQKNLEWSIVVSIF AIGGPIGSLVAGQISQTYGRKKALIFDSFLFILAGGLMAFANNIYWLMAGRFLVGFAA GTVSVVVPLYLGELAPPNLRGALGTGYQFGVVLGILAADLLAFGFSDVSDGFARPGWR FMFGFTAIPALLQLALASFLTESPRWLLTQNKPKEAAEILRRLRGTTDVYEEIDSICS ASDNESSDMTVWEVLSDKSIRFPLIVGISLQIAQQLSGINAVMFYANSFFKGVHLSNP LVGATLVGAINVVSTGVALVLMDTAGRYVRTPGYCLYFTQNV H257_05085 MGCVLFRLFLAQGHCVELVAQGTLMLRRKTDCEYAKGLVEAYFT ILTQGCGNSSCTNAVCRSNPAAVELNATDAAIQSIALAVTSPVAICLSLRPSPVTPTQ HHATVSPPLLQLEVPETDDDDKAAAPSTPRKRLATAVQLDSSINLPSHPRQARSVPKK VASSSILVGKGKPLRSPVSRAAVDEA H257_05086 MSSDASSATEVTLVVKSVYERNLSLELKMEGSSTILQVKELLQD RLPSPALPKHQRLIFGGKICDDIQTLVQVLKRMDPNETYTFHLLVSQPNKTATPATQR TPPSQAAVAAPATAAVPPTRPIAPAASIPLSPYAAPRPVPGAAAPTFATTAPPTTPAD SPSAVPSSISPLQTMHLQHYLAQQELMLTMQIQYLRHIQQFQQMHGIHFNPDAATAAY STSPPATPFPTAYFASFHIPPAATPPNVGAETPAAPRFQAIRSIVGLLDFTLALKMCV MVYIIGQDLPPPRSYILVGLSFVVYLLMTGILLKIYDILKGNPHIDRAPAAAADNNGD LFNQLNDAVNAGPPGPPLVTIATDGGIIKDIQSFAVGLVLSLFPSWRPLASAPPAANV PAAVDPQG H257_05087 MYTKSATPLHEPWTSRDILVCAPSAREPTCRTCGLKIDTVRLGM IYKHEDGHILMQWFHLQCVDAPTKVLASDIEGLNDKDMKPYRAAVFDWLSRRPKKQAS PPLMVLRSTPPPQARRQVVGPPLPSSTSRPPTSSRSIHKPPLAPPRRTASKLLPTGIH APPKAIIEPPSSQPPKIDAVYRAEDIVGTMQSCLSDKRHASTMMMS H257_05087 MYTKSATPLHEPWTSRDILVCAPSAREPTCRTCGLKIDTVRLGM IYKHEDGHILMQWFHLQCVDAPTKVLASDIEGLNDKDMKPYRAAVFDWLSRRPKKQAS PPLMVLRSTPPPQARRQVVGPPLPSSTSRPPTSSRSIHKPPLAPPRRTASKLLPTGIH APPKAIIEPPSSQPPKIDAVYRAEDIVGTMQSCLSDKRHASTMMMS H257_05088 MATFLKDLVNHTVSVTTNDGRNIIGVLKGYDQCINVILDNSFER VYSMSDDVQIENLGLFIVRGDNIAIIGEVPDNIKEQSQDDTSRAPPMKPVTH H257_05088 MATFLKDLVNHTVSVTTNDGRNIIGVLKGYDQCINVILDNSFER VYSMSDDVQIENLGLFIVRGDNMYMLVHVFHGLLCNRVHVAPSLEKSPTTSKNNLKTT HPAPHP H257_05089 MVVRGEAKKRRQVAPPPRPTPNYVAENVLHLMCTEVDLWRLFDA ATLAALATTSKRIRGAIPWSEVVMLTAEPIVKSKRILSLKRVLMKREFIGNHHLWWWT SPEFEAGERVIQESTITPDDVMEHCLIPTPQPSWQLAFHRLHGRYQATYLGERHQLYL THLCTFLQLADHLKESLFRDRNPSCYVNGVAYTTDDVVSTVLRAQRTNVISIVCPEFG QPHMLDVTVTSFDLHFILPSTAAVVTRHVPIPKPSDPAIGSSSVDKWFPHLASFISQK GCVSLGRQAHHYMMVLSGEEIVHRHFKHMGSLQRYLESADQHCRRWLSRSSDGSGTSD GGGDDDDDSDEDNPPFASANIEQEVQVDSLATFNADLCFPHLAKFVAAKGWQSVELNV FRSRYAMVCKGPQAKSIQAWDLTSSEAFSLQHMLSELNACVCAANVKARNHQIRTLKT LEETIPKDKEHLRFLVYMKHATVVQRGAVFSVGDRNGLYVNAMVGHNIFLPPTPPFLV VQFEITFRELGEYADLSKLVSIGLVEPGEYPKTRVTARFVDSNSEFPVLCWKNGHSQF LVGRNSVFPATYVMMPSLVVMDVVDLSSRDEARWGFFHRESPIKSGDTISLIYQRENG TVQFAHNQQLLPFYFAGVYRSNFYESGLMVFVTLERENIQVRSLDNPNMEFIKPVEQR HFFDFGAEPAMF H257_05089 MVVRGEAKKRRQVAPPPRPTPNYVAENVLHLMCTEVDLWRLFDA ATLAALATTSKRIRGAIPWSEVVMLTAEPIVKSKRILSLKRVLMKREFIGNHHLWWWT SPEFEAGERVIQESTITPDDVMEHCLIPTPQPSWQLAFHRLHGRYQATYLGERHQLYL THLCTFLQLADHLKESLFRDRNPSCYVNGVAYTTDDVVSTVLRAQRTNVISIVCPEFG QPHMLDVTVTSFDLHFILPSTAAVVTRHVPIPKPSDPAIGSSSVDKWFPHLASFISQK GCVSLGRQAHHYMMVLSGEEIVHRHFKHMGSLQRYLESADQHCRRWLSRSSDGSGTSD GGGDDDDDSDEDNPPFASANIEQEVQVDSLATFNADLCFPHLAKFVAAKGWQSVELNV FRSRYAMVCKGPQAKSIQAWDLTSSEAFSLQHMLSELNACVCAANVKARNHQIRTLKT LEETIPKDKEHLRFLVYMKHATVVQRGAVFSVGDRNGLYVNAMVGHNIFLPPTPPFLV VQFEITFRELGEYADLSKLVSIGLVEPGEYPKTRVTARFVDSNSEFPVLCWKNGHSQF LVGRNSVFPATDEARWGFFHRESPIKSGDTISLIYQRENGTVQFAHNQQLLPFYFAGV YRSNFYESGLMVFVTLERENIQVRSLDNPNMEFIKPVEQRHFFDFGAEPAMF H257_05089 MVVRGEAKKRRQVAPPPRPTPNYVAENVLHLMCTEVDLWRLFDA ATLAALATTSKRIRGAIPWSEVVMLTAEPIVKSKRILSLKRVLMKREFIGNHHLWWWT SPEFEAGERVIQESTITPDDVMEHCLIPTPQPSWQLAFHRLHGRYQATYLGERHQLYL THLCTFLQLADHLKESLFRDRNPSCYVNGVAYTTDDVVSTVLRAQRTNVISIVCPEFG QPHMLDVTVTSFDLHFILPSTAAVVTRHVPIPKPSDPAIGSSSVDKWFPHLASFISQK GCVSLGRQAHHYMMVLSGEEIVHRHFKHMGSLQRYLESADQHCRRWLSRSSDGSGTSD GGGDDDDDSDEDNPPFASANIEQEVQVDSLATFNADLCFPHLAKFVAAKGWQSVELNV FRSRYAMVCKGPQAKSIQAWDLTSSEAFSLQHMLSELNACVCAANVKARNHQIRTLKT LEETIPKDKEHLRFLVYMKHATVVQRGAVFSVGDRNGLYVNAMVGHNIFLPPTPPFLV VQFEITFRELGEYADLSKLVSIGLVEPGEYPKTRVTARFVDSNSEFPVLCWKNGHSQF LVGRNSVFPATDEARWGFFHRESPIKSGDTISLIYQRENGTVQFAHNQQLLPFYFAGK R H257_05090 MPQVDGAAPSHHQDDSPAAGKKLKPNMTVNRRGNERHWDLYRLL QRPTPFGNETNEAMGLGEFVPGQATVDFLHNEAKVLVLGAGGLGCEILKDLAFSGFRD IHVVDMDTIDVSNLNRQFLFRRADVGKPKATIASKFINERIQGVKVTPHFCNLKTLDT SFYSEFKVVISGLDNIDARRYVNSVLVSLVEFDDDGDIDPSTVIPFIDGGTEGFKGQA RVILPRITSCFECSLDAFPPPTSFPLCTIAETPRQPSHCISYASIILWPKHFPDTKMD TDSPEHMQWVFEQAEARAIQFGIPGVTYTLTLGVVKNIIPAVASTNAIISAMCVNEAF KAMTYCSQTMNNYHMHMGTTGIYSHTFVYEKKPTCGVCASESHVVSFDPNTTLGDALD ELKGTFRLSNPAVSWSRGNLFMPNPPALFDATKANLDKPLTALLGTADAVLTITDPVY AGDMSLQVQVHFAESS H257_05090 MPQVDGAAPSHHQDDSPAAGKKLKPNMTVNRRGNERHWDLYRLL QRPTPFGNETNEAMGLGEFVPGQATVDFLHNEAKVLVLGAGGLGCEILKDLAFSGFRD IHVVDMDTIDVSNLNRQFLFRRADVGKPKATIASKFINERIQGVKVTPHFCNLKTLDT SFYSEFKVVISGLDNIDARRYVNSVLVSLVEFDDDGDIDPSTVIPFIDGGTEGFKGQA RVILPRITSCFECSLDAFPPPTSFPLCTIAETPRQPSHCISYASIILWPKHFPDTKMD TDSPEHMQWVFEQAEARAIQFGIPGVTYTLTLGVVKNIIPAVASTNAIISAMCVNEAF KAMTYCSQTMNNYHMHMGTTGIYSHTFVYEKKPTCGVCASESHVVSFDPNTTLGDALD EVGGWVDDI H257_05090 MPQVDGAAPSHHQDDSPAAGKKLKPNMTVNRRGNERHWDLYRLL QRPTPFGNETNEAMGLGEFVPGQATVDFLHNEAKVLVLGAGGLGCEILKDLAFSGFRD IHVVDMDTIDVSNLNRQFLFRRADVGKPKATIASKFINERIQGVKVTPHFCNLKTLDT SFYSEFKVVISGLDNIDARRYVNSVLVSLVEFDDDGDIDPSTVIPFIDGGTEGFKGQA RVILPRITSCFECSLDAFPPPTSFPLCTIAETPRQPSHCISYASIILWPKHFPDTKMD TDSPEHMQWVFEQAEARAIQFGIPGVTYTLTLGVVKNIIPAVASTNAVSVVWQFKYDG KGGNLNVDHLGHVRE H257_05090 MPQVDGAAPSHHQDDSPAAGKKLKPNMTVNRRGNERHWDLYRLL QRPTPFGNETNEAMGLGEFVPGQATVDFLHNEAKVLVLGAGGLGCEILKDLAFSGFRD IHVVDMDTIDVSNLNRQFLFRRADVGKPKATIASKFINERIQGVKVTPHFCNLKTLDT SFYSEFKVVISGLDNIDARRYVNSVLVSLVEFDDDGDIDPSTVIPFIDGGTEGFKGQA RVILPRITSCFECSLDAFPPPTSFPLCTIAETPRQPSHCISYASIILWPKHFPDTKMD TDSPEHMQWVFEQAEARAIQFGIPGVTYTLTLGVVKNIIPAVASTNAVSVVWQFKYDG KGGNLNVDHLGHVRE H257_05091 MHSSRSMFTSPQLSIEEQEMLVLVATNAFRNFIESTRLIGPKGA LFKSASNTSINLAHASLFHGSTIVKGCTVGDVSAYHLTAMTSTESYCRVNQCIDTKLL YTLEVPTPDHPHHYLALRWFAMASTVPMVKPRDFVVLEYLDSFHDAHGRTGWARCIHS IEHRSAPSLLESHGYVRGNIQNSGIVVYHDDVDSISRANIMLLCDKKTSMASKLFVKS MIQGMFRHFDTLNERIQVYRHSMRLSQRSSTGSGVNRSPRSPSSQYGDNEIESADRAS RKHCVFCAKRFHLFRRPESCETCHQVVCSKCMRRITKASKHKVCMSCFMQHQCGNMPS NPFTDCLWMGDGSARPSWAQSQASVSPSLVLRSSFASTVEPAFQLYD H257_05092 MGRDATPVLKGVKCIVDVRMSAGDADVDCSAAVSEKLVHLGAKV VKRLGRDTTHVVWLNGNRNVREDTLKRSEVKLVGPLWVEACLQTATRVPETKFFPSDT PANQSTPLPSPAAEKKKRRRSSLMEPRREDVFDELTTPIKKTRRQTLDAVTPMAITLT PQEGVSARSLSLRRRSRSPEYDDISQPTEASTNLSTVDEVAEPPSQSDTHSSRQSVGS NHAAATTPAKPTTSPAKTVVKSLCTPASSVQATSAHRATPKHTKNPPRTWPCVSCTFE NPRVAKRCKMCGTSSTGVEPSQLLVGSIAKKHDDISSRSNKPATRLATKQPPPVEPNT PTPQVSLPRKKQPSTTPIGTPKQGHHPPTPPRRGNTSSLKSIPVVKVATPVKAKVKAA KPSAARTSKKEGTSTDVAPKQTAVKRKAAAVEHPRVLVKPKVSHTPPAPTKKSEATTS KAPATAMNQSTTTKTKRMPRYVISVSGANLDTRLVLESSMREIDASSPGDGKSRIVDE FGAAAAVTHVVVSDKSKRTMKVLFGLATGAYIVSDAWVFSSLEAKMWLDESPFLMTEY PAVSKKKTPPNLLDGIKIYVASGLDPPKATLQALILAAGGHPCSQMALADVCMGVEPA VGRRASLAGLPMVSPKWLFDSLASGVLGQMDHHHPVVTDS H257_05093 MSSKLNPKAGAFVPSFVVPVEVVITPALTTTVVAPSPGGSKKNK GNGTSTHKPPKSTRQPVTMVPTEPVTPPPIEIPLPTIYSVDELVEAGRVVRGRQHYSI PELLQFRPFFTAVPPHFDLAGVNATCGGTVKEKTKSAAKRDKQRAAAAAAAAQPVLHY DPNAYAYFPTAAASDPDHKKDKQPRHTPTESPEDEMNDAVANVNSLLDRLPPSDISVD ATVHSFHGIPVTCTLSLQAVVGVLFDRAIAAPHLSHFYAPFCSGLSDTLPDFKDGART VNFRRILLTKCYEALVEEPDHASWRRQSMLGNVGLIGELFRRQLLTENIMHVCVAMML DSDVDTVQPAPQVLQAACQLLLQVGDLLDGSSPASRRTMDEYFDALQRLACRDHHLPP QLTQLIQETIEARSSGWIRKRSDVADVLVQSSSPTKQSV H257_05093 MSSKLNPKAGAFVPSFVVPVEVVITPALTTTVVAPSPGGSKKNK GNGTSTHKPPKSTRQPVTMVPTEPVTPPPIEIPLPTIYSVDELVEAGRVVRGRQHYSI PELLQFRPFFTAVPPHFDLAGVNATCGGTVKEKTKSAAKRDKQRAAAAAAAAQPVLHY DPNAYAYFPTAAASDPDHKKDKQPRHTPTESPEDEMNDAVANVNSLLDRLPPSDISVD ATVHSFHGIPVTCTLSLQAVVGVLFDRAIAAPHLSHFYAPFCSGLSDTLPDFKDGART VNFRRILLTKCYEALVEEPDHASWRRQSMLGNVGLIGELFRRQLLTENIMHVCVAMML DSDVDTVQPAPQVLQAACQLLLQVRILSIPPICGMENRSICFVLNVCQNCSVSH H257_05093 MSSKLNPKAGAFVPSFVVPVEVVITPALTTTVVAPSPGGSKKNK GNGTSTHKPPKSTRQPVTMVPTEPVTPPPIEIPLPTIYSVDELVEAGRVVRGRQHYSI PELLQFRPFFTAVPPHFDLAGVNATCGGTVKEKTKSAAKRDKQRAAAAAAAAQPVLHY DPNAYAYFPTAAASDPDHKKDKQPRHTPTESPEDEMNDAVANVNSLLDRLPPSDISVD ATVHSFHGIPVTCTLSLQAVVGVLFDRAIAAPHLSHFYAPFCSGLSDTLPDFKDGART VNFRRILLTKCYEALVEEPDHASWRRQSMLGNVGLIGELFRR H257_05094 MPLLASPKKDCVVVVDPFSTGLCLAQDVMRRGYACIAVYSETEE LFGDWMSTLSADVRKSFAGEVFHDGADDSEDELRRVVNAILSYGYTVSGVIVGAEPGV HLCDRLSEYMRLVTNGSAGSEGRRNKYVMGEKIRSAGMRAVKQCLASTYAAAEEFITT VLKPSPFRVIVKPVDSAGSDDVTLCTSLDEVRSCFRHVIGKINHLGIENTNLLVQEYL EGTEYVVDSVSRNGEHKVVAVWEYDKRCANGAAFVYYGALLREAKGEVVLAIVDYVFK VLDVLDIQHGPGHAEVKFVRGEPCLIEIGARCHGREGTDMPILDRCQGYNQVGATVDA YFDKQAFQALPKMPTSLKAHGIKTTLVSYEHGVLHSMPGLSEIESMPSFVDKKIRHTE GVKMAPTIDMFTTPGCVLMVHPDATVLTQDYERIRELEVKGLYKLKKEPELTKVAAPI KALYSGGVEMDIRHIPVVTS H257_05095 MISYNQDEFFTLLSKLQGSAFRGNGPRRALFMACFAMVVAIGNH MYSIAFIPSTMSVACTYTLNVFNVFLGLLISFRLNSAFNQWRSGVVAMGSVGDAARGI VSSTVSFLDLREDGEDKLRFAGELRRLVCLYVSILVQDARGCAQEDLSSFIQGHLLDE TEAEEMKRCGVVCNRERVQKGRGVSVAQANPYKLRSSMVELWIRRLIQSGHRRNWFGM PQAAAVNGYVSTLVSLYSTIYTIANIPIPFNYAHFLVAVMTAYLTVYTFAIVHASSYI TPFWVYGWGFVIFSADDVAREIECPFGTDHNDIDLENRIVRIEEELDVVLRSAYCHEA SLRAPVLYSPKSMESTTSVCVDSLPFSEVSDHVVEETNPSLSFAPSEIPMPAGPMLRF MLTHSNSDKDFQAPLSDVEEGGVPAEEAPLVAASPKPGYGSSSSARNEVWL H257_05096 MKVVLEKLAQRQDLTAEEMDIVIDTIALGAMDPIQIGVFLSLLR SKGETPLEVQTLVTVMLRHARLVTLQEGVKTLDIVGTGGDGANTVNLSTSAAILAAAC GAKVAKHGNRSVSSRSGSADVLEVMGVPMLEPQHIAACIDQANIAFMYAPHFHPAMKH VGPVRKSMGIRSVFNILGPLINPAKCQTSVIGVYTPALLDLFGQVLYMMGVEHALVVH CAGLDELNPIGDAEIVEVTQNGYRRYTLTPEELGIPRCTLQDLEGGDADDNCRILRQV FQGGEHCDNAIGNTIAYNAGAGLYVYGLADSIKHGYELAKAALKAGHALNTLERWSQV STRLHTSAATQ H257_05097 MVSDGLTRHNGRPGRSNIGQAGKAISTPALAASIVSSDDLERIR HNSTILTDNDLARLAKESEQSKLASRAQAQDRKNRMVEKELQVRARREQYATQMELDA ERNSVLSKADVQRVEHLDDVKHIQSLVAKAMAVTGCDVQRQEQDETRQIEASYNQRIE RGMENDRIAELRERDRVATDAREKRVEARKMLEGQINERLKQQIREEEAKAIEAKKIL LVYKQYEKEEEAKVTAHRAQVQATIAQIAATNEDIKEMKAEAARREAHEEQVAARYLR EKAREEERQLLEHEAIKKSKELRVAKLRAQQEKAQDKRMAQDEFKAKKAFEANEKAMR QKEADDRAKKRETAETIDRERKQQESFKAAERSANAAQERLADHVAQCIDQETHAKRM AVRAKEVDAHASYIDKLNQQFQDNATRRKNKAALETNENAAQVKKAAKEAIIVDKIRR DAIQKLQKQGVPPEYLRPLQKLHGRSSTTS H257_05098 MRMDSSTPTSLGTSTAAAANDASSMRFHFSSPSSSVDGINNTAA AFVDGPSGSASSHGLYSHDGYDRSSFDTTGSSSMQDDAPAAASHTYDRFSGKQEQLHQ VKPWSYHHDGGGGSRVGRSNSFPSMDLMPYRKEHTGDMFGNAVTTGLVFPRHHQSHPS PPSSLQRGAAMPRSSSAVDMGAYEVTHGIMNATSFPEIGNNDTQKQLRTKNIPSGVLF HSGRWSSEEHNRFVEGLHQYPHGVLNRWRKISKAVGTRTVLQTRTHAQKYFRKLQKID DAGPHTKLLSADLMKGDDDTSGDSNENHLPNEHFDKPSVFSRSTGGVGGGSYGSPSSF HGKKEDLPGGTHLFQHRGASDAYDAAPRAVVSSDRHANPPTCYPFPTITIPHHHQHHQ QQSSSQQPTPTTEASRAFQNISLGAGAPRPRDELNPFQPQRHAVNHGNEQWVDWLLYN IENIPTRHNPQHQPQQVHDARHLTRTFRSASEPVPPLTSYRSAHATGSSSSSSHHHQH EDDDPEFTSAASPSAAATTWNDYAEDGDSSTPSGGGGEGGSYYDPQPQQIHQRHPQQS PHSETKYSSLLDHEVVP H257_05099 MIYTSCVPVNLGGCSDNYIFCRRRTRRMNWFEKGCVRAAPAFKR RTSQHDLMGDMLRQGHLSHRSASKLFEAPKSTVLEPLRTTEVSSTDALESFALQASHY RFRPSILLPFAACASASFGHILGAVSPTLSTSYAAGVKLAIGDYYNDQIREIYAELPE APEAVPLKELFKTLRDASLADVERLFPSIEAAKQDAVAQYAKTITQVLLRPGSEV H257_05100 MAADQKLLHGESNPSSTDYVTSDTPNMAALPVINDTDENTIRVF GWMHKQGTRVIRGPGAKSWRRRFFCLEGQKIYYFHEPVDARKYFNSRSPELCIGSIDL RTAFKLEQSDRLDLPSKGLVIHTKIRKWLVCPETDAEFKMWFDALETTIMTNDAGNVI QRHLPNVREYVMKGRTSYRVFYFLFLITALIELAGIVLWFPLGIEPCNLKLRTANCSD IMKKYIPEEQPICGPEPFNGLWNPPDFYKKQAGMVGVQCFRVPTLAHWVSYFCFYFAE FISILLGALYYLGMWKPVRRGAHYLREFNPPFPPDKWPTVDIMLCHYAEPAEDTMSTL EAIMKLDYPCEKLHVYICDDGGFKTKFTPGNPIPEVVVNKGVIENAGDVRYELAHFMY ERVLDLDGEGQGIGYTRAVEMEVNEWRQSHTTVKMPTPTNPRVAARIDCAVGSERDDY DYRYAGLPRVTYVGRFKPPTHHSKAGNINNVLYNEGACGRYAIILDNDMKPHPMFIQA TLPFFFDSPASTKTFRCRAPGCSDIAKITCTLCVQAGVPEATISYCSNDCFVASNHVH SQVHRRQARTSKVNRTTCTTCGGKVDLKSGTCSGCKKAMGRRTSVGTQEAAQYALAMG DHYNDDVQNNQVGYVQTPQYFEDCLQLRLGDPCGHRNSTFFDSAQTGMDGYECASFAG TNAIFRRSALDSVCGIAYGSLTEDAFTGKLMIDKGWKGLYFRKDFEGEEGERIRLAEG AVPETVSASLAQRKRWAKGNFQIFLRRKTSLVDPTWTPPADIELPPPRKVNGFMRWVF FMNLTIYPIGSFPALFFFYITGYFLFSGNAPIYTAGLRLLVALVPKIVVQSVLTAMMN RTVDNNDVLRSQQTWFSYAYVHVLAVIDAIYWKITDKEAAWANTGALGGNSTMELPNI LVFFAMVFGVIWSIVRFFVGYNSAETTHGTPLLFGSMFLGLFTASQLMPMVRMSLQTY FGWSHKALTDQGNVVGSFSLAIVLSVLCLWVYIENPHDNVWV H257_05100 MAADQKLLHGESNPSSTDYVTSDTPNMAALPVINDTDENTIRVF GWMHKQGTRVIRGPGAKSWRRRFFCLEGQKIYYFHEPVDARKYFNSRSPELCIGSIDL RTAFKLEQSDRLDLPSKGLVIHTKIRKWLVCPETDAEFKMWFDALETTIMTNDAGNVI QRHLPNVREYVMKGRTSYRVFYFLFLITALIELAGIVLWFPLGIEPCNLKLRTANCSD IMKKYIPEEQPICGPEPFNGLWNPPDFYKKQAGMVGVQCFRVPTLAHWVSYFCFYFAE FISILLGALYYLGMWKPVRRGAHYLREFNPPFPPDKWPTVDIMLCHYAEPAEDTMSTL EAIMKLDYPCEKLHVYICDDGGFKTKFTPGNPIPEVVVNKGVIENAGDVRYELAHFMY ERVLDLDGEGQGIGYTRAVEMEVNEWRQSHTTVKMPTPTNPRVAARIDCAVGSERDDY DYRYAGLPRVTYVGRFKPPTHHSKAGNINNVLYNEGACGRYAIILDNDMKPHPMFIQA TLPFFFDSPASTKTFRCRAPGCSDIAKITCTLCVQAGVPEATISYCSNDCFVASNHVH SQVHRRQARTSKVNRTTCTTCGGKVDLKSGTCSGCKKAMGRRTSVGTQEAAQYALAMG DHYNDDVQNNQVGYVQTPQYFEDCLQLRLGDPCGHRNSTFFDSAQTGMDGYECASFAG TNAIFRRSALDSVCGIAYGSLTEDAFTGKLMIDKGWKGLYFRKDFEGEEGERIRLAEG AVPETVSASLAQRKRWAKGNFQIFLRRKTSLVDPTWTPPADIELPPPRKVNGFMRWVF FMNLTIYPIGSFPALFFFYITGYFLFSGNAPIYTAGLRYDSTLRFA H257_05101 MTSTTLSATQEALLDRLEKASHGRGAVDALPTTKSPMSLKQYYA LKVSATPLATNTPVPLSTTIQQFMTNAQTCYRVCETVEEHTEKSLALLQEMEDRHVSV KTLTSALYESFETLLTELDALNVKVQSLEGPLPYFTRISSIAKAVGGKVDYSSKVDAT GAATVTVHVRPYTFGSIDPTSPTFDQGIGDIDDCVTFLQQHMDFKDTSMYLAAYGELM MHALHMLRDFAVATVEAARDHVLTAISTHPSPALDLDEASVYYLHFHACAPACQALTR HLDMRDTLPGNESFLPDVLDTYVTVRTQLVTPVVDAYLTSVNQSTDIVSLLRMGCQYM VRLCQAEYTLFRHVFGVVPSAPVLQVEDQDEHAFQRMIFQLSYSLYHAVRPRMLQQHN LDVLCEIVEVLRREVVDTHIRPMGDAAEAVEPVVDRMIGDAQERLILCTQKYLRDEIE AFVPTLADLNYPDKLLAPTVYATWYPTLEHTLMCLSKVYRYVNMHIFEELAQDAVRMC TATLNMASADIAVEKGHLDGGLFLIKHLLTLRERITPFDIQFAVTEKNLDFSSTTDAM GNILNGNILADAFTLSVDNSILGLLTHGIPHVHTTTSDVKKDLEHELKKSCTVFIEHA VQYVAAPLVAWLHKAKAAALKQPSNPTSTLRTQLGSPDTIRAMVMQLHTQLESHLPYV QDKIHAYLANASTESILFKPIQTGLADVVEQLQGILEKEYSAQDRAVCDADVQALMQR IVHEM H257_05102 MARRIVLIHGLRHSPPPIEAAFRRLWPQAQLVNLMDDSLAVDLV QCGSVAGEPSQLHPSILQRFFALGRYATSTGADGILFTCSAFGSYIDAVQADLPSHLP VLKPNEAMLHDLAKIPTDVALVATFAPTLPSMVQEIKCVAPDTKVVPLHVPGALAALD GGDGDMHDALILEAVQELLAQRPDIRGVALAQFSMERAQPLLEHHLDGVAVLTTPSSA VRAMHARLG H257_05103 MTRDSTEATSEEERVRKRVYFRERKRILRIKQIADRQDLEGTIM ELTERLQMLQLRSRALRTPPTPLSYLQWKDVAAAIQEGRNESIADHRKLNQLVFVSKS TIESMKRWVESSFAPVAASLDPHRESWRHSTLLAPAETRNLGKEWIVLQLYHNTAAML AKFDFPPHPTDLHDATFEFEAEQHVQYQRRWQTGFPQDMATMLRVFRDHFPSICLLDE LYPVSTSQTIREPNTWTQLHQLETHHHHRQEWVNALTGQFVSDDRCVLVARQIQQDDS ITLPINHPQRNMLYWLELIPDGGGGCRMRSLFVMSHRLNNDRSFVSFDEEARDGWGCD LQGVPVGQREAVFRRHGAARAKVLQAELERRLQNHIHAVVAAAIRET H257_05103 MTRDSTEATSEEERVRKRVYFRERKRILRIKQIADRQDLEGTIM ELTERLQMLQLRSRALRTPPTPLSYLQWKDVAAAIQEGRNESIADHRKLNQLVFVSKS TIESMKRWVESSFAPVAASLDPHRESWRHSTLLAPAETRNLGKEWIVLQLYHNTAAML AKFDFPPHPTDLHDATFEFEAEQHVQYQRRWQTGFPQDMATMLRVFRDHFPSICLLDE LYPVSTSQTIREPNTWTQLHQLETHHHHRQEWIQQDDSITLPINHPQRNMLYWLELIP DGGGGCRMRSLFVMSHRLNNDRSFVSFDEEARDGWGCDLQGVPVGQREAVFRRHGAAR AKVLQAELERRLQNHIHAVVAAAIRET H257_05103 MTRDSTEATSEEERVRKRVYFRERKRILRIKQIADRQDLEGTIM ELTERLQMLQLRSRALRTPPTPLSYLQWKDVAAAIQEGRNESIADHRKLNQLVFVSKS TIESMKRWVESSFAPVAASLDPHRESWRHSTLLAPAETRNLGKEWIVLQLYHNTAAML AKFDFPPHPTDLHDATFEFEAEQHVQYQRRWQTGFPQDMATMLRVFRDHFPSICLLDE LYPVSTSQTIREPNTWTQLHQLETHHHHRQEWVNALTGQFVSDDRCVLVARQIQQDDS ITLPINHPQRNMLYWYDFQ H257_05104 MDAQNEPQGSPPEAGSKRTKTGAFHKFWHGMLTQKYLEHDNQPA EVLRGLYIGSVGAGANWAALSELGITHILVVSETVQPAFESSRRFEYFRVSIGDVCSA RIVNFFDDSNAFIARGLVGGKVLVHCFAGKSRSATLVIAYLIATHHMSYESALALVRQ VRPQAQPNEGFAVQLNMYYGRQHIASSLEANTLT H257_05105 MASTRVAPTNGSAFKSDEPVGIRCDVEIQLAVTGPGQSGTGKNV SSTTLRSVVLCKRKGGLQVLRQDYKAAIRTLGVEVFFGQVANGKLTFIRREFQKYTQY NFFNGSPRDMVELKDFALSQGGIAKSKAISTTHRSDELIPQAKAKRTTIIDKPVAKKA RTTATSTNYAPSSSPMLPEPERKLTMKQAQVLQAIQKKENVFFTGRAGTGKSFLLGHI RRAMPKQGLFLTATTGIAAFNINGMTLHHFAGLPQVDTFDVTMLMAAVQRNRQALIRW RDAVLLVIDEVSMLDGQMFDALETIARIVRQSKLFFGGIQLVLSGDFYQLPPVTKGEP TFCFESQAWQRGINTSICLDQVFRQSDDPEFVAMLNAIRVGTHTSAMIKTINARCVDR RRHSASNEAIHIFSHNAEVLAMNNARLEHLDGDIHDFFAIDTGDKGLLKGSPIPVRIQ LKQGARVMLTKNLSVAAGLVNGSRGEVVGFASGTNLPIVRFDHGVTHPILKEGFSVVA NHTVVANRQQLPLTLAYAISIHKSQGLTFDNAVLHLGKVFEYGQAYVALSRLSSLAGL TLASPLSHQTIRVHPRLLVTDETFCTINQDCAVATVASTSTTP H257_05106 MMDDGDNMMDESGGYQAYRERSNATVATRNGPLLRVIRPPNDQE TDSKRTELIVNAEELLGHDMEDLKDATHVRNLEIQTHFDAINERSRHFEEKLGAEMKA RDESIAELRAGVMRAFEGAFSAMEAKAGAAFDLRLNDTILAQDTRETNVQVHFHEFIH VTVPGIIEALQGTVTRRLDKSHETFDIDNTKLVKREKKMTNQVESHERKTAQAFMDEK DRRSTTFIALQEGIHTTMRTDDRQAEKKQNGMIDTIVALKSQYDEERRVREAEDAELL EKVSSAMERLHRSILDSFGEVKDMDDGHGVGTTPGDHNNGD H257_05107 MTKGTSSYGKRHNKTHTICRRCGKSSYHIQKSTCGSCGYPAAKL RKYNWSVKALRRRTTGTGRMRYLKTVPRKFKNGFREGSQAVSKKAATN H257_05108 MLVPPSPLPPHVDWDGLTSLSTALDALRDLMLSDVLLDVPIFVM GQPPLLFAASMYDEDMAMLILSQRHRIPVDVNVVDNHGFTALHYAADSDAVDLVRALL ECPDVDVHATTEDLSVLGHVESGGRTPLHLASLRGNLAIVEALVLHDASVLSDVDWDG NSSLRLAQLHGRTSIQEFLQSQECSHLVVPPPSVESCLDHEKRTQQTVAAARYASSLA VPAALANVHVLRSILTIDECNYVTQALIAHTNRVGWQTKRHTAYPTTDLPSYCLPQVH LWVLATLRSRLFPAIRAAYGGMTCDLSFRDLFYVKYERRPGDGNVQNALGLHCDGSIL SFNVLLNPRSAFEGGGTFFADTAATVHIEQGDAAVHSGRVVHGAAPVIDGIRLILVGF LNVRQRCMTK H257_05108 MLVPPSPLPPHVDWDGLTSLSTALDALRDLMLSDVLLDVPIFVM GQPPLLFAASMYDEDMAMLILSQRHRIPVDVNVVDNHGFTALHYAADSDAVDLVRALL ECPDVDVHATTEDLSVLGHVESGGRTPLHLASLRGNLAIVEALVLHDASVLSDVDWDG NSSLRLAQLHGRTSIQEFLQSQECSHLVVPPPSVESCLDHEKRTQQTVAAARYASSLA VPAALANVHVLRSILTIDECNYVTQALIAHTNRVGWQTKRHTAYPTTDLPSYCLPQVH LWVLATLRYVALHLSIPHTLKLAAVSCDSSGVRRHDL H257_05109 MLSTPRSWSCLLPLTLALVVFPHVPVVTGTELPTPPLPSSECRV IVEASSAAMRFFVMSPHADDSNDVAMSDPLPPLTQALHTMAPAVAYALMKDTLHELSR QHINAKVRPGCPLHIVGTSGMRELPEARQSDIYDAIYASYQADESVDKLPLDRYNLRT LPEDEESYLLTVAANFLDKRIGKDMEPSALQLYGIVDLDPSSVRLVMDVEQRWRHNVR KKAYRPTPLTQSEFLVHTFANTGTTAIRHQVDETLSALNRTDNPCMFVDGFTTADDSA PKPDGGDGRECMALIQSIVDTANSNCTNNMHCVLLSHAQPRPSGPFYALNELYQAASF AHSTLSSSSVRFDFPTPSRYEMEQAATALCALPYKVVTKSNENSAQPPATVSATTSPS EQLRWKCLDLCYSAVLLKQLGLTDDERRVLFVESVHGRPVHWVLGAHLKMEAERRAVY DAALGEYLSSQVELGLPVGYHLALLVLAFAVLALYQMVHRASGRGGTHAMEFVHDATQ H257_05109 MLSTPRSWSCLLPLTLALVVFPHVPVVTGTELPTPPLPSSECRV IVEASSAAMRFFVMSPHADDSNDVAMSDPLPPLTQALHTMAPAVAYALMKDTLHELSR QHINAKVRPGCPLHIVGTSGMRELPEARQSDIYDAIYASYQADESVDKLPLDRYNLRT LPEDEESYLLTVAANFLDKRIGKDMEPSALQLYGIVDLDPSSVRLVMDVEQRWRHNVR KKAYRPTPLTQSEFLVHTFANTGTTAIRHQVDETLSALNRTDNPCMFVDGFTTADDSA PKPDGGDGRECMALIQSIVDTANSNCTNNMHCVLLSHAQPRPSGPFYALNELYQAASF AHSTLSSSSVRFDFPTPSRYEMEQVRPM H257_05110 MASPAEGLHLMVPKHILQNVVEGTKSLLSEKRLLQQRLDEAGGR IFALERQLADQQMRTEVAMQSAAKTPASNASHKFKNLTLQVMKTKRSVGDGRDGALSP TSDHMRKDEEIYKLRGKTNELETLIAATRAITHQTAHREVVSSTLNAARNLVSARMYS LALTNEGNSSMLLYSMGVLSLAAAGEDRHVNKYGGFQDEGSMGVDNTTTFGHVIMTGT VFDALQATATGMFESQMDDFDFVPHSVLCMPIRNAHGTILGALQVVTQRQHSDEYMQN QVFTSLDKDRLEHLCVVSGSAIWNLRLSKERQTAQTRIEILLRLNRSIAVESNSAAVL EKIMDVSYELLRCERVSLFVKIQGESDLFVAHANDATRGQYISIDKGIAGLVARTGQV VTTNNVDEHPCFDRELDERTGNSTKKLLCVPVKDPDGTILAVVQAVNKVDKSDFSIED TLFLNYIAEAAGISLHKSYLHNEVIIAKRLTDVRLKLSDYVLNHNDIHSLTDLIMVHG KAIMDCDRFGFLLVDHLKNELWITSNNGNLGEQVTLRQPIYKGISGLVATTGETVCTR DAYTHSLFDPTHDNITGYRTTSVLCMPVFEEHVPTKPKVVAVAMCINKLQGSQVIAFN SQDKLTMARFCKEMQHALGQMSLEVCYFKVVSDRNLSGSSEVTEAGVISSLLQKHSRN TSGIMTANLTQLDGGGDHRVADDVFAHDVKLTQRPELDSWDLDILSFSFTEVIQSVQT LFRAYGFIDTYEISPDKMQSFVASVAQHYRANSYHNFYHAFQVLHSAHIQLKVHMRKL LTGLDIFALMIAALCHDVDHPGNNNDFEVKCVSSIALTHNDDAVLERHHCRVTFLILN TPSTNILEKVAPDAFRSIRRTIIRCIMATDMANHFTQCKALENMSRHQLQDAVNRMVV MELIVHASDLSAQALPYKLAFKWGDRALDEFQNQAREEADMNLPVAPFMENLESKGAR LSVQSNFICYVLQPLWTSYGLLVPQMRVRRVLESVTWSNLRRCPCSSTPNRSTTTWNI TAQTLASSPARRPPITTAPRSYDRCCTFYA H257_05110 MASPAEGLHLMVPKHILQNVVEGTKSLLSEKRLLQQRLDEAGGR IFALERQLADQQMRTEVAMQSAAKTPASNASHKFKNLTLQVMKTKRSVGDGRDGALSP TSDHMRKDEEIYKLRGKTNELETLIAATRAITHQTAHREVVSSTLNAARNLVSARMYS LALTNEGNSSMLLYSMGVLSLAAAGEDRHVNKYGGFQDEGSMGVDNTTTFGHVIMTGT VFDALQATATGMFESQMDDFDFVPHSVLCMPIRNAHGTILGALQVVTQRQHSDEYMQN QVFTSLDKDRLEHLCVVSGSAIWNLRLSKERQTAQTRIEILLRLNRSIAVESNSAAVL EKIMDVSYELLRCERVSLFVKIQGESDLFVAHANDATRGQYISIDKGIAGLVARTGQV VTTNNVDEHPCFDRELDERTGNSTKKLLCVPVKDPDGTILAVVQAVNKVDKSDFSIED TLFLNYIAEAAGISLHKSYLHNEVIIAKRLTDVRLKLSDYVLNHNDIHSLTDLIMVHG KAIMDCDRFGFLLVDHLKNELWITSNNGNLGEQVTLRQPIYKGISGLVATTGETVCTR DAYTHSLFDPTHDNITGYRTTSVLCMPVFEEHVPTKPKVVAVAMCINKLQGSQVIAFN SQDKLTMARFCKEMQHALGQMSLEVCYFKVVSDRNLSGSSEVTEAGVISSLLQKHSRN TSGIMTANLTQLDGGGDHRVADDVFAHDVKLTQRPELDSWDLDILSFSFTEVIQSVQT LFRAYGFIDTYEISPDKMQSFVASVAQHYRANSYHNFYHAFQVLHSAHIQLKVHMRKL LTGLDIFALMIAALCHDVDHPGNNNDFEVKCVSSIALTHNDDAVLERHHCRVTFLILN TPSTNILEKVAPDAFRSIRRTIIRCIMATDMANHFTQCKALENMSRHQLQDAVNRMVV MELIVHASDLSAQALPYKLAFKWGDRALDEFQNQAREEADMNLPVAPFMENLESKGAR LSVQSNFICYVLQPLWTSYGLLVPQMRFYTESLNNNLEHYRADFGEFTRAQAAHHHSS T H257_05110 MASPAEGLHLMVPKHILQNVVEGTKSLLSEKRLLQQRLDEAGGR IFALERQLADQQMRTEVAMQSAAKTPASNASHKFKNLTLQVMKTKRSVGDGRDGALSP TSDHMRKDEEIYKLRGKTNELETLIAATRAITHQTAHREVVSSTLNAARNLVSARMYS LALTNEGNSSMLLYSMGVLSLAAAGEDRHVNKYGGFQDEGSMGVDNTTTFGHVIMTGT VFDALQATATGMFESQMDDFDFVPHSVLCMPIRNAHGTILGALQVVTQRQHSDEYMQN QVFTSLDKDRLEHLCVVSGSAIWNLRLSKERQTAQTRIEILLRLNRSIAVESNSAAVL EKIMDVSYELLRCERVSLFVKIQGESDLFVAHANDATRGQYISIDKGIAGLVARTGQV VTTNNVDEHPCFDRELDERTGNSTKKLLCVPVKDPDGTILAVVQAVNKVDKSDFSIED TLFLNYIAEAAGISLHKSYLHNEVIIAKRLTDVRLKLSDYVLNHNDIHSLTDLIMVHG KAIMDCDRFGFLLVDHLKNELWITSNNGNLGEQVTLRQPIYKGISGLVATTGETVCTR DAYTHSLFDPTHDNITGYRTTSVLCMPVFEEHVPTKPKVVAVAMCINKLQGSQVIAFN SQDKLTMARFCKEMQHALGQMSLEVCYFKVVSDRNLSGSSEVTEAGVISSLLQKHSRN TSGIMTANLTQLDGGGDHRVADDVFAHDVKLTQRPELDSWDLDILSFSFTEVIQSVQT LFRAYGFIDTYEISPDKMQSFVASVAQHYRANSYHNFYHAFQVLHSAHIQLKVHMRKL LTGLDIFALMIAALCHDVDHPGNNNDFEVKCVSSIALTHNDDAVLERHHCRVTFLILN TPSTNILEKVAPDAFRSIRRTIIRCIMATDMANHFTQCKALENMSRHQLQDAVNRWGV VVRIG H257_05110 MASPAEGLHLMVPKHILQNVVEGTKSLLSEKRLLQQRLDEAGGR IFALERQLADQQMRTEVAMQSAAKTPASNASHKFKNLTLQVMKTKRSVGDGRDGALSP TSDHMRKDEEIYKLRGKTNELETLIAATRAITHQTAHREVVSSTLNAARNLVSARMYS LALTNEGNSSMLLYSMGVLSLAAAGEDRHVNKYGGFQDEGSMGVDNTTTFGHVIMTGT VFDALQATATGMFESQMDDFDFVPHSVLCMPIRNAHGTILGALQVVTQRQHSDEYMQN QVFTSLDKDRLEHLCVVSGSAIWNLRLSKERQTAQTRIEILLRLNRSIAVESNSAAVL EKIMDVSYELLRCERVSLFVKIQGESDLFVAHANDATRGQYISIDKGIAGLVARTGQV VTTNNVDEHPCFDRELDERTGNSTKKLLCVPVKDPDGTILAVVQAVNKVDKSDFSIED TLFLNYIAEAAGISLHKSYLHNEVIIAKRLTDVRLKLSDYVLNHNDIHSLTDLIMVHG KAIMDCDRFGFLLVDHLKNELWITSNNGNLGEQVTLRQPIYKGISGLVATTGETVCTR DAYTHSLFDPTHDNITGYRTTSVLCMPVFEEHVPTKPKVVAVAMCINKLQGSQVIAFN SQDKLTMARFCKEMQHALGQMSLEVCYFKVVSDRNLSGSSEVTEAGVISSLLQKHSRN TSGIMTANLTQLDGGGDHRVADDVFAHDVKLTQRPELDSWDLDILSFSFTEVIQSVQT LFRAYGFIDTYEISPDKMQSFVASVAQHYRANSYHNFYHAFQVLHSAHIQLKVHMRKL LTGLDIFALMIAALCHDVDHPGNNNDFEVKCVSSIALTHNDDAVLERHHCRVTFLILN TPSTNILEKVAPDAFRSIRRTIIRCIMATDMANHFTQCKALENMSRHQLQDAVNRWGV VVRIG H257_05111 MMASEDLSANNKPAAMAAGPEVDLFEKKTPSTTIRWISDGEVSL CKSCGLLFDWVRRKHHCRYCGQLFCDDCTRHRSMIREDKILTSPNKKYLSVNAFNPQR VCEPCHHILLPDQAILRETASNAVQPNSINEAGSKRFLNSPYSFTLREEVRKAAYSIK NFMLDGVIKDQSIPLPLLTNAQGIAFLTVLKVGFVVTGRLGTGLVLSKLPDGSWSAPS AIATAGIGWGAQIGGEVTDFVIILNTRGAVDTFCAQGQVNLGAELGVAAGPVGRVAAG AVEAGPGGVAPCYSYSHSKGLFIGISLEGSVVMARPDVNREFYGKDITAAELLGGRER PPVAAQPLYDALEAVATSPANGLNRLAAVSAARYSMSHSMSMPSSSSSSTSSRGLTAA SMSSSVVLPRPTTTPRSSPSVAL H257_05111 MMASEDLSANNKPAAMAAGPEVDLFEKKTPSTTIRWISDGEVSL CKSCGLLFDWVRRKHHCRYCGQLFCDDCTRHRSMIREDKILTSPNKKYLSVNAFNPQR VCEPCHHILLPDQAILRETASNAVQPNSINEAGSKRFLNSPYSFTLREEVRKAAYSIK NFMLDGVIKDQSIPLPLLTNAQGIAFLTVLKVGFVVTGRLGTGLVLSKLPDGSWSAPS AIATAGIGWGAQIGGEVTDFVIILNTRGAVDTFCAQGQVNLGAELGVAAGPVGRVAAG AVEAGPGGVAPCYSYSHSKGLFIGISLEGSVVMARPDVNREFYGKDITAAELLGGRER PPVAAQPLYDALEAVATRYTPLLSRLTLHLMLGRCSPANGLNRLAAVSAARYSMSHSM SMPSSSSSSTSSRGLTAASMSSSVVLPRPTTTPRSSPSVAL H257_05111 MMASEDLSANNKPAAMAAGPEVDLFEKKTPSTTIRWISDGEVSL CKSCGLLFDWVRRKHHCRYCGQLFCDDCTRHRSMIREDKILTSPNKKYLSVNAFNPQR VCEPCHHILLPDQAILRETASNAVQPNSINEAGSKRFLNSPYSFTLREEVRKAAYSIK NFMLDGVIKDQSIPLPLLTNAQGIAFLTVLKVGFVVTGRLGTGLVLSKLPDGSWSAPS AIATAGIGWGAQIGGEVTDFVIILNTRGAVDTFCAQGQVNLGAELGVAAGPVGRVAAG AVEAGQMNMSYISNGNVLFVGPGGVAPCYSYSHSKGLFIGISLEGSVVMARPDVNREF YGKDITAAELLGGRERPPVAAQPLYDALEAVATSPANGLNRLAAVSAARYSMSHSMSM PSSSSSSTSSRGLTAASMSSSVVLPRPTTTPRSSPSVAL H257_05111 MMASEDLSANNKPAAMAAGPEVDLFEKKTPSTTIRWISDGEVSL CKSCGLLFDWVRRKHHCRYCGQLFCDDCTRHRSMIREDKILTSPNKKYLSVNAFNPQR VCEPCHHILLPDQAILRETASNAVQPNSINEAGSKRFLNSPYSFTLREEVRKAAYSIK NFMLDGVIKDQSIPLPLLTNAQGIAFLTVLKVGFVVTGRLGTGLVLSKLPDGSWSAPS AIATAGIGWGAQIGGEVTDFVIILNTRGAVDTFCAQGQVNLGAELGVAAGPVGRVAAG AVEAGQMNMSYISNGNVLFVGPGGVAPCYSYSHSKGLFIGISLEGSVVMARPDVNREF YGKDITAAELLGGRERPPVAAQPLYDALEAVATRYTPLLSRLTLHLMLGRCSPANGLN RLAAVSAARYSMSHSMSMPSSSSSSTSSRGLTAASMSSSVVLPRPTTTPRSSPSVAL H257_05111 MMASEDLSANNKPAAMAAGPEVDLFEKKTPSTTIRWISDGEVSL CKSCGLLFDWVRRKHHCRYCGQLFCDDCTRHRSMIREDKILTSPNKKYLSVNAFNPQR VCEPCHHILLPDQAILRETASNAVQPNSINEAGSKRFLNSPYSFTLREEVRKAAYSIK NFMLDGVIKDQSIPLPLLTNAQGIAFLTVLKVGFVVTGRLGTGLVLSKLPDGSWSAPS AIATAGIGWGAQIGGEVTDFVIILNTRGAVDTFCAQGQVNLGAELGVAAGPVGRVAAG AVEAGPGGVAPCYSYSHSKGLFIGISLEGSVVMARPDVNREFYGKDITAAELLGGRGM MGT H257_05111 MMASEDLSANNKPAAMAAGPEVDLFEKKTPSTTIRWISDGEVSL CKSCGLLFDWVRRKHHCRYCGQLFCDDCTRHRSMIREDKILTSPNKKYLSVNAFNPQR VCEPCHHILLPDQAILRETASNAVQPNSINEAGSKRFLNSPYSFTLREEVRKAAYSIK NFMLDGVIKDQSIPLPLLTNAQGIAFLTVLKVGFVVTGRLGTGLVLSKLPDGSWSAPS AIATAGIGWGAQIGGEVTDFVIILNTRGAVDTFCAQGQVNLGAELGVAAGPVGRVAAG AVEAGPGGVAPCYSYSHSKGLFIGISLEGSVVMARPDVNREFYGKDITAAELLGGRGM MGT H257_05111 MMASEDLSANNKPAAMAAGPEVDLFEKKTPSTTIRWISDGEVSL CKSCGLLFDWVRRKHHCRYCGQLFCDDCTRHRSMIREDKILTSPNKKYLSVNAFNPQR VCEPCHHILLPDQAILRETASNAVQPNSINEAGSKRFLNSPYSFTLREEVRKAAYSIK NFMLDGVIKDQSIPLPLLTNAQGIAFLTVLKVGFVVTGRLGTGLVLSKLPDGSWSAPS AIATAGIGWGAQIGGEVTDFVIILNTRGAVDTFCAQGQVNLGAELGVAAGPVGRVAAG AVEAGQMNMSYISNGNVLFVGPGGVAPCYSYSHSKGLFIGISLEGSVVMARPDVNREF YGKDITAAELLGGRGMMGT H257_05111 MMASEDLSANNKPAAMAAGPEVDLFEKKTPSTTIRWISDGEVSL CKSCGLLFDWVRRKHHCRYCGQLFCDDCTRHRSMIREDKILTSPNKKYLSVNAFNPQR VCEPCHHILLPDQAILRETASNAVQPNSINEAGSKRFLNSPYSFTLREEVRKAAYSIK NFMLDGVIKDQSIPLPLLTNAQGIAFLTVLKVGFVVTGRLGTGLVLSKLPDGSWSAPS AIATAGIGWGAQIGGEVTDFVIILNTRGAVDTFCAQGQVNLGAELGVAAGPVGRVAAG AVEAGQMNMSYISNGNVLFVGPGGVAPCYSYSHSKGLFIGISLEGSVVMARPDVNREF YGKDITAAELLGGRGMMGT H257_05111 MMASEDLSANNKPAAMAAGPEVDLFEKKTPSTTIRWISDGEVSL CKSCGLLFDWVRRKHHCRYCGQLFCDDCTRHRSMIREDKILTSPNKKYLSVNAFNPQR VCEPCHHILLPDQAILRETASNAVQPNSINEAGSKRFLNSPYSFTLREEVRKAAYSIK NFMLDGVIKDQSIPLPLLTNAQGIAFLTVLKVGFVVTGRLGTGLVLSKLPDGSWSAPS AIATAGIGWGAQIGGEVTDFVIILNTRGAVDTFCAQGQVNLGAELGVAAGPVGRVAAG AVEAGQMNMSYISNGNVLFVGPGGVAPCYSYSHSKASWM H257_05111 MMASEDLSANNKPAAMAAGPEVDLFEKKTPSTTIRWISDGEVSL CKSCGLLFDWVRRKHHCRYCGQLFCDDCTRHRSMIREDKILTSPNKKYLSVNAFNPQR VCEPCHHILLPDQAILRETASNAVQPNSINEAGSKRFLNSPYSFTLREEVRKAAYSIK NFMLDGVIKDQSIPLPLLTNAQGIAFLTVLKVGFVVTGRLGTGLVLSKLPDGSWSAPS AIATAGIGWGAQIGGEVTDFVIILNTRGAVDTFCAQGQVNLGAELGVAAGPVGRVAAG AVEAGQMNMSYISNGNVLFVGPGGVAPCYSYSHSKASWM H257_05111 MMASEDLSANNKPAAMAAGPEVDLFEKKTPSTTIRWISDGEVSL CKSCGLLFDWVRRKHHCRYCGQLFCDDCTRHRSMIREDKILTSPNKKYLSVNAFNPQR VCEPCHHILLPDQAILRETASNAVQPNSINEAGSKRFLNSPYSFTLREEVRKAAYSIK NFMLDGVIKDQSIPLPLLTNAQGIAFLTVLKVGFVVTGRLGTGLVLSKLPDGSWSAPS AIATAGIGWGAQIGGEVTDFVIILNTRGAVDTFCAQGQVNLGAELGVAAGPVGRVAAG AVEAGQMNMSYISNGNVLFVGPGGVAPCYSYSHSKASWM H257_05112 MESTVDADAALNEIIRSHLIDNSMDALARQIRRVYAKLREKEKA YLALQGIGGESDTSNLDAKMAATRARWAPTLRDLLEKSCLELHKQRIELNACIDIIEK EQKQGRLKDRENKRHSLVEPQSTTVTLEVGSQVAARVARGHELWILASVVKADERMVE VEDEDSGDEDTEGKRHHVVPRDWLVPLPREDQIDEWFNFRVNERVMAMYPSTTSFYPA VVIVPNPPGSLYVILRFDDDADEIGTISEYKVPFRFVMPLKKT H257_05113 MKLIICQTSISVSLEPPASSLLTKASARIACRIVQTNVAKGLVI RLASLGIKAWVGLMSDWMARLSHRQQRRVCASIKALSLSARSIVDSHSWMACFQSAKM STLLQFGYIPSKYTS H257_05114 MDTLTAGKHRGKNIADVVKEQVHGTSVGKEGTIAWIELLLRELR HHVAVPVDSSEWSEDTLGTLLSAAGMTVRYVTEAPTFVAYLPEFESIFLHVLGIPNWT KPFLGLKMVALRGCTRLLESFGEVVQRDADVLAWISSSVDVMLSSSDDPTAATCIQLL LRPTCEHLNVIVQVHPRSIPSLLAIVPRYLYLYTTKLCTHSSNQCKHDILELASFVSV LLDTALPPLPSARSLLAQRSATSTPSFSPPHKRQLAVHVMSGDDWRRFQSSPDAMTSM LSVLPSLYAVATLDAAAASSSACFAAFFQHTNSMLSQALATTSPPRTMDSLEHVSMTI ESLRDLLLRRYQVHAPQPLADTELSLKAVVPVLQHVFTYLSDTAKDRSLRGIKATLHD IRDTAIECLVVLVHVLGHSFWDVELEFDFLHAVVVKIADPLVFGDFLFALRPTDADET DRYASKVAEVVAIFVKGLRQAHRYSKTLALRMVDGVAHVALGAGVYLHPHLAVLADTL LDMQAMNSSSSVRNASTRALTRLYCLAPFAEEAAAAAYFNLVTEGVLAMREPALESLS ALLHDKTATVAAAMDWLPSAFWLEWLASCSEMFAAIPIFEEDVPVLTYQLGICHAWLT ALRPDDVLTQGMLNNTFRPAVQTLRDTAHADGLVGIVDAADSLLALL H257_05114 MDTLTAGKHRGKNIADVVKEQVHGTSVGKEGTIAWIELLLRELR HHVAVPVDSSEWSEDTLGTLLSAAGMTVRYVTEAPTFVAYLPEFESIFLHVLGIPNWT KPFLGLKMVALRGCTRLLESFGEVVQRDADVLAWISSSVDVMLSSSDDPTAATCIQLL LRPTCEHLNVIVQVHPRSIPSLLAIVPRYLYLYTTKLCTHSSNQCKHDILELASFVSV LLDTALPPLPSARSLLAQRSATSTPSFSPPHKRQLAVHVMSGDDWRRFQSSPDAMTSM LSVLPSLYAVATLDAAAASSSACFAAFFQHTNSMLSQALATTSPPRTMDSLEHVSMTI ESLRDLLLRRYQVHAPQPLADTELSLKAVVPVLQHVFTYLSDTAKDRSLRGIKATLHD IRDTAIECLVVLVHVLGHSFWDVELEFDFLHAVVVKIADPLVFGDFLFALRPTDADET DRYASKVAEVVAIFVKGLRQAHRYSKTLALRMVDGVAHVALGAGVYLHPHLAVLADTL LDMQAMNSSSSVRNASTRALTRLYCLAPFAVLFSLRRKLFTHTRGSIGRGRRRRLL H257_05115 MCWLAGGSAFSVLRDENLLVQITQYQCGIFECLRSTFLRNHHFL HRSVFIEPSFGIVRHRRLVALDLVQTGDIQLLQVLMRCRIEDITQTISEHWKLMDFAA MYGHLPVLEFLHASGLPICTMHALDLAAQHGHLAVVKFLHFHRTEGCSRRAMESAAER GHLSVVQFLNDHRSEGCRALAMDRAAANGHLDVVAFLHAHRSEGCTTLAMDEAAAHGH LEVVQFLHQHRTEGCTAWAVDQAATYGHLEVVQYLLQHRNEGGMAGTIDKAAAKGHLA IVKYLHAHAIEGCTHRAMDGAAEGGHLEVVEFLHSHRREGCSMHAMNLAAKNGYFNVV RFLHDHRHEGCTAAAVDDAARNGHLEVVKFLLTFRTEGHSAQAIQAAAQAGHVEVVKY LHAKAIVGCGERDSGLASLAVPRIRAWDATEWRM H257_05116 MAAEVAESHGGTLSIPVDSDTAYQGVNTPGVGKPTPKDEPPKKL APLSKLFQYADATDAWLILLGSICAAGAGFSQPLQILLFGNILNSFNPPPSNLVLTQA ELDVLNAQMSKGINEIALKFVWVGLGVMVCGFGQVACWSITASRQGKRIKQEYIQAIL RQEIGWFDVNNPMELATKVADTTLIIQDGIGRKVGDGINFIAMGVGGITIGVVKGWKL ALALLGFTPLIALTAFLMVKTLSTAVQASVTAYGAAGGVAEESLSNIRTVQMFNSMAT FADKYKTALVATEKAGIKKGLAVGIGTGSMFGMIFMTYAFGMWYGAVQVATDQLTLPK CTESCYDGGRVLTVFFTIIMSAMALGQAGPSIQAVFSARAAAAIVFDMIERPSLVDAT SAHGSTLPSVEGDIQLDRIGFHYPARPEVQVCKGYSLHIKAGEKVALVGPSGSGKSTI VSLLERYYDPLEGVVKLDGHDLKMLNVKWLRAQVGLVGQEPSLFSCSIADNIRYGKPD ASLEDVYAAAKQANAYDFISGFPQGFDTQVGERGVQLSGGQKQRIAIARAIIKNPAVL LLDEATSALDTESERIVQASLDALLASRKRTTIIIAHRLSTIRDADRIVVLSGGSVVE EGSHGDLMSRPNSQYKALVEAQQRPAPSSPTKIETVQSFDDEKGAVSAPAKKPVDGAS GGGDAVVVASASGDVAGGDVKTVPLSRIWKLNAPEVWYIVLGSVGALINGAVFPVWGL LLTNVTVLFFNYSLTADGMKAEAIKWSLAFVGLGITFAVAVSIQYYGFTVASERLTRR LREMGYQSMLHQDVGWFDKQSSGALTTMLATDSAIIQAMTSETMNRGFVNLATLSVAF SIGFYYSWQMTLAMIGVFPILGAASYVQMQMMSGHNKKLNDGDVKAGALLSEAINSIR TVASFTLESQVHNQYVGHLDLSASKDVKTGLAGGTGFGVSQGVMFFAIAFLFWFGGWL IVNNLVDFKGMFLVLMSIMLSTFGVGLAAQNMSDSVKAKEAASRLFDTIDRVPPIDCA NSTGDTLPSVRGELEFVQLKFAYPSRPHAFIYNGYSLKVPSGATVALVGASGCGKSTA IALLERFYDPLEGQVLLDGVDIRTLNLQWLRQHISLVGQEPVLFAGTIADNIASGKAG STLADVQDAAKKANAHDFIMQFPDNYNTQVGDRGIQVSGGQKQRIAIARAILRDPAVL LLDEATSALDNESERIVQASLDALLQLKRRTTIIVAHRLSTIRNADIIAVTADGKIVE QGTHDELMAIPKGKYVNLVQRQVGIN H257_05117 MKTSFITAALAVAVCLLSNAPVTSAGVSLRGDSHADAGSQVAAF ERHLAAQVAMKAATTTKKPKPTKKPKTTKKPKTTKKPKTTKKPRAKKPKTTKKPRAKK PKTTKKPRVKSANKNNPAPKPSTPATTSAVTNLAKSAKKDEVKPTKNGAAGFALLSTV MILAMATSLV H257_05118 MHLSKVLSALAGSMLLLMDESSSTAHASPLMGMKKLKCKKLVYV CEDGFTKVYPNPFNGCEFDLCPEDMVTDEDDRGEEDVDGDVDDDDDDEGSSEDDEVND PVVCKKVVHTCADGRTKVHPNPLNDCDFDPCPEDVAAEDDRDDITIEDLIDEDATTTV APPVTTSTQHHAESDIDDDDDNRDPDKPHTTTVVHPPTSSTTTAAPKAVVWTSIDASK APAAVQDAVQLAFALYNSTSICDKLILYYDSIEAHNETTSLPKQHHLKKDKKVHSTFH VVVNVDCYLLGVKEVGGKFILNLEQHGVNEAQPYQLTDCAHLETWSELSNWLAVRNNV GYCETPRQKKRFDLQPLRYVHYQAKTLTLLDTVVRNKNYLAIVAAVAGATAALLLLVT VVLLRRRYCGYRKLKMDGGDESPDGTLGDEDAGVKLTPPTKRQPPAKAATASTFL H257_05119 MKWATVAVVALLAMASPSVDGMKCKKLVHTCLNGVTKVYPNPDN NCKFDLCPEDMEDDDVVAQVEGTVAPTTAVTAVLDKSQAKHIAQDEWIALDDAAVPTS LRDAAKLAFDLYTEPSVCDELKIEYSSVELKNASDFIGTKNKDSKYNKVATQSYHVVA TVTCTLDSKDQVPGNFVLNMEHHGNKDSVKYFQLVECGHEEQWGQMVNWLTIRNGRAY CQTREGKAKFDAQPLHFINAEASQSDPNSILSVIKKDNKYIAITGALVGLLGVVLIAL VLAVVTGRRTSRTARKWAKQRTPAKPKVRSVLEDDATTIENEVHSTRGLIDGVSTKSS MDEIKI H257_05120 MDSLCVCYPTRSVWTSPRGSGGCLYASAMTIHPTCPPYPDTLAT DLPRFNRMVADSGAARTPNRTFGYALASRKTMAAESATFNLTHLKRAICGAETIHIES LVAFADKFDPAGFDPTTAQRRGDGRPHPPVCPPQIPPRAVVIEGEHSMGGDIPDLPVF VALKRRTSSICR H257_05121 MHGNDGISRPPVALDCEIDPTSKGTYDGGTLNLHITLQGQYQVV SSKCTVHSTSGSYIEGNGKLTVISTSHPDAPCLPRSTTIVCVLMFSHTFRSMRMRMDR SVFMSVMELPCHRNATLPSTVCTTPSCLVRDMKGGIWIDEGES H257_05122 MEMQFAEAASVVVLSIAAAVVGTTVVMSSRKKRKHKIEDVDEDE EKVQRPAKRQFKGSFAREDAPEETIVDLLKRRAIETPDKVVYIFLDDQGHESVVITFE ELDRAARKVAATLQTDGGLSKGDRVMLCYPPGLDFAMGFWGCLYAGAIGIPTYPPYPG TLAKDLPKFNRMVADSGARVILTNRTYHLATQLATAKSYFSSDAPAWPKDIQWYSTDA ISSSTASRFTDVNVAVDDIAFFQYSSGSTSEPKAVMISHGNIHAQLKTWASIEETDTL ISWLPSYHDMGLVGFILTPCAFGARCVSMSPLAFIKDPAMWIRIASKYKGTHLCAPNF GYALAARKTTKAQVSKLDLSHLKQAICAAEPIRVESLESFTETFAPAGFDPKTFNCGY GLAEVTLVVTGQDPQARKEPSVLNVKKSVLEKHKRVVLAPKGHPSADATTLVGCGHAM PTFKVIVVDPDTLKPVGENQVGEVWIQGPSVATGYWKREEYTDEMFHAKVNAPKATHQ SYKGNWLRSGDMGFLRHNEVFITGRLKDLIIIRGRNVCPQDVEHSVELAHDQVRPGCV AAFSIETAQQEEALVVVVELRAELKKDTEQLAAISRKIATAVLSEHQLRCAAIVLLKP RSIPKTTSGKIQRSAAKKQYESGSLAAQYIHRAESKAAAVQAVQIEEAPEEVDVKTPQ VIKTTDIRTEEEIQAWLVARLAQEQAGGGGEASNKETSETDDDAVDLNTPWACFGMDS VAIVSLSAELGEFLGVVVPPAVFFQFDTPAKLIAAPGLATGELTDAQTGDHTNQPVSY VGEDGELLAECYDVMAFPEIVHLQGQMHELTSAGLKVPYLDVLTTEKRKQLNYNTYNY LGYASHPTVAAASKAAIDTYGTGMSSSPIVGQTIVNTEVEAALCSHFTAEAAVLFVGG WVANVTTIDSLVGKGDLILCDVLNHNSCVTGQRLSGAAILSFPHNDVATADRILRSVR HKYRRVLIVIEGVYSMDGDIPDLPAFVALKKAHKCFLFLDEAHSFGTMGPTGKGMCEH FNVPASDVDIRMGTMSKAMGSVGGFILASHALVQYLKYCAGGFVYSVGLGPANAGATL QSLQLMAAEPERSTHLQHLSAFFFDACVSAKLDVGTNVRGTCVVVVYVGSTVETVLAS MMLSDNHGINVKPIVHPAVEEGKCRLRFFISYLKTEAELQRTVDALASTLVEVKAAAR EATAAADAAAVVEVIPDEDEPQQAKDDVIAAAVVEAVSTL H257_05122 MYAGLSKGDRVMLCYPPGLDFAMGFWGCLYAGAIGIPTYPPYPG TLAKDLPKFNRMVADSGARVILTNRTYHLATQLATAKSYFSSDAPAWPKDIQWYSTDA ISSSTASRFTDVNVAVDDIAFFQYSSGSTSEPKAVMISHGNIHAQLKTWASIEETDTL ISWLPSYHDMGLVGFILTPCAFGARCVSMSPLAFIKDPAMWIRIASKYKGTHLCAPNF GYALAARKTTKAQVSKLDLSHLKQAICAAEPIRVESLESFTETFAPAGFDPKTFNCGY GLAEVTLVVTGQDPQARKEPSVLNVKKSVLEKHKRVVLAPKGHPSADATTLVGCGHAM PTFKVIVVDPDTLKPVGENQVGEVWIQGPSVATGYWKREEYTDEMFHAKVNAPKATHQ SYKGNWLRSGDMGFLRHNEVFITGRLKDLIIIRGRNVCPQDVEHSVELAHDQVRPGCV AAFSIETAQQEEALVVVVELRAELKKDTEQLAAISRKIATAVLSEHQLRCAAIVLLKP RSIPKTTSGKIQRSAAKKQYESGSLAAQYIHRAESKAAAVQAVQIEEAPEEVDVKTPQ VIKTTDIRTEEEIQAWLVARLAQEQAGGGGEASNKETSETDDDAVDLNTPWACFGMDS VAIVSLSAELGEFLGVVVPPAVFFQFDTPAKLIAAPGLATGELTDAQTGDHTNQPVSY VGEDGELLAECYDVMAFPEIVHLQGQMHELTSAGLKVPYLDVLTTEKRKQLNYNTYNY LGYASHPTVAAASKAAIDTYGTGMSSSPIVGQTIVNTEVEAALCSHFTAEAAVLFVGG WVANVTTIDSLVGKGDLILCDVLNHNSCVTGQRLSGAAILSFPHNDVATADRILRSVR HKYRRVLIVIEGVYSMDGDIPDLPAFVALKKAHKCFLFLDEAHSFGTMGPTGKGMCEH FNVPASDVDIRMGTMSKAMGSVGGFILASHALVQYLKYCAGGFVYSVGLGPANAGATL QSLQLMAAEPERSTHLQHLSAFFFDACVSAKLDVGTNVRGTCVVVVYVGSTVETVLAS MMLSDNHGINVKPIVHPAVEEGKCRLRFFISYLKTEAELQRTVDALASTLVEVKAAAR EATAAADAAAVVEVIPDEDEPQQAKDDVIAAAVVEAVSTL H257_05123 MYNNSIATLERIMGTLRSYTDATRVRYDVETLLRQIPSISPQNG VYTHNNGSTSTMLSLTGTIPIYYGGNQYNIPVEIWMPEAYPFAAPTCFVRPTTDMMIR PGHPHVDQNGLIVVPYSTNWDSDHSLVELVGYHCSIFGAQPPVFRRPANQPAPTPSYP PPPQQTQPQPSYGYQQGGYASPSQQPPQPSYQQPSQPYPSYAQPRAANQYSPYQPAVI DPVVKLKAEATEKIQHELQKIYKRIRDEIDDQFDTQRELSHGQQRLAHGQQSLEKLQA DLTTAVAQVEAADAQVTDWLAANENQDEVGVDDVLVAADPLSQQQLDAYAERNAIEDA LYFMDRALANGEIELPTFLKEVRKLARKQFMSVALMQKVHDVQHTTTASRRG H257_05123 MYNNSIATLERIMGTLRSYTDATRVRYDVETLLRQIPSISPQNG VYTHNNGSTSTMLSLTGTIPIYYGGNQYNIPVEIWMPEAYPFAAPTCFVRPTTDMMIR PGHPHVDQNGLIVVPYSTNWDSDHSLVELVGYHCSIFGAQPPVFRRPANQPAPTPSYP PPPQQTQPQPSYGYQQGGYASPSQQPPQPSYQQPSQPYPSYAQPRAANQYSPYQPAVI DPVVKLKAEATEKIQHELQKIYKRIRDEIDDQFDTQRELSHGQQRLAHGQQSLEKLQA DLTTAVAQVEAADAQVTDWLAANENQDEVGVDDVLVAADPLSQQQLDAYAERNAIEDA LYFMDRALANGEIELPTFLKVRW H257_05124 MAALNVIANIQPSFVISDAAFAAKRLPPALLLYSYCWYIHPSSV KIMIVGT H257_05125 MQGASVESDFAAAVLFLQTYNGPHRILRNPTSSVRLDFNALYQQ ATLGSCSAIAPPLDGSSSADLNSWAKWKALGHLSKEAAMQTYIKTMDDLVDNWRRSPS FRQPSGTLMLPQGGGSTSPSVPQTLIERLPSLVQEVADLKAKLHFDSQRHDELTGSLR TLSYDMKSTFTRELRQVDVLRTEMRDSVTRLDKQLQLHQADVTACVAANTALLHRVNS SVAFRAERAGWVVWQRLVTWTGYYRVTYIGGALAVVLFVWRNLLKRKLPRPVADALIA AISYLARRPKTQPP H257_05125 MQGASVESDFAAAVLFLQTYNGPHRILRNPTSSVRLDFNALYQQ ATLGSCSAIAPPLDGSSSADLNSWAKWKALGHLSKEAAMQTYIKTMDDLVDNWRRSPS FRQPSGTLMLPQGGGSTSPSVPQTLIERLPSLVQEVADLKAKLHFDSQRHDELTGSLR TLSYDMKSTFTRELRQVDVLRTEMRDSVTRLDKQLQLHQADVTACVAANTALLHRVNS SVAFRAERAGWVVWQRLVTWTGYYRVTYIGGALAVVLLVVWRNLLKRKLPRPVADALI AAISYLARRPKTQPP H257_05125 MQGASVESDFAAAVLFLQTYNGPHRILRNPTSSVRLDFNALYQQ ATLGSCSAIAPPLDGSSSADLNSWAKWKALGHLSKEAAMQTYIKTMDDLVDNWRRSPS FRQPSGTLMLPQGGGSTSPSVPQTLIERLPSLVQEVADLKAKLHFDSQRHDELTGSLR TLSYDMKSTFTRELRQVDVLRTEMRDSVTRLDKQLQLHQADVTACVAANTALLHRVNS SVAFRAERAGWVVWQRLVTWTGYYRVTYIGGALAVVLCNHTHLCGLILSVVAPKFELL CLTLSIVCTVRIVGCHTN H257_05126 MGNVASSTIGAPSSPLAPPVGHPKVHGMVSSSSPTASSSASSPR KQHVNQEQEPPVFRILSSDQRATTFDERIHLRRKSIPLKPHPIPQLVRASTFDPRMRH NAQAVGDVMYKRYFNVEETRDESLMLSAIPELDAPMEAIVVVDPFSTGMVLASEVMSR DYLCIVLYSDTLEVMQPLIKYIPKHMHTQFAAEIYHNGADHSMAALDVTIAHLKQVGV PIVGILPGAETGVMLADRVSDRMGLTTNGADGTEARRNKYAMGEKIRRAGLRAVKQCN ATTWKQIVDFIENDLRPSPFQVIVKPVESAGSDDVFLCQSLDQVKKAFGTIQGKINHL GLENRATLVQEYLTGTEYVVDTVSRDGQHKVVAVWEYDKRAVNGAPFVYYGVILREAS GPTILAIIAYIYKVLDALHIRHGPGHAEVKFVRGEPCLVEIGSRCHGGEGTYIPIVTP CIGYNQVGATLDAYFDPAAFDRLPPMPSKLHAHGCEAMLVSYATGTVSSFPGFPEIEA MPSYVSRSFHTHVGDVMPVTIDMFTTPGSVLLVHQDKTVLDQDYARLRELEHTGLWEL RPLPTASTKPMQVVVVVDPFSTGAVVAQRVTSRGFTCICLYSNLLENMESVASLVPDG ITLEFAATVEHVGENVQDTVDLLYAAATEVAKGGRVDIVAVIPGAETGVLLADAITEV LQTAGNDVAHTDARRDKYLMGEALRDAGIRAVKQAKCTEWSHVEAFMATLPTDKPLEV VLKPVNSAGTEDVTLCLSMEQAHATFSTILGKVNGLGLTNTCVLVQEFLDGDEYVIDT VSMEGRHKVTAIWKYDKRRVNDAAFVYFGLSIVPANDDVVNALIDYQFTVLDALGFRN GPGHGEVKFCRGSPVLIEVGARCHGGEGAWVPIADTCVGYNQVDVAVDVYVGRGHVFD ALPERPRTLPQYGCEVMLVSYQDGVLRGLPGLAEINKMRSFLRQDMLVKVGDRIRRTI DMFTTPGSILLIHTDPAILDADRRRIRELEHGGLYAMETRS H257_05126 MGNVASSTIGAPSSPLAPPVGHPKVHGMVSSSSPTASSSASSPR KQHVNQEQEPPVFRILSSDQRATTFDERIHLRRKSIPLKPHPIPQLVRASTFDPRMRH NAQAVGDVMYKRYFNVEETRDESLMLSAIPELDAPMEAIVVVDPFSTGMVLASEVMSR DYLCIVLYSDTLEVMQPLIKYIPKHMHTQFAAEIYHNGADHSMAALDVTIAHLKQVGV PIVGILPGAETGVMLADRVSDRMGLTTNGADGTEARRNKYAMGEKIRRAGLRAVKQCN ATTWKQIVDFIENDLRPSPFQVIVKPVESAGSDDVFLCQSLDQVKKAFGTIQGKINHL GLENRATLVQEYLTGTEYVVDTVSRDGQHKVVAVWEYDKRAVNGAPFVYYGVILREAS GPTILAIIAYIYKVLDALHIRHGPGHAEVKFVRGEPCLVEIGSRCHGGEGTYIPIVTP CIGYNQVGATLDAYFDPAAFDRLPPMPSKLHAHGCEAMLVSYATGTVSSFPGFPEIEA MPSYVSRSFHTHVGDVMPVTIDMFTTPGSVLLVHQDKTVLDQDYARLRELEHTGLWEL RPLPTASTKPMQVVVVVDPFSTGAVVAQRVTSRGFTCICLYSNLLENMESVASLVPDG ITLEFAATVEHVGENVQDTVDLLYAAATEVAKGGRVDIVAVIPGAETGVLLADAITEV LQTAGNDVAHTDARRDKYLMGEALRDAGIRAVKQAKCTEWSHVEAFMATLPTDKPLEV VLKPVNSAGTEDVTLCLSMEQAHATFSTILGKVNGLGLTNTCVLVQEFLDGDEYVIDT VSMEGRHKVTAIWKYDKRRVNDAAFVYFGLSIVPANDDVVNALIDYQFTVLDALGFRN GPGHGEVKFCRGSPVLIEVGARCHGGEGAWVPIADTCVGYNQVDVAVDVYVGRGHVFD ALPERVRASLVV H257_05127 MSLSKPWDKFYNGDGTVFTTPWQEKGIVVWSCQLSVYQILLKKP SMPKLLANKGRNLRSQKRSPRETSLSSAVSLFESSDISSDNFDFISHTPNIITELDIF PVAVQDVTKSSTSLSTSRRTKPKHHMLPPVVVDTPPHAALAQRTKVAAEVYLPGSSSD ALVNNTSVVLSPKPSPRIKKLELQYGPQVTSRPCRSSYALQSIGS H257_05128 MFGLFVVWNVVAYFVFEPPSDVEFNFPKFVGQPAKFPIPTAPTC GIRKAKSAKEVVYMTVDDGPSASGRLNLLNAMDQLNNRTDTEAAYVSFIENGYNFCAQ DSNAIVNLTCNPAAYDTALQLLVWTIKAGHMIAAHSDSHFYDSNAGLCNYVTMSLVTK GKLECKPDTKPWFVLGWDVEWYLDAEATYDAQKEKCKVAQDIVDQFDKKWKPGPSEDH VVLLTHDYFFVDEAKASIFRDVIAELQLLGYTIGTLDQYPLKQ H257_05129 MGGLAYPPLAQRSYSLRLAHHTFTTTCPSHTSVVTADSVTNAVP STKVFPWAHHPFPPLSDAHHHVWPPESPIIANSADATWFGLSIPEAGVIGIDTSATFG WCGSPNIYCAFGNGISWRVSHESPATICPSLSTDDRPFWGFNNIGDHVLIEHDVGIRL SCADSTLRLATLGPDSLNLDKFTSWPGKLAALGLHWDLDKGQVSMPYEKICKAKLRLT SCVLMHTSGESMHIDESQNHDHFSINVREHFCVTLAIAIWGPLLADPEGRRTIHVRAL TDNTSALVLPTTHSPAKTATVNNSIAASASTKPYTTYTLRLNISKA H257_05130 MERQRRCQPAQLLSLGYSPRVTKLLSAQAILDALDSLVTFFQPD AYGSTTATLYFGRAKAFCASLHRRHPICPLSAPVVVAYLDHEFGKFGHVIASDLLMSI MQVQLATLPHHSSSHHGHLMQTPQAHGPSSSTTPSKAAQPVHLELHVARFHAPASMQS QLSSHPL H257_05131 MYRLHQLVRVQPEHFPQRNLPSFAYGQVTRATATHVHVYIRANN VLTSSFREAVPIDSCVLPIVDIDELEAGTGLWMRRFAFGEVNGSWHHGQPLRPTLSPP TLWSQHFQSALFYSVPKLFPLL H257_05132 MFGLLVVWNVVAFFFFGPPSHAGLGEQGNPSGLPESESSSDSDR RWPLVPDVPVTTVAVDIPLLNDTMAPPSKVEFNFPKFVGQPAKFPIPTAPTCGIRKAK SAKEVVYITVDDGPSPSGRLNLLNAMDQLNNRTDTEAAYVSFIESGYNFCAQDSNAIV NLKCNPAAYDTALQLLVWTIKAGHMIAAHSDSHFYDANAGLCNYVTMSLVTKVEDKYA KCGKDTWSDMGKLECKPDTKPWFVLGWDVEWYLDAEATYDAQKEKCKVAQDIVDQFDK KWKPGPSEDHVVLLTHDYFFVDEAKASIFRNVIAELQLLGYTIGTLDQYPLKQ H257_05133 MHKTVVATAGLKRAKARTKRNGKRSVKFARFALGDFVLVAPALQ HPGKLSLRWKAPCRVVKVVSDHLLDVQQLVSPGETSLHHTSRLRMQFAFGDEGFYVEA VQDLRMSDGAWQVKVKWLSLDDLESSWEPALSIYEDVPVLFRRWAMSRLDDYGVGEML DDMERAFGHPLYGEVFSAVPRINYPCKCAVDSEFSRLVVQAGADNTDLSPIENVWGAL ARKVYANGRQFETKASLKAQILVSWNEIEQEYLQDLIEGMPTRMAQVLLRHGNCIHKI VDPLKMRL H257_05134 MLRAECKAFAIWSHYKRPRTPNSHVCYELDACEHPSGYGADPNR MVCLHTDAREGYWEAIATQVPVEDLALAAIDRRYESLAFLSGAFRGASERWPIVEKEA FAVIHFDWVSFPEATDGLKNVMVIKDDLNLGVGLFQERDGEHHWPYVRRAPPFCYPAL PLGDRNRGFVNRIIVRTLKSLCSEMRLQTTEWPNVLPLVQSALTQQPADRMGSIAPTM AFTGLPATPPLSSLSEAKRHVVDVGNALSVKHKQVTETSAGKRNKPVSSGREIVRTVK LADFALGDFVLVVRALKHTGKLTLRWKGSYRVVKVVSDHPMKVQQLVPPDAISLHHAS RLRMYCEGGLEVDKDLKAQIAFGDEGFYVDAIQDLRMHDTVWQLKIKWYGLDDLESSQ ETAISIYEDVPVLFRRWIKDRMNEGGVSEMAEDIEHACGHPL H257_05135 MPPKRSVVPASKQMIEDSFRGTSTRRAYDTYQQQFEAFLHAHKA GTALEAASTEDCTDFFQVLYTRGLTARTIDVAKSAFVAYFKSKRVSPNPAQDSISRQY IVGLQKFNKKNNVDEEKKAHPLTVHELSTLMNGLSGLHPFVGSLLRLLLPVAFLGCYR ISEVLNLRRKDVQLVSDGSGRYLSVRLRNIVRYTTSSTRRHTLACVCAPSTTSTWQPC ERPVRTSPAVPLCSRTSQSSKVVSRVWTGIGPWNSRRSGS H257_05136 MGKSKSDVARPRYDDATGIWRDGKIGTWQFVESVQAKHDSANRA AGTYETKALTVTKDVYRAFLIDKVLPTIVSKWPCPNRLMHLQHDNARAHVTPDDAKIL AAFSSYSTTGWMFSIKPQPPNSPDMNILNLGFFVASQSLQHHRSAHKVDEFQLVANVH AAFDTYPFERLDRTFITLQACREDEVLWRQRLQGASPVQGQTSAVGTAA H257_05137 MAKRIVATKSSSSKQRQFNRKKEYLVKWHGLPEYEATWELERDI KHVSHFKRLVQDLRAKIQAAKSITGGECSDVTLVAS H257_05138 MGKASAFGRTVCSADRRPRKYAARTSANCRPVAVYRDPTIALAW AIGGVRALTPSGASDDVGGGASARGALTPSGFSVLPASAWSSGWASPTASSSTWARED TQVRATAARPMNPFARYNSPSGPQRDGQLTAAERQAAADYRVWARAIAAPQQVVVPMS RTTTAGVSGEEKKSVAAEEEVTPRDLEDRLPSLHRS H257_05139 MTSHGRTLQDSRRIAQDEIARLTRASESETTPASRMKSFKLDVA KFGGAESDKLLCWLLQVSTAADAQRIPDDATCKGRAGDWAFSKHLADRHCFQSFVVFE TEFMAMFLPPNCAFRYRSQYLACKQGKRSLQEFIHDLCYLAANINDKESLPEPIRVTV FMDGLNQGPAHTKLFRAYPDTFEEAVRIALSESFSFSFAHARAASSDMEVSMLAQASG YRTCINCGRPGHFSRACPAPRRVASAAPPSLGSSRAAPGLGSNPTK H257_05140 MLVDWMNVVCYQVEVQLWRPWHGQLRPIVHSPAANAAGCICAPV PVRSEANPAVVGGVCLAPIEVEWLAALARVVRQLVGEFSWHSILKATVDEPTCVVWCM KVGLLLNASTYPKFDLAMSFALKSKRWRCRRAACADGGSVERGMRFESLFKGSKIPMA KAGADRTKPTLSRLIKKHISPGTNIISDRFGSYVSANESHNLKNNPLLVDHSYGH H257_05141 MFGLLVVWNIVAFFGFGLPSEVEFNFPLEGGAIVSPPSKVKFSF PKFVGQPAKFPIPTAPTCGIRKAKSAKEVVYMTVDDGPSPSGRLNLLNAMDQLNNRTN KAAAYVTFIESGYNFCGQGANAIFTLQCSSAAYDTSLQLLVWTIKAGHMIAAHSDSHF YDANAGICNYVTMPLVTKVEDKYAKCGNDTWSDMVRGALRIDEALANETLWETDADRA AHKRAVSTLWSYARLPCTNVWRLPGESSESGLFKEVTDRDARLLTADKLFEGKLECKP DTKPWFVLGWDVDWYLDAKATYDAQKEKCRVAQDIVNHFDNKEKTGPREDHVVLLTHD YFFADEAKASIFRDVVAELQMLGYTIGTLDQYPLKQ H257_05143 MDNYVHVDEKWFYLTKVNRRYYVYDDEEVAARTVKSKHFITKVM FLAAVARPRFDPHTRRVFDGKIGVWPFVEVVAAKRTSVNRDKGTLVTVPLSVNAVVEF CSKKKRSKEEIEKIIRQVPHHDRQTLRSLAKKSSILKTTIVR H257_05144 MDNYVHVDEKWFYLTKVNRRYYVYDDEEVAARTVKSKHFITKVM FLAAVARPRFDPHTRRVFDGKIGVWPFVEVVAAKRTSVNRDKGTLVTVPLSVNAVQDN ASPHKCVTTRKLDDHGISDVDRHVNEALLHAAHLEWRHNLIKLLLF H257_05145 MMLGMIVVGLHYHEGVGGRTWEVHFCRHFGALGQRCVGRRVYHY WRQNVMLGFRPSRRGQTCSRLCQILDSHGEDGQFGDDVGRVGDEVEVVAGYIGRLEKG HRRA H257_05146 MRRKFKSLRNSKKSTGDPDCPEDVKRAKRINRAMEARMSVLDMG SGDENEDNENNVNNDSDSSSDPPSAPTPVLSPFTLFATPRTVLDPTELATIGHAENEA IKRRLVFEQCDARQHTFEVLAAMQERQQAMELEYRRYHM H257_05147 MAIYTARIPSAYIATVSIAPNDFDDGLREFSKHYQIKSGFGKAG RPPKLPFKHQALALVLHFYTATCEPKTLCEVFGIPPSTLSATLAKAELAIAKALRSLR DAQVRYPSKQLQCEWAIKVRARESLVRGVWVFLDGKNYKVRKPSSADLQNAMYIGWLH CTFVTGTLFFGVDGTIVWCHHNFVGSWNDGDTSLRLQLKLRYRRFTEDGHGVVADLAF PVSDGLICKIRTPLKDGDL H257_05148 MFGFLVAWSVAALFVFGPSSHKENGLPDSESSSDSDRRWPSVPD VPVTTVAVDIPLLNDTMAPPSKVKFSFPKFVGQPAKFPIPTAPTCGIRKAKSAKEVVY MTVDDGPSPSGRLNLLNAMDQLNNRKNKKAAYVTFIESGYNFCGQGGTIFTLQCYSTA YDTSLQLLVWTIKAGHMIAAHSDSHFYDANAGICNYVTMPLVTKVEDKYAKCGNDTWS DMVRGALRIEEALANETLWETDADRAAHKRAVSTLWSYARLPCTNAWRLPGESSETGL FKEVTDRDARLLTADKLFGGKLECKPDTKPWFVLGWDAEWQLDAKATYDAQKEKCKVA QDIVNHFDNKGKAGPREDHVVLLTHDYFFADEAKASIFRDVVAELQMLGYTIGTLDQY PLKQ H257_05149 MEMALTRGTKDCNIQRLQQMSSIGRFHAMVRGTAIEHHYGWMST TSFEFPFAKGNKPRVENGGVHERLWCRGHEGAVYRDERRWDKRAIRSRSHHDCQVISL CVLLDPHAAGPANSNDAFGLCLGLEACLVVVVYLPRRTVEPNIGF H257_05150 MLLYLLCRPCCDFLTDVAPAVRICADCAYNTFDNIRAKRSWDTG VKRKDLARLVNDGSSINRPSTSLHGGDSFDDVLFDARGEELFRTTLLSFDRPGRFHHF DVVKQCGLGHRRAAHDCCF H257_05151 MAQPPMSLRFFSATKLAIGDRRCSMTPKNVEEQMFLRANSHLWG MADVHECIRAFE H257_05152 MFGFLVVWSVVALFVFGPSSHEGHGEQGRPDGPPDSERSSDADQ RWPSAPDVPITTVAMDIPLLNDTMAPPSKVKFSFPKFVGQPAKFPIPTAPTCGIRKAK SAKEVVYMTVDDGPSPSGRLNLLNAMDQLNNSTSKEAAYVTFIESGYNFCGQETDAIV NLKCNPAAYDTALQLLVWTIKAGHMIAAHSDSHFYDANAGLCNYVTMSLVTKVEDKYA KCGKDTWSDMVRGALRIDDALANETLWETDADRAAHKRAVSTLWSYARLPCTNVWRLP GVTSVTGLRKEDLGPERDLRMLTAEKLFGGKLECKPDTKPWFAMGWDAEWRLDAKATY DAQKEKCKVAQDIVNQFDNKWKAGPRGDHVVLLTHDYFFADVAKASIFRDVVAELQLL GYTIGTLDQYPLKQ H257_05153 MAMNQLNTREGHVPPVYLTFFESGYNFCGDATSSITSMQCVPTA FDNATERLAWTVKAGHTIGAHSDTHNCNYVKTNPLTVIEDGMEACGNAITSDFVRGAK HVEAGLQSANAYSTDADKALLDKAIHDLWSYVRLPCSNAWKLPGGFSASSGFRVVDSQ AERSARLGAADAMFAGTLPCRNPLYQGKPWSSFGWDAEWKLGRGGVLLDANREKCNVV NNIANAFDLKANRGLNKNAVVLLTHDYFFDTLDKAMVMRDVIAELQLVGYAFSTIDKY K H257_05154 MSTQSVKVNARGEVLQIRSPAEFFAENQNIAGFDNPGKCLYTTI RELVENSLDAAESIGVLPQIDVTITEMDEAAFNTSRDIQVTTRFDKDLYSDPKEKKRK QPPSAVVNEMKQAESDEYDDDAPLKPKKKLKYDEDAPLKPRKTVKSAGTSPTTPSTAT VAAPSPKQNAAPVNRNAIMYYKIEVKDNGCGMAHAQIPNMLGRVLAGSKYGVRQTRGK FGLGAKMARTCALIWSKKSTGMPITVRSAHMSKANTMPKHVSFCKLDIDIFKNEPNVL EHYKSRNIEEMTGTELTVTVGGNWTTYRSRIVHYFQQLAIITPYAQLELSFIKGKHKE FTMRYDRRSDQMPPTAQQVQHHPLALNDLLLAQLMEHSKQRTLHGFLCHDLAAVDANL ATRIVNELDTPFTLDMDIHTLTSNHIHQVVRLLKEMHFNVPDGHWLSPAGEYNLRLGI LKELEPDMVATHTYKTSEFEGHALIVEAAVSVGGAPMKEGISIYRFANRIPLLFEAGG DVVTRTANKDINWTYYKMDPKRDKIGVFVSIVSTKIPFKGTGKEYIGDDIPAIKDAVK QCLRQCCSQLRVKLVQRHLKHDKQERKQKLRRYIPDVTRALFGVLERMNSHPSPSTST TAATLTQSQREFLEAKAELQASIRGKTLSAAILAEKLLVVVKRCDDQVALDYVAKPSE KTKACLSTLFDPHDVSALTLASIVVCVCSVRTVECPINVARPTHCTSRGDISVVFSCN DGGGNPSLMRFQVLSTQSDRADSGHNTLGW H257_05154 MSTQSVKVNARGEVLQIRSPAEFFAENQNIAGFDNPGKCLYTTI RELVENSLDAAESIGVLPQIDVTITEMDEAAFNTSRDIQVTTRFDKDLYSDPKEKKRK QPPSAVVNEMKQAESDEYDDDAPLKPKKKLKYDEDAPLKPRKTVKSAGTSPTTPSTAT VAAPSPKQNAAPVNRNAIMYYKIEVKDNGCGMAHAQIPNMLGRVLAGSKYGVRQTRGK FGLGAKMARTCALIWSKKSTGMPITVRSAHMSKANTMPKHVSFCKLDIDIFKNEPNVL EHYKSRNIEEMTGTELTVTVGGNWTTYRSRIVHYFQQLAIITPYAQLELSFIKGKHKE FTMRYDRRSDQMPPTAQQVQHHPLALNDLLLAQLMEHSKQRTLHGFLCHDLAAVDANL ATRIVNELDTPFTLDMDIHTLTSNHIHQVVRLLKEMHFNVPDGHWLSPAGEYNLRLGI LKELEPDMVATHTYKTSEFEGHALIVEAAVSVGGAPMKEGISIYRFANRIPLLFEAGG DVVTRTANKDINWTYYKMDPKRDKIGVFVSIVSTKIPFKGTGKEYIGDDIPAIKDAVK QCLRQCCSQLRVKLVQRHLKHDKQERKQKLRRYIPDVTRALFGVLERMNSHPSPSTST TAATLTQSQREFLEAKAELQASIRGKTLSAAILAEKLLVVVKRCDDQVALDYVAKPSE KTKSCVFAASVPLNARSTLLDPPIAHPVATFQLFSVVMMAAATPV H257_05155 MHERGVDSGRRGRREWVNMRRGSHGCHAAQSGIRRVLDRKYKAC IIVVTLLRPDRLEPVGLISFCERMHVGQEWRTTPQVPLHTCCLVVVQDLGVAMQALRA RAFRC H257_05156 MPRKEHPRKAKRHRPHAAEPSSDFLAALEAQRSKEAQSAAAPAP VATAQPKVIPGFYYDAATNKYFPGNPPPSSSPPAPYEDPIVKHKVVSSIQHLCRRELH GRHGQGHADLLHLLQRVPRTTEVGLPFHVPLTCMAMSTQGNTVAAAVRASGLVFTLHP RQHYDPHRHRSAFDIAMRSCPFFRNVGCMRFQPTKAGFISLTGLGDADTPGYFRLLNP STLSLVYNLKAIDAWHHVWHPEGRHIAVGATLQQQHGAHPSRAHVVDVAHESVQHAPT TWSSDVFAQSYPEATSVLNGTRSGDLWLWDLRANTTALAHSIVSTPASVRALQVLSDK ATVVAATSCGTVSRWDLRRLDTPIASTYSGESRHRHGLAVTFAVSEPDDIVAVATSTT TTSDVALWSLRDASRRMLPTCTVALPSPVLAMQWRAPTDDGHRWQDAHGDMPRLHVGT TNAMHGITYCTER H257_05157 MLSRRAAVAAAAILHRRGILSRHITSAKKKVVVGMSGGVDSSVA AYLLHQDKSLEVHGMYMNNWDTSDEEGHATCPADMEYEAVRQVCNDIGIPCTRVDFVQ EYWNNVFAPCLDEYAQGFTPNPDVLCNREIKFDAFARHAKHLVGADAIATGHYAQLLP MHDSAASSTDSDALRLFAAVDTNKDQTYFLSHVSAAAFQQVLFPLGKFTKPQVREIAL HANLSTALAKESMGICFIGKRPFGSFLAQYVPPRQGHFVSVETNATMHAHDGFSAYTI GQGAKVPGQAAKWFVVGKRDQDAAVLVAPGTHHPALFADHTYVHTQAFNWLAPPPFSQ GLIQSSVRDDGKPATPPPSHTSATTSFRCLYRARYRQPLAGCTVTIVHDLDATGLHPA RHNHQQLSTDTYLKVDFDVPQRGLAPGQSVVLYRQDGLCFGGGAIYCAGPSYWEMRKP LPSPLHDWHV H257_05157 MLSRRAAVAAAAILHRRGILSRHITSAKKKVVVGMSGGVDSSVA AYLLHQDKSLEVHGMYMNNWDTSDEEGHATCPADMEYEAVRQVCNDIGIPCTRVDFVQ EYWNNVFAPCLDEYAQGFTPNPDVLCNREIKFDAFARHAKHLVGADAIATGHYAQLLP MHDSAASSTDSDALRLFAAVDTNKDQTYFLSHVSAAAFQQVLFPLGKFTKPQVREIAL HANLSTALAKESMGICFIGKRPFGSFLAQYVPPRQGHFVSVETNATMHAHDGFSAYTI GQGAKVPGQAAKWFVVGKRDQDAAVLVAPGTHHPALFADHTYVHTQAFNWLAPPPFSQ VIMSFFYPTFGLLLC H257_05158 MVALPPEARQQELEAAQAALLERLRRDIDYIRMQVEQPFIRQSI AVTNTTSDGIPEYLRLRMEEARKDDDTYDQYVRDAVMHNHHAHRFKLQMDSPFASLPT LCRRFTLIELLGVSSSGIEVWKAMDLHLTKDVTLKVSADTHMITYDYKALAKIDVHDA VVGMSRDGLLHDTFQGQRYSMYALQFMQDDLQSILHRRRDGRLPPVVAHAVAFQVAKC IQHLCIDARVAHCDLRPSNILVTRPWNVAVTNFKSSRSRRELLPTIPHHKVKEMDASS VLYAAPETFNCLEALGNTVTCDATDVWALGVLYFVMLYGHHPLAPGLVVLDDAMKLPF VEHLRKYDGTVVFPATPVVPPIVQRVIQACLHRDPSQRMPSDSLVTSGFFPAVVN H257_05158 MVISLVDSPFASLPTLCRRFTLIELLGVSSSGIEVWKAMDLHLT KDVTLKVSADTHMITYDYKALAKIDVHDAVVGMSRDGLLHDTFQGQRYSMYALQFMQD DLQSILHRRRDGRLPPVVAHAVAFQVAKCIQHLCIDARVAHCDLRPSNILVTRPWNVA VTNFKSSRSRRELLPTIPHHKVKEMDASSVLYAAPETFNCLEALGNTVTCDATDVWAL GVLYFVMLYGHHPLAPGLVVLDDAMKLPFVEHLRKYDGTVVFPATPVVPPIVQRVIQA CLHRDPSQRMPSDSLVTSGFFPAVVN H257_05158 MVALPPEARQQELEAAQAALLERLRRDIDYIRMQVEQPFIRQSI AVTNTTSDGIPEYLRLRMEEARKDDDTYDQYVRDAVMHNHHAHRFKLQMDSPFASLPT LCRRFTLIELLGVSSSGIEVWKAMDLHLTKDVTLKVSADTHMITYDYKALAKIDVHDA VVGMSRDGLLHDTFQGQRYSMYALQFMQDDLQSILHRRRDGRLPPVVAHAVAFQVAKC IQHLCIDARVAHCDLRPSNILVTRPWNVAVTNFKSSRSRRELLPTIPHHKVKEMDASS VLYAAPETFNCLEALGNTVTCDATDVWALGVLYFVMLYGHHPLAPGLVVLDDAMKLPF VEHLRKYDGTVVFPATPVVPPIVQVRVLVDMLKC H257_05158 MVISLVDSPFASLPTLCRRFTLIELLGVSSSGIEVWKAMDLHLT KDVTLKVSADTHMITYDYKALAKIDVHDAVVGMSRDGLLHDTFQGQRYSMYALQFMQD DLQSILHRRRDGRLPPVVAHAVAFQVAKCIQHLCIDARVAHCDLRPSNILVTRPWNVA VTNFKSSRSRRELLPTIPHHKVKEMDASSVLYAAPETFNCLEALGNTVTCDATDVWAL GVLYFVMLYGHHPLAPGLVVLDDAMKLPFVEHLRKYDGTVVFPATPVVPPIVQVRVLV DMLKC H257_05159 MGQGASLMRVIVNDVREIVASTNKEAYMKEYRAYKEASGRLEAA RLKNAALQQHLEMTKANVYMQADLLRQQKEKLDFQIEEYANMHAIDSEFFDKHKRVAE HMKQHAANVTHNTSLASSSDEASDEPPTKKAAEDPSSSTSTSTH H257_05160 MVRPPSQKAAVPMKPSATDSAKPKMRSVWGRDNNAAASVSVLER AGPTSTMSTSNSLSASIFKKYHTSSTVASSARSLHSATSSSVRTMTSSSTTSITSQST FAARSKAPLSSVAENHPHSSTTTAATTTTSMNPREWKLSDFDIGRRLGRGKFGSVYLV REKKSQYIVALKVLQKAQLSKANVEHQLRREIEIQSHLRHKNILRLFGYFYDDKRIYL IIEFAAHGELYKKLQKAVRFSESRSARYVRDIALTLSFMHSKHVIHRDIKPENLLIGF HGELKLADFGWSVHSPTSRRNTLCGTLDYLPPEMVENKPHDEMVDVWTLGILMYEFLV GTPPFEAEGTTETYQRITSVDLQFPSYVTALARDLLVKILKKDPRDRLSLDKIVQHPW ITRHCTSSRSDPTIEEAP H257_05160 MVRPPSQKAAVPMKPSATDSAKPKMRSVWGRDNNAAASVSVLER AGPTSTMSTSNSLSASIFKKYHTSSTVASSARSLHSATSSSVRTMTSSSTTSITSQST FAARSKAPLSSVAENHPHSSTTTAATTTTSMNPREWKLSDFDIGRRLGRGKFGSVYLV REKKSQYIVALKVLQKAQLSKANVEHQLRREIEIQSHLRHKNILRLFGYFYDDKRIYL IIEFAAHGELYKKLQKAVRFSESRSARYVRDIALTLSFMHSKHVIHRDIKPENLLIGF HGELKLADFGWSVHSPTSRRNTLCGTLDYLPPEMVENKPHDEMV H257_05161 MAESSTHTSMAPRRTPASISTPSNRMAGSMLPASPSSADVNTFT SYMSGSSRLDMSVAGHMDCTRPMDAAGLPARSTSPGSKRILLPEVVDESTDRVWKLTD FEIGRPLGRGKFGNVYLAREKESKYVVALKVLQKNQLRKANVEYQLRREIEIQSDLNH PNILRLFGYFYDDKRIYLIIEYAPQGELYQKLMDVGRFSEPVAATYVYQIAQGLLYMH QRHVIHRDLKPENLLLGYNGQLKLADFGWSVTSHNVRRRTLCGTLDYLSPEMVDNLAH DERVDIWTLGVLMYELLVGTPPFEAADTPSTYDRIRSVDLRCPPHVSIKARDLLDRIL RKDPVHRLPLELILKHPWITTNVITP H257_05161 MAESSTHTSMAPRRTPASISTPSNRMAGSMLPASPSSADVNTFT SYMSGSSRLDMSVAGHMDCTRPMDAAGLPARSTSPGSKRILLPEVVDESTDRVWKLTD FEIGRPLGRGKFGNVYLAREKESKYVVALKVLQKNQLRKANVEYQLRREIEIQSDLNH PNILRLFGYFYDDKRIYLIIEYAPQGELYQKLMDVGRFSEPVAATYVYQIAQGLLYMH QRHVIHRDLKPENLLLGYNGQLKLADFGWSVTSHNVRRRTLCGTLDYLSPEMVDNLAH DERVDIWTLGVLMYELLVGTPPFEAADTPSTYDRIRSVDLRCPPHVSIKARDLLDRIL RKDPVHRLPLELILKHPWITTNVITP H257_05162 MTTIFFHSLRIPPTPPTQTYQSSIGDPRAESGCCYSIGKHQQTQ PALLSPTTSRNSSLRGCSIDRTNSSVTSQDNASSVSTSSSLDDEDDLTAAFEMDIDTP VSMCMRWQEAPILANLARTSSSSRAPMSSLASPDATDTAPSSPSEFIHTYASANAMSL RVNPSSGLLGFRRNTRRGSVTSSQEGSSLGQTLVDSSYTLPPKKSIPLASGNATPPSK GTTTAARSFQTVADVPRTRRPAASSASVPLSPPKPTVPSTTSTSSKLCKITPPPIRSS TTVVPPPPMASSYLLGNNNRVNMTTHPDRPRNPVTSILKVAPVEVATPPMPPPPTPAD SADDVDESIFSSLRNVDLVSSRAPESARTREFKQKAAVNKNVVAFLGGYKGQSSTSHR LKVTSDIISRAKNIRKRAAEGQLSRKLQEARMRIPDEFQRSMDTDLPRKAHTKRIQFP DDMSKLEDIYVFDAEDDSSDDDEQDIIGRAEDQVAALVV H257_05163 MSFNAGVKTTLLQYPTNNRICGDSTRRRMMVRSGLRPSKLPTDS ARSLPNTTTTQRPQTMPPSHGGSSYHEFNQDHPTRTLGRAPLASKAASFGPPTRHVQS SSSSVHPPRTHMQDNAPSNHSHMHPLPTHSTDEPVQPQPPPTQQPHPMHPLPIGRQRS EPIMVPKVAANSGAFVAPATQKHHAVPSAAAAAHSPPPAHLPRKKVDDDDDDDEIHIM MDDGDAGKNSFLDTEHSSVDDWDDAETLSSMRDVRGIRERAKLIRSMSKNLHMPPSST ASMGPNTSIIIEPHHRRNDTTPILATRITSLSEHDYASDEEEF H257_05164 MGNNESTPLPAAAAGDPCAAALEAYLQCVDIKTTQRGGLRDGDE CEHEIAAYKECRQLHKKKVVAVAAAETRDVKQPLPR H257_05165 MESHAIMQRILDREFYRIEAALDKNFEYIDRLDREREDALARAQ VRITSGGGDGGGSRHKKETSAGVYITGLTTYIACKQLETLCHRLGRVKRVKFYKDERG SLKGDALVVFSTVAMMNAAIAKLHHLELKPGVRITATAADYSKKPAAAAAPDKDAPPT DNMVDAFLQEIHDQFHGGLGATASTPVGTAAPAFVPSSCVAAASPPPSLSPPITHVQP VENTLDEPSRSVVLRGILDEDPHEAPLSDEYLDVEDDLRLECSNFGTVLQVLIRPDSN DVVVEFEALDSAVACLRAMHGRWFGRQQIRASFDPTKPETNVDDPDLMLQAFLASV H257_05165 MESHAIMQRILDREFYRIEAALDKNFEYIDRLDREREDALARAQ VRITSGGGDGGGSRHKKETSAGVYITGLTTYIACKQLETLCHRLGRVKRVKFYKDERG SLKGDALVVFSTVAMMNAAIAKLHHLELKPGVRITATAADYSKKPAAAAAPDKDAPPT DNMVDAFLQEIHDQFHGGLGATASTPVGTAAPAFVPSSCVAAASPPPSLSPPITHVQP VENTLDEPSRSVVLRGILDEDPHEAPLSDEYLDVEDDLRLECSNFGTVLQVPCITCL H257_05167 MDVSTLQDLLRDPTKKHIVDTVDALGLGSAEAQHLKAPLTSVAL VLEQQHTLYIAHDTTVPWGVLKVGRKHLFYSKPRGGFIEMDPLCVLDFYVHPDRQRHG LGLHLFTSMLERESVAPHQLAYDRPSPKLFPFLRKHFKLDSYVPQSNRFVVYDAYFSA H257_05167 MGSPQSRQEASLLLRTSSFHGHVIPYDVDNATKQKPRGGFIEMD PLCVLDFYVHPDRQRHGLGLHLFTSMLERESVAPHQLAYDRPSPKLFPFLRKHFKLDS YVPQSNRFVVYDAYFSA H257_05168 MQASRPLHQLVATSLRFEQRRFMGRAPTIAGKKSATDAKKAILI GKLAKELVVTSKATHGDVNNIRLASVVIKAKTFNMPRDRIEAAIKRGVDGKSGAVTET ILYEATGPSGSALMIEALTDNRKRTAPALRHILGKHGGGLGANGSVAWMFERKGYLEV KQPDDEAVTWDEDSMLNIAIEAGAEDMEFRDAVAQITCDMNDLAIVRNHLVTLGLHPH VCSIIYNPKEFVDLPDDAADEFEALLDALSDNEDVNDVFHNINQ H257_05168 MQASRPLHQLVATSLRFEQRRFMGRAPTIAGKKSATDAKKAILI GKLAKELVVTSKATHGDVNNIRLASVVIKAKTFNMPRDRIEAAIKRGVDGKSGAVTET ILYEATGPSGSALMIEALTDNRKRTAPALRHILGKHGGGLGANGSVAWMFERKGYLEV KQPDDEAVTWDEDSMLNIAIEAGAEDMEFRDAVAQITCDMNDLAIVRNHLVTLGLHPH VCSIIYNPKVLVQYQTA H257_05169 MSVAATAERVRVYCRIRPYIAQACPEADDESSTFVTGTSASSRR HVCVTPTSVSTLTFQDNDTKEFGFDRCFADDCSQEAVYEAAAKDLVLSVLDGYNATIM AYGQTGSGKTHTMVGTSLDGGHADAGIIPRCLRDLFEQRRQSPVATTTKGMRQKGLGA QLRASYVQVYCERVFDLLLDPTSSCTNSQNALQIRETDDRGVYIDGVTLRPVSSVQEC VALMEQGNANRTVATTAMNAHSSRSHAILTIHVTTSVTTDGGAEDGCDVYRSQLNLVD LAGSERVKKSLVRGVHVNELKAINLSLSALGNCISALSKQQSHVPYRDSKLTRLLQYS LGGNAKTALVLTVNPDASEASECHATLQFGQRAMKVQVMATVNVVPDYKRLVDELQAK LDAQGDRLNEMDMELQTSKQCQAVLQNQLEEARLETSQVKFELQAMAKCKHPLPATPP SDQPHGCGADDDDQQGQPATTTIQEDTTHATAMFEAKVQELVQEHHRELAQLKTRYDQ QLVTHKHVANRANQEWHNVEHELSAERTAHLATIAEVRDAKEKLWATERHTTDRVAEL SQDKKDLEVQVATTFKLVRAAEASEKLLTDKLHALEAGKAQLEASIDTNFVSRAQVGE MESLYADAIAKLQHRVESLETSKKTTSAAVPARLLPPVRDHPTAKCAVVVDGLIPKKA VPRIGRVVPAGRR H257_05170 MGNKSSSTGSVGADNRSLSCAWCPGSTGLEHEGPQYKQPPILAT KKHSSTLSMYLEASSIASPAEANKQLSDPPTSKGPRNPTRSGSFEFNVEVPEAFRAEN LQVRRTSAIRAVSTPPTLDTTPAGPS H257_05171 MDTSLVPASPMINSANVSSAGGNNTDDTLLCRYKFGKCLNPRTF KINGTLHSLCTMHRQRQNAHQLKSDRKRRQRKSLQRDRSSPVFTSTTPTAVPSITDSN VSPAPSIPFLEDDFMRASAIGEAIYCINLNLIKLTHLLMHHDEVARVESCSVLLSLNP ENLGTPIPSTIKSKGTADYVVNSSIPAEHNEVAEAMLQSPQHTLPAMVAPYSPRPSSE HPSPLPALSSIVKAHSSCPMNFHRFVV H257_05172 MPPSLWTFLLCMLTLCTTNACMDVETLSGLSPVNWVWVGALTST STRLRVSVTFVDPACDATKLSLWVDQDLESAPPLRFLSTMQSMSTTTDLHDFQATGLA SGASIAYEFRYDNSIVLKRSNRSVRLPSPPGVPLSYTFAFSSCADEDSDPEVFDEIAS HDPLFFIHMGDLHYANLDVNDVAAFRGAYESMFASPAGTAMLAMELPMAYMWDDHDFG PDNSDGTALGRPASLQAYREYVPHYPLVRPDDPLDTIQQAFTIGRVRFLVTDLRSQRT PNDAPDIPSKTILGLDQKQWFKDQLQAATTSEDVGLVVWVNTMPWIDDERKWGHFTHE QNDLVAFFATLDLTSIPLVIVSGDAHMLAVDDGSHSLGNITTFHAAALGRPGSIKGGP YSHGAFPGSGQFGLLDVRDDGTRICVRYSGRRGATELLTYDTCEPSVPGTYTPYIPPS KPVRVVTRTLKKFLSRLDKKWQVVTRFYGWVAAMALVVGGIGGVVWWCGCHRSVGKPK HD H257_05173 MELDPIAVLLHDKPLHLKLMDIDTSPTMPSCAAAGTNTYHESYL GYVLPYLATTDLYCVTALNKEIEAFCEQEWKIRVAERFGALRYPAMSYRRVYAMRCHF QRRVSSNVSARVYLMNTNGDTSFFRIDSSKPIMCSRERAAVYNRCERMFDLSLRINRS IQEISALIGMVSLEEARDLLNEHINLMTSVAALRGSLVFESELFQVFPAPVLLDTNVL LRATHALELPFRGVPLMMQTWVSIDNVVFRPLSIPLLLHPSPPPPPVVNEPHDHTAPV AAPCYTAARFHELAGMTIDIDDNSVRYDLQPDAVTLNTLVSNAFLLYLFNPTSFRPLD WSYEAGLAIGSHTHLLPSEREGVFLNSTTSALRVFLSYGKQQLTPVASAPAALDDQPP DTLPSHRRPLDIACAAGDSTFTFSVIATNRLTQAKKLVVSQAMRITLPAPAYRYGKLE RHAQLSNDAVLCYSFDLDNHLQYVEFAIGFEPLLHALDVVPFLAT H257_05174 MMAATMLLALAMLQDASVATDAQMPRICDRAPQKSFPFCNPSLS IDARVDDLVARIPDSAVPGLLGDNATAVSTPDGLDLPAYGWWNEGLHGVGVSASVRFI APTPIATSFPQILNLASSFNRQLFADVGAAIATEARAFHSVGHGGLTYWAPNINLFRD PRWGRGQETPGEDPHLTSAFAVAFVRGMQQGPSSSPFIHVSACCKHFSAYSQEVPRHS LDANVTAQDLADTYLVAFEACVTQGHVSCIMCSYNAVNGVPSCADSHFLTHVVRHTWK FDGYIVSDCGAVYDVLWHHRYTHTAAETCAATLEAGMDINCGDFVQLNLPAALPTVSK SAWTRALKMLFRTQMRLGLFEPAATAPFLDSSPADIDTRAHRQLALAAAVQSIVLLKN AAKTLPLDPDAFEATTRLAVLGPHVHATTAQLGSYAGVPPFVVSPVAGVTSHVPSSFV DVHAACTVRGQNMAEEAIAIAANAAQVVLFVGLDQSVEFEGVDRATLEWPGRQRELIA RVAAAAARPVVLVILAGGPVDLEEFEADAKVGAIVYAGYLGQSGGTAIAQVLFGDVNP SGRLAHTFYRASFTSEVSIADMHMRPHAHSLGRTFRFYTGAPVYGFGHGLSYTIFRYS ILAQEIEYGEHVRGRGVRLSATVENVGELVGDVVLLCFAVPPGAGTKGRPLQTLAGFE RVNDLRPGDTHVWTLDLDFDVAFTLVGDDGTKEIVPGTWTFHVGDVSAAVNVQDIDDP VALKTNGARNRVWTSNLGLGHDAMT H257_05174 MPRICDRAPQKSFPFCNPSLSIDARVDDLVARIPDSAVPGLLGD NATAVSTPDGLDLPAYGWWNEGLHGVGVSASVRFIAPTPIATSFPQILNLASSFNRQL FADVGAAIATEARAFHSVGHGGLTYWAPNINLFRDPRWGRGQETPGEDPHLTSAFAVA FVRGMQQGPSSSPFIHVSACCKHFSAYSQEVPRHSLDANVTAQDLADTYLVAFEACVT QGHVSCIMCSYNAVNGVPSCADSHFLTHVVRHTWKFDGYIVSDCGAVYDVLWHHRYTH TAAETCAATLEAGMDINCGDFVQLNLPAALPTVSKSAWTRALKMLFRTQMRLGLFEPA ATAPFLDSSPADIDTRAHRQLALAAAVQSIVLLKNAAKTLPLDPDAFEATTRLAVLGP HVHATTAQLGSYAGVPPFVVSPVAGVTSHVPSSFVDVHAACTVRGQNMAEEAIAIAAN AAQVVLFVGLDQSVEFEGVDRATLEWPGRQRELIARVAAAAARPVVLVILAGGPVDLE EFEADAKVGAIVYAGYLGQSGGTAIAQVLFGDVNPSGRLAHTFYRASFTSEVSIADMH MRPHAHSLGRTFRFYTGAPVYGFGHGLSYTIFRYSILAQEIEYGEHVRGRGVRLSATV ENVGELVGDVVLLCFAVPPGAGTKGRPLQTLAGFERVNDLRPGDTHVWTLDLDFDVAF TLVGDDGTKEIVPGTWTFHVGDVSAAVNVQDIDDPVALKTNGARNRVWTSNLGLGHDA MT H257_05174 MQQGPSSSPFIHVSACCKHFSAYSQEVPRHSLDANVTAQDLADT YLVAFEACVTQGHVSCIMCSYNAVNGVPSCADSHFLTHVVRHTWKFDGYIVSDCGAVY DVLWHHRYTHTAAETCAATLEAGMDINCGDFVQLNLPAALPTVSKSAWTRALKMLFRT QMRLGLFEPAATAPFLDSSPADIDTRAHRQLALAAAVQSIVLLKNAAKTLPLDPDAFE ATTRLAVLGPHVHATTAQLGSYAGVPPFVVSPVAGVTSHVPSSFVDVHAACTVRGQNM AEEAIAIAANAAQVVLFVGLDQSVEFEGVDRATLEWPGRQRELIARVAAAAARPVVLV ILAGGPVDLEEFEADAKVGAIVYAGYLGQSGGTAIAQVLFGDVNPSGRLAHTFYRASF TSEVSIADMHMRPHAHSLGRTFRFYTGAPVYGFGHGLSYTIFRYSILAQEIEYGEHVR GRGVRLSATVENVGELVGDVVLLCFAVPPGAGTKGRPLQTLAGFERVNDLRPGDTHVW TLDLDFDVAFTLVGDDGTKEIVPGTWTFHVGDVSAAVNVQDIDDPVALKTNGARNRVW TSNLGLGHDAMT H257_05174 MQQGPSSSPFIHVSACCKHFSAYSQEVPRHSLDANVTAQDLADT YLVAFEACVTQGHVSCIMCSYNAVNGVPSCADSHFLTHVVRHTWKFDGYIVSDCGAVY DVLWHHRYTHTAAETCAATLEAGMDINCGDFVQLNLPAALPTVSKSAWTRALKMLFRT QMRLGLFEPAATAPFLDSSPADIDTRAHRQLALAAAVQSIVLLKNAAKTLPLDPDAFE ATTRLAVLGPHVHATTAQLGSYAGVPPFVVSPVAGVTSHVPSSFVDVHAACTVRGQNM AEEAIAIAANAAQVVLFVGLDQSVEFEGVDRATLEWPGRQRELIARVAAAAARPVVLV ILAGGPVDLEEFEADAKVGAIVYAGYLGQSGGTAIAQVLFGDVNPSGRLAHTFYRASF TSEVSIADMHMRPHAHSLGRTFRFYTGAPVYGFGHGLSYTIFRYSILAQEIEYGEHVR GRGVRLSATVENVGELVGDVVLLCFAVPPGAGTKGRPLQTLAGFERVNDLRPGDTHVW TLDLDFDVAFTLVGDDGTKEIVPGTWTFHVGDVSAAVNVQDIDDPVALKTNGARNRVW TSNLGLGHDAMT H257_05174 MQQGPSSSPFIHVSACCKHFSAYSQEVPRHSLDANVTAQDLADT YLVAFEACVTQGHVSCIMCSYNAVNGVPSCADSHFLTHVVRHTWKFDGYIVSDCGAVY DVLWHHRYTHTAAETCAATLEAGMDINCGDFVQLNLPAALPTVSKSAWTRALKMLFRT QMRLGLFEPAATAPFLDSSPADIDTRAHRQLALAAAVQSIVLLKNAAKTLPLDPDAFE ATTRLAVLGPHVHATTAQLGSYAGVPPFVVSPVAGVTSHVPSSFVDVHAACTVRGQNM AEEAIAIAANAAQVVLFVGLDQSVEFEGVDRATLEWPGRQRELIARVAAAAARPVVLV ILAGGPVDLEEFEADAKVGAIVYAGYLGQSGGTAIAQVLFGDVNPSGRLAHTFYRASF TSEVSIADMHMRPHAHSLGRTFRFYTGAPVYGFGHGLSYTIFRYSILAQEIEYGEHVR GRGVRLSATVENVGELVGDVVLLCFAVPPGAGTKGRPLQTLAGFERVNDLRPGDTHVW TLDLDFDVAFTLVGDDGTKEIVPGTWTFHVGDVSAAVNVQDIDDPVALKTNGARNRVW TSNLGLGHDAMT H257_05174 MQQGPSSSPFIHVSACCKHFSAYSQEVPRHSLDANVTAQDLADT YLVAFEACVTQGHVSCIMCSYNAVNGVPSCADSHFLTHVVRHTWKFDGYIVSDCGAVY DVLWHHRYTHTAAETCAATLEAGMDINCGDFVQLNLPAALPTVSKSAWTRALKMLFRT QMRLGLFEPAATAPFLDSSPADIDTRAHRQLALAAAVQSIVLLKNAAKTLPLDPDAFE ATTRLAVLGPHVHATTAQLGSYAGVPPFVVSPVAGVTSHVPSSFVDVHAACTVRGQNM AEEAIAIAANAAQVVLFVGLDQSVEFEGVDRATLEWPGRQRELIARVAAAAARPVVLV ILAGGPVDLEEFEADAKVGAIVYAGYLGQSGGTAIAQVLFGDVNPSGRLAHTFYRASF TSEVSIADMHMRPHAHSLGRTFRFYTGAPVYGFGHGLSYTIFRYSILAQEIEYGEHVR GRGVRLSATVENVGELVGDVVLLCFAVPPGAGTKGRPLQTLAGFERVNDLRPGDTHVW TLDLDFDVAFTLVGDDGTKEIVPGTWTFHVGDVSAAVNVQDIDDPVALKTNGARNRVW TSNLGLGHDAMT H257_05175 MEGWLTKRRDHMNIIWTERYFILEGSNLRYYKKRGDPTPRGTYV LTNECVVGKVFNSEEKHKHHDQVWMFRITFSVGAGEKSYKKDRFIDLGAKDEKMAEAW KKALEQTISAIKEVAGVKEEILKGADVGKGYSATILDTDNVSLFNLDTDNVKTEQGWW LVRVEEGLRIMQECPLGHQVAASMYAHHASLTNNLLFASTISAVLAFSVMHSMVANML VCFGTAFAAVVVCALTLMPKSAIEIPSLRVSQVVHGPPSDVFRLIMNTSRYQRWDSSV TSVKVVQAMDDHSDIIYVQLRPVYIWPMWQKPRDLVLMRYWRREEDGSYFVMYQSTTH PECRVRHNFVRASILGGGYVIAPQKSSIHGGVRSLVTYVLRYDPKGNSSIYHQLGMDV DAVLPMLRSVVGMRDELSGGDFITPSVTVADTSSENSRVDGGGIGGAAPHVVQKLKTS LPEKMWSEPDASRFAVRGPNYLVDKKKTPSKKARFRLVGVDLFAFDNEKERYNLANRP GSHVQTAPGFTFIINMIIPSPNNLSMVFYFQPDSPTLLDENSPFSDLLADFLDGDDAF RNSRFKLIPTVVEGTFIVKQAVGSVPTLLGNKLSCPYHRGPNYFEVDIDISSNSVANT VVGMVKGVTKVLVVDLAFLLESQSEEELPEAILGTVRLQNVSLDNPLRVPALQT H257_05176 MDAAGLRARLTSPGSKRILLPKVVDESTDRVWKLTDFEIGRPLG RGKFGNVYLAREKESKYVVALKVLQKNQLRKANVEYQLRREIEIQSDLNHPNILRLFG YFYDDKRIYLIIEYAPQGELYQKLMDVGRFSEPVAATYVYQIAQGLLYMHQRHVIHRD LKPENLLLGYNGQLKLADFGWSVTSHNVRRRTLCGTLDYLSPEMVDNLAHDERVDIWT LGVLMYELLVGTPQNVLSST H257_05177 MPPKCAHPSNEFLVMEMEKLLEQEGAKSHRRVAPGQVNHTVSSY QRALHSIRTHPASIETGEQAKALRGVGNFIAQKINAILIRLEAAATYGEEMARHLPPE EDAARTQKAAAASKKRKATPSNGLVEHPSSGDVSKVYKPAKGKLPWYVIMALWDVGAT SEARAVDLSELVTEMKALGFVGTTSQLSTRMSSLINAHHVVAKAVDGGLLYLSLAGIQ SATLCRQEAQPANGSGPTRQPSAATPPFDWSTTNLSKLIPTVPQVRPNDDEAWDIVLL LDHREMISRTNRSVFERKLLEANVTCEVRALNIGDMIWIARAPTRMHQGQPQEFVLDV VVERKNISDLASSIVDKRYTEQKARLKESGLRYVIYLVEGSLASQSTCIRGGGLQTAL TRTQVQHNFLVYHGASHDETVGFLAAVHRHIVHHFHPHLVCTNPPVPAATLPLASPPP PPLRDFTQVVPVTWQLHSEFQTASRKKAALTVGEIHQMMLMQVPKLGRATVSHAAATF PTMASLWTHVQGGHPLALGSTTRRAAEPRHTFLVDLISRPTYTTTAPTTKY H257_05178 MVRNLRNLILHSAGYSQISRRRRTLFRAALVMTTMKKSDVIRLA EGCKWVELRSLIEKDPSAAQERDRFGMIPLHWACTDPNTPQDVLMLLLKAYPAGARLM NSGKMLPLHIAIKAQASIEWLQALLANYPDAAIALTPAKEDVVALAKKYRLPSISINL LEEMRDHVQKTSGYRSGSHTTDEDAEDFDDGNRSDSILITTTSSRFDARSYSTASSRP YESFSSEQHHSLHMTPTEIDDPITVPNTSTSSQGIYTVPLPPRWTNAPTCHICASKFG TFKKRHHCRNCGQSICTDHSAKHKMKLPHVGLTTRQRVCVLCHDKITNAPAAALMHST DTATTSTTSAINLFPSQRAYPPMPASSRSLMRPVVMTTTTTQPSLPSYGYQPVAPQRS ASYGSKTNISHLFNPQNAHKDSVIQDMNLQVQLLQQQVTKLMEEKQQAEEALLVTRRQ TSSSDDNATQSEQFRSVHSDVVRPSWEEPHFPNIPDDPPPPPPPVAVLPRETSLTDHD LLGDTYARNTYDDAGEFYRDSRFTLDDRDTFFDKYVAPLAARPLQQQQVLQELAEIED DIERTSIADHVGQHEQPQEQVAAEVSPEDETDDDIQEVDTLLTLGVAMLQQGCASGAV AAFERAVELMPLDPLLHSYLGKACYEDEDMDRAIAAIERSLDLEASAANWTLLGKMLF EKGDHDKAIDAYQRSLEMQKRVGA H257_05178 MVRNLRNLILHSAGYSQISRRRRTLFRAALVMTTMKKSDVIRLA EGCKWVELRSLIEKDPSAAQERDRFGMIPLHWACTDPNTPQDVLMLLLKAYPAGARLM NSGKMLPLHIAIKAQASIEWLQALLANYPDAAIALTPAKEDVVALAKKYRLPSISINL LEEMRDHVQKTSGYRSGSHTTDEDAEDFDDGNRSDSILITTTSSRFDARSYSTASSRP YESFSSEQHHSLHMTPTEIDDPITVPNTSTSSQGIYTVPLPPRWTNAPTCHICASKFG TFKKRHHCRNCGQSICTDHSAKHKMKLPHVGLTTRQRVCVLCHDKITNAPAAALVRPH MHSTDTATTSTTSAINLFPSQRAYPPMPASSRSLMRPVVMTTTTTQPSLPSYGYQPVA PQRSASYGSKTNISHLFNPQNAHKDSVIQDMNLQVQLLQQQVTKLMEEKQQAEEALLV TRRQTSSSDDNATQSEQFRSVHSDVVRPSWEEPHFPNIPDDPPPPPPPVAVLPRETSL TDHDLLGDTYARNTYDDAGEFYRDSRFTLDDRDTFFDKYVAPLAARPLQQQQVLQELA EIEDDIERTSIADHVGQHEQPQEQVAAEVSPEDETDDDIQEVDTLLTLGVAMLQQGCA SGAVAAFERAVELMPLDPLLHSYLGKACYEDEDMDRAIAAIERSLDLEASAANWTLLG KMLFEKGDHDKAIDAYQRSLEMQKRVGA H257_05178 MVRNLRNLILHSAGYSQISRRRRTLFRAALVMTTMKKSDVIRLA EGCKWVELRSLIEKDPSAAQERDRFGMIPLHWACTDPNTPQDVLMLLLKAYPAGARLM NSGKMLPLHIAIKAQASIEWLQALLANYPDAAIALTPAKEDVVALAKKYRLPSISINL LEEMRDHVQKTSGYRSGSHTTDEDAEDFDDGNRSDSILITTTSSRFDARSYSTASSRP YESFSSEQHHSLHMTPTEIDDPITVPNTSTSSQGIYTVPLPPRWTNAPTCHICASKFG TFKKRHHCRNCGQSICTDHSAKHKMKLPHVGLTTRQRVCVLCHDKITNAPAAALMHST DTATTSTTSAINLFPSQRAYPPMPASSRSLMRPVVMTTTTTQPSLPSYGYQPVAPQRS ASYGSKTNISHLFNPQNAHKDSVIQDMNLQVQLLQQQVTKLMEEKQQAEEALLVTRRQ TSSSDDNATQSEQFRSVHSDVVRPSWEEPHFPNIPDDPPPPPPPVAVLPRETSLTDHD LLGDTYARNTYDDAGEFYRDSRFTLDDRDTFFDKYVAPLAARPLQQQQVLQELAEIED DIERTSIADHVGQHEQPQEQVAAEVSPEDETDDDIQEVDTLLTLGVAMLQQGCASGAV AAFERAVELMPLDPLLHSYLGTSKEDTAVY H257_05179 MQCNNSVLRLVLVWAAAVHATQSQGYVDGDALLLPVFFGESPLV VPVPVGTTTIDAHLNKYCLQHSLSRATGDALINAISGSWDTLYQFSYLRIDEGTSSWS VVPTTPVEIASSVCSYLTRTIITVPSTQRDSCMMTLKLEFSRTMTYLNHRRHMWVVPT NDQRTIVQVPSIIAQQPNTSFHKSMCPATTRIYGNLGSPQPPPRWPVCNDPALERPTN VVSTTAAPALKPSHRDISLDVVVPTRSDFNLLDHSIPSAIQLLSNTSQPRDYSAIPAP TLRHPSAKGSITRRSPHPTGSPVSLPVNHETLPWPSYVLWALQAWLVPFVMMGGTLVV CMRAGGETHTAQIANLQRDNQVLRAALHDLSSTLRDSIARAEAIHATQLATAQAVEHA VATLTDAVANMQSEKSQETYNLHAPVTPQMSQDEAASHLLRRTSSSVLLRRLSGSMVL CNAISKDTDTPIKSPFRMAAASIIALGLHRRSSAVGATDDTDLVVVA H257_05179 MQCNNSVLRLVLVWAAAVHATQSQGYVDGDALLLPVFFGESPLV VPVPVGTTTIDAHLNKYCLQHSLSRATGDALINAISGSWDTLYQFSYLRIDEGTSSWS VVPTTPVEIASSVCSYLTRTIITVPSTQRDSCMMTLKLEFSRTMTYLNHRRHMWVVPT NDQRTIVQVPSIIAQQPNTSFHKSMCPATTRIYGNLGSPQPPPRWPVCNDPALERPTN VVSTTAAPALKPSHRDISLDVVVPTRSDFNLLDHSIPSAIQLLSNTSQPRDYSAIPAP TLRHPSAKGSITRRSPHPTGSPVSLPVNHETLPWPSYVLWALQAWLVPFVMMGGTLVV CMRAGGETHTAQIANLQRDNQVLRAALHDLSSTLRDSIARAEAIHATQLATAQAVEHA VATLTDAVANMQSEKSQETYNLHAPVTPQMSQDEAASHLLRRTSSSVLLRRLSGSMAI SKDTDTPIKSPFRMAAASIIALGLHRRSSAVGATDDTDLVVVA H257_05179 MQCNNSVLRLVLVWAAAVHATQSQGYVDGDALLLPVFFGESPLV VPVPVGTTTIDAHLNKYCLQHSLSRATGDALINAISGSWDTLYQFSYLRIDEGTSSWS VVPTTPVEIASSVCSYLTRTIITVPSTQRDSCMMTLKLEFSRTMTYLNHRRHMWVVPT NDQRTIVQVPSIIAQQPNTSFHKSMCPATTRIYGNLGSPQPPPRWPVCNDPALERPTN VVSTTAAPALKPSHRDISLDVVVPTRSDFNLLDHSIPSAIQLLSNTSQPRDYSAIPAP TLRHPSAKGSITRRSPHPTGSPVSLPVNHETLPWPSYVLWALQAWLVPFVMMGGTLVV CMRAGGETHTAQIANLQRDNQVLRAALHDLSSTLRDSIARAEAIHATQLATAQAVEHA VATLTDAVANMQSEKSQETYNLHAPVTPQMSQDEAASHLLRRTSSSVLLRRLSGSMVL CNVWGNDCTFC H257_05180 MMDMAMPHTTQDLYPHKLQPQYTQIRELANAIFGRVLLCVDNYT QTPVAIKCMNMQASQAKLSLLDNIVVNEDVAMEKQVLRTLSADGGHPNVLCLLNDFQH AGHDFLVLEYCAQGELFDVVSAQDRLALPAAQTFLRQVASGLQYMHSHGYAHCDLSLE NVLVDVAGQAKLCDFGLAASLYEWKTGGVGKPFYMAPEMYTLQFYAPGAADVWSLGMM LFIMVTGSPLVQKAHSSDPTFRFIQTFGLRAIVSAWRMESLFSAEALDLLCRMLDPNP LTRMTLDEVLVHDFVYEDIPLPPVDDDVFGWMDTFLRSKKNPSMLS H257_05181 MSLAHYTIIKQLAPAIYGKVLLCVDNNTMAKVAVKCMSTQAIAK KRSIKGNHAVHEDAAMERKVMRQLSDRGCHPNILQLLKDFQENGQDHLVLEYCSQGEL FDLVINCPSGRLSLPQSKEFFFQIASAVSFMHARGVAHCDLSLENVLVNSQSECKLCD FGLAADLNKVKVDAVGKFFYMAPEMYLKACFAPGPADVWSLGIMLFIMITGTPLFKKA NVDDPTFEYFQENGLGCMLAWWNMDHLFPDHVTDMLERMLEVNPAKRMTMKQLMASEF MQSSTEPVQFRG H257_05182 MERPPAVVVTRMAQTQLIKPASEAAPYGTFVPTLVVDSTVASTK WVMVAILSMLSCLNQAICYTYAPVSHFAEQGWHHTITCTTLITVYFVAYIPFAFIGSW IMDHRGLRFGVLLGAGLQAAGASLRVVGDWIDPSYQLYFLFGGQMLAAVAMPFMVNSP PMLSALWFPPSQRAMATSVAVNCNQLGIALVYILCPLVVTSVTDIPNWTLLIAALSIA AFVVTIFWFKSSAKFLNVDDDVSYDWHQWLNAFHHDGFFLTVFVFAVAETVTNVLSSL LNHILRADVFTKAQKGLIGAAFIVSALVGGQVVSGYIDGTQLHKVALVVCLVVTGVSL VAFHFAASAVFSGQMYVTMACLMVAGLFLGPLQPISLELGVECAHPTTEATVAALQQL CGNFLSAVLVPVLGSIQHAADEVHAQQAAGHEASYWMETFITPEVVLSVLVFIVASVF CGFGGKFKRSALEGQHGL H257_05182 MDHRGLRFGVLLGAGLQAAGASLRVVGDWIDPSYQLYFLFGGQM LAAVAMPFMVNSPPMLSALWFPPSQRAMATSVAVNCNQLGIALVYILCPLVVTSVTDI PNWTLLIAALSIAAFVVTIFWFKSSAKFLNVDDDVSYDWHQWLNAFHHDGFFLTVFVF AVAETVTNVLSSLLNHILRADVFTKAQKGLIGAAFIVSALVGGQVVSGYIDGTQLHKV ALVVCLVVTGVSLVAFHFAASAVFSGQMYVTMACLMVAGLFLGPLQPISLELGVECAH PTTEATVAALQQLCGNFLSAVLVPVLGSIQHAADEVHAQQAAGHEASYWMETFITPEV VLSVLVFIVASVFCGFGGKFKRSALEGQHGL H257_05182 MERPPAVVVTRMAQTQLIKPASEAAPYGTFVPTLVVDSTVASTK WVMVAILSMLSCLNQAICYTYAPVSHFAEQGWHHTITCTTLITVYFVAYIPFAFIGSW IMDHRGLRFGVLLGAGLQAAGASLRVVGDWIDPSYQLYFLFGGQMLAAVAMPFMVNSP PMLSALWFPPSQRAMATSVAVNCNQLGIALVYILCPLVVTSVTDIPNWTLLIAALSIA AFVVTIFWFKSSAKFLNVDDDVSYDWHQWLNAFHHDGFFLTVFVFAVAETVTNVLSSL LNHILRADVFTKAQKGLIGAAFIVSALVGGQVVSGYIDGTQLHKVALVVCLVVTGVSL VAFHFAASAVFSGQMYVTMACLMVAGLFLGPLQPISLELGVECAHPTTEATVAALQQL CGNFLSAVLVPVLGSIQHAADEVHAQQAAGHEASYWMETFITPEVVLSVLVFIVASVF CGL H257_05182 MDHRGLRFGVLLGAGLQAAGASLRVVGDWIDPSYQLYFLFGGQM LAAVAMPFMVNSPPMLSALWFPPSQRAMATSVAVNCNQLGIALVYILCPLVVTSVTDI PNWTLLIAALSIAAFVVTIFWFKSSAKFLNVDDDVSYDWHQWLNAFHHDGFFLTVFVF AVAETVTNVLSSLLNHILRADVFTKAQKGLIGAAFIVSALVGGQVVSGYIDGTQLHKV ALVVCLVVTGVSLVAFHFAASAVFSGQMYVTMACLMVAGLFLGPLQPISLELGVECAH PTTEATVAALQQLCGNFLSAVLVPVLGSIQHAADEVHAQQAAGHEASYWMETFITPEV VLSVLVFIVASVFCGL H257_05183 MPTTEDEPSSPILTDTLLEDTAAPVRGGRPWTMRRIVVGVLVLM IVQLAARFYVFDVDVAQVHHAVRSWHKHIFGDSTSASHFISSYDPFSWISAIAGSGGA SSFVRAAVLYLPSHEPEFEIEFRWFRRSWLEMQKAEPPTWRTDIVVYSDGNSPALEAL NCSATSRRTSRDDANMCILHPTYTSVYSDAFPYEFADSVNVVGLNGTDLDMYDWVLRT DVDTFLTPAFATWRPPKMVVGEGSYLGLGFTTGDRLASITSSLNLTTMNLDNVGSTWY GPTSLVRTCANLSMSVMQYLYANEFTDEEKSPEYGIKGWPQWHIGVVSMYAGHIAINH CTRDFGVAKDEDMLDFPTTSSDPPSRHAHLHTWQDNDRFSKAAFIRGAYAHEDRSKLR PAHTIVDYAMYMALDSQPTALHPRALT H257_05183 MPTTEDEPSSPILTDTLLEDTAAPVRGGRPWTMRRIVVGVLVLM IVQLAARFYVFDVDVAQVHHAVRSWHKHIFGDSTSASHFISSYDPFSWISAIAGSGGA SSFVRAAVLYLPSHEPEFEIEFRWFRRSWLEMQKAEPPTWRTDIVVYSDGNSPALEAL NCSATSRRTSRDDANMCILHPTYTSVYSDAFPYEFADSVNVVGLNGTDLDMYDWVLRT DVDTFLTPAFATWRPPKMVVGEGSYLGLGFTTGDRLASITSSLNLTTMNLDNVGSTCT CTQTSLRTKRRALNMASRAGRSGTSASCPCTQATSPSTTAPATLGLPRTKTC H257_05184 MLEKWVEGLIVEYFSEWLEGFDKDGMRIGLFSGKICFQNLKFRK QALDKLNVPIVLKEGRLGSLNVKVPWKRLSKESVHIVLEDLYLVVGPYHDESSETQST VDDRVRQAKQHDIRLRELLQSTANDVDGCLVSPPTSPKAASSPSSSWKQKMLNLVLDN LTFELKRIHVRYEDSSLMVSARPVSFGVSIDELKVSTTNANGHVTFMDRSTSHTPFVH KLFDVVEGYIYWDLHSSPPSTTSNAVTTIPTTTPASPSRSSCAAAAPSSKMTYLVEPM TTSIKITENHDAASHQFIPQYRVHVALPSLRMTISPSQCKDMANVVDFLVGHEVYLKR SHCRKARPRCSVHESPKRWWKYAIQSVLALEHDPHKSTWRKTIALLVLRSQYISLYMR LLPLLAADKAVPETDAQQRRILEDDPRLSAECIVFFRACAVAELDMQSHRRKQLAKSS ASSKWTSMLKKPKPTTPVHSPPRTGFFSPKVTVTQPSSPTVSSSHAPAVSSPRPVPSV ATPPSSPHVPLLTLDPLERQLVYESVSQQYFDPPPLKKPLQAAASPLSSSANTSSTSR AGQVLGLDVAITEIVCAVAKEPKGSSTDRKEFVRFLVTDLAWTYNQHMRLPHTTGPPN QVETSTVFQIRDMQLVDATQPTGSPLAVIFGHAKKAKTTALVVVKFHRRPAVDAALDV AIDACSLLYHKTAFDKIYKYITPDQKHSKVCVSDPTTTSSPNKAAEPSLNEAEPAASA LTTPLRLTVHITDATCSIAGEVSAKTHDSCPFVVLHVTNIHVRSMDVSSQVDASVDSI DAFLLDQAHVANIWDDPSLQTSSRIVKKFKGDGSVHRDHVCWTYTVRLSSFDASVSET QVRQLMQIAAYLSRPSALSSGSGGAPQPLPTSRAVAIEIAIVVPVVSVRFVGNERLRS GLLIEGTSVATTAKCMRELTSIHFTVATFVATEAMTPTAHRRTYSFGRALIHLPSSMT LFAVLGREAPPTLRVDMSHLHLCWHYHVIQDLLALVVAPLPLPPVVPSTALFLHVRVH VGSWEFVMNPTGDTDMVVTGHDVESTVEVLENGDVLVDAAVTNVEMGTTTAHASTLSS SVAQNDAESPAITTHDKPHKRVLVTLPHTSTVRYEASGMYALQDRDGAAAFLDLIVAN ARVTYVHGLYMMLAGYMGTYITELFTWLYLAREGTPGKTTMDPALLRLKVQGTVDDIT VCFPSSQEARDEASGRGVELVATSVRLHSSRYLDTPYEQLTVDVASVVAAPWWTDDSV SVRVQHVLQLAQNRQLITVHVPTLHAALHVRALEEVLTVVATSSMAEGGVDFSVDRPL LALGTPLLPPVVGVETTMSIGVDSFEVQTDVIPLSKASTSTRLRLDALHVTVHVTSTS HTTVDVTWQCLELADAHQLCQVRTAGRPRIELEVEPSGQKTLDVSLDGLHVVPDVKVL GEWVAFGQMLAACASAATPSKVTSTSSAPLASDPLLLHPLHFHIKIHAAQVQLHSTVE FQQRQFLVLHTGVSITFHKAIVRVEGRGIAVVLATEWPLPSSLVLPDALHLTLPNGRA LCVPFQVDIDAASSALQVVLSPIDLVLSPSDLWLVYATGIKYAGAFGSSTEPLSFGAS SALQDYFVSMVVSQASVTLVAPDGTNSPPTDQTGGGHLVPKIRLYGHRALFKHSCKSA ATTSQSTDWSVTFTDDACDSVDEGMSVWCFNGALGVWEPWVEPWAFLLSMVQTVEDDT TIHRVCFHGSKQQQCRMNVSTSVLDCVGAIVVPFLALLDKEEESKTGLAAPTADVVAE DRSTRDDLHDARGQPSKPATACCGVFLYNDTALELEYQVNDSGDAKHVVPPHTQSALA LPCQPLLVTDHTISLSWKHTWLPLHDVRLHAFSQSVVVVPAINNNKQVMPLLLDVEAR HGQRTLTISSIVKVYNDCSVPIRIGCMRDGSAIVDAGMVAPLESKGLPLEMCESLHGL RLVVGPVAPTLTEPGQKVYQWSEAFAIDSGETSLVAACPLAVLDTKHCLCMPSFSTTS PQLSSTSQLCSHGKMYFKVVLEMKTGTTGLSFASVRVLAPCTMDNQSPVPLSLLVFTT KKKNSSTPTKGGGGPLLDEEIEPIQLHLVTSTVVPPHSKVHLYHASLQFKTYAAMALA PHQWSQLQSMDREIESIVALKDRQSRIHAVNWHSTTLHHQLAATVWPTYVLKDESKLH LLFDVELTAKKSLSSMLHLSNSKKYSSRDNPLSCATDALETDVIEATLEVLSEMMATT PPASDDPSKVDTTEDVYPYLLSGTSHVTIRLDPVRGMRTSTAAIHLEAISDSVQNCHR LFSDARKEWHDLGVRMTLTSRAKIVTIHPRYMVLNATPIPFLLCPTALVNKTAAAAPP PPSGDPPRTSSFSTPRQNNATDRVVGAPPAGIWTFAPNAPFTPFHWSSMADPTDYSVR TKPGESAGWKWSGKFNLHQVGETALNVINKATGEVHVVRIHIRVVLATQIWVVVSLEG EASHPLYRLVNRTSMRLQFWQQFDMDPGYVREVGAMADVWFGWDEPYATDDRTVIVSV PSESKAAAARVVVDQINDMVQTMQVGAVELYVQVYLDGLTKVLDVSDRRSTRDTLMRI AATAAPTNIRYVVDLLLPSLVLALVHGQGEVVVVTIGDSSVIGGYTPDGNEIEVKVQS VQIDNQHSKACPVLFAPSKDDGGGGDKGVSKPKENAILNAPDMAPFLHVSIVRLFYHP DIEFFKYLSVLMQPATLQLDASILFTLATMVSDWLKVVIAYFPRLFVDKPVTTCVAHK MQSEPRVYFETLQLHPLKLCVTFTQSTLPSQAEDVMAVVPGMFRILQTHLANIDNAPL HLNALHIFHSYTSLALLVSSVRQHYTSQSLRQIYSLIGSAEILGNPLGLVSNLGCGVK DFFYEPAAGMVRGPGQFVKGLTKGTESLVKNSVYGTFNAASKLTGSISTGLATLSMDK TYIQSRINRPKKDGPTNVGAGLLLGTKQLGQGILAGVSGIITQPAMGAYHNGLTGFVE GVGKGILGAAVKPTAGILDLAAQTTAGITYSAASFDKKPKLTRVRLPRMMTTADKRLT MYSAQEASVAWWLSKLPAGTLSPSEQYDTHVLLPSNRALVATSHQLMALDMTAKPRVL WAYPVWNVRSSHSTDDSVQLCISHGDDIGDNKLVTVQLNLTGDDRDRVEAVVCKLVSR RHRHSDPPSKVV H257_05184 MLEKWVEGLIVEYFSEWLEGFDKDGMRIGLFSGKICFQNLKFRK QALDKLNVPIVLKEGRLGSLNVKVPWKRLSKESVHIVLEDLYLVVGPYHDESSETQST VDDRVRQAKQHDIRLRELLQSTANDVDGCLVSPPTSPKAASSPSSSWKQKMLNLVLDN LTFELKRIHVRYEDSSLMVSARPVSFGVSIDELKVSTTNANGHVTFMDRSTSHTPFVH KLFDVVEGYIYWDLHSSPPSTTSNAVTTIPTTTPASPSRSSCAAAAPSSKMTYLVEPM TTSIKITENHDAASHQFIPQYRVHVALPSLRMTISPSQCKDMANVVDFLVGHEVYLKR SHCRKARPRCSVHESPKRWWKYAIQSVLALEHDPHKSTWRKTIALLVLRSQYISLYMR LLPLLAADKAVPETDAQQRRILEDDPRLSAECIVFFRACAVAELDMQSHRRKQLAKSS ASSKWTSMLKKPKPTTPVHSPPRTGFFSPKVTVTQPSSPTVSSSHAPAVSSPRPVPSV ATPPSSPHVPLLTLDPLERQLVYESVSQQYFDPPPLKKPLQAAASPLSSSANTSSTSR AGQVLGLDVAITEIVCAVAKEPKGSSTDRKEFVRFLVTDLAWTYNQHMRLPHTTGPPN QVETSTVFQIRDMQLVDATQPTGSPLAVIFGHAKKAKTTALVVVKFHRRPAVDAALDV AIDACSLLYHKTAFDKIYKYITPDQKHSKVCVSDPTTTSSPNKAAEPSLNEAEPAASA LTTPLRLTVHITDATCSIAGEVSAKTHDSCPFVVLHVTNIHVRSMDVSSQVDASVDSI DAFLLDQAHVANIWDDPSLQTSSRIVKKFKGDGSVHRDHVCWTYTVRLSSFDASVSET QVRQLMQIAAYLSRPSALSSGSGGAPQPLPTSRAVAIEIAIVVPVVSVRFVGNERLRS GLLIEGTSVATTAKCMRELTSIHFTVATFVATEAMTPTAHRRTYSFGRALIHLPSSMT LFAVLGREAPPTLRVDMSHLHLCWHYHVIQDLLALVVAPLPLPPVVPSTALFLHVRVH VGSWEFVMNPTGDTDMVVTGHDVESTVEVLENGDVLVDAAVTNVEMGTTTAHASTLSS SVAQNDAESPAITTHDKPHKRVLVTLPHTSTVRYEASGMYALQDRDGAAAFLDLIVAN ARVTYVHGLYMMLAGYMGTYITELFTWLYLAREGTPGKTTMDPALLRLKVQGTVDDIT VCFPSSQEARDEASGRGVELVATSVRLHSSRYLDTPYEQLTVDVASVVAAPWWTDDSV SVRVQHVLQLAQNRQLITVHVPTLHAALHVRALEEVLTVVATSSMAEGGVDFSVDRPL LALGTPLLPPVVGVETTMSIGVDSFEVQTDVIPLSKASTSTRLRLDALHVTVHVTSTS HTTVDVTWQCLELADAHQLCQVRTAGRPRIELEVEPSGQKTLDVSLDGLHVVPDVKVL GEWVAFGQMLAACASAATPSKVTSTSSAPLASDPLLLHPLHFHIKIHAAQVQLHSTVE FQQRQFLVLHTGVSITFHKAIVRVEGRGIAVVLATEWPLPSSLVLPDALHLTLPNGRA LCVPFQVDIDAASSALQVVLSPIDLVLSPSDLWLVYATGIKYAGAFGSSTEPLSFGAS SALQDYFVSMVVSQASVTLVAPDGTNSPPTDQTGGGHLVPKIRLYGHRALFKHSCKSA ATTSQSTDWSVTFTDDACDSVDEGMSVWCFNGALGVWEPWVEPWAFLLSMVQTVEDDT TIHRVCFHGSKQQQCRMNVSTSVLDCVGAIVVPFLALLDKEEESKTGLAAPTADVVAE DRSTRDDLHDARGQPSKPATACCGVFLYNDTALELEYQVNDSGDAKHVVPPHTQSALA LPCQPLLVTDHTISLSWKHTWLPLHDVRLHAFSQSVVVVPAINNNKQVMPLLLDVEAR HGQRTLTISSIVKVYNDCSVPIRIGCMRDGSAIVDAGMVAPLESKGLPLEMCESLHGL RLVVGPVAPTLTEPGQKVYQWSEAFAIDSGETSLVAACPLAVLDTKHCLCMPSFSTTS PQLSSTSQLCSHGKMYFKVVLEMKTGTTGLSFASVRVLAPCTMDNQSPVPLSLLVFTT KKKNSSTPTKGGGGPLLDEEIEPIQLHLVTSTVVPPHSKVHLYHASLQFKTYAAMALA PHQWSQLQSMDREIESIVALKDRQSRIHAVNWHSTTLHHQLAATVWPTYVLKDESKLH LLFDVELTAKKSLSSMLHLSNSKKYSSRDNPLSCATDALETDVIEATLEVLSEMMATT PPASDDPSKVDTTEDVYPYLLSGTSHVTIRLDPVRGMRTSTAAIHLEAISDSVQNCHR LFSDARKEWHDLGVRMTLTSRAKIVTIHPRYMVLNATPIPFLLCPTALVNKTAAAAPP PPSGDPPRTSSFSTPRQNNATDRVVGAPPAGIWTFAPNAPFTPFHWSSMADPTDYSVR TKPGESAGWKWSGKFNLHQVGETALNVINKATGEVHVVRIHIRVVLATQIWVVVSLEG EASHPLYRLVNRTSMRLQFWQQFDMDPGYVREVGAMADVWFGWDEPYATDDRTVIVSV PSESKAAAARVVVDQINDMVQTMQVGAVELYVQVYLDGLTKVLDVSDRRSTRDTLMRI AATAAPTNIRYVVDLLLPSLVLALVHGQGEVVVVTIGDSSVIGGYTPDGNEIEVKVQS VQIDNQHSKACPVLFAPSKDDGGGGDKGVSKPKENAILNAPDMAPFLHVSIVRLFYHP DIEFFKYLSVLMQPATLQLDASILFTLATMVSDWLKVVIAYFPRLFVDKPVTTCVAHK MQSEPRVYFETLQLHPLKLCVTFTQSTLPSQAEDVMAVVPGMFRILQTHLANIDNAPL HLNALHIFHSYTSLALLVSSVRQHYTSQSLRQIYSLIGSAEILGNPLGLVSNLGCGVK DFFYEPAAGMVRGPGQFVKGLTKGTESLVKNSVYGTFNAASKLTGSISTGLATLSMDK TYIQSRINRPKKDGPTNVGAGLLLGTKQLGQGILAGVSGIITQPAMGAYHNGLTGFVE GVGKGILGAAVKPTAGILDLAAQTTAGITYSAASFDKKPKLTRVRLPRMMTTADKRLT VRSILQL H257_05185 MTFFKAWSRTNSTALSIRPPFNARSMWRRVFVVLKMVRVLRLLG QRAASRRAFLEKQHQAMYGSSGHQPRCATPGCSSDWYYVQKNGHCTACNANRPRQGVM DSSVMETIRKAWSRLTFRKPKDAGLSDEEYAMMLGVEWNSQHQEQYPNTLKLVYNLSQ FPPHVNQNANLNADMVDELVKHSSECAASERGQMCHVGGCAEMRRILHHTSVHPNAEP SCTDCLKAYRILLSHAERCRVLCRVPRCSGVKTHLECRAAAASGNAAAPQMCWVRTPQ MSWWPAIAYPMHYPLPQLPLYVVDQYQDGHQIVCCLGDRQFACLPLNQILPWTQPALQ SSTEPLCNHLACEDLRGCVGYAATCVFASLEQHKMAMTHHHAMASLSGPHPQQVSQPP PRTRLPSLPSLLARQPQTTPVTNEFDGGFISPEVHMKKRKLIQVPQLRS H257_05186 MMVSEDEVAMRRQVPVLNIAQDPFGVSADSIPDDSENNHEQALA VTSSVLIQRWCTPTHSVCVGSHLCGCRGCRGHQDHPQTRPTRPSYPVNRRATLCAGVL ECRPIIRRQKGWPVSVPRRRRSKGPGTRGVGHRRSLPLKGPFLRSSSSAKGTTSTGSG CFHLPLQRPWPLARQTRLPLGTTSRPFGLFRGRLLWESTTSAAATSSAEFQKRRYSRH FPSRQQHHLKGSITTCLPTQGKTKFKVDFARLVLQATDSLVERPRVHRCLPRECARAY CRAHLLLVHRVCPPNGRGHRRLPIDPTER H257_05186 MMVSEDEVAMRRQVPVLNIAQDPFGVSADSIPDDSENNHEQALA VTSSVLIQRWCTPTHSVCVGSHLCGCRGCRGHQDHPQTRPTRPSYPVNRRATLCAGVL ECRPIIRRQKGWPVSVPRRRRSKGPGTRGVGHRRSLPLKGPFLRSSSSAKGTTSTGSG CFHLPLQRPWPLARQTRLPLGTTSRPFGLFRGRLLWESTTSAAATSSAEFQKRRYSRH FPSRQQHHLKGSITTCLPTQGKTKFKVDFARLVLQATDSLVERPRVHRCLPRECARAY CRAHLLLVHRVCPPNGRGHRRLPIDPTER H257_05186 MMVSEDEVAMRRQVPVLNIAQDPFGVSADSIPDDSENNHEQALA VTSSVLIQRWCTPTHSVCVGSHLCGCRGCRGHQDHPQTRPTRPSYPVNRRATLCAGVL ECRPIIRRQKGWPVSVPRRRRSKGPGTRGVGHRRSLPLKGPFLRSSSSAKGTNHYLQL YLPTYIWPAHLDMMYANRNNFNWFGLLPSSTAASVATRATDTFTSGYNQSALWSIPWA AIMGEHDVGGGNFLCGVSEATLQSTFSIAAAAPPKGKHHNMSTNPRQNKIQGGLCQTR TTSNG H257_05186 MMVSEDEVAMRRQVPVLNIAQDPFGVSADSIPDDSENNHEQALA VTSSVLIQRWCTPTHSVCVGSHLCGCRGCRGHQDHPQTRPTRPSYPVNRRATLCAGVL ECRPIIRRQKGWPVSVPRRRRSKGPGTRGVGHRRSLPLKGPFLRSSSSAKGTTSTGSG CFHLPLQRPWPLARQTRLPLGTTSRPFGLFRGRLLWESTTSAAATSSAEFQKRRYRYM GTLLNWSPAILLIWTSSTVDIFHRGSSTT H257_05187 MRRRSTNNVGRPGVLTRSRKQLMELQGYLPKDKVVSDTELDEYI NHLDDKIDATQDVMAWGDDDDDSSTSDDGESDEDQDDDADYNPRRGGGRKSVASSSPG FVSHVLWSSIGPCLVVPVLYHRWSHLTYPLSTPSLVSYMAIVCLNWITFVLLTCLIFA GSCNTPSFLRGFLFKVPLDVPSCASAVWTPLRDCVVMHGVQCFSLPSCQLPLGQLAGA VVGFGLLASFHRRRVQVVVGVLFVVHHGLQWAHHTSARSFFSDPSPQSIHITSIDPVF AYANESIHLLLDGLNLHEGMQVGWVPFWGCSRDTPLSACPVELVATLTHGGVTQTFSA VDEYTACISLAGTTAPSAAFACFPDVRLKVKHIQSTPGWSLQQG H257_05188 MAQETERDEPNVHMVPTSAGTLHGSDEGREDVDFLDFRAMFASP ARIAAEAAVGSTIFNNELEQSPAHPQSRADSGKLFSPLRVIYSPSKFFRSPVVGKDSE SSYALTTPVMLKKSKQQQGRTTTQIPPLSAEKAGPLTSPFSGWKVEHGEKYRFMLSPM PVVATTPVASNDDDNRSFLTFAQSLPAITDLNNLDSSPSVTVSPLTPEPPLAKLEPGS CYGKDRTPDTDTECPARVVTQRLEFTDSPTSAYFEPLASFPNIQASTSDAPGRDHRTS DVVGRKSVTLQFAHPSVMGGQATSLKSINDSMRKGKAKVNKNAKAFKALRLVEDTPPT SLTAAPPSSKRQLDESKAILHSLLSTSSSTSFIAPSISDEGVRKKARVQLPPPLPPPP PPMPTTTPALSQNDSSNSSSRSGNHSTSSRNTTTNVVIPRSGGGMPGQAAVTPKKRNP CNCKKSQCLKLYCECFASGSVCDENCKCVGCHNIPKFDIQRKEAIAITLERNPNAFKP KINTTTMPSNHDRQPRHSHHTQHHHQHHGHDTSGSSSTPFGTTLVQTHHHGCHCKKSF CRKKYCECFQAGVPCGDNCKCIDCKNQGHANPPGQELFAATANIIMTSSTIRPPSQPQ YTHAHVTEISDTKPPSFGDFRSTILRQDKLKGAAEAHVKRKLVVYPLFGPSNPPLQKD VAVHILQHLDGPDLYNASIVNRLWNGMTMSTDIWDYSQLDKVP H257_05188 MAQETERDEPNVHMVPTSAGTLHGSDEGREDVDFLDFRAMFASP ARIAAEAAVGSTIFNNELEQSPAHPQSRADSGKLFSPLRVIYSPSKFFRSPVVGKDSE SSYALTTPVMLKKSKQQQGRTTTQIPPLSAEKAGPLTSPFSGWKVEHGEKYRFMLSPM PVVATTPVASNDDDNRSFLTFAQSLPAITDLNNLDSSPSVTVSPLTPEPPLAKLCYGK DRTPDTDTECPARVVTQRLEFTDSPTSAYFEPLASFPNIQASTSDAPGRDHRTSDVVG RKSVTLQFAHPSVMGGQATSLKSINDSMRKGKAKVNKNAKAFKALRLVEDTPPTSLTA APPSSKRQLDESKAILHSLLSTSSSTSFIAPSISDEGVRKKARVQLPPPLPPPPPPMP TTTPALSQNDSSNSSSRSGNHSTSSRNTTTNVVIPRSGGGMPGQAAVTPKKRNPCNCK KSQCLKLYCECFASGSVCDENCKCVGCHNIPKFDIQRKEAIAITLERNPNAFKPKINT TTMPSNHDRQPRHSHHTQHHHQHHGHDTSGSSSTPFGTTLVQTHHHGCHCKKSFCRKK YCECFQAGVPCGDNCKCIDCKNQGHANPPGQELFAATANIIMTSSTIRPPSQPQYTHA HVTEISDTKPPSFGDFRSTILRQDKLKGAAEAHVKRKLVVYPLFGPSNPPLQKDVAVH ILQHLDGPDLYNASIVNRLWNGMTMSTDIWDYSQLDKVP H257_05189 MSPHEPGSASDLTMVRSRLDQHNQALAKDDYDDTINDNGKLFRE LPTSWAVLVHKGYIGLAVSAHTIHPKKKPRFTFALTNFHATLMPLRLEDNDHYRAIMA RYKSMAAENTSKTAANQRRYLQRRAERFAPEAARASRTSRGTFLSPMVSGRR H257_05190 MRINVSSMFELIQDQTASGNLYLQQSLNEYGDAVLEEDEIHETN NPIMDKTLLDTGAEGFRVLTNFTPEKFEDIWGNAESAMTSRWNDGRGRKSATSAKDAR TNAGETHRECGRRVLEAPVRLFRVSAPHDDAALEGQSVHSLALRIACDGRQVPAGSSS LRPLQ H257_05191 MNCMTGAQPRAKETSSEIASTLRHSSSSNDLPLRRAMSSAQFSE THLVTIRHMCSVLGEQEVWSLIYAVAPPAQLHVVNSFSRHGENARKDIAAEAQSLASE RDATLQEVADLNARGRALEDTLRHTIARMSRMSSQTIRSRSNAPKLVVSRILNIDNYE ICVSFAMSHLTGRVVDWAWGLTCEDGFAFATFDDFIEQLKAAFLPLNSDFRFSVLQSR TTTKTRDPHDMEISAMTSLTTNRRCFNCNRPGHFSRESRQPRRAPTSARGTASCPTHL AHPVVDRARGGGEFP H257_05193 MVKLTFIAAFAALATAKIAPSVHRHLESNEHVDVVIEFKGGNQR ALETARLKRSSFKDRGSSIAHVRSLLESNMKTSQRAAVELLSLQPKSFTTRVESFYIN GNMHVYGANRLVLDELAKLDNVAHIRQPVTAQLSPVSFDDDDTDVGIPQGWADNNSTS TRAANEWGVNLISAPAVWANGNRGEGVVVGILDTGAIHTHDVLKGNWRSTYGWFDPTD KTPTPIDRSGHGTHVAGSAVGQNGIGVAPGATWIACRGCTTTAYCSEAALIGCAQWML CPTDVTGKNPKCELAPDVINNSWGGKFNSNWYQAAVNAWRAADIIPVFCNGNSGPQCS TTWTPANYQNVIGVGNLGFDDKLSPSSSRGPTADGRIKPDVSAPGTRVRSAWHTGNSA YQTISGTSMASPHVTGAIALYLNANKGAKYDQVYRAFTTTVDTATLTPKNENCGGVSD SKYPNNNYGFGRINVASAIGGGINPPSSSTSAPSPSKPSTSDPSTPATSTRRPFPSFP MTSSPSPSKPSTSDPSTPATTTSHPFPTSPVTPSPPSVCNDCTGCYSRLINLCLPEFG QAECTAFTDFQGTWCGKQ H257_05194 MLDELMRSLEQDHLHQEGKIVVEVMTKSIKPESLKRAIQSVEER RLPLRVAGKVEAINAQDRRATYQEGCLKCGEMSHRVARFRLAKPKRYWQAFSRTPVTC LGGTATKQVPSADLDLPLEDLRHQPVAFISGSLSGASAADLSSRRRAMQWSSHARDST TWSYALVYIFNPSGTNAKMAKYLADKL H257_05195 MKRGGIPSNGVDWDDSHFYVDPEGRIWIPDGAVDLQQRIGVIAH QGASGHRRIAATTKSASDKFVWTTLSTDVETCVCVCLHCLCIDREMVPSPLGSSLHAK KAGRAHPFRLIVDGHGQLLV H257_05196 MIQDKKQEHWYDKPILPDSDELVTYMEDLRRDEVATTSSHMMQF LRASNMPWIEDYFLLITKRVSRTRVREVRLPDAVGASAAAVSVQRDGVRPTKAPAIDR SPMALLLTTLGLMLPPHEAVRSSRPFVYATPSASAPGSVRLAPVALDQMPRR H257_05198 MIQDKKQEHWYDKPILPDSDELVTYMEDLRRDEVATTSSHMMQF LRASNMPWIEDYFLLITKRVSRTRVREVRLPEAVGASAAAVSVQRDGVRPTKAPAIDR SPMALLLTTLGLMLPPHEAVRSSRPFVYATPSASAPGSVRLAPVALDQDAPTTIARGM TATHASKDARVDDAAQPPAPQVSPLTHSTMRSPRTS H257_05200 MIQDKKQEHWYDKPILPDSDELVTYMEDLRRDEVATTSSHMMQF LRASNMPWIEDYFLLITKRVSRTRVREVRLPEAVGASAAAVSVQRDGVRPTKAPAIDR SPMALLLTTLGLMLPPHEAVRSSRPFVYATPSASAPGSVRLAPVALDQMPRR H257_05201 MKTQQLVPPYEVTVRHARRLSMYHEGGREVTEDLELHHQHQVIV KWLGLDESSWEPAANLLDDIPVVFRKWVAANKEDPAVTALSNTLDFP H257_05202 MSATRDRPAEFSTELPTLVKGYKRFIATDLEVGAQTSQSKRPLL YEMFELTGHSVLIGDHKSALGRRLRRHTNPPAQVGQSAQEGGMTHTPKHCYANTFQPN MWVVLALDMCPRDSLGMYLEMHS H257_05203 MFFTLATAMQLSPVLEMYLANVLYHREWLPRPRIFLDVVLSEQE HGALLGHARDDRRKRWQDQSYRHSSARQHVHANGCDTRPHVQRTNAMQDEIQGLRGAC GYGGAMAPTAIAAMMERRRHRAFCTYRTDIPA H257_05204 MKVAAVLSLAATVAHAKVSVGVLRSLETTGTSDVLISFVGVDLE SLAESKHEDRRQAVYDALTAHSATTRTESASVLDSADCEHFWIAPAAICRGLTKEQID QVARLSNVKSVAFPDNVQLIEPVLNEEVSVKTGDVNATVPQWGVSTTGAPDIWKYYKG KGVVVGSIDTGAEYRHESLKNNWRANKGWFNPYNGTLFPLPVDSARHGTHTIGTMVGS HGIGVAPEAQWIACLGLYIREGTPAGLLKCGEFMLCPTRLDGTHPECKLGADVINNSW GSIEGYNPVFEDMVASWRAAGITPIFSNGNAGPKCGTTGNPGMYTRVISVGAVGSAQK DPNQLAAFSSKGPGYPRNANNQTLTIVKPDISAPGYPTLSANARVLDGYVLMAGTSMA APHIAGIVALLKSAQSDLTYDEIYGYLTKTADREVLKPEPEKWYFANGTFRGDGAYNC GNVSDASWPNNRYGYGRANVGTILRDGKLNDTPRPAC H257_05205 MLLERRTLAGNGVQGGNSSGAHSADSIAASVVKLLRDGNALANI PQVAITRQTTIQEFAVPRAIPTARSGKEAWDQWFSADPKVGLYCALKDYTKEMIKTTW K H257_05206 MKVATVLSLAATVAHAKVSVGVLRSLETTGTSDVLISFVGADLE SLAESKHEDRRQAVYDALTAHSATTRTESASVLDSADCEHFWIAPAAICRGLTKEQID QVARLSNVKSVAFPDNVQLIEPVLNEEVSVKTGDVNATVPQWGVSTTGAPDIWKYYKG KGVVVGSIDTGAEYRHESLKNNWRANKGWFNPYNGTLFPLPVDSSRHGTHTIGTMVGS HGIGVAPEAQWIACLGLYIREGTPAGLLKCGEFMLCPTRLDGTHPECKLGADVINNSW GSKNGYLPVYEDMVTSWLAAGITPIFANGNSGPKCGTTGNPGMYTRVISVGAVGSAQR DPNYLAPFSSKGPGYPRDANNQTLTIVKPDISAPGYPTLSANSRVLDGYVHMSGTSMA APHIAGVVALLKSAQSDLTYDEIYGYLTKTADREVLKPEPEKWYFPNGTFFSDGAYNC GNVSDASWPNNRYGYGRANVGTILRDGKLNDTPRPAC H257_05207 MDVQMYMYANEFTDEEKSPEYGIKGWPNWHVGVLSMYAGHVAIN HCTRDYGVVKDAHNLDFPTTSHESPTRHAHLHTWQDSNRFSKLALLAND H257_05208 MHWINKLFMYSCVSLLAMAQDEPLHLCASGTVQLALSAVLSSPH VVKCASAVGISPESLVKGDRLTSAQVVAFRESPSCAAYHERMMETLQRIYPACVDSTS MVSTTKMGATTFAQRVKSLTS H257_05209 MRQLLVVTMATLTSALAYNERTTVHLVFSTGCDQTHRQFLSASL QLSLVRVQHVGPLTEIISGCSAEKQASIQAQAKYYPDYRLHFTRDYAKYESVNFTERY DPYNKPFGLRDFLHHSATPDNLAVAFIDADYMLFKPLRINTGAKWAKYYQNTTLRRAE DISDTVENGVALAQNMKAFLGGRWYNDINRTILNLVCGDNPCASVSSADAFEFFEPSG TPYIQTRHDWLHVVEDYCNFTVKGREVSKDDWMVEMYAYGAATTNHNVKHTLLQHLGP ATPEFLNTEYWNFIEEDMDNPCLDPFEVELPFDPPVGIHYAMYYGLPDKIDAGYMYYK YRIPKDILKCDSQLFKLPPPSEWTDIDRLYKDDPKKRQWKRHAVWLQCTLIKYGNQVL QTIKERMCPLGFNSHQGIVLHAKDTPATAFPTP H257_05210 MEFTQRPSSLSSVGLAPPAPAATNQQNVRYYHPAVLSQIREDCM AQKLHLRASEFTEIKDMVLWTGTFNVNAKKPVSIAESAKLLSWLRATHENDQLSPDIV AVGFQEIVDLNAVNVVVNNMSGPRSAQWEESILAALNTHMSDQAYEVVLHKHLVGILL LVFVKRNHLPFITDVVGSTAGVGIMGMMGNKGGVAVRMTLYDSTIVFVCSHLAAHTHN VAGRNADFANILAKIEFRDSVFDEMNPSGSPEPTDHVLSIHSHDFIFWLGDLNYRLVE DANFTVEDCFAHLDKHNLEVLLARDQLTQEREKGNVFHGFEEGPIRFAPTYKFQAGTS LYDRRPEKKVRAPAWCDRILWKAKADTVALQHYGAAMELDMSDHKPVAALFHLKVKYE VDDKKEAVQREISRELDKWESDNKPKISISDNNLVHFDKVTYLVPQTKSIVVENSGLV VAHFQLAPKLLDSAVSKPWLSISPMYGMIPPKEQVELQVTVLVGGDAAHALSSGRDTL DDTLILRVANGADHFVVISADYLPSCFGCSLEQLVVHVEPIRHAGAVKREAAVVQKIP KELWRMVDALYTHGLDAPNIFVDTDLSEAAVLREALDTGAPFPVHRPQSMAALVVHWL QTLRESVVPEASVASDVLVVDALTAVHYNVFMYVMSFLREVLAHAPRNLLHASKLAFV FARCLLGYTATQTPTPKIDAMERLLAHFLTTGTL H257_05210 MTLYDSTIVFVCSHLAAHTHNVAGRNADFANILAKIEFRDSVFD EMNPSGSPEPTDHVLSIHSHDFIFWLGDLNYRLVEDANFTVEDCFAHLDKHNLEVLLA RDQLTQEREKGNVFHGFEEGPIRFAPTYKFQAGTSLYDRRPEKKVRAPAWCDRILWKA KADTVALQHYGAAMELDMSDHKPVAALFHLKVKYEVDDKKEAVQREISRELDKWESDN KPKISISDNNLVHFDKVTYLVPQTKSIVVENSGLVVAHFQLAPKLLDSAVSKPWLSIS PMYGMIPPKEQVELQVTVLVGGDAAHALSSGRDTLDDTLILRVANGADHFVVISADYL PSCFGCSLEQLVVHVEPIRHAGAVKREAAVVQKIPKELWRMVDALYTHGLDAPNIFVD TDLSEAAVLREALDTGAPFPVHRPQSMAALVVHWLQTLRESVVPEASVASDVLVVDAL TAVHYNVFMYVMSFLREVLAHAPRNLLHASKLAFVFARCLLGYTATQTPTPKIDAMER LLAHFLTTGTL H257_05211 MAEPAVVPTTQDVLGDVYEPAEDTYLFLDALQDEKDFLVALHPR MMLELGPGSGVVGVFATNQLAAAGVVDTVLFAVDINEQATACTKNTAMLNGVSRIEIL RMDLLTQARLHHQVDVLLFNPPYVPTPSEEVGSIGIEAAWAGGRHGREVIDRVLPIVH TILSPEGVFYMVVVAENKPHDIARIMETQGFHMETIRSRKAFNERLSIVKFTRRPPPQ H257_05211 MAEPAVVPTTQDVLGDVYEPAEDTYLFLDALQDEKDFLVALHPR MMLELGPGSGVVGVFATNQLAAAGVVDTVLFAVDINEQATACTKNTAMLNGVSRIEIL RMDLLTQARLHHQVDVLLFNPPYVPTPSEEVGSIGIEAAWAGGRHGREVIDRVLPIVH VLLCQLDSSFRCLGVDNLIA H257_05212 MNADDFICAVCLEPFASPITLYCGHTFDRECLVNLVGKPCPMCR QGEIRPDVVVQPKNQVMYRLVLSTCANSSDVIASRGIGHEHARLTRLATYVRPKSPSA SALARRTISVHWGHLVLVFHSLSFPWSAMYGPAGFTDDDNDDDDNTESSIPCCAQFCS WSIAFTLMASYILSMILSQGLHS H257_05213 MLIHEFRVPVHMTVEEFQVAQLYMVVDASEKNTKDGEGVEILKN EPYDNTNGQVGDISAISNVKIPRNKGQYTLKHYHVKSHIPSYVSAICPEDSMVLIEEA WNAYPHCLTVITNGYLSKTKFYISIESMHVAGTGEADNALQLSAAELRQRTVEFIRID SNLPNETPTPQALEFDPSTYTCPKTGRGPLAPGWEVKVTPVMTCHKVVRVKFDYWGFQ GRVETAIRDRQRRLFHSSLRQAQCLSHKWNGLTMADIRELEATVQRKLVAQRAA H257_05213 MLIHEFRVPVHMTVEEFQVAQLYMVVDASEKNTKDGEGVEILKN EPYDNTNGQVGDISAISNVKIPRNKGQYTLKHYHVKSHIPSYVSAICPEDSMVLIEEA WNAYPHCLTVITNGYLSKTKFYISIESMHVAGTGEADNALQLSAAELRQRTVEFIRID SNLPNETPTPQALEFDPSTYTCPKTGRGPLAPGWEVKVTPVMTCHKVVRVKFDYWGFQ GRVETAIRDRQRRLFHSSLRQAQCLSHKWNGLTMADIRELEATVQRKLVAQRAA H257_05214 MHWINKLFMYSCVSLLAMAQDEPLHLCASGTVQLALSAVLSSPH VVKCTSAVGISPESLVKGDRLTSAQVVAFRDSPSCAAYHERMMETLQRIYPACVDSTS MVSTTKMGATTFAQRVKSLTS H257_05215 MREGLVVVRYVGLAMSFCVLVALTVVDLSWWARVQSLLTLPTHG TSLLREHGTTHSSFVRAAVLHVARPDEASFTPMFRRLHRSWIAMSLHEPPSWRTDIVV VTDAPYVFEWLAVLNCSVHPRQNASQPNMCVVATKFAPHSSSSGVNLPPGLDPSIEVF RALSVVSASTYDWLLRTDVDTFLAPGFATYRPNSLAVNVGAYIQPNCGTTTHLDTIAA RLNLTSQNVSNIGSTWYGPTALMQTCAAQTVGLMETLYLHDFTDVEKRPAYGNQGWPQ WHVGVLGSYAGHLAIRHCTASVGVVLAGNVLNVPSTSVDMASHVAHIHATHDDDDDAI LSLPRNNTTKDATTVRDYTLAITLSSSSVLSPSPASITSTFIRAAVVYLPSTEARFLH EFRWFHRSWQAMQAFEPPGWRTDIVVVTNGLVPTLDELNCTSMPREHATDPNRCIVVA TYTSLYSPAFPYAYADSVNVLAVTPLGAYDWVLRTDMDTFLTPAFATWKPSLFVVGMG GYNVMGSTNDRLDAIIVQLNLTAKTVDNVGSTWYGPTALVQQCAQLSMDVQMYMYANE FTDEEKSPEYGIKGWPNWHVGVLSMYGGHVAINHCTRDFGVVKDAHNLDFPTTSHESP TRHAHLHTWQDSNRFSKFAFADGAYKNENKSALDLDDISDYAMYMALDSQPRA H257_05216 MDGYNGTIFAYGQTGAGKSHTMEGYPDPPDQRGIIPNSFKHIFD KVAITKKKQVLVRASYLEIYNEEIRDLLSKDPKASLDLKENVDSGVYVKNLTAQVVKD AAEIDAVMQQGKKNRSVGATMMNQTSSRSHSLFTIVVECLSDGIDGKDHVCVGKLNLV DLAGSERQSKTGATGDRLQEANKINLSLSALGNVISALVDGKSKHIPYRDSKLTRLLQ DSLGGNTKTVMIANCGPADYNYEETLTTLRYASRAKQIKNKPKINEDPKDAMIREFQE EIDALKAKLASFANGNTTGGDGGGTATTMCMLQAPPTVEVVEKVVEKVIVEKGISEEE AQKIADKAKQEIGAVRKQAAEELSAAVKDNALAEHNKREMELKLEMEQKQQEEMVKQQ RALLVKLESMEAKLLVGGEIMNKAAQQEDELRKTKLELEERKHQELKLARELAEREEA NLMKEEKYQSLQEEAEAKTKKLKKVFGKVKEVLADIKQMEKAHGREREDLLDTIRELT AQFKLKTLVLEYFMPREAATALENAAHWDDEDDNYRLDHVELSGNALRPRQRPASASG LRRPETASEKPRKKYVSNEEEHGGLEVEVHVERNQYLVYTSDDEGDGYALAEDKIVNP PVATTAKDRPKTSKKSSKKPKDGSTTSNSTSGSSTKRPETAKKREKREKS H257_05216 MDGYNGTIFAYGQTGAGKSHTMEGYPDPPDQRGIIPNSFKHIFD KVAITKKKQVLVRASYLEIYNEEIRDLLSKDPKASLDLKENVDSGVYVKNLTAQVVKD AAEIDAVMQQGKKNRSVGATMMNQTSSRSHSLFTIVVECLSDGIDGKDHVCVGKLNLV DLAGSERQSKTGATGDRLQEANKINLSLSALGNVISALVDGKSKHIPYRDSKLTRLLQ DSLGGNTKTVMIANCGPADYNYEETLTTLRYASRAKQIKNKPKINEDPKDAMIREFQE EIDALKAKLASFANGNTTGGDGGGTATTMCMLQAPPTVEVVEKVVEKVIVEKGISEEE AQKIADKAKQEIGAVRKQAAEELSAAVKDNALAEHNKREMELKLEMEQKQQEEMVKQQ RALLVKLESMEAKLLVGGEIMNKAAQQEDELRKTKLELEERKHQELKLARELAEREEA NLMKEEKYQSLQEEAEAKTKKLKKVFGKVKEVLADIKQMEKAHGRVCISSYFAWRRCI EVILLSYRNAKICSIRSENSRRSSSSRPSCSSTLCREKPRRHSKMLRTGTTRTTTTAS TMWNYQAMHFARDNALRRLVASDVQKLPRKSPARSTCPMRKSMGASRLKCTWSGTNTW CTHPMTKATGTR H257_05216 MDGYNGTIFAYGQTGAGKSHTMEGYPDPPDQRGIIPNSFKHIFD KVAITKKKQVLVRASYLEIYNEEIRDLLSKDPKASLDLKENVDSGVYVKNLTAQVVKD AAEIDAVMQQGKKNRSVGATMMNQTSSRSHSLFTIVVECLSDGIDGKDHVCVGKLNLV DLAGSERQSKTGATGDRLQEANKINLSLSALGNVISALVDGKSKHIPYRDSKLTRLLQ DSLGGNTKTVMIANCGPADYNYEETLTTLRYASRAKQIKNKPKINEDPKDAMIREFQE EIDALKAKLASFANGNTTGGDGGGTATTMCMLQAPPTVEVVEKVVEKVIVEKGISEEE AQKIADKAKQEIGAVRKQAAEELSAAVKDNALAEHNKREMELKLEMEQKQQEEMVKQQ RALLVKLESMEAKLLVGGEIMNKAAQQEDELRKTKLELEERKHQELKLARELAEREEA NLMKEEKYQSLQEEAEAKTKKLKKVFGKVKEVLADIKQMEKAHGRVCISSYFAWRRCI EVILLSYRNAKICSIRSENSRRSSSSRPSCSSTLCREKPRRHSKMLRTGTTRTTTTAS TMWNYQAMHFARDNALRRLVASDVQKLPRKSPARYPDVWFWRHL H257_05217 MSLDPDATRRTTAQVHPNRTLTKAERALAVALKSVLVCFIRYPS KPHQRGWAVMVNRDEPLVRGVWGFLDGKNYRVKAPTAPDLQNATIVWGRHKFVGSWND VDTSSPLRAKLLDEMLTLRGHGVVSDSAFPVSRSMLGKIRTPLKDGDLDCASPECRAG LSHMSATLTSVQQAAEWGMGTTEKSPLYRH H257_05218 MTLSSQKKVSRGRGWTEPEVQSMLDVIEDQLPMGPAQWDAVQES YMRIQPADQGAPPRDVDSIRRKFKTLRSARKPTGDPLYPPAVSRAKRIQRAIEPFMGV RDLECPDELGIMDEGEAMDEAESDVETKESSTTTSVVATQRTGLTAAELAVLGKASGS EPILSHKAQRRHKIDDILAAIAENQEAKRRRSENNPWLELFVHCTNGETR H257_05219 MSLLDEFPHLQTVVDSKLLRVRKILQNVCAKKGGGVVVNQAAAC IKDYINQMLMYIYKSATSCSDYQDASLLALLWYLLGWASDLAIVRKNNVSIDAGGVFF LRFVRVKMSEEQGLSIFPDNDFLKCLLLAKALATICQGGPYANDIDKLLTQSSSSASS LSPTTALIEVLRNHGVIEFDKEESTTKSTPTIHSHVNRILGRIGEPFGVEQKLSSHFF RLGGAQRANGSEHLTARWIFDRRAWNISATNKGFNYIFNTPSEDQKVAKHLSGWTTSE AVPLIFLDIFDAQSRDRIRDIQTHLFAACYGLDGPTLNLNRRVVDTLTAYLFRHYLRL KELNAHGPAVLRLEACTRLAGCCEIDLLAWSSHLTTAPTNQQSPERLQQHPTSTSETA EQGLIRHQAALIESFIEVGWRQEERLQALDAKLEGRGDYKKRESVSLKTTDTIPATKK HHQALRLPQQHHSFDMNCMTGPHPRDEETSSEIASSPS H257_05220 MLDEDQALLVQVAADVPIVAEKGQVTPAWQVQHRFLALVDEHRI FDAASVKLSGVDEEETDKHVLLDDLVVLLDDANWIKGGSWFEKLPRRP H257_05220 MLDEDQALLVQVAADVPIVAEKGQVTPAWQVQHRFLALVDEHRI FDAASVKLSGVDEEETDKHVLLDDLVVLLDDANWIKGGSWFEKLPRRP H257_05221 MGPIQAAPTSSISRMTRCSMSKPGYQHEVQKTSFCAGTKRNDIF SMSSNIFLLFWCVASSM H257_05222 MVRTTFTSMLERLHAQSASDWIFLEESLTDFGPEADEATDYPHP IMDKAIEDSGPQGFRVLTYFSPHEFETIWSVIESSLSSRWNDGSGRKSTTEGCLLCYD GYLKHYLTWEKHALDFGMKAPTLEKVVMRVINTVQPVLYDHFVTMPKLRNKACLFRNY PYAKYATNEKLQPSNHPTGPFGEQKHYFSRKQKLYGLMIEASVSPEGLLVDMSAHEPG SVSDITMFRDRHDIHAAALLKDAAESSINNNGELFQDYPVSWAVLIDKGYNGLTASAR AIHPKKRPSNGTVDRHDLERNVNVLSDRVINYDSIQRLTFVLTNFQLTLMPLRQPRRS ASVLRSPGTLPSHGGRKHRQACSHPASLHCSSR H257_05223 MTHASFSEMRAASQGTTGGGSISSSSSGSGSGSSGKFPLPADYF PAPHLSANEADFFNSVARKSCTKVIYYARRTNGPVTWIPISNSSSTSPHVQVFSGKKP GEASTVAYFCAVTQLQASLDDVARVFRTETTDKYREHAKTFAPDYLDCASLTNLVLPT ETTPLHYIGVKWAAVESPTIFIKPRDFCFLECQDEFVDRRTGRRGWVHSMHSVSWGQV CPPMDKSHGLVRGSIYRSGYVFIESDRPNCLEVVHVLQVDMKGSVPSWVMSSIMKRRV MEVGRLQHYFRRVKPFSPSMLALTDKPKKSYALHCHACRTPVFSQRHHCRTCGHVTCK KCSADVVVDVVDVGRTNMRVCVECTSQTRDSAQHVEASATADEFWDDEPRAFKTDRGP RKQGRFQSADWFLSHRAALMANPFRPSVSLSCDAADSALMSDVADASAEVHDEAMPGT TKFPDHYMRPSVSRRGPPLNQRVDGGGAAALQRSRQTRENHRLGESFGIEHFNPEDIM FHSLDRPSSNIHMGHRTASAVRRDEWTFNSLLAVRPSSQYGMSYGSSSRLSDMSDLSM DAHSYQDGDYFAPMQMLEEGEFESSSMVGMDVPSTPKPQPQQQPPPQPQPQAQPQQQP PPNSNSMIANQAQGLHHHHGGGQRRGSCSTSSSVATTSATPISTRQSTATWADEDLAR ERVAATRSSRGGGGKLLTPQPHPSQMHPHRHKNPTTRSHHAAYSLVSESLVCPTVHDR SLPPTPHAARPPAAAAVVVVPPPPSSHATATIPTSSCMGRMESGGKSQTAMHAGAARS GAVVEYSIKGAELDKLDALAATLAERLMRVDSMDKDTIRSTLAEVLHATPRRGEVAVV VGDGVSNTN H257_05224 MPLHKQREALKQAARILRGSHAASLAYVPPMEETASKAAEASDD DDDDGGPESPIVGAVTLLRKLHQQCADHERKEASIGLYDVEVLLHAFAELVDPETPVY AREAERSLHRTFSSNRDVFDDKVQGFINQNYSSTNEDSHATFRVALRRASLVQRCLKA FNGTRSRSISSDPRLVAVSSEEIVTSVPGPMPPDSPDAKHRMLGGRGSALNILIGVDM EALVKKELAKISTWNFNVLELCKLHLDDVLLIVGCACFDAENMCAHFAIPTPTLRAFF QGIQAKYLSNPYHNAEHAADVMQCVHHFLTLGGLGTIISKRGRFAALVAAACHDVGHT GYSNNFHINTNDHLAIRYAYRSPLENMHCAVAFELLHSRECDILATLSDVERIEVRNL IIDMVLATDNKNHSIYMGRLDGVLQSVDGDDNDIDLSNFDDQKLLLQVALHAADVSNP VKPWAVYQTWTDRIMTEFYAQGDHERRLALPMSFGCDRHNPIPQAKMQAGFILGIVRP VFHTLSRVPKVHLKHCLDQLDRNLKVWQDQLAPTA H257_05224 MRLLSSSTLRPPCMHERPNDLSTARLVRIGTCLTTKYKGLSTKT TRARTKTRTRRSAWHCVEPALSSDALKRSTALDLGPSRRTRDCNNTTFHQDVIELNNA YASPGSVAVSSEEIVTSVPGPMPPDSPDAKHRMLGGRGSALNILIGVDMEALVKKELA KISTWNFNVLELCKLHLDDVLLIVGCACFDAENMCAHFAIPTPTLRAFFQGIQAKYLS NPYHNAEHAADVMQCVHHFLTLGGLGTIISKRGRFAALVAAACHDVGHTGYSNNFHIN TNDHLAIRYAYRSPLENMHCAVAFELLHSRECDILATLSDVERIEVRNLIIDMVLATD NKNHSIYMGRLDGVLQSVDGDDNDIDLSNFDDQKLLLQVALHAADVSNPVKPWAVYQT WTDRIMTEFYAQGDHERRLALPMSFGCDRHNPIPQAKMQAGFILGIVRPVFHTLSRVP KVHLKHCLDQLDRNLKVWQDQLAPTA H257_05224 MPRHRRTKTRTRRSAWHCVEPALSSDALKRSTALDLGPSRRTRD CNNTTFHQDVIELNNAYASPGSVAVSSEEIVTSVPGPMPPDSPDAKHRMLGGRGSALN ILIGVDMEALVKKELAKISTWNFNVLELCKLHLDDVLLIVGCACFDAENMCAHFAIPT PTLRAFFQGIQAKYLSNPYHNAEHAADVMQCVHHFLTLGGLGTIISKRGRFAALVAAA CHDVGHTGYSNNFHINTNDHLAIRYAYRSPLENMHCAVAFELLHSRECDILATLSDVE RIEVRNLIIDMVLATDNKNHSIYMGRLDGVLQSVDGDDNDIDLSNFDDQKLLLQVALH AADVSNPVKPWAVYQTWTDRIMTEFYAQGDHERRLALPMSFGCDRHNPIPQAKMQAGF ILGIVRPVFHTLSRVPKVHLKHCLDQLDRNLKVWQDQLAPTA H257_05225 MASLDPLVQRVLSQQRQLNRQADMYDWNARREDIVDDDDMMPSQ MIRETVEELDDDTIFDAMRIMYHSEALMDLLDLVKGTFRGSTRGLEIVNDPVRLEVRR RTLVLELLKIMMDSHVKQRKGHTVFCTQLVDILRREIDILDMPSLPLLIEHILHSIEQ AYRNQEETATCVPIPLPTVELLPHLFGRMMYFAKVPLPSTIVSNWDFSDGDPWTGAFY VNKALERLTTARWPQRLVCQLVDVFREMELTPVQHHRMCAKFIAQVDVEMTAAADDDT QQPDFAMLPGLLYHLQLFVQHTPPAVKRDVLQCWIQYMLRLATEANRVEAHRRLFANL QFGAQELRAFQATMLYQLDKLVLQDESLGGLLLELLQPSKGWTSVHVALMLLLHVHAK FAPAIDKLCVHAFATMPDQDAALSMFLDVIASSAQGQVESLVMSAVAVGFVCLTSSPP SCLKQSSSPSVVVDLGIQVLRHAFHQHPFVRTSILDRLTTALRQHVSSSSSIDKINNL VVPIHVFILAPVKLLATLVDTCLLDLDAPLLDRVRDLIDHLPAFPLHVATPILAALGP LLRQKIPLRNALVLTMRKAMFKRDVAPRTVGVVGLCAVLECAMHANNARPIYLTQRRT STTTPAILFSASQQGGLQMSQSILDSHDVDQGNVVSVFRQFASLFRKALTCQRQVRQV LYRQCKTMTKQCPALRGVVLDLLGPHLQTYVEPNEALSPPLYLTEVDDVPLLLDAVVA CEQGDAVGSVLERLKHVDMEDFELDKTCDAVVHGSPTHQRANDVVALCDVVLNFIWEQ DSNGPVLSLEQRMDSLKLMEVRHKVKLLIQSNQLPHHQKAGRSSKALDKMHGKPPPHL VSYNHEIHHNNSPTLLEPCYVVHHRSLLIVLESMTRQIDSNLNQPTAYQCILELCDTF MRHWLAEKALLWLHPKAHTVLFRMSRRMQVEFLTTLAALLWKASEELFVEQVVVAQPN IPSNKAKQRSLAKSTSPVALSLHSVAYSVLAMVFDAAAGTVSHVDAVLCAMMAAPSTD HPRREEVIAMHGLALQKKVFGLIRTNHLVEAHGLLDLLIRHVFPSYSVDMTERAQKWM EAVCSTQPALATHMPIVTTFVSSLLKSPTTWLRMANAIHRFLSPDNHENDEEGEEEKA ENDDNRSLACLTLQTVAPITTLLVQVLEQGMADVDRELKGSHHIHHHLRGPSSSSLST DGWEDATVFNQLTLVAHACAPLMSTSYPTQTLTARVIRCILRLYKLSTAVLQRQLRLK VHTVQPSIRAFLDASSRQLTPLVLQFIACNHEDNKRKATAAAAALSKQRKGRQDAKLI PDLIFQVEQYDVVIIKLSKLCKSVNFSRWCVRRQARDFKLNMDTVSRLLPGDHDDEEQ DNDPPHNDTNNHRNQHQVRLQHASHMRASAETRQTAAMDDMEHDEVAEDNDVQDDMDM PSSGDESDDVPATARQEEEEDDEMASRHVKRRRRVVEEED H257_05225 MASLDPLVQRVLSQQRQLNRQADMYDWNARREDIVDDDDMMPSQ MIRETVEELDDDTIFDAMRIMYHSEALMDLLDLVKGTFRGSTRGLEIVNDPVRLEVRR RTLVLELLKIMMDSHVKQRKGHTVFCTQLVDILRREIDILDMPSLPLLIEHILHSIEQ AYRNQEETATCVPIPLPTVELLPHLFGRMMYFAKVPLPSTIVSNWDFSDGDPWTGAFY VNKALERLTTARWPQRLVCQLVDVFREMELTPVQHHRMCAKFIAQVDVEMTAAADDDT QQPDFAMLPGLLYHLQLFVQHTPPAVKRDVLQCWIQYMLRLATEANRVEAHRRLFANL QFGAQELRAFQATMLYQLDKLVLQDESLGGLLLELLQPSKGWTSVHVALMLLLHVHAK FAPAIDKLCVHAFATMPDQDAALSMFLDVIASSAQGQVESLVMSAVAVGFVCLTSSPP SCLKQSSSPSVVVDLGIQVLRHAFHQHPFVRTSILDRLTTALRQHVSSSSSIDKINNL VVPIHVFILAPVKLLATLVDTCLLDLDAPLLDRVRDLIDHLPAFPLHVATPILAALGP LLRQKIPLRNALVLTMRKAMFKRDVAPRTVGVVGLCAVLECAMHANNARPIYLTQRRT STTTPAILFSASQQGGLQMSQSILDSHDVDQGNVVSVFRQFASLFRKALTCQRQVRQV LYRQCKTMTKQCPALRGVVLDLLGPHLQTYVEPNEALSPPLYLTEVDDVPLLLDAVVA CEQGDAVGSVLERLKHVDMEDFELDKTCDAVVHGSPTHQRANDVVALCDVVLNFIWEQ DSNGPVLSLEQRMDSLKLMEVRHKVKLLIQSNQLPHHQKAGRSSKALDKMHGKPPPHL VSYNHEIHHNNSPTLLEPCYVVHHRSLLIVLESMTRQIDSNLNQPTAYQCILELCDTF MRHWLAEKALLWLHPKAHTVLFRMSRRMQVEFLTTLAALLWKASEELFVEQVVVAQPN IPSNKAKQRSLAKSTSPVALSLHSVAYSVLAMVFDAAAGTVSHVDAVLCAMMAAPSTD HPRREEVIAMHGLALQKKVFGLIRTNHLVEAHGLLDLLIRHVFPSYSVDMTERAQKWM EAVCSTQPALATHMPIVTTFVSSLLKSPTTWLRMANAIHRFLSPDNHENDEEGEEEKA ENDDNRSLACLTLQTVAPITTLLVQVLEQGMADVDRELKGSHHIHHHLRGPSSSSLST DGWEDATVFNQLTLVAHACAPLMSTSYPTQTLTARVIRCILRLYKLSTAVLQRQLRLK VHTVQPSIRAFLDASSRQLTPLVLQFIACNHEDNKRKATAAAAALSKQRKGRQDAKLI PDLIFQVEQYDVVIIKLSKLCKVRPCPCIR H257_05226 MGNSDSKSKFRESVYKLSNEEVASRQLEFWDKLWKIPTTAEEIF TLIQPDDIRMLRQNQQGNLSTMLTQAISQLHQIVETPLPKYYPQAVNCIRVLTRVMPF ILEDRSDEFVQQLLWGPPPNVSTTTDASDGDTSAVPMSLAQKLVDATLGLLFLPDFTV SAGAYKAYRDQLSASANNNVVYPPLLWYNGVGYPDVSAVASVQFDKNRKEVLKLLLTC FSSILYHTADSCEAYVDPFLAFATRKNSRFAPTLFYSLVNVTLLYDPVGWGVPYAGSI VADERETLVDVSIQVLLVLLDFGAPATNVAPEDDSIAEFDSNNQYRALLSSIQREEDF ELLFNGLAKLLNNYHQAMNTLLPNSIKQIKCHQELLVLLWKLLDENKAFLQYTLKRAD MNRIVVPLLFLMYEGRKEPSKVGMIHICTFILLLLSGERDFAVNLNKPFELKLPLDLP PFHGNHADLLIVCLHKVIVNGYEKLNSVYNCFLTIVSNISPYVKKLNMVSSVRLLRLF KLFSQPRYLFDNDANHHLIFFLLDTFNNLIQYQYEGNEQLVYAMVQNKDLFQKLNALA MPAASAHIAPTTATPKAQDSLDEVDVVPSVAALTALTDVSGDDVAAPPVVVPVKFTPT DEWLQGWKKKLPINTSLRLLQYLVPQLEDACEVASGGSLDEAAILVFLRSTTMVGLLP VPHAIVIRKYQTNQFTNLWFTTFTWGVIFLRNQVFPLFDGASITLFTINVL H257_05226 MGNSDSKSKFRESVYKLSNEEVASRQLEFWDKLWKIPTTAEEIF TLIQPDDIRMLRQNQQGNLSTMLTQAISQLHQIVETPLPKYYPQAVNCIRVLTRVMPF ILEDRSDEFVQQLLWGPPPNVSTTTDASDGDTSAVPMSLAQKLVDATLGLLFLPDFTV SAGAYKAYRDQLSASANNNVVYPPLLWYNGVGYPDVSAVASVQFDKNRKEVLKLLLTC FSSILYHTADSCEAYVDPFLAFATRKNSRFAPTLFYSLVNVTLLYDPVGWGVPYAGSI VADERETLVDVSIQVLLVLLDFGAPATNVAPEDDSIAEFDSNNQYRALLSSIQREEDF ELLFNGLAKLLNNYHQAMNTLLPNSIKQIKCHQELLVLLWKLLDENKAFLQYTLKRAD MNRIVVPLLFLMYEGRKEPSKVGMIHICTFILLLLSGERDFAVNLNKPFELKLPLDLP PFHGNHADLLIVCLHKVIVNGYEKLNSVYNCFLTIVSNISPYVKKLNMVSSVRLLRLF KLFSQPRYLFDNDANHHLIFFLLDTFNNLIQYQYEGNEQLVYAMVQNKDLFQKLNALA MPAASAHIAPTTATPKAQDSLDEVDVVPSVAALTALTDVSGDDVAAPPVVVPVKFTPT DEWLQGWKKKLPINTSLRLLQYLVPQLEDACEVASGGSLDEAAILVFLRSTTMVSISL H257_05227 MVCNSADVDALRSAVASLGIPHIHVKQDCSPLRLSRNARNAVAA AYEYLQIGAVVVSPYLLTSLKCLDDHGGNAVVAGSVMATWAASLVAGSMVPTRTSSPR LVLIAATAPLGLTALVNQRHQSKIMMESSILLDATTTTKRYAFKTKVSALAAVVATCM VVSFVVVHKVYLPALATTTGNVVASSYARVPALADPETSAVAFSFLAIGDWGGTLGKT KGIPGTCCKLSHRLDESPFKVDSFAQEYVAALLAIHGDNFYWSGVGTADVQYRLEQSF ENVYSAPSLRAIPWLNVAGNHDLGGNSFICGDADGHFRECSVDELVEFLDIRFQAQAK YTSPHHNRWVLRDHYYVERVARDGVSVDIFNLDTNHAVQHGVQDVCCQCFGYEAKFGL NQTEVCDDVMAGDLGCAGGNREMLDACVDKIEAWAKDSYA H257_05228 MVDMKASTATFKVVNTHYSPHYHMNSSQMMQWYTLCREAGVTVW FNSHTHSFNHDIATWGTHFFENGGGGYWTRNLPGMNKGLVKKLAASAIATFDDQWTFG GMDMDATVVGGLLRGYCWFIPRMFQAHGGVECRASVNVAMGAHMED H257_05229 MMQSLSVKKKNESVGLLEPKHTHATWVAPSTLAKVGLVSVSACA GWIFLASSSASPSSSSLRIDPASSRASFEVDGAMSLRVSRTHLVNPETSVPEVTFLAI GDWGGTLGKDKGDPGSCCQLYNGGVDTRHTRYKVDYYAQAYVAELMAQSAAELHPSRI LGHGDNFYWNGVGTGDANYRLEQTFEKVYNASTLQNVPWLNVAGNHDIGGATFICGEA DGAYRECKDEAELLAYLDIRFEAQANYTSPYNNRWNLRGHYYVERIVKNDVSVEVYNV DTNHAENHGSKDVCCQCYGYASQLGLDTGVCNDPQPGDVACVGGNVTLFNACVAKIES WANESLTRAMADMKASTATFKIVNTHYSPHYHMDPVKMDKWYNLCREAGVAAWFNGHT HGFNHDIAKWGTHFFQNGGGGGISTSNIPAMDNGKVKTKWVVEGNPYGFMELSFSKDW LKVQFVSFDDQWTFGGLEWNATTIGGLERGHCWFVPRTFRESVGVECHASVNGAVGAP ITDDDLLLPPLDN H257_05230 MVSAKERKKNIVERKLRDLSTISGRYQSSFVHRNVHDKSRHPRD MVYGRPWESLFMRKSRRERRNLVKRPWDYPMGAQHLAAIVIQKRVRGLLARLSLQGLL RSRKKKMKMGLMHRFIALHNGNFEDKEHFRHFCASRLQAWYKMKNVRWRYHLDRHPMY HIAAMQIQYKWRMFFQRTLVHGDVTPRERAVIRIQAAWRCYTNRRIYRYYRDLINFRN SGDPMVMLRAINPSEASLLDASTNAQVRFRLGGSAFPPTIYYKIFTRGAVCDMNAFSP KDYTTARQIGPRNVNIRPSRPGVGVTKIIRVGNAYYGATQCGTNTGGWYRRWDNNGWR PVTSKVIAPTTDIDPITVATANRPRAYHHLRVVRQQQVIRQRKEKKRQWMKQLYMDHL QGKASDNNNTDIVAAAEPAIDFDSPDWEAQATDMFNWADKLDFDDYVANWTTLGTTTT VQDELPLVT H257_05230 MTSHDIPEIWYMADRGNLSLCNLVKRPWDYPMGAQHLAAIVIQK RVRGLLARLSLQGLLRSRKKKMKMGLMHRFIALHNGNFEDKEHFRHFCASRLQAWYKM KNVRWRYHLDRHPMYHIAAMQIQYKWRMFFQRTLVHGDVTPRERAVIRIQAAWRCYTN RRIYRYYRDLINFRNSGDPMVMLRAINPSEASLLDASTNAQVRFRLGGSAFPPTIYYK IFTRGAVCDMNAFSPKDYTTARQIGPRNVNIRPSRPGVGVTKIIRVGNAYYGATQCGT NTGGWYRRWDNNGWRPVTSKVIAPTTDIDPITVATANRPRAYHHLRVVRQQQVIRQRK EKKRQWMKQLYMDHLQGKASDNNNTDIVAAAEPAIDFDSPDWEAQATDMFNWADKLDF DDYVANWTTLGTTTTVQDELPLVT H257_05231 MRMFCKLPLKFLGPLPLLKLVGAVAAAFGSCINIQTSVFGVYDY GLPPLPSNWALVDMTCLEFLMQNSLLVLEDFTRFVIQQQGYVSLDVASAFYMLWWVHP EMVANSKGKPWVFLLSQDTTPIYITVYRGGMSDVVMAITSSWRWT H257_05232 MWPDTSEATSPPSHALVDLVENVGEGPCEVDVIRTPNTSHAIPA HLRHILWPDTGEAAAPLPSHAIPAHLRHILWPDAGEALPQSPPSHVLADLVENAESGS LESIFTTTWRSTLAKQQLFPSYAYDKLVATLWTTQGGRMGT H257_05234 MVARGRSHSFFKTHWNAHTMLVHRILVAAAGLLAACHFVPQATA QVINMRRHERIVIVGGGPAGVHYATLLVKKGFTNITILEQSTEVGGKSKTVVDPLGIP HELGTCYATSLYQPVFDLLKEYDPTNTLVPFVPFIKGHTYVNRDAMPIMDYKAYVAQL VHRLMGPVKERELVREIERAFVSYSSIHTSIFGVYDYGLPPQPSDWSRIDMSGLEFLR RNKLLALEGFFRFVFQQQGYGTLDTAPAFYMLWWIHPDLLRKRKEADAKRQPWAFMLS KGYQSLWKAMVAKYEGQIDVQLDTKVVQIARTLSPIYVTVRRSNVLDIVRADHLVMAT DLSHMVTLPSDLLANDISLTKDLTSSSFVVSLFESDARKDESVSQWWPNRGVGELEGR LQLTRNSRLSLYNPLPAHGSQSDPAPTNWGVNATGRQTRVAYQFYNQRYPYSPTAVKT QLLADLESASFTNAVVQKQIIHNYFPRYNLTQLQQGLPWKIWDSQGSIRTTWIGSSVS FESVLDVVVYNNKLIDRVNIT H257_05235 MAIKAVPHEGRQTLRSTAALSGIPKTTILRHMKEPHGLASRTSQ LKPLLTDDNMLERLRFANGYGSQSVSFSLGYEETTPSSTCMWFFITKVKRRFYLYDEE MAERAAKSKKFITKVMFLAAVACPRYDGKIGIWPFVEEVAAVRSSKNRPKGTLELTTQ SVNADVYQDMVMNEVVPAIQVKMPRGVVVKLQQDNASPHRCVMTELIARHGVDSIEVA NQPPNSPDFNVLDLGFFNSIQSLQQQKVARSIGELIAAVEEAFYELPVTILGKTFITL QKLWMKPKVVTKPYVGPERPGGRLRKRGRTRARI H257_05236 MGRGLRQPTTSQPTTSNSTETADDYVTAQRIVVPETPALCKLMF SYASVKRSLNGYELVPRKYNSVSTTNRVKSAVVFLHREAKVELSTELNAMMKEYVSGY KRKFAQLKESGESPTLLFGYNEKERFSSWLTKTCAANEDDIAGFHRTLSNLHHSHPLL LPPVWLSGSLALLASEVLGGTLHNPVSKLTATGIPPHIPLYNQLRALESNLGALANRV DEGFNAIPHQLRIVMDATISSTTSVTMDQLHTLTVPDKNAVFEASFASLYGRLFASAG AAPLGNRRIIEMSYHTVYDAINKQ H257_05237 MKTTTPDTTNHVFLVSSVVVIAASSAVVVSAGVVVASIILDLHI TLRWPRRRSRACAEGAQRLVDFSAHLLHIVRLLDVTVRDAVGVLGPGQADKPDGKMRS LTSSIWRRACYRLLSQWTFELPVARLNDLQSITLKE H257_05238 MRPLAMLLVGLASLLVALPAIVTASPTTIHMGRHDRIVIVGGGP AGVHYATLLAKKGFTKITIMEASGEIGGKSNTITDPLGYPHEMGTCYATSLYQPVFHL LKEYDPTNRLVPFLPGVKGHTWVNRDAIPTGDYNAYVLQLAAQVVGPLPVQQLIAAVN DGFARYIDVHTSIFGVYDYGLPPQPTNNWARVSMSGLDFLKQNNLLVLEGFFRFVFQQ QGYGPLDTAPAFYMLWWVHPEMIRQRQIADSQGKPWTFILSKGYQSLWKAMVNAYPNQ IKVMYNAQVFHIMREEAYVYIALFKNGEPDVIFADHIVYAVDLSRNAPIPTDMYGIEK PLFGAEFASSAFVVTLFDSDAHQNESVSQWWPMRGVGRTEGRLQLTRNSRLAIFNPMP AHGSPADPVPTDWGVNATGRQRRVAYQFYNRGVRSSDAATSQRQLLADLNEAKFSNPK LLGQTVHNYFPRYNITQLQQGLVWRVWNNQGMYRTTWIGSSVSFESVLDVVVYNNRLI QRVHMT H257_05239 MTTSSSAPTSGQASVLAGIVAGCVTRTCTSPLDVLKILFQLDKS TQKRRGASSMVDTCRHIYGTNGFRGFWKGNFAGCCRLGPYAGVKFFVFESLVHGSPPE ILSTAHSQRAFCGACAGMMATVAAYPLEVVRTRIILQSLKPSESTGILQDFSTLVKAE GLRGLYRGLGPGLLGAIPFEGTQFACFESAKVYMTTHRWPAWRWHDDKQSLETLDYLV VGCLAGAMAQVVAYPFDTIKKRLQAQAFGEGGQRQKYRGMADCLVQVVGNEGVPALYR GTLPNLLRVAPHTAIMFTTYELTKNFLLSEEPAMLRKRYNELIHRYLE H257_05240 MQQTMNTNNQQGIILATTPNCCPAGTRKGWQSVAAGVIAGCVTR TTTSPLDVLKILFQVKSQGATTLQSTCRTLFQTRGLTGFWKGNLAGCCRLGPYAGVKF CLFDQLQSLAHGDNDAPTNVGRVTCGALAGIAATFIVYPMELLRTRLIVSPVPLTIRL EARRIVQADGLRGFYRGCTSGLVGVIPFEGIQFACYEYGKAYATTHRWPPCRWPQNKT QLQTVDHLALGSIAGAVAQVVAYPLDTIKKRLQLQGSGSNARYDGMVDCVAKVVREEG AMALYRGTVPNMVRLVPYAAVMFASYEAAKDFLKAL H257_05241 MNTNNQQGIILATTPNCCPAGTRKGWQSVAAGVIAGCVTRTTTS PLDVLKILFQVKSQGATTLQSTCRTLFQTRGLTGFWKGNLAGCCRLGPYAGVKFCLFD QLQSLAHGDNDAPTNVGRVTCGALAGIAATFIVYPMELLRTRLIVSPVPLTIRLEARR IVQADGLRGFYRGCTSGLVGVIPFEGIQFACYEYGKAYATTHRWPPCRWPQNKTQLQT VDHLALGSIAGAVAQVVAYPLDTIKKRLQLQGSGSNARYDGMVDCVAKVVREEGAMAL YRGTVPNMVRLVPYAAVMFASYEAAKDFLKAL H257_05242 MAASHRVLGHPDVFPLIAEFQQGLYMDILEYWRKVNQDGGGGFW ASLDLPLHAIDRRLLGSPTQARYQLLVLVAANQMHLVRRLLRCRPHYLTQPDAPAVMD VAAAFGRIDLVRLFHTLSFAPSLCSTKALDLAAKYGYMDVVRFLHHHRQEGCTEAAMV GAATHGHLEVVRFLHDHRAEGCTADAMDYASTNGHLDVVAFLVACRHEGCSTWAMDTA AGAGRMDVVRFLHFHVKSACTINAMNASAANGHLEIVKFLHHHRTEGCTQRAMDMAAK NGHLPVVQFLHEHRREGCTEFALHSAAQNGHLGTVKFLARHRNEGNVVKAMGVAKLHG HVQCVAVLQCAA H257_05243 MASRVSSLENSAINLSVFREDARRELFGILDSLRDKERSNLSLV LDPELSGLVAQVLVEGAGVLKDHGIVQFKELTVDIGPGPPSGCDVMVFIVRPSVAAVH QVATTIKALAGKVKLRFHLYYAPKRTLACDEMLKKAGVMGSLVIGEFPMDLVPVEEDI LSLELSDGFNDLFVHNDRSSLHTVAGSVNKLQSLFGLIPNVKYKGSMSQVVVESMALF QKKRQAEGHGVGSVEPEIDTLILLDRTVDLVSPLVTPFTYEGLLDEIIGITNGVVKVD AELVEDDSDKAKKQPAAAGLVPVNLNSTDALYAEVRDYHTERLGAHLQNKAREIRERY EEFRKKNASISEIRDFVKRIPGLKQSYAALQLHINFAELIKRTTDSKAFTDLWHHERS MLEGQSLLEELEELIGCQEPLLKVLRLLCLQSLTTGGIKAKSFDLLRRELIQTYGFEM LLILGNLEKVGLLRRQDSLFPVATSSAFLTVRKSLRLINDNVNVLNPKDIAYVTKGYA PLSVRLVEIAATNKMTHTTGWKTIQDTMKQLPGPSEEFSQAPPVAEAPGATTDKKDKG FGADERKVMVVFFVGGVTFMEIAALRHLSKQPECPFDIVIATTKILNGNGLIKSIVDP ELVTALKL H257_05243 MASRVSSLENSAINLSVFREDARRELFGILDSLRDKERSNLSLV LDPELSGLVAQVLVEGAGVLKDHGIVQFKELTVDIGPGPPSGCDVMVFIVRPSVAAVH QVATTIKALAGKVKLRFHLYYAPKRTLACDEMLKKAGVMGSLVIGEFPMDLVPVEEDI LSLELSDGFNDLFVHNDRSSLHTVAGSVNKLQSLFGLIPNVKYKGSMSQVVVESMALF QKKRQAEGHGVGSVEPEIDTLILLDRTVDLVSPLVTPFTYEGLLDEIIGITNGVVKVD AELVEDDSDKAKKQPAAAGLVPVNLNSTDALYAEVRDYHTERLGAHLQNKAREIRERY EEFRKKNASISEIRDFVKRIPGLKQSYAALQLHINFAELIKRTTDSKAFTDLWHHERS MLEGQSLLEELEELIGCQEPLLKVLRLLCLQSLTTGGIKAKSFDLLRRELIQTYGFEM LLILGNLEKVGLLRRQDSLFPVATSSAFLTVRKSLRLINDNVNVLNPKDIAYVTKGYA PLSVRLVEIAATNKMTHTTGWKTIQDTMKQLPGPSEEFSQAPPVAEAPGTTRHAKWDM H257_05243 MASRVSSLENSAINLSVFREDARRELFGILDSLRDKERSNLSLV LDPELSGLVAQVLVEGAGVLKDHGIVQFKELTVDIGPGPPSGCDVMVFIVRPSVAAVH QVATTIKALAGKVKLRFHLYYAPKRTLACDEMLKKAGVMGSLVIGEFPMDLVPVEEDI LSLELSDGFNDLFVHNDRSSLHTVAGSVNKLQSLFGLIPNVKYKGSMSQVVVESMALF QKKRQAEGHGVGSVEPEIDTLILLDRTVDLVSPLVTPFTYEGLLDEIIGITNGVVKVD AELVEDDSDKAKKQPAAAGLVPVNLNSTDALYAEVRDYHTERLGAHLQNKAREIRERY EEFRKKNASISEIRDFVKRIPGLKQSYAALQLHINFAELIKRTTDSKAFTDLWHHERS MLEGQSLLEELEELIGCQEPLLKVLRLLCLQSLTTGGIKAKSFDLLRRELIQTYGFEM LLILGNLEKVGLLRRQDSLFPVATSSAFLTVRKSLRLINDNVNVLNPKDIAYVVVIDM FTHV H257_05243 MPVALAGKVKLRFHLYYAPKRTLACDEMLKKAGVMGSLVIGEFP MDLVPVEEDILSLELSDGFNDLFVHNDRSSLHTVAGSVNKLQSLFGLIPNVKYKGSMS QVVVESMALFQKKRQAEGHGVGSVEPEIDTLILLDRTVDLVSPLVTPFTYEGLLDEII GITNGVVKVDAELVEDDSDKAKKQPAAAGLVPVNLNSTDALYAEVRDYHTERLGAHLQ NKAREIRERYEEFRKKNASISEIRDFVKRIPGLKQSYAALQLHINFAELIKRTTDSKA FTDLWHHERSMLEGQSLLEELEELIGCQEPLLKVLRLLCLQSLTTGGIKAKSFDLLRR ELIQTYGFEMLLILGNLEKVGLLRRQDSLFPVATSSAFLTVRKSLRLINDNVNVLNPK DIAYVTKGYAPLSVRLVEIAATNKMTHTTGWKTIQDTMKQLPGPSEEFSQAPPVAEAP GATTDKKDKGFGADERKVMVVFFVGGVTFMEIAALRHLSKQPECPFDIVIATTKILNG NGLIKSIVDPELVTALKL H257_05243 MPVALAGKVKLRFHLYYAPKRTLACDEMLKKAGVMGSLVIGEFP MDLVPVEEDILSLELSDGFNDLFVHNDRSSLHTVAGSVNKLQSLFGLIPNVKYKGSMS QVVVESMALFQKKRQAEGHGVGSVEPEIDTLILLDRTVDLVSPLVTPFTYEGLLDEII GITNGVVKVDAELVEDDSDKAKKQPAAAGLVPVNLNSTDALYAEVRDYHTERLGAHLQ NKAREIRERYEEFRKKNASISEIRDFVKRIPGLKQSYAALQLHINFAELIKRTTDSKA FTDLWHHERSMLEGQSLLEELEELIGCQEPLLKVLRLLCLQSLTTGGIKAKSFDLLRR ELIQTYGFEMLLILGNLEKVGLLRRQDSLFPVATSSAFLTVRKSLRLINDNVNVLNPK DIAYVTKGYAPLSVRLVEIAATNKMTHTTGWKTIQDTMKQLPGPSEEFSQAPPVAEAP GTTRHAKWDM H257_05244 MTLPPLIATYAVLVYLSEKETPVAKSSKTEWTSLNLIAKNGSNG SLVVSTGSEATTSFKQVLDMGHATDKTSILKALSIPHLVLTTSIDICATLANAATVEC APPHALASRVLAFIASSKRSIVVLHLDLSEMNDALNECLVSLSTNVDSTCFVGIVKES TSRPPAHNPTDIPFPVPPQSWLKRNGQYETLSAASWLVYSFYLRHQSRQDTVATFNDA AIFSLGGYSSMQAHVALREISFRLGHVAKYGA H257_05244 MTLPPLIATYAVLVYLSEKETPVAKSSKTEWTSLNLIAKNGSNG SLVVSTGSEATTSFKQVLDMGHATDKTSILKALSIPHLVLTTSIDICATLANAATVEC APPHALASRVLAFIASSKRSIVVLHLDLSEMNVSLSTNVDSTCFVGIVKESTSRPPAH NPTDIPFPVPPQSWLKRNGQYETLSAASWLVYSFYLRHQSRQDTVATFNDAAIFSLGG YSSMQAHVALREISFRLGHVAKYGA H257_05245 MTTTNKTLATSAAISQINGVHSILAGVFAGCVTRSCTSPLDVMK ILFQVNHPTAHRSIHHACQQLYVSEGIRGFWKGNLAECFGFDPYAGVKFSIFDTLRSE SSAANAPHMEENLHGAIADMGASLAVYPMQVVRTQLALMLRPPLLHSVAFAGFVACEL DSFERHRALQTREAAPIEPPEALVCVNARQFRVNASKNRGVLHDDPVDHLIVGSWLGA IAQLVSYPFDSVKQRLEVDTTKRYTGMLDCVKQVVRGEGAMSLYRGTLPNMVRVVPYA AVMFASYEGTKEYLSASSWLVHSSRQDSVATFNDAAIFSHGGYSSMQAHVDLREFAF H257_05246 MTTTTNKTLATSAAISQINGVHSVLAGVFAGCVTRSCTSPLDVM KILFQVSHPTAHRSIHHACQQLYVSEGIRGFWKGNLAGCFRLGPYAGVKFCIFDTLQS KSSAANAPHMEKAVHGAIAGMGATLAVYPMEVVRTRIIMQDATAFRGIHAELARIYTN EGVRGLYRGCLSGLVGSIPFEGIQFACYEYSKSYAVQQRWPAWRWPEHKRQLNSVDHL IVGSWSGAIAQLVSYPFDSVKKRLQVDTTKRYTGMLDCVKQVVRDEGAMSLYRGTLPN MVRVVPYAAVMFASYEGAKEFLSTL H257_05247 MNTKQTTSLMTCATTHTRTWQSVTAGVFAGCVTRSCTSPLDVLK ILFQVNAMKGGGSIKATVVHLYHTRGPLGFWKGNFAGCCRLGPYSGVKFCLYDQLQAQ IVHDGNPTSMERAVCGAIAGMVATLAVYPMELIRTRLIVNIHHTSSISREVVSIVQNE GVRGLYRGCLSGLVGSIPFEGIQFAVYDYGKTYAVDNRWPSWRWPDTKTHLHTVDHFV LGSFAGAAAQLVSYPFDTVKKRLQMQTTTGMKYTGIIDCFEKVVHEEGTLGLYRGSVP NMIRLVPYAAVMFASYEAAKDFLQSL H257_05248 MSQSFLTVEDLKLSFSLFCCVYGIGTLSMPGNYAKVGYGWATAA LVFMAAVNIYGTLCISKVLLVAPKSVRTYSDLGEFCLGPVGRWLVLITHMLTCILVPI AFLVLGGIICTYMFPNSYEGETWIILMGLSLLPVCLIPTLKEGAFAAAAGAIGTVIAD FVALYLLVDNMSPIPAGVSTPSPDVNFDQIASVFGSLALAYGAGIVIPSLQREHSQPE RMPRVIFFTLGMISVLFMAVAISGVSVVGCQIPGNLLFSIAGTPTELGFTANRGGVIL ANLFMQLHVTIAFGIIINPAFYILERLLLGLHRHLDAIQEIEGGDFQANDTPNAEDKT IENSLNKHDSDEHDLDSKTYRQPGVYPKVAALRTVVVSGTVAVACLWKDRLLVLVDFT GASCIAMCCMVLPMVFYLKHFGKKVGKLEMVWAVFAISMSLFLGGYETYQKGKPLFNS PAKAKGPKAWDAVKFDFCPPGSSYTRIVYTNVSYHESFNRPSSV H257_05250 MPGNYAKVGYGWATAALVFMAAVNIYGTLCISKVLLVAPKSVRT YSDLGEFCLGPVGRWLVLITHMLTCILVPIAFLVLGGIICTIMFPNSYEGETWIILMG LSLLPVCLIPTLKEGAFAAAAGAIGTIIADFVALYLLVDNMSPIPAGVSTPSPDVNFD RIASVFGSLALAYGAGIVIPSLQREHSQPERMPRVIFFTLGMISVLFMAVAISGVSVV GCQIPGNLLFSIAGTPTKLGFTANRGGVILANLFMQLHVTIAFGIIINPAFYILERLL LGLHRHLDAIQEIEGGDFQANDTPNAEDKTIETSLNKHDSDEHDLDSKTYRQPGVYPK VAALRTVVISGTVAVACLWKDRLLDLVDFTGASCIAMCCMVLPMVFYLKHFGNKVGKL EKVWAVFAISMSLFLGGYETYQKGKPLFNPPAKAEGPEAWDAVKFNFCPPGSSYTRIV YTNVSYHANFNSPSSL H257_05251 MEQPKRRQERYTNAQRKVPFAEFSMFNDWRLKEAKTLSSTRHGR HATLGGQGHKELTPITDNILAYM H257_05252 MPSQSTTTPAKGLPSARTITKAVDALAAIDERRLSKRQRFSIES NDATAEDLDSASPVMDRYIAAKGVEVVHSLTNFSASELNTLWTNIKPFVTKNWNVGSG RKCPVTGKDMLFMTLVTLKHGGTWDILSASFDEGAATFSNRINQFIRVLHPYLVRKYI DEQGIKWTMQQLAVAGLQQLHPRCRSARRREKKTYFSNKHGLYGHKVWVSVAPNGLAI NVTDCAVGSTSDFEMFKANLGFHSTHLEKQPSDTNVSDNDALRDNFPSQWSVLADRGY QGIQEYVRGFTPLKRPPHGQLTMEQERANVKLSSDRVIVENFFGRLKTLWGLASDKYT WKKDEYNMYFQTCVALTNVHIRFNPLRNMDGEGYNQYKNRLLSIGSKIKTKNSSSKAK YRENRKARIQAVLGRAKTGYTSEDYNIGYEGGDDIFD H257_05253 MGGLTHPDPRLGVDREPVDQDPPSILPPTLGESQRRGFVCDTVR WLQQERKLASQRHEIPQPTVGVCDNVICAVPSLDKHRFGFKQCAHVVVIAVVRKRVVH GSPHMFWGGRDDLPAKFGGWNRDRCWSRRRVTGRGGMVFEWGGGW H257_05254 MTATMPQLRLQLHVHVRKFDISCDPHKPAAVLVAYARALSASHP PAIPLEGLFNKSRQCVVDLRDPIHMSIGPHDDVEAMSALLVPDESFTDHLPFACPTNN SDRRFLQQLRALDVMGQPLTRVASCQERAVKRDASRFVALMHLYFTTPFDDQGAFIPP PKALGKDVAPPPQRGLLEVEAHNSVRPPNAPSANSRLATEPVAVSLVSRKPRGDTSTV AVVVDAEFDVVFTQHAVGLKLAMDTHRKFPTVRECLPDLEASQYPTIVPGVVVLAVNG KSVVSIGLRRTLTALREAARPAVVRFGHLKPATVSTSRHDVL H257_05254 MCSRLHVHVRKFDISCDPHKPAAVLVAYARALSASHPPAIPLEG LFNKSRQCVVDLRDPIHMSIGPHDDVEAMSALLVPDESFTDHLPFACPTNNSDRRFLQ QLRALDVMGQPLTRVASCQERAVKRDASRFVALMHLYFTTPFDDQGAFIPPPKALGKD VAPPPQRGLLEVEAHNSVRPPNAPSANSRLATEPVAVSLVSRKPRGDTSTVAVVVDAE FDVVFTQHAVGLKLAMDTHRKFPTVRECLPDLEASQYPTIVPGVVVLAVNGKSVVSIG LRRTLTALREAARPAVVRFGHLKPATVSTSRHDVL H257_05254 MRSVDSHVCGLLLLVVDLRDPIHMSIGPHDDVEAMSALLVPDES FTDHLPFACPTNNSDRRFLQQLRALDVMGQPLTRVASCQERAVKRDASRFVALMHLYF TTPFDDQGAFIPPPKALGKDVAPPPQRGLLEVEAHNSVRPPNAPSANSRLATEPVAVS LVSRKPRGDTSTVAVVVDAEFDVVFTQHAVGLKLAMDTHRKFPTVRECLPDLEASQYP TIVPGVVVLAVNGKSVVSIGLRRTLTALREAARPAVVRFGHLKPATVSTSRHDVL H257_05255 MWVCHPVHLHSFVGAAELPPPPVTTSLFTKARQAVHCAICNDVV DTRKPTSPPTCSCVACKCVVHRRCLSRWHEQDTSTRHRETRLLLPLCSVADKVLHTPS LSSSPSNPTSDLHLLEHLHLDPTLPASPLHHPPHTVPPSSNLAYVYVQRYAPYVAGGI LLGGAAIFGMPAVALTGLGVGISGHTWTQRRQLPTTATNLASHRRPRAEDSDWARRIC WDLKQSSTGTDSSYKQDAALLRRYHNHKIDNPTSDDIYRLLYHLFASRDELVGRINSA LCDAFRTRANSSPTLPALVRDAQVYVGHVLAVTLTTYPALSSSEDAVVQATEAVERLV YSDIYPLVLNAFRRAYARHDATLIAHVREVQATRRASSTVNPQAIDSLARLSHVTYPY GKLQALGDTFRMMCSAAEAGLAIAPSADTLLPMTVDLIVDGCGVMLDFVAQMAFVSTL TKGGGRGMEGYALTTFHAALRALAAIDVQDYATSTTSSTSTTTPPQGEEEEADDEFFD AVEDLSR H257_05256 MSSLHLFLNIQSNQRHFFVAKPWRGWCLLVIVKFAMFRYYYHVP PTSLWDFVRPTYWHPMASLEQSLMDLDALERDSLLLPLHHPHHHHRHLHLRHSADDDD AFFKDLPHAKAPANNDATSPATTEPASNDPSHAATSASKPVYSNYSYSSSSVVDDKGR RVRSVRRRYEDANGRLKAVHEREVDGKTLVTTWNRATKDDAGTHDTICSDGAVDAFEA LWKDTPFAKAHQAGEALPPSDQTKSGDANEENPAVDTAQQPRDVAMS H257_05257 MSSLHLFLNIQSNQRHFFVAKPWRGWCLLVIVKFAMFRYYYHVP PTSLWDFVRPTYWHPMASLEQSLMDLDALERDSLLLPLHHPHHHHRHLHLRHSADDDD AFFKDLPHAKAPANNDATSPATTEPASNDPSHAATSASKPVYSNYSYSSSSVVDDKGR RVRSVRRRYEDANGRLKAVHEREVDGKTLVTTWNRATKDDAGTHDTICSDGAVDAFEA LWKDTPFAKAHQAGEALPPSDQTKSGDANEENPAVDTAQQPRDVAMS H257_05257 MSSLHLFLNIQSNQRHFFVAKPWRGWCLLVIVKFAMFRYYYHVP PTSLWDFVRPTYWHPMASLEQSLMDLDALERDSLLLPLHHPHHHHRHLHLRHSADDDD AFFKDLPHAKAPANNDATSPATTEPASNDPSHAATSASKPVYSNYSYSSSSVVDDKGR RVRSVRRRYEDANGRLKAVHEREVDGKTLVTTWNRATKDDAGTHDTICSDGAVDAFEA LWKDTPFAKAHQAGEALPPSDQTKSGDANEENPAVDTAQQPRDVAMS H257_05258 MGKPFLTVEDLKMSFSLFCCVYGIGTLGMPGNYARAGYFWATLA LVFMAAVNTYATVCLSKVMLEAPKNVRTMGDLGEFVLGCTGRWLMTITQIMTCILVPI AFLVLGGIMCTIMFPDSYHTTTWIIIMGLSLLPVTLIPTLKEGAGAAAAGAFGTIVAD AIALYLLVDNMHDLNTAGVATPKPDPGFKQVATTFGNLALAYGAGIVIPALQRDHSDP TRMPRIIYVTLGVISVCFMIVAITGVSAVGCQIPGNLLFAIAGSKNGFLANRGGVILA MLAMQLHVTIAFAVIMTPGFYILERVIFGLHKHNFTLEAEVETGYDKAETPGAAANKD AEPLAIAGEVEAHDRDAATYRQPGVYPKVAALRVVIVAAAVAIACAWEERLLDLLDFT GASCIAVSCMILPMVFYLKHFGNRVSVPERVWAYFAILATLFLAVYATVLAADPLFNP PTGPAPQPKWDNVKFSFCPAGSYQHITFTNVSYHKNFTSPLY H257_05259 MSSTVFVRNLAYGTTQQQLEELFGDIGPVKKVSVIKDKGRAKTD MATRGFAFVKFAMESDAKLAMEKLNNSDYGGRKLCLDLAKEKKGPKPLAHQKHPVADE QAAAHVATDATPASVAPVEDDEPSKKHKKAKTPKSHTTEDVADDVDDDATTSKKEKRK AKKLLKHEAAADNQVAESAATIHEEVLEQVADKPANKDKSKKNKKKKRNDNDDEESPK VESVAVPTKKRKLDDQGVQDDSAKKSKANAVAEEEEHVQSERNARRREHRELHRKMKE RQEASATTEEKSIAIYGLGSSITEKALKIKMKKVGDATKIEWKEDIVNGVTQQVAIVE WANVSTKAKALEKLDNHVFKGFTMVVRGLEGTTTGLAQKEGVRLIVRNLQFDVKDSDL EKLFGKHGPLAEVRVVRMPVDTTKEVASDDSKVVLGRSRGFGFVQFKNKADAQNSIEA LNNTKLKGREIVVDYAVAKSEYIKAQAAQAEPVAVEDEGDNDEGDEDGDNDLVGEDAP ELADNENTLEMGDENDEDIDALLDAKEEADNAPTTPKVDTDSQRDRTVFIRNLSFQTS EQGLADAFTSEFGPVEYARVVMDRGSGLSKGVAFVRFRSKDDADAAIARGSVGTPTDD KNHKKKRDTNANGGLFNSAMLDGDGIYVDGRLLSVTRAVAKDDADRLTEENSAKRKAV DKRNMYLAYEGTINVNKAAETELELPKMDIEKRRRAIKEKKEKLKNPLFFISATRLSV RNVALGVDEKVLKTVFREAAIAGLQDQVVNMKEVKAEYQLKKGLPVKIVLCKIVRDTE NLKAGEEPRSRGYGFVEFSQHVHALAALRKLNNNPAYTEYSGRGVKPTKGTSDADKTR LIIEFALENHGKLKLREKRMNDAKKRRDEDLMLRKAQGEVIEKVERKSRGKRQREAKA SRGNNEPVASDEPASKKSKKDPTKVKGPATTPRKIRPNQPNDKKKPAAAKKGAPAAAS RKERKGDRSNKEEKSFEDMVDSYKKKLFSTVEDSESRGRWFE H257_05259 MPNCDVLCLPSAMESDAKLAMEKLNNSDYGGRKLCLDLAKEKKG PKPLAHQKHPVADEQAAAHVATDATPASVAPVEDDEPSKKHKKAKTPKSHTTEDVADD VDDDATTSKKEKRKAKKLLKHEAAADNQVAESAATIHEEVLEQVADKPANKDKSKKNK KKKRNDNDDEESPKVESVAVPTKKRKLDDQGVQDDSAKKSKANAVAEEEEHVQSERNA RRREHRELHRKMKERQEASATTEEKSIAIYGLGSSITEKALKIKMKKVGDATKIEWKE DIVNGVTQQVAIVEWANVSTKAKALEKLDNHVFKGFTMVVRGLEGTTTGLAQKEGVRL IVRNLQFDVKDSDLEKLFGKHGPLAEVRVVRMPVDTTKEVASDDSKVVLGRSRGFGFV QFKNKADAQNSIEALNNTKLKGREIVVDYAVAKSEYIKAQAAQAEPVAVEDEGDNDEG DEDGDNDLVGEDAPELADNENTLEMGDENDEDIDALLDAKEEADNAPTTPKVDTDSQR DRTVFIRNLSFQTSEQGLADAFTSEFGPVEYARVVMDRGSGLSKGVAFVRFRSKDDAD AAIARGSVGTPTDDKNHKKKRDTNANGGLFNSAMLDGDGIYVDGRLLSVTRAVAKDDA DRLTEENSAKRKAVDKRNMYLAYEGTINVNKAAETELELPKMDIEKRRRAIKEKKEKL KNPLFFISATRLSVRNVALGVDEKVLKTVFREAAIAGLQDQVVNMKEVKAEYQLKKGL PVKIVLCKIVRDTENLKAGEEPRSRGYGFVEFSQHVHALAALRKLNNNPAYTEYSGRG VKPTKGTSDADKTRLIIEFALENHGKLKLREKRMNDAKKRRDEDLMLRKAQGEVIEKV ERKSRGKRQREAKASRGNNEPVASDEPASKKSKKDPTKVKGPATTPRKIRPNQPNDKK KPAAAKKGAPAAASRKERKGDRSNKEEKSFEDMVDSYKKKLFSTVEDSESRGRWFE H257_05260 MLVMSTVPSCVAQDAETRFMEGIKWQSEDDTAVRRSILLRILIV LKQKCGKVDARISNVARRAELALYTRAHSRNEYSNPRSLCKRLHALIVKLYAHQNYVA TKLLKQRPTMNDDAPSFEPCAKRRCAISMTNSDMLLFDGHEGVLRVVTSFLDTSSLIA LSSTTYRARHFIPQCVTALRLSASKLPSTPVGTWLRQFPNVESLTLIGDNRFGYGEIA MEHIDMRSNASVEWLLEAMQAAMPLPRLRSLSFQHVYCDGLDDPFTSRVAALVPTLPS LQHLNLVGNCITDVGAVHLANTNSSLVTLNVNNNFIGERGVFALQSIHKRCRVTMTDN LVHMA H257_05261 MLDIERRSVAYIWDTFCTRGTLTSNKCAKVGPKPKYSPDDIRNL VRDVPMDQRSTTRDISAATGLSMGTLSRHLKMGIFVRRSTRIKPLLTDANKAERTAFY GMWSIWTKSGSMPTKIAARCTWFPARPLRSAECPLRSSVLHVLHTLRAPANYQPFWTK YPYSLHLAPTSAADQRA H257_05262 MNSTLRKSKRCYSVAVKLDMLGQLETQTDSQVAKATGISRRTIR GWKDQRDEILAYTGNKKRMKISPGGRCEIFPDPDGLLEFITEMRNKERALTTTHIINW IKRHQAQWLRLYLSGKQPGTGYNSLLRLL H257_05263 MTNWCDGDWAKCGHFSNMISPDVNSMPCGWSECANSNYVWCNYN TPVKNPKVGKITSMTKAELKASLTA H257_05264 MKASLALSTALATTACAARQSFKSLSSSDQTSLEQQLDEWKALY GPIARANGFFPRTNRESAQVNGHSIDELERFHHTVQDVELATATNPEAEFSPFNQFAL LTDDEFKGILLKSFAGQNFTNAAPLPELANERASEADWSTSKCNSPIPNQGQCGSCWA FATIGTVETAHCIATGELLDLSEQQLVSCSKNGGNRGCNGGIPPSAIDWMQKGVCTEE SYPYTSGKGGQTGTCQTSCTKKKLSIGKTKQTTGEGSLMTVLESQTATVAVETANAVW RNYKSGVVSQCPGAQSDHAVIAVGYGTSTTDYFKIKNSWGTQWGENGYIYLKRGMSGK GMCNVAEWIWYPELSGSSPTPSSNPTPSSSQQPTQSTRKPSSSAPKPSTDTPMTPSSS KPTYPTRRPFPSSFPPKPATDSPMTPSSSKPTYPTRRPFPSSFPPKPATDSPMPPSSS KPTYPTRRPFPSSFPPKPSKHTPMTSTPNPSSNTPTTTKRRCTKRPKPTKKPKPTGPS PSYTPSPTIEPSSTYVPKPTTQPSSTYAPTPSGNGVKDQLIAQTNKIRAAHGIGPLTW DDELEPKMRTWAKSCPGFKHGGPAGWQNLATNTACGSSGKDCTKVVGASWLWYDQEET FWNYGSNSCNGGWAKCGHFSNMMSPEVKSMACGWSECANGNHVWCNYDTPVKSPKVGK ITGMTKAELKASLTA H257_05265 SASQQLVLDASDVERNRLISSDRVIVENYFGRVCALWKASYATF TWSEKNYCAIQRTTFALTNFHLSLMPLRLEDETFYGMVLARYERMANEKKRKRAETQR RYRLNRQERAALDLGRATRSRLY H257_05266 MSEVSTEAVMTGAAIDSYTEEESKDKLSSMPSRTAVQSKEPNEA DQDDIGEIAITEDMTGPPLDIETIEAGFHFQDIDYFMYKVQRYAQEKGFIASKDGKFF SWRNPHPVHPENYKLLQRTTIYCSNKDPNVGTKPPPRFARACPWKIRILYDRGTLDYM VTDISLEHNHDIGDPRGAPTYPNHSPNDNDPVIDLSASPSGEKPPASAPYSLPQPPGT VLMGRFRLDATGSVASLSPTAHQRRSTNQSKRKYDNPPGIAPAPQRPYYDPNRETAKG HAIPAQTRRPPAPRPSTYVAPSSLAPHPASRNHPSPSSGPPHQHSHPQNIYPPTGVPN YGPPRRGPPGRPPYENEHQPRGYPAETAARNQQQDFSLLLAQENMTIQRREVEMKWEL ERQQIQDMELRREMEKQRFFVELQQHERLEKEAAANQRILDSKAKEAEMMLRVNKLKA RQELVNAGVPIDEIDKVLGTDA H257_05267 NKMIIRTICSEQATSRTCMEGDRSTADANEFPTPRNEPTVKRWP ATIYDYMAKRVVDGRIAALDVEHGQWVHCNVCDCQLRCDARNLFSNERWNRHVRRSKK HRPVVSHIDQQLIVPVSVDVALSPSFAPPSKKRKKLTQLDVDFELQSSQLPTQHHVVA TCPGALPAENFEILQIFARFGQIPSGAQVVRGADALGFQLFSTSCTRRLVSRRKNQPD CCDPCFGLFVDANLKKRIFQNMKTYGRVLAAIDSPELPHGSLVDLQNFLKIPVRNMNH AGKKLRLLVEQFIEHHKPPLRPQDDSDGATDRHTQAKAQEAELSLRVAKVKAQHELLK LGISMGDANGLLML H257_05268 MALKAAVVVALVAYAVQAAHFVVTPNDHKCFYLDVPEGTTFRAE YESPDTTDELKTVLKIYGPSTSGDVHENVPASISQTLNQKGTVAFTSTSSGEHWSCVS IDTHKYVVPDATKMKFKLKLRFGTSHAEYENLAKKEQMNELELEVMKLRDRVKAIQNQ QDYAQEKGDKFRATSESNNARAMWVSVIQILVLLVAGVWQVRHLQSYFQKKKLV H257_05268 MALKAAVVVALVAYAVQAAHFVVTPNDHKCFYLDVPEGTTFRAE YESPDTTDELKTVLKIYGPSTSGDVHENVPASISQTLNQKGTVAFTSTSSGEHWSCVS IDTHKYVVPDATKMKFKLKLRFGTSHAEYENLAKKEQMNELELEVMKLRDRVKAIQNQ QDYAQEKGDKFRATSESNNARAMWVSVIQVTLIFFFNDTSFNCHFKNIFFCILFDKFD H257_05269 MSTRSYDDMVCVRPVHRATELYCTDCQATVRVLSDDDRRCSVCG ADLQTCPSAQSDTVRDDADNDITSLMHRLLGAWGIDPTSSPTQPASDDAVAKLNTFVA GQSTTVEVAMVSKRIKGEVILVPANFGPCESIDASEVVVASPFHGGAPFTNPSSLRNK IVLLERGVCTFASKIQRAQAAGAVAVVVVQTADVWPYAMTDSSGEGTSLSIPAFMISK KQGHGLVEYLKANPDHSPHLMSIHVRKNARECVICQVDIDIGVHVVQMPCQHIFHPDC LKQWLDIRNSCPICRTEIQAKNPATTTSSSTAQSRGDFLWSDWMS H257_05269 MSTRSYDDMVCVRPVHRATELYCTDCQATVRVLSDDDRRCSVCG ADLQTCPSAQSDTVRDDADNDITSLMHRLLGAWGIDPTSSPTQPASDDAVAKLNTFVA GQSTTVEVAMVSKRIKGEVILVPANFGPCESIDASEVVVASPFHGGAPFTNPSSLRNK IVLLERGVCTFASKIQRAQAAGAVAVVVVQTADVWPYAMTDSSGEGTSLSIPAFMISK KQGHGLVEYLKANPDHSPHLMSIHVRKNARECVICQVDIDIGVHVVQMPCQVVLLVVH TSDSLPWCM H257_05269 MSTRSYDDMVCVRPVHRATELYCTDCQATVRVLSDDDRRCSVCG ADLQTCPSAQSDTVRDDADNDITSLMHRLLGAWGIDPTSSPTQPASDDAVAKLNTFVA GQSTTVEVAMVSKRIKGEVILVPANFGPCESIDASEVVVASPFHGGAPFTNPSSLRNK IVLLERGVCTFASKIQRAQAAGAVAVVVVQTADVWPYAMTDSSGEGTSLSIPAFMISK KQGHGYI H257_05270 MNDTKAPDGPDDFSGLFFACQSTPSACASPDISILLQRCGRFDA MGFTQADFSACCDSAFAHNGKARECVYFRPTEWTARRISVFPVSHSPKPKYTVRDWAQ FASVDQVDIARVSVHSIDASSFDYRTISKLSIVDADLETITTPPSPTLNVIDVSNNRL KAFPTYLFSPTQPTIAQLNIYGNPWHPSVSVDASECNRMQVAVDSGHITGLPLDCSCS SSAPTCTFPSLTSTPAPSTSTGAPVAVVTRPATSSPSPPPASARISTPTPPATVTPTA TNLLLSKPTPSTTGTISTQPPPASFATLQITASPITNNSAITDQKTAVASADDSSMTG TILLVCMMVLVLGLAAVALILYRRRQRIVANPNVLSGFEMVAMSSRNAPFNNGAAAPS KAQLLRKTSSSAVLTSSTLLPLSKQPSRDMPSVPTISPDHVKLLHSISRTRFSGKFQG EKVVLKRWDAPNQAAVASLVADIQAMAQLEHPQLLIVFGLVRFGEFDVSAVAEFMHCG SLPRVLFKHDVALSWPDQLSMCYQVAAALAYMHSQPNYSRGTNCLTSRDILVNSTLQC KLDVLDFVQATPAITSPEFSYGGASLAWEAPEVLTHNCARSSSAEMYSLGVILGEIVT RARPYQSWIQSVGTTVSDIRIRDPTTMTWPHENHPELESSPRYFKALVAACLSRDVLR RPLAVNVAETLQREMALLKRNYG H257_05271 MQETKRLKSRAIYIKPMLTEDSTRARLDFAKSFVRLLPSGNHAF VDMNEYIHVDEKWFYLTKVKRKFYVYDDEEMALRAAKSKQFITKVMFLAALVQPRFDH TKKAYFDGKVGVWHFVVVQPAK H257_05272 MLLRQVSLELPFLARHGLIMDKWATVAWALVAADEFTRTDLDTK KANNGFNALVDGHRKHNNEAERASGVSEEVSEKILLLNAFFLKVRDEAMMSLGKRKQV CDVAGDVGGTSKDKPAVPIIINTGSKRNKFK H257_05273 MEETQRTAQYDMSNATQFKCSTVMAATCDEAACTAWCMQVGLLK SKMLCPSCAKDMTLSGTRWRCRRAGCGSIERSMKVGSFEERSKLPLSKAVRLMYAWAS RKPVTVAARET H257_05274 MLGIPQYEQWFSANLRCGHATFTKFITNLRAEMSSEHLRSYTHS FEKKVHNFLYFLGSEGGYRETAAAFGVSKSWCVDIVHWLSTVMLKLASKWIYLPKSKA GWFNVESGFKTKHQIPSVVGTIDGTLVDIQRPKDYDGIYNRNGDPSLNIRAVVDSSTR FMSVDIRPASFSDKNIWKVSALGRTIRCCVPTRCCLIGEHGTHSFRG H257_05275 MPRRCHKSHTIGKKRKLLALFDQESINSRVFRATHDIPRSTWIG WQQGKLMKYVRRDEHILTSMHIIAFMKTYHAAWLDVYKVGKRDSYKSLLKLCHDFTRR HNFSQRVPCYTKMPSVDMEALRNDLAGQCWNKYHAYKSCDILNVDETDVNYDIPPGKI WAGKRKSSKVDNTHNHSDGLTAVLTCRANVTW H257_05276 MRERDDGSDSDRRCVGDFDRGVCEEDSDFAVDSSGSSAEEPFVD ANVSTMQSFDDFKYTYVSSSASPHVKGHHNLDAAGTSSRTKIHPRFLSEIDNVLLGGS GPFAVLTSLTLAYSKTPRVLEELPTRGQIRSWRVTVMRSNAGTNISVEDQNKTLVLDI FEHCFKDAADLDQMSLGLIVTLRRTFYNVVASMNGQGTDVASSADGRTSSITSTAAHS FRPWVYMFVRSESEVSYVKMSEAVKKYAHVFFGRDLQLLFGSIDRRDAILNAYCFI H257_05277 MVWIKQCTELTTTTRNAKLHSLGGQGAKCIIPLQHDLLTFMKDV RRDEHILMSMHMITFTKMHYKPWLNAYMEGKPEPYKSILRLCQAFAIYETMNQSA H257_05278 MDETSFAPSKTSKKVVVYRTTKHVFAEESSASAHVTIITCVAAD GTKIPPLFVLPGNRVSTEACDSISIPGAAITSSEKGWTNSFICRKWLAMLNSQWASPS RGPGMLLDERQVRQRVVAKDVDAFLLETSVLHYDPYVKIERESAVRLVQRFVKHCGYL RGSKNRQEATQQINPTTRRTVVYLDESSVHHHYNKNDISMYDPTDDLDVQPKAKHKGR RFCFITAIVDGGPDNSKILFYEKFVGGKQTKDCHGMFDNSYFADWFHRLLEALQDHAI ANAIIVMNNAKYHKCIPDTTPKFTGRKADLIDVCDTLGIAYSPSELKATIWAKLQPYT SAVVPEVVELASHAGHEVKYSPPHHSDLQLIKLVWAIVKGNVGRQYDTNTSFHDVEQR LDAAFEGLTSQMVHGCIMKAESDLLAIHQHISPIDDDDYQDSSDSDNGDSGGSSESSD DEIVVFGIPDSIQRPILLILDGCSSHYSEYIYAEAALLNILLQFLPANATHLFQSLDV TVFRPFKQAIRKAIEDTMWTDVSTCISKQRAIEIACRVWYQSTNQSPITNGFVCTGLC PPSLDKMLYRLSLFKPSADIGVNETWQKRVDSVRDHGLLLPPTKKRKSATRKTLTVSG KFITADYHNLLQAAAKPKRKKKATRQTENIVEDCVI H257_05279 MDDPRNQAACVALPPSKGPNLQVQCAVSPEEGLVTYRLERGSIK MEKNAEFVEEHDGMLLLRLGPYSPMLNPIESCFSVLKARIKSYLALHTDARSAMSDHE VQPPAEMVLDTATPKAKAGKRKEKKASSENKRSVRWCDESVATLFRLRYDSPLAKRFE SKNNAEKKTAYVMLAAELSVAMESDYTVAQVQDKKGYQRESLMSTDATIADGTNSSDE AQVKREHSSDDDYDSDKPPERRKKPAKVTKASSEGEALEACFLAIKEGLIHLGTSLSA ATPSPPPPPASRATLDDVLRAIQGQSDTMAQLLAHLVARKQIM H257_05280 MASPPARKSPTPEEKKRVLDAYINGGRDWKIIAAHLEVNCLTHR PLESHHLTTARRTTRQVHESNACDLCREKRQEFARAVLQHQESADYMVYYDETNYILY CKRSLGRSKKGERAVVTLPPSKGPNLQIHCAVSARDGLVCSRLEHGSIPMEQNAAFIV IFLDNAPAHSQTETRVVAHDDMVLLRLSNAQPYRVMLQCLKGCDQALPGPDMFDRRDY DTYLEARMCLLEDAARESLVMCSAKIQIKVKCQGAKCARVDVLNSASCPFSNLSSCPR MAKHKGPTPDEKKHVLDAHLRGKDWKTVGKHNGVTMATARRVVITGRTTLLQSGWFRT SKSKVTPEIRAALETYINANCQYTLREMQSFLAVDFPGTSISVQTISRHLLGMLYFVK QVRIEPVTCNNDVNKAKRQNFALKPRMHQ H257_05281 MPEPTLPPTAPPTTSTATSTNSMFDATSTSQDISYVKSQCANNT TVPPSMSSLCCDTSASFVEVCVGFANAIASSSSVETKWVVSSLSVVGKRGGNSPLPPF NLSPWRTLAFSRMYNLCAPVHSVRSYKSSCSDIRSVDVGSLVASSLNYTALTSLYVVR PSTTTYTNCLTLASWNRHVIGCQLPSLDIPSPSTLQHIDLSLNHMTSFPGSLFQTSSL VSVNLTGNNFPKSIAVVAQACIHLQMLSTTSVLSGVAIQCPTACPAVATCVSVELPIT AASVATSNNAVTDEDTSSPILVVAAAVAVLLLVIAVIVCCRRRILVREQRRQQLSTVS HHFMLTRAPSQSTRDSDNSFVFLDTGRADIVLLDHSTATTAMPRPSPQTTSTGIDDDD DDTNVLLASARQLSRAFSKSLRPREGVGGIINKPPLPPPPSLHALPIASCLPILPASD ILMTSPLAHGSSMWALKYLDHAYAGKRVPTFGMSSDELQAFLSTVNMLATRVVHPNVV ALVGVVHLPDNDVCVVAEWMERGSLALLLHHETPSRSRPAVLHLTWADKVQLAWEVAS ALAFVHSQPKFKRPGPWTTRNVLVCSAGHARLNVLDEMDQGGGAAAGWPAGYTYGHHT VAYDAPEVVAHNCARSSSSDVYTLGVVLGEIATRCLPYCQWVATHGHVATDVRIVQNL EKSPVVTPHGDRFKHDLVPTGFQALVANCLQRDVLKRPLAVNVADELSAMIAIPTP H257_05281 MPEPTLPPTAPPTTSTATSTNSMFDATSTSQDISYVKSQCANNT TVPPSMSSLCCDTSASFVEVCVGFANAIASSSSVETKWVVSSLSVVGKRGGNSPLPPF NLSPWRTLAFSRMYNLCAPVHSVRSYKSSCSDIRSVDVGSLVASSLNYTALTSLHVIG CQLPSLDIPSPSTLQHIDLSLNHMTSFPGSLFQTSSLVSVNLTGNNFPKSIAVVAQAC IHLQMLSTTSVLSGVAIQCPTACPAVATCVSVELPITAASVATSNNAVTDEDTSSPIL VVAAAVAVLLLVIAVIVCCRRRILVREQRRQQLSTVSHHFMLTRAPSQSTRDSDNSFV FLDTGRADIVLLDHSTATTAMPRPSPQTTSTGIDDDDDDTNVLLASARQLSRAFSKSL RPREGVGGIINKPPLPPPPSLHALPIASCLPILPASDILMTSPLAHGSSMWALKYLDH AYAGKRVPTFGMSSDELQAFLSTVNMLATRVVHPNVVALVGVVHLPDNDVCVVAEWME RGSLALLLHHETPSRSRPAVLHLTWADKVQLAWEVASALAFVHSQPKFKRPGPWTTRN VLVCSAGHARLNVLDEMDQGGGAAAGWPAGYTYGHHTVAYDAPEVVAHNCARSSSSDV YTLGVVLGEIATRCLPYCQWVATHGHVATDVRIVQNLEKSPVVTPHGDRFKHDLVPTG FQALVANCLQRDVLKRPLAVNVADELSAMIAIPTP H257_05281 MPEPTLPPTAPPTTSTATSTNSMFDATSTSQDISYVKSQCANNT TVPPSMSSLCCDTSASFVEVCVGFANAIASSSSVETKWVVSSLSVVGKRGGNSPLPPF NLSPWRTLAFSRIDIRSVDVGSLVASSLNYTALTSLYVVRPSTTTYTNCLTLASWNRH VIGCQLPSLDIPSPSTLQHIDLSLNHMTSFPGSLFQTSSLVSVNLTGNNFPKSIAVVA QACIHLQMLSTTSVLSGVAIQCPTACPAVATCVSVELPITAASVATSNNAVTDEDTSS PILVVAAAVAVLLLVIAVIVCCRRRILVREQRRQQLSTVSHHFMLTRAPSQSTRDSDN SFVFLDTGRADIVLLDHSTATTAMPRPSPQTTSTGIDDDDDDTNVLLASARQLSRAFS KSLRPREGVGGIINKPPLPPPPSLHALPIASCLPILPASDILMTSPLAHGSSMWALKY LDHAYAGKRVPTFGMSSDELQAFLSTVNMLATRVVHPNVVALVGVVHLPDNDVCVVAE WMERGSLALLLHHETPSRSRPAVLHLTWADKVQLAWEVASALAFVHSQPKFKRPGPWT TRNVLVCSAGHARLNVLDEMDQGGGAAAGWPAGYTYGHHTVAYDAPEVVAHNCARSSS SDVYTLGVVLGEIATRCLPYCQWVATHGHVATDVRIVQNLEKSPVVTPHGDRFKHDLV PTGFQALVANCLQRDVLKRPLAVNVADELSAMIAIPTP H257_05281 MPEPTLPPTAPPTTSTATSTNSMFDATSTSQDISYVKSQCANNT TVPPSMSSLCCDTSASFVEVCVGFANAIASSSSVETKWVVSSLSVVGKRGGNSPLPPF NLSPWRTLAFSRIDIRSVDVGSLVASSLNYTALTSLHVIGCQLPSLDIPSPSTLQHID LSLNHMTSFPGSLFQTSSLVSVNLTGNNFPKSIAVVAQACIHLQMLSTTSVLSGVAIQ CPTACPAVATCVSVELPITAASVATSNNAVTDEDTSSPILVVAAAVAVLLLVIAVIVC CRRRILVREQRRQQLSTVSHHFMLTRAPSQSTRDSDNSFVFLDTGRADIVLLDHSTAT TAMPRPSPQTTSTGIDDDDDDTNVLLASARQLSRAFSKSLRPREGVGGIINKPPLPPP PSLHALPIASCLPILPASDILMTSPLAHGSSMWALKYLDHAYAGKRVPTFGMSSDELQ AFLSTVNMLATRVVHPNVVALVGVVHLPDNDVCVVAEWMERGSLALLLHHETPSRSRP AVLHLTWADKVQLAWEVASALAFVHSQPKFKRPGPWTTRNVLVCSAGHARLNVLDEMD QGGGAAAGWPAGYTYGHHTVAYDAPEVVAHNCARSSSSDVYTLGVVLGEIATRCLPYC QWVATHGHVATDVRIVQNLEKSPVVTPHGDRFKHDLVPTGFQALVANCLQRDVLKRPL AVNVADELSAMIAIPTP H257_05281 MFDATSTSQDISYVKSQCANNTTVPPSMSSLCCDTSASFVEVCV GFANAIASSSSVETKWVVSSLSVVGKRGGNSPLPPFNLSPWRTLAFSRMYNLCAPVHS VRSYKSSCSDIRSVDVGSLVASSLNYTALTSLHVIGCQLPSLDIPSPSTLQHIDLSLN HMTSFPGSLFQTSSLVSVNLTGNNFPKSIAVVAQACIHLQMLSTTSVLSGVAIQCPTA CPAVATCVSVELPITAASVATSNNAVTDEDTSSPILVVAAAVAVLLLVIAVIVCCRRR ILVREQRRQQLSTVSHHFMLTRAPSQSTRDSDNSFVFLDTGRADIVLLDHSTATTAMP RPSPQTTSTGIDDDDDDTNVLLASARQLSRAFSKSLRPREGVGGIINKPPLPPPPSLH ALPIASCLPILPASDILMTSPLAHGSSMWALKYLDHAYAGKRVPTFGMSSDELQAFLS TVNMLATRVVHPNVVALVGVVHLPDNDVCVVAEWMERGSLALLLHHETPSRSRPAVLH LTWADKVQLAWEVASALAFVHSQPKFKRPGPWTTRNVLVCSAGHARLNVLDEMDQGGG AAAGWPAGYTYGHHTVAYDAPEVVAHNCARSSSSDVYTLGVVLGEIATRCLPYCQWVA THGHVATDVRIVQNLEKSPVVTPHGDRFKHDLVPTGFQALVANCLQRDVLKRPLAVNV ADELSAMIAIPTP H257_05281 MFDATSTSQDISYVKSQCANNTTVPPSMSSLCCDTSASFVEVCV GFANAIASSSSVETKWVVSSLSVVGKRGGNSPLPPFNLSPWRTLAFSRIDIRSVDVGS LVASSLNYTALTSLYVVRPSTTTYTNCLTLASWNRHVIGCQLPSLDIPSPSTLQHIDL SLNHMTSFPGSLFQTSSLVSVNLTGNNFPKSIAVVAQACIHLQMLSTTSVLSGVAIQC PTACPAVATCVSVELPITAASVATSNNAVTDEDTSSPILVVAAAVAVLLLVIAVIVCC RRRILVREQRRQQLSTVSHHFMLTRAPSQSTRDSDNSFVFLDTGRADIVLLDHSTATT AMPRPSPQTTSTGIDDDDDDTNVLLASARQLSRAFSKSLRPREGVGGIINKPPLPPPP SLHALPIASCLPILPASDILMTSPLAHGSSMWALKYLDHAYAGKRVPTFGMSSDELQA FLSTVNMLATRVVHPNVVALVGVVHLPDNDVCVVAEWMERGSLALLLHHETPSRSRPA VLHLTWADKVQLAWEVASALAFVHSQPKFKRPGPWTTRNVLVCSAGHARLNVLDEMDQ GGGAAAGWPAGYTYGHHTVAYDAPEVVAHNCARSSSSDVYTLGVVLGEIATRCLPYCQ WVATHGHVATDVRIVQNLEKSPVVTPHGDRFKHDLVPTGFQALVANCLQRDVLKRPLA VNVADELSAMIAIPTP H257_05281 MFDATSTSQDISYVKSQCANNTTVPPSMSSLCCDTSASFVEVCV GFANAIASSSSVETKWVVSSLSVVGKRGGNSPLPPFNLSPWRTLAFSRIDIRSVDVGS LVASSLNYTALTSLHVIGCQLPSLDIPSPSTLQHIDLSLNHMTSFPGSLFQTSSLVSV NLTGNNFPKSIAVVAQACIHLQMLSTTSVLSGVAIQCPTACPAVATCVSVELPITAAS VATSNNAVTDEDTSSPILVVAAAVAVLLLVIAVIVCCRRRILVREQRRQQLSTVSHHF MLTRAPSQSTRDSDNSFVFLDTGRADIVLLDHSTATTAMPRPSPQTTSTGIDDDDDDT NVLLASARQLSRAFSKSLRPREGVGGIINKPPLPPPPSLHALPIASCLPILPASDILM TSPLAHGSSMWALKYLDHAYAGKRVPTFGMSSDELQAFLSTVNMLATRVVHPNVVALV GVVHLPDNDVCVVAEWMERGSLALLLHHETPSRSRPAVLHLTWADKVQLAWEVASALA FVHSQPKFKRPGPWTTRNVLVCSAGHARLNVLDEMDQGGGAAAGWPAGYTYGHHTVAY DAPEVVAHNCARSSSSDVYTLGVVLGEIATRCLPYCQWVATHGHVATDVRIVQNLEKS PVVTPHGDRFKHDLVPTGFQALVANCLQRDVLKRPLAVNVADELSAMIAIPTP H257_05282 MDQGGGAAAGWPAGYTYGHHTVAYDAPEVVAHNCARSSSSDVYT LGVVLGEIATRCLPYCQWVATHGHVATDVRIVQNLEKSPVVTPHGDRFKHDLVPTGFQ ALVANCLQRDVLKRPLAVNVADELSAMIAIPTP H257_05283 MQRTRDKWLGRWRWLSMLALVVATGAPVADAACEPIVTQGNAWC MNCGATCFPLLDRMHLHINGQSPHANPRDQDVRTEIATNISTTGALMDGPSAAPKSLY ISATHSQAFSFAFDTLKNLKQLHTIALNRVSVSSCRPQDFVHGNQIAHMYDLLSPPRP PCLTDAVCSVVANCKPPTAMTMDLTELFELTHIDVHNCTLNEFPSWSTPSAVQSIKLV NIGLTTFPSIPPQLAPNTFIDLTQNPFALLTSSHCDTIRSRNISVDAAVTAQCLFSSS VLGLSPTSPPSPPEEAHTNPWNSVFTFIMILVVSALMGAFVMHRRRLLTPTSRTPGGT DDYLTSTTPIMETGSLPLSTDQLMMLSKAKDDISEPILTPFPPRAGRSYKLLPPKDVK LAARPPKAPIGQLSAHYHNTHVTLYRLDYRDYDQPRIDSFLHTVEVLATLKHPNITRL VGATKLSGVSICAVFDHSPTTDLGLPSFLFQTADSSILLPLHPKTHAALHRRMRTLAV GVADAIAFIHATTNGPMTRRLTSATVSVRPSDGSVRVNPMSWIDDDTTTDDKTNSNHP MVSFGSFVLASVAPECLTDSSSSTQSSDVFALGIVLGEIATCGRPFASWYKDMGAVAA DARIHAVYTDASNDPIKPFPTVPPGPIADLIAACLDRDPSKRPTAAVVVASLHLACPV ETNDEDEESSGTVAVEE H257_05283 MQRTRDKWLGRWRWLSMLALVVATGAPVADAACEPIVTQGNAWC MNCGATCFPLLDRMHLHINGQSPHANPRDQDVRTEIATNISTTGALMDGPSAAPKSLY ISATHSQAFSFAFDTLKNLKQLHTIALNRVSVSSCRPQDFVHGNQIAHIVVANCKPPT AMTMDLTELFELTHIDVHNCTLNEFPSWSTPSAVQSIKLVNIGLTTFPSIPPQLAPNT FIDLTQNPFALLTSSHCDTIRSRNISVDAAVTAQCLFSSSVLGLSPTSPPSPPEEAHT NPWNSVFTFIMILVVSALMGAFVMHRRRLLTPTSRTPGGTDDYLTSTTPIMETGSLPL STDQLMMLSKAKDDISEPILTPFPPRAGRSYKLLPPKDVKLAARPPKAPIGQLSAHYH NTHVTLYRLDYRDYDQPRIDSFLHTVEVLATLKHPNITRLVGATKLSGVSICAVFDHS PTTDLGLPSFLFQTADSSILLPLHPKTHAALHRRMRTLAVGVADAIAFIHATTNGPMT RRLTSATVSVRPSDGSVRVNPMSWIDDDTTTDDKTNSNHPMVSFGSFVLASVAPECLT DSSSSTQSSDVFALGIVLGEIATCGRPFASWYKDMGAVAADARIHAVYTDASNDPIKP FPTVPPGPIADLIAACLDRDPSKRPTAAVVVASLHLACPVETNDEDEESSGTVAVEE H257_05283 MKYTSALNRVSVSSCRPQDFVHGNQIAHIVVANCKPPTAMTMDL TELFELTHIDVHNCTLNEFPSWSTPSAVQSIKLVNIGLTTFPSIPPQLAPNTFIDLTQ NPFALLTSSHCDTIRSRNISVDAAVTAQCLFSSSVLGLSPTSPPSPPEEAHTNPWNSV FTFIMILVVSALMGAFVMHRRRLLTPTSRTPGGTDDYLTSTTPIMETGSLPLSTDQLM MLSKAKDDISEPILTPFPPRAGRSYKLLPPKDVKLAARPPKAPIGQLSAHYHNTHVTL YRLDYRDYDQPRIDSFLHTVEVLATLKHPNITRLVGATKLSGVSICAVFDHSPTTDLG LPSFLFQTADSSILLPLHPKTHAALHRRMRTLAVGVADAIAFIHATTNGPMTRRLTSA TVSVRPSDGSVRVNPMSWIDDDTTTDDKTNSNHPMVSFGSFVLASVAPECLTDSSSST QSSDVFALGIVLGEIATCGRPFASWYKDMGAVAADARIHAVYTDASNDPIKPFPTVPP GPIADLIAACLDRDPSKRPTAAVVVASLHLACPVETNDEDEESSGTVAVEE H257_05284 MLFRDLAATFRRIQLKNSRDASISELSNAFRTIRDNQPTQLPKA MYLMTSQLAPTHEGVELRFRDKAFAPVVQTAFANAGHVDIPTIFDAYKKSGDYGTAVE DLLKQAILTLPRAFDDDSSASMTIDVVYDQLREMATQAGTGSTQRKQDIAAALLARAS TVDEALFLTRLLAHQNLRIGVGSKSVVMALAHSFADADADKPSLQPWVASVAAAYSQR PIFEDLVETLGHVLALPSLDAKAGYIRANAAPKPGTPVQTMLGYPVSTLHQITQRMRK YAGGVAACEYKYDGARLQIHVSKRTVSHMTPTNEGPDLAVFSRNCERIPADHKYFDTI STHVVPYMNAHVESIIVEGEMVAVADDQTQQLLPFQTLQTNGHTAMCLFAFDCLYLNG TSLVHRPFQDRRAALHAAFTPPSTSTTSTLPGQPPRSPRQQLPQRFQFVQSQDVSFSL HEDPDTDENEDLLSNSPAKLLQNVLQAAVDADCEGLMVKALDEPYKPGCRTHTWLKVK RDYLPATPCMASGMFLPDSLDLVPIAAFRGKGRRAHVFGSFLLACYDPTTQLFHTVGK VGSGFTDVDLAAISKRLEDTVVAVKPLEYDASEVKSIQPDVWFEPTEVWEIRAAQLTK SVKYTAGSTYLNAPSPPPPSTTSNTSCSITSRTDAHKSCGLGLRFPRFLAVRRDKAVV QATSDDQLASLYLDSQTNNLSMTIINDDHKRH H257_05284 MTIDVVYDQLREMATQAGTGSTQRKQDIAAALLARASTVDEALF LTRLLAHQNLRIGVGSKSVVMALAHSFADADADKPSLQPWVASVAAAYSQRPIFEDLV ETLGHVLALPSLDAKAGYIRANAAPKPGTPVQTMLGYPVSTLHQITQRMRKYAGGVAA CEYKYDGARLQIHVSKRTVSHMTPTNEGPDLAVFSRNCERIPADHKYFDTISTHVVPY MNAHVESIIVEGEMVAVADDQTQQLLPFQTLQTNGHTAMCLFAFDCLYLNGTSLVHRP FQDRRAALHAAFTPPSTSTTSTLPGQPPRSPRQQLPQRFQFVQSQDVSFSLHEDPDTD ENEDLLSNSPAKLLQNVLQAAVDADCEGLMVKALDEPYKPGCRTHTWLKVKRDYLPAT PCMASGMFLPDSLDLVPIAAFRGKGRRAHVFGSFLLACYDPTTQLFHTVGKVGSGFTD VDLAAISKRLEDTVVAVKPLEYDASEVKSIQPDVWFEPTEVWEIRAAQLTKSVKYTAG STYLNAPSPPPPSTTSNTSCSITSRTDAHKSCGLGLRFPRFLAVRRDKAVVQATSDDQ LASLYLDSQTNNLSMTIINDDHKRH H257_05284 MTIDVVYDQLREMATQAGTGSTQRKQDIAAALLARASTVDEALF LTRLLAHQNLRIGVGSKSVVMALAHSFADADADKPSLQPWVASVAAAYSQRPIFEDLV ETLGHVLALPSLDAKAGYIRANAAPKPGTPVQTMLGYPVSTLHQITQRMRKYAGGVAA CEYKYDGARLQIHVSKRTVSHMTPTNEGPDLAVFSRNCERIPADHKYFDTISTHVVPY MNAHVESIIVEGEMVAVADDQTQQLLPFQTLQTNGHTAMCLFAFDCLYLNGTSLVHRP FQDRRAALHAAFTPPSTSTTSTLPGQPPRSPRQQLPQRFQFVQSQDVSFSLHEDPDTD ENEDLLSNSPAKLLQNVLQAAVDADCEGLMVKALDEPYKPGCRTHTWLKVKRDYLPAT PCMASGMFLPDSLDLVPIAAFRGKGRRAHVFGSFLLACYDPTTQLFHTVGKVGSGFTD VDLAAISKRLEDTVVAVKPLEYDASEVKSIQPDVWFEPTEVWEIRAAQLTKSVKYTAG STYLNAPSPPPPSTTSNTSCSITSRTDAHKSCGLGLRFPRFLAVRRDKAVVQATSDDQ LASLYLDSQTNNLSMTIINDDHKRH H257_05285 MALDGESLGFQVVAQLDVQTPKFQRFALGVGDILVVLLGREQAC DVDPRQLQRLDRRLVRRWSRTFPRHGRWSCLHVVSGSSNLQLGLLLLLNAGLGVNVVV PDNWMAILDVQRAVGRVLLDEVDQAVLPRHVLLNTLDAHVEAHLLSLVQLRRL H257_05286 MSALWCSSFTRLASASTSGLTESLGDDALTLRMYLLDHVDLFPA VTSIPSDSNAVVVLSLANLRRLGLVSHSLVTVRHGDSAHVAQVQLQLHMADDIMYMSP FLAHNLQCVETADVVVEPHTTYARMQAMPPTASRVEIAPILSNASSSSARDESHVVHA LHAFFATPRLVQHGDIFGVPVVGTYPEKHSNPHLLEPSCSSTDVLVIVHADVVLFRVQ AMTWHDDGPPCSSTTSPLALTVLKSSTTVVQTPATAIRLLHERQLRQYSFSAMAAAAP LPAVPPSIYPHHMQKLIEWLHPTTVSLPISIALSGVAGSGKKTLLYNAANALGLFVLE VAFAELTSTSELQLLDNIRLVMQKAKSMAPCILFLNRFFVTEKDNDEAALRLSAALTA ACLTQDNMHQVPVVVAVEDLSDVPALVRQGFMYELVLEAPSELDRVAILKHLTASVSL HPDVHMEDIAHRTAGRTVGELKALIADATSYCLEALATGDIGLLDDLQLPDLAQLQPT HFDHAIQHQQTKTSLGLGSFSIPNVKWEDVGGLDHVKDEILDMVQLPFKHPELFAAGV RQRSGILLYGPPGTGKTLLAKAIATECNMNFISVKGPELLDMYIGESEKNVRHVFQMA RNARPCILFFDELDSLAPMRGRGTDSGGVMDRVVSQLLTEIDSNLSQVFVVGATNRPD LIETALLRPGRFDRLLYLGICNDKPTQLKVVQALTRKFQLAPDVDLNAVVALCPFHYT GADFYALCSMALSLAIKDRVAAIQLYIDTANQDDVYSARPLHVATVLDMMSPDELQVQ VTHGHFASALPHVVPSVSASELAHYDKLRVQFSSLAPTQ H257_05286 MSALWCSSFTRLASASTSGLTESLGDDALTLRMYLLDHVDLFPA VTSIPSDSNAVVVLSLANLRRLGLVSHSLVTVRHGDSAHVAQVQLQLHMADDIMYMSP FLAHNLQCVETADVVVEPHTTYARMQAMPPTASRVEIAPILSNASSSSARDESHVVHA LHAFFATPRLVQHGDIFGVPVVGTYPEKHSNPHLLEPSCSSTDVLVIVHADVVLFRVQ AMTWHDDGPPCSSTTSPLALTVLKSSTTVVQTPATAIRLLHERQLRQYSFSAMAAAAP LPAVPPSIYPHHMQKLIEWLHPTTVSLPISIALSGVAGSGKKTLLYNAANALGLFVLE VAFAELTSTSELQLLDNIRLVMQKAKSMAPCILFLNRFFVTEKDNDEAALRLSAALTA ACLTQDNMHQVPVVVAVEDLSDVPALVRQGFMYELVLEAPSELDRVAILKHLTASVSL HPDVHMEDIAHRTAGRTVGELKALIADATSYCLEALATGDIGLLDDLQLPDLAQLQPT HFDHAIQHQQTKTSLGLGSFSIPNVKWEDVGGLDHVKDEILDMVQLPFKHPELFAAGV RQRSGILLYGPPGTGKTLLAKAIATECNMNFISVKGPELLDMYIGESEKNVRHVFQMA RNARPCILFFDELDSLAPMRGRGTDSVNIIFYRRGHGSRGIAIADGNRLEFVPSVCRG CHESTRFDRNGAVAAWSL H257_05287 MPRVEPFVSAFAGPTARSYRTPASRGRSPMQEPSWRNTLVGLVY VVGSVGLSLQFVFTLGRHTTNDFYWAHFNTTGMQSYLADLCNVQLPLLQAPTAIEFNR SMAIPKDYTGPNTLVSVSPARARSFLLQTMPLDQIVPSLQTSTVAANFKYMTPYCWVD FNHTFEMAHTAKRQQRCLLHDHDNAAVYLESILRNAKPQDIVKSSYFSDLNSTIFAAL YESNAGREWMTFLQTHEVAPVAVEIATWRDAGLTKWIVQVNNANDQGLLETITVVNAL GITSTITIGRVPIRARGGAAWTTANAYFGIWNDLAICSTYFGCSLVRGASNHFEAMGL DWDADVVAEEVPSPTTLLVRRYIGPFGSLDLRFVAVPPVLLNLVAEFQRQYYDELQRD ATAREAYRAFVPVNVDPIPPAWNGLSFYGGNPLCAGHVTRAYPQETFGFYEACDNSVP YTIVLGSDSAVFALLAMGPLPRVNAKVEICGMCRTKQLDCHDALSMASTVQENIPGLS GFPPQQPALLSVLVTLNLTMIQFATTNHNTHDDDVFLTQPMVEGGALWDYFGWVSLYD WVQGTREVYMFEGDEGNVTLVSPYYARSEMSANPLEVPQSACLYVWYLSVYVTFVLSS VGALMIVFGSLIRFQTDPTQWFHFNRVVGSVWIGRPLLLVRGMAAATILSTANEQFII SHGFSQFTYNDRTLDDVLLLAGEALWITYVLVDICLPVTHGVSFLYAPLSSGLAWCTI AALEWTIPYKSETVLQPNNCTVQPTGQEVYCTSGSIAIGSWNRVVLICVVSAASVVVA YVVVKGCSTVSIDYHETKPSSKHVHCMVPGASHGHLLRATHEHRMDNVACIMSGMIPL MHDLFDVKLWAVMRKSTRTPVGFTFPTLGFKRHNQGALPGPPPPSHFVPRHQPHRRDA IMGLIYMGATVAGSYVYLALTDSTMANDMWWTTFNSTGTQLFLSSWFTLQLASTNALL PSSTRLDVPKYASTQRYNSSTASIAVPQLYATAILDEVNTLENVVRGLRAMDSCSLPW IFAAHCYVDFGHTWEMAPTAAKQQRCQNETDNAAVYLEPLLRNAHWPDLSRCWGDAIE TSIFKALRTSVTGREWIAHTVQTMDDGIILAAAAEVRYWQSHGISSFTTQWQNFKILG VVESYSVRNAFGFSHPFTLKQLNSSVQLQSQTSYKLYSGLANTFTAVCTNGSSVAGRS LIRNANDFAFQNTTPEALLGTAFLHALVVPIGPALGSIRAMIGPFGSIDARRVACPNS LQLLYRTLTEDVAQGIVSNAPKLENTTALFKGQYYSPLSKMWSAQQLVGGNIMCESDP LSTNYGNDVSEFFTIHGRCDSTLLGTVRPSGPMQVMSIIASNLSSTTDPAPICEREKR YRDGCHLALTSLLPLVQYFPVHDIKDLVHAVVEDVRDNLRIALVQFVRQQANVNALDL SMSMLFAPTEPEFEFFAWFYLFEWAEQTREVVMFEGEHGRLTTMSGSVPPVAQTVNGM EIPVSVAFYTRLAIQYVTFMFLLVGCCVLCYIIAVRGYVEGRNFVKVNRVAGMVWVGR PLILLRGITAICLLSTPSLSLVQTPNGVASYFVSPPHFWLTTLMSSGEACWLVYILND MCSPFTLNHTAYASKSSSLVWFVSFVLSVASPVQHEVSVARKCTVESVDFQLVCDAGT VYFGSVSRCLELVGIACVSCMLCYRIQRRIHTNQAEVESRKHTSPMLHAVAIHNLHFA NWHYNGLSYIDKATAAMTGILSLRIGEMLYLFDIKSWRLHSIDTADTRDTSMDPFLFQ AVPLMDGSRKNQGTSIILAFTTAMTQVFIVAAKRTPFGAFGGKLKSISATDLATHATK AALQAAKLDPALVDTVIVGNVAQTSSDAAYLARHVLLKSGIPLEKPALTINRLCGSGF QSLINGIHEIKLGEASIAVASGTENMSQAPLVSYGDKSRFGVGLGAGLQLQDSLWSAL TDSYAKCPMGITAENLAAQVGVSREECDTVALRSQTLWAKAHAAGVFDAELAPIDVKV KGKFESFATDEHPRETTLEKLGKLKTVFKDGGVVTAGNASGIADGAGAIILASEAALK HAAPLARVVSYSVVGVDPSIMGIGPVPAIQQALKRANLTLDDMDLIEINEAFGAQYLS CLKELGANPEISNVNGGAIALGHPLGASGSRITAHLTHALIRTKKRYAVGAACIGGGQ GIAIILENATL H257_05288 MDGGGAGGGGGGGSGARVRKATPKGQMSSSGMGPAITHLPMHMK VLFEPRPPIEYIAPPVKRKMPPYTGIGDALPEFEVTTPPARHVSETPRQRQDRLREEK LSINQKKLADDLAAWDPQAPSSKQKTEDAYKTLFVGRISYETTEKQLRRELERYGDIV NLRLVEDEDGKCRGYAFVEYKDEGAMKAAYKNADGKKIDGRRVVVDVERGRTVRDWKP RKLGGGIGDTRLGGADVNVKYSGRESIRSIAIHRSDDRGRGRSRSRGRDPPPPPPSSR PSAYGPSGGSDRYERPRSRERLAPRDRSRSRDRSRSRERRRRPPSRSRSRPRDFAPSY RR H257_05289 MLEQASTTKAWWRDPAVEFAAGSLGGFCAKFVEFPLDTVKVQLQ TQAPRGGRGGSSLDAFHHIQSLVVREGPAVLYRGLPMPLVGTMVEMACLFATFGQVKS LLFGRRTEPLTTWEIASAGGVSGCFISFILTPIELIKCRMQVTTKARYKNTGDCIAQA FQRDGLRGFLKGIVPTMWREIPGTASWFATYHVSLDYLRGDKADKKSDWDVIAAGALS GIAYNGAFYPADTVKSLVQTHPTHRRSMDVVKEVYALHGVGGFYRGFTPTVLRAIPAN AVLFYTYEEVRAFLSHVFQ H257_05290 MEERIDQFWEAAKAIATAEGPKAKWRQEVSKLRRVLHRNQNLRL AELPQQRLVDTIRLYATHFAAEDDTLLLVKDALAMPFGVLGTKQKKTLLKMHEQLLGN ADRNDDDAAPTPQAVWYTCVSMDGDGYLSLLHDDTGEMLESVQVAKKSSEWKTIKKHI DGGNVRVSVLADAVDQKLDIDSRATCRFYDRPESPSLNVIVASSQYSPPLPFTTRLDY STRLSLIMQFTTLVAILSMVLLAVTAHQDSQSHGAVGFPVGPPGTDYFVKANANAVDK ANNEQAASNHFANHVDSSAGNQGLRESVVFTKDRANAVANDRGFNDAIVKNDVLGDDD LECGGGCGGGCGGCGGYVDAPIPPSGFNNAKQSADSLNKADSLNAANQGRASDAHKRA DSKRVEKHNVDLEGFAEANAKSKSGAQALSKGNFFSKRQVDQARGVTQNNGLFFRRVL RGSDS H257_05291 MQFTTLVAILSMVLLAVTAHQDSQSHGAVGFPVGPPGTDYFVKA NANAVDKANNEQAASNHFANHVDSSAGNQGLRESVVFTKDRANAVANDRGFNDAIVKN DVVRKYDANQVAKGAVFFARRQLGDDDLECGGGCGGGCGGCGGYVDAPIPPSGFNNAK QSADSLNKADSLNAANQGRASDAHKRADSKRVEKHNVDLEGFAEANAKSKSGAQALSK GNFFSKRQVDQARGVTQNNGLFFRRVLRGSDS H257_05292 MAVDDDAAAAIPTTPSLDPTPSAFRAWVEQEALMIIGLLAATGV ISGGFVLGLHRRKARELHELERERTRKGSKKIVVAKPVARKKLPTGVTWWERAIGVKS SKMSAAAAARSALIGGTVLATAGTGVIVLGIAAASGVSSYSDFHSKMSVVVPRFRKNV GDFFGIVPKAGPTPEQLEQDRQDMALLVSIFSEEEKEN H257_05293 MSMREPLVTVATCNLNQWALDFDGNLARIVQSIRIAKERGATYR LGPELEICGYGCEDHFLEADTFYHCWESLVTLLQSDVTDNILCDIGMPVMHLGVRYNC RVFCLDRKIVFIRPKLYLADDGNYREKRWFTTWKIHADPTLNLQAHMLPPMIQHLTGQ IEVPFGIGAIATRDSVISSETCEELFTPDSPHISLALGGVEIIGNGSGSHHQLRKLEH RIDLIRGASAKAGGVYLYANQQGCDGGRLYYDGCALIVVNGHIVAQGSQFSVTDVEVI TAVVDLDDVRSYRGAVSSRSEQASSPDHRSLSRVPVHFHMSQPKDGFYQQTTPRIDAR LHTPEEEIAFGPACWLWDYLRRSKAKGFFLPLSGGADSASVAAIVGVMCHLATAAANA GDAQVQHDIQYLLDVEGTPYVALSASELANSVLHTTYMGTENSSTATKARATRLAAEI GSYHLNMVIDTMVSAVVSTFSLLVGMTPKFGVHGGTPSEDLALQNIQARLRMVMAYLL AQLLPWVRSRSGFLLVLGSANVDEALRGYMTKYDCSSADLNPIGAVSKTDLKRLLVYA AAEYAYPTLAEIVAAPPTAELRPTSGDGEHTQVDEEDMGMTYDELSWFGRLRKIDRCG PLWMFRKLGGIWSHLSPVEIAAKVKRFFFYYGINRHKMTTLTPSYHAENYSPDDNRFD LRPFLYNSKWTRQFQSIDALASEMDQPKEKAE H257_05293 MSMREPLVTVATCNLNQWALDFDGNLARIVQSIRIAKERGATYR LGPELEICGYGCEDHFLEADTFYHCWESLVTLLQSDVTDNILCDIGMPVMHLGVRYNC RVFCLDRKIVFIRPKLYLADDGNYREKRWFTTWKIHADPTLNLQAHMLPPMIQHLTGQ IEVPFGIGAIATRDSVISSETCEELFTPDSPHISLALGGVEIIGNGSGSHHQLRKLEH RIDLIRGASAKAGGVYLYANQQGCDGGRLYYDGCALIVVNGHIVAQGSQFSVTDVEVI TAVVDLDDVRSYRGAVSSRSEQASSPDHRSLSRVPVHFHMSQPKDGFYQQTTPRIDAR LHTPEEEIAFGPACWLWDYLRRSKAKGFFLPLSGGADSASVAAIVGVMCHLATAAANA GDAQVQHDIQYLLDVEGTPYVALSASELANSVLHTTYMGTENSSTATKARATRLAAEI GSYHLNMVIDTMVSAVVSTFSLLVGMTPKFGVHGGTPSEDLALQNIQARLRMVMAYLL AQLLPWVRSRSGFLLVLGSANVDEALRGYMTKYDCSSADLNPIGAVSKTDLKRLLVYA AAEYAYPTLAEIVAAPPTAELRPTSGDGEHTQVDEEDMGMTYDELSWFGRLRKIDRCG PLWMFRKLGGIWSHLSPVEIAAKVKRFFFYYGINRHKMTTLTPSYHAENYSPDDNRYV MCTLVVSSA H257_05293 MSMREPLVTVATCNLNQWALDFDGNLARIVQSIRIAKERGATYR LGPELEICGYGCEDHFLEADTFYHCWESLVTLLQSDVTDNILCDIGMPVMHLGVRYNC RVFCLDRKIVFIRPKLYLADDGNYREKRWFTTWKIHADPTLNLQAHMLPPMIQHLTGQ IEVPFGIGAIATRDSVISSETCEELFTPDSPHISLALGGVEIIGNGSGSHHQLRKLEH RIDLIRGASAKAGGVYLYANQQGCDGGRLYYDGCALIVVNGHIVAQGSQFSVTDVEVI TAVVDLDDVRSYRGAVSSRSEQASSPDHRSLSRVPVHFHMSQPKDGFYQQTTPRIDAR LHTPEEEIAFGPACWLWDYLRRSKAKGFFLPLSGGADSASVAAIVGVMCHLATAAANA GDAQVQHDIQYLLDVEGTPYVALSASELANSVLHTTYMGTENSSTATKARATRLAAEI GSYHLNMVIDTMVSAVVSTFSLLVGMTPKFGVHGGTPSEDLALQNIQARLRMVMAYLL AQLLPWVRSRSGFLLVLGSANVDEALRGYMTKYDCSSADLNPIGAVSKTDLKRLLVYA AAEYAYPTLAEIVAAPPTAELRPTSGDGEHTQVDEEDMGMTYVDECRSVRSRLIWMDV CIGTTN H257_05293 MSMREPLVTVATCNLNQWALDFDGNLARIVQSIRIAKERGATYR LGPELEICGYGCEDHFLEADTFYHCWESLVTLLQSDVTDNILCDIGMPVMHLGVRYNC RVFCLDRKIVFIRPKLYLADDGNYREKRWFTTWKIHADPTLNLQAHMLPPMIQHLTGQ IEVPFGIGAIATRDSVISSETCEELFTPDSPHISLALGGVEIIGNGSGSHHQLRKLEH RIDLIRGASAKAGGVYLYANQQGCDGGRLYYDGCALIVVNGHIVAQGSQFSVTDVEVI TAVVDLDDVRSYRGAVSSRSEQASSPDHRSLSRVPVHFHMSQPKDGFYQQTTPRIDAR LHTPEEEIAFGPACWLWDYLRRSKAKGFFLPLSGGADSASVAAIVGVMCHLATAAANA GDAQVQHDIQYLLDVEGTPYVALSASELANSVLHTTYMGTENSSTATKARATRLAAEI GSYHLNMVIDTMVSAVVSTFSLLVGMTPKFGVHGGTPSEDLALQNIQARLRMVMAYLL AQLLPWVRSRSGFLLVLGSANVDEALRGYMTKYDCSSADLNPIGAVSKTDLKRLLVYA AAEYAYPTLAEIVAAPPTAELRPTSGDGEHTQVDEEDMGMTYVDECRSVRSRLIWMDV CIGTTN H257_05294 MSANYLMDDIEELKTIVASVTSERLQKDLRHLLATKEAALVQAQ QTQPRPVAAPKPAVVTPAASAPVSNDNIVYTEISTFAWDDEGYGKPKVTVYITSGIDG VGSLPSDHITCDFTTRSFDLKIKDLNGKNYRLVRYNLDKDIVPNKSKFVAKKNRVTIT LVKADDKNTWMNLTAKSAAPTAKPNSADPSAGIMDMMKNMYDEGDDEMKRTIAKAWSE SRNKNPGGADVGGLGGL H257_05295 MSLREVLYWTAAFFGFVFASSLALQVALNVLISRCHARFLTDVP IDATTNATIRVHPIRIKLWGLLLKFIQEPSQENVASLVLPFVEITITKQQHVSSCVAS AMPLDLASIAALASPTNPIWSQALPLIHRFGFVCRFVHLVDIFMTNISILVRDTNHQP MFSVTNASVNATMLLDPDKHRVIVSLNVCHHLPMTISIPSAHMNVDVQQVALQVTIPI SKSPSDARLPLPSSVSISGHSLYVSVKLLSPQPPLDATIPSAPVSHPTVSQTHTTAAN NNNNEAMINLIALLPSVVSFSWHSAKVTLEHPSSLSQCSVELLQIVLVSQNGSPKHAA VAVDVHRLSAQVVPRQASPPLQLLQLGPMTGSVDVTRPHVSSLALNVWAELKRVHVVL SDVLEPWVSLATNLQIALPPAPHVSILSSVQLHGTVTNVSVLLCPRTALDLDDPSHLC PPLEILVDDVYVSALPPHLVGVRSRAELRLSRVGVRLESSPAPSVTMDYMRMLFYPIA SFLHGKTDVAADVEMEGEWLDVHYTPQLLHALGGVARLVLFTCQTPLSQVFATPKPAA DDNVIRPRRSGVDMFKREPVYPRVKFHGLVKHIVASFPVALPSTPPSTEQVSVDSLMI ETDATTSSRFRMHMDQIHVSTQGPKKCKPYMVVGHFAVEEAPVGGTSVVDLYGQDVAV TWDVLTQVRVAKAVQDVTTAVYRLLFQIFHGYTMHVAPPHSKFKVWGVRVGVNPAMDD AAAYIAMRAHLSNAISASGDKLHRVAVRNVSVSVPALEITVTVDEFGGDDVPDLWTFG SIQVTWRHQPKLVSMAHVSVRRTVAGRLDYVFGDFEAMLRQRQKVLAASDDGHGASSV DDETLCVCICDLHVEIPYASWSDLVTLSTQMQTLAATLATIWHDTMSEFWRPQHPLFF RYFIKLPRLSSRPKIELDMRQLDVEWVDDPMETWLAHMAPLWMDTLAEQEGRRQVVLH DHWTSHLHDNTTMTNEAAGFESKHIELLEMFAKAYVTRAQEMRKHQQQPYTHNKTNNN MARLRVSVCRLHGWVLPLVDPVRLIRKMKELDNDTLSLLQLPRCVRPCFDLLVGVSMH VHAESVHVHVRGGGSSQASPPIVKVPNLELQGDVVVAQPTSTSAFHVTHPVDIAAFSH LQVVTSRIPLKCFLDLNIGVTGTTVAYSPVLNSSMVGLALDAQQCLFAYILPSLEVDT WAYWDIVRRLVHGKYSVTCRDTTVQLMACQNVAEGVQLTLHNVQVAYTNKGTVDIHVD KVQCKLEPHGGAVDTFVDIPAVALAVDWTWMIDQDDDDTVSSLHYIYPMKFTYLENPN QVQAHVHNPHIMHHSPSRLSMGVRCIVGTNDRKDTTSVVVYGSTMARLLELGQSYMEM CAIPTVTSRQRRYPRRPLVPRLSDLQHHIHRVVLRSLQVEHGVDVALYHSDQSPVGVR VHINDIFASLAMTCGAIRSLADCFQHHMVVATNPKKATWAIHDVVANVQEIQIRVCTV KSGSRGDACVTLHQSYAVMEPSKLMPPWEDKANAIVERFHAAAVLVDASTGGDGPQVR DVASPPPSSESKSILEHFAIQDDVHLLLKQRSPASSATAAVVVASTPSKEKFQTPRRL SASRLPPPPPSTASPPLGDGHDSSPELGCLCYVLVHQPRVHMTLDTIDAVVEMALEWR ALVQEYMPPSDIPISVIHQVEAAVATPVADTCDDASGDLTSHLEHMLHPAVQPKVRVS STNDFLLHSQICDDVDDERLPITTDMIAIQTLLRINVVDFQLAVQDSAHKGSILVAIP SGCVEPAVDLEHHTEHVDVSVCGVFVFTSSLEVDMTSNMHHTWLKVERSVISQSSTML WKQVLHASAIECGISHDVVPNVHQVTIHVANIDAILDAESKQTLLDMGTLLSARIQDK LESHTKAAANSVVIESGASTPPSTHPPLNTEGDDGPLSSTPDVVAMLPQLWRQRRTLQ WTIATLRYVESCRFGVLASAVPDVDAAALRSLPRRRLSSVQSPPSRPSAASARLTALA HDFRVLNAEVAFAIREYYKYKQVHPTVDLHFELDEAALLVKGPTFDLLRLQLQQVEGT VAHFQDQSGTLSIQLHTMSAANLMPQTPLPDLVVPVDRNQQAKADFVDAGGVVRVDAE MAAPVGGQLVVQHFEINVLPLQVCITYELVLQLVAFMSTAQSPHIHKQVRQVYL H257_05296 MTAEPPGPSVPYGFPRWSFLYTIIFCFSFVACISASVTLRRSTK AITSCVCYAMYWYFVCKSIYSFCRIFVLAKSVSEYINGHSSWLRLDEARDVGGFRLLG NETSNDPLIQLEQPPMTVKVALFIGDAALVSSCLWMLVLVLELLRLVKTTMDRGATAE KRMLYFYLYFNFWAVATYFTAQLIVSSNQGESGRTILESTGEAFYSERFEAVLITAAS VQSLVLTAVSFAVFYLNRTGLNLESVECRVVQSPLYKRLKRILVVYVACTVPYLTFSW VVVAKAYGYMNIVPDELTILSTALYAVSGFALAFVLVANQQCMLSWCMVSDDVIQQIQ ANEPPTDFPVFVNTDIESSSALWGALGSVMHDAQDIHDNLLRTLLVPHHGYEITTAGD AFQLAFHNIADAVAYCLDVQEQLLQQEWPPAFVDCHMPGSATIMAQQSLLKRQKMVFH GVRVRMGIHASNPAEGDLVNHVHPVTGRMMYVGLSELIGREVSDIGHGGQIIVTAPIV RWLRANVLNATPWAKAHPLVLLELGVYRIEDLKIDLGIAQVVPLDLRARAGMFPPLEN LNRIRRPSNNYELLISPKASIL H257_05296 MTAEPPGPSVPYGFPRWSFLYTIIFCFSFVACISASVTLRRSTK AITSCVCYAMYWYFVCKSIYSFCRIFVLAKSVSEYINGHSSWLRLDEARDVGGFRLLG NETSNDPLIQLEQPPMTVKVALFIGDAALVSSCLWMLVLVLELLRLVKTTMDRGATAE KRMLYFYLYFNFWAVATYFTAQLIVSSNQGESGRTILESTGEAFYSERFEAVLITAAS VQSLVLTAVSFAVFYLNRTGLNLESVECRVVQSPLYKRLKRILVVYVACTVPYLTFSW VVVAKAYGYMNIVPDELTILSTALYAVSGFALAFVLVANQQCMLSWCMVSDDVIQQIQ ANEPPTDFPVFVNTDIESSSALWGALGSVMHDAQDIHDNLLRTLLVPHHGYEITTAGD SFQLAFHNIADAVAYCLDVQEQLLLQPWPPAFVDCQMPGSATITMQLSLLQRPKTVFH GVRVRMGIHASNPAEGPLVSQVHPVTSRMAYVGLSELIGREVSAIGRGGQIIVTAPIV RWLRASTANNAAWTKTHPIVLQELGM H257_05296 MDRGATAEKRMLYFYLYFNFWAVATYFTAQLIVSSNQGESGRTI LESTGEAFYSERFEAVLITAASVQSLVLTAVSFAVFYLNRTGLNLESVECRVVQSPLY KRLKRILVVYVACTVPYLTFSWVVVAKAYGYMNIVPDELTILSTALYAVSGFALAFVL VANQQCMLSWCMVSDDVIQQIQANEPPTDFPVFVNTDIESSSALWGALGSVMHDAQDI HDNLLRTLLVPHHGYEITTAGDAFQLAFHNIADAVAYCLDVQEQLLQQEWPPAFVDCH MPGSATIMAQQSLLKRQKMVFHGVRVRMGIHASNPAEGDLVNHVHPVTGRMMYVGLSE LIGREVSDIGHGGQIIVTAPIVRWLRANVLNATPWAKAHPLVLLELGVYRIEDLKIDL GIAQVVPLDLRARAGMFPPLENLNRIRRPSNNYELLISPKASIL H257_05296 MDRGATAEKRMLYFYLYFNFWAVATYFTAQLIVSSNQGESGRTI LESTGEAFYSERFEAVLITAASVQSLVLTAVSFAYGRPGAAIFHVSMCRVFYLNRTGL NLESVECRVVQSPLYKRLKRILVVYVACTVPYLTFSWVVVAKAYGYMNIVPDELTILS TALYAVSGFALAFVLVANQQCMLSWCMVSDDVIQQIQANEPPTDFPVFVNTDIESSSA LWGALGSVMHDAQDIHDNLLRTLLVPHHGYEITTAGDSFQLAFHNIADAVAYCLDVQE QLLLQPWPPAFVDCQMPGSATITMQLSLLQRPKTVFHGVRVRMGIHASNPAEGPLVSQ VHPVTSRMAYVGLSELIGREVSAIGRGGQIIVTAPIVRWLRASTANNAAWTKTHPIVL QELGVHRVPDLKIDLGIAQVLPVSLKGRLALIPPPSKVHTMLTYCPRLSNNYDLLISP METTSHNSDVSMAA H257_05296 MTDLEQHVAYGFPKYSVLYTIFMLIALLASTTAAWTLYKYTKLV SSCVCYAMLSFFMWKLVYTFLRIVTLASMIHEFLQESSTWMSLDVNHDVGGFRFIGHE ADIAEKKLDPPPYFVMIPLFIGDTALFSAGYWMLVLVVELLRLVKTTMDRGADAENSF LRVYMSLNIVIVLTYFASSFATSSTDGFEEIEVSVFYHTRFRSTLIASCVVHTTVIVA VAAAVIYLNCAGLKLESVECRVVQKPLYIRLKRIFLIYVLTALPYAAIGWVLALHPAS VITFLADIPNEILALSNMLFFAAPIALAFVLVANQQCMLSWCMVSDDVIQQIQANEAP TDFPVFVNTDIESSSALWGALGSVMHDAQDIHDNLLRTLLVPHHGYEITTAGDAFQLA FHNIADAVAYCLDVQEQLLQQEWPPAFVDCHMPGSATIMAQQSLLKRQKMVFHGVRVR MGIHASNPAEGDLVNHVHPVTGRMMYVGLSELIGREVSDIGHGGQIIVTAPIVRWLRA NVLNATPWAKAHPLVLLELGVYRIEDLKIDLGIAQVVPLDLRARAGMFPPLENLNRIR RPSNNYELLISPKASIL H257_05297 MMYVGLSELIGREVSDIGCGGQIIITAPIVRWLRINLAQRTEWA KAHPCFYLDMGVHHIEDLRIDLGIAQLVPMDLMERVGMFPPLRSGGRLDISRTAENHY SLLISPVPHRCPRRAATSLVA H257_05298 MGATDDDPSYAFPAWTLLYVVVMALSLAASFTAALALHKYSKLV SSCICYAMLHFFTWKSIYSLFRITVLAVLMEQFNHKSSTWFILDRDHDIGGFRLVGHE NADHLGDVGPPPFYVKMALFIGDISLLSGSFWMFVLVAELLRLVVCMV H257_05299 MGATDDDPSYAFPAWTLLYVVVMALSLAASFTAALALHKYSKLV SSCICYAMLHFFTWKSIYSLFRITVLAVLMEQFNHKSSTWFILDRDHDIGGFRLVGHE NADHLGDVGPPPFYVKMALFIGDISLLSGSFWMFVLVAELLRLVKTTVDRGVHAERKI ARAYMVLNLILMLAYFAGVTSSTLPSTHSFYSNRFRLFLVTSTASQCAVVLFVSGAVL YLNCTGQKLECVHCSIVQKPLYCRLKQILLVYDITALQYFAVGWIMTVKPATPHGNFD YLPRGLVIVSNLLYFASPIALAFLLVANQQCMMSWCMVPEDVIQQFQANEPPTDFPVF VNTDIESSSALWGALGSVMHDAQDIHDNLLRTLLVPHHGYEITTAGDSFQLAFHNIAD AVAYCLDVQQKLLQQEWPRAFVDCHMPGSATITTHQSLLKRPKMVFHGVRVRMGIHAS NPAEGDLVNQVHPVTGRMMYVGLSELIGREVSDIGCGGQIIITAPIVRWLRINLAQRT EWAKAHSCLYYDMGVHRIQDLKIDLGIAQVVPLSLHERVGMFPPRSSTVRFDSPRCPE TSHYSLLISPHQPQYPSYPPSAFFRSPCDQGNISLMA H257_05300 MLWTGFLVLAVLASSVSGRQVSQRHRLDDFRGMQHHIQYHPEVP AGEGVASSVTEHNYTQAVVDHYAPVSATKFWNQRYFVNDEFWAGEGFPVFLYIGGEGP LTASALSKNNFIHELAQHHRALLVGVEHRFYGTSYPTPNMSTDNLQYLSSQQALFDLA RIHSYLTGVYGLHRSPWVAFGGSYPGALAAWFKLKFPHLVRGSVASSAPLLAKENFQE YMEVVGAGLRYFGGGDCYRSVEKAIGSFHALLADTSEASKAKLDRLFKPCTPRRNDFD DSVFESSVMTLFQDVAQYNEETSGQTLSDVCRIFANKTADPLTQLHTFVTTQSKKACL DSSFQGTANGTVEVLRDITFNGHSSSRQWFFQTCNEFGYFQTTTSAKSPFFALKSQTL QNVGLEVCKRVFGINASPDIARTNTFYGALDIAVDNVVFPSGTIDPWHALAVQNSTHL ATSSSHAIFIEGTAHCADMRARNATKDSGHLVWARQQIAAAVASYVGGTPNVANQ H257_05301 MDVRRQMTSSLQIKEIIVDMDGQRCVAGELVVYHPVRDSIVSVT SISLQEIDARTGVLMGEIAFDGSLLGDSKPNAFECVVACGHKYIVAALSRYLVIWDLR DMVLAHVAEVAVAGTMSQKTKHLSALTASTDMEATLFFAHEGSQSIKVVSVDALLNGS STGMRKLPRKAATRNSTITVLAYHTAHALLGCGASDGTVQLWRCSDASSSDGATGATA ADPSTSGEAELVHDLVVTFNAKQVPPASSVSAVHLHSTAPDDLHVAVSYTSKHVDVYH LHGRPLRPSSSTDPIGSIALPHGLTFAKRQSVWFGPARDTLVVVLDDLSTSRTSVLHL VHFGDGIGRFGTPLELPLLGPSDPTAFVAVCGSAALYTSTTAARSLACLGFADDEPVD VVTLPAYHHQWSIRLPLSFGQYCHPETLPDRLVQLSWTPDLNRFEVAVVSLKTNERLP SSFGAVPSTLDNHPVTPLRVLASPDLSVVGVLLQSTSGSVAVLVTSADSSVVYDVADA CFAPSGHLVTLVPSRRSVRWHQDVHAAEPHGASFPLPVAADRIFATRLPMPDAPDMYK VLFVIHDTTHDTLRLSDHTLAFAADSAMTWNAHKNERVLDVQMEPSTTQGQPHNMAVL TTHRIVILDPSMVSVACFTPSNPLAMTPVSMLWIGSAIAFATGGGALYYLPTQKDGAT SPTLLCALAKPGLDFSSIQLLACMPDRVVYSVRPVDGVVTTLTRPFSVLEVFALSQDD LDLTRQFVHREVDSAPIVPVSHRLIADLASRDPELCLVALSPPATSSTTGSSSSFRST SHVATSVVCGLLLAVHRWKDAFLHALVDDPGLQEYARDPVGASGAQLPQRSSGTSSHL CHLGRVLERFGQFGTAGQCYDVAGHDHALIQLVLKSGAADALDVLVHAFRSSNSALVV AALTARVADNPPKSDPFRLLCTEHVPVEDRRSRLLPAATSILRDAKLHCNPGPAVECM WKYFTWRRLLPEDASDWIGSPHVHYAAEDFKLPPRCRHVAAGKLSVDTHIDDSSGVAA PSSTASIGPFLDEEDGVVAYWRFEDGANNASVADGIQFVDTSKRENHLTVQHLDLVLS TAPVDRGEEAKLPPEYALRFLSPNTMHGCGTVEVKKGSSSLDVGVAYDEDPYRRCLTV EMWVKPAADWGGCTGTLMRRETPAVVLWEFGLDGGALVFTLLGQTVKSSPVPFSAEDT WQHVAAVVDITSEVRASVRLAVGGALVVTKEVTITSSTSTGDIMSTVVVGPQLTGMDM TEIRIWATPRSAQQLRDMKDTYLTMAESKKRIKMKIHDRDCQCAKCLGRRQNTPIAKL AMVQPLASLTPTSRDRRQRMKTPKSEETRT H257_05301 MDVRRQMTSSLQIKEIIVDMDGQRCVAGELVVYHPVRDSIVSVT SISLQEIDARTGVLMGEIAFDGSLLGDSKPNAFECVVACGHKYIVAALSRYLVIWDLR DMVLAHVAEVAVAGTMSQKTKHLSALTASTDMEATLFFAHEGSQSIKVVSVDALLNGS STGMRKLPRKAATRNSTITVLAYHTAHALLGCGASDGTVQLWRCSDASSSDGATGATA ADPSTSGEAELVHDLVVTFNAKQVPPASSVSAVHLHSTAPDDLHVAVSYTSKHVDVYH LHGRPLRPSSSTDPIGSIALPHGLTFAKRQSVWFGPARDTLVVVLDDLSTSRTSVLHL VHFGDGIGRFGTPLELPLLGPSDPTAFVAVCGSAALYTSTTAARSLACLGFADDEPVD VVTLPAYHHQWSIRLPLSFGQYCHPETLPDRLVQLSWTPDLNRFEVAVVSLKTNERLP SSFGAVPSTLDNHPVTPLRVLASPDLSVVGVLLQSTSGSVAVLVTSADSSVVYDVADA CFAPSGHLVTLVPSRRSVRWHQDVHAAEPHGASFPLPVAADRIFATRLPMPDAPDMYK VLFVIHDTTHDTLRLSDHTLAFAADSAMTWNAHKNERVLDVQMEPSTTQGQPHNMAVL TTHRIVILDPSMVSVACFTPSNPLAMTPVSMLWIGSAIAFATGGGALYYLPTQKDGAT SPTLLCALAKPGLDFSSIQLLACMPDRVVYSVRPVDGVVTTLTRPFSVLEVFALSQDD LDLTRQFVHREVDSAPIVPVSHRLIADLASRDPELCLVALSPPATSSTTGSSSSFRST SHVATSVVCGLLLAVHRWKDAFLHALVDDPGLQEYARDPVGASGAQLPQRSSGTSSHL CHLGRVLERFGQFGTAGQCYDVAGHDHALIQLVLKSGAADALDVLVHAFRSSNSALVV AALTARVADNPPKSDPFRLLCTEHVPVEDRRSRLLPAATSILRDAKLHCNPGPAVECM WKYFTWRRLLPEDASDWIGSPHVHYAAEDFKLPPRCRHVAAGKLSVDTHIDDSSGVAA PSSTASIGPFLDEEDGVVAYWRFEDGANNASVADGIQFVDTSKRENHLTVQHLDLVLS TAPVDRGEEAKLPPEYALRFLSPNTMHGCGTVEVKKGSSSLDVGVAYDEDPYRRCLTV EMWVKPAADWGGCTGTLMRRETPAVVLWEFGLDGGALVFTLLGQTVKSSPVPFSAEDT WQHVAAVVDITSEVRASVRLAVGGALVVTKEVTITSSTSTGDIMSTVVVGPQLTGMDM TEIRIWATPRSAQQLRDMKDTYLTMAESKKRIKMKIHDVRTIHSRDG H257_05301 MDVRRQMTSSLQIKEIIVDMDGQRCVAGELVVYHPVRDSIVSVT SISLQEIDARTGVLMGEIAFDGSLLGDSKPNAFECVVACGHKYIVAALSRYLVIWDLR DMVLAHVAEVAVAGTMSQKTKHLSALTASTDMEATLFFAHEGSQSIKVVSVDALLNGS STGMRKLPRKAATRNSTITVLAYHTAHALLGCGASDGTVQLWRCSDASSSDGATGATA ADPSTSGEAELVHDLVVTFNAKQVPPASSVSAVHLHSTAPDDLHVAVSYTSKHVDVYH LHGRPLRPSSSTDPIGSIALPHGLTFAKRQSVWFGPARDTLVVVLDDLSTSRTSVLHL VHFGDGIGRFGTPLELPLLGPSDPTAFVAVCGSAALYTSTTAARSLACLGFADDEPVD VVTLPAYHHQWSIRLPLSFGQYCHPETLPDRLVQLSWTPDLNRFEVAVVSLKTNERLP SSFGAVPSTLDNHPVTPLRVLASPDLSVVGVLLQSTSGSVAVLVTSADSSVVYDVADA CFAPSGHLVTLVPSRRSVRWHQDVHAAEPHGASFPLPVAADRIFATRLPMPDAPDMYK VLFVIHDTTHDTLRLSDHTLAFAADSAMTWNAHKNERVLDVQMEPSTTQGQPHNMAVL TTHRIVILDPSMVSVACFTPSNPLAMTPVSMLWIGSAIAFATGGGALYYLPTQKDGAT SPTLLCALAKPGLDFSSIQLLACMPDRVVYSVRPVDGVVTTLTRPFSVLEVFALSQDD LDLTRQFVHREVDSAPIVPVSHRLIADLASRDPELCLVALSPPATSSTTGSSSSFRST SHVATSVVCGLLLAVHRWKDAFLHALVDDPGLQEYARDPVGASGAQLPQRSSGTSSHL CHLGRVLERFGQFGTAGQCYDVAGHDHALIQLVLKSGAADALDVLVHAFRSSNSALVV AALTARVADNPPKSDPFRLLCTEHVPVEDRRSRLLPAATSILRDAKLHCNPGPAVECM WKYFTWRRLLPEDASDWIGSPHVHYAAEDFKLPPRCRHVAAGKLSVDTHIDDSSGVAA PSSTASIGPFLDEEDGVVAYWRFEDGANNASVADGIQFVDTSKRENHLTVQHLDLVLS TAPVDRGEEAKLPPEYALRFLSPNTMHGCGTVEVKKGSSSLDVGVAYDEDPYRRCLTV EMWVKPAADWGGCTGTLMRRETPAVVLWEFGLDGGALVFTLLGQTVKSSPVPFSAEDT WQHVAAVVDITSEVRASVRLAVVLVLGTSCRRWSWGRS H257_05301 MDVRRQMTSSLQIKEIIVDMDGQRCVAGELVVYHPVRDSIVSVT SISLQEIDARTGVLMGEIAFDGSLLGDSKPNAFECVVACGHKYIVAALSRYLVIWDLR DMVLAHVAEVAVAGTMSQKTKHLSALTASTDMEATLFFAHEGSQSIKVVSVDALLNGS STGMRKLPRKAATRNSTITVLAYHTAHALLGCGASDGTVQLWRCSDASSSDGATGATA ADPSTSGEAELVHDLVVTFNAKQVPPASSVSAVHLHSTAPDDLHVAVSYTSKHVDVYH LHGRPLRPSSSTDPIGSIALPHGLTFAKRQSVWFGPARDTLVVVLDDLSTSRTSVLHL VHFGDGIGRFGTPLELPLLGPSDPTAFVAVCGSAALYTSTTAARSLACLGFADDEPVD VVTLPAYHHQWSIRLPLSFGQYCHPETLPDRLVQLSWTPDLNRFEVAVVSLKTNERLP SSFGAVPSTLDNHPVTPLRVLASPDLSVVGVLLQSTSGSVAVLVTSADSSVVYDVADA CFAPSGHLVTLVPSRRSVRWHQDVHAAEPHGASFPLPVAADRIFATRLPMPDAPDMYK VLFVIHDTTHDTLRLSDHTLAFAADSAMTWNAHKNERVLDVQMEPSTTQGQPHNMAVL TTHRIVILDPSMVSVACFTPSNPLAMTPVSMLWIGSAIAFATGGGALYYLPTQKDGAT SPTLLCALAKPGLDFSSIQLLACMPDRVVYSVRPVDGVVTTLTRPFSVLEVFALSQDD LDLTRQFVHREVDSAPIVPVSHRLIADLASRDPELCLVALSPPATSSTTGSSSSFRST SHVATSVVCGLLLAVHRWKDAFLHALVDDPGLQEYARDPVGASGAQLPQRSSGTSSHL CHLGRVLERFGQFGTAGQCYDVAGHDHALIQLVLKSGAADALDVLVHAFRSSNSALVV AALTARVADNPPKSDPFRLLCTEHVPVEDRRSRLLPAATSILRDAKLHCNPGPAVECM WKYFTWRRLLPEDASDWIGSPHVHYAAEDFKLPPRCRHVAAGKLSVDTHIDDSSGVAA PSSTASIGPFLDEEDGVVAYWRFEDGANNASVADGIQFVDTSKRENHLTVQHLDLVLS TAPVDRGEEAKLPPEYALRFLSPNTMHGCGTVEVKKGSSSLDVGVAYDEDPYRRCLTV EMWVKPAADWGGCTGTLMRRETPAVVLWEFGLDGGALVFTLLGQTVKSSPVPFSAEDT WQHVAAVVDITSEVRASVRLAVVLVLGTSCRRWSWGRS H257_05301 MRLFASIGSIALPHGLTFAKRQSVWFGPARDTLVVVLDDLSTSR TSVLHLVHFGDGIGRFGTPLELPLLGPSDPTAFVAVCGSAALYTSTTAARSLACLGFA DDEPVDVVTLPAYHHQWSIRLPLSFGQYCHPETLPDRLVQLSWTPDLNRFEVAVVSLK TNERLPSSFGAVPSTLDNHPVTPLRVLASPDLSVVGVLLQSTSGSVAVLVTSADSSVV YDVADACFAPSGHLVTLVPSRRSVRWHQDVHAAEPHGASFPLPVAADRIFATRLPMPD APDMYKVLFVIHDTTHDTLRLSDHTLAFAADSAMTWNAHKNERVLDVQMEPSTTQGQP HNMAVLTTHRIVILDPSMVSVACFTPSNPLAMTPVSMLWIGSAIAFATGGGALYYLPT QKDGATSPTLLCALAKPGLDFSSIQLLACMPDRVVYSVRPVDGVVTTLTRPFSVLEVF ALSQDDLDLTRQFVHREVDSAPIVPVSHRLIADLASRDPELCLVALSPPATSSTTGSS SSFRSTSHVATSVVCGLLLAVHRWKDAFLHALVDDPGLQEYARDPVGASGAQLPQRSS GTSSHLCHLGRVLERFGQFGTAGQCYDVAGHDHALIQLVLKSGAADALDVLVHAFRSS NSALVVAALTARVADNPPKSDPFRLLCTEHVPVEDRRSRLLPAATSILRDAKLHCNPG PAVECMWKYFTWRRLLPEDASDWIGSPHVHYAAEDFKLPPRCRHVAAGKLSVDTHIDD SSGVAAPSSTASIGPFLDEEDGVVAYWRFEDGANNASVADGIQFVDTSKRENHLTVQH LDLVLSTAPVDRGEEAKLPPEYALRFLSPNTMHGCGTVEVKKGSSSLDVGVAYDEDPY RRCLTVEMWVKPAADWGGCTGTLMRRETPAVVLWEFGLDGGALVFTLLGQTVKSSPVP FSAEDTWQHVAAVVDITSEVRASVRLAVGGALVVTKEVTITSSTSTGDIMSTVVVGPQ LTGMDMTEIRIWATPRSAQQLRDMKDTYLTMAESKKRIKMKIHDRDCQCAKCLGRRQN TPIAKLAMVQPLASLTPTSRDRRQRMKTPKSEETRT H257_05301 MRLFASIGSIALPHGLTFAKRQSVWFGPARDTLVVVLDDLSTSR TSVLHLVHFGDGIGRFGTPLELPLLGPSDPTAFVAVCGSAALYTSTTAARSLACLGFA DDEPVDVVTLPAYHHQWSIRLPLSFGQYCHPETLPDRLVQLSWTPDLNRFEVAVVSLK TNERLPSSFGAVPSTLDNHPVTPLRVLASPDLSVVGVLLQSTSGSVAVLVTSADSSVV YDVADACFAPSGHLVTLVPSRRSVRWHQDVHAAEPHGASFPLPVAADRIFATRLPMPD APDMYKVLFVIHDTTHDTLRLSDHTLAFAADSAMTWNAHKNERVLDVQMEPSTTQGQP HNMAVLTTHRIVILDPSMVSVACFTPSNPLAMTPVSMLWIGSAIAFATGGGALYYLPT QKDGATSPTLLCALAKPGLDFSSIQLLACMPDRVVYSVRPVDGVVTTLTRPFSVLEVF ALSQDDLDLTRQFVHREVDSAPIVPVSHRLIADLASRDPELCLVALSPPATSSTTGSS SSFRSTSHVATSVVCGLLLAVHRWKDAFLHALVDDPGLQEYARDPVGASGAQLPQRSS GTSSHLCHLGRVLERFGQFGTAGQCYDVAGHDHALIQLVLKSGAADALDVLVHAFRSS NSALVVAALTARVADNPPKSDPFRLLCTEHVPVEDRRSRLLPAATSILRDAKLHCNPG PAVECMWKYFTWRRLLPEDASDWIGSPHVHYAAEDFKLPPRCRHVAAGKLSVDTHIDD SSGVAAPSSTASIGPFLDEEDGVVAYWRFEDGANNASVADGIQFVDTSKRENHLTVQH LDLVLSTAPVDRGEEAKLPPEYALRFLSPNTMHGCGTVEVKKGSSSLDVGVAYDEDPY RRCLTVEMWVKPAADWGGCTGTLMRRETPAVVLWEFGLDGGALVFTLLGQTVKSSPVP FSAEDTWQHVAAVVDITSEVRASVRLAVGGALVVTKEVTITSSTSTGDIMSTVVVGPQ LTGMDMTEIRIWATPRSAQQLRDMKDTYLTMAESKKRIKMKIHDVRTIHSRDG H257_05302 MQFAATYKEKEEFNQYWYSSATIEYLAKEILRSNPKCVAFLSTP SLYYACEELQKDATFAATSTPTFVLFDLDAALPRVVPYDFNDPTSFPGHAATEFEHAF DFVVIDPPFITEQVWTKYAESARHLLVPQGKLLLTTIAENHVFLDRLLSCTLRRYQPS IPHLVYQYGTYANYESVALDQLNPEIPLE H257_05302 MQFAATYKEKEEFNQYWYSSATIEYLAKEILRSNPKCVAFLSTP SLYYACEELQKDATFAATSTPTFVLFDLDAALPRVVPYDFNDPTSFPGHAATEFEHAF DFVVIDPPFITEQVWTKYAESARHLLVPQGKLLLTTIGTIYIHYSSPPWTSFLILTHA AENHVFLDRLLSCTLRRYQPSIPHLVYQYGTYANYESVALDQLNPEIPLE H257_05303 MLSAKRAVAALLENAALEGRVGTSVKDLFETYLVGAEGDVVFRD ACWRLFLRAQESSRSDEESPIRLFVSSSPSDTIARDLSFDEAVASDVWVIACEALRFR ALHLTAQLVMDEGSTGFLILETVGKSRAKGLSTMDISSRIRENLLPREVESKKFDAEL RTVHHYMDRLISQKLLVKKMVQITENFKCKRFNIVLLPRFDSSFDLETSLPQSVFEDG PAWKVHAVDYLVSYLKQQENGQCTFNDGMRAVSIEKRRLEAMKNHIIVESKKPGSSFP MEIFTANRADTKQRFWCLRLRNVGGIATSEEPQASRIVHEMGLVQQVYDAVVAAGPLG ITSPDLKHKFGMYGKWTYRLLTLLTTSYHVRMEKTVIGRNSVYRLIAASPPCPQMAPP MAAAAAAAVVEPPEHHADPRKRALSESTTPPPPPPPNKKKRVHPHTLRYSKVAADADP IVPVPALPSITDTTALRRQHILERLCIEKVVSLYSLRSSIIELENYAHDGKGLLSFNS GHRVDVRSIIRIVESSPDSMTLLQCDMPIKSSLTASGTKLSRVVLAKDATDADLKRFL NAYARDSRIQTLNSKAFTNPNVTIQPNDPPSSTSIAYKLKDVLTAKNQADRDRESQSH VLGKCHGFLYRCRLFHTHVFGYLQTAEDNPLLADVAAAHMPDRLFLLDPIFQSMSVAV YVRILGIGQLFAPDELVQLQAAIKANTIVADLPPTLKDKTTRHQSRRLTKLLRALIDL KVIKPHRLGHDTLMAILQGTDANGDLDLHRLVQYTLYDRVIGGFFIWHRHARIYFNVQ HDDVVGWNSWSSVRVNSTKFPYSFGHNVPLVHSFETHTDVDVFWEALECCATEQLSFN PSPGRVMKLPPLISTDHINMVAAKNWTPNTHGVRYSRRDKKAPLPRIPGSSKVVVVRR TRKKQTTNQQPVGGGGGGPVRRKKYKFVPRGVRLDLTFTDEEDTAIFNLYLAQLQAIW KVSVPVEMQVPDEPVAIRGPHVTRAQVSTVVITRTATPHRSVNRVRRRIDELLAKVPN KLRLLALKASFFGSITFDEEAQIHASPRLGALVTRVFMILFTSDGSYNQMAAEHLVGA WSRYEITLVWRYFWLKGWIVVAKPFHGRGFVLTRRFHEIFKATCTASYPLALFVEAAE QTSFLAAADESIQCCGAVEHAALVLGHGHYQVRHTPLPEVPQKPPSMKRRRDHRLTSS GCGLLNHLDQSERDQWHATFILSADAPPPRVPDCVFSHQLWPSPEQPPSKRFKTAQKK QRPRLVPFVQFERALLPVQEAGLSVEALVAALGTTRTAVEASVLVYVDEDKLVEVHGW TSLLYVLPQFSQIWTVYPYTTTTRGTIQLDTSLATSAHPWLKLTAQPNGPWLVRFQRK MVALLIKFPGCTEAAVLAGVEGVLPLQQVRLLLADLVQEEVLYARIVLLKAEKVDLFA IHRPAAPQIYVPSDDLSLLHVDRQRYAVHYFPTPDCIVKYGQLAQEIDRV H257_05304 MKTEIALTAAAGLVSWVGWQLGRVSTVRLDSGFACACQQVQGHV NAPAALHIVCYCDDCQKFATLTCQSTSKSPVDTHGGTDIVQVFPADVTVTKGKANITI AKLSDKTALLRVFASCCNTPLFNAIDDLAFIGLFTSTLKQPEDFGPVQFRIMGKFATS APIEPVAPTFSLGFGLVFFTRALVVYRSTVPCPD H257_05305 MLRAVRQQVTKPQVPTRRRIGFTVQHTHSVNMRASSSSSLFQAA SVSAATSQHATRKGVAGRILVGLLSLGAGDFVRQVIAEERPFDTRRLAVSAAVGGLYI PLRERFGVHASSLVQSQTLRGTMTRLGLQLVVVGPVSLACFLAAHIAAKDDHSAKLST RIQAKLMHDLWAAVKGSTALSVLVLGSFYLPIPFIRELAVMGSCLSWSSYMSFIAHKD DDQHSTPLLIDYAVTQGTVLSAS H257_05306 MLRAGTRVVPSFTRPRQQHLWRPMQSVLHHSLFSTWDHKREKPP QPPRTSSIYRKDAGNSSTITPLVHLPHSSSTSVLHHDASTANDGESTNAVPLLEEALH PFHSVHTDMNYDVESNALRMGFPHYKNEYVEEPGDEIREELREEATLMAERVLCRIRR TMGERETNKVLRKLSSYTTNPRPTRYSVAKFFGSLEIMMQADHADEYTYLRAFLCGKD SEKAAMDTASLLHPDHPLYHDLCAVILVHCKRACSTTLARVPNSTLPFVKRQMLRLLQ AKGWDAMVSYAGLLHVPRDRNDSCLKPDDNEWIALTLEDDLAEFDRDLDDAKALLANV RRHGDLSHHDVEKLLSVMVAYVKMAKAHRDILHLLVCEFQNTCHVVVAPTTTTSNTSS TNHRRHTNNHETHDTWVASVVEYLSSGRVHLPNIQAAEGFAADLVHHRHRSDAAIRLL LDARMRTSHIYIRGLDEEVLDRTMANKSDAMRRALLTTALNLATVLDDREFHAFYGRY VRHKLTWRSNFDSSVEIFLGDVADGLPKQIPEAARRLLVDSLGRVHNDLTLEALNVCR LRVLNRGRLIRTTATLTRTSVKPDDNESVDAPLRDLPSSWDRRRTVFYHNLPPKITVD TVRHTFQNIGPIARLWMFDEPRAELAPVEPPPATDATTDAAAADDDTPTDKKPKKKRV SRKANKEPDQPTRDVDAGKEAEKTAAKMQHVVASERHSTSQCVVEFESESAQQRALHP ALKIFGVMVNGAEEVRATFSTAPDVRNVVTIHSIPFCTQIHDVHRHIQAALGDDLIIT LGGSALPDLFVTKGTLELAFNSFEEAAVVVDRLTTYLDSRPDPKHELPFVGKAKQKYQ PTTTKVFVTNPLTKTLRANREKEKADDLQRNTTPTVYLKYDEGVDPDAHRPFEVAWTP VPRRRSRHIS H257_05307 MPTFTTSDSCVLAYEDTGAHAEDGTQLPVLLLLHGWSGSRRYFS RNVPLMQPHLRVICLDLRFHGESQGTAYGMHIARLAVDVHEFITVGLKLSAPVTLLGT SMGCAVIWSLFELFGTSLARQAIFVDQVPLQNRRDDWALHSYGCYDEASLQALETTLT SNLASVAQGNLASCLVRPNDVPPAVLDHLAGDVLRCNPTALATLMRDHTQIDWRSLLP TITIPCLNIVGGPQNKVFPMDGALHVGRLLPHCVNVVFESCGHWLYLEQPDEFSALVT SFVRTGNVARTFLDDAQKPNAAIYVVDKHRMLPLEKGIPRRVVRVVCISDTHGKHDLM EIPPGDILIHAGDFTNIGTHNQLRRFASWLAALPHPHKVVVAGNHEYSLDAYWYIKGG GRKRHKQFQDPAISRQILTDVCTYVENGVVEVLGVRIYGSPNSPIIPGCTMAFNLRPG NESTAHWQAVPDNVDILVTHTPPHGILDMNFRGQTCGDEALMDEVLSRIRPRFHVFGH IHEGHGTVEMDGTTFVNASSCNLRHQPHNTPIEFDISVALPL H257_05308 MMAWTYASMRARPCCRSCFFVYGNDGQPTPTAAAWDSSASLNLA TWSRSRMMSKLRPAFSNSSSNTVTENSNLSSMGKNRLTVTVNGPGGVSSWLLDSIECS EDDENEWTVRVLMDSTSGKVGSVLLLLLSPSWLVAASGGLSSTAGCSSNVSSVLTEDA T H257_05309 MVEEVLHERARVGVTRGCLDKAEASLGRERRQLGGSGPLVVMEL LQFRVEHLARMTSTHHINGNSAAVNVHAEVVHAAGEVGGEVLHRDDVQVHPSCFSHNQ RRRCGVFVRRE H257_05310 MGQVTSWCLGDDEHDHVLTSLKEDRASTRTAASSGASQATGSDQ NEQTAIYRSRPKAIMSSGPMYAAGTFENKYALKSVIGNGSTSICHLCEEKATKKHFAC KVIDKRAIQSKSDDLLDQFQVEIQVLQSLDHPNIIHMEDVYQTDTRICMVTELMEGGE LFDYVVQKGTLSEVEASCLVRKITSAIAYMHACGVVHRDLKPENVLLTSPSPNAEIKI IDFGLAKLLHDSQTRSFLGTRGYLAPEMLRRQSYSKSIDVWALGVIVYVLLCGCLPFN DDGSKITSDKAARAKFGLRFPRWASGLSDSAKDLLRHLLEVDSEKRYTAEQACLHPWV TGQRTPNQFLESPNYLKSIKQRHQAVVADAVAAANQSPATDTTLLPGLHSRRLSH H257_05310 MGQVTSWCLGDDEHDHVLTSLKEDRASTRTAASSGASQATGSDQ NEQTAIYRSRPKAIMSSGPMYAAGTFENKYALKSVIGNGSTSICHLCEEKATKKHFAC KVIDKRAIQSKSDDLLDQFQVEIQVLQSLDHPNIIHMEDVYQTDTRICMVTELMEGGE LFDYVVQKGTLSEVEASCLVRKITSAIAYMHACGVVHRDLKPENVLLTSPSPNAEIKI IDFGLAKLLHDSQTRSFLGTRGYLAPEMLRRQSYSKSIDVWALGVIVYVLLCGCLPFN DDGSKITSDKAARVRLISIFFIIFLYIYIL H257_05310 MGQVTSWCLGDDEHDHVLTSLKEDRASTRTAASSGASQATGSDQ NEQTAIYRSRPKAIMSSGPMYAAGTFENKYALKSVIGNGSTSICHLCEEKATKKHFAC KVIDKRAIQSKSDDLLDQFQVEIQVLQSLDHPNIIHMEDVYQTDTRICMVTELMEGGE LFDYVVQKGTLSEVEASCLVRKITSAIAYMHACGVVHRDLKPENVLLTSPSPNAEIKI IDFGLAKLLHDSQTRSFLGTRVLFNWQYSIGWKKHCLGEIYIYPFVADILYIMDVLCM GRATSPRKCFAGSRTASPSMYGRLV H257_05310 MRRGLLKTNTRSSPSSATAARPFVTCARRRRPRSILHARSSTSA RSRARATTCSINSSYFLQVEIQVLQSLDHPNIIHMEDVYQTDTRICMVTELMEGGELF DYVVQKGTLSEVEASCLVRKITSAIAYMHACGVVHRDLKPENVLLTSPSPNAEIKIID FGLAKLLHDSQTRSFLGTRGYLAPEMLRRQSYSKSIDVWALGVIVYVLLCGCLPFNDD GSKITSDKAARAKFGLRFPRWASGLSDSAKDLLRHLLEVDSEKRYTAEQACLHPWVTG QRTPNQFLESPNYLKSIKQRHQAVVADAVAAANQSPATDTTLLPGLHSRRLSH H257_05311 MNEAIAACDAESSSTLHTCSRANWHRCHLFYLEYTLPVIPWVLF IAFTLGFAWYTNVWAEFHQHIDDLHDIPAQALGIVVARQKRQLLGRKIPDPRRIFMLI AMWAELPGFSYLPLELMYYHRYGRYMFVSSAIGQFIKFICAAVLLLTLLLTVLHKSLV PLRVKLLYPLVFDALFVVFLYAIVDVLTCAVPMDRMPLGGGASTCACRDRVWLMAALA SVVFAAIYIGALAYRIHLSEDVFGVRFRYPMSFSYLMTVVRTGTCLLYMTITKLLEST DYVHTINITAMSIYFVLFAALFRYNYRLQPCLGSGLFPNNLRALSFATSCWSSLATIG TTFETTTVVVPLTFMALYPFGVVFLWRMNSARARQYHIQNQSLTDALNDTNLRVRTVA IVSITLEDHSRWKTDEIANVLQCLEACLAMPGVTEDGLLVAYACQAVWHLCCTHCKLN EAMIERPGATDFAPFNLWVSHRPSVQQAVKTRQSNPGATTRLQELVDRKAETNTRSGI STVAPLLAEGSINTVSNEQLLKHCLGVVLQRATSMLSLPFPKACNVMAKLLQEMYIAQ NVQLTLGTWLSVVCTLCGNYNHEVAAQAATSLCATMVQFDMTVVLPLLCDPAKLTAIS QLLVVPNALLPMTLLRDKVVGVVLARAAAQVTRTAPMRDPIHMYTPAFVTNMSTAWRK WQAEYSMTLALESVLALMQKAQLTWRAMVKHKLKQKQMQSSGSRMVTVRSGNNKAPSS SSRREAVVATVVPEGGPTAAMSCAQTSRRSSIASVHSEIQRAMHASPRSAKSRSPSPS RHPKHNRPDRPSIMPAQNINNQPTKVVLLQNEPSRQRPGRRKSHDHQAPRKTSSTSNP SRGPYSSLTKPPPPPQHPLLFGLSKLLLASEKAHGHTRSFDHYHRRRHRRSNSVHAVV NKLRTTSLTGGDTFIRNLNHLAKGNGHDLVPPAIWREIKLRRAVRLRVMTQVTAVLAE GLQVKLLPQLFTPATVQALQALVALLHTYPVMRAHIAYVLHPDEHRYITFVTSWQQAR VRGPVAAAATAGEESPLWARVLSWFSRLAELTPWASTDDVVVVPLQTKSMKRSTSMTM LLFPGFNFVTERRRWSRHPARNSLGRQSGPPV H257_05312 MGSIMVQITLLAIVWSTFVLVTVGFAVKVHLWRHLCSSSVDDHH KIETLAACMAKLQTFRPSFFHTIERTLVRLAHHLDFIVFSATPLTCLVVTAHGLWLWP PPDLLQMGVLYLGVPSVCFEVLATRLCPPRYHRALHHVVLPLTCETLYAVLIAGFVHA AICISGPSNSDATSGCFVFGGVTYVCSADGVGLVSTTVSMVCFGVLYYSALTYKWHRR DQTLAITFGVNPVTAVLDSVVRTAACVLLETVVAILHQLPLVNGDDHTRLVFVVAGVV HVLILLGLWRHHARLQPFHGDGYAINNLCAANFASTTYLSLVLILSNGPAFHSFQVSY IPWAVAGTAYPGLVLAAYLINAKIAVKVALRSVPESLDVSSRRVQAVAALCVTLDDFD LAACSPEHLISLVTKLSFFQTIRVSDLDGQPLAYALAATWKLVHHVYAVARLEVVAPD DDDLSPASESVPWHLWVHSATCFESATGRTLLLPTSTEVQDHLQTVFNYAVALIHLPH ANARFVVARTLQQMYAVGVGPLPLPTFVCVLCTLAGSGDISPALASSAALTFVRVCRM YNPMTDMAPALARDDLNLTHMLGFLGRPKGDGCHAAAATPRRSSSSSAEVLAHLVRLV AQYIQQSSLNPTDILPTNFAHLLQAAWLNWHDHYFVATALEDSCVSIHRAGEAFTTLH AARVAMSTVAKAPPRSPRFKVTSRRIYEGFTWVEPHMWKEVQRRQALRGEFANVVQGL VQEGLGGHLPPGDLNGRGQMLLDKFLGMLEVSRTLLLGHLHDSFSLNEVAYVRHLELV AQLQGTTTSVESVA H257_05313 MEFASWSREEQKSRLKEWNAKAKQLKATCQPSVVASTPEGDRSD SASTFVGTPAYVNNEAPASKPKERAQPLTSVAAIQASYKSRWRSDEAKRFALVKRTPH YQSWDDRRRLEASQMRVPPAADVNILPQAGDNDEFNGSVGTDNCIEVHEDAKFGQDDV WLQNLSYVAIARDSALKQQVLGHIRAALLQGQVVHLKLDAQATGDSVADSRLLAQQLT IASLLFCDRTQEIVPRTQVAATLSKASPKDKQRTKGKASAKGRRYKRKVQSFAAPVIH VDENEEVEGQDANSFFDHTSFLVEPPTKPKQNNTHPTDTVPLHRRGSDDFSAFGEDVV KMEATARTGEPSAAMKDFGGSIDVDLVTRHMALLTATTPSPPLHVEDIPMLDSIMDDE QHAAVETEKYERTWPAGKMFGDDDKEVDPDQTT H257_05314 MATESSATHQLRRLVLEAQKTKARTPGGKQPLGTQADTRGQRMP LHLKTIRKRMQEPTSLQMQIDDFIESAGEGLDDRVDQYVRAGLPIDRTHTVLGYTALH AAANQPDGRVMARLLRAGANVNATAMNHQGTALHAAVLYNNQSGVEKLLQHQAHRLAR AQGDVVPADIAMEYGRRTIHNILKGPPPPPHLPKCTLVEPQRLHITWEVKPRSRLSAN RTTPPPPPTEFKVLWRMWCANDFTHATCKAPVFVVQGLVPATLYEITVQAANDAGWSD TSAPLVVKTAETTPTAPKAPVITFVSEKSITMGILLPESNGAALDAICVLVQKTGSID LCDTSDLVALMQVNPHDTAWTVVWEGHPSTLRPLEHHDPSVREFVASTLAPGTVYFYR VKARNALGWSDLGDVSDGITTNDAPKLVHKTGTSLGLVWPKPYSTHDIDMYELQCKVA ATTDWSVVSSRIRGQSHTVSQLSPATGYVFRVRPHFANMRQGQCSSSSWETESNCVES HIYHTHGATPDPPSDVVLVSRSQSMLEVRWKMPRCNGHVVLHYELQKQRMDEVKSTQS ILSDAKPDPAAPWESVSNTIEVDCATYRVQGLMHGTPYRFRLRARNALGWSVDGDPSV AFFTHAFLPPTPPLATAKTHYSLDIAWRDQQADVNNNVDLKEYFELHLCRLWTYCPHD AIPDIRLDTWDVVQDRCPTRSCVVSNLSALSWYAFRVRSWIRHRGWTEFSDPSRPIQT LRRM H257_05314 MATESSATHQLRRLVLEAQKTKARTPGGKQPLGTQADTRGQRMP LHLKTIRKRMQEPTSLQMQIDDFIESAGEGLDDRVDQYVRAGLPIDRTHTVLGYTALH AAANQPDGRVMARLLRAGANVNATAMNHQGTALHAAVLYNNQSGVEKLLQHQAHRLAR AQGDVVPADIAMEYGRRTIHNILKGPPPPPHLPKCTLVEPQRLHITWEVKPRSRLSAN RTTPPPPPTEFKVLWRMWCANDFTHATCKAPVFVVQGLVPATLYEITVQAANDAGWSD TSAPLVVKTAETTPTAPKAPVITFVSEKSITMGILLPESNGAALDAICVLVQKTGSID LCDTSDLVALMQVNPHDTAWTVVWEGHPSTLRPLEHHDPSVREFVASTLAPGTVYFYR VKARNALGWSDLGDVSDGITTNDAPKLVHKTGTSLGLVWPKPYSTHDIDMYELQCKVA ATTDWSVVSSRIRGQSHTVSQLSPATGYVFRVRPHFANMRQGQCSSSSWETESNCVES HIYHTHGATPDPPSDVVLVSRSQSMLEVRWKMPRCNGHVVLHYELQKQRMDEVKSTQS ILSDAKPDPAAPWESVSNTIEVDCATYRVQGLMHGTPYRFRLRARNALGWSVDGDPSV AFFTHGTYSVCVLL H257_05314 MATESSATHQLRRLVLEAQKTKARTPGGKQPLGTQADTRGQRMP LHLKTIRKRMQEPTSLQMQIDDFIESAGEGLDDRVDQYVRAGLPIDRTHTVLGYTALH AAANQPDGRVMARLLRAGANVNATAMNHQGTALHAAVLYNNQSGVEKLLQHQAHRLAR AQGDVVPADIAMEYGRRTIHNILKGPPPPPHLPKCTLVEPQRLHITWEVKPRSRLSAN RTTPPPPPTEFKVLWRMWCANDFTHATCKAPVFVVQGLVPATLYEITVQAANDAGWSD TSAPLVVKTAETTPTAPKAPVITFVSEKSITMGILLPESNGAALDAICVLVQKTGSID LCDTSDLVALMQVNPHDTAWTVVWEGHPSTLRPLEHHDPSVREFVASTLAPGTVYFYR VKARNALGWSDLGDVSDGITTNDAPKLVHKTGTSLGLVWPKPYSTHDIDMYELQCKVA ATTDWSVVSSRIRGQSHTVSQLSPATGYVFRVRPHFANMRQGQCSSSSWETESNCVES HIYHTHGTETLEASSLLSCLSCVDRSDARPAVGRGASQPVPIDARGAVEDASMQWPRG AALRAAETTHG H257_05314 MPLHLKTIRKRMQEPTSLQMQIDDFIESAGEGLDDRVDQYVRAG LPIDRTHTVLGYTALHAAANQPDGRVMARLLRAGANVNATAMNHQGTALHAAVLYNNQ SGVEKLLQHQAHRLARAQGDVVPADIAMEYGRRTIHNILKGPPPPPHLPKCTLVEPQR LHITWEVKPRSRLSANRTTPPPPPTEFKVLWRMWCANDFTHATCKAPVFVVQGLVPAT LYEITVQAANDAGWSDTSAPLVVKTAETTPTAPKAPVITFVSEKSITMGILLPESNGA ALDAICVLVQKTGSIDLCDTSDLVALMQVNPHDTAWTVVWEGHPSTLRPLEHHDPSVR EFVASTLAPGTVYFYRVKARNALGWSDLGDVSDGITTNDAPKLVHKTGTSLGLVWPKP YSTHDIDMYELQCKVAATTDWSVVSSRIRGQSHTVSQLSPATGYVFRVRPHFANMRQG QCSSSSWETESNCVESHIYHTHGATPDPPSDVVLVSRSQSMLEVRWKMPRCNGHVVLH YELQKQRMDEVKSTQSILSDAKPDPAAPWESVSNTIEVDCATYRVQGLMHGTPYRFRL RARNALGWSVDGDPSVAFFTHAFLPPTPPLATAKTHYSLDIAWRDQQADVNNNVDLKE YFELHLCRLWTYCPHDAIPDIRLDTWDVVQDRCPTRSCVVSNLSALSWYAFRVRSWIR HRGWTEFSDPSRPIQTLRRM H257_05315 MHEISVVVAVARKTWGIGINNALPWKLPSDMKRFREITTGTTDA TKQNAVIMGRNTWESIPAKFRPLPGRLNVVLTRNAQLAAELEASSPQVLAASSLNDAL SKLPSATIEHVFAIGGASVYSDALRHPACHRAYVTLVDGDFDCDAFFPSTLKQLGFVE TEALGTQRENDIDFHFATYERTHEELQYLALIQRILDDGIQKGDRTGTGTLSLFGAQM RFSLRDDVFPLLTTKRVFWKGVAEELLWFISGNTNAKTLQDKGIKIWDGNGSREYLDS IGLVHREEGDLGPVYGFQWRHFGAKYIDMHTDYTGQGHDQLADVIYKIKHTPNDRRII LSAWNPADLGIMALPPCHMFCQFYVADGELSCQMYQRSADMGLGVPFNIASYALLTRL LAQVCGLQAGDFIHVFGDAHVYLNHVAPLQEQLKRSPRPFPTLKVNAAKTEIDDFTFD DFTLDGYHPHKTIKMDMSV H257_05315 MHEISVVVAVARKTWGIGINNALPWKLPSDMKRFREITTGTTDA TKQNAVIMGRNTWESIPAKFRPLPGRLNVVLTRNAQLAAELEASSPQVLAASSLNDAL SKLPSATIEHVFAIGGASVYSDALRHPACHRAYVTLVDGDFDCDAFFPSTLKQLGFVE TEALGTQRENDIDFHFATYERTHEELQYLALIQRILDDGIQKGDRTGTGTLSLFGAQM RFSLRDDVFPLLTTKRVFWKGVAEELLWFISGNTNAKTLQDKGIKIWDGNGSREYLDS IGLVHREEGDLGPVYGFQWRHFGAKYIDMHTDYTGQGHDQLADVIYKIKHTPNDRRII LSAWNPADLGIMALPPCHMFCQFYVADGELSCQVSCTAFPDSK H257_05316 MRRRFKRGRFQSCASFRLECRGSPKRREPATGGRDVELVRVDGH RGHETGHLCEGNVLNNRTGAPVVGSQCQHPTRLGDQELVAQDVACKARHANPRQSTLP GTSKAVGAAQTTLARSRWWPRRRPQLVGPC H257_05316 MRRRFKRGRFQSCASFRLECRGSPKRREPATGGRDVELVRVDGH RGHETGHLCEGNVLNNRTGAPVVGSQCQHPTRLGDQELVAQDVACKARHANPRQSTLP GTSKAVGAAQTTLARSRWWPRRRPQLVGPC H257_05317 MEDTTASLARVDTCCASSWIKQCPPNSTTLHGDWPSSGCSANAK SCRLKVTSARSCCCSRSARPSQTPSPISWTSRRTWRCTPALCESTSRRCCRLWSFSHH SP H257_05318 MCWCSPAWRASSGPVGRFCLRGAWRCLSTAPLELGQFGPLGPMS AFHHAQELEWYKVIFASGEVWWLVSVAGDVQIIFTHQYTSAAVPSLAGAVYVGSTDRF RHAVAMALGSMTIVFSYKRALKYHFGLDKWPYKNCVYLDQASAVITELLCVKWSGVFY VLDIQLWRTFVLDVPHDKGCGKATRCTTECNLPILLWVHMCKCVD H257_05319 MVSLWSFRHVLLVTCLLVHASLLAALAGDITRRQDENVDANGTI QASPNNDAATDVVQSAVPPTAVAVPTAAPLVPPVMDDTALKVCLATVDKLSLDAAVVA KQLTAATELANAAQRSMEKEAKENIRAQAELAAYKRSHVDAMASEVQLRESAERELAT QKDASFAIAKELEAHKQAVDGLKDELSKEIERSLELKRAEGVAATALLDKVVAYDLLI DKHKALESVYNDALEHLAHPMLSEYLRARGNELGDMRPELRAALEKAKSVMALPSNVL EKLDRGKQALLQGHEHLRSGVAPYVGDKHAASVSVGLLGLLMLPPLYVVYRFVHGVQR ALQAHHFVLVLNFVASSYFAVVGASSIMYHEDVLHNLQKHNPPASALLQFATLGVFIA QLWWSGVFVLVLLDSRLKYAQLVHVAASVGVVVHYYEHVWTLAMLDQEHQLQDSLFFM YAVVYAAGLVPALVSGAKLVNCIQSTSVVARPLDSLKRS H257_05319 MTAATELANAAQRSMEKEAKENIRAQAELAAYKRSHVDAMASEV QLRESAERELATQKDASFAIAKELEAHKQAVDGLKDELSKEIERSLELKRAEGVAATA LLDKVVAYDLLIDKHKALESVYNDALEHLAHPMLSEYLRARGNELGDMRPELRAALEK AKSVMALPSNVLEKLDRGKQALLQGHEHLRSGVAPYVGDKHAASVSVGLLGLLMLPPL YVVYRFVHGVQRALQAHHFVLVLNFVASSYFAVVGASSIMYHEDVLHNLQKHNPPASA LLQFATLGVFIAQLWWSGVFVLVLLDSRLKYAQLVHVAASVGVVVHYYEHVWTLAMLD QEHQLQDSLFFMYAVVYAAGLVPALVSGAKLVNCIQSTSVVARPLDSLKRS H257_05320 MEATNTPPPSNEIQPSPLSTQTLPRTTSQTSSEKRWLVPDEHHG EHIAIVSYPRSGNSLMRGLLETVTGVYTGCDTRPDRSLSLELQKAGMKGEGVVDDRVW FVKSHYPERSGYVPVPVQKAILVVRNPWDAINSYFNMTLTNSHNKSVHDVTYSRFADR WDAMIQNEIQVWIKFHAYWIAKVDIPIQIVRYEDLLLHRKETMHRVVKFILNKDPKGS LEDTEWNSRIESVLTEDDSTTGPYKPRSGKIASSFRHYSPDQFQHVLDVARVHLRNFG YDTNTQGFPHDIRLPNRQLRPAKPGGKSVWTLSGDKLELRDRNDTFGRLSTWFRKALT EPILASDGTNLNMHEVEAARERALASAQSASQGPGSEDADGEEDHA H257_05321 MERVVEAKPLLSANGADSMGVSHHHRVLDLDDSQHAYTPFLSLT QRESEATTTKPSSVLNQLGTFNGVYVPCLLNIIGVILFLRLGWAIGQAGVLGMLTIFF FAELQAVLTVLSASAIASNGAMRGGGSYYLISRSLGPEFGGAIGVQFYLLYASGVAMY LVGFAEEVAQTWFVDSAWGKKWVVVTVASTTLVTIVCIALIGAHAFSKVNQYLFVVQF ACIAYGAIVICVSTTRALESGGHVTGPQSSTLAANMHANYTDELHVCGDSACDLGAVY AIVFPLATGFMEGLNLSGDLKHPGKSIPVGSLAAVVTACVIYISLIFLFGASFDGVAL RTNFSFFQQVGPTPYIIITGILVSCYTSGLGALFGASRILQAIARDNLFYGFGCLGQG SAHGDEPQYAVAFTALLSFVFIFIGDLDVLAPICTSFFCVAYAAVNFTSLVLQVTGVP NFRPTFRYSCWPLSLAGVVLNLSVMIYLNAMYAAVTLVIVTALFVYLYLVGPETSWGN VSQALIYHQVRKYLLRLDTRKGHLKFWRPAILFVPPTSHAPSVALCNRLKKGGLYIVG DIVLGEFGPSTTTQASRRLQRWLDVIDDANLKAIPQVLVAPTVRQGYQCLMQCSGLGG MDVNTIAFDWMMLAAAAATPDVFVGVLNDAVLLHKNVVVFRHCDKVDAALLVPDANHS WVRPPRRLWRLDGVVRTVDVWITEPKPWSGTSSHVTLMLQLAHVLQSNVQWKGLPIRL VRVCDDGNDESAEHLIHVASELRIALHPTHALLLPMPGGAAAAAVEINRLVRDHSRDA ALVVMPLADPTVSQPDEFAATVEVLTNGLPPTMMVWSADGESVITTCI H257_05322 MRLRTADLALPGIHKDRLRWLRGVESVEGAHVLYWMQSSLRTKF NYALEVAVEAATRLKQPLHVLHTIDATSTMSERHMAFLLESLVDVHTSLQARHVRLDV AHSPSPVDIAVAASRGASLVVVDHPYLRNPTKLYQSFAAKATTTAVLQVEGDVVVPVE LVSDKQEHAARTIRPKITKLLDRFLVPLPRADSVLVPSSSLADHVTTTPSACTWLDMS SLTVDDLLAATSANASVPRVRTFLGGETHAQATLRSFLKSKLAKYGTARNEPSGDGSS NLSPYLHYGNISPVDIALQTKAVAGTGPALAASKASFLEELIVRRELSMNFVWFNANY DLFEAALPNYAVQTLTQHAADKRPYTYTKDQLDQAQTHDPYWNAAQLDMMVTGKMQNY MRMYWGKKIIEWTATPQDAFSIAIALNDKYNLDGDDPNSITGVAWVFGKHDQGWKERP VFGKVRYMNSDGLDRKFDMAAYVGNVRKLCAAAGRSAALPYLEMASTKKTKSPAFSKR KRV H257_05322 MRLRTADLALPGIHKDRLRWLRGVESVEGAHVLYWMQSSLRTKF NYALEVAVEAATRLKQPLHVLHTIDATSTMSERHMAFLLESLVDVHTSLQARHVRLDV AHSPSPVDIAVAASRGASLVVVDHPYLRNPTKLYQSFAAKATTTAVLQVEGDVVVPVE LVSDKQEHAARTIRPKITKLLDRFLVPLPRADSVLVPSSSLADHVTTTPSACTWLDMS SLTVDDLLAATSANASVPRVRTFLGGETHAQATLRSFLKSKLAKYGTARNEPSGDGSS NLSPYLHYGNISPVDIALQTKAVAGTGPALAASKASFLEELIVRRELSMNFVWFNANY DLFEAALPNYAVQTLTQHAADKRPYTYTKDQLDQAQTHDPYWNAAQLDMMVTGKVGSQ TMEFSLSVALNWTIMGGVHG H257_05323 MLRRCFSAAARPPKAVRRAAPDSRRVTTEKAEQDALQTTAETPA PAAYQPPPFEHQQEPTFGQVMKSNFLWGAGMSLGFILIGGIFRVFMEPSVASTTGPCD WEGGAFSVHAPETNCEIDAQHAS H257_05324 MDKKRQPVHEGYLQWLAASAGGLQTQYCQLSWSCQLRMYPTETA AASGVGGRPFSIRGFCKWEGRGVLPLDSYGIELQLKDKGQSSIYLAAENRLDLERWCR AFVAVLDPSSDAADEIKRERRKVKRDIRKQAEKEAEIKAQEDEFKRRWIAQKKQELRD RELEIEQMTPLQRKDGLGTLDEETEALLRDRKKRLNKKAGQQVGRVGKQVLRRRMELL GGGKVVVHPNDATFGDIAASAVVGQRKAKVKVELPPPGQYFTGDESLRGHHGSTSGGR QSTTSSQDSATSADGTPFYPPPPPPTLPTSHGSSIQSQEGAPLPPPPLALSTMGRGLP INPMAAALDAIKRNRRFSAASDRDATTMGSGGLQEDIMEEPSTGRSNRTRDSQLSAEG KRMLATALSHHNSATAANKKSAITTTHTPSIDRKGLFDSSSSSSSSSSDDDTDQVPSS LLTTPVPAELAVVYLSAAVELRQAKSVAIYRFAFRLLAYTSTQCEFGRSYHEYEAIHG QLKRSVVMEVPWPNFPSRHVLRNPTKPDNMQKRAAEFLAYFEALVQIKAVVAHPAFHA AFQVPAAMATCLVRGTVVVEPPPPGIQIKQVAATTRKSQHKPRHLAKPGVPKRNLFED DDEDEENEEASVASSVETPEPVKRPVADRVGSGSRRSLDERPHRGMEDRPPSSARKVA LGTTDRPPSTKEIQAAGMPRPNLFGGGGRGDLLAAIRKGAQLNKVASPEDNDTRSNVS TTTATTNAPIRAPPPANSLLAALQQAPPLKKAGPPPATKVVAAPTPPLAAPSIHDSIA IAMASRLVHTQYGHSDDDSDDEWDD H257_05325 MSQLTKKPDEAVVESLVGFVEVATHEFLYRSDVYPSGLYDKWKK FDVPVHICRHPRLLEYIRSVVASCRPWIVQGRVESLSVAVRSTKTGALLTTCVFELRL LACSITNEVKEQVDEVFRRALVQLSAALLTNPLPNDHDERTFRMFLRTIEDTSIPETL VGEQDIQQSWILATPADANDDASAALLPIASTSQPALPLQLNLYLLQPPHNTPAATPS P H257_05325 MTLPPYRYRSDVYPSGLYDKWKKFDVPVHICRHPRLLEYIRSVV ASCRPWIVQGRVESLSVAVRSTKTGALLTTCVFELRLLACSITNEVKEQVDEVFRRAL VQLSAALLTNPLPNDHDERTFRMFLRTIEDTSIPETLVGEQDIQQSWILATPADANDD ASAALLPIASTSQPALPLQLNLYLLQPPHNTPAATPSP H257_05326 MLPETTTKSNKGVHVSVNVQYKPLPTATVGSVMTVFESPYWANF MIQYIMPYLKSYKPTSQSILEKLATWTVSSVPRVDESCAICMSSMMPDDNKANVVALP CSHTFHSDCIRQWLTRCNTCPYCRHEFQKELTGRFVVSTIKTALVVDDEITQANVRDI AVGGHTMQAIVNMTLFQVHDDANYGCDLFVELQQAQQGHNTTSQTTTTTTTTSSHQPT TTDATISVATPTTPSPRQARKRGSVSSTTADAARLLKRLRTTSSHPPNGTAAPGA H257_05327 MVKIVLLSATSAALAVSLVIAATPGQCKATKDCAVWGQGYTCVS VQSSILGLTMTSQCVLGSACGGNIPGKCPTFGSWSGNYPKIQPVCAFAPAENCVATSA PASDAESINPVATPNPATVNCYAATFTANNESVTVQGIYKCLDSTIYTGQNLGGLRNL TDTQMQACNGTVTDSIPNPSLCNGHGTCAPVGSLASTYQCVCNQGYSTDDNCLKATSN ICDSFGSCGQGNSCDPGTGLCVCSEGTTGPQCSLCDSSPTACSSKGVCTSEGKCKCNV GYLGTFCDKVSPSTASPSGNDNGNGTGNAASATVSAAVLIVGLLTWIL H257_05328 MVSCLNNRKEVPTISRASFTSRALPLMRPSHKTFRTKTILAKKQ KQNRPIPQWIRLKTGNTIRYNAKRRHWRRTKLGL H257_05329 MMWTSLLGGRSRYRLASSFTRHVSNLAHVVIKAEGATPTKSVVV LHGILGNKGNWATFSRRIVTAFPQYQVIGVDHRAHGDSPSKSPPHHLHACAQDVIDLL ESLHVTPDVVVGHSFGGKVALTYLDACQRQSRPVPRHTWVLDALPGCAQSDYATRTQD ATFNSTDVVLPKLMEVPMPIATKKELVATLEAKGFDIGQAQWMTTNLKPLGDGFVWKM DLPVVALLFKAFLATDCWPILEHPPPNTHIHVVRAELNKFWTRDVIRRFDTVATQTHH RVHLHLLEKADHWVHVDNPQGLFAIMQRSFK H257_05330 MSKEGYIIRHGKKSSDILYCIVQDGRVIFLDRRGGDVVEEFGLT RTLLKIRGATTDEAFACENSFIVQVRNSQLVKGRQVAEGTEGEYLLSAPSHKERKEWG NAIHSWQRHYWREPLHAGLNMTEIEEEAFFRAQVSTLTRMLQESPRGKQKGNRHRTSS AASSNVAYNTMQQPAY H257_05331 MSDKAPVTVRTRKFLRNTLLARRQMLVDVLHPGRPNVPKAELQE KLAKMYKVADTNTVFLYGFRTAFGGGKSSGFALIYDTVNDAKKFEPKYRLVRQGLSEK VEKSRKQIKESKNRAKKVRGVGRRIARHKANKANK H257_05332 MGCGRVQRRTHSRQGRRRAIGIFFCFFFFVVVVAGWLFIGVVST KPGNVAPTAAAAAVDRTPKTAVVVHVRGQAVFGCYFGHSMLLWELCFPHHFIYSRTGY QVPTCDD H257_05333 MARWSATLWLIAAVQASIHVVHGPTVGWKAMERMFASAPGGKHS IVRVDFNATPVDVTSIPSDMEFAVAVSIFDTAEGSAPPLEFCAEKYYHGDHAVGHVFA DVTSQQVHGSEEFVVQHTSAHIVVVSTCVRPKFTFGDNSTVNLFPFQDLEGGVVYDIA AAISFENGYGYLPGLLWGLLPFSGLLLFGYVSVLTIFAGLYWCHRSTLLRLHTFILVV LVLMTAESLLWFITYVDLNSTGQAICCPYPPMVVASTALKVLSKLVARVLTMILCLGY GLARPHLTVPETILVTGLALCYVVSSGVLEIVHLSNQAKGTAEPPLVWEVLAVATDCC FAGWIFLSLTVTRKTLRATGQTAKLRMYTWLFGVLVGFVAIACGFTLFENAVYANQVP SFTWEYMWMLWAGSRVLNYALVVVLSVIWRPMQTSSLVAYSLQVPSTDDETRLDKAPQ VFEADQTPATAAQDKDDQDGHIGVQKDAVDAA H257_05334 MKGRSDYIKVSNEPDDEEASLMTDRQQQQREMNKQDEDLDVLHG AVKKLGVMSGNISTELDIQNKMLDEVNSETDRAQENLDMITKKTRELIKEAGANELFP LRPPPQHRHLIDGHT H257_05335 MLGRDAQLTSLVALLGGQVEDDPFLPMTCMSMVPLVVVYGYNST GKSSLVRLALQTLQRTSSIAFTAFVDCTTVYSRRQLFTDILRQIDPMARMQPDELSAS FQLQPAVDGYHNLTFLGFLQCLTKIARNKGLVVAVDNVDQLLTRGMADLLSSLLRLPH ELHVSVRLSFVLVTRNISSRLDKLLSPHTPAFVHLPLYTPDDVADILVLQLRMWRRET PFRAWIQFLHGLFEHDCHDWIDFRYRVLELLPLFDAQYDPEDATNHVDKAAHKSLVQQ TQSRVKAQWNHTTALPSATTTPASISCSVPDTAKPMAGKHPVHHDDASHRVNLPRGSL LLVLASYLASFNPQESDMAFFTTATRQNKKRGRSTATPSSAPPSSSKLSSKRGGGVLP PQLIGPKAFPLQRLLAIYYSIHDEVDPSTTFDSESRVDSRPMSRREAFAQIGMLVRMR VLQRLSPRDELDDIKLRCLADYDFVERIATQLEFPIHNFLNR H257_05336 MYTLYYAPATVSMVVHHVLVELEAHGVTHELRLVDIEAGAHKGQ DYLRLNPNGLVPTLVVDGLHPVYEAAAITMFLADRHPEAKLAPPANDTLARSTYLQWM FHLANTLQPAFRLWFYPKDLPHVDHEAVKRSVQAQIEAVWDRVDSHLAATSSPYMLGD DVSALDLYLMMLIRGSRNMPKPATAWPRLEVLANRIKIRPSWKVMNDAEGLTDWV H257_05337 MYTLYYSPATASMIVHHMLKELRVPHELRLVDIDTGAQKDPKYL RLNPNGQVPTLVVDGRPMYEAAAITLFLADRHRRRRAPRHVSQWMFHLANTLQPAFRL WFYAKDLPHVDHATVKADVQACIEAVWDRVESHLVAANSSYMLGASVSALDLYLVMLM RWSRNMPKPATEWPRVASVAHRVKETTSWKNMNAAEGNTDWP H257_05338 MVLRAFGTGVLVAAGGYWSLRTLVQERTGDSMLRLGEMRNRLNP PLRQKTKKVVVPAYAVEHEYYTAVRERWNSGVLAFRKNVIDFFDEMDKATKPREVEAT TSITTTVREDGDSWTVEK H257_05339 MEPLDIEVVDEAEYLRSLVATAASDAAVLRDQIHRRTQLLDKLR MAYMRDVVVVKDRLWKHGIRTDAELAALPSADFKPLLPLFSPAESLLRVRPCTTCGGG ADLIQCNDEAWTKAEIAAQVATQQLATVKAAKDKSDGALAAMTDDAATLSRMLVKEQK VTAFLQDEQKRLKGKLDAMAAARSTHHASMEATVVRLQHTVAQLETAATTADRTAATA DHTAATAKAELKQCQDAHVAAMAMAADEMDSQKREWQRKVDRCNERQAKAADMIQTLQ RDIERFEAAAAAMETRVELKHLQDLNAMSGNWQREVEAHALTKQRSMDAMADLERRLK DALAAIEPTRAQLADTRQQLLQRTRELDAATAQYTALDELFRTKTRVWQTTYDEMDGH WRGTLKLVQCRGLRWRLHFQAQVMQYATWCHWLRHVLVHSKALWGQQRAKLEQGLADK QVAYRDLERSVHELHDQRREVRELTRALEDKLRSATEALKQRTFDHAMANRNVVRAEC AISRLELQVQTLTSALERQWEVSGGMLMAAEEAATRHVEAGHVWQATYLDARDEWTAA AREMEAKAGLLMDDVECARRQRQAAEAKTTKCLARLKVIQSCLDDKTFECMTWQQDMA ALSADMVSLQQKLTCEHRRVAMYDDMVDNLTNVLRDQQQRQDDNERTIRALRPDESTT TSMDFKWMEATCAATSIQMTWRGWRAQRSRPLKKGIHLMEANHVVQLTHDGRRAHLQR LRLVQATRATAAALADGGLVDVTSILAPEHDEEGRGGRSCISTQRQQLATSFQSMSGG QCHTERAAVAAAKTDIAARMLQNALKWMQCRVERAAVSIGEPGRMALESRLTASSDSW R H257_05340 MGIVGLPTQLKEAYEVANLSQFKGKTIVVDALSWLHKACYGCAY DLALGNETQTYITYVMRRVELLKNAGIHPILVFDGKKVPLKANTHDKRQSLKDSNRDL AMKSLHDAQNLHGDERKEKMMKAHNMFQRSIKVTSEIIYAVHAALRKANVEFVVAPFE ADAQLVYLCKVHKASAIITEDSDILVYCITANVAVPILLKLESPSGMCKVVSKAILRS YGATPKSSPFLKKLVHFLGDSPDSMRMFVQMCILSGCDFIESLPNIGPVSAQKHVFAF RGAPGHLRLHRIVAKLKLDHSRLAIPSDYVDRCRAAEALFYHHYVYNADARTCEYLVN DTDVAMTRDIYDLVTCRGSSIVGVPVADADALSDMYHAVHHIPISSSLPQAATAPPTF ICPPPSVSSAAAPVVVDTTSPSTKQTASAAGTSWPRRNVAKPTMGILGLVDQYRRPGS TTTSSASRGVGMKRPLPDTSVVNDTSKKSRTPPTESPLSSARQLFESLQAMPQASSMP PTPNSSPPPAAAVSFPRRTSGGIVTPAKCRSSAAVSQSTAPSATAKKPIKRPTATPTT LLAFFSKKALPI H257_05341 MSSSLWKSADAAEWRAMYDTYDNVRESLEDKLEALEKWFHAELP LLVRAQGYITQSQLSKLMQWKLSKGKWRPRLQSFVDALTDKQVQDLSTKAFVACTKKS YREATAVLSELKGVGPATASAVLAAFDPAVPFMGDEALNALTSEIGARQYTLPHFVRF LDTVQAKASALNESITDDGGHVWTAQQVQLCLWLEQAAQSSRSTTPKAKPPTKKRPKR SASSELQLPANKRTTRRSSTR H257_05342 MSSAELPERSGAAASVFPAGDSKDAILLQEVSTSLRSNLEIKDR RSFLRTHALCFVGSEAVSFLVSAGHAPTRDAAVSLGQRLLCNQFIRGLSNSDGAFLDN SHLFRFLEDEATPVRKAAVSTTRPSPRPSRRIRPPASAFRGIYSGGRSWIESSLSSSS YRVQQKNRSMDGYDPWYHHDEIASSDAAARTQSLVDEQRAARLRKSSFCPIESILAVA PTSTVGFSATCSFYFSPHTTHHSIALTVPIVTAMKTAFSSHNMHARETSVHMLRNQVL KAADASDKNWMYLKNITGHHGNDVRIFCRTAAGGVQTVLTVGPVHVAPSTFVQHFLDP SERRKMDALFESSQTVEDLLMAHRRRNKLKQNTAYVHEVFSRAAAAPWVDEAHPIPDE VAWAVQPSEAMAGMYPEGEKQQHMSSSGGRGKTDGGVQRVLYRTMASPSAVLSARDFV TFQDCFSMDNGAHCVYEISVEHRDIPSKMEHYTRGEVLCLAHIAEPILGNPNASMLTV VTQVGLKGKMPSFVAKLIFDQLIARSFDAQTCGLVVDGASQMETSLRDVPYDRTVPDD LVRRSTGVSTLDDERDAATGPKVGLDDFELLAVLGRGGFAKVMQVRHRQTHKVHAMKI LKKEELVHDIQIERTRTERSILAAVEHPFIVALAYAFQDTKRLFMVMDFVQGGDLFAH LRKYGAVSVPRARIYLAEIALAVAHLHALDIVYRDLKPENILVDADGHLKITDFGLSH FFDPPEYDDATDVQVTPASCGRSNSLCQVTHSFCGTEAYMAPEMLLHLGHGKPIDWWC LGIVACEMLTGVHPFRGDSQMRLLSNVVNQDPIIPPQVSPDAANLIHGLLCKQPRLRL GTVGRKFEEIKDHPFFAGLDWDKVAAKGYAMEFVPPIENDFDVSNFGSQYTSEKFSGA HSSACSTSDASSFNDPHHPHHPQHPHPIKHSHEDSTMDPNSFVVTSQHHPSSDWSSHG SQYRGFSGFSYAGPPQGLSDETGL H257_05343 MSTKDQCSGNGSPSSSHPMTTMRIFQLTMGLLLLSTVGYIAKFT TIWTTPSLKLTVDEVQLGHMAHLSEVLETRGRNRYFVPDYDAAETFLRSVDLTEGPLF VLLMSGEDNGTYWCGDCERARKPISDALARAPSNMRLLEVSVGAPSDWKNKFNPFRTK STFHIRKIPALLKYDGNLRTSHLLSESFATQPALLDFEFASNPHANKVLHSPTSYKTI RDANVMVAFLEAYQGDYPLFLSFSSAINEHTGRLWCPFCDIADIPIHYYFDHYAPSNA VLVTVVVADTYLAWKDKKNPFRLQTIAKISGLPTLSRAVRAAPTDAVTTREYYPFFEN IDALQAFFQAPK H257_05344 MQTYSADEWTLRGLAPAPTTFSPAYVAHLNTMLRSKSAAEIVAW AANSFGKSLALSSSFGIQSAVMLHLVTQHANQPHRVPVVWVDTGYLPPETYAFATALQ QSLDLNLRVYHPQTSPAHMEAVHGKLYESSSPEDHQLYGLLRKVEPMERALAEMGATA LLVGLRADQTDRRRRLDIVHVHNGRLKICPILSWTQHDVDTYMARHNLPFHPLKALGY ATVGDAHSSRPMTEADTDIRATRFHGRAQECGLHAIDTDYDDDADAHEAAQYLPYVDA IVYSKPQCKYCVLAKEALSNAKWSYRDVTVGVDITLRALCDLVGSDVTSVPQVFVRGQ YIGGYDDLHDLLHRSTTKKPFSQDDTSSRPGFHTNFHINYPSTLA H257_05345 MALVTPTSIRIIDLDSGASRHVSWDPSVPDEVFESVFEGTLRTT FGITDDSWVDLIDLNTSSLVPMSKHSFFQLTTKTPEAPLVLTLSPKTESLAPLKKDDI VEVTFPDRSLGITIRDYHRDNVVVNAFRPNSDGTMGYTQSTGLISIGDVVYKVGGVRA IGRQYDSVIQMLQTPIRPLSVHFFRPRMREGLYAVEFTGSALNLTITSDDDRVLVSRL PPSLPNVMGFAEARGVRVGDSIHAIDGHILNGPEYARAVSLLKRSTRPLVVVFWRASV QPQYMMQALENTTPKSSGHRHSVASWSAPSPTPSPRPSLQKIGRAPSTLKELQSPGSH YDAYNNNNMTATGQGGSLFGDSMTVADMMDYCDTVASVGVVTLPEASILKDMLAAGRG DLASAIRHRNKNAIVALVRSPTMHLWDQLLKTRERVVLAGPVASKKTKRYHLILTDHE RLLFVNKTTNALEDEVLCSHIVTVSSRSKMQEVILSTAKTEYVLLDSFIGPSVWVRAI LPFTHTQGYLKVHHNPHASLLGPKKRYFLLKNDLLSEYKRDNMTNDKPLHVVSLRSCQ VRVVDAKSFKFEITTSSGGVKAAKMNLVAPSAREYNKWMASLQAFVLAQQQQLHIVMP TA H257_05346 MVLQPPPTAVSVPIRLGHTKTRVTDTIKLPTIGSVGLSNANPLR VCGVCRENESKYTCPRCNAVYCGVPCYKKHGISCTEEFYKGHVQSEMRLNKDSSDTSV RDVHAMLQRVHDDFPETSPMDARIDDLVELMESNALTLEALTAEERSNFLREVADGRL GKFIALWEPWWMQSPSSYDTHTNNLRRSLIVDLQDDDSDPTLDDDLTHPLGLFTASMQ SALPSLPSLHPNPNHAVLQCNLVEVLFAYAYVMRVYNGDWRVDVEDAASQLVSVSSVL RGDGHFDLVTHVIMACHASGGADANEAAKHVALVDVASILTHGAFVRDSLTDLLLLVQ TMRHDVAAAKSTKKVLARVVKKLEFYLVWASNVLTNDVNALSSLQKDLVAQIP H257_05347 MLRVLRVRLTTPVAALFSTTSTKKRNFNEMVNALHVFNQKHGHF VVPPQYQHVLEDGATNGTAASEPYPLGRKLRGLIRKLTKLSPSQRKQLAAISFPVEWQ TYYLRQVILPALATFHSRYGHVRVPLTFVVCMEHAEEQDIGNHRPWPPVCAGLRLGHR VSQLRMHAADLDADDVAALNALGFVWNVYTDRLHQVILPALVVYQSLHGHPHVPLPFV VPSDDSTWPQFLHRFRLGNAVPALRSSDDPAVRAALAAAGIDLTQSKSDFKWTSQVLP ALETFVRLRGHCDVPQAFVVPANDPDWPVATWSLSLGLIVRNVRRGHAYAGVMHKQVL TSLGFLWNFSDKLHFQLHRQVLPALATYRSEFGHVSVPSTFVVPAADPWPRLAHGFAL GGWIARRRTDVGTLPHETRFLLEEAGLTWRHFDVRFQQLVLPAFQTYATVHGSCRHMS TAFVVPSEAPWPANTWGLNLGGTLWHIRNGDSFVSDPNKRRMLRQLGVLE H257_05348 MARAFALGIVASAAIAATTPLSILQNRVRLTDKLHQFKDASTKV NWYDEQTLDHTDASNGKVWKQPYYFNDQYYGGAGSPVFLHIDGTDPMAALAVTTPQLF MNELAKKHKALMVSLGHRFYGKSQPLADLSLASLKFLSADQALGDLVKFQDFFKTKQN LTASSKWVAFGSSYPGMLAAWLKLKYSSRFAGAVASSAPLHTKIDMFEFGDTVSEGLQ YFGGDACVNTITKAMTEVHRLVASTKAEDADTLTTLFNPCSEFKNDDDRALFELAIFN PFRGPAQDNDFADTNLASVCESFASLPGTPVEKLSKFIGNTGGDKCTSSAWEKNVESV IDEAIGDHSVNRAWLYQTCTEFGFAQTTATGHGAFAPLKYATVDSIHTKRCAAVFNIT DNDTRVAATLKTYGGLKINVENVIFPIGTIDPWNGLALNNKSGIVNPKSEVVEILGAS HHSDIISSRPSDSVHLAWAHQRIESAVDRFLRHQSTRKGW H257_05349 MCKANLGFHSTLLKKHLSDSNVSDNDARQVPESMERANTKLSSD RVIVENFFGRLKTPRGLASDKYMWKKDDKIKTKNPSSEAKYRENRKARIQAVLGRANT GYTSEDYDIGYEEGDDIFE H257_05350 MPPKKKKGKKKSKEELEEERRLKEEEDARIHLEEQRRLEEERKQ REEEERKRCEEEAKNRAAELVRLADEYASSLSGIEAKASRLEADLRRRKDQYDWQKYL ACDPSPDASVENDINAFLHSWTQDTNYSDVNLAAQSCDAARLVVQDLLYLHMEARALH REPQLNRTAMYLHKLMTLTTEKLDATTAHMLQYADEFLDPSGKGEVRVAASAGPIKVG LWMNLVPKGLRNKKIDFSELGATVDVPKPVVMQTLAVRVCYLPYDVATQTALQNVDAP LGGVFGLDLLKIPAAPKGARGWTMRELSTETNVRLEYPLEGSVATASLAVKVTLLLPA DVLYPANPRVAWWDPVTQSWLDDGISEILLVEETNMLYFNTMKLTHLAVVQRRNVHHV KHFWTMKTTVIDDGSAFTAGATAAVQLVLRNAMYNTIHFEVTDVGVRLVAPAVPALAA LNAAYLSPEELLTRLGTAGMDLTPTEVDDKRFDVVPKLAVLEKHLIAQVLGLVAAFEM KSLSGDEDEVSPQWERWSDSPRYAVFCVKEVVWPWLNQADDVDDVGEFIHVLAEVDEE TAAEVKFRTNATLSVNNPFDTYVHLRHALATLSTPDAKDRMDNSNLLLEVNLQKFLAL LRLFSYTKPPKRRVVEARGRMVTNKDQLPLASAEAMDPAEMATSQ H257_05351 MRQIHVSSPELTNEAVDQAIELTLEETWDARGQDETVYVEKQFE LYRSVSPTSTLPKYYIKAWFPYSADTLFNVLHDFKYRKTWDSSVKQAYVVDVRPTPDA DDIDVVYWCVKMPWPFSNRDYVYYRRTLLLKDTFVVLSHAGMHRDAPEFHSTQRVEVF HSHMVIRVAGVSSCELFLAYSDESSYAVPNTCINWGLATGLPSYLNDLRAACTSYADY IRGLDDDGLQSIPSQLVRSRIDRRNVLSRAPGQSSSLRGYHKSSAATTVGPSRSSRPL QHQPHLQHLRPAFHRSKSASDALPAPVDVDVVVEFRTASPGLVLEPHLHKAIVGTTTD KHSEATKAKLVPGLVVVAIDGQSVQDLTFPDVLSRLERAHPPFTVWFNRPRPMSTSTR PPTMTTGATPSPRNRATSLSSTSKTSLVVVAVHYADALGDVLGPQNPSTQQGAVLLAS RFGLDVGSILASIDNIPVPDVAFPDIVGRFRRSTDVRHVAFAPPPPTAPSKTKLRVSL SSKLSRAFKGDKSVPSTPTSPKAPPKPDHQPPPADDDDQALRDVLPDYTHIKVTMANV EWVWAHVQMLMSDERLFSAAELADKLHAFVQMAPRPPGSKAADTLDKIDGEMAAQDAR LRQVTTRRDLGNAALHEFNADEAAGWRFGQTYFGVSTHWKPGDDGTVWLKLDGICEGV DVFNAMAVIRETDLFALWAPCCNKSALLASLSRVEILTYASIAIPLMQRDAVIHAFGI NAVYEHRCVLLLGQSATQEDHPTVPFPAVKGWNADRMHIRAFRALIEPYGRNRNRTCI VVNVDPKCAVPTSLLNFAIKKMAGILLYLLLREAQKIEKHSTEFGVLDDQGDPHFNPY VARIRRDPFYTWLKPRMDKWFGHLDAGTLPPARSAPLLQHLVPGNLYGQRIDEAAASM PRRRRHPSTKSQHPPRRPLLDYLYVVPVWPYVALAVVYSMCITRQSTYMAACAWKATL AGFLAWFGVSSVLPWQVRQQVPMAASVQWWRWRVVAYAVAADVIGSGCVMLWVRHVSC WVKSCTAADPAVASVHFWLVANSFLEATVLLVMQFVVWLHA H257_05351 MRQIHVSSPELTNEAVDQAIELTLEETWDARGQDETVYVEKQFE LYRSVSPTSTLPKYYIKAWFPYSADTLFNVLHDFKYRKTWDSSVKQAYVVDVRPTPDA DDIDVVYWCVKMPWPFSNRDYVYYRRTLLLKDTFVVLSHAGMHRDAPEFHSTQRVEVF HSHMVIRVAGVSSCELFLAYSDESSYAVPNTCINWGLATGLPSYLNDLRAACTSYADY IRGLDDDGLQSIPSQLVRSRIDRRNVLSRAPGQSSSLRGYHKSSAATTVGPSRSSRPL QHQPHLQHLRPAFHRSKSASDALPAPVDVDVVVEFRTASPGLVLEPHLHKAIVGTTTD KHSEATKAKLVPGLVVVAIDGQSVQDLTFPDVLSRLERAHPPFTVWFNRPRPMSTSTR PPTMTTGATPSPRNRATSLSSTSKTSLVVVAVHYADALGDVLGPQNPSTQQGAVLLAS RFGLDVGSILASIDNIPVPDVAFPDIVGRFRRSTDVRHVAFAPPPPTAPSKTKLRVSL SSKLSRAFKGDKSVPSTPTSPKAPPKPDHQPPPADDDDQALRDVLPDYTHIKVTMANV EWVWAHVQMLMSDERLFSAAELADKLHAFVQMAPRPPGSKAADTLDKIDGEMAAQDAR LRQVTTRRDLGNAALHEFNADEAAGWRFGQTYFGVSTHWKPGDDGTVWLKLDGICEGV DVFNAMAVIRETDLFALWAPCCNKSALLASLSRVEILTYASIAIPLMQRDAVIHAFGI NAVYEHRCVLLLGQSATQEDHPTVPFPAVKGWNADRMHIRAFRALIEPYGRNRNRTCI VVNVDPKCAVPTSLLNFAIKKMAGILLYLLLREAQKIEKHSTEFGVLDDQGDPHFNPY VARIRRDPFYTWLKPRMDKWFGHLDAGTESPPRLSPLARCPRRDRRRCSSISSRATCT ANASTKRRRRCPAAAATRPPSRSIPHAAPYSTTCTSCPCGRTWHWQSSTRCASLDSRR TWQLVRGKPHSRVSWPGLACPVSFLGKFASKCQWQRRSSGGGGGWSRTRLRRT H257_05352 MSMNKLQMQLKKKEKERKAAAKKGSATSTESKAKAAADAQAFQC KICLTPFPRTSKGPQMIAHAESKHPRVSPEECFPQLQNAGWTWSSVMPRRGIHFLRGV ARQLQPRRHLHHVLGLVLLVGAVSRTNGVVTTLYFASFLIGMVLSFTRRRMWLGVVVM SLGGIMGQCVVAGWPAYKSTAWCRVTGLHFTPYNIVVDAAVLVTSTVLLWTDRQFPLL HARPTLQREVSGVRALAFVFKRCPVLHVWVMKLKFHESIHGLFAFFAEVLIGICLFTA ALSSRRAFGAVYYVLFVGRLAVWSFWTPRLLPSQGITQMPTPGHPRAPSPPLLKRTAS NQDHPDKLVMAVPTESLAGNMSTEVDAVILTTYRTGGEQTITMPPPHPLINKPIVQGL LLYTFSVLVLCHVFQYAIMREVAVVQTIGEYAGMFALPGTVATNWLGYVFLVAVSNLY ICLAKMLSFYASYDDLPQTTPARRQAQVPVIMRVLRNELVATSIAMFWAISYPSYASL GLFALAMLALVTHGLHDSVQFKSASTIQPLLLSITTVYGVCTGLVQYALMLPALHPFV STNQFALLGYTELDLAIQNAALVGLCLCQRARWRYPRPASFVPTMGASTEVSPPSLFR QSFPPPALFGHATWHAYHAHMQAWCQDAKSVWIGHVDSMVLFTIYVVVLSTSVNLFQT GSLVLASYLSLFHHHRRRLWRVLLVYTLAVCLTLYTWNISCPPSTPLLELVGLTCYRN NNANPAQLATSALDNNTSSGATPGTPSVSIPALTTLWSSPLFNAQLVLIAQVVLQLVL YSRTWHHVPPTTNVVSTETTRDTLPWYFLSTLVGEIDRVFRSGGVIVCYIVLLLLAFN WEIRRGHVTVVGFIQLALFCALVDSHMSNIVQFPRGTAHYGKLWRLVLIVQVVVVVLR YLYQFDPVSQAILTHWLPTFCTMDDIGFDSLSSKTHLSNLFAYLFPTIVMAGLAAWQL ASMSAVPEMRWEFPSSFKFQREWRHLCHALSFSSSLLVGLAVILATDSINLMGFLYYM SAVYAVLKRQYMVAWPYLFTTSSFVLLSTYVLQLNLPWFNTPEATQTGQWLGMARLDD TMSLWTVNRVPLVMIGLCALQRLGSVLLPPPTAAADTDEADKPPPWWEFYTNHVAPVF TRDTHVTILMLSLLVSSFVHLNVVSMAYMVAVRGIMLADWDDPTVHHRCIRRLTILLV GVSVAQYLVQLWFPPWLVAPQPTLPPWTWLDPPYQAWLMLHFQNKWSLLADFMALFSV YLIAPPPPVAAPSGPLSSDNPCIMPSKVPAAGPALATTTTTILPPPPPPYQVFVANYS IVVVLVWVFITGCAQFGVASGLYLGWSIYMLFHLDRLDWQPVLLGSLQSYNWFYLLLL VVYQCPWFNDITQTCTLGTNQPLGDGICLSLPVALGLYKAPRNIDSSSPSSSSSGPAS STKLSIAIFVMIAIQMQVFASAPYRLVLENHRKEQDRCQKRGYAMNDELARHRIQQWR YLKLEKQAAIQRLKAIVSKLVNKVEEMMDIAMGLHYSLPPMAPHRPTVLSRSQNSITL AWTAPDSKMHKIRGYRISRQTFPSVTLLGDFGDTVTVRANQRTAEITGLRPGTSYQFK VAAVSRMGEGPFSVASEPAATIELDWGESCTAGWIKAHRTTSDHKKTLANYIELYLPW LVPARYAQRYVVVDDSSITFYKTEVLALKHRRRDAISTRSKPRHPPPPPAAASLKGVR RQHWQTYLLAQVTSLDLSETQIQLDDMSPLLYCIEVTVSSTTSAATSKYPCSVSFSLQ PDEAGHFDKWLVALMYVVPPQALGARILAYRQAQNLEFPERIMRTILAPLTAVNDSTT TSNTTSNHPVDKTSRANVVGKASLSTALSKMIDQPTRNAIYAQVYRVVWALQDSALQG ESVACTDDIEADVLPPWSAFRVVVTQAFRSHSAKLCYLAFVISFSRQGDVLNLVYVMV LFAILLCENPRPHAALWKWVLKYSFFVVLVRYMFQLPFFCHQYTHSHVLYPSFQPFCP SSAWHAATSSKVQPIVLLGLYKFDGSATAAVGSTFQGLQWNFLVICSILFHRRELQMR GFWVYPGNEALKWTQTALWRRLFRSRRSFNLDTNSTRSLEDLPQRDPLALPDSHQMDD IERADRLARMDSKDSLDERDFELADYLAKSHEPTLSNHQPSHSSTDLSTHVARLLEKD ELPPLKLNPLAPSFDYDSSSDSSDDDEKARPSSPQHRADKIYKPPGHRTTDHLPMPQG SNQPQRQLVKKNRFQWWLSHHMPPWMLRYYDALLPQPPLHWDKDIKCAVTGSKPGRDF LLVAFGLQVGIMAYIVLFFHQFGSPVETSALFSLSSSFQTSLLSGYMVGLVFFQVVVV LWDRVAYVYTSLLSKLLSHYFLVVGVHIQLWLVLPLHTGVYLHASPFLVGLYLLQCCA MGVSAAQLKHGYVVFRGNPFSLRHATSAFKTLFSVYMAIPFAFEVRTLLDYLCSTTAL DMQLWLVLEGIGAHLFLVKMQMEGRVQDGYILQGNMRQPVLAKFKSAGVYFMALVVCL LAPMIMFSTANPTTINNPVLHATMTFGLLQPDGTFQQLYNSEENESPLTTKVVVANVE TLVQQVSFMEYSNDMWSSSPPLRRRLMTRLNSTEGIQWRMTITLKRAAPDGQQIVAYD MVTSITPAQRTKLMRMMDVSLTDESTANSMSSGILTIPDMYPPVLNVPAATPPTARGP YLPRAIQIQRSTEASGSFWTLSSADAWAYGNPSTDSIDNATMVQCQVRGFCFFVVSDN IVAGLTTLGIGTYGITATYVFVIFTIGGYVKNALRGSVTDVLYNELPNPDDLMDLVEG IYIARTEVYIGHLKDEARLFETLIRVLRSPETLLKVTGPNIIHIPSKEKLD H257_05352 MSMNKLQMQLKKKEKERKAAAKKGSATSTESKAKAAADAQAFQC KICLTPFPRTSKGPQMIAHAESKHPRVSPEECFPQLQNAGWTWSSVMPRRGIHFLRGV ARQLQPRRHLHHVLGLVLLVGAVSRTNGVVTTLYFASFLIGMVLSFTRRRMWLGVVVM SLGGIMGQCVVAGWPAYKSTAWCRVTGLHFTPYNIVVDAAVLVTSTVLLWTDRQFPLL HARPTLQREVSGVRALAFVFKRCPVLHVWVMKLKFHESIHGLFAFFAEVLIGICLFTA ALSSRRAFGAVYYVLFVGRLAVWSFWTPRLLPSQGITQMPTPGHPRAPSPPLLKRTAS NQDHPDKLVMAVPTESLAGNMSTEVDAVILTTYRTGGEQTITMPPPHPLINKPIVQGL LLYTFSVLVLCHVFQYAIMREVAVVQTIGEYAGMFALPGTVATNWLGYVFLVAVSNLY ICLAKMLSFYASYDDLPQTTPARRQAQVPVIMRVLRNELVATSIAMFWAISYPSYASL GLFALAMLALVTHGLHDSVQFKSASTIQPLLLSITTVYGVCTGLVQYALMLPALHPFV STNQFALLGYTELDLAIQNAALVGLCLCQRARWRYPRPASFVPTMGASTEVSPPSLFR QSFPPPALFGHATWHAYHAHMQAWCQDAKSVWIGHVDSMVLFTIYVVVLSTSVNLFQT GSLVLASYLSLFHHHRRRLWRVLLVYTLAVCLTLYTWNISCPPSTPLLELVGLTCYRN NNANPAQLATSALDNNTSSGATPGTPSVSIPALTTLWSSPLFNAQLVLIAQVVLQLVL YSRTWHHVPPTTNVVSTETTRDTLPWYFLSTLVGEIDRVFRSGGVIVCYIVLLLLAFN WEIRRGHVTVVGFIQLALFCALVDSHMSNIVQFPRGTAHYGKLWRLVLIVQVVVVVLR YLYQFDPVSQAILTHWLPTFCTMDDIGFDSLSSKTHLSNLFAYLFPTIVMAGLAAWQL ASMSAVPEMRWEFPSSFKFQREWRHLCHALSFSSSLLVGLAVILATDSINLMGFLYYM SAVYAVLKRQYMVAWPYLFTTSSFVLLSTYVLQLNLPWFNTPEATQTGQWLGMARLDD TMSLWTVNRVPLVMIGLCALQRLGSVLLPPPTAAADTDEADKPPPWWEFYTNHVAPVF TRDTHVTILMLSLLVSSFVHLNVVSMAYMVAVRGIMLADWDDPTVHHRCIRRLTILLV GVSVAQYLVQLWFPPWLVAPQPTLPPWTWLDPPYQAWLMLHFQNKWSLLADFMALFSV YLIAPPPPVAAPSGPLSSDNPCIMPSKVPAAGPALATTTTTILPPPPPPYQVFVANYS IVVVLVWVFITGCAQFGVASGLYLGWSIYMLFHLDRLDWQPVLLGSLQSYNWFYLLLL VVYQCPWFNDITQTCTLGTNQPLGDGICLSLPVALGLYKAPRNIDSSSPSSSSSGPAS STKLSIAIFVMIAIQMQVFASAPYRLVLENHRKEQDRCQKRGYAMNDELARHRIQQWR YLKLEKQAAIQRLKAIVSKLVNKVEEMMDIAMGLHYSLPPMAPHRPTVLSRSQNSITL AWTAPDSKMHKIRGYRISRQTFPSVTLLGDFGDTVTVRANQRTAEITGLRPGTSYQFK VAAVSRMGEGPFSVASEPAATIELDWGESCTAGWIKAHRTTSDHKKTLANYIELYLPW LVPARYAQRYVVVDDSSITFYKTEVLALKHRRRDAISTRSKPRHPPPPPAAASLKGVR RQHWQTYLLAQVTSLDLSETQIQLDDMSPLLYCIEVTVSSTTSAATSKYPCSVSFSLQ PDEAGHFDKWLVALMYVVPPQALGARILAYRQAQNLEFPERIMRTILAPLTAVNDSTT TSNTTSNHPVDKTSRANVVGKASLSTALSKMIDQPTRNAIYAQVYRVVWALQDSALQG ESVACTDDIEADVLPPWSAFRVVVTQAFRSHSAKLCYLAFVISFSRQVTDTSITMASS QIPYQGDVLNLVYVMVLFAILLCENPRPHAALWKWVLKYSFFVVLVRYMFQLPFFCHQ YTHSHVLYPSFQPFCPSSAWHAATSSKVQPIVLLGLYKFDGSATAAVGSTFQGLQWNF LVICSILFHRRELQMRGFWVYPGNEALKWTQTALWRRLFRSRRSFNLDTNSTRSLEDL PQRDPLALPDSHQMDDIERADRLARMDSKDSLDERDFELADYLAKSHEPTLSNHQPSH SSTDLSTHVARLLEKDELPPLKLNPLAPSFDYDSSSDSSDDDEKARPSSPQHRADKIY KPPGHRTTDHLPMPQGSNQPQRQLVKKNRFQWWLSHHMPPWMLRYYDALLPQPPLHWD KDIKCAVTGSKPGRDFLLVAFGLQVGIMAYIVLFFHQFGSPVETSALFSLSSSFQTSL LSGYMVGLVFFQVVVVLWDRVAYVYTSLLSKLLSHYFLVVGVHIQLWLVLPLHTGVYL HASPFLVGLYLLQCCAMGVSAAQLKHGYVVFRGNPFSLRHATSAFKTLFSVYMAIPFA FEVRTLLDYLCSTTALDMQLWLVLEGIGAHLFLVKMQMEGRVQDGYILQGNMRQPVLA KFKSAGVYFMALVVCLLAPMIMFSTANPTTINNPVLHATMTFGLLQPDGTFQQLYNSE ENESPLTTKVVVANVETLVQQVSFMEYSNDMWSSSPPLRRRLMTRLNSTEGIQWRMTI TLKRAAPDGQQIVAYDMVTSITPAQRTKLMRMMDVSLTDESTANSMSSGILTIPDMYP PVLNVPAATPPTARGPYLPRAIQIQRSTEASGSFWTLSSADAWAYGNPSTDSIDNATM VQCQVRGFCFFVVSDNIVAGLTTLGIGTYGITATYVFVIFTIGGYVKNALRGSVTDVL YNELPNPDDLMDLVEGIYIARTEVYIGHLKDEARLFETLIRVLRSPETLLKVTGPNII HIPSKEKLD H257_05352 MSMNKLQMQLKKKEKERKAAAKKGSATSTESKAKAAADAQAFQC KICLTPFPRTSKGPQMIAHAESKHPRVSPEECFPQLQNAGWTWSSVMPRRGIHFLRGV ARQLQPRRHLHHVLGLVLLVGAVSRTNGVVTTLYFASFLIGMVLSFTRRRMWLGVVVM SLGGIMGQCVVAGWPAYKSTAWCRVTGLHFTPYNIVVDAAVLVTSTVLLWTDRQFPLL HARPTLQREVSGVRALAFVFKRCPVLHVWVMKLKFHESIHGLFAFFAEVLIGICLFTA ALSSRRAFGAVYYVLFVGRLAVWSFWTPRLLPSQGITQMPTPGHPRAPSPPLLKRTAS NQDHPDKLVMAVPTESLAGNMSTEVDAVILTTYRTGGEQTITMPPPHPLINKPIVQGL LLYTFSVLVLCHVFQYAIMREVAVVQTIGEYAGMFALPGTVATNWLGYVFLVAVSNLY ICLAKMLSFYASYDDLPQTTPARRQAQVPVIMRVLRNELVATSIAMFWAISYPSYASL GLFALAMLALVTHGLHDSVQFKSASTIQPLLLSITTVYGVCTGLVQYALMLPALHPFV STNQFALLGYTELDLAIQNAALVGLCLCQRARWRYPRPASFVPTMGASTEVSPPSLFR QSFPPPALFGHATVSAKWHAYHAHMQAWCQDAKSVWIGHVDSMVLFTIYVVVLSTSVN LFQTGSLVLASYLSLFHHHRRRLWRVLLVYTLAVCLTLYTWNISCPPSTPLLELVGLT CYRNNNANPAQLATSALDNNTSSGATPGTPSVSIPALTTLWSSPLFNAQLVLIAQVVL QLVLYSRTWHHVPPTTNVVSTETTRDTLPWYFLSTLVGEIDRVFRSGGVIVCYIVLLL LAFNWEIRRGHVTVVGFIQLALFCALVDSHMSNIVQFPRGTAHYGKLWRLVLIVQVVV VVLRYLYQFDPVSQAILTHWLPTFCTMDDIGFDSLSSKTHLSNLFAYLFPTIVMAGLA AWQLASMSAVPEMRWEFPSSFKFQREWRHLCHALSFSSSLLVGLAVILATDSINLMGF LYYMSAVYAVLKRQYMVAWPYLFTTSSFVLLSTYVLQLNLPWFNTPEATQTGQWLGMA RLDDTMSLWTVNRVPLVMIGLCALQRLGSVLLPPPTAAADTDEADKPPPWWEFYTNHV APVFTRDTHVTILMLSLLVSSFVHLNVVSMAYMVAVRGIMLADWDDPTVHHRCIRRLT ILLVGVSVAQYLVQLWFPPWLVAPQPTLPPWTWLDPPYQAWLMLHFQNKWSLLADFMA LFSVYLIAPPPPVAAPSGPLSSDNPCIMPSKVPAAGPALATTTTTILPPPPPPYQVFV ANYSIVVVLVWVFITGCAQFGVASGLYLGWSIYMLFHLDRLDWQPVLLGSLQSYNWFY LLLLVVYQCPWFNDITQTCTLGTNQPLGDGICLSLPVALGLYKAPRNIDSSSPSSSSS GPASSTKLSIAIFVMIAIQMQVFASAPYRLVLENHRKEQDRCQKRGYAMNDELARHRI QQWRYLKLEKQAAIQRLKAIVSKLVNKVEEMMDIAMGLHYSLPPMAPHRPTVLSRSQN SITLAWTAPDSKMHKIRGYRISRQTFPSVTLLGDFGDTVTVRANQRTAEITGLRPGTS YQFKVAAVSRMGEGPFSVASEPAATIELDWGESCTAGWIKAHRTTSDHKKTLANYIEL YLPWLVPARYAQRYVVVDDSSITFYKTEVLALKHRRRDAISTRSKPRHPPPPPAAASL KGVRRQHWQTYLLAQVTSLDLSETQIQLDDMSPLLYCIEVTVSSTTSAATSKYPCSVS FSLQPDEAGHFDKWLVALMYVVPPQALGARILAYRQAQNLEFPERIMRTILAPLTAVN DSTTTSNTTSNHPVDKTSRANVVGKASLSTALSKMIDQPTRNAIYAQVYRVVWALQDS ALQGESVACTDDIEADVLPPWSAFRVVVTQAFRSHSAKLCYLAFVISFSRQVTDTSIT MASSQIPYQGDVLNLVYVMVLFAILLCENPRPHAALWKWVLKYSFFVVLVRYMFQLPF FCHQYTHSHVLYPSFQPFCPSSAWHAATSSKVQPIVLLGLYKFDGSATAAVGSTFQGL QWNFLVICSILFHRRELQMRGFWVYPGNEALKWTQTALWRRLFRSRRSFNLDTNSTRS LEDLPQRDPLALPDSHQMDDIERADRLARMDSKDSLDERDFELADYLAKSHEPTLSNH QPSHSSTDLSTHVARLLEKDELPPLKLNPLAPSFDYDSSSDSSDDDEKARPSSPQHRA DKIYKPPGHRTTDHLPMPQGSNQPQRQLVKKNRFQWWLSHHMPPWMLRYYDALLPQPP LHWDKDIKCAVTGSKPGRDFLLVAFGLQVGIMAYIVLFFHQFGSPVETSALFSLSSSF QTSLLSGYMVGLVFFQVVVVLWDRVAYVYTSLLSKLLSHYFLVVGVHIQLWLVLPLHT GVYLHASPFLVGLYLLQCCAMGVSAAQLKHGYVVFRGNPFSLRHATSAFKTLFSVYMA IPFAFEVRTLLDYLCSTTALDMQLWLVLEGIGAHLFLVKMQMEGRVQDGYILQGNMRQ PVLAKFKSAGVYFMALVVCLLAPMIMFSTANPTTINNPVLHATMTFGLLQPDGTFQQL YNSEENESPLTTKVVVANVETLVQQVSFMEYSNDMWSSSPPLRRRLMTRLNSTEGIQW RMTITLKRAAPDGQQIVAYDMVTSITPAQRTKLMRMMDVSLTDESTANSMSSGILTIP DMYPPVLNVPAATPPTARGPYLPRAIQIQRSTEASGSFWTLSSADAWAYGNPSTDSID NATMVQCQVRGFCFFVVSDNIVAGLTTLGIGTYGITATYVFVIFTIGGYVKNALRGSV TDVLYNELPNPDDLMDLVEGIYIARTEVYIGHLKDEARLFETLIRVLRSPETLLKVTG PNIIHIPSKEKLD H257_05353 MYGSIPLSPPSSLQRDGAAMSPHVSQRRLPRPLALLWLIVGCVS LCSILIGISYSVENVDAPDVSSNYAAMRPPQPLPHGISDNALGCFPVPSIQVFECPVL TSIAIAQTLVNHSIPFPGTQVDLCYTNTSFHVAFFTHDETSFFTNPSYGHNDNIWEYN VYEAFIALGTDDPVEYFEFEVSPTNQTYSAYILNPRKDFSPPVGHFYVGDNATEARAL GIDVVTETDRASNSWVSVAELPLALFDVTTPQDTLWRMNFMRKVTNATTFPIQHCGAW NAPNKDNFHETPCFGRVHFVGSPPLTLAT H257_05354 MPSGHVTAWERKSPQQYAHCFVSEDSVLACSHEHSRKTEMVAEL ADASNVETVVQDGICVGQTK H257_05355 MLRESKLLEFFQNNREVFFSKYIYGDPAYGIVEYLLSGYKGNDV GVLKRDFNKWMSRVRQSVEWNFKIFKTLWSFITFKILSKIRLSPVAKIVCIAMLLTNC HCCHFRGNQISQYFDLEPPTLKDYLNMLEIVEV H257_05356 MSTPPKRRNFTEEEEITLLRQVSADMPFLARRGLIMDKWVAIAE TLAANEDFGSPDFDAKKANNRFIALAEAHRKSNRVSARASGISEDVGENVALLDDILS AHDDAKKEESQRIADAKKTQEHNDNLGSVVREEAMQSLGKRKYDVDDDGAFRGGGGKM LKVMTMMHEQVQSELEFQREKHENEIKERQKDRELLAQKIWNQQESMRIQQESMVALI KLLMNK H257_05357 MRSSLVGLQVLVALALLSSLYFVSEISSSLHHDSTISMLNTLRF RRSKFHQPNKHDALDYTEAKDVLYNTTSGDPYFVLVVSGKLASGEYWCSDCEQARKPL DRAFASTGARHLVVSVGSREDWVNASNPFRVGPLFRVDEIPALLRYDGDLHTTTLLTG GAFLSDEAMLHAILTPPRASPPTVLHLSTVQGLAMYFDTYAAAKSTYPLYVYFVSGTN PDGKLWCPFCAEAELPVMTYFEQFASDDAVLLRVVTASSLEDWHRDDNPFNMQTIITI SGLPMLIRAKPESFRQDSSAALEFQEYTAIYEETALLKAFYQGEIYAEST H257_05358 MRQRGRRSPTCFGLRINLTMLLSMCVLSVIVFYVWCISQMEWGH DAPSLTGDRRVRAVQDDVAPSTLAVLAEESPPSKAQRSTTGQVSTINHISATTATRSN VHVPTINHDTTTSLTTTRKPPQTTVTTRSPTTAKPTTTSTVVPTTSKASTTTTTTTAP SDAHPPLGVITLTSFDATQSFLKSLDRPMFLLFTCAKSPDTHEHWSSTCADAEAAALT AYHSLSPRHRLAIVRVGSSQAEADNSPFRSDFDILLHDVPTFMRYERNNQGYANTSFV LEGQSVANADLIEYALTEGTSVTSTRKNSVETISDYAAYRRMARLFEDLVPTYLLFMS GSWPHNNRVWCVYCRHREAVVEFAFHKYAAPNAKLIKVQVAQLPADWNKDNPYKKLDI AYVPYMLVPHVDAFEMLFYQHYRGELDDVDMLRSMFERDAKFVAEGTALLNPGVNSG H257_05359 MANKFKQHLHASTSMVVDKTSYLYLRKKTQHEPPHFMAFHAADP GDAEYSEYMAAWLQTNATIRSLDNVVLYAVEVNLGKMRWSIRRRYSEFRELRQCMIKH MSKRSSCCMICGAMLQGLEALPFPPKRPSLFYKAGVDLDSRQAKLQEFVILLVGVIQM LRQHQMLMQSSAGFVKRNKTQCDVSDLLRAVEAFFALDFSRYTRFLAERGVLQPNEVL LA H257_05360 MLRQLARSSAAGRRHALRAIQKPHEAHIMWHHRAALQPLSLRLL HATAPMLRTDAIELVYQKKSPLEHVLLRPGMYIGSVESTKDTMWVWNNQEKRMTQRAN LEYTPALYKIFDEILVNAVDNKVRDDTMSRLDVTIHPGSSSSSDPPRISIFNDGKGIP VQFHKHEQVYVPELVLGHLLTGSNFDDATARLTGGRHGYGAKLTNIFSTEFTVDTGDA HTGLQYTQTWRHNMRERGDPVVVPYTGPNYTRITFVPDLKRFHVDSLTLDMQQVLEKR VVDVAGCLTNVSVSLNGEVVPLSGFQQYVQSYCPSIATDDDEEAAVLYTKVNRRWEVA VMPSDGGYSQVSFVNGMTTIRGGTHVQIVLDQLCRRVADTVAARHRDLPPLQSSQIKP HLRLFVKALVENPTFDSQMKECLTSKPEFFGSSCILTERYVKQVLACGIVERVVGALK TKQRTALLKKVAKKSNTVQVPKLEDANWAGTSKAHKCTLILTEGDSAKALAVAGLSVV GRDAYGVFPLRGKFLNVRDATDTQLTKNAEFSHLCTILGLKLGLKYDTSAERATLRYG QVMLMTDQDHDGSHIKGLLLNLFHSFWPALLEANYVSAFLTPLLKVHPSVASKKPALP FFSMPEYDQWKADHPSVKHSVKYYKGLGTSTSKEGQEYFDALNDHRVEYTSTSPADGD ALAMVFSKDRVADRKQWLRQSNPLDFVFERVASMSLGQFVHAELIQYSHADNIRSIPN VIDGLKPSQRKVLFACFRRHLVKEMKVAQLAGYCAEHTAYHHGEASLLSTIVNMAQDF VGSNNVPLLVPSGQFGTRLQGGKDAASARYIFTHLQPYTRLIFPAADETLLEYVHDDG VPVEPVYFVPILPMLLVNGADGIGTGWSTSVPSHHPIQVIDWLLARLMQPSDKWRGGN ELEPWVKGFQGRVTSKPNGFGTEGVVRVVHDKKKSWTLAISELPVGKWIDDYKTFLWS LVAAKKVQTFTEHHTDRTVHFEVVVPKDDSDDDLAAGIDWTKWFKLESNLNTTNMHAF DSTNTLQKYQSSADILDAFYPVRLALYHRRKEYLVDESTRDLRRLTNRARFVQAMASH DSPLRVLWSSRPSKAQVVALLQEEGFDSSQSFAKNHHDDHDDDADGDGDGIHGYNYLL KTSFLQFTDENTTKLLAEVEAKRQELSRLEATSAVEMWRGELEALKAALLSADPQYHS K H257_05361 MLRRAILSATRPVRPVTMKCVASPCDQSHASPSLWSMQSRFEST AVANNTAALSIIESKLHRAEQAADWKHALGTFQHFATNHPTWVEPKHANFVLRVCAAQ GRHREAKKVLHILQGLGHMNDPSSSDNSASPSSSTQPALSVESQALMCQALAENGKGD EALVKAHALVAGIPTLDDNVRRTLSASLYRPLLKAFKHKNDWKHTLTLLKQMQEFSVP IPLRGYRLLLLTLSSGRQPKMLVDVARQVLSSSTLVLDVQTYTIMIKTLSACGEHGVV HEVLNKIRTTEAPDYMDTTADMNLYNAMIRAHMLAHNLDESRRLLRHLLTLPHLTPDA FCFTTCMLGYLRPGSDIGNGPNQVTSLYEDMNRRGISPSILTLACVLRAIHRLPTKKY LLSAVLAKCHDVPLGKPDFVHTLIDALDEVGQTETGEAVFRRAMDQQLLGEWRKGLFG LNLHTFSKGSAKTAVQFALTAIATQPKSYRETMEVQELKIITGKGRGSKEFMKPVLKP EIEALLWSHFALRSHTPAQNHGCLVVQKEHLQQWLAKQQDKAANRTRK H257_05361 MLRRAILSATRPSHASPSLWSMQSRFESTAVANNTAALSIIESK LHRAEQAADWKHALGTFQHFATNHPTWVEPKHANFVLRVCAAQGRHREAKKVLHILQG LGHMNDPSSSDNSASPSSSTQPALSVESQALMCQALAENGKGDEALVKAHALVAGIPT LDDNVRRTLSASLYRPLLKAFKHKNDWKHTLTLLKQMQEFSVPIPLRGYRLLLLTLSS GRQPKMLVDVARQVLSSSTLVLDVQTYTIMIKTLSACGEHGVVHEVLNKIRTTEAPDY MDTTADMNLYNAMIRAHMLAHNLDESRRLLRHLLTLPHLTPDAFCFTTCMLGYLRPGS DIGNGPNQVTSLYEDMNRRGISPSILTLACVLRAIHRLPTKKYLLSAVLAKCHDVPLG KPDFVHTLIDALDEVGQTETGEAVFRRAMDQQLLGEWRKGLFGLNLHTFSKGSAKTAV QFALTAIATQPKSYRETMEVQELKIITGKGRGSKEFMKPVLKPEIEALLWSHFALRSH TPAQNHGCLVVQKEHLQQWLAKQQDKAANRTRK H257_05362 MVRNFALAALAVVIAARINKHMDVVELIEHRAAVEDVQAGVPEE YFSTQKLDHTDASNSNVWSQRFFTNDEFYGGPGSPVFVYISGEWIARNTTVTSKGLHM NVLAKKHKALIVLLEHRFYGKSQPLPDFKVSSLQYLTAEQALKDLVNFQDHLAAKRNL TKDSKWIAFGGSYPGMLAAWAKLQHGSRFAGSVASSGPIDTQTNFYQYADKVEFGLNF VGGKECVDAVSDSLKAFHNVIASTKPEDVAQLNALFKPCTPLANDWDRAVLEAYVFYS FEGLSQANDYAAYGLKQACADFTKVGKTPLQKVADYFYLQIGDATCYNYDYQDNFLDA SVTSTEVTTTGTNRQWTYQTCSEFGFGQNSASAKGIFSVLSYLDVNKAFSEVCRQAFN ISAAQTDAAVVTNRQKYGALKINVENVVFPTGNLDGWAALAPSNATGVVNPKSEVIDV VGLSHCGDMGAPDASDSVHLAWAHVKVEAAVDRFLRDQC H257_05363 MVRISVLAGLLGAAAALHPFLRTNFDVMDLVEHEHGVENPRAVI NEEYFSTQKLDHNDASNTKVWSQRFFTNDEFYGGPGSPVFVYINGENVARNTTVVSTG LFMNVLAKKHKALIVSLEHRYYGKSQPLSDFTTASLKYLSAEQALNDLVNFQDHLTVK RNLTKDSKWVAFGGSYPGMLAAWAKLKHGSRFAGSVASSGPILAKGDYHEYADTVAFG LNYYGGDACVNTVKVGLEGLHTLLGSSKPEDVAQLQALFKLCSPIKNDFDRMTVESWV FGNFQGTAQSNDNTAFNLNSTCTLFAQPNKTPLEKLAEHNARYTSTCTDSDFKDSWVT PLSNTTLSTTKIGRQWIYQTCAEFGYGQTTASAKSIFSALSYNDIDKVLYEMCSQAYG IPKAQIDAAIAATNKKYGALQIDVENVIFPNGNIDPWSALSVTNATGVINPKSELVFI DGTSHCRDMSAAKATDSGALVWAHQRVELAVEGFLRNQC H257_05364 MEASLVRVHRSYADKQSILEAWAASTDISQVEFSRRHDIPLSTI QKWLGNAQTINAVDKTMEHNMTKKGMIGDNKQVRKLDQKSQTLFAWIVSAPDPLDMAS VRPQARGIWPEWLYKSQSTWKCGDNFRKWCTRFVQQHFLEKFNNRLRLTSADASHTMP CGAGALSNPAAGTNPAERGHFVPSGDGAPSNPANGTPSHAAVDIDNANLPLLHPSSTN RPDSHRETDNDDEDVTSMTFQDGTSMKLANRDEYGLGYKHIDICCEPIATTGLPPRIA NFLEGAKCPKLQYVLRLEEDVVVGTKIIEYVGERIGIAEFMHRKAHDMGGYLATVSRE QGGLYIDAMYAGNES H257_05365 MADVEPSSPTQTDTLLENTAAPVRGGRSSTLLRILVGVLVLVVV QLTALAYLFEVDVALVHDVARSWHKHIVGAFTSASHVISSYDPSSWTSTIAGSGSQSF VRAAVLYLPSHQPKFEHEFRWFRRSWLEMQKTEPSTWRTDIVVYSDGNLPALEALNCS VTVRRTSRDDANMCIIHPTYTSVYSDAFPYRYADSVNVVGLNGTDLDMYDWVLRTDID TFLTPAFSTWKPPIMVVGQGAYSFPGYTTGDRLASIISSLNMTTMTLDNVGSTWYGPT SLIRTCANLSMSVMQYLYANEFTAEEKSPDYGIKGWPKWHIGVMSMYAGHIAINHCTR EFGVAKDDSMLDFPTTSSDAPSRHAHLHTWQDNDRFSKFAFTSGEYVHEDKSKLHPAD SIVDYAMYMALDSQPVDFHPPA H257_05366 MTRFDDDDNATDVPLLLEEVDADGLELEEHVRPEDEQSFVEKYI TSKMQPGSVKGSMFTMTVSIVGAGVLALPYALEQVGLVMGVAFIVAGGLMAYFSLYLL VVCSDLSKALSYMHLATLTSGPRLSMFVQLVISLNLFGTSVGYLVASSELILLVVKPN GDHPTPSEASQSRNALIGALSLCFVLPLSLLRSLSSLRFSSLFSLLCIVFLTLTVVAK YFQFVHLGYAPDFVYQWNHLPLATLDVGRILTALPLVIFAYTCHPNVLPIYIQLQRRS SPRMYKVARRSLSLATALYALLSAFVFLTFGMATHTNFLQNDYHHDAAIIAGSIFFAV AMVITTPLYIHTLRHGLNESIWGPDNQGSFVRHLLVTLVLVGGICGVSILAKDVATVL GFLGATTNPIICFVLPAFFVCKLAPDAYWMEKAASVGICLATTALCVASLVHQVRAY H257_05366 MPRLSMFVQLVISLNLFGTSVGYLVASSELILLVVKPNGDHPTP SEASQSRNALIGALSLCFVLPLSLLRSLSSLRFSSLFSLLCIVFLTLTVVAKYFQFVH LGYAPDFVYQWNHLPLATLDVGRILTALPLVIFAYTCHPNVLPIYIQLQRRSSPRMYK VARRSLSLATALYALLSAFVFLTFGMATHTNFLQNDYHHDAAIIAGSIFFAVAMVITT PLYIHTLRHGLNESIWGPDNQGSFVRHLLVTLVLVGGICGVSILAKDVATVLGFLGAT TNPIICFVLPAFFVCKLAPDAYWMEKAASVGICLATTALCVASLVHQVRAY H257_05367 MQPKSRRNSLAILVTMIKKKAVGGGAYGRPQSSGATSSLQEKLR QSEEALATAQKTIAEQQAREKDLKKLLEVHSCMEPSGGDVNGGGHGGAMNSLMEAKTQ ALNHIHGQKVRTLMKSIHQLQDQVATMKAQDKEHRRSALIQNLRKQQRDQELVLDVLK DTLKTKVGDFHDSLDAVNEFILKKTLGGPKRFRPKTREELELEFVDLDQKYKRAMASL KRVKSETQQPPQEAPRHISQSHDVPDVGAMQRELEALRVLVAAKDNNLQTQATDIQAL KTRMEDLLLVHDKWERTKAKYVASKAAIAKLQDDAIRLIQAKELEVARREQVDVELAW LKDVQHAEKGDSATLQQQVETFKAQHAALQLHIDEQQQKWTDDRLAIMAQLRTQESHV ASIEAQSSLFASQVVSLTAERDGLQTKLNESLAATATLQQMLATHADDAEVSNDAAAL TAAATKETELLALVEAKHQQLKAYEKQILASKLLARLHKKEKEQLLLQLDKLRTLVST SAPPTDASRVIAQAQLKLSVDVAAPTMAVERHTEVALATPPAVEPVEHPVESPGKDPA AMPDQVTPSLETTPNAEIESQQEQRAPQKSVDFVNGVVASALLGISKDSPKLSVEHQQ NEAMRPIESPSAVAAEVADALAEEYRFF H257_05367 MEPSGGDVNGGGHGGAMNSLMEAKTQALNHIHGQKVRTLMKSIH QLQDQVATMKAQDKEHRRSALIQNLRKQQRDQELVLDVLKDTLKTKVGDFHDSLDAVN EFILKKTLGGPKRFRPKTREELELEFVDLDQKYKRAMASLKRVKSETQQPPQEAPRHI SQSHDVPDVGAMQRELEALRVLVAAKDNNLQTQATDIQALKTRMEDLLLVHDKWERTK AKYVASKAAIAKLQDDAIRLIQAKELEVARREQVDVELAWLKDVQHAEKGDSATLQQQ VETFKAQHAALQLHIDEQQQKWTDDRLAIMAQLRTQESHVASIEAQSSLFASQVVSLT AERDGLQTKLNESLAATATLQQMLATHADDAEVSNDAAALTAAATKETELLALVEAKH QQLKAYEKQILASKLLARLHKKEKEQLLLQLDKLRTLVSTSAPPTDASRVIAQAQLKL SVDVAAPTMAVERHTEVALATPPAVEPVEHPVESPGKDPAAMPDQVTPSLETTPNAEI ESQQEQRAPQKSVDFVNGVVASALLGISKDSPKLSVEHQQNEAMRPIESPSAVAAEVA DALAEEYRFF H257_05368 MTTLEHNAQYLEHLWHNFQRSKRQAITARYFRWWRRHVWLHRLD RLRTLQQVQRRDRVLRVAVLRYIRTRQQRGFESLRRQVATFRASSVALRSLVLRQNQR NAVHKWRCIVRHEKLEEQVVAQAWVLLHRRAVKKLLGMLFRWRKLRLCKGLSQWQLHV DACRWW H257_05369 MQDNDASIVRSSARSIDVQENASAAGADVVTVETLTRQLEQRDL DLHILQKEHDDYVASSCEIERELEAEVSRLEKINSKLVDQLRRMSDDVDTVRAASDAA GKELSKLHSVIADITKANAALKVDVQRLEQRNDDLERRERELHASIDDLEHQLDVSME QAVFLRQENDEIIARVRDNHGGAATLGGGTGASVNPLSMESQVKYGTDATKSSATSPP MSPKHSSSRQRSAMYVTNATATCGPSCAIM H257_05370 MGISSSKQARSTTSPSLPATPHLTETPPATSSHHAPLMNGVSVA ARRNAHHHQQQQQHRAMTTDHDPDCDTGEMIQLFMYNRCPRAIVHHYIPANLPMMPIF TEAYLSDCNNTWKYILSSSTDRMKEFKKSGIVLFYDEFFFRLFQRDSTFKEVFPDIRR RGEILIKALTLMLKSCSDDNARLVNKIRYLGHRHRFFPKIRAFQFATYTSTMIEVLMY WLGELATPDVAEAWSNVVCFFMKHMLESFLTDRVDPFESYQNTVIEHARALSELDEDD KKGGGPSAIGSNGSRGSRASRASVQR H257_05372 MTRQVVDKFELTRDQHLEFTARTKSHLSNMLQLLSLGQQKQHQL GTKVHDKMGLRAYTDGDSTTITGHLATTMMDLEYGVYATTTKQVQTVAAILHSHQYDD AGLLDLQQSHTIEDPFQFVGVKYVALARKGMFAKPHQIVYLEATGSLHDDGVARLYQM VEYVDLVHVYTAKATWSQAIRPVCSTLTLFEADPNDIKSVRVFSRARYSKDTPSPLHQ MHQPPAYWEYVLSLASLAVSRRLVDATSLVQHWVPNSSCKTCSVCDAGFKALRPKHHC RSCGEMMCHACSIPIQSPTVMHKYCTKCVLRSRELRGNDKVELPRKQSVRRPVPSATS SSSHDGEFLRSIRETTKHLNTPSPSPSPHHQQQHVLTPPPPVEHKQLKALEKITDSIA HQESLIVSMREALDRQKRFAAASNSITSTAGTADSYTADELLDTLPSEQHRFEYLDT H257_05373 MADGTGQEAATAVKAKPVDDEDEATLEYEEIRVGEIITYYTRLF VAGDPRGKRVSKIVRIRSDIKNEYPIHVESQDAISKHDMVKRVKDAQGNAVECGLYRK VYTYELIPGKIRGEMASAVLKAAMKNVVRDAFAKVYGSEPPASKKRRRDAAVDEVKQQ HASYKPKRKAASTTKPTTTSSKPISISKFFLPKSTATTSDIPIQQSKGPEPAASRNVD KAANTRNDDPLCFMSPTTEKHTSPLQVKSTSSNIVAAMVVSTGTSRESIATTAKERWP PKSSTKIERPPIKSSAAWCESTQPLFKLPQAMTSSSESIAPSHIFIKPTGATPSSVRP VKTASSTYFNKVERVPRSNNHIAATSTTRTLDPTAPVSPAGHPTRSLTNDKVDEDSFM SPVASALPSSQVSSRAFVPPSITSKASQPFSNSSRSSSGSLGTASFRHRDRLPPPEKR SMSSPTPLTTTDIALRALALSAAATVKRKPVDDYDTYKARQAKKTSREEWKTRKSSLA SLENPPPRRSSSSDKALQSQRLAAFVLKRQDEEDVAERDHVTPRKKQWRQQRATFQGS QSMFHATNPPQLQEMSSDGWRIPKKLAK H257_05373 MADGTGQEAATAVKAKPVDDEDEATLEYEEIRVGEIITYYTRLF VAGDPRGKRVSKIVRIRSDIKNEYPIHVESQDAISKHDMVKRVKDAQGNAVECGLYRK VYTYELIPGKIRGEMASAVLKAAMKNVVRDAFAKVYGSEPPASKKRRRDAAVDEVKQQ HASYKPKRKAASTTKPTTTSSKPISISKFFLPKSTATTSDIPIQQSKGPEPAASRNVD KAANTRNDDPLCFMSPTTEKHTSPLQVKSTSSNIVAAMVVSTGTSRESIATTAKERWP PKSSTKIERPPIKSSAAWCESTQPLFKLPQAASNPAKRSSSSSKQAMTSSSESIAPSH IFIKPTGATPSSVRPVKTASSTYFNKVERVPRSNNHIAATSTTRTLDPTAPVSPAGHP TRSLTNDKVDEDSFMSPVASALPSSQVSSRAFVPPSITSKASQPFSNSSRSSSGSLGT ASFRHRDRLPPPEKRSMSSPTPLTTTDIALRALALSAAATVKRKPVDDYDTYKARQAK KTSREEWKTRKSSLASLENPPPRRSSSSDKALQSQRLAAFVLKRQDEEDVAERDHVTP RKKQWRQQRATFQGSQSMFHATNPPQLQEMSSDGWRIPKKLAK H257_05374 MPTNVPAFDIQVTSKDEVVQDSILLAEELWQLASMAHGPHGQFI VVQANKDCGDAFIITSSAKRILDHIKLKSPMGTLVAQFVQSHINQCHDGGLFCIMLAS SLLRSVHNVLQGIPKHRLVSGFRLALDWTLDELDGIQENDLDWSDHDAIVAVLRGILS AKHVAAVPDAAMAMLVHMFVHHIPWLLEHPTVPPLVRVVVSRPQLPHLTDHMILHHTV LIPGYRHVTHLPLRHVTVALFNVTIRPTTTPTSDIEVTIKVDSGTYHSVMTPSAAGVL QEAELTGWATVATRLQACGVQMVLSQKKIPAFLAARLNDLGIASVERLSIQHLDAVQA VTGAAVLSDWMATDVTAADLGKVDHAYALELGGVEYVALTCDPASHETNEVSYARTRP MSTIKMDCIDDMAFEELNHVLQAAIHVLTNLLVDPSVCAGAGDTERALVRQLRRRAVG LTAVDSRDRAECRGLKRVVDSFVDALERCTLPEQQKGSVMPDVLDSAAVKTSAIVTAV TLVTTLLRVDGVIVAGASSNPK H257_05374 MPTNVPAFDIQVTSKDEVVQDSILLAEELWQLASMAHGPHGQFI VVQANKDCGDAFIITSSAKRILDHIKLKSPMGTLVAQFVQSHINQCHDGGLFCIMLAS SYATIRPLPSSTAIIHTTNCIVIRLLRSVHNVLQGIPKHRLVSGFRLALDWTLDELDG IQENDLDWSDHDAIVAVLRGILSAKHVAAVPDAAMAMLVHMFVHHIPWLLEHPTVPPL VRVVVSRPQLPHLTDHMILHHTVLIPGYRHVTHLPLRHVTVALFNVTIRPTTTPTSDI EVTIKVDSGTYHSVMTPSAAGVLQEAELTGWATVATRLQACGVQMVLSQKKIPAFLAA RLNDLGIASVERLSIQHLDAVQAVTGAAVLSDWMATDVTAADLGKVDHAYALELGGVE YVALTCDPASHETNEVSYARTRPMSTIKMDCIDDMAFEELNHVLQAAIHVLTNLLVDP SVCAGAGDTERALVRQLRRRAVGLTAVDSRDRAECRGLKRVVDSFVDALERCTLPEQQ KGSVMPDVLDSAAVKTSAIVTAVTLVTTLLRVDGVIVAGASSNPK H257_05374 MPTNVPAFDIQVTSKDEVVQDSILLAEELWQLASMAHGPHGQFI VVQANKDCGDAFIITSSAKRILDHIKLKSPMGTLVAQFVQSHINQCHDGGLFCIMLAS SYATIRPLPSSTAIIHTTNCIVIRLLRSVHNVLQGIPKHRLVSGTYISSPRTASTSRM YIGFRLALDWTLDELDGIQENDLDWSDHDAIVAVLRGILSAKHVAAVPDAAMAMLVHM FVHHIPWLLEHPTVPPLVRVVVSRPQLPHLTDHMILHHTVLIPGYRHVTHLPLRHVTV ALFNVTIRPTTTPTSDIEVTIKVDSGTYHSVMTPSAAGVLQEAELTGWATVATRLQAC GVQMVLSQKKIPAFLAARLNDLGIASVERLSIQHLDAVQAVTGAAVLSDWMATDVTAA DLGKVDHAYALELGGVEYVALTCDPASHETNEVSYARTRPMSTIKMDCIDDMAFEELN HVLQAAIHVLTNLLVDPSVCAGAGDTERALVRQLRRRAVGLTAVDSRDRAECRGLKRV VDSFVDALERCTLPEQQKGSVMPDVLDSAAVKTSAIVTAVTLVTTLLRVDGVIVAGAS SNPK H257_05374 MASDHVHQVTSKDEVVQDSILLAEELWQLASMAHGPHGQFIVVQ ANKDCGDAFIITSSAKRILDHIKLKSPMGTLVAQFVQSHINQCHDGGLFCIMLASSLL RSVHNVLQGIPKHRLVSGFRLALDWTLDELDGIQENDLDWSDHDAIVAVLRGILSAKH VAAVPDAAMAMLVHMFVHHIPWLLEHPTVPPLVRVVVSRPQLPHLTDHMILHHTVLIP GYRHVTHLPLRHVTVALFNVTIRPTTTPTSDIEVTIKVDSGTYHSVMTPSAAGVLQEA ELTGWATVATRLQACGVQMVLSQKKIPAFLAARLNDLGIASVERLSIQHLDAVQAVTG AAVLSDWMATDVTAADLGKVDHAYALELGGVEYVALTCDPASHETNEVSYARTRPMST IKMDCIDDMAFEELNHVLQAAIHVLTNLLVDPSVCAGAGDTERALVRQLRRRAVGLTA VDSRDRAECRGLKRVVDSFVDALERCTLPEQQKGSVMPDVLDSAAVKTSAIVTAVTLV TTLLRVDGVIVAGASSNPK H257_05374 MGTLVAQFVQSHINQCHDGGLFCIMLASSLLRSVHNVLQGIPKH RLVSGFRLALDWTLDELDGIQENDLDWSDHDAIVAVLRGILSAKHVAAVPDAAMAMLV HMFVHHIPWLLEHPTVPPLVRVVVSRPQLPHLTDHMILHHTVLIPGYRHVTHLPLRHV TVALFNVTIRPTTTPTSDIEVTIKVDSGTYHSVMTPSAAGVLQEAELTGWATVATRLQ ACGVQMVLSQKKIPAFLAARLNDLGIASVERLSIQHLDAVQAVTGAAVLSDWMATDVT AADLGKVDHAYALELGGVEYVALTCDPASHETNEVSYARTRPMSTIKMDCIDDMAFEE LNHVLQAAIHVLTNLLVDPSVCAGAGDTERALVRQLRRRAVGLTAVDSRDRAECRGLK RVVDSFVDALERCTLPEQQKGSVMPDVLDSAAVKTSAIVTAVTLVTTLLRVDGVIVAG ASSNPK H257_05374 MGTLVAQFVQSHINQCHDGGLFCIMLASSYATIRPLPSSTAIIH TTNCIVIRLLRSVHNVLQGIPKHRLVSGFRLALDWTLDELDGIQENDLDWSDHDAIVA VLRGILSAKHVAAVPDAAMAMLVHMFVHHIPWLLEHPTVPPLVRVVVSRPQLPHLTDH MILHHTVLIPGYRHVTHLPLRHVTVALFNVTIRPTTTPTSDIEVTIKVDSGTYHSVMT PSAAGVLQEAELTGWATVATRLQACGVQMVLSQKKIPAFLAARLNDLGIASVERLSIQ HLDAVQAVTGAAVLSDWMATDVTAADLGKVDHAYALELGGVEYVALTCDPASHETNEV SYARTRPMSTIKMDCIDDMAFEELNHVLQAAIHVLTNLLVDPSVCAGAGDTERALVRQ LRRRAVGLTAVDSRDRAECRGLKRVVDSFVDALERCTLPEQQKGSVMPDVLDSAAVKT SAIVTAVTLVTTLLRVDGVIVAGASSNPK H257_05374 MGTLVAQFVQSHINQCHDGGLFCIMLASSYATIRPLPSSTAIIH TTNCIVIRLLRSVHNVLQGIPKHRLVSGTYISSPRTASTSRMYIGFRLALDWTLDELD GIQENDLDWSDHDAIVAVLRGILSAKHVAAVPDAAMAMLVHMFVHHIPWLLEHPTVPP LVRVVVSRPQLPHLTDHMILHHTVLIPGYRHVTHLPLRHVTVALFNVTIRPTTTPTSD IEVTIKVDSGTYHSVMTPSAAGVLQEAELTGWATVATRLQACGVQMVLSQKKIPAFLA ARLNDLGIASVERLSIQHLDAVQAVTGAAVLSDWMATDVTAADLGKVDHAYALELGGV EYVALTCDPASHETNEVSYARTRPMSTIKMDCIDDMAFEELNHVLQAAIHVLTNLLVD PSVCAGAGDTERALVRQLRRRAVGLTAVDSRDRAECRGLKRVVDSFVDALERCTLPEQ QKGSVMPDVLDSAAVKTSAIVTAVTLVTTLLRVDGVIVAGASSNPK H257_05374 MPTNVPAFDIQVTSKDEVVQDSILLAEELWQLASMAHGPHGQFI VVQANKDCGDAFIITSSAKRILDHIKLKSPMGTLVAQFVQSHINQCHDGGLFCIMLAS SLLRSVHNVLQGIPKHRLVSGFRLALDWTLDELDGIQENDLDWSDHDAIVAVLRGILS AKHVAAVPDAAMAMLVHMFVHHIPWLLEHPTVPPLVRVVVSRPQLPHLTDHMILHHTV LIPGYRHVTHLPLRHVTVALFNVTIRPTTTPTSDIEVTIKVDSGTYHSVMTPSAAGVL QEAELTGWATVATRLQACGVQMVLSQKKIPAFLAARLNDLGIASVERLSIQHLDAVQA VTGAAVLSDWMATDVTAADLGKVDHAYALELGGVEYVALTCDPASHETNEVSYARTRP MSTIKMDCIDDMAFEELNHVLQVREMASWLGG H257_05374 MPTNVPAFDIQVTSKDEVVQDSILLAEELWQLASMAHGPHGQFI VVQANKDCGDAFIITSSAKRILDHIKLKSPMGTLVAQFVQSHINQCHDGGLFCIMLAS SYATIRPLPSSTAIIHTTNCIVIRLLRSVHNVLQGIPKHRLVSGFRLALDWTLDELDG IQENDLDWSDHDAIVAVLRGILSAKHVAAVPDAAMAMLVHMFVHHIPWLLEHPTVPPL VRVVVSRPQLPHLTDHMILHHTVLIPGYRHVTHLPLRHVTVALFNVTIRPTTTPTSDI EVTIKVDSGTYHSVMTPSAAGVLQEAELTGWATVATRLQACGVQMVLSQKKIPAFLAA RLNDLGIASVERLSIQHLDAVQAVTGAAVLSDWMATDVTAADLGKVDHAYALELGGVE YVALTCDPASHETNEVSYARTRPMSTIKMDCIDDMAFEELNHVLQVREMASWLGG H257_05374 MPTNVPAFDIQVTSKDEVVQDSILLAEELWQLASMAHGPHGQFI VVQANKDCGDAFIITSSAKRILDHIKLKSPMGTLVAQFVQSHINQCHDGGLFCIMLAS SYATIRPLPSSTAIIHTTNCIVIRLLRSVHNVLQGIPKHRLVSGTYISSPRTASTSRM YIGFRLALDWTLDELDGIQENDLDWSDHDAIVAVLRGILSAKHVAAVPDAAMAMLVHM FVHHIPWLLEHPTVPPLVRVVVSRPQLPHLTDHMILHHTVLIPGYRHVTHLPLRHVTV ALFNVTIRPTTTPTSDIEVTIKVDSGTYHSVMTPSAAGVLQEAELTGWATVATRLQAC GVQMVLSQKKIPAFLAARLNDLGIASVERLSIQHLDAVQAVTGAAVLSDWMATDVTAA DLGKVDHAYALELGGVEYVALTCDPASHETNEVSYARTRPMSTIKMDCIDDMAFEELN HVLQVREMASWLGG H257_05374 MASDHVHQVTSKDEVVQDSILLAEELWQLASMAHGPHGQFIVVQ ANKDCGDAFIITSSAKRILDHIKLKSPMGTLVAQFVQSHINQCHDGGLFCIMLASSLL RSVHNVLQGIPKHRLVSGFRLALDWTLDELDGIQENDLDWSDHDAIVAVLRGILSAKH VAAVPDAAMAMLVHMFVHHIPWLLEHPTVPPLVRVVVSRPQLPHLTDHMILHHTVLIP GYRHVTHLPLRHVTVALFNVTIRPTTTPTSDIEVTIKVDSGTYHSVMTPSAAGVLQEA ELTGWATVATRLQACGVQMVLSQKKIPAFLAARLNDLGIASVERLSIQHLDAVQAVTG AAVLSDWMATDVTAADLGKVDHAYALELGGVEYVALTCDPASHETNEVSYARTRPMST IKMDCIDDMAFEELNHVLQVREMASWLGG H257_05374 MGTLVAQFVQSHINQCHDGGLFCIMLASSYATIRPLPSSTAIIH TTNCIVIRLLRSVHNVLQGIPKHRLVSGFRLALDWTLDELDGIQENDLDWSDHDAIVA VLRGILSAKHVAAVPDAAMAMLVHMFVHHIPWLLEHPTVPPLVRVVVSRPQLPHLTDH MILHHTVLIPGYRHVTHLPLRHVTVALFNVTIRPTTTPTSDIEVTIKVDSGTYHSVMT PSAAGVLQEAELTGWATVATRLQACGVQMVLSQKKIPAFLAARLNDLGIASVERLSIQ HLDAVQAVTGAAVLSDWMATDVTAADLGKVDHAYALELGGVEYVALTCDPASHETNEV SYARTRPMSTIKMDCIDDMAFEELNHVLQVREMASWLGG H257_05374 MGTLVAQFVQSHINQCHDGGLFCIMLASSYATIRPLPSSTAIIH TTNCIVIRLLRSVHNVLQGIPKHRLVSGTYISSPRTASTSRMYIGFRLALDWTLDELD GIQENDLDWSDHDAIVAVLRGILSAKHVAAVPDAAMAMLVHMFVHHIPWLLEHPTVPP LVRVVVSRPQLPHLTDHMILHHTVLIPGYRHVTHLPLRHVTVALFNVTIRPTTTPTSD IEVTIKVDSGTYHSVMTPSAAGVLQEAELTGWATVATRLQACGVQMVLSQKKIPAFLA ARLNDLGIASVERLSIQHLDAVQAVTGAAVLSDWMATDVTAADLGKVDHAYALELGGV EYVALTCDPASHETNEVSYARTRPMSTIKMDCIDDMAFEELNHVLQVREMASWLGG H257_05374 MPTNVPAFDIQVTSKDEVVQDSILLAEELWQLASMAHGPHGQFI VVQANKDCGDAFIITSSAKRILDHIKLKSPMGTLVAQFVQSHINQCHDGGLFCIMLAS SYATIRPLPSSTAIIHTTNCIVIRLLRSVHNVLQGIPKHRLVSGTYISSPRTASTSRM YIGFRLALDWTLDELDGIQENDLDWSDHDAIVAVLRGILSAKHVAAVPDAAMAMLVHM FVHHIPWLLEHPTVPPLVRVVVSRPQLPHLTDHMILHHTVLIPGYRHVTHLPLRHVTV ALFNVTIRPTTTPTSDIEVTIKVDSGTYHSVMTPSAAGVLQEAELTGWATVATRLQAC GVQMVLSQKKIPAFLAARLNDLGIASVERLSIQHLGLMTL H257_05374 MPTNVPAFDIQVTSKDEVVQDSILLAEELWQLASMAHGPHGQFI VVQANKDCGDAFIITSSAKRILDHIKLKSPMGTLVAQFVQSHINQCHDGGLFCIMLAS SYATIRPLPSSTAIIHTTNCIVIRLLRSVHNVLQGIPKHRLVSGTYISSPRTASTSRM YIGFRLALDWTLDELDGIQENDLDWSDHDAIVAVLRGILSAKHVAAVPDAAMAMLVHM FVHHIPWLLEHPTVPPLVRVVVSRPQLPHLTDHMILHHTVLIPGYRHVTHLPLRHVTV ALFNVTIRPTTTPTSDIEVTIKVDSGTYHSVMTPSAAGVLQEAELTGWATVATRLQAC GVQMVLSQKKIPAFLAARLNDLGIASVERLSIQHLGLMTL H257_05375 MHAEVERELARLRHAMRDLNKNVQKLSDVNNELVAFNHSFGTFC TAINLQKTCVEFPLPSPPPKKAAAAPSSNSATVLSTPPSSGIPPPSTSSSSKIPVLKV ASALPPKSNVEHPPRPKKAKKAPPPPAAPPRLYHWPKGVRGKIPPKYQSAPELAKLER VLFVLGDSLRGMSIGELVKASNISVIHAKDILQTLMKLEQIKCKREKHGFLYCRS H257_05376 MPRQHSATKAKKQVTTTRPDGVPQRRHSECVNDVQSIIHHHNVP TDRDKEADDDMLCSPGSARSLSFADVVVKHS H257_05377 MDPRRNERDRLVHALAEFASFFANESKRRRQKSRHDKSPRNDVL AWLGQLTPMERAAVTSTVDVSFVRLVIQMMAAPKSKSRSVDEFHVLRTTSKQGKVSLP FTSRSTFSRRPVTTTRDGDELIIPHSRAFDVAASTVVSSMRLSNTHKSCDTVGPCISL IGNLTSFVALMDTVTFGHFLEQPPEAATWKDLPWSELPWLGTYGYYPLATYVAHQMEL QLWRHFRLAKQTTTSTAIPKVGLLATSHLALEWHQACPRVQIDTCRRLAISTTCLVQS LHSNPTSLACVQSSLGLLLEIMSPPRSTYASCCNSVADTAPGTSTWFSCSLVEAGSRP QFAMVKLLWAEELQAECAALLRRSLELPATNDDATTKNKTKQSKKKAHARQRKLKQRD ASLHRSRLDAVLGQLKRTVRLKTKQQQATTSLVAAVLSDLVSQVCHQLLRPPPPPTRI PKRKPPKKIKPPTSSSPPELPVTPPRRVLKLHLTSPSPLSSSMAGSPPRTPPYYDPFP SQGGGPPLHTSHFFPSPRRQPASFHHPPMSFADLDTPSDETSFFLPQLFQEDAMPTAD WSVTPSFPAMDWPHSFQWRHSETRLPTWMMEQPPKSPSKPSQPTSLPPSTTTSTTTTT TDNQPRPPPHPTWTMVDQSTQATTSVDISQETVAELQSIIDSNAKTTAALQVEVTQLQ NTVRELQAAVFALQQPKPPPPPPPSSTTSTRSNNLFVSVPASVLPPKTKLHWDICEFV THLQAETHSRLAAHTAVSRFCVSAVQALWPRAQVRPYGSFVTGLSLPSSDLDLVICLP KVRRDEPAEAPGVLEGRNAIKETWQQHLARKLRSESWVVPESVKTIPNASIPIITLVT TAPYHVRLDISFEGPGHNGLATNDLVHSFLHQLPALLPLMLVLKTFVIDRGLGVAYTG GLSSYALLLLVTRFLQEFEVTNRTCHPEAVSTQCNLIVSSQSRTDFGTMLLGFLYFYG SKFDPRQTGISVSVLFRSGCTGAAALDRHRHDHVVAQCAHGARPV H257_05378 MIQATRDTLVALSPRLVIVLLGTNDAAPAFYRSGGPQDEYFVSL RGILDVIATACPTAKLLLISPPVVVDEVFGLPQNEREGFGAAVEEPALKPAPITAKVA IAKQHKCAQYDTTVHRVTIPPRRTNGSNAENHVTWT H257_05379 MKPSSHPFGKPTSKKMTTYYTFAEFLDPSERDTYLTLPHATQIR RFEAYLGYLQSKQVQFPTSKRAASCYAEVVSQDVPDMDQLTRLVEGRTLSGFGVCGTV DGFLGQCELSMGAAWLADAIGHAYRVENPLVLNSRSFMFGWCHAEKQKLAHLTQHMPT PRLWHAICIVVDRDMCPDCVAFASSLATFEHDTIRIRDPSCTRLFRPNGLVDVDTS H257_05380 MVCVSYALVALTGFALANSLTALQPATGNDLRDSENYYIHHGSR QAVLPPLNQPLHSPPSQRQLLPLSLHLSQRQLPPLNQPLHPLPSQRQLLPLSLHLSQR QLPPLNQPLHPPPSQRQLLPLSLHLSQCQLPPLNQPLHPPPSQRQLLPLSQLLNLILN LLLSVHLSQLRLLLLKLPPTRPLNQHHRLVLLNQLQNSHPR H257_05381 MELTIPDPTPAPTPEPTPAPTPEPTLAPTPGPTLAPTPEPTPAP TPEPTPAPTPEPTPAPTPDLTPAPTPEPTPAPTPEPTPAPTPEPTPSLTPEPTPAPTP EPTPEPTPAPTPEPTPSLTPEPTPAPTPEPTPEPTPAPTPEPTPSPTPEPTPAPTPEP TPEPMPAPTPEPTPAPTPEPTPAPTPEPTPEPTPEPTPERTPEPTPAPTPEATPHSTP EPTSSASTPQPTPELTPTVTLSSTPRPTRPPMPAPTTPLVTSTIANGAYVLTGNTATR IIAFTKTAGSSIQSTGQQWTVDPTTQKSVRLAGTNLCLDGYEGFNGGTVHLWPCDASN PNQKWVYDVVHQQFRHGTFAGFCLDFNAKLGVTHLWTCLDATSPDMGNQVFQVKSVVQ LRAKGKVLSGLLRKVTFLPSGSNVNQYWFVDPVRRSVQLQGTSLCLDGFEATNGGTVH LWECSDTNPNQKWKLDDRTKQLRHGTFEGFCLDFADDGVRPHLWTCLPRRHKDIKNQQ FALIRQDGASQVTEFDGE H257_05382 MSVKPTIAQNLWRKKRLLTQGVLQAMGKTQPSDDTKFKDALATY VAYIAHIRQVAARLDAQRVALLAFDAASTSLFDITLPHGTSPLDAPARSILDATMTAA VQALDAKAKSLAVHEDVIQARAAAKLEVDSLSRIKSSRVEAATALLETLTDRLFRQFA LVQAYRHEFIADEVAMAQQAVGVYVAQLSSTPLFPSEEVSSESSKPHLATSWTSETQT SASTSTEGEASDRLPREPNATSLSVVPLTPQQATVPTATRLRVSKLTVSPFLAKLKLL STQLNPHTILYNPGQPIHDDDGTTNQVDDDEYTLDMTASDQCVIGGGTNQSLLLVYSV PPST H257_05382 MSVKPTIAQNLWRKKRLLTQGVLQAMGKTQPSDDTKFKDALATY VAYIAHIRQVAARLDAQRVALLAFDAASTSLFDITLPHGTSPLDAPARSILDATMTAA VQALDAKAKSLAVHEDVIQARAAAKLEVDSLSRIKSSRVEAATALLETLTDRLFRQFA LVQAYRHEFIADEVAMHLATSWTSETQTSASTSTEGEASDRLPREPNATSLSVVPLTP QQATVPTATRLRVSKLTVSPFLAKLKLLSTQLNPHTILYNPGQPIHDDDGTTNQVDDD EYTLDMTASDQCVIGGGTNQSLLLVYSVPPST H257_05383 MAIQEETSPLLPLKHALPPVDDTADLEAIIRDEVPAMYRLAYPV VLTYVLELTPGLVSLVLVGQMAAEDTKRFVDAAALSVMYLNLTALSVGIGLATAMDTL CAQAYGAGNIRHIGLYLQTGAVVLSAAFVPIFLANFYCADILIFLNQPEDVAVLSGEF SRVLAFGLPFLYAYELLKKVLQAQNIALPMLYSAILCNMVNLVTGYVLVNHTSCGYLG AAISRTLGNMSLPLSLVPYFYKKDRLAFWPGWQLHAAVQGVPEFVYFGLAGMLMMVFE WWSYEIIALLAGLLPNAIVAIGANAVLVNITATVYMFYLGIAVAGNIRVGNALGSNRP KRAKVAAAVATILAGGVSVMTGLCVFAFRYMYPRVFTQDSAMIALAAQVAVVVAGFQL VDGLNAAIQGALRGCGLQNYGAAINFVSYLLFGLPVGYLFEFSFAWGLPGLWIGMTLG YTFAGVCGAYVLIKHNWQALADAAQARANSKCD H257_05384 MNNPPSYAQPPAYAYTSSGSIQVQTTVMSSSTTFAISRTSSATS ISSLKPPSDVKLFESAKDRRMYEDMSDLYAIIKTTEHLETAYVRDAISPDEYTEACTK LISQYKTAETALRNAGHLVSIDAFLSHYRLDCPRAVERLVRLGVPATVVHNTTSTSVD AVNVAQTVQHFITLSDALKMNIRAVDEVQPLLSESMGSLTKVKGLPPTFDGLMKLEQW LRVLNAMRASDELDEDQTRQLSFDLEQAYTGFMSFLNKSG H257_05384 MNNPPSYAQPPAYAYTSSGSIQVQTTVMSSSTTFAISRTSSATS ISSLKPPSDVKLFESAKDRRMYEDMSDLYAIIKTTEHLETAYVRDAISPDEYTEACTK LISQYKTAETALRNAGHLVSIDAFLSHYRLDCPRAVERLVRLGVPATVVHNTTSTSVD AVNVAQTVSRSFLCSSCKRPSRCNTSSPSATPSR H257_05385 MKAESSMRQRGGGVDKLHGWNKDFHSIHVGASTSLSSNKAHHVS NKKREFFSVQWIIAPLLLSWGVWNCYMAPHLLNVLAAFTIGALILLCMVSSATTSSTC NDDSCSDEDSMQLRIGINIVPSMNAWFASYLSPSSQDTLMSNELHPLRLHRSASTTST FSSDSELEYTVRCSESTIAIDDDFDMYQDEVFEREDDGNDEGVLWTAPDALNSWMVAS PVQCPLAPVLSQVAPSPVQVAPPVVKTPKVTEPSTSTKRKVREEATVASGRAVSTKRL IVATSRKRAPKSSLCRSPEIRRMMEELERMQDESDALVVAAESVGLGAKCTMNERLRR LHNA H257_05386 MPLVKCRFCGKERRISLGSNLLPSEVNDLHMLCQPSCFKMLHSR GTFADLNQKEVLVPIIDIFHDMDLQQKAMANIDVAMTHRAENSTMRQQDIAAPPPSGR PADRHSNTNCGNNGIDNGPPLTTPSLVDSSAVSQPHSLHSSADHESTPLHRRSPSSDI SSSANCSFPSAQPPPRSITTPPPTSDMRSLYIPPVHYTSSLRATSAEQPPTGPSDLPP AFEPSPPSQPAASSDYLRIIERFRSRRLEDLDDRNNVLRLDLTPAIDLRTPLAAAETA AVIGCLENVEFVVVVQGLAARLNASYWTVSFLLTSLPPLLPVQCDHYRADRHGVMTFV GSVLVPLRAVHAHFLHGSPVQLPYPDGPVVVGGGPDDGLALHDMELAFHSTLVHANLK THFGWDVFAGGAHCWMQYMPSQYQDMHRFEPKLHMCRPSGQRSELIYSGNGSTDTAYQ VVLGEMELVVFDPLTAKQRSRVLDFLRRMGYLPGTRADLVDKYLHNLNKFGYKSSVVQ VRAGEYAHVHKGRLHLWRSVGGAYPMLVYITWEWTFQGVTPQGIHDSAKFLLDTAVAH QPSSVAPSPLQYIFNPRNCFLEAIRQWLALTTLDTGACVPHLRAVLPVLERLVDEDQA VHDDGDDGWFLGAQHPLPARHHAGPNRTLPPHLSRCRLCSYVDIVFPQNLVDHLS H257_05387 MHVHEAEKAVSREKHGVAEATALVTAFAHGARRPPRTLAHGHHV TPLLVCLRPRQTARVSGTRPDASAGVEPTRGAAAVDAHDKWRRHVIHCAPKTLLERVG QFVPIQPPIPGIRMNHITEQSSVRITG H257_05388 MHRPGTKPRVMKKATSNAFKLNTSTASSAPSASPGSSSTSDARS SNATSKPAASGSSATDSFLSALATASTVAAATLANVLPDVHVNLFCLCETSGDLVFVG AKGRLCHINIHASKPSLHDLTPTKSSDKVNVHVLSSASHLAFNSRGTKVLVQTNEGAL YVFNLPLSAHHRLTPTPQLVQVVFADGSRRVVKLDDVPSPDTTSVQRALATLSPSDRA KVKTVVPDVFEVDLRRLLLLPTVTAVSATWHPLSDSHVVLLTSTDDLLVYATDDSTFS PEQTHPLSFAPPSSSVGASATTSLSFGPVTGWEALTVYILRSNGDLFALSPILPHDGA SVPASLLRFLHQATDGQLTLANVDLDTRIHVKAQKHWLATVWPADLPPPTVDEDEDIT SPLSAPTNAWTRSSTSADAVATLHWPVQLQGPFPYGSATWSHPNSKAHSVAAVAYPGA SPNNLGRAPVLAIGWSSGHVTIVVMERPVQPRWQLKKPKPQQSSSSPPPPSVYLVECI NIGATCDNGKLHLATHPLNAQVVYALHSTGVHVLHLPYVTAPLAPPPFTASVHRVLSL APSATALVTGAAVIYSVERGHVLVVLYASGQWELVNVSAKTASCCSSSSIVRAPAPSS SFTATTPVVTPLAQLVDELQEKFVSHKIHVQGGSTSLPDTTIDTFHFAQNHIPALLDQ AAYVEAIGAATRSRIELHREWKDQQTTDAATLDGALGASASAFADLLTRVQALQAKQS QLNDRAAAVLQAVKENQTVLSKAEIQYKQELQEMAHAARRLVPKVTQVKLDAQKLLRN ALVDISPPTTASSLSPDRARICHDVLTAEAQLIADTTARLHELTSLVASSLHL H257_05389 MAPKQRIHKSIEEKVRIIACVEGGMSHLAASKEFGVSRPAVTKM LKEKDLITAKHEQPWSATPVAAPHKRKKTPRRHDTLSVDIKSDGASSSSSSSSAPAVS SPEPTQIDVQPLLHAHHVLTDDNTSSSTDQPRRPRVLLAASGSVATVKVPALAVQLAA VADVRVVVTKAAQFFLDKAATYDPEAHAAFIALSLPILRDDDEWNAWSSLGDPVLHIH LRDWADVMCIAPLSANTMAKLAGGLCDNLVTCVARAWSTAKPLIVAPAMNTQMYAHPA TESHLHLLRSFGYSIVSPVSKTLACGEVGNGALASVDTIVGTVVRSLVLLAPSTPHAP SPL H257_05390 MQASAVARRVLRPFLRKTSANAAARAMSSKVPTHLPLSFLSEEE LMFQDAATKFAQDVCLPKVSKMDADGEMDKEITQGMFDNGFFGIEIPEEYGGSGASFM NVCLTIEALSRVDPVVGLLCDLQNTVVNNVFVNYGTPEQKQEYLPRLATEMIGSFCLS EAGSGSDAFALKTRADLSADGSYYTLNGQKMWISNSEYAGVFLVFANIDMAKGYKGIT CFIVDRDTEGLEIGKPEDKLGIRASSTCPVYLTNVKVPADKILGEAGKGYKIAISTLN EGRIGIASQMLGLAQGVLDQTVPYLYERKQFGVHIGDFQAMQHQQAEIALDIETARLL VYNAARLKDVGEPFVKNAAMAKLHASRVAERSASKCIELLGGVGFTKTLHVEKMWRDS KIGAIYEGTSNMQLTTIAKILKDEYKA H257_05391 MRLFMAAASDDAMPVGESVECACATFIVMPAYVSAWRRGWISAS AASDRRSTGSCVAGARPATSWCARRMWIWCMSRKSRRNGSRSTVHEDVCGRSDVKCVS RSCSGFDSFAPAHTHD H257_05392 MSSSSIGNTAIKRIRADVREMMTDPSDQYFAAPLEDNMFDWHFT IRGPRDTEFAGGVYHGRILLPSDYPFKPPNIILLTPNGRFEVKKKICLSISAYHPEHW QPAWGVRLILEALISFMPTKGEGAIGALDFTPDERKALALESVRWTCPQCGDINALIP EERETETATESKYASQIAQLHIHNMETPDVQPDHDADASSEPTAAPAPADSNPPSAIP SLAPPPLAEVPADTNDLPVPVPPLHQPAPAPVVHRPPTPPTAVARRQPDFVDSVLQGI STALLLALIALVYKKLLHLNGNMIAD H257_05393 MAPKQQASKKTVQKAKEKAVEDKTFGLKNKNKSKNVQNYIKTVA HQVKGTSEREERKKLDEKKAALAAKQAMEKQMNDLFMSAIIQPRVPLGVDPKTIVCEF FKQATCSKGNRCKFSHDLMVGKKAIKINLYEDDRKEDKIEDWDQKKLEDVITEKHGQK VATQTDIVCKYFLDAIEKSQYGWFWSCPNGGKACKYRHALPPGFVFQTKAERDLAKGK KVDDVSIEEIIEQQRAKLGAGGGTPVTEASFAQWKVEKLARKAADDEKRRKEEAKKTG GRSILSGRALFSYDPTLFRDDDDAAEDEYNVRNSDDEEDEDKPAFRGGVDAAAAHMDK SLYLQDEDNLDELMDEE H257_05393 MAPKQQASKKTVQKAKEKAVEDKTFGLKNKNKSKNVQNYIKTVA HQVKGTSEREERKKLDEKKAALAAKQAMEKQMNDLFMSAIIQPRVPLGVDPKTIVCEF FKQATCSKGNRCKFSHDLMVGKKAIKINLYEDDRKEDKIEDWDQKKLEDVITEKHGQK VATQTDIVCKYFLDAIEKSQYGWFWSCPNGGKACKYRHALPPGFVFQTKAERDLAKGK KVDDVSIEEIIEQQRAKLGAGGGTPVTEASFAQWKVEKLARKAADDEKRRKEEAKKTG GRSILSGRALFSYDPTLFRDDDDAAEDEYNVRNSDDEEDEDKPAFRGGVDAAAAHMDK SLYLQDEDNLDELMDEE H257_05393 MAPKQQASKKTVQKAKEKAVEDKTFGLKNKNKSKNVQNYIKTVA HQVKGTSEREERKKLDEKKAALAAKQAMEKQMNDLFMSAIIQPRVPLGVDPKTIVCEF FKQATCSKGNRCKFSHDLMVGKKAIKINLYEDDRKEGTNHIPSSPCRSSRACSFTDKI EDWDQKKLEDVITEKHGQKVATQTDIVCKYFLDAIEKSQYGWFWSCPNGGKACKYRHA LPPGFVFQTKAERDLAKGKKVDDVSIEEIIEQQRAKLGAGGGTPVTEASFAQWKVEKL ARKAADDEKRRKEEAKKTGGRSILSGRALFSYDPTLFRDDDDAAEDEYNVRNSDDEED EDKPAFRGGVDAAAAHMDKSLYLQDEDNLDELMDEE H257_05394 MDEGGEDRGEEHGEEHGEERVEDLPPEKKDEVKSIPALRAQLDD VQARYRHKEPELLNELNNTYDYMVKNLDPIMSEALEDLMLHRPEQVAAYLAFYMAGTV DVSKYKKTQLQPQAYFERKVQPALGLAVDSVIRDKPDDVKAYLMTFFDQRANIY H257_05395 MQYGGLPNQHEQHADVDFGFDNARNHTSAYAKKVDLNVPILAIT AVGVIFLLFTSSFRPIVLVLTFCGYGAVFSLYLSHWVLSKDDGTPEMREVSIPIREGA EGFLRIQYTAISQIAVGLALLIFFSYSLRPNSLHPSGVEKLGNTTLGVLGAISFALGA MCSAAAGYISMWVSAQSNIRVASAARRSYGEALLICFRGGAFSAVLDITLCVAGVSIL YVLLHAAFTPIVNATEIPMLMVGYGFGASFVALFMQLGGGIYTKAADVGADLVGKVEQ GIPEDDPRNPAVIADLVGDMVGDCVGSSADVFESVAAEIIGAMILGGSLAKEGAIESP ISFVFFPVVVHAFDIVVSSIGILCVTEPGPTESDPMTTLQRGYSITLLLALAGFGLST RWLLFTSTAPGAWFHFFLCGVVGMVTAYVFVKSTQYYTDYAYAPVRSIAKASTTGHGT NIITGVAVGMKSTVVPTLMVSFSVIAAYHLGASAGIGSGRTGGLFGTAVATMGMLSSA VFVLAMNNYGPIADNAGGIAEMSRQPEYVRDATDKLDAAGNVTKAITKGYSIGSAALA CFVLFGAFMDEFSAFAGVPFTTVDIATVEVLVGGLLGTMMVFFFTGLAVAAVGDTAGE VVLEVRRQFELYPGIMQGTQKPDYRTCVSLVTKAALKQMRLPGLLAVLMPVAVGLVFR VVGEYQNKPLLGAEVLAGYLMFGTVTGIMMALFLDNVGGAWDNAKKYVELGNFGGKGS EAHKAAVTGDTVGDPFKDTAGPALHVVIKLLSTTVLVLGPLFVTHVGGVPPHPST H257_05396 MMSNNMVHVLQQRERRGNPRRLAMSRRTCWSVDDVLSVEEHAVL LGFSNSGRYLMYYKSCDQGLLQVYWRIFNPSSTPLVSPGTALAISVGPCAASSLQNCA YDDDMELRVWESIDESLVVAISNSGRRPGTAFHVTVSCGPSAPRSSVIGALRLTLSTT MSIPECWFFVSSTSQLVFHVGSSLLLFSLNCGNRRAPMLPHSTKPWYYASEFPVEVVA VPTDGTHPVTTTSDHPTKLLLQCALESTFDVEVFLQSLLDSSPTLRSFHLHDYDLRHL CVADSTWLYMCVVAALDQDGGSRCRRRVGIFFAWNVLDGSFQTLRLVSVPLHTPLNTA SDAMLLRCRRAISSIQATTHPPTTCSNSSWSSSVPSFNMWTNAGVLCGTSVGRLDNPA WPYAISR H257_05397 MDPFESQARELGRVEGRAEALEEIASLHAQIASLYGQIEDMALS QEGRTKALMSDVYKEIKATFKQHTELLAPKQVVEICKPSLRRVAELHLGNITRIPESD TPTTSSPRSQLHRSQTCAASMNTTAESSMHEVPSRRNSAPSPISTDAAALHRSEPPSD DLPPTTIVSISGSEKIGTGHSAYMVYWIVVHRHGVGGDAGATTVKRRFKDFAWLWERL SIVYPCACIPSLPPKSSLLRGGQSRFQPAFTERRRRLLERWVTYISLHDALSKSPYTH SFLHDAILHPDSIALPRSTTPSSSSSIMINSTKAMGPAHAKQRMNQSYKSLLEAVPPL QRRLQMVTKQSDEVVQAHVAMATAVEAMRRHCDIVQSFEHSYETPTKHENSVADVWGA WRDIGSSHARLHQDTATVWEVHLQEPFAFHHIHVLPRFERQVHELGKDMTATNVDKVA VEWDELSVVRAKTLVANLVQGAMQLEARHGAMRDEWTALRQHLVDTSHPMWKVRVRQQ SREEVPPLVHPTLGRPLKPWAVRPDEEGDGDVDVEAAAALFGVAGYAGPARRRRREDG ETDEATAARTLFGGEEDEEGEASSDDGGKPIEKPPVVPGDWDLYIERLRRKQLTKAKR AFAAASQEQQAADEEAAAAATARKTRKRPQSLNWPGKRSSHLRLDERVKQQQQQLQQP LLPSEPEATLLPPQPVRTTSLPATTTATTSNWIQVQTDAGQVYYYHKLTRATRWTKPD HAVLESIEERLLAQHEATQRRLEERRQWHEGHRVQQEKDAEEAVAHSQRIDAAVASWA HHKDVQAMLNSLHEIFPFKDMRQCHVTDDATVKKGYMKAVRLLHPDKLLPADFSMYER MLGQHLFSTLTSAYEKFTPSG H257_05397 MDPFESQARELGRVEGRAEALEEIASLHAQIASLYGQIEDMALS QEGRTKALMSDVYKEIKATFKQHTELLAPKQVVEICKPSLRRVAELHLGNITRIPESD TPTTSSPRSQLHRSQTCAASMNTTAESSMHEVPSRRNSAPSPISTDAAALHRSEPPSD DLPPTTIVSISGSEKIGTGHSAYMVYWIVVHRHGVGGDAGATTVKRRFKDFAWLWERL SIVYPCACIPSLPPKSSLLRGGQSRFQPAFTERRRRLLERWVTYISLHDALSKSPYTH SFLHDAILHPDSIALPRSTTPSSSSSIMINSTKAMGPAHAKQRMNQSYKSLLEAVPPL QRRLQMVTKQSDEVVQAHVAMATAVEAMRRHCDIVQSFEHSYETPTKHENSVADVWGA WRDIGSSHARLHQDTATVWEVHLQEPFAFHHIHVLPRFERQVHELGKDMTATNVDKVA VEWDELSVVRAKTLVANLVQGAMQLEARHGAMRDEWTALRQHLVDTSHPMWKVRVRQQ SREEVPPLVHPTLGRPLKPWAVRPDEEGDGDVDVEAAAALFGVAGYAGPARRRRREDG ETDEATAARTLFGGEEDEEGEASSDDGGKPIEKPPVVPGDWDLYIERLRRKQLTKAKR AFAAASQEQQAADEEAAAAATARKTRKRPQSLNWPGKRSSHLRLDERVKQQQQQLQQP LLPSEPEATLLPPQPVRTTSLPATTTATTSNWIQVQTDAGQVYYYHKLTRATRWTKPD HAVLESIEERLLAQHEATQRRLEVPLGRVASTTNKRTTTYRNDGSGTKATACSKKRTR KKP H257_05397 MDPFESQARELGRVEGRAEALEEIASLHAQIASLYGQIEDMALS QEGRTKALMSDVYKEIKATFKQHTELLAPKQVVEICKPSLRRVAELHLGNITRIPESD TPTTSSPRSQLHRSQTCAASMNTTAESSMHEVPSRRNSAPSPISTDAAALHRSEPPSD DLPPTTIVSISGSEKIGTGHSAYMVYWIVVHRHGVGGDAGATTVKRRFKDFAWLWERL SIVYPCACIPSLPPKSSLLRGGQSRFQPAFTERRRRLLERWVTYISLHDALSKSPYTH SFLHDAILHPDSIALPRSTTPSSSSSIMINSTKAMGPAHAKQRMNQSYKSLLEAVPPL QRRLQMVTKQSDEVVQAHVAMATAVEAMRRHCDIVQSFEHSYETPTKHENSVADVWGA WRDIGSSHARLHQDTATVWEVHLQEPFAFHHIHVLPRFERQVHELGKDMTATNVDKVA VEWDELSVVRAKTLVANLVQGAMQLEARHGAMRDEWTALRQHLVDTSHPMWKVRVRQQ SREEVPPLVHPTLGRPLKPWAVRPDEEGDGDVDVEAAAALFGVAGYAGPARRRRREDG ETDEATAARTLFGGEEDEEGEASSDDGGKPIEKPPVVPGDWDLYIERLRRKQLTKAKR AFAAASQEQQAADEEV H257_05398 MFCRRLLLRRSVGTISLRFAHTTASIPAAETVLQAVKPTNDDAA IHVTWKDGVSSTFHRAWLRDNCTCPSCQHPTTLQRQILTSAIPLHPTGHASLHANNSV LSIDWDDVVSGSDCVRSEFPATWLREHAYGIPSDGYDSRHYRQQRVDTKSLWGNDFTL PSTTYDAMMDNGSGGFKDGMAQLQKFGVLLIKHTPSSMDATEAFARRIGFVLETIYGR MWTTRPVNPENAYKDTASTNLALGPHTDCTYLYEPPGLQIFNCVLQAAAANADEGASR FVDGFHVVEWLRKYAPDAFQFFCDTALPQYCVDDDVSLHVMRPLIQRDGAGNVELVRF NDYDRAPLTHLSHDQVAAYYKHHKTLWDVINAGEVVYKMDEGDMIVVDNHRVMHGRHA FQGERALIGCYIGRSEYDSRLRTLGLL H257_05398 MFCRRLLLRRSVGTISLRFAHTTASIPAAETVLQAVKPTNDDAA IHVTWKDGVSSTFHRAWLRDNCTCPSCQHPTTLQRQILTSAIPLHPTGHASLHANNSV LSIDWDDVVSGSDCVRSEFPATWLREHAYGIPSDGYDSRHYRQQRVDTKSLWGNDFTL PSTTYDAMMDNGSGGFKDGMAQLQKFGVLLIKHTPSSMDATEAFARRIGFVLETIYGR MWTTRPVNPENAYKDTASTNLALGPHTDCTYLYEPPGLQIFNCVLQAAAANADEGASR FVDGFHVVEWLRKYAPDAFQFFCDTALPQYCVDDDVSLHVMRPLIQRDGAGNVELVRF NDYDRAPLTHLSHDQVAAYYKHHKTLWDVINAVSSSTRRITSSMYTHG H257_05398 MFCRRLLLRRSVGTISLRFAHTTASIPAAETVLQAVKPTNDDAA IHVTWKDGVSSTFHRAWLRDNCTCPSCQHPTTLQRQILTSAIPLHPTGHASLHANNSV LSIDWDDVVSGSDCVRSEFPATWLREHAYGIPSDGYDSRHYRQQRVDTKSLWGNDFTL PSTTYDAMMDNGSGGFKDGMAQLQKFGVLLIKHTPSSMDATEAFARRIGFVLETIYGR MWTTRPVNPENAYKDTASTNLALGPHTDCTYLLPPQTQTKARVASSMASTSSSGCGST RRTRSSFSATRRYRNTVLTMTCRCM H257_05398 MFCRRLLLRRSVGTISLRFAHTTASIPAAETVLQAVKPTNDDAA IHVTWKDGVSSTFHRAWLRDNCTCPSCQHPTTLQRQILTSAIPLHPTGHASLHANNSV LSIDWDDVVSGSDCVRSEFPATWLREHAYGIPSDGYDSRHYRQQRVDTKSLWGNDFTL PSTTYDAMMDNGSGGFKDGMAQLQKFGVLLIKHTPSSMDATEAFARRIGFVLETIYGR MWTTRPVNPENAYKDTASTNLALGPHTDCTYLLPPQTQTKARVASSMASTSSSGCGST RRTRSSFSATRRYRNTVLTMTCRCM H257_05399 MPTQPNALEFVARMSAGSRLPRSRGYGSTLVELPFSDIIDIVHL DEKWFNADKDRRKVYLTKGETPKGRACKSKRFIPKFMFLAAVARPQFDADGNLDFEGK IGMWQFITMMPAARSSRNRSGGTLVATLVNVDPAVYQDFVLNLVLPAIKAKMPSVSKR VMLQQDNATPHMSICDAVLQAVTHDEWIFTVRRHPPYSPDLNVLDLSFFASIQALQYK SVSRTVDDGIRATLAAYDELSVEKLDNVFLTFQAVMRLVLEHNGDNQFRLPHMNKAAM RRTGTLMANVICPVSLLQ H257_05400 MFGQARDACPRTLSRRWEVEWTIDCTWLSLPEGPFRGTWRHGLT CRRRFPGHGCLWRSMLVNGVKGKRLSQVAEGGPFRTKFCFNVVVARVGHGCSGEGHER GVVARHAHVHDDSDISDVASPKTYHGALDFGCFGAIDAHDVPAHGAVGLGIPVEKRLA MMAFERDRRIFPVQAMAQPVHEDRVRLLDEMREPNNHRHTHRDAT H257_05401 MVRNYKSTWNFSDVAATEEHFRAMLTADEASPDNLCLQTQIART LGLRNRFDEAHAALDNVDAVVMQLATTVDAIEVKVRSHLERGRVYRSSQRAAEARPHF LAATDLAVSAQLDELAVDAMHMVALVFDDPTESLAWSEKALALALTSEDPAARNWDAS LANNIGWTYHDAGDFDQAMVYFRTALAARERLGDPKPIHVGKWMIARTHRSLHQHAEA LAILHVLDDAGNEDGYVSEELAENYEALNQHDTARPYFHRAWTKLKDDSAVDAARLQR LQTLSQA H257_05402 MKVVVVGGGIVGLSTALALLEHGYSDVTVVADKLDDTTSHTAGA LWRPVFAGATSDHTMDQWSHETMLWLRGLVERHGSTVVGIQCTPGMELSDSPTDSHPY WAHSVENFRFLTREEALQHPPYDKPFGMTYTSVMIHPGVLMPWITHDIKSRGGTFETR HVSTLDDLDADIVVNCTGLGAGKLAGDTSVYPVRGQVIKVFNPSIKRFMCVESHGQYT YILPRRAGGEVIVGGTAQVGNWSTANSDADIAAILARAAVLMPEISDSKVLHAKAGLR PATSRGTRVELDPKRRNKAWVIHNYGHGGSGHTIHRGCASEVVKIVRSLHANL H257_05403 MRVVVVGGGIVGLSTALLLLEQGFRHVKIVADNFDDTTSHTAGA VWRPFSNAATPERIMDAWGHETIVWLQGLLDAHGSTVVGVQKTPGTELHDVPTTTHPY WAHSVDGFRFLSPDEANKYGKAYGFEYTSLMIHSGVFMAWITKQIQARGGTFETRHLS SLDDLHNEGSDIIVNCSGLGAGKLVNDATVYPVRGQVIKVFNPLITHFFLVESGGHYT YILPRPGGEVILGGTVQAHNWSTACDEDDVKQILARCADLVPAVKESRVLHTKAGLRP STSRGTRVELDPKRTANGAWVIHNYGHGGSGHTIHRGCAADVVKIAQSLTAKL H257_05404 MQLRWHALEGDHHDDDDMLFPDQDSFHFYEDTLPSGAFYKCHRS ASRPARQVLAIPELFRVITTFQRGLDIELAPICRSWRKQLEYCQAPYLLQVLHLNTVE NQRLLQRLLHVRPDMFTCDVMDLVARSGHLDMLQYLHEHGATCSTDAMDWAAEQGHLD VVRFLHFNRTEGCTRAAMDDAAKHGHLHVVQFLHDHRSEGATFYAMDSAAINNHLHVV EFLHKNRAEGCSKYGMDRVALEGHIDMLKFLHVHRREGCTAKALDWAAQQGHLAIVKF LHSMRTEGATPDALTWAAENGHFEVVQFLATHRREGHRVHAIQNAVTNGHAAIARYLR KSRQKQCQCHVHVPVKSRRRHLLGLLSSDPSTPSRSSIVVYFHGFPDLSVHPDTSVTP AFASRFPRKLNELLPLSFDLLCVNFSGLPGSDHDVPYRSKLLSHEVDDADAIIAFCEH ELRMRHVHIVGLSTGAILASLVRNHVVHTSLRSISVVAGIADTTEGVHLDFSIDQQAQ AQTDGFCLTPFYWPPNWPLPPDAVDVDSSTGKLWRPLDHGYLADMVALDIGVSVGLGK VPFLVIHGDHDKSIPWQQGEALFSAAAHPKEWLLIKGANHLLTNAKHVKKAVAEIQAH MLASEAAAA H257_05405 MLGRTIILRRRSVRLAQAAAGWSSARLSTSTLHRVTIARPASLK EFQLKGTRPVDLHVRASLTADIELASDEANAFQIVTHPNGSAIRALDSVGNASTPLHV ELHLPSQTDLNVSLVHGNATLHDKVEGNVTIALNRGNISADKLRGTNVRLVSNDGTIA IHTLVEGESVTLSAKHIDCKKVMAKRAEITLSKSVAEPLNSSFGALYTNLATIQSAAT GTLTVGNVHGALDIRSDGTTEIHVGSVSGSLFVEDTGDSCNVDVHFDAVQSTEEASNR VVCGGNANVSVAPALKVAVELHGSSVDTNGCAFDGSGLEVDQLDDEYVMASGELRPRL EDQGGHVASKNGSGKINLSGAKASAMSTSFFTTSAAAEAVQPAEGQPERIFVHAVNGK VTLKQLSWMDKIRLKHKPE H257_05406 MPTNDERYALVMGNSFPEFQFKPKVLDTLNWTPSRSIPCADSDD ERSEDYRPRQVHSSLKPEKPIMIHRSKSPNHPSRQVKPVALYSKHTNPSPLSLSRTTS SSHTAAAAVVASKRPSRRMSASSSWRFPHGAESKASVEAAPSPPIQVVFHNQLASDDL HVFYTKLGGRKIVDFLVRPGNESPKHVCGSAPSWSSSSGVHFVSFPFHAATMLSPSFA FPVPATSRPTSALVMTFSVHHSRFDTYELFAVDPRGRLAPLSVLDHSSTHDTFANHPF VVWCRGSGRGFCIYAQEAAAVAKVKYSLVVDDNVPSVSFMATSKPICVSATTAAASVC VSFYPRQ H257_05407 MAHGRVYGALQRLPYVKTAPEILEMRFWRHTVKEKVMVDPIRRA KNQTDRLINYQLAKLASITSHVANEFPALRSLHPFEHEVVVLTLGQGAYESQLKHLRR VYSALHQCGKSFEIENKQVRTQIESADVMARCLDALKEVVHGQAPVLHDTAEMAKTLR KLPEIDLNKPLFALVGSPNVGKSSLVKALTTANPEIANYPFTTRGVTIGHIFIHGISY QVADTPGLLLRPDDQRNAIEKLALAILTKTKATVGFVVDPTGSSGTSVAVQLALRDEL RAKLPEKAATSWIDLVSKIDVPYDRSTISPSDRALLATMLPVSTATNEGLAKVDASVR AMLQSVALGQNDQ H257_05408 MLDLKETSSGVVGAICNVYSGLPFDVAKVRLQTTSEYRGLVHCL VRTVQNEGLRSLWKGATPALSSAIIENSVLFTAHGVLRRLYFGNSHTTTLLDEALLGS AAAVFSATAITPAEVIKCRLQTSHASSLGVFACIREVIAQNGVKGLVAGLPAVLLRDV PFNFIFFGAYELYTSTLVRLWSDHTSQPMSRRDLHPVAVLTCGGVAGATGWSVIFPAD VVKSYMQVGNGVTFRRAFETVWRTQGLRGFYRGWSAAVLRSFPANGCLFLGVEMTHRL FDHVEQNECATVV H257_05409 MSNTSHDDNPPQSIVPPDDQLITTLLGTYDATFDRNMRWVAQGW GDPAVGLNYVSGEVVMPETGNYVMVITSGNPALLLCATFLKNDRQNQEEKFTMDVTLG KPICMTLEKGTRLRLTKTKENTAKGASCTTKLVLYRHGSNAASA H257_05410 MKIFRSLLLIGATVAAALDTYSAKILVDTNTFECELIDSVHVAQ LHRSVEHPDSFIELTTKRQSHLNDLDLLRNSEEGAQEFVEIIGGSVDAVLGQLAKRCP SGALRQNAARSAETSAETTVIKKIVDSGPPKNRIDIVFMGDGYQASEEALFFKDIQRL TDDMFTGDTFTQYLPLFNVWAVYVPSVDSGIGVGGKPRNTAFELYRDGTELRGVYPKK KQYARDVCKTVGEFACDFPSLIGNDAFYGGLGGEFVVATSSVTSGTVVLRHEMGHNFG RVGEEYDGGYVYQGANSATSINVAPWKHWLTNPDVIREEKAVQRFQKHIWYDLQKGSY QIKFTSNGAFKRWFIQLSVSGADTNDALSITLNGEPLAWTTKGTKDRTFYSWRSSDAG FPAGDHVLNITAGGSFDSPIIKQLCNAVIFEYAGEDEFKLDDNDHIGFYPTWDIKKRL SYRPDNEKCLMRNMTSPQFCTPCQENMWLQFLTRISFIEDVVVTGKDVALKLIPLGQL RPNPIPNERYSVQWFNNGNEVTTFRDQFNIDVSTVSGAAKQWTVKVNFTTPTIRVDSK GVTRAEHTFNVDYTPPATTTTPTTTTVTPVPTTVTTAPTPTTTKTQC H257_05411 MEETPPGQLPGAQRKTPEPHQILNQSTITNGIQHLPDIDYKTFS SGIQHLPDDDYKTFSSGIQHLPDDDNGIQHLPGDNYKTYGIQHLPDEDNGIQHLPDND YKTYIRVIQNILVGAKKIGYAVTSTNSGI H257_05412 MGRDDHQKWLDAAKDEYNSLLSNGTKGTIWELREILSNEQSRYE QALFNEKRRQVDLEQSMQGQFMSVTQEHVLHRLEEARALVDANTALLRDEKVRLRQEK AAYESNIHRAYEELEPQSTQIQVERSVLDLQQQAHRLRNFFPEALGATSVPSCESSPS TCSTVPMDAHIPAPGSYPSTPRTSDLPLICGDPNDETKSGEAICGRCAIPVLPGTLIP ARSVPGRTDIALAAVVRGTLYWNVGFPGRMFLVDKMPVILAGNLAILARDVR H257_05413 MSPHSTSGPLYNEPLLDDHNRTFLEWKPLFIRQTDGHQFTQFYI NKAYMPSDLERSILSILDNDAQVVKLKHLELYHDDPDLSEDGLAVRHKVIADHVQSVK SALALTFLNSSMPYTAAVTTTIALGAMKAIEATAAEAFHRHPNLRPTPVIMSIPQVVC IVAAVTLIFCPVVTLLIVVLLLTVVTRLQLAPATGRTIPCYMVDLVMWLLLHLHLPVL VVVWTEMNITDPRSVYSTTAASPV H257_05414 MLGVGQLTKRIHQPGKHLGGMESTYAAPSPTYVDVQTSRAVLPA QSPPPSECRDVSMPTWTPFFPFTPTKEVSDLLLRPLEGDRPSHGDIVGVPTSNTPSAT MRHLYDRLHCNQGNSDGALRPGGAISLWDRSHCGLVLGSLFVGFMLGALPSTILSSDV RVATVAPLLGPLFSPIFGVWSPVMLFPASIRFFFGVLSDLCPLYSSHRKPYMLIGWSV AFLSFLLSAILLSTSALHEASLVLMLVASLGVTLTDVAGDGLMVELAQREPLHSRGKT QSIVMASKGIGLALSQVYVGVLEGSVSTQWTPHDPTYLGFLYSLAMLSAVSTLFVWFL YTPPPPPPPLPSSSPPPSSVLDRILALWTCLQSKAILSFLLFSFVSSFAVHVGSGGTS QFKRRVLDVNVEQTWLRTGGTTIPHPHDHRLVLVVVVLGAVVFAIAMAIFGITSCGGS TKPTWKLAMTSTTLAIGVLGTLHASYTVYGTCRAPWFWYGVLVLVQIPHGIRHLVSML PVVELAPMGYEATMASLAMSMQLMAMPVATAAFSSVQRAVLERFDAQPTTVTNVEMQI GDLICLSPLGGFGVLLSLVWLPGSKIEAQVWRRGGGRSRVLGWTAVVVGGVGGLTLLL VTSTLLMYSTWSCAAVLGGRGCSPRV H257_05415 MVPEKQWRDKGDMMDKRTARKVHGADFRAVISQLRRDLASPKNQ EHSDDDGPESSSELGGMQVFIRKRPMLAHEPKKKEYDVVSCAGNRTIVVHDCQMYADM KRKFIDSHVHTFSKVFSETATTDDVFDHVAKPLVGHAIAGGKSVIMMYGQTGSGKTHT MSGLHEHMADMLFPTNNKATSSQIVVVTAVEIAGAKCVDLLRRRQKVLVCDDEAGNSR LLNVVERDVSSAAALLSTIQDALDMRATEATAVNAVSSRSHFLCSITIKDAASSSSSH SRSSLKQPPRPSGGVLTLLDLAGSERNEDSFHHSADRRRETIEINSSHLALKQCVRAL GTEDANGFVPYRQSILTQLLKHSLWAKGSRAAVIATVSPIATDTEHTLHTLQYAAMML SDDKGLIRKDKVEVPTASEESKAKAIKDWDVEEVAMWWSALKRGLYAKYTANVKSIDG KQLLRLGLPRVIQLCNNNAIDGDAVFKCLQKEKATDDRADKERRARNTAVRKNK H257_05415 MVPEKQWRDKGDMMDKRTARKVHGADFRAVISQLRRDLASPKNQ EHSDDDGPESSSELGGMQVFIRKRPMLAHEPKKKEYDVVSCAGNRTIVVHDCQMYADM KRKFIDSHVHTFSKVFSETATTDDVFDHVAKPLVGHAIAGGKSVIMMYGQTGSGKTHT MSGLHEHMADMLFPTNNKATSSQIVVVTAVEIAGAKCVDLLRRRQKVLVCDDEAGNSR LLNVVERDVSSAAALLSTIQDALDMRATEATAVNAVSSRSHFLCSITIKDAASSSSSH SRSSLKQPPRPSGGVLTLLDLAGSERNEDSFHHSADRRRETIEINSSHLALKQCVRAL GTEDANGFVPYRQSILTQLLKHSLWAKGSRAAVIATVSPIATDTEHTLHTLQYAAMML SDDKGLIRKDKVEVPTASEESKAKAIKDWDVEEVAMWWSALKRGLYAKYTANVKSIDG KQLLRLGLPRVIQLCNNNAIDGDAVFKCLQKEKATDDRADKERRARNTAVRKNK H257_05415 MVPEKQWRDKGDMMDKRTARKVHGADFRAVISQLRRDLASPKNQ EHSDDDGPESSSELGGMQVFIRKRPMLAHEPKKKEYDVVSCAGNRTIVVHDCQMYADM KRKFIDSHVHTFSKVFSETATTDDVFDHVAKPLVGHAIAGGKSVIMMYGQTGSGKTHT MSGLHEHMADMLFPTNNKATSSQIVVVTAVEIAGAKCVDLLRRRQKVLVCDDEAGNSR LLNVVERDVSSAAALLSTIQDALDMRATEATAVNAVSSRSHFLCSITIKDAASSSSSH SRSSLKQPPRPSGGVLTLLDLAGSERNEDSFHHSADRRRETIEINSSHLALKQCVRAL GTEDANGFVPYRQSILTQLLKHSLWAKGSRAAVIATVSPIATGTYVR H257_05417 MDGTGTTPPLPPSTPPPSSPLPEDVPSTLPLPHTPPSSPIPSNE AEVNTLPLPSTPSSPLRPALAGVPHTSPSSPIASNEVEVNTLPLPSIPPSSPLRPVLT GVPHTPPSSPIGATEAHVNTLPLPSTPASSPLRPALGGVPNTPPSSPLRPALAVPDTP PSSPLSPLESTQQQHHAAIMRNAPATPPSPSSFSQPSVSISATADHHPHYTPQDFSAS IDATPMPRYLGRYSEGDINVGLNRPLPRGDLGRSQVRLDLPAAPFRVIAPTADSPPSS PMSGRHPHLPAAPASPGSASASLRPAEDVDMAAPPTAEQSYSNAVVWGTNVNVADSMR VFRTFLHEFVPAQLAGADESYYRKVLRQIQLTQDGVFNLDCQHLLHFQDDTKGLYTQL LHFPQVLVRILDMVVQEAYQTMFPHEPDAARIQVRPFNLKEVQAMRNLNPSDIDQLVS LQGMITRCSAVIPDLKMAFFRCTTCHTDVQVELDRGRIDEPSICTNCQSRNSMEIMHN RCAFTDKQLVKMQETPDAIPEGETPYTVMLFCFDDLVDDVRPGDKIQVTGIFRAVPLR ATVKQRVVKSVFKTYVDVVHFRKVDELYNQGVDGFKDANAVDAVTMAKLDEFKRIAAD PLVYENLSHSLAPSIWELDDVKKGVLCQLFGGARKGGSGGPEKKHTRSDLNVLLCGDP GTSKSQLLSYVHKLAPRGIYTSGKGSSAVGLTASVVRDMETGDLVLESGALVLSDEGI CCIDEFDKMSDSARSVLHEVMEQQTVSIAKAGIICSLNARASILASANPIESRYNPAK SVIENINILPTLLSRFDLIYLILDRPNADADRQLARHIVSMYYDGYTVSATTRTSEVI SMSVLSEYIAYAKKHVHPKLTEAAAADLIAGYLDLRRMGNSRKNITATPRQLESLIRI SEALAKMKLSDAGGFNEREKVRSRLTCVFACLVTSSEVAEALRLMHVATQKAAMDPRT GTIDMDMITTGLATLDRQTLSVMVADLKLILEAAEGAMSLGEAKRKLDEARNAETKPT DFQSAIRLLEEESLVQISHGTLRYMGSIDS H257_05417 MDGTGTTPPLPPSTPPPSSPLPEDVPSTLPLPHTPPSSPIPSNE AEVNTLPLPSTPSSPLRPALAGVPHTSPSSPIASNEVEVNTLPLPSIPPSSPLRPVLT GVPHTPPSSPIGATEAHVNTLPLPSTPASSPLRPALGGVPNTPPSSPLRPALAVPDTP PSSPLSPLESTQQQHHAAIMRNAPATPPSPSSFSQPSVSISATADHHPHYTPQDFSAS IDATPMPRYLGRYSEGDINVGLNRPLPRGDLGRSQVRLDLPAAPFRVIAPTADSPPSS PMSGRHPHLPAAPASPGSASASLRPAEDVDMAAPPTAEQSYSNAVVWGTNVNVADSMR VFRTFLHEFVPAQLAGADESYYRKVLRQIQLTQDGVFNLDCQHLLHFQDDTKGLYTQL LHFPQVLVRILDMVVQEAYQTMFPHEPDAARIQVRPFNLKEVQAMRNLNPSDIDQLVS LQGMITRCSAVIPDLKMAFFRCTTCHTDVQVELDRGRIDEPSICTNCQSRNSMEIMHN RCAFTDKQLVKMQETPDAIPEGETPYTVMLFCFDDLVDDVRPGDKIQVTGIFRAVPLR ATVKQRVVKSVFKTYVDVVHFRKVDELYNQGVDGFKDANAVDAVTMAKLDEFKRIAAD PLVYENLSHSLAPSIWELDDVKKGVLCQLFGGARKGGSGGPEKKHTRSDLNVLLCGDP GTSKSQLLSYVHKLAPRGIYTSGKGSSAVGLTASVVRDMETGDLVLESGALVLSDEGI CCIDEFDKMSDSARSVLHEVMEQQTVSIAKAGIICSLNARASILASANPIESRYNPAK SVIENINILPTLLSRFDLIYLILDRPNADADRQLARHIVSMYYDGYTVSATTRTSEVI SMSVLSEYIAYAKKHVHPKLTEAAAADLIAGYLDLRRMGNSRKNITATPRQLESLIRI SEALAKMKLSDAVTSSEVAEALRLMHVATQKAAMDPRTGTIDMDMITTGLATLDRQTL SVMVADLKLILEAAEGAMSLGEAKRKLDEARNAETKPTDFQSAIRLLEEESLVQISHG TLRYMGSIDS H257_05418 MSDTVLIVAIAGGVVVAILAGFFIWRSCRSSKSTNDGYVNQSTF NHSTRNLSNDRSKSNNTGTRTNTSGVDTFRPIQAPADPTENYFPELMPFRIDHNEVLL TRAISRGAFGVVWLGHYHGQPVAIKRMIEGDDQAVLFSKEIQIMGRLKAPNIVEFIGS TWTSGMDLSAVTEFMDGGDVRTLLENPKIQLSWPAQKVNIAIDVALALAYLHGLTPKL IHRDLKSKNVLLTSNMVAKLSDFGLSRNRSYEETLTAGVGTVRWTAPEVMLGDIYSEI SDVYSFGVVLSELDTREIPFDDQKSSKAGGAPDMSIVVKVARGELRPTFSPDCPASIL QIAKACLQFDPALRPSSARVVEMLQQAKLEFTLNGQ H257_05419 MPAAAWSFLLFMLHFVTGDLAVPRPNVINSIGSVDALQSIPLLA DIVIPDGRELFIPIHIPAVALAINANGPAKVNKVSSLHANGLFGVGEVIDIDIQFTSA VDVVGTPSLRMRTGCNTPSCRIKEIQSITCSATSGKFAVSFQGQTVSNIPFNASPLKL TNYLLRLTSISAIQVTFADAGTQACTFYGTKITIQFDQVNFVSPTADGNLPSLTTDPL NLHGDTIALAHHTRSVVLTPVAIEVQKGVAPTDRDAVFVGFRTPSTLIFRYIVAYGDL SQDLDYTAPDALALNTGGSIFNAGTFIPASLTLPVPGQLPAWPTGMVSSLGVNNAIAL NSNIPVITSVATPTKPDGIYGQGELIEIKLTFSLPVTVVGAPVLLLATGQNVANAPVV RVESGNTVLVAQYVVNAGDFSLDLTYVDATSFILNAGDSVLRQSTTSTMPVNMTLPPN GLPGSLFNLANIVIDTTPPYIMTVSSVLPDGVYTTGDILVFSMVFSRPVYVTGTPQFQ LATGSIDLCPGWFVVRVPTDGNSNVFLFPEAVDWRWLSPGYFVVIAGRTYTVASVSGY KVTMVEAYVGVAVNLGTNPMSPQLSIFTRGFQYAVYTSGSTTSTLQFSYQVQHGDTSL DLAAASDVLLPTSTSSILRLSTTPTTPANLAMPIAGSAGSLVVASQLVVNTDPATVLS ISSPTRSGVYVAGSIIAIAVQFTQPVVVVGPSAPGLLTNVGENRFAIYVSGSGTSTLV FQLTVAPSDSAAAVAPLSRDAMRMPNVFTSIRRKSMNPLDSAVLTLPPSAMTLGATVL VVNPMAAVVSQLVMESPVWPISSLKTGDAVIIAVTFTEPVTVSGGSPVLDLTVGKPAT YVSGSTTTKLLFQYIVQYGDQVPAFDVVSPDAIRLNGAAIVAVNGGASASVQLFSQLV LLMSNTLPPPQPIPVDPSPFRVTAVQSWSIDGTYTVGDSLLLAVTFSDAVVATGAPQL ALRTGNALGDQVAAFHSADANVVYFVYVVQAGDATTQVVEASTSALQCSNDVGLNANP ATDDAIAVSITDWSSQVVVCWSEAGKVQVRVFNNNPSMPLWTLLSGGLNVQTAANCKC SSSGTSQLVCSWEELAAAGGGATVVHVSAMTGTQSTPVWTLLSGSGLNVDLTKSASHV AIASTNVGVVLAWQEVAASSSSTVLQVKFWNGNVGTPVWTAVDVSPLMSTTSNHQEAQ IFYFNSRLTLVWTEVVTATRYKVRVAAWVTGATSYWTLLDGNGAGLNAATRLASKPTW AVCSAKLYIAWQDSDTLRVQVYNSAANTWAYIDGGGGLQTSSTGVGFASLACTLNGLM AAWQEIVVSSGVTLNRAVSYTAATNSWSSPTGIVMDNPLKSATSTGPALARSSQSMYI AWTERHAVNFKAQVHVALFTPDTNSWLSMTYSCLKRPGTGFAVPYALTLPGLFSQASL GDTAKLQLDTSPPVVLSITPLSPPGLYRGKDSVQSIALISTGGSSSSVVTGGGFALGY NSVVSACIAWNAAAMDVQNTINSMAGLGLAVSVTKDSAAFQHGGVQFKVTFTTPASGV HPLSVEPNGCPALMCANGIVCGKVVVNTDKALPLSLQPGYVDFEVMFSAPVQVTSGGV TPVLTLSNGVTATYASGAIVQVIDVGVSSPSMVLAGGFALLYGGVPTACINVDAKADG SSFASLRYRLMELPTIATIGIASIRSRVFQNGVRHVIHFQPGTPLPLTYAPGISCAPL VGVIQTIDLVTTAAVTGGSFTVALGALASATCLPVLTASAGQMQAALNALTNNEVMVT VEKHPIPTGGFRFAVTFPNAHSAQATPLTATTTGCTALTCPGGACSLAVNADYTVEVA RTPSLVFRYVVQAGDIVPAVGYVSLTGDIVQRTASWPSSSAVTPAILTLPTTLPPSGI QIDTLSTPTVMSVTSTTPNGVYSQGDRIDIVLTFSQIVHVTGRPISLQLNSNGVAVYS AGSGTASVTFQYTVAAGDTAVDLDCFSTSSLQFLTPGGGINYRDPTTQALTPISTKLP IGSAANSLATLSSIVIDAVVPSIVSVVSTKPSGTYGTGEGIDFVVEFTHPVVITGTPS IALNSGGSAMFTLGGTRQLLDIGVTSAVTSGQFAVWYGDVLTECINFNDVVMLRSKLL DVPGIGAIGLTSVTTAAFGRGQRVTIVFTSTDAHTAPLELVPVVPQHCLPLSPPTSSN SLLVGRGLDQLVTFRYTVAVSDTAAVFAVTSPAIALNAGTVRRRSDRPSIDVNLALPA LPATLNAIHIQGGIPSIVQAAMVTAGGTYGVGYPPVASPAYVKPGQIVFTLTFSMEVV FIGAVTIQLNTGKRAVLYAQLSPVQFAFVYTIELGDASVNLDFASVDAVVGTIMGRST TNSQRANTLLPLLQLSGVNVVTVDPNLPAAIQSVTTSHPDGTIGSGERVIIQSTFGRP TTVLSGLNHNPLQSAMFPSVTGIQGDVYMSWSEQTSATTSVVYVAQVDNQAGFTELSP PGAGMNRLAGSNAVKSSVLVQDGFLYAAWDENGIINVAQFSGNVVTKAWTSLPFMGTN AAATNPVLATYMNTLFVVWKEGQINFHTEQSANIRVASYDSSLAPPWRFYDTAQGKVG LNLDRATDPHILAFAGHLYVAWAEFTGACFEIQVYALALNTMTFEKIPQVGYVSPTFV TSFGPVLFANTATNQLMVQWYTYPAASVQPTMHTGVVTPQYWKEIVGGAPMPGASPDV VTCSGHMVVTWTLQTDHAMQVFAGRLDAAGGISQIHTINHNMNQDASSPKLACVSSVG DVALLWTEYDGFSYKLRQSTLSGGRGEQWMPHVAGLATLVLTNGLVAVNTDLSGTCAR SLTYELVVPPNTPAIQHLNAVSVSVNRARIQDCTSAQVANTVLFPLATDMRSLAYTSN IAVDTSVPFVLDVSTTAASNTYGAGEIIPVVVTFSAAVTVSPSGLHGESPAWMVFQSR TASIDGLHEHKALYSAGNNTNTLTFLYTTLPTDTFALFDYMLPTSLQVDAVAGAWIRR QATYPTTDAVLTLPIPGHGHSLSRWFIAVDTTPPNVLDVTATNADGTYFPGDVIHIVV TFSLPVIVTLLEEAPPVITLALSTQPIANPSLQSTATYVSGSGTTHLTFEYVVQPLDE TPLLSLFDDRPTGVGSFVKALDAPVNSIYRLASIPSTLATLECPAPGTLHSMHQNIGL DSTVPTIVGMSTTIPDGTYDIDAIVPIQISFSAPVVVTGVPLLLLNVHSDATRGATYQ SGSGTTSLVFLYRVQLGDEAWPLDHLNTNAMQLKMPLRVNPLQDPPFATVNRLSSHPI LPADLTLPPLGPPPQINTPRNLIRAGHIINIRTDGVRVSQVEAAANNVVRGGTVAAGH VLELFVHFTQHVFVTGTPQLSLNVPSRATYVSGSGTEILKFVYVVAPGDVAAALELTS VLLGSSDAVNDVEEVPVPLQLPAIGTPYSLGIRYTIHISAIPPVVQIVEAIGVLPHTV LAAGDVVQLVVLFSVPVVVSGIPQLALNTGQPAVYVAGSGTNSLVFSYVVQAGNAGVL DYASATALTGNIRAQSTTPTTPAILTLAVPGTLTSLSATWSLTLNTTPPTVVDVSFAG LPDQVCTVGMDIPIQIKFSYPVVVAGTLPTLALATKGNVNQNARYVGGSGTSLLHFVY TVQPGDASTALEYTHANALQASMILQFSSSPTVHARLTLPVPGSVGSLSGKSRLTVCM TCPRVVSVTGSPTGVYTAGHTLSIMVTFSEAVQFAPSPVPTTSTTAPVPIPTLNIRLA MAFERVATYTSGSGTATWTFVYPIQISDDVYPVEVANMYALYGAQVVSVARPTYVASL RLPSVGQLNSLSATSSIRIDTSPPTVVQVTTPVPDGEYGPGHVIAIDVAFSVPVVVTG TPVLHLAVLPTPQAATYEATAGATSSVVRFTYVVQPGDSVFRLDYMRVCSDRGFFDDV EGYDPTESSLPCLPLGTISALDLNGGSIKAAATIPMVDANVALPAVSPWPAMRYLRSD YSVAYNKTVSQTIPQQPSERSVCAFDQEDRQFHILSNGVPNHVAPMGFVPAAYMFELP RFPTMTWTRDRPVGLVGVMLNGIPFNNSIESVSTGGDLCGGALDASTNRYTYVAMPTC FLETVRPPSSSMVGYVLDGYPLYKQSATSPIVLDECNGVYGPDGVYRYYLNPASIEST GTFIPCFKGYVPMHLGRAVAVDYTFVGGIEGFTPINLQDLVVFPQNRENSIWLNAASV SVTTTATTVIVSSTGLPDGPLGLFPNALNSNSVLPQNYQFRIPRSPVKAGTRTPLPSG AAIGVLLNGIPLYNTIDTDGNSLLDPRIVRHLVLDKCNGYVDATGAYRYYAPPDCLLD ALNERPGDPSPLIGFAFDGFPIYGRFDESGNVPTDLDRCNGRTNQAGMYQYHVTDSPP YTLGCFTGVVPPSTLPFYRSLATSSAISIKSSPPYIVDITTLKAPGSYVAGETIDFRV LWSDPVAVTGAPTLSLAVVHNTTQVAAIATYDSTTSTPTTTVFLYVVGPQEFIADLSV QSPTALQLPTAATSIKRAATVPTLDAILTCPMATLGSRIQSVANVQVNLRGLYHPDAQ DLAVSLMHNDIRARLFDPIPMSGYHFGRPRDATAWRMDVEDDASSIGADYSFVATNLG VNLALSGIATQSSTFGPTSSANKAIDGIRSAYFSSESIARTSGVVQRDPAPWWQLRLD APTAIGTIKLFNVAQQRSQFEVQVVSVTGPVAVDGTFQLRVGTCTTPPVSVGAVAMRQ DERLFGESFQAKLEACTGQVTVLRSAADRMGGYAWTVTFVEDVGSIALMDVVHATQAT LETSVTTLWDSTANVWYSFQTAVPGELYGDTLYPCYVMVFDTLSALTFETVQDALAAA VWSRWVETAQVEATIVLPPHTIGQYIRIQHNSPQYLSVAEVEVYAERYHSLAEYFEGS PVASQAYDSNVVWAPEVSLQLAFGGQSARGDWSLVLQDRRASVAVGTVKDAYPLQGQG GLSEWQLVVTNTAGTTTTHYLPMVATISTIPKYGDLLVDARESETDHLDSEGNAYLDP SEARQYLSTYWPGYLFLDAFVQYRILQDMVDTYALYGRLKVYGQQGQRRWIAETCEGA VCVMPPTHYELYTSMSVAAPQHLLDKTRTVAYVPSPGFVGMDTFTFSTQLNNHDAPGL VRIQVLHCRDPTCVNDLYLAQSSMQPAGS H257_05420 MQAQVHGCTDPGNTVKENQDTFLAIRHNTHVALAVFDGHGKSHG KLAAETAKAFFEHKFQQDHTYEELRIDGEATLRLLFDECHATIRQAFQAYYERRRLHA EERNGGYLVVKQGILQKSLLVQGGTTASIVVVLDSGATMLCANVGDSSSLLCVPSPLD ASWSSLQASSVLHLLRKHNTLPPVAVPVELPESTSVVQLCGDHSPECMTEFQRTALAR SVSTKHGNMPELLFVYDSLIDGHSNQRVSVREKHIALEKSPVFTVVNDVVAKAGEGSY YKNVRQEWASLCCTPAKAKYHESLAFTRSLGDFYIHSFGLTHQPDVIQVDVAALMRQE AWTTVLVVVGSDGIWDAWDYAAFNQVLWKAMADHKSALPLVADDVMQQNKQVSAQVFG PAVDNMTLVVCSLTMNGSSSS H257_05421 MGGKFSQVHYRERDTAVVSDFDLLVQCWGKVELETISTQLRRIS FNFCLTREHFQEMLQLHHNDLFRPVAHRWFHHFKNTDTSTIVNGLEFVAALAIASTGT GKLVEKVGFLFDLFDFDQSGCLTKDELMILLKSSVRGLTKLTQGLGLQLAKLCPMAQI EDLAAVCFRHCGLDPSDDLRRDAFIHWVQCTPKLTNLLKCYVSKDKLTRDEAAVSIQR VARGMLGRNFVDELRLHKQLLQNQELDVAATKIQEAMLNRKKRQETIRRSKVERNASS GAMYSFGANTHGLLGHEFHELDKPVKDPKLNAFFKHADLRVVSVASSLVHAAAVTSSG VAYTWGACAPGAFGTVFSKGGAAGGGSPPDVVRPCPQRVDDLSTVQVTAVALGARHSM ALTSDGVVYSWGSGEFGQLGHGDIVNNELFQQQFDPHTSRSYPMIDLPLRLDKSLFDE IQIKQIACGYYFSVALAEDGCVYTWGEGSDGQLGLGLSDDFHVGFLDEFIHQSNFTYM PTPQYIHLDEPMGHVAVGGNHVFAVSRSHRTVLEWGAAFRRDGDPIYLPTPNAALSAL YVKSISVGKDFALAITGCVYLKLSGCECMYGLTAAFGTQPVDLYVGLSAPLIAAGVPK AKLAYAVKEEWSQKVVFIDRGKPTGSWLTVQSDSDQPTEPLTLACVASSFGPVFENGT LYTGQCFYTPQKLSSLKYYVRPEEIQGKVVVLHVEESDLPVHHPDDDVAIVVERLMVM MVDKVKDAQACGALGVVTVFSFMADAFSLGTGDDDKFAFGIPSVMLSAAAGARLLAYI QDHNHANIHMQLYHHDDTLGEQLLAIQQAGAVAVVVGQNSIHRMPQRLDESVFAGLGN AAAHAKQVRIPVLTVSHETGTLIKSMYQRLIQDTFAEIGIANFGDVYAWGAGSHGVLG LGDVDDECKFSVGYDAVTNTTYPLAKTPQVVDALVQTRIMTLSCGDQHSAAVSDTGDL YTWGSGAHGKLGHNAAIDQDEPSPRLVSALHSVNVIDVACGPQYTLVVTSLADSNGPL ETVPL H257_05422 MAAVKKALLKVDFVSDISCPWCVVGLKSLEQALARLPNINVDLH FEPFEINPTMAKEGEEINEHLARKYGSSPTQLVQNRERIRVRGEELGFTFTLSAGGRI YNTFDAHRLIHWAGTKGPEAQHKLKMGLFTEYFTHGKDPSAESVLVDAAAAAGLDSEE ARSVLTSGQFATDVKKAEAKWRSMNISGVPAVIINNKHLISGGQPSEVFEQALRDIAA ED H257_05423 MTWFDRIDHVDKEACPVCLEPVETPLDTIEPPCIRFCAWERCHM SSTSALSSSRARCVDTTNPIHLARLAQVLELSVTEYCSHSKRVAAVVVASVHLRQEWC AP H257_05424 MTRGNVARTGKKCQSMVGLLDVDKERMCYGRGVAAKAHVRVPVK RSVMGWVDATSKNQLSFRTTTETKVAEGIVKTTSQEAIRFLACIIASSDDIDVFWGKR MCL H257_05425 MIKLYALLAGIVAVVAAADVEVDYRVPVKIIFRAFCPACQWFIS DPVLALLQDDKFRAVTKIQYVPAAAMTESADGGVECVGGKAECDAHLWMACILDRFKA SPKNTSTHMACFESDDSGYTWSDKIAFCFKDAADATALHECKTGHGINLLRELMVVAK AFEGAWQPYTIIEDTLLGSSTSAVTLDMLQDEICRRYKGPAELLPAYCQTVAGVVMRT PPPLLVPQQLQPVAEKVKVQVIWRAYCPACKWFLSGPLYEVLSDPAFQAIVDFELYPS GTTTEVSPGAFKCIGGQSECVGHLYMSCALRLFPQIHDVAANLKCMEDSHHKWERRMS TCFSGSALDQIKACFASNDSKQYLREYIAFTSTIDLPWVPFTKVNDKVLGTPTSGVGY DLLTKSICDAYRSEENRPSVCAPLVKDPLVVAAATEPLVVEAVGSATTTTLAVPTGTP VAKTTQQAGKAKEKKPVMPCRQKEKGFVYEDPPGIGLRATPGAVGLAAAPVKLSSSTP SSLLTNPLLLPMLLIGGLLFAALRYSKPEEKKM H257_05425 MTESADGGVECVGGKAECDAHLWMACILDRFKASPKNTSTHMAC FESDDSGYTWSDKIAFCFKDAADATALHECKTGHGINLLRELMVVAKAFEGAWQPYTI IEDTLLGSSTSAVTLDMLQDEICRRYKGPAELLPAYCQTVAGVVMRTPPPLLVPQQLQ PVAEKVKVQVIWRAYCPACKWFLSGPLYEVLSDPAFQAIVDFELYPSGTTTEVSPGAF KCIGGQSECVGHLYMSCALRLFPQIHDVAANLKCMEDSHHKWERRMSTCFSGSALDQI KACFASNDSKQYLREYIAFTSTIDLPWVPFTKVNDKVLGTPTSGVGYDLLTKSICDAY RSEENRPSVCAPLVKDPLVVAAATEPLVVEAVGSATTTTLAVPTGTPVAKTTQQAGKA KEKKPVMPCRQKEKGFVYEDPPGIGLRATPGAVGLAAAPVKLSSSTPSSLLTNPLLLP MLLIGGLLFAALRYSKPEEKKM H257_05425 MVVAKAFEGAWQPYTIIEDTLLGSSTSAVTLDMLQDEICRRYKG PAELLPAYCQTVAGVVMRTPPPLLVPQQLQPVAEKVKVQVIWRAYCPACKWFLSGPLY EVLSDPAFQAIVDFELYPSGTTTEVSPGAFKCIGGQSECVGHLYMSCALRLFPQIHDV AANLKCMEDSHHKWERRMSTCFSGSALDQIKACFASNDSKQYLREYIAFTSTIDLPWV PFTKVNDKVLGTPTSGVGYDLLTKSICDAYRSEENRPSVCAPLVKDPLVVAAATEPLV VEAVGSATTTTLAVPTGTPVAKTTQQAGKAKEKKPVMPCRQKEKGFVYEDPPGIGLRA TPGAVGLAAAPVKLSSSTPSSLLTNPLLLPMLLIGGLLFAALRYSKPEEKKM H257_05425 MIKLYALLAGIVAVVAAADVEVDYRVPVKIIFRAFCPACQWFIS DPVLALLQDDKFRAVTKIQYVPAAAMTESADGGVECVGGKAECDAHLWMACILDRFKA SPKNTSTHMACFESDDSGYTWSDKIAFCFKDAADATALHECKTGHGINLLRELMVVAK AFEGAWQPYTIIEDTLLGSSTSAVTLDMLQDEICRRYKGPAELLPAYCQTVAGVVMRT PPPLLVPQQLQPVAEKVKVQVIWRAYCPACKWFLSGPLYEVLSDPAFQAIVDFELYPS GTTTEVSPGAFKCIGGQSECVGHLYMSCALRLFPQIHDVAANLKCMEDSHHKWERRMS TCFSGSALDQIKACFASNDSKQYLREYIAFTSTIDLPWVPFVRHTSPP H257_05426 MAGYRSLATQLFEQTKLPPSSSTAAEDAMVSLNQRLDMDPDLED MDDIDALNDASDAEKQVLVMSETIDLLRRHLESQRKELQAAYKTLREYEEKKTDEHVK ALENQSAHTNYEKDLRDLRFNLELKHVALHEATVEKEQTLLELHKYKALTRELSDKLD RLSNVQNMYHMSLNQASVGASSSHSMQSSLAYVPKSERFDAAPLVDSGSDFWKHQWKD ALKARKTTPVRTTAMQAPSSQQQYHHAPSSTMEPTTKTPSMKIFSSPPHGKRGYSDRD ERLPVHRFIGLNSRQSQLVKECYKVVGNKS H257_05427 MVGLNLFARVRARSRSANSATENQLLADEKESRSSSNAVTNKRL DAVPDDDDTENVNPQQPNQHKRQKTASTTLMSSFSSNLLKKMTFGKTKSAEDSSPEVD GDDDGRSGLSIVERYPDGSYVATKYGTAIVLQYRDADRMYVVRTVYNAVAFFHATCVV REVKCMVGDRVKTKWGMATVEHYYVDDDKYSIALDWRWDDDHVWRMKATTKMFDIPTL ASATLASRATAARELVSKTIGDSYSSTALRMSSVSSWRPSPASYVSIMRLNSVKAPAA AVPPPVWSPMFGEGRLERVLDGVARVHFVRPGAVGYLQQGSYSPLDFGTGDLLATVYG PGRVVHVAQSMCDNVPVYHIHLTSQNAMLYAVYPPVLVKKASPLATSSYSFTLPKFHL PSMPQAPSLMPSKQPPRHGKYMVGDVLRSPVFGPATIVAISSSTSSSPVDGVVVTCSL DTLTAAASSVVRMYVALSQMPTTFPEGVGSNRRTAAAVAYSSLMEKTKQAVISSSSML SSANGVLKAQALSVKSSFDDLVKKGPRYSVDQRVICPPFGSGFVTAVRDDGVYIVRLR KLKITAFFTEDALKLFPYDRATHVIVGENVRPVPMELYQATNKISRSAIIRESMASQH MHANLK H257_05427 MATTMEEADSASWSGTRTGVTSQPSMARRSCSSTATPTGCTSCA RCTTRSRSSTRHASCGRSSAWLATASRPNGVYTHPPSLPLFFMSYVVLLTVHDAGMAT VEHYYVDDDKYSIALDWRWDDDHVWRMKATTKMFDIPTLASATLASRATAARELVSKT IGDSYSSTALRMSSVSSWRPSPASYVSIMRLNSVKAPAAAVPPPVWSPMFGEGRLERV LDGVARVHFVRPGAVGYLQQGSYSPLDFGTGDLLATVYGPGRVVHVAQSMCDNVPVYH IHLTSQNAMLYAVYPPVLVKKASPLATSSYSFTLPKFHLPSMPQAPSLMPSKQPPRHG KYMVGDVLRSPVFGPATIVAISSSTSSSPVDGVVVTCSLDTLTAAASSVVRMYVALSQ MPTTFPEGVGSNRRTAAAVAYSSLMEKTKQAVISSSSMLSSANGVLKAQALSVKSSFD DLVKKGPRYSVDQRVICPPFGSGFVTAVRDDGVYIVRLRKLKITAFFTEDALKLFPYD RATHVIVGENVRPVPMELYQATNKISRSAIIRESMASQHMHANLK H257_05428 MGRGLRGDAAAMTRRMADGKDGPSKEIGTIAMIEAQVLNPAVGV RVVEVHKDNLDTLHKRPRASSPSHPSSSRSNVPSSRAPPRRIAPNVGLLGRLMQSTAK SRDKEDERERQVADQKLAALLPPRR H257_05429 MAMALFFLAQTVMGSLYKIHNIQMTDAASNPFNFALQRAEKMFA TDQGPFLSSSGESRIDMEIEGLHLTPRMDGWKVVVYHFHADDLSFLLKMEENIYMFAC TPEKEIFATDGSNHFTHEEFEVFNHSLIATTSYKVLLSGWVDTVVAVCTKEGSRAEDA LDFTGTLTVLNPYGLLPAVFYGLLPFSGFLALGYLALALFFGLLLLCYRRTLIRLQYG IFSVLGLGVASSSIWFMAFAQMNSEGQPFRWPLPPLYITAVVFDVGMRTIARALLLVV CLGYGIVRNFLPPIQKWLIVFLSLAYFGTGVGDDLWRDGSLDHPQTAHRTRPSVWSFL QLLCNLSFVLWIYVALETILKELQVQKQWAKFAMYKSLAWALASFVVFFSLLTLVSVC GRFGVFEWKIEWEWMQLVAWPLLNFIVSLAMCWIWRPTARSSQFAFSTQLPVTEGGGD SDDDDETDMELASESRVPTFTIDDMDSDDAEEPEEGEVTTPDQPAKNDDKPEPTIQ H257_05431 MQVVPSETLTKQAGKDRGRLAASSVDLWAIGITIVIGGQFFSWN AGLVVGTVGFGLAVGVVGVAYLCLACSMAEMSSMLPFAGGVHGLSRCTLGFYAGFILG CCEILEYLLYVVSVNVTLGRLPYEPVVWFASHAFSLAILCVGGKVFWRFNLALAIALV GFVLMYCVGSASYANLWEHGGGSDWLFHGSSVFDFVRAFPMAMWFFTGIEALNTLPNE VTNPKTTIPKGQVAAMATLLVTATAIYVMSLSLPPGVRQLPTVLSIFNGGFVQIFNLH DDAATLLSIPACYASTPGFLLASGNIVTALADSKLLPHFLHRRHFSLGTPIRALCCTT LASFGLCFFVFFHPGVDAVFYLLAMFFGCLAYTSQCVGYLFLKKWYPSMPRTFTSPFG VAGAVFAALTFTLCVLSIAFFQDDGHAAHVIMVAFVVALSIYYHGYAKTRQIMSEDER KVLFFVHVANHNGAKHKHRKQRGRLANMYKLLHRRMLQVGPPSTSKTTQHTTKRDVPT ISTQAPCTTDVKRY H257_05430 MQVAPSKTLTKPAGKDRGRLAASSVDLWAIGITIVIGGQFFSWN AGLVVGTVGFGLAVGVVGVAYLCLACSMAEMSSMLPFAGGVYGLSRCTLGFYAGFILG CCEILEYVLYVASVNVMLGKTVVGQWSELEPFQPIVWMISHALAIAMLIVGGQVFWRA NLVLALILVVQVVVFIGGSAPSVNFSAYAGGPEHYFQGSASDWVATLPTAMWLYAGIE SINTLSNDVDNPRGAIPKGQMSAMTTVFLSSVGIYIIAIGLPPGIDALPSTRAIFNGG YHTLFNLSDTHTTLLSIPACFSSTPGFLFASGNILSAMAESKLFPRALCRRHVTYGTP IYALLVTSAMSFGLCFGEMYALDAARVFYHSSMFFGTAAYTAQCVGYVFLKKRYKNIP RTFRSPLGVAGAGVAICVFTLCALSILMFQDTQTGTSKAAMVVVLAVLSMYYHLFAKS RQTISDDEQKLLFFAHVAKHNNSKKRNRMTPRKRLLQTAVKVLMLGGRSPPTAAATSA TTTTRRASIATAVTAFRNLPNRPAIPDAPPGTKPPPTGSNANPTLRC H257_05430 MQVAPSKTLTKPAGKDRGRLAASSVDLWAIGITIVIGGQFFSWN AGLVVGTVGFGLAVGVVGVAYLCLACSMAEMSSMLPFAGGVYGLSRCTLGFYAGFILG CCEILEYVLYVASVNVMLGKTVVGQWSELEPFQPIVWMISHALAIAMLIVGGQVFWRA NLVLALILVVQVVVFIGGSAPSVNFSAYAGGPEHYFQGSASDWVATLPTAMWLYAGIE SINTLSNDVDNPRGAIPKGQMSAMTTVFLSSVGIYIIAIGLPPGIDALPSTRAIFNGG YHTLFNLSDTHTTLLSIPACFSSTPGFLFASGNILSAMAESKLFPRALCRRHVTYGTP IYALLVTSAMSFGLCFGEMYALDAARVFYHSSMFFGTAAYTAQCVGYVFLKKRYKNIP RTFRSPLGVAGAGVAICVFTLCALSILMFQDTQTGTSKAAMVVVLAVLSMYYHLFAKS RQTISDDEQKLLFFAHVAKHNNSKKRNRMTPRKRLLQTAVKVLMLGGRSPPTAAATSA TTTTRRASIATAVTAFRNLPNRPAIPDAPPGTKPPPTGSNANPTLRC H257_05430 MQVAPSKTLTKPAGKDRGRLAASSVDLWAIGITIVIGGQFFSWN AGLVVGTVGFGLAVGVVGVAYLCLACSMAEMSSMLPFAGGVYGLSRCTLGFYAGFILG CCEILEYVLYVASVNVMLGKTVVGQWSELEPFQPIVWMISHALAIAMLIVGGQVFWRA NLVLALILVVQVVVFIGGSAPSVNFSAYAGGPEHYFQGSASDWVATLPTAMWLYAGIE SINTLSNDVDNPRGAIPKGQMSAMTTVFLSSVGIYIIAIGLPPGIDALPSTRAIFNGG YHTLFNLSDTHTTLLSIPACFSSTPGFLFASGNILSAMAESKLFPRALCRRHVTYGTP IYALLVTSAMSFGLCFGEMYALDAARVFYHSSMFFGTAAYTAQCVGYVFLKKRYKNIP RTFRSPLGVAGAGVAICVFTLCALSILMFQDTQTGTSKAAMVVVLAVLSMYYHLFAKS RQTISDDEQKLLFFAHVAKHNNSKKRNRMTPRKRLLQTAVKVLMLGGRSPPTAAATSA TTTTRRASIATAVTAFRNLPNRPAIPDAPPGTKPPPTGSNANPTLRC H257_05430 MQVAPSKTLTKPAGKDRGRLAASSVDLWAIGITIVIGGQFFSWN AGLVVGTVGFGLAVGVVGVAYLCLACSMAEMSSMLPFAGGVYGLSRCTLGFYAGFILG CCEILEYVLYVASVNVMLGKTVVGQWSELEPFQPIVWMISHALAIAMLIVGGQVFWRA NLVLALILVVQVVVFIGGSAPSVNFSAYAGGPEHYFQGSASDWVATLPTAMWLYAGIE SINTLSNDVDNPRGAIPKGQMSAMTTVFLSSVGIYIIAIGLPPGIDALPSTRAIFNGG YHTLFNLSDTHTTLLSIPACFSSTPGFLFASGNILSAMAESKLFPRALCRRHVTYGTP IYALLVTSAMSFGLCFGEMYALDAARVFYHSSMFFGTAAYTAQCVGYVFLKKRYKNIP RTFRSPLGVAGAGVAICVFTLCALSILMFQDTQTGTSKAAMVVVLAVLSMYYHLFAKS RQTISDDEQKLLFFAHVAKHNNSKKRNRMTPRKRLLQTAVKVLMLGGRSPPTAAATSA TTTTRRASIATAVTAFRNLPNRPAIPDAPPGTKPPPTGSNANPTLRC H257_05432 MLPVVPLVLTKQASSFRERIQHAHSSVDLWAMGITIVIGGQFFS WNAGLTCGTVSFGLSVLVMGAAYVCLACSLSEISSMLPFAGGAYGLSRCTLGFFPGFI LGSCEVLEYILYVSSVNVMLGKTVAAKWPVLEPYVPLVWLAAYVVAFGILTVGGRLFW RINLVFAVALMLQLVIYVVGSATYVDIAQQGGGAQHYVVGGLYEWCASFPMAMWFYVG IEALNTLCNEVDNPRATIPRGQLTAIATVCTSAIAVYFVAISLPPGVASLSSVLNVLN GGFTQILGISDQDASLLNIPALFATMPGLLLATGNIITALAESKLVPYRLHRRHETFG TPARAMAAATTLSFALCFCAMYQQNADTTMYYVSMFFGCMTYISQCIGYIFLSRRYHK MPRSFRSPFGVAGAMYAMIVFSISALSILACQNHSYSSTVAIAGILTALSVYYHGYAK SRQSISEDERKLLFFAHVANHNNAKKKQHQKANRRKKGLFRILAFLGRRRSSVIETSM TKKACKSTSVAPTQMDVATSCSDPTDDLIPSSSN H257_05433 MARGASKWRQSRAQHTQLAASFSIEALADTPIDVKDGSFRRWTI HDYTSRYKAGTATPSQVIATVLDAIDASNVLSPPLLAFVKVNRDAVLQEAAAATERYA RGTPLGVLDGVPVAVKDELNVVGYTTSFGTSFMNDMATEDDAPIARLRQAGAIFVGKT NMHEIGMGTFGINIFTGTPRNPYNDQHMTGGSSSGSAAAVAAGLVPLAIGCDGGGSIR IPAGLCGIVGIKATYMRVPFHFQGGPSVANVGPLAATVQDLALAYAVLGGADPDQPLS VCQPPPFVLPPSTPPSLAGLRVGVFSAYVEGSDPQIKSAFWDTVAYLRELGATVVEVE IPHLQALHLSHSITILTEISLLMDTQPQHLFSPDVQIALALGQETLDSMDFLAAQKVR AYAMEATDDLFAQVDVFVTPTTATLAPRLENDVFKAGLSELSLTTALMRYILLGNMVG IPGLSVPVGYATESNLPVSMLFQAKHWNEHVLFDVARLVEAHAVAKKPSVYYSILE H257_05434 MNDMATEDDAPIARLRQAGAIFVGKTNMHEIGMGTFGINIFTGT PRNPYNDQHMTGGSSSGSAAAVAAGLVPLAIGCDGGGSIRIPAGLCGIVGIKATYMRV PFHFQGGPSVANVGPLAATVQDLALAYAVLGGADPDQPLSVCQPPPFVLPPSTPPSLA GLRVGVFSAYVEGSDPQIKSAFWDTVAYLRELGATVVEVEIPHLQALHLSHSITILTE ISQHFDSQPVASFSPDVQIALALGKQTLDSADFLAAQKIRAYALGVTNQLFHQVDVFL TPTTATLAPRLENDVFKAGLSELSLTTALMRYIILGNMVGIPGLSVPIGFADESNLPI SLQLQAKHWNEHVLIDLARTLEARAPTKKPTLYFSILEPSSA H257_05435 MENVLADEQDTWRKNYLKEVQVSRSLAKQLQECEGKLEAALEKG QDTPETPDCSKCLVLERELDVLRARHKSVITKFKLLEEELAHARKSPLMQLLSPKRKH KPPPPTSPKADQNPASVPERKQSVRKPPPTRAEIDQACQSVFRENNNIPQVLQAAAAS SDTELFHHGILFGYPDEVVPDPSKDLKHKDDKTVDSTSSWLGKMLKSPPTSPSQAHPP FRKPKIMSVFPSAASLADVEPMMEFCFPHGDSHHGGGFSGGDDDDLSFVVLLSGTQPA QNMYAICVLPSQRPVRCYCLVSVHPFFSLFVKLLRGIVGMCHNHTPQAVQVIFDDALR RLHGTPVPPMGGWCSFRLDPQHPLLTFHRPHTSTTRHEARQFILEYTSPRLFSKVSLD HLLVLLGCLCCEVKVLLKSSDPSLLTSCVLAVRALLDPFEWAGVVVTLLPPSLGEILE APVPFLVGQVTSKQHSCHHSTRSSVPSLVQLDIDTNTLIMDDQEMEVLHELKLPRSDS LQFQLQSYASTCFGSDLSPQSLERHHVEACEHMSACIQSHLASLLDPSQHQHDAPFLH RFHSTQMYSIASSECNLNELEDVSGGAGSDLEGESTSYEPTA H257_05435 MENVLADEQDTWRKNYLKEVQVSRSLAKQLQECEGKLEAALEKG QDTPETPDCSKCLVLERELDVLRARHKSVITKFKLLEEELAHARKSPLMQLLSPKRKH KPPPPTSPKADQNPASVPERKQSVRKPPPTRAEIDQACQSVFRENNNIPQVLQAAAAS SDTELFHHGILFGYPDEVVPDPSKDLKHKDDKTVDSTSSWLGKMLKSPPTSPSQAHPP FRKPKIMSVFPSAASLADVEPMMEFCFPHGDSHHGGGFSGGDDDDLSFVVLLSGTQPA QNMYAICVLPSQRPVRCYCLVSVHPFFSLFVKLLRGIVGMCHNHTPQAVQVIFDDALR RLHGTPVPPMGGWCSFRLDPQHPLLTFHRPHTSTTRHEARQFILEYTSPRLFSKVSLD HLLVLLGCLCCEVKVLLKSSDPSLLTSCVLAVRALLDPFEWVL H257_05436 MATPSDFEVPTLALVLIITTVATLPLVYKALAPSKTEQSSPVMD LKAIQAPRLTGLALSLFAKVTRIPILGKFILQSIKNDNDFQHVRDFAATLTHLVPLYL PLQPPSAEVLAEHTQLAASFSIEALADTPIDVKDGSTQIY H257_05437 MYAICVLPSQRPVRCYCLVSVHPFFSLFVKLLRGIVGMCHNHTP QAVQVIFDDALRRLHGTPVPPMGGWCSFRLDPQHPLLTFHRPHTSTTRHEARQFILEY TSPRLFSKVSLDHLLVLLGCLCCEVKVLLKSSDPSLLTSCVLAVRALLDPFEWAGVVV TLLPPSLGEILEAPVPFLVGQVTSKQHSCHHSTRSSVPSLVQLDIDTNTLIMDDQEME VLHELKLPRSDSLQFQLQSYASTCFGSDLSPQSLERHHVEACEHMSACIQSHLASLLD PSQHQHDAPFLHRFHSTQMYSIASSECNLNELEDVSGGAGSDLEGESTSYEPTA H257_05438 MTRGRRVSIVEGLDLLTMDRLLTARLLYSAPLACQLCAVFFHVS PKKCCRLCGTFVCLDCAEKLLVHGQRWTRGGLRSNPHHPLIATVCLACFHRTFAAHVI GYVESPPDHRPFMQPPRLPPSIRRRGSPQIEDDIPSSNSSHPLPPQAPLVPLQRRVCT PVYGQPGKAACGVSRPFRVHLGPVVECADVNGSSV H257_05439 MVVVKGKDKRRGNESDNLEVISHTKGLRTLGGPSSGRFAADMRV RVGGYGRPDQTWGVDHPWHKTSDVDLHQVISAKDRMEDGALVEQKPQGHGLSRAALKR KKKQQKHGKAEPAPVVEAVVAPPSTKPSKEAAETKSSVTANSSKLSLFDDSDNDDNAP DDDTPAPAAKKPKVVAKPSDTKPAKAAKKLPQKSKRELQKQQRDKEAAERDLALLVEE KPVLLVLPLAEREALVAQYDAALGSEIKSSSGLILRDTQLGRGQLPQAGQMLTVRYKG SLGQGGTVFGKGMLSVKFGEGAIISGWEEGLATMRPSGKRMLTIPPDLAYGASGKGEK IPPHSTLFFDVELVRIGTRQRDAVGEDDIPLPKAFVRARIKEKSSSDSKHQSHDTDNT TNHKSGKNPSSDKKAVKSFRDKKGKKPSQPKASSGDKKRE H257_05440 MMSDEVMPTKSTTTKNYKWAYRVGCPAVKALLFCLLLEIPGSSL RVTHRDKTWEWNWPEIQILLVIVGAATLAYYAVQGSDPGYVTEAMVQTSMESDTLLGV DDDELDLRGTSKAREIDYRKSKIAAMEAALELNNNATSENADASVELSPAPTMDFCTV CQLQPPLRAYHCGFCNRCVATFDHHCFFIGSCVGERNHCRFWWFLALTTVEVYACLGV VHSGFHAATSVQAWIQLNSIALVAALFFYTFAAMAYSLCGFHTFIMLTNSTTRELGKG PDKLPYLRGTRECDLPFSNGLVRNVGGFCCFRAGCRGMNRHEWTPTDWKPVGQVVHFA WDNSHIWLMYLFPIGKSTGMQPTFATICGRTNITVAVDDNKMN H257_05440 MMSDEVMPTKSTTTKNYKWAYRVGCPAVKALLFCLLLEIPGSSL RVTHRDKTWEWNWPEIQILLVIVGAATLAYYAVQGSDPGYVTEAMVQTSMESDTLLGV DDDELDLRGTSKAREIDYRKSKIAAMEAALELNNNATSENADASVELSPAPTMDFCTV CQLQPPLRAYHCGFCNRCVATFDHHCFFIGSCVGERNHCRFWWFLALTTVEVYACLGV VHSGFHAATSVQAWIQLNSIALVAALFFYVRPDHVITLHFFFQCDNVISWTVYPTNIW WWVMYRRLRPWRIRCVGFTVRRHTNKNSGFVAYKPAYQMDDCIRVAFIMLTNSTTREL GKGPDKLPYLRGTRECDLPFSNGLVRNVGGFCCFRAGCRGMNRHEWTPTDWKPVGQVV HFAWDNSHIWLMYLFPIGKSTGMQPTFATICGRTNITVAVDDNKMN H257_05440 MVQTSMESDTLLGVDDDELDLRGTSKAREIDYRKSKIAAMEAAL ELNNNATSENADASVELSPAPTMDFCTVCQLQPPLRAYHCGFCNRCVATFDHHCFFIG SCVGERNHCRFWWFLALTTVEVYACLGVVHSGFHAATSVQAWIQLNSIALVAALFFYT FAAMAYSLCGFHTFIMLTNSTTRELGKGPDKLPYLRGTRECDLPFSNGLVRNVGGFCC FRAGCRGMNRHEWTPTDWKPVGQVVHFAWDNSHIWLMYLFPIGKSTGMQPTFATICGR TNITVAVDDNKMN H257_05440 MVQTSMESDTLLGVDDDELDLRGTSKAREIDYRKSKIAAMEAAL ELNNNATSENADASVELSPAPTMDFCTVCQLQPPLRAYHCGFCNRCVATFDHHCFFIG SCVGERNHCRFWWFLALTTVEVYACLGVVHSGFHAATSVQAWIQLNSIALVAALFFYV RPDHVITLHFFFQCDNVISWTVYPTNIWWWVMYRRLRPWRIRCVGFTVRRHTNKNSGF VAYKPAYQMDDCIRVAFIMLTNSTTRELGKGPDKLPYLRGTRECDLPFSNGLVRNVGG FCCFRAGCRGMNRHEWTPTDWKPVGQVVHFAWDNSHIWLMYLFPIGKSTGMQPTFATI CGRTNITVAVDDNKMN H257_05440 MMSDEVMPTKSTTTKNYKWAYRVGCPAVKALLFCLLLEIPGSSL RVTHRDKTWEWNWPEIQILLVIVGAATLAYYAVQGSDPGYVTEAMVQTSMESDTLLGV DDDELDLRGTSKAREIDYRKSKIAAMEAALELNNNATSENADASVELSPAPTMDFCTV CQLQPPLRAYHCGFCNRCVATFDHHCFFIGSCVGERNHCRFWWFLALTTVEVYACLGV VHSGFHAATSVQAWIQLNSIALVAALFFYTFAAMAYSLCGFHTFIMLTNSTTRELGKG PDKLPYLRGTRECDLPFSNGLVRNVGGFCCFRAGCRGMNRHEWTPTDWKPVGKIDRNA ANICDNLWENQYYSCC H257_05440 MMSDEVMPTKSTTTKNYKWAYRVGCPAVKALLFCLLLEIPGSSL RVTHRDKTWEWNWPEIQILLVIVGAATLAYYAVQGSDPGYVTEAMVQTSMESDTLLGV DDDELDLRGTSKAREIDYRKSKIAAMEAALELNNNATSENADASVELSPAPTMDFCTV CQLQPPLRAYHCGFCNRCVATFDHHCFFIGSCVGERNHCRFWWFLALTTVEVYACLGV VHSGFHAATSVQAWIQLNSIALVAALFFYVRPDHVITLHFFFQCDNVISWTVYPTNIW WWVMYRRLRPWRIRCVGFTVRRHTNKNSGFVAYKPAYQMDDCIRVAFIMLTNSTTREL GKGPDKLPYLRGTRECDLPFSNGLVRNVGGFCCFRAGCRGMNRHEWTPTDWKPVGKID RNAANICDNLWENQYYSCC H257_05440 MVQTSMESDTLLGVDDDELDLRGTSKAREIDYRKSKIAAMEAAL ELNNNATSENADASVELSPAPTMDFCTVCQLQPPLRAYHCGFCNRCVATFDHHCFFIG SCVGERNHCRFWWFLALTTVEVYACLGVVHSGFHAATSVQAWIQLNSIALVAALFFYV RPDHVITLHFFFQCDNVISWTVYPTNIWWWVMYRRLRPWRIRCVGFTVRRHTNKNSGF VAYKPAYQMDDCIRVAFIMLTNSTTRELGKGPDKLPYLRGTRECDLPFSNGLVRNVGG FCCFRAGCRGMNRHEWTPTDWKPVGKIDRNAANICDNLWENQYYSCC H257_05440 MMSDEVMPTKSTTTKNYKWAYRVGCPAVKALLFCLLLEIPGSSL RVTHRDKTWEWNWPEIQILLVIVGAATLAYYAVQGSDPGYVTEAMVQTSMESDTLLGV DDDELDLRGTSKAREIDYRKSKIAAMEAALELNNNATSENADASVELSPAPTMDFCTV CQLQPPLRAYHCGFCNRCVATFDHHCFFIGSCVGERNHCRFWWFLALTTVEVYACLGV VHSGFHAATSVQAWIQLNSIALVAALFFYTFAAMAYSLCGFHTFIMLTNSTTRELGKG PDKLPYLRGTRECDLPFSNVHTYTLKRCYDVIRDDVIIIRCAGIGAQCRRVLLFSRRL H257_05440 MMSDEVMPTKSTTTKNYKWAYRVGCPAVKALLFCLLLEIPGSSL RVTHRDKTWEWNWPEIQILLVIVGAATLAYYAVQGSDPGYVTEAMVQTSMESDTLLGV DDDELDLRGTSKAREIDYRKSKIAAMEAALELNNNATSENADASVELSPAPTMDFCTV CQLQPPLRAYHCGFCNRCVATFDHHCFFIGSCVGERNHCRFWWFLALTTVEVYACLGV VHSGFHAATSVQAWIQLNSIALVAALFFYVRPDHVITLHFFFQCDNVISWTVYPTNIW WWVMYRRLRPWRIRCVGFTRLSC H257_05440 MMSDEVMPTKSTTTKNYKWAYRVGCPAVKALLFCLLLEIPGSSL RVTHRDKTWEWNWPEIQILLVIVGAATLAYYAVQGSDPGYVTEAMVQTSMESDTLLGV DDDELDLRGTSKAREIDYRKSKIAAMEAALELNNNATSENADASVELSPAPTMDFCTV CQLQPPLRAYHCGFCNRCVATFDHHCFFIGSCVGERNHCRFWWFLALTTVEVYACLGV VHSGFHAATSVQAWIQLNSIALVAALFFYVRPDHVITLHFFFQCDNVISWTVYPTNIW WWVMYRRLRPWRIRCVGFTRLSC H257_05441 MVAQRQPPLVQTLLLDNYDSYTYNLYQMLSQVNGLEPIVYTNDA FQGNWDVAYAAFLEHVAQVQAAHRHADVHVNIVLSPGPGTPTKVEDFGLCAAAILKSP HVPILGVCLGHQGMAHLYGGNVERAPTVMHGRTSRIQQNSNSSNDPFFFGILPTFEVV RYHSLLATSLPVATLEILASTSEDDLVMAFKHRTFPHYGVQFHPESVCSEFGYQLLQN FRDISSGDACQPCSLHHAPSSALMQSAKQSAVKEPATTSATASPLVDTYHVLVTSVEN VAVDTSIVFEKLYGQSSHSYWLDSSNNTRFSFMGDTAGPLAKHVAYDKWTNTVTIDST TTSSLTILEFIRMELASMHVHDDSPHLASLPFDFRGGFVGYFGYEVLGVDRRSDLAVH PPADLAVPDASFVFADRVVVYDALDQHWYLLVVTTDQYMDAGRSWMATTAATLQAMTP IQPPRHKMESFENPIVFQPSRSRATYEANIATVLTEIQAGETYEVCLTNHLIANVSIT QPLAFYNTLRTLNPAPFAGYISTPSFSICSSSPERFIAVNKHNHIESKPIKGTRKRCQ DTDQDAAIAAELATCVKDRAENMMITDLVRNDFGHVCTVGSIHVPKLMQVESFATVHQ LVTTVRGQLRPAANVVDAIAATFPGGSMTGAPKKRTMELIRRVEQHPRGVYSGAMGYL SLNGAADLNIVIRTAVVTPHHVTIGSGGAIVALSDTDDEYDEMLLKTQALRTALAAYT NQPVTVDVTRSFYGL H257_05442 MLSVVVSLISIVAGASLVIADVHSDNIKFPDLNDDIHVDRKAPS AAAWLPRPNQSLDVHFPVDSKIIDTSAAPCVVTLVKNHTYAHSYNKPYKGEFSPPSCY DDPDYSLVYLRYKANVDPGRQFDRLAAVWVGNHPVLRTTTQEPNRVLGPHWEIFREIS QYRALFGKNGNVTASLDNVVNDVYTSSFYVTITVEFYKKDPSQHVVQRVPYAPDQIVP IFKSNDTYPWFNVQPNTLGKNFNLVTFPKNLDGLYLELFTSHHGCDEFHYTNPPNELK ATLNADCAGGSFREVQILLDNEIVGAVWPFPLIYTGGMSPALWRPIVSIGGFEAPTYI IDLTPYVAKVLDGKPHNVSFAVGHGLAYWPTNANLLVYQDHYVEETVATLDRKVFARN VVPKVTSKGSGGNQTIHTTATRQVNVKSTITNSQGIRKYNIKQKFNYVNHQVYSSDVQ TFKQRSTTHTTTTIKFQDGTKTTQYYTEDYPLYGKLEYKPYTYGKSARDGILSTVEEV DIPPFRSPIIQFEQEDTNHLRSSIQANFYKYNVTIQHDLRQKLKIHGNKDDFRVGVEE FELSIAQTAKSQVDSRIGFNATNQVAVAASNWTGCYSHDVHSNTGNYTKYVEAKKCPR VKLYNDDDDDDDDDDDDDDDDDDN H257_05443 MPVLSPDRFGAASIPSARSSDRSKAKRRASATNASGSHKQNRHV GPWAKLPEPVPEEESKDASVPFQWHRRRLEPSKAVRPASNLPTSARRRTLPEEEDMHE IIQAYTKMALERTDCIQELAQKRVLPHSKKRPPSAQQRVYMPTSRIRRAVQSAGVQRT VPAQPSFVRIRVFSTVPTATTAAATPPNHALVAPVINSAATTTTRHATPARMLPPRCM SAKPRRRSEVTAPRPQSAHPRSSVPAVINRPTVEVAENGKMKLTVHMTHIRMENNDGD DDAADEEVERDD H257_05444 MSGSVGGATMALGDVDVATLDAWCEKLLTCQPLSEPEVVRLCAR AKEVLRNESNVQPVRCPVTVCGDVHGQFHDLMELFRIGGHAPDTNYLFMGDYVDRGYY SVETVTLLVALKVRYKDRVFILRGNHESRQITQVYGFYDECLRKYGTPNVWRQFTEVF DYLPLTAVVENQIFCLHGGLSPSIDTLDHIRALDRVQEVPHEGPMCDLLWSDPDDRSG WGISPRGAGYTFGQDISDQFNHANGLTLVSRAHQLVMEGYNWSHKMNVVTIFSAPNYC YRCGNEAAIMEIDEQMKYTFLQFDPAPRRGEPHVSRRTPDYFL H257_05445 MQQYAATPTPETNNIRSLILEKEKELHDINEYRIRTLEGLLKEK EQAMQGYKQKFYQLQEDFKYNLKLLEGRDEELAMYDSNFATLKIVVRDRESDVSELKI QLADVQSDLKMEKQKASEGDMYMQQKLKEVRGAMESTRWSYDEDMRKAKEEVESMKRR YERELREKDEDIESIRRELTVTFDDVLRQREQEFRAAHDDVGGKARDLELKVKSIGRE NDTLKERNHELRRKVDELAEQLSDSDKAQKGLQWEVADVRALNQAKIAQLEQETMDLQ AVKQALLDEYEAKMADLLQSLHAVEKAFLAQKAQFHDDLKRAEKTSEDELNAHTAKME ARIQAVVAKLRVADEALEKVQTECKQAKWEAQDQLLQRERDMDRLTSDHEDALNQRDA MLKQLKHDMWQVEMELKTSRESARTSVQLIQDGKETEATLRRDLQLAHDTIDELKRHV HTTSLSLEVKLQEAEHEWTARHNVRMREVAAAKERMSAEKQAAEDRLKHAEAELTRVR GELYAQKALVKANEAFSLPSVPSQVSPVWSDAGSLPPALLSMQSPATSPATPPPHDHS NDHHQYHVVAAENAKLKGMIRTMTEELMKQSAALPSPTSPPPRSQANVQATTIGQLES QIQDLHVQVDTLQKELLAARTNQTTTANNNTFVDTTANCTTTNQEGVIADLRKQLADA LAQVDVLKAERNALMELSNQLTAENRKLQLGTDSSLALKQQEGRVAELTHALDEARGH NKALKKELRRWLKREDAASPTSSIAAPHQTCDTVVMSLQAAKRQVEAARATSSFTDTT TTTSTSSPPTTTMSDARLKLKHAKEVLALAGKKVEDLPGGRPMRAPSLVHRETDSQRS VMSKLKELQSKRAEMAQERKKVRNYSIPSS H257_05446 MASAVAAAPTMSKNAKRRLKKKAEKQLEYIYATEESTSAPPSST DNAVDTIPSSPSKAEQPIVVDVEYVSSDLGLSEDDPAFDEFSRVFQRFMKAEELCGQV VPAGEGDENNENEGVEEITVEEEIEPVKQLGRKERKKAKQLSIATLKQMVVCPDVVEA HDITSADPPFLCYLKAYRNTVPVPRHWCHKRKYLQGKRGIEKIPFVLPDFIANTGIAE VRGAGVEADSTKKGASKMRDRMAPKLGRIDIDYQVLQDAFFRYQTKPTLSTLGDLYYE GKEFEVKLKTKTPGVLSDDLKRALGMVDGVPPPWLLNVQRYGPPPAYPHLKIPGLNAP IPEGASFGYHPGGWGKPPVDENGNPVYGDVFGKGAGAVVVVEDEVSKERWGELEPVAE EEEEEEEDDEEETKDDETMDMDEYEDVRGGGRTDAGDTLDLRKQQAEVPPPPPPPVVS SGPAKELYTVLAQTETAVGSSSIYGSTHAYVVSQPTEDGGLHSVQSTSGVNSVSTSGM ATDDTEGKRKRKLEKQEKGAAKKYKDFKF H257_05447 MNILQTQHLASDIVRLLSTAEGRTKAYRLLQYASKALQLVLRRV FHVNSDSSESIRVLKVLEYLMGTCRKAMRLFRFLDMWTLFPTVVDKNPSVRRLRQTRI LAFLCMFLFENISLFYPTTDVTVDDGQGKRRTLVTVRWSRWCHTCWFVSLVLGVGLDL HLHRPTSRLAMLKNLTDLPIALLLALRIRVDDALMCGLSLSSSVMGMQLHWTGRALHS NVKPFESVE H257_05448 METSQPESAAVPVFAQAVEDMSEADVYELMYHMKTFCEVKPEEA AQMLNEHVEFARALAQVQLRLNMNQDDTPSTVRPEQSTKGLNLDPEHQKLLEELQNLA PEVIQGLPFEQRKQIMELREAMGLPPV H257_05450 MDSDDEDPDSLTAKQKRLAMIKRAIAAWDLVTPEIVRSSFEKAL AFGPTTGE H257_05451 MHDVVLVDEKWFYLTRVKKQFYVYDDEEVAARSVKSKHFITKVM FLAAVERPRYDHTRNTFFDGKIGVWPFVEVVAAKRTSRNRPKGVPVTMP H257_05452 MDLAMDGAMTQPPSTHLRRFLQGGSSFDGSLAVYIYIFIGAMVF VGMLSLLAYCYHQRRIARYLETDNMNNNMQRHSLIDSFLEAGESEWQCSVCYHENHPA KRECLLCGTPQIVLEQAAVGTPRYHFGDHDTPLDPLQAARQRSFHVRRLNEMQENMHL NQRQRGARRRNLWKREKGDDGQMRWVRIEDCKPRVSILTSANPPPPEEYLFDMHARTS FSSHTESTVETELMLTPSAAASARQLLRGSTRKTAKITKRTDTSETLMAQDSVGFVRN TDDLGLVSWVPADTIRMSEALIIDTEEFPRASSVIDFESVAALPFRHKVRWFLQELDK VAVPWEEGHLLLKIRRDAVLEESMHLLMLIPAADIRQRLRIEFIDEPGLDAGGLLREW VLLLCERLFDGSYGLFQSSHVENFGYWINTNSAQLKPDHLKCFEFIGRLIAKCLLEGQ LLTVHFSLPLLKHILGVPISFSDLEFLDEDLCKNANWLRDHSDVDTLSLDFTVQSFDA NGKALPPIELVPGGGGIAVTDANKDEYLVALLKYHMFDSVHEQVAALLKGIFDVLPRN LLAAFDYQELELLICGVPKIDVDDWKRHTDVKFADFDHPSKAEHKVIDWFWAIVAEFS QDQRARLLQFVTGTSRVPVEGFKGLLSNDGRVRRFGIQMVGRGIPPTGLYPKAHTCFN RIDVPLYTSKEEVATYLTLVINMEITGFTMQ H257_05452 MDLAMDGAMTQPPSTHLRRFLQGGSSFDGSLAVYIYIFIGAMVF VGMLSLLAYCYHQRRIARYLETDNMNNNMQRHSLIDSFLEAGESEWQCSVCYHENHPA KRECLLCGTPQIVLEQAAVGTPRYHFGDHDTPLDPLQAARQRSFHVRRLNEMQENMHL NQRQRGARRRNLWKREKGDDGQMRWVRIEDCKPRVSILTSANPPPPEEYLFDMHARTS FSSHTESTVETELMLTPSAAASARQLLRGSTRKTAKITKRTDTSETLMAQDSVGFVRN TDDLGLVSWVPADTIRMSEALIIDTEEFPRASSVIDFESVAALPFRHKVRWFLQELDK VAVPWEEGHLLLKIRRDAVLEESMHLLMLIPAADIRQRLRIEFIDEPGLDAGGLLREW VLLLCERLFDGSYGLFQSSHVENFGYWINTNSAQLKPDHLKCFEFIGRLIAKCLLEGQ LLTVHFSLPLLKHILGVPISFSDLEFLGTTIILFHRTTSVNFIFLLILFK H257_05452 MPSLRHTSKYARRFDYSMYVILSCCLVVLEQAAVGTPRYHFGDH DTPLDPLQAARQRSFHVRRLNEMQENMHLNQRQRGARRRNLWKREKGDDGQMRWVRIE DCKPRVSILTSANPPPPEEYLFDMHARTSFSSHTESTVETELMLTPSAAASARQLLRG STRKTAKITKRTDTSETLMAQDSVGFVRNTDDLGLVSWVPADTIRMSEALIIDTEEFP RASSVIDFESVAALPFRHKVRWFLQELDKVAVPWEEGHLLLKIRRDAVLEESMHLLML IPAADIRQRLRIEFIDEPGLDAGGLLREWVLLLCERLFDGSYGLFQSSHVENFGYWIN TNSAQLKPDHLKCFEFIGRLIAKCLLEGQLLTVHFSLPLLKHILGVPISFSDLEFLDE DLCKNANWLRDHSDVDTLSLDFTVQSFDANGKALPPIELVPGGGGIAVTDANKDEYLV ALLKYHMFDSVHEQVAALLKGIFDVLPRNLLAAFDYQELELLICGVPKIDVDDWKRHT DVKFADFDHPSKAEHKVIDWFWAIVAEFSQDQRARLLQFVTGTSRVPVEGFKGLLSND GRVRRFGIQMVGRGIPPTGLYPKAHTCFNRIDVPLYTSKEEVATYLTLVINMEITGFT MQ H257_05452 MPSLRHTSKYARRFDYSMYVILSCCLVVLEQAAVGTPRYHFGDH DTPLDPLQAARQRSFHVRRLNEMQENMHLNQRQRGARRRNLWKREKGDDGQMRWVRIE DCKPRVSILTSANPPPPEEYLFDMHARTSFSSHTESTVETELMLTPSAAASARQLLRG STRKTAKITKRTDTSETLMAQDSVGFVRNTDDLGLVSWVPADTIRMSEALIIDTEEFP RASSVIDFESVAALPFRHKVRWFLQELDKVAVPWEEGHLLLKIRRDAVLEESMHLLML IPAADIRQRLRIEFIDEPGLDAGGLLREWVLLLCERLFDGSYGLFQSSHVENFGYWIN TNSAQLKPDHLKCFEFIGRLIAKCLLEGQLLTVHFSLPLLKHILGVPISFSDLEFLDE DLCKNANWLRDHSDVDTLSLDFTVQSFDANGKALPPIELVPGGGGIAVTDANKDEYLV ALLKYHMFDSVHEQVAALLKGIFDVLPRNLLAAFDYQELELLICGVPKIDVDDWKRHT DVKFADFDHPSKAEHKVIDWFWAIVAEFSQDQRARLLQFVTGTSRVPVEGFKGLLSND GRVRRFGIQMVGRGIPPTGLYPKAHTCFNRIDVPLYTSKEEVATYLTLVINMEITGFT MQ H257_05452 MQENMHLNQRQRGARRRNLWKREKGDDGQMRWVRIEDCKPRVSI LTSANPPPPEEYLFDMHARTSFSSHTESTVETELMLTPSAAASARQLLRGSTRKTAKI TKRTDTSETLMAQDSVGFVRNTDDLGLVSWVPADTIRMSEALIIDTEEFPRASSVIDF ESVAALPFRHKVRWFLQELDKVAVPWEEGHLLLKIRRDAVLEESMHLLMLIPAADIRQ RLRIEFIDEPGLDAGGLLREWVLLLCERLFDGSYGLFQSSHVENFGYWINTNSAQLKP DHLKCFEFIGRLIAKCLLEGQLLTVHFSLPLLKHILGVPISFSDLEFLDEDLCKNANW LRDHSDVDTLSLDFTVQSFDANGKALPPIELVPGGGGIAVTDANKDEYLVALLKYHMF DSVHEQVAALLKGIFDVLPRNLLAAFDYQELELLICGVPKIDVDDWKRHTDVKFADFD HPSKAEHKVIDWFWAIVAEFSQDQRARLLQFVTGTSRVPVEGFKGLLSNDGRVRRFGI QMVGRGIPPTGLYPKAHTCFNRIDVPLYTSKEEVATYLTLVINMEITGFTMQ H257_05452 MHARTSFSSHTESTVETELMLTPSAAASARQLLRGSTRKTAKIT KRTDTSETLMAQDSVGFVRNTDDLGLVSWVPADTIRMSEALIIDTEEFPRASSVIDFE SVAALPFRHKVRWFLQELDKVAVPWEEGHLLLKIRRDAVLEESMHLLMLIPAADIRQR LRIEFIDEPGLDAGGLLREWVLLLCERLFDGSYGLFQSSHVENFGYWINTNSAQLKPD HLKCFEFIGRLIAKCLLEGQLLTVHFSLPLLKHILGVPISFSDLEFLDEDLCKNANWL RDHSDVDTLSLDFTVQSFDANGKALPPIELVPGGGGIAVTDANKDEYLVALLKYHMFD SVHEQVAALLKGIFDVLPRNLLAAFDYQELELLICGVPKIDVDDWKRHTDVKFADFDH PSKAEHKVIDWFWAIVAEFSQDQRARLLQFVTGTSRVPVEGFKGLLSNDGRVRRFGIQ MVGRGIPPTGLYPKAHTCFNRIDVPLYTSKEEVATYLTLVINMEITGFTMQ H257_05452 MHARTSFSSHTESTVETELMLTPSAAASARQLLRGSTRKTAKIT KRTDTSETLMAQDSVGFVRNTDDLGLVSWVPADTIRMSEALIIDTEEFPRASSVIDFE SVAALPFRHKVRWFLQELDKVAVPWEEGHLLLKIRRDAVLEESMHLLMLIPAADIRQR LRIEFIDEPGLDAGGLLREWVLLLCERLFDGSYGLFQSSHVENFGYWINTNSAQLKPD HLKCFEFIGRLIAKCLLEGQLLTVHFSLPLLKHILGVPISFSDLEFLDEDLCKNANWL RDHSDVDTLSLDFTVQSFDANGKALPPIELVPGGGGIAVTDANKDEYLVALLKYHMFD SVHEQVAALLKGIFDVLPRNLLAAFDYQELELLICGVPKIDVDDWKRHTDVKFADFDH PSKAEHKVIDWFWAIVAEFSQDQRARLLQFVTGTSRVPVEGFKGLLSNDGRVRRFGIQ MVGRGIPPTGLYPKAHTCFNRIDVPLYTSKEEVATYLTLVINMEITGFTMQ H257_05452 MHARTSFSSHTESTVETELMLTPSAAASARQLLRGSTRKTAKIT KRTDTSETLMAQDSVGFVRNTDDLGLVSWVPADTIRMSEALIIDTEEFPRASSVIDFE SVAALPFRHKVRWFLQELDKVAVPWEEGHLLLKIRRDAVLEESMHLLMLIPAADIRQR LRIEFIDEPGLDAGGLLREWVLLLCERLFDGSYGLFQSSHVENFGYWINTNSAQLKPD HLKCFEFIGRLIAKCLLEGQLLTVHFSLPLLKHILGVPISFSDLEFLDEDLCKNANWL RDHSDVDTLSLDFTVQSFDANGKALPPIELVPGGGGIAVTDANKDEYLVALLKYHMFD SVHEQVAALLKGIFDVLPRNLLAAFDYQELELLICGVPKIDVDDWKRHTDVKFADFDH PSKAEHKVIDWFWAIVAEFSQDQRARLLQFVTGTSRVPVEGFKGLLSNDGRVRRFGIQ MVGRGIPPTGLYPKAHTCFNRIDVPLYTSKEEVATYLTLVINMEITGFTMQ H257_05453 MAKWYIAGLKQARTRQSAALMEGGEAAVAALWSHDADMEGDRSF SIEGAYVERPVEQLESSVKCLSLKRSFGGDMGEDTCKRLKATDVRAEELRLAMDSLMA KREALLSSMESFLKLVCRTLADEPRRCDYVPEH H257_05454 MNHVLQDLQGEVSGLGHAEVQRGKDLLTSLSEIEAMIAPIRQVF LKFRDLDAAIKKVKAAIVTAVTSMLRHLLAMTGDKLPIWSDLLHQLRDVLDGMPLAVR LDAFAHNRLRRSTNVEAMAIAFDRGNGHELDAVPTVNQLRSTSSVKANGPSNQCSSTL EFDGHNEYCLMSITNDDDQIKCPDLAGLKREWTYWVLVTRINHHSTCVA H257_05455 MGFFSHPVCLVLSALAGAAAPPPTYTPIPTPVAWLPKPVRSIQA RVQSSKPEWNAANSVWVSNFPKLSQKFEDKWSASLDTVNTASVEGALFYVQTEGISRD VDQGCMRKTNMSYIWFYDITYANPYFATAEYDLDGGLAPGYGRFVAMDNGKCTPKVEP NPPDECLQFGGYNRQPNLGPYVGGEPRTKHDRANYPDNYWFSYPGSCYLKPFQEKQTD PTCAAKQKGGMCPRGVLPDGELCTYSFEVLGYISIDDLVGITSMPVKEGSVETFKDRT DFCKRGGIEFDFADPNNSIVQFWNDPLNVTANQERSAKMIDMYAALVKEAKGDANIFK PFPEVVKLTADNPKCWEISPRCANAAYGCRRKLLAQVCEVCTSPDPDCVPKPKEAAGI PPLVKQYRPPASADAPKSPGAPASPNSPQSGDAGTSNASSPATNAGVTGSWLKLLALF VVVLV H257_05456 MTSHEKNPADLEERLSYIHSKTSKDVDGYTEAKSPRDLEGGVLA EGGALDLFSREAFALYIQYGAVGVMYGILPALRYPIFNIYLNLEGFQTSAYRVLIVIG WSFKVFYGLLSDCVPICGYRRKSWILIGWTVTMICLSVMTFSSFGEPFCNREKTKFCG TPLEKVPKSELQYFNLAAPDNGTLFILLSMFIAFGYVLSASASDAMVVEYAQREPVAI RGRIQTAIYTVRELSGIFSYLLSGLALNGPNYAGSFSFALSPNAPYGIVLVPCVLVVL STVFLLVEKKTEPSCLTQWLSTFWKSLQSRVMWQICLFRFLSNVFHGVSTTATLPISS HWAKVEPLNDALSNIIGNVLFAGILVIVAKWGLHWNWRWTIAAGTLGMIVIDGFVAYM TIWDVVRNQWFFTGVALAENVPQGLRFIVSTYVAVEIADKGNEGATYGLVSTVSNLAS PFASIFYKYVNSYFKVSQNDVKSDTLEVRWDVAYVHMIMYGFKVASLFWLFLLPPQKA EIQALKAQGGKSKVAGVLLVVIFLFCLSFAVSSNIMSIFPSTKCLRIAGGNGVLDPKT GKCPVK H257_05457 MLHRALSAAPRTRQLLHASLSTASSRYPSLITGDAYNNVSESIA SKVGLNLHRQPNHPLNIIKTKIASYFDDLHAKGNHPRMQLFDNLSPVVSVEDCFDHML IPKDHVSRRPTDTYYLNPSTLLRTHTSCHEVPLMKKGIRNFLVAGDVYRRDEIDASHY PVFHQMEGLRFFPELSQAVPYDDRVAIVQEHLKSTLEGMVESIFGKVEMRWVDAYFPF THPSLELEIFFEGQWLEVLGCGVLQRQIAEHGGVVDEVGWAFGLGLERLAMVLFDIPD IRLFWSTDARFLSQFKDGVITQFKPYSKYPPCYKDVSFWLAPDFHENNLFEVVRNVAG DMVEQVSLVDEFTHPKTHRSSKCFRITYRHLDRNLTNEEVDDIQVVVRDALVKDLGVE LR H257_05458 MKDFSTEVPATKGTYRFLTGRGEGDKPRPCYDFAVVTKRLQGQD SEKSAVQSTIVDPLVAQGLWVDVIEGTDSTYYIVLVRAPEALVLHFAKELKLQVWMRC GNARELDDILVNDPSDVDPADRIQAIEYIIRVRANISKKSVPLIRRVFPVHDEAETAA LMRKYIRSCGRLDAVQFGAHVKTYFGERVAYYFCFLDCYNQSLVPIAIVGVLFTLSRP YLGTTLLHHPIDVF H257_05459 MKVALALLVASTAALDRKLYGVDYDIRTSEWGGCKQEWELRADF QAMKTVARNVRVYGTQEPCISRVLKVAAENNVRLWLGLWGNIYANQDAFDSQLITFKR LVAEGRIRNDNVVGMHVSSEAMFRYYIQDKHDWSDREGTFKLTSYVAKVRTFLRQHGL NFPVSIADVMDAYKYTPGLYPAVDVVAANQFSQWENVWAKDGVNTMFDRLKNIQASSR AAGKQILIAETGWSSNGSFPSIKEATPESSGVYLKDFLLFAEQQNLNFYYFSSFNLKW GDDSAFGLIEKNWGLFDQNRNILPHVRSVVVGKPQKAVRLWHNGLVIKVDGANTRTEG RVYLDLPTTGLTDSLDREVWFYDEDHKTFRSKSTNQCLDTFVDDAGVSQLHVYWCDVT NANQHWDFHADGSYEIVSVPQVEVAEVATTTTTVAPTEAPTEAPTDPPTDPPTDPPTA APVNPLAKYYAECGDCRNCQFFTPYYSLCYANWGPRDCGLMPKSTHRWCGSLPAFVPV PPKAAPTRRPTTSTHRPATRPPQPAPSTAAPGVFLGPKASEVRVPVGVFVSLSETSPG KCIRSNDGLLQVVPCSFDDSTKFSIRPFETEEVTIKIAGTDWKITESFGRVVATTLSK VDSVHPDSQTWFYDPLAKTIRSKTNSQACLTLVEDKIHGAVQGRLCDADNEFQQWSYN DLTGQIYYMEKMGLCLATDGPNQPLHVQFCDITVQNQKWVFELAHHGKKKQAPLPCEY NNQPAPATLAPPVQAPATAVAPTTTTTVAPTTTAAPATTTTAAPTTTTAAPTTTAAPA TTTAAPTKTRTRPPPARSLPRVTRMKWMLTRQLHLPPRNGRPL H257_05460 MYATGSLGIPSLASASWWSGTKGVTGAGAAVVVVAAVVGAAVVV GAVAVVVGAAVVVVGAAETSPQHTLTSSPTVSRLEHRLFCVLKIVGNASFLHAPEYPS HALFKIAHDESPTTTLYLTHLAKSIDSSVVEGVVVVGAAVVGVVVVVVVVVGA H257_05461 MQTLHTHPRMYATESRREELHSHRRSYMYTVPQRTYLVAAPPST IHHTTRRPSRTKFFNKRPPPRPTTSAASSWLSRLTMSWMNPLFRLGKSNPLELDDIPA PSPGDCTSHVMARFNPIWAAEQRRASPSLFRALLRSLLPQLIHATVCHVLYLVMSLLM PSMIQGIIQYLEDTSINPVNGLPYKHPYAGYMFAAILSLLTFASVTMLGYAWNVNIQL STHARTIIMDVVFTKAMRANLTTTSFSPGDILTFATVDSERVFHGLTLICYVALSPIA LVVVFVLVGNHFCLYSALAGAATMTLFLLSAALVSKWIGSCRAKLLEASAARMSHTQE ILHGIRVVKMYAWEPHMTQSLAALRHVELKLLRTFQLVCNINIDLFVLAPIFTTVTVF AVALKFGEEGCVRSDETAAVVSLMMVARFPCNIFSSSVRYLAEAVLSCRRVQAFLVAN GGDFEAKGGGSNPHNMTNATTAFNVSTLTAGSPSMNPPSPIFQLRDGFFTWTPHAAVD EKNTPPPVPPNVADTHPLRALLVPPSSQSPDSACHLHHINVTLQPQTLTIVVGAVGSG KSSLLRAFLGDMPSIPPNPQSSMRHVSGVIAYAGQEPWLVHDNVRNNILLTQPFSATA YTRAVHACGLSPDFLAMAQGDLTEIGERGATLSGGQRARVNLARTLYRINDADLFLLD DPLSALDVTVANNVFNRTREALKHKTTLLVLNGHHHLLQHADRILVMANGTIVADGSF AALQEEFKFPLSVDKTKDEASKHVEQVQDKVDQGDDEATDEGEDGRMSALMQTEQRAK GSVLLQVFWRYFGLSGCLPWLIVATLLGSYTLYQVVYYVADWILNTKVSIVDATTLAD SSSYGHLYMYLCLACVTVLLSLAKDMYVIYISTLCATTLHSRAVDGVLGASITGFFDR TSSGGMLNRFSSDVAAVDIDLPYFGYQFLTDLFQTLSILVVLAYFMPLLMLLFVPVGY LFVSYQLYNLITANELKRLDLGSRSKVITLLTDVLDGQATLRVYDPAIVHTAFTARYR HACDTNTKCYLAYWLSGTWLEIRLGWVSSIVVSAVSFLCVGLSSSLDAKSASLVLIYV MSLSANIQEVLRAVGYVQTYMTSVERLLQYHTLPHEEEATKSRSVVSPLPPLSKGQLA QWPRSGLLRFQSYSMRYRPSHPLVLNNLSFTIACGEHVGICGRTGSGKSSTVAALARL VEGEGDGAVYLDNVNIASVPLHVLRSKLTIIPQSPVMFSGTLKFNLDPTNTHSDDRLN AVLATVHLKDVMTLDTWIHDSGTNLSVGQRQLVCIGRALLRQSKVVVLDEATAHVDGA AEALLQETLGHAFQHTTTLTIAHRLDTIMDSDRILVLDKGSVVELDSPAKLLADPSSA FYSLVHQSS H257_05462 MVAFQVTALDPVSVGDFAGPPNLWELPVFFTFLQNVAIVAMASI DSPYAPFALFTDSFSWLLFLVKGSRPSAPSSRALQTSSHEAAFGIQQFALRLNIPERD LFVRAWTCFFVVMSAMLLLLCLFNGLATYFSRHNGPLLSQVEDHPNADRFEKWSLKVQ GVLVWVLTQAVLPLTAVSVFEASVSQSPSASRSVSCALAVAVLVVIGGTCVGSSLVLW RQTESSLSKFHTKAIFGVLYLNLQFPHRAFCGVTLMVQFVSGAMMSGVGTPSTQMLWL VSVHGLYMLVLVVVRPFVTNLHLSVAVLVELVNIAIYCLCFAQAKAAVDDIHTKKTLG YVVMGLACLLVALFFVRTLVKIGKKLVQKNDNVDGSDRSIRREVTMASGTVNASIKDG GGIVTTLQGDSDTGHYGLALTPLERLSTTSGTGLIVTK H257_05463 MTLLRLGPYSPMLNPIESCFSVLKARIKSYLALHTYAMFERGEY GTFLERRMVLLEDAARASLPCITQPLVVREVIFCQRNVEKAILLESMVYGQ H257_05464 MTLLRLGPYSPMLNPIESCFSVLKARIKSYLALHTYAMFERGEY GTFLERRMVLLEDAARASLPCITQPLVVREVIFCQRNVEKAILLESMVYGQ H257_05466 MDRASPIREAECGRPLHRQITTPFASPRQRNPPSVDQRFQPGGY DQHPIYGTHTDPLDHPFDTPAPVVTPTGATNLPLSPMTTIWDKATGADLCTPRRRSLT ALLQRLDQVGVADFHPPKRVVLWVMANHDYRDITEAEWVAWFGKAFKEEPQDLEVLKK ILTTANRFDTTILDADSRIGIDNLMRALERNDQASTITWPAEVVPHQLALQRNKPLKT DVNHFLDWLRVHTAGGTSSSGSLCGDEDEGLTADLFQKLRLLLVKHCDVFHLEISHDE PIKVEPLRTHVRELEAASLVYHNNRATWASALGIVPNKDPGDLRMTIDSRRINVYTEP MPKLDADLTALVDARTFVTIDLFKVYWQLALHEDSQMYHSFMTPFGVYTTTRVLIGQT DVVVFCQSAVDFMTQKRPLAWLDDMLGYAETPDDILDLFDQVLTIGSSFVLKLNPKKC DFFLAKTVWCGKVVSIEGVQHSRTRIQGL H257_05467 MKLLRMRDVRPDEIIAKSQVYAKPRSNSSASTSSRTTTSELSTP PASPCLSRCGSHIEVEDQAAIATTSRTHEDEPGSAQLCCPIQPSQSYGFAYPQAPPIQ PSTSSYGQRYQQPYAPNTIAPPGQATLPPNLTSTQNQMFGAGRARVGHIPVVISSKPD EMTVSGQNIVIVVVMTGHYPGEQVKLCHFCGQPGHTVTKCPSKPTNQA H257_05468 MKSTDYLDMVESNLPENFAKLALPIDFEFLQDNAPIHKTKISCI DTVSVELIDLVKHYRVSMAPLSFSPLDTIFRLLWVTTALPLLPKLKLNSQLSNMAQVI TQADGSASPASTAALLITCFLCALPSSARNIMRRVFDRTAFDKHGSASQNKYAIMSPR CVHFNVLVTTAMIPMGIVATRALIANMEGTKIL H257_05469 MGCGPLLTEVEVGMVLALRDHGFTHRAIAEHVVRSTKVIRTVID QREGYGSNFKNRKPAKLIGRKLRLLIREASKTGLSARSLATSLDIDASLRTCQRRLQG SKNMEYVKRKHMPMLKKSHKIARLEHVKKYLKDPPFWPGIILSDEKRFNLDGPDGLQY YWHDLRKEPDTYFTRRTPVWAQQLRMVVDVTQFQAETDQRIAVSTSTAYKFLVALERS TL H257_05470 MMPSTLTYSKYTTQFTNNLCLYRNKACFNVRAVKKNGLLHSLCE YHRLKANSNQRKLEKKKRECPITPPAATTEQSNKPLRIFGVKELWMNPSDVDDIDTGD DDVVIWVQPIRLEPPQTFDHYIHDQI H257_05471 MANQQRGNSAYGLDKQLADKALAKYDKQSEVEAKDWIEALTSHS IGDDFGLGLKSGVVLCDLANALDPSLKLKPTSSTMPFKQMENISAFLRACRTLGVAEF DLFETVDLFELKDVGLVVRCLHAVGRAVQKRPGYTGPTLGVKESTKNRRTFTHAQIAE ARHATSLLNMGSITTMQRTSVDTSGSVTFGADSSAPTTTTTSTTHDNSTVPSLFRTPS ALTDPSPSLPLPAAKPSSIPPPSPAVNASVAMATCPAAAPVQVRGGGYGLDAELAAAA SAKYDYALERSIQEWIEAVTHQSKDESNSFGEWLKDGQVLCLHVNTLQTFANAPPAVP LIKIESSTIAFKLMQNIKHFLAAARALGVADVDCFETVDLFELKDLGAVLRCLQALSR VLTKKFPGYTGPLLPDHATRRPSATTPPKPAVQDVREPREQGGVVVPPLDIQANPTRP SWPKPAAPAKVQADVPHSTRQQWPPAKVPDQPAAAPRADESAPAAAAAPAGPIAAFRA KGLPTRTNWT H257_05472 MCLFNDCPHPATLPSMKCTFHKGRGKCRMPDCHNQVYARKLCVR HGGKKICLLPHCTAHARGNAFCLKHGGVAKRRTCEVDGCVKLAHANHKCVAHGGGRYC KSPGCSFHARFAGLCLQCNSDLTPLDLRNHVMLPLDDVDFSILQVLAKEFTSKRVQNR QASSSWDVGTQYGRSLNVMI H257_05473 MTTSTTTTSTTSNTTAGVCLFNDCPHPATLPSMKCAFHKGRGKC RMPDCHNQVYARQLCVRHGGKKICLRPHCTAHARGNAFCLKHGGVAKRRTCEVDGCVK LAHANHKCVAHGGGRYCKSPGCSFHARFAGLCLQCNSDLTPLDLRNHVMLPLDDVDFS ILQVLAKEFTSKRVHIDGLEVWKDEDSSTQVPNVVET H257_05474 MMWVIAALLASFVGVSNGALPVFGFIWKAGLSERTTCENIPLEC PGGANVYQLEKLDCAYPVCPPTIPPKPTPKPLMTSAPIRLVPAYAYTAEPQAPRRPSK KQRHAV H257_05475 MATKRKGEEDATDERHVMRIMPLGAGNEVGRSCIILKFQGKTIM LDCGVHPGYSGHGSLPYFDSVEAEEIDLLLITHFHIDHVAGLPHFTEKTGFKGRIFMT HPTKAVMQMMLRDFLRVSNISVEDQIYDDKDLERCVAKVEIIDFHQEKMINGIKFTPY NAGHVLGACMFLIEIGGVKVLYTGDYSLENDRHLMAAEIPGVSPDVLIVESTYGVQVH QSVVEREGRFTGQVESVVRRGGRCLIPVFALGRTQELLLILDEHWKAHPDLQHIPIYF ASKLAAKALRVYQTYINMMNDRIRKQIAISNPFQFEYISNLKSLKDFDDTGPSVVMAS PGMLQSGVSRQLFERWCSDKKNECLIPGYVVEGTLAKKILSLPKEITSMDGRLLPMNC SVEYISFSAHADFVGTSGFIEKVAPPHIVLVHGEKTEMMRLKAALHKKFHNPKVYQPQ VYTPANTVDVVLEFRGEKVAKAIGRMATDLAGHAKLKSTEKTNMSGLLVEHDFHTHVM HPHDLSEYTTNLLVGTIAQKQHVPFVHQPFDVLVLLVRQMYADIKTTHDTIIVGNCVM VTHCPPEKVVIEWTADPTADMIADSIVALTMHAQASPASFKISMHPTAACRHDHSVKA ETPPLKEEADHMPLAAAADEADVTRAIESLADEGDVQALHLILVYRVLKDQYGRVELD HGTNVMTIETPGGVKAQVRYFGPKQERISCENSAFHDKLHDTLAKLDQALQPIEPADL H257_05475 MIVAAEIPGVSPDVLIVESTYGVQVHQSVVEREGRFTGQVESVV RRGGRCLIPVFALGRTQELLLILDEHWKAHPDLQHIPIYFASKLAAKALRVYQTYINM MNDRIRKQIAISNPFQFEYISNLKSLKDFDDTGPSVVMASPGMLQSGVSRQLFERWCS DKKNECLIPGYVVEGTLAKKILSLPKEITSMDGRLLPMNCSVEYISFSAHADFVGTSG FIEKVAPPHIVLVHGEKTEMMRLKAALHKKFHNPKVYQPQVYTPANTVDVVLEFRGEK VAKAIGRMATDLAGHAKLKSTEKTNMSGLLVEHDFHTHVMHPHDLSEYTTNLLVGTIA QKQHVPFVHQPFDVLVLLVRQMYADIKTTHDTIIVGNCVMVTHCPPEKVVIEWTADPT ADMIADSIVALTMHAQASPASFKISMHPTAACRHDHSVKAETPPLKEEADHMPLAAAA DEADVTRAIESLADEGDVQALHLILVYRVLKDQYGRVELDHGTNVMTIETPGGVKAQV RYFGPKQERISCENSAFHDKLHDTLAKLDQALQPIEPADL H257_05476 MASVMVTTTAASQKKATTISSSLTAVAVELGVALPPRSPSNAHA AAARLYCMLPPSDPLFDDMDFIDAPDVITTSTSMDMSSGPFRHVAALAVQNKSFKPRM EDVCVIQPNLGADVQFYAVYDGHGSPVVSTYLGQHLHVELSRQCSRTRSSSSGCSATM MESAVANTFEAIDRALEPLDAADHCGSTAVVLVAHGNHLVTVANCGDSHCLHVNAQGD VRRLTQDHHVRNAAEVSRITGANGMIVRRRVSGVSKVTRAFGQHNEKDFVIARPAIAT LSLKEQNRPSLQAQGYFVLMTDGISDVLGDNEIAAYVDMGLAMGWHVDAICQTLVDLC KLKRTRDNLTVVIVLV H257_05477 MIVLLTWAAVLSVASGLAVPPKRYQCKANVCVQEAISFTANGTS LSICQLTCGQGSLWPQPTQRVHVSPSVQSISIASISHSVSFLDHTNFDSALVPAMHAN FLDILQSKAAECTTSVAVPAPLPLSISATITTADERLRVDTNETYSLTVNLTSTPHVT IAAITVFGYRHALTTLSQLMEYDDISHTMQLVHSARIIDGPAFPHRGITLDTSRNFYS VGAIKRVLDGMSMTKLNTFHWHFTDTNSFPIEIIGEPRLTTYGAYSARQVYTQADIRG LVHYAKVRGIRVIPELDAPAHVGAGWQWGHAAGLGDLVVCYDHLPWESACVQPPCGQL NPKNDKIFPILDTIYGEFDALFDADLVHMGGDEVHFGCWNMTKSITDGMASREPADFI SLWGEFQAKVHALLVQIHNRKVPARHKKVMIWTSDLTHPSWIQRYLAPHDYVIQIWDS TTTSASSAATFAKLGYHVVLSNYDKWYLDCGHGNWLTNGTSWCDPFKSWQVVYGVDMY AGLTPDLHPFVLGGETALWSELADEHSADVKLWPRSAAAAERLWSNPVTSWEDAVVRL QSQRRRMVRRGLHADAIQPQWCRDHPGRCT H257_05478 MNDDKSNKDGAATALDSMTLPTAILKDDGSDEFSPRIGGDTPLT LMGSVVESLEQLCRSFEVECDQRLSRMQVRVDSSTAAIPRMKAWVAQQHETTASLEAQ LVESVAKCKSVLQKWTKEKEDRRNEKEWIADLWPDFVVVPPTILRPFMKTTASMDDKA QLGLDATMQLRLMEQGRLVQERMALASQWSAVDNYFYNAVTGESCWEPPPAMTYVAPT GWDVAKGKWKPGVQLTLDADTLSAAQSPNKVDATTNNNDSIQALNTSTINSAREISTS TDSEADAPLLDPISLRAQVESEHQVLDRLHKDVASSTLRLQTLSHQLQTSIRRHLDSE QAGVQAEYDALVEAERKKLAKEARDRHAAAMEAQAKAKKQQARSSSSPPKNKLQAAEL VIDDVPEAALVIPIDPTRLRLCTPVSHEPMVQLHTTSDTMYLHMETVQRRVDALVKKE DLAWESHDAFRDLIEKDIGQAKQKLDAMLLDIQTCKHTLETSQARLECLKTQEAPPMP ILQPTTTTMMTTPTTGDGNDDNDRGKLLAFEQYDRDMRAWEEAEVSRRVECEDLVETI ADMQTRLNEAATVDRICDEVSFLEALEKCEAARGAGLWAKKKEYEMWRTKVMLDRVDR EERLVALARDLGEFTAHLDAAARLPLQAINILEKTRLEGQRDDQVAYYTAKIDKTTSA IVANEGAKAKLMDMELRAVEFHGRRLDEEMQLHDETKGVWMRQRATCDSGQQDVLRAW LLLNYTREGRWRELVSYEQIDRTCWEEQDQWLVSQQKSRHEATVAALEEKHAVHVFEL EQEIAKLDVALKLAIQSKCQSDIDKAHVMELVTVADDLVEITKAEAIQSLKQHMQVLL DQMKRQQLDHDIRIERLLAEHAVIREDLEKRLETTAADAILRMQWLKAVKCELSDHKV LNKHLLCGISALEKRRAMEINDMQARIMAQLCRIHRLEMWNLSLKKSIDANNDVLLQH QVKLEEKIAEHRAEQRILRHEVWRQRISAQLLLTNADHLLHFFVQGIEGLCGHANDDL RNAAVIPILVELCKLSTLSPSIRALATTSLGKLSWNTPKSHRHIGWQAKTIWTKWVAR LSSEATDALQETKLEFDDIVACSSSSMNLTADATTHERCHSHLDRLHVLQETDYWVSS SAIPVSINEVNVLSIGDTSGALAILIELSCASSQEVPLAVREGALGSVAALAMHSRNI HLMGRVPGFLQTLLGLCEDDAPSRTMQVHALHSLTNLSFQNRVHHQLIYSMNGMLVLL RVLRTSKDVDVVDLALSAVANLTESHVDMIDQVLIEGGLAQLLTLSMASYLCDAVEDG KMDTIQGNIALCVVHILHASPETVLRLWVADSSQQDANQSTSSFAHPGSYLNLCLSLV VSGTVAVQSAAIMVLGVVAQHDIVRGQLGDAGAVELLAPLLTHSLQYDDEGNTNQMAL VEQTAWTLLQLSWNRDNQTRLSQYSRTFLTICQLRLPKWTLVQQHVFQLVGNIVFYHP ENRHMMLEDNQWLALLLETCGRQDVSWRVDCVRAICALSYENAFASSCDHIPVIVAVL QSTTESDLVLHGLQWLSNLLVHDGQKSRFVHCPLATETLVTLCGSENKTVRHHAQVAL DLVADIRLPHKRTREWS H257_05479 MEARSPWFHSRAALVLALVLIMGLLIIHVLFVDISSPKRLGSVR HESDYQGERGHLPDRTVPHESDYQGERGHLHDRTVSNLPLHGPSIELHAPTGPQDGIV TSTELFAQHGKTDRGMHLRMKNVDILGNLTARAQDPLLVLVVLNDHESWGAGRSIVDF FAMIGEFTHPQNQTSVAILTSDATEFDAIHAHMQNEIHRFAQFNLILRHDFAAAGLTR GNRKADELQAARRRMLARYRNYLLLSTLETWHEHVLWLDSDVHVVAPTLVTKIIKADR DIVQPICFWGDPPSTHEYDYNAWVGGRQHMGGLSTPPDGFVRLDSVGGTFLYVKADIH RQGVRFPHFYTIGADWDKDGTDGIETEGLCYEAHFLGYRCWGMPNDPVYHVSD H257_05480 MGVLKTLNGSTNIHFKRVLPVFNEERGCRAADRGSGCSSPQVTA CAAARPGRSQAMERLHEVRLEAGRPPNAFSARPIEMCRSGPPGVGRFGVAREHLGLS H257_05481 MRTKKDLTDNNRTAILQQLLARMVDHKTLPRRALAASPSPLVLT EAREERSFRQEPQARLCCLTLVPKARRTTFRSIAAAMTMPKSTLHDYHRRGIFVKYSS TVKPALTDVNKAHNGLCRCGRKVVLRHASSQSNYLAPDEDPPHLTVKSKTFIMKVMFL STVARSRWDHDKCEWFDGKIGTWHFTDRVPALRGSRNTPAGTMVMKPVSVTRDVYTPK LLDKVIPAIKANWPQDETKGVIIQQDNSRPHVPPSDPRIVAACTSGGWAMQERFQPPQ MPDLNVLDLGFFRAL H257_05482 MPPKQTAMRPKPFKREKTTVPPKPFKSKGGKAKSTKPSTKKRVV VHKHVKTVVHVHTSASHPPALSYPRPHTTTSPTANAPGRVTIYVLALTHGKFYVGKTS RPNVEDRLDEHKRGNGSAWTKRFPPLSVADVIHNADPFDEEKVTKQWMLQHGVANVRG GSYSRVELPPEQIASIENQLRGITDQCFKCGQSGHFANKCTAVVEDDDSSEEVEEEEE VCGRCGREGHDKSACYAKTHGNGVNWCLRCGREGHEDASCYASSHSVLSEFPDECCAR CGREGHARAQCYAKTHASGARLHNSKTQ H257_05483 MWRMVTPLSFMYPASSYVGNRLVRILSKVSVIDTAVEFVNATPR PMDVIWVDYHGTETTYMTLECRESYEQPTYAAHAWRIAFADSYLRCV H257_05484 MADTAAAAALHPAIDDDDAWMEYVNFILCLVMLTVLLCHRVCTF HLAKPAPPSSVPTASLAKVPLPPTSSTMLHQFHEWCFATSATDASKERTILDDVIDSL LLTSDEPLHHEDALSPSGPMLIPKLCEAALIVLVFTLQAASHQHHTSFRSFFCSKQ H257_05485 MDITFLGTASAQPSPTRNHSSLAFRPDGGDVWLFDCGEATQHQL INLMADYTALAIPAPGNVAPAHSAPRLAKISRIFLTHLHGDHCFGLPGLLCTAGSVVS PNATSMNDGRTPRPTNPVHIYGPQGTKSYVRSMLQHTMSRVGTGFAVHELLFGASVDA SPPANDTPHIDEVPGESYSALVTTSGYAYWTLPPTSSGFVIQAAAIPHTVPTVGYLLR EPASPGRLQIELVRPILQRNSAALGLKNPLSLLPRLKRGESLVMPDGTVMSPELCLAS PRPGRVVLILGDTSNALESAFVTLSQDDVVDVVVHEATNACLPCDMAAGLTTESVEIN TKAHGHSTPDMAGAFARAIKCKRLILNHFSSRYKGDGSTDSMDVMDQVRQLAVASFGS DDVVCARDFMQVTVALR H257_05486 MDKPTGIVMHFDGVDVSVAAMSHIGSPSLMQNQDAHLTLPLTDD CLLIGVFDGHGAELGQIASRAAKAFFASNFQDPALLDEVLESPEATFRRLFRECHHHL YGRFKSFYTAQGCSIHEESGPFLTYRMANQSQPFRCVYGGTTATLALLHNQRVIVASV GDSAAILANPTGTCDLTWHAYCDTAPTPASSLPNDDDDDSKQTDNQMDDIVPSFVFLT GTHAPDCTSEFNRLAELGLGAQCLFDHSTDPHDRVPIFIPAARRPVNPVRINTPHCIK DAPPRGGYVKNARNEWACVVSAPPHAAFSDTLACTRSLGDFHMHMYGVTYEPDVAETN VEPGATLLLATDGVWDVWQFKQVASYIQGNNHSGTILHEFMQANVARATELFGDHADN MTAVCVAYKKKASNFVDE H257_05487 MQGRVRRTSLGLGNKELLEENAVLEAQVAKLEVELQAKHDFNHK LQYESQCLSEMLARLGDKEALAVAKQGAMGHVFLDKGALQQDATKCHVETLNRSIADL EMEAREYDRLSAFESELVQRHAWLKAECDAVELKHKAEVQARKVEEYNRKRMMEENFQ RLLVALDSQGLKAAFCQLLGTLQSPSPQRSASPEADSADS H257_05488 MTTSPPPHAAATDTPPAKDKARWGKWTPEEEAYTSRLIADFTAG VLTDIENGTTMRSWLSAQLRCCPMRISKKFVGEQSIGKRMFERNEGRLLDMSDAQVAK RQSELKELRDAFNESWVREEREREEHKANGTRKRKRNRSKTAAKATSSINTSLLRHAS NIAAKKLPSPPRPPQPSALSHLQAKAAPTSSPAAAASFSTVSGSHHVTTSVQSVTASL TFLQKFAAGQGVQPSSQPVTPSDKVALRYKNTPTTQPPPPPSYTCSPIKRRKAVHSSS HVHPPIQTVAAAPLATPKLTFLEQLSTPNQDDGGPQEDLGHGEFDCSFDFLDADDSID ALCLLGDDEYALCCDGGKDCDTVLSPTAVADQATWPMLGGVLSCDVATATSFYDSLFE MSCY H257_05489 MQPQLSHGASDPLRPSNEPRDGKRHLRPAERHAVYETLLGASSN GTLPRGIIVRVAAQFHCHALTVSRIWSQGQESIRGGRICADVASKIRGALV H257_05490 MVLNNVVPAIKSKFPPQSGVIIQQDNASPHKCVTTSVLNSRGIL GIEVKNQPPNSPDFNVLDLGFFNSIQSLQYQKSTRTIEELIDAVETSFYELPVDTVSK TFITLQKVMEKCIEIHGSNDYKLPHMKKDAMISDFTSFNVEFYAAWRTTIEEAAVTAD FFSLYSNETFCPTHIETDTYTISQAKPHVDKIRKYAKYSADGYACDALEQHLARVKRL EEYFTRKFLNEYIAESLTALRIQAPTHPS H257_05491 MARHEAGTRDHMILFASLTQLKYTEDINAETLFTTLDDQINLIE TATLPANADALTAGGGHVKTFELFRTFVISNAFAANKNLWDAVCQLQFKAYEDGSECM PIAIKTTIRHT H257_05492 MAHRVWGHIGITYADVKKLMGDFHQAHHASVKFVSHTKPANSIE CQASIEVVLTLPQVLLDSGSDETLFKTVTLEASIGYLVLGGLRACFEEKKMEIDVLVG RPIMERLGFTVDGVGRGK H257_05493 MKFSLFSTIVLFAATATAETNNAITRINGRTQTLKGEAAEVDDA ELNRECHKQIGSYIPSLKAGQYSTSAFHNCFRTSDQIYEFIDALVAQNPTLLSKFAIS KTNKGATIYGYKLTKGHSQSLYFQALQHAREWVAGSSILFSVASILDDIANEKPTAAD EYDLYFVPIVNIDGYAHTWASARFQRKNANEIDLNRNWPSLPNPRPPPKKSNEYPGFN AFSEPETAGINSWLQTKRGEIQGFIDFHAYGGLILYAYADNNKTIGGGFDEKFEVLGR GLENDMGAYTYGPANSLHLAYGVFTDYAFRAFQKPALKVEIIGDDFVVDASTIPTRGL EVYKGINRFAKEVTVFNGGDVTPDKPSCGE H257_05495 MSPAPRSTRELTPGMKMEVVFALQDAIHNGKLARAGRATVRKIW RDFKSGSKASKKKGRVGPKPRHSPAEVTEIVRSVPARDRSTMHDMASSTGISVSTLCR QLKSGTINRRSSRLKPLLTDTNKIERLAFCRDHVNIQLDVMNDYLSSRARDAAGAVES REPAESPGTAEFDFSDMWDVVHLDEKWFNADKDCRKTYLTRREVHERRACKSKRFIPK VKFLAAVARPRLDEGFDGKLVEKTPARRNSRNRPAGTLVTALVNVDGKTYRDYVITKH DNATPHGSIDVGTLAAVSTDGWTFVVRRQPPNSPDLNVLDLGFFTSIQALQYKMASRS MDDVIEATLSAFEAVMRLVMEHHGDNNFKLPHLKKDTLRRAGTLMANVTYPASLLFHV NTFLQQSSP H257_05496 MHRNQPLLPSRYLAPLSPSDEHRFKKVARKSAIDVVRYAVPTNG PVQWVVGSPDRGISISKAAPQKHHKEQEPTSTISTYCSSMTMHATVDEISSMFTPSSH QPKRSLVPGIMGEANLVTVASPSIDDPRHYIAIRWAAVRSPVPFIKNRDACFVETHMD VECDGRKGWVRALKSIDLPECHHLRGLVRMDLLRCGHVVLESPGRPDCVQVHILVHLD MKGLVPAWIEDLWMKRTCQGLRALRKAVVAKRLAATDLVHQHLSLRTCELCARPFTFG TTKAHCRQCSQVMCNECSSTTTSSQEHRQALPVCTTCELATSTKLPPFIALDAPFVGL LHR H257_05497 MARTKKVAAADKRKVADESSTNDAKKPKTTDSEATTQETSTGDA ANNRVLTSLLQGMSIDTFDAEYFEKKPLHLKKIKSCAGLFTRRLLLDVIAKESLRHSD DLTVTVYKDGSRQNFEPPEDDPLDGVASSEQVGNLLSTGYSVQFYQPQRYQKKICDIN AALEAHYGCLAGASAYLTPPHAQALAPHHDDVDVFILQTQGSKHWKLYAPLVQLAGEH SNDLDPSILSDPTMEITLEEGDMLYFPRGVVHQASTSDTFSTHVTISIYHHNSWANFM EVALPQVLRRAFEHDVAFRKGLPPNYLGFMGSQFQTSQSPSAAAFTTHFKDLAAKMLD HITDTDLHLAADVAALDFIKHRLPPTPHMIRKCHLKDNQSLRLRYKAHCRIVLQDDSV TLFHSVTNCRQHHMGQCTCDEDDDEDEEEDDELPPAAAAISFPLGCAPVLLHLYAAGD TTIADMIAANVADEDSVRAVIFRLIAEDMVERSK H257_05497 MARTKKVAAADKRKVADESSTNDAKKPKTTDSEATTQETSTGDA ANNRVLTSLLQGMSIDTFDAEYFEKKPLHLKKIKSCAGLFTRRLLLDVIAKESLRHSD DLTVTVYKDGSRQNFEPPEDDPLDGVASSEQVGNLLSTGYSVQFYQPQRYQKKICDIN AALEAHYGCLAGASAYLTPPHAQALAPHHDDVDVFILQTQGSKHWKLYAPLVQLAGEH SNDLDPSILSDPTMEITLEEGDMLYFPRGVVHQASTSDTFSTHVTISIYHHNSWANFM EVALPQVLRRAFEHDVAFRKGLPPNYLGFMGSQFQTSQSPSAAAFTTHFKDLAAKMLD HITDTDLHLAADVAALDFIKHRLPPTPHMIRKCHLKDNQSLRLRYKAHCRIVLQDDSV TLFHSVTNCRQHHMGQCTCDEDDDEDEEEDDELPPAAAAISFPLGCAPVLLHLYAAGD TTIADMIAANVADEDSVRAVIFRLIAEDMVERSK H257_05498 MLEWSRDRRRGHEQRLRHGASTTRHEDRRRQVAASYYPHHNHTY EDDDEGTPRVRSHHPPKRVVSNLAAFHEDEYVDYLKERRDMRASQPHDRGKWKCMGTE FVDGAEHASALHIAVVPPDAAYSIERQFWTWCGREVSLEKLVVDAPPSLDDLSLHDAV VEATTWTPDDVVRSTSNPPSSSSDPSLPAPSLDPVQDKMAANDDDTPAAASIIMDPPP ATTHTVH H257_05498 MLEWSRDRRRGHEQRLRHGASTTRHEDRRRQVAASYYPHHNHTY EDDDEGTPRVRSHHPPKRVVSNLAAFHEDEYVDYLKERRDMRASQPHDRGKWKCMGTE FVDGAEHASALHIAVVPPGRSIPSLLLDPPSLVVDAAYSIERQFWTWCGREVSLEKLV VDAPPSLDDLSLHDAVVEATTWTPDDVVRSTSNPPSSSSDPSLPAPSLDPVQDKMAAN DDDTPAAASIIMDPPPATTHTVH H257_05499 MERGSTETTTSLYKDMDLSSITDTTNALPLPAMHTLMEIRKALT TTYLPPTKRAARVKSIVEHDAQFLKELLRVADQCLDLDDDESLELLFQITKALFELCD ASVLHLLLSDTYFLDVVGILEFNPHTIRKMCFRKELSAHMTFNEVIPITDPQVVQAIH VNYRIDAIKDNILSRSLADGCTIWLESIVNENNISILTYISNHEEYMVQLRALVADRS TESTGLLLLQNVMRLIQITQPPSRGTPRVPHMLKADYSGMNPVFGSLHHALFPTTLLS SFAAIVTRANDTNRLLVLEMLHHLVLFQNGDLLRVYMADERGCCDLSIPFSLSWEPHQ SLLLAILVAFVQAEAARGGVLDVLKHLFHVVPGRDDKFLHMLYHGNYMHWWVHLLGLP DQTGNLYELHASVWEVLTMCVSHHGYRIKYLLAKIPIAAYATDALGSGNKLRVLHVAA FLRACVLRNETFYSNVVATPAIWDAIVDLLHVRPRNPSAVVSALLEMIMSAEAHNCRL IMQHIVTHAKVTLLEAAYPGVITSIKAKLSDGQPEVHNGLTIQEEERYWAQEEQTMVV VVDEVDDKDDTTGVVVVAAEEDQEGGGLTSPPPLIPLQDREETGIVASSSSSPVGGST RRPKKQVKNLFSTIQWSSPSKKQKVDL H257_05499 MRPSCVVELCDASVLHLLLSDTYFLDVVGILEFNPHTIRKMCFR KELSAHMTFNEVIPITDPQVVQAIHVNYRIDAIKDNILSRSLADGCTIWLESIVNENN ISILTYISNHEEYMVQLRALVADRSTESTGLLLLQNVMRLIQITQPPSRGTPRVPHML KADYSGMNPVFGSLHHALFPTTLLSSFAAIVTRANDTNRLLVLEMLHHLVLFQNGDLL RVYMADERGCCDLSIPFSLSWEPHQSLLLAILVAFVQAEAARGGVLDVLKHLFHVVPG RDDKFLHMLYHGNYMHWWVHLLGLPDQTGNLYELHASVWEVLTMCVSHHGYRIKYLLA KIPIAAYATDALGSGNKLRVLHVAAFLRACVLRNETFYSNVVATPAIWDAIVDLLHVR PRNPSAVVSALLEMIMSAEAHNCRLIMQHIVTHAKVTLLEAAYPGVITSIKAKLSDGQ PEVHNGLTIQEEERYWAQEEQTMVVVVDEVDDKDDTTGVVVVAAEEDQEGGGLTSPPP LIPLQDREETGIVASSSSSPVGGSTRRPKKQVKNLFSTIQWSSPSKKQKVDL H257_05499 MHHGTVGHSHHRPAGCASDPRQLPHRRHQGQHLVPVFGRRMHDL ARVHREREQHLDPHVHLQPRRVHGSVARSRRGPFHRVDGSATFAKRHATDSNHAAAVP RYIHIPPYPSSSSRYLVLGTPRVPHMLKADYSGMNPVFGSLHHALFPTTLLSSFAAIV TRANDTNRLLVLEMLHHLVLFQNGDLLRVYMADERGCCDLSIPFSLSWEPHQSLLLAI LVAFVQAEAARGGVLDVLKHLFHVVPGRDDKFLHMLYHGNYMHWWVHLLGLPDQTGNL YELHASVWEVLTMCVSHHGYRIKYLLAKIPIAAYATDALGSGNKLRVLHVAAFLRACV LRNETFYSNVVATPAIWDAIVDLLHVRPRNPSAVVSALLEMIMSAEAHNCRLIMQHIV THAKVTLLEAAYPGVITSIKAKLSDGQPEVHNGLTIQEEERYWAQEEQTMVVVVDEVD DKDDTTGVVVVAAEEDQEGGGLTSPPPLIPLQDREETGIVASSSSSPVGGSTRRPKKQ VKNLFSTIQWSSPSKKQKVDL H257_05499 MHDLARVHREREQHLDPHVHLQPRRVHGSVARSRRGPFHRVDGS ATFAKRHATDSNHAAAVPRYIHIPPYPSSSSRYLVLGTPRVPHMLKADYSGMNPVFGS LHHALFPTTLLSSFAAIVTRANDTNRLLVLEMLHHLVLFQNGDLLRVYMADERGCCDL SIPFSLSWEPHQSLLLAILVAFVQAEAARGGVLDVLKHLFHVVPGRDDKFLHMLYHGN YMHWWVHLLGLPDQTGNLYELHASVWEVLTMCVSHHGYRIKYLLAKIPIAAYATDALG SGNKLRVLHVAAFLRACVLRNETFYSNVVATPAIWDAIVDLLHVRPRNPSAVVSALLE MIMSAEAHNCRLIMQHIVTHAKVTLLEAAYPGVITSIKAKLSDGQPEVHNGLTIQEEE RYWAQEEQTMVVVVDEVDDKDDTTGVVVVAAEEDQEGGGLTSPPPLIPLQDREETGIV ASSSSSPVGGSTRRPKKQVKNLFSTIQWSSPSKKQKVDL H257_05499 MHDLARVHREREQHLDPHVHLQPRRVHGSVARSRRGPFHRVDGS ATFAKRHATDSNHAAAVPRYIHIPPYPSSSSRYLVLGTPRVPHMLKADYSGMNPVFGS LHHALFPTTLLSSFAAIVTRANDTNRLLVLEMLHHLVLFQNGDLLRVYMADERGCCDL SIPFSLSWEPHQSLLLAILVAFVQAEAARGGVLDVLKHLFHVVPGRDDKFLHMLYHGN YMHWWVHLLGLPDQTGNLYELHASVWEVLTMCVSHHGYRIKYLLAKIPIAAYATDALG SGNKLRVLHVAAFLRACVLRNETFYSNVVATPAIWDAIVDLLHVRPRNPSAVVSALLE MIMSAEAHNCRLIMQHIVTHAKVTLLEAAYPGVITSIKAKLSDGQPEVHNGLTIQEEE RYWAQEEQTMVVVVDEVDDKDDTTGVVVVAAEEDQEGGGLTSPPPLIPLQDREETGIV ASSSSSPVGGSTRRPKKQVKNLFSTIQWSSPSKKQKVDL H257_05499 MVQLRALVADRSTESTGLLLLQNVMRLIQITQPPSRGTPRVPHM LKADYSGMNPVFGSLHHALFPTTLLSSFAAIVTRANDTNRLLVLEMLHHLVLFQNGDL LRVYMADERGCCDLSIPFSLSWEPHQSLLLAILVAFVQAEAARGGVLDVLKHLFHVVP GRDDKFLHMLYHGNYMHWWVHLLGLPDQTGNLYELHASVWEVLTMCVSHHGYRIKYLL AKIPIAAYATDALGSGNKLRVLHVAAFLRACVLRNETFYSNVVATPAIWDAIVDLLHV RPRNPSAVVSALLEMIMSAEAHNCRLIMQHIVTHAKVTLLEAAYPGVITSIKAKLSDG QPEVHNGLTIQEEERYWAQEEQTMVVVVDEVDDKDDTTGVVVVAAEEDQEGGGLTSPP PLIPLQDREETGIVASSSSSPVGGSTRRPKKQVKNLFSTIQWSSPSKKQKVDL H257_05499 MVQLRALVADRSTESTGLLLLQNVMRLIQITQPPSRGTPRVPHM LKADYSGMNPVFGSLHHALFPTTLLSSFAAIVTRANDTNRLLVLEMLHHLVLFQNGDL LRVYMADERGCCDLSIPFSLSWEPHQSLLLAILVAFVQAEAARGGVLDVLKHLFHVVP GRDDKFLHMLYHGNYMHWWVHLLGLPDQTGNLYELHASVWEVLTMCVSHHGYRIKYLL AKIPIAAYATDALGSGNKLRVLHVAAFLRACVLRNETFYSNVVATPAIWDAIVDLLHV RPRNPSAVVSALLEMIMSAEAHNCRLIMQHIVTHAKVTLLEAAYPGVITSIKAKLSDG QPEVHNGLTIQEEERYWAQEEQTMVVVVDEVDDKDDTTGVVVVAAEEDQEGGGLTSPP PLIPLQDREETGIVASSSSSPVGGSTRRPKKQVKNLFSTIQWSSPSKKQKVDL H257_05500 MADVFAQFTSTPLKLKAAAAEHAKPSPWNSTAKYTPSKRPLEVS VMTSTAKPTPSKRPLEDSVLSYTTPLKHSRVTSSGTPRVPPSSARKVATPSTIGRQGR SSSAQINARPRAAAKEGAGPAVETRGGVQPPRPVDLVATAPRENPNLVTSLRTTRPAV ATRPTRSQPSRITRRTLPKGPPPPPPIQASTSFKRRPPPTTPSTDHSSQHKRPSQPLP SVQPSSTSFRSSTDHTATSKPSFPRHRAPSSLTQRTSKPSISSRLVQSKLPPPPLSAT RFPSEAATTRPALTRKTTLAAKQASGHAMSMRQRRQPSTTSQATTANKNRSGFLLKPD VPATTPPAVVSPHQPRSRGSVLEQLGPPPPACDTVSPNPILPAATTALRSSPTTRTLG SSSTSTTTSTTTTIPLSQVEVEQFQADLVASNTVAGRVFASCWAHGLDLSVFPWQLHL FMPLVDCERLCSHSPPTNGPTNEPTNEPTTTRRRKAVSFQFYDLSVVELQYIGERGTT QRIETILYQCERVANKLSKLSPSQLHHIQSTLLQVSNHPSELRAAAAYFGRVMQNPPA LVLNHISQLSNSLARHYLRVIFLYFDDRLAEDKTSTVTLPRHYAHQDLGAAIHRALAD RFLYRTSQTTPQERYYYATSVEFLYRAIRLVQHTTKDAYLTSLVRKLQIVCVRLVQWD CIDEAHLFPLASVSSFETLLRNELTNVDMKKVRREYVVPCSPMKSLALPDQESTPLKE KYRSASSCFECKETWNDLATTVQCAACVKRFHLKCLYLSPSFRNLSGTYKCPHCL H257_05500 MADVFAQFTSTPLKLKAAAAEHAKPSPWNSTAKYTPSKRPLEVS VMTSTAKPTPSKRPLEDSVLSYTTPLKHSRVTSSGTPRVPPSSARKVATPSTIGRQGR SSSAQINARPRAAAKEGAGPAVETRGGVQPPRPVDLVATAPRENPNLVTSLRTTRPAV ATRPTRSQPSRITRRTLPKGPPPPPPIQASTSFKRRPPPTTPSTDHSSQHKRPSQPLP SVQPSSTSFRSSTDHTATSKPSFPRHRAPSSLTQRTSKPSISSRLVQSKLPPPPLSAT RFPSEAATTRPALTRKTTLAAKQASGHAMSMRQRRQPSTTSQATTANKNRSGFLLKPD VPATTPPAVVSPHQPRSRGSVLEQLGPPPPACDTVSPNPILPAATTALRSSPTTRTLG SSSTSTTTSTTTTIPLSQVEVEQFQADLVASNTVAGRVFASCWAHGLDLSVFPWQLHL FMPLVDCERLCSHSPPTNGPTNEPTNEPTTTRRRKAVSFQFYDLSVVELQYIGERGTT QRIETILYQCERVANKLSKLSPSQLHHIQSTLLQVSNHPSELRAAAAYFGRVMQNPPA LVLNHISQLSNSLARHYLRVIFLYFDDRLAEDKTSTVTLPRHYAHQDLGAAIHRALAD RFLYRTSQTTPQERYYYATSVEFLYRAIRLVQHTTKDAYLTSLVRKLQIVCVRLVQWD CIDEAHLFPLASVSSFETLLRNELTNVDMKKVRREYVVPCSPMKSLALPDQESTPLKE KYRSASSCFECKETWNDLATTVQCAACVKRFHLKYYQLTTN H257_05501 MHRVSDQLEDRYPYKSGFREEHDATEVSRAYGSHDIGRYCQLLS LKEDLADEARIKALSGLADLLANQENKAKAVAAGVIPSMSRLMTVSNPDIRLGSAAVL AAIAWDADGVVAMDKDPTLLFNLNSLLFDAQPTCVEMASKAFVNLTTCRDSIPIVLSR SYIPEKLVAMASCGDDTLTTATVLNLYQTFGQLTKSHAGAQALAQVKVVPALVRVLQK PLSFPSKLLRHVVLAVWNLATHNAGKLEAIKANVVELTIKVLSGAQKGVFHSLDSQSK LDLIRIASGALMALSTAELAKPKLLATGIDVLVGCLLVNESKQNAIQALNYMCEDRSG LLPVVALLLDHPTQLLVQVFSIRATPALNTLLEQQLKSPQLILQAILAMVAQDGGVDE VTQCLNMLDNVTKLCQINADAPQVPALATQIIKAIAEHDDKARARVERALERHKIAPA FVHAVL H257_05502 MLRWLVQYWTVTLGAKSTEELDAEHYLFVVATGLHSVASVNCVA FNRWYLLLASCLAMLAAGTLLAFSTLYDALDVYMYGSQTKTSVTVLYQAYIWMGLSAA ISGPFIERRGPRIGMTVATMLLAVGFTLAQLAVTTKAQLFLTIGYGFFCGGGFGMAIV STMTASQKWFPDIRGLTAGLCMCSFGAGTVAGANVYKAFLNRGGFVQVVTNVRNIPHV FWTTGLVIVLTMIVVTLVIRTPPVSFTVNGHDIHGVPESKAPDPNLVQDEYLQLGELD GTDVHYFQQVKALSLVQCICSTDFFCMYIAFAASIIPAMVFSSEFVAINTKVFGQSVD VTNSSKVQGLIVNLAGQLTIPVISDLIIRVCYANPAYARKIVFFFILSAQLGSMAALS SENMTFESVRSLSFTYVFGSGGSMALIQCFVTDMFGVYHAGTMYGLVFTCWSLRSAIV GYGFADFQVTRVSFRNQMQWMLVVVAIGWVALLFVRTNSVDRFFYGYKYSVCGKVMVQ IAFHRHETTNDKDHDDSLTILAPPKVSPASNDFVLWNGYDHVRTSGVQHPQARQDTSD NA H257_05503 MTLAAYWKLIVPTKTLAELDADKWLFVASFGTSTYYSLPGLRFS RWGLLLVCCLANLCAGSLLAFVALHDGLDMYFYGSQTNQSVTIQLQTYVWMGLTAAFS GPLVETQGPRLGMMVGTILVAVGFFVAQLAVGAYSPLFLTIGYAGFVGGGFGFVVIAT MSATQKWFPDLRGFVSGLTMCAFGLGNAMWTIVYTRFLNRGGIFNPVTDVSNIPHVFW SNGVVIVLVLVATSLVIRTPSVGFTVNGHDMHCVPQNKAPDPKHIEDEFLKIGMTLVN YSVVHRGELDGTDVFYFQHVKALSLVQCILSSDFACMYIAFAATIIPAQVLSTELVDI STGIYRTSIETTNSLMTTGVVVNSAGRLLVPLVSDAIIRVFYANPAFARKAVLTLVLT YQLVFLLVVPKAPMSFGAFQLFGLSFVFASGGSMAIIQCFVTDMFGVYHAGTMYGLLL TCWSLRAIVVGYAFSSFQVTAAATFQVQIQWMLVMLAVGWVALLFVRTNSMDRFFHGY QLSICGHVVFQYAFNGASSETFDDRDVVTILAPGNTHSLSDNDFMLWSSHTSPSAPQP QSPYTITMVHLDLSTVAVDQHPREVD H257_05504 MEGYNPSPSFPRSNVLPSNQPPSGGNSTNNQASSSSSSVPMAPK PTTSNQPSSSGVQVPSLGAPQSLLNQHMSHLSQSHTHPHPLHGGHSLPHQHSLHHPLP LPRQPQSSHITLAAMPQSRPGGVPNRGLPPPPFSSGPMQMNTPHQREYPPYFTDISRP APQQQQQQQQQQQQQQQQQQQQSSSSSSSTAQQASAPPPSVAVQSTHLNINNPQIGPP RPIRELRVEDALLYLDQVKQQFGDQPDVYNQFLDVMKEFKSQTIDTPGVIQRVSELFK GHPNLIFGFNTFLPPGYRIRPETLDLYTVSQPAPSSATPLQARPNGPPPTSSSTNNNG TLPPLSSSSTSSMSLLRPPPVSIPPSDAALASSNQPAAPPLSSKKQPSSKQAAIPAGA KGGGGPQPVEFDHAILYVTTIKKRFADEPETYKAFLEILHTYQKEQGSIKQVLDQVSF LFRDHPDLLREFTFFLPDAVQEQAKERLNKAAEKAQLRQAKLLASTSSSHPAKCDTVK KKSVKKELKKDLLKDAIDDKDLNQGSGRRSKQVQKERGRNKATYDGLVKQRKHKMDTP PQRRDLLATTQTLMDPSEWHMFEKIKKVLPSRDSWREFLKCLELYAQEIVGRDDLVAM VQNLLGRHSDVVAEFTALLHTHGQAKDDSGGEIWPFIPLAETDLSQCRRATPSYRALP ASYPLPPCSFRSPMERHVCNDAWVSVPTGSEDFSFKSMRKNQYEEALFKCEDERFEID MVIDANAATIAILAPLAKELAVLSSDGRWNYVLDKHTLRVTHLNAISRIYGEAGHQML ELLAKHPAGAIPVIFKRLQQKDDEWRRARQDLNKQWKDVNEKNYHKSLDHSSFYFKQK DKKALSAKHLLSEAKKTLDAKDSAIFTLPAAKIHKDAFGLLTYAAEKIAGDKDKISKI WTQFFFPFFQLDESWLKRKPVRSTVSVAASKSKSLVPGTEVVSDFGEGVVKAVDTNRG IATVQLPVGIAYIHVESLTIQEAADFPPNVVVSDDRDSAPVSRGFFSEAAYVFVRLYH VLYSRLEQARELCEKAKRNRNRRTINPAAAMLAHAHHVAEVDTQTGDYEAFMSKLYAL IDQSIESPRYEDCCRSLMGSSSYFLFTMDKLVTLLLKQMQHMATDDACQKLAALYQSQ LESPLEKGAYFTAAKPIFDDEGEGAFWMEFASGVLRKTPLASPSNNPGVDKKATTQLW APSPRTKHTEPSKWLVEPHLSVEYLGSVEDDSNKDDDATLSEEDESGLSEEDVKSSKK RPRDEPQDDSSPPPPPPEDTDESAPKRKKE H257_05505 MASFQVQFTVGGLSAKADAFVVVYLNGAPFGRTETIRNLANPSF LQSFKLDAPVDGEMLKIEVYDEEKATSKNLKDQQLLGVVEVSVRQLVENLAQLTTLRT TGGDARGLFKKEKPPLNVYVQTEIINPTSDVLAMQWSAKDLTNLDGLFNKSDPVLFVS RMVQDGSYLPAFRTEVVDGNLNPVWEKLNVTLQRLANGDLDRPLLAQVFDMDNGEKKR QLIGQVQTTARALLDLPTLYLQSAAGKPAGSLRPLHMRLQQSPPFVGFAPGACQIQAI QGLVAPPPPPLQDKLSQGYEYASPVPTIADKLSQGVAAPSVLPVATVVPELAPLAVPV PSTGEVVSSPVATQVNWLEKVHSADGGDDTTVVFINSTPRAVDAVWVAYEGEETWYQR IEPGHRYEQPTISRHAWKLAFADTGEPLAYVVAPPTPSVVDVHGVNQLVIGANLVPTR PQDLDDSVPTVLVFRNRTPGVLSLRWVDTDQSETQYAVLQPGDSYRQDTYSNHVWKAA YVASDSGVAFVTAPREPTHVDIEGFNQRVKCNTYVLPSTDGRDILQMLGKCSGFTE H257_05505 MASFQVQFTVGGLSAKADAFVVVYLNGAPFGRTETIRNLANPSF LQSFKLDAPVDGEMLKIEVYDEEKATSKNLKDQQLLGVVEVSVRQLVENLAQLTTLRT TGGDARGLFKKEKPPLNVYVQTEIINPTSDVLAMQWSAKDLTNLDGLFNKSDPVLFVS RMVQDGSYLPAFRTEVVDGNLNPVWEKLNVTLQRLANGDLDRPLLAQVFDMDNGEKKR QLIGQVQTTARALLDLPTLYLQSAAGKPAGSLRPLHMRLQQSPPFVGFAPGACQIQAI QGLVAPPPPPLQDKLSQGYEYASPVPTIADKLSQGVAAPSVLPVATVVPELAPLAVPV PSTGEVVSSPVATQVNWLEKVHSADGGDDTTVVFINSTPRAVDAVWVAYEGEETWYQR IEPGHRYEQPTISRHAWKLAFADTGEPLAYVVAPPTPSVVDVHGVNQLVIGANLVPTR PQDLDDSVPTVLVFRNRTPGVLSLRWVDTDQSETQYAVLQPGDSYRQDTYSNHVWKAA YVASDSGVAFVTAPREPTHVDIEGFNQVRLTRL H257_05505 MASFQVQFTVGGLSAKADAFVVVYLNGAPFGRTETIRNLANPSF LQSFKLDAPVDGEMLKIEVYDEEKATSKNLKDQQLLGVVEVSVRQLVENLAQLTTLRT TGGDARGLFKKEKPPLNVYVQTEIINPTSDVLAMQWSAKDLTNLDGLFNKSDPVLFVS RMVQDGSYLPAFRTEVVDGNLNPVWEKLNVTLQRLANGDLDRPLLAQVFDMDNGEKKR QLIGQVQTTARALLDLPTLYLQSAAGKPAGSLRPLHMRLQQSPPFVGFAPGACQIQAI QGLVAPPPPPLQDKLSQGYEYASPVPTIADKLSQGVAAPSVLPVATVVPELAPLAVPV PSTGEVVSSPVATQGLLPSWHPESTGWKRSTRQMAATTRRWCLSTALLAPSMRCGSHT KAKRHGTSASSPATGTSSRPSPVTRGSSRLPTRASPWRTSWRPRPRRSWTCTASTNS H257_05506 MSVHDGLQATKSQVYDGPQTSQPRREPKQEDAPSYLHQVKHEFA DRPEVFKNFLGIMKQFKTETIDTSQVIRQISELFRGHPHLILGFNAFLPSDQRIQPNS LDLHPLSSAIDQTLPPPAITPNATSTPSQQIRPQNQGQLYLDQVKHQLTDQPELFKQF LSLMKAFQADTLNTRDVVRRIEVLFHGHPDLVTGFLTFLPPGDPVLEQVASTHHTQTT H257_05506 MTVYKQRSLKSTTGLRHRNLAVSPSRKMRPRTCIRIDTSQVIRQ ISELFRGHPHLILGFNAFLPSDQRIQPNSLDLHPLSSAIDQTLPPPAITPNATSTPSQ QIRPQNQGQLYLDQVKHQLTDQPELFKQFLSLMKAFQADTLNTRDVVRRIEVLFHGHP DLVTGFLTFLPPGDPVLEQVASTHHTQTT H257_05506 MSVHDGLQATKSQVYDGPQTSQPRREPKQEDAPSYLHQVKHEFA DRPEVFKNFLGIMKQFKTETIDTSQVIRQISELFRGHPHLILGFNAFLPSDQRIQPNS LDLHPLSSAIDQTLPPPAITPNATSTPSQQIRPQNQGQLYLDQVPKECRPRRPHVYIR SSIN H257_05507 MAALAVLRHADVVPLMGQFQGGSYQDMHELLAYVRESGRVLSYL TQKVTMSYYNFQLATAIGHFHHLFTPWLSVHGYSRLPRLLSSSSDMAAFVVVSSIYFG DVGLVEWACQHRCHFPTSYPLVDIAAWAAQLDILRLLGNHPGTTNAMDVASRDGHLVI VTYLNQHRQEGCTKAAINLAARFNHIDVVAYLQSHRSEGCSSDSLVWAATHGHLTMVQ FLLRHYYDTVSSSLAMDAASQHGHLDVVKFLHAHGQTCTTDAVDYACLNGHLGIVKFL LHHRHEGATTRAMSYAAASGHLDLVTFLRQRNVPANVKMAVTNARKNGHFHVATYLCQ SAPHVEFELGTLALNV H257_05508 MASVIEWLNGGRAEDKMSAAARLTQQRHLKYRAGKKARALELLQ TKDALEATVQSLQDRARSRKPTSLLSWKSISVALRDSRDDAHRQNRDLRAQIHALQRL MSELGAWVDMTKELNPSCPTWRDTSLPQHPESRRMAKAWITQRMLHSTDAMFQQHGFP AWDAPQEVKDVWCDEDFTFSDMGIVCRSRRNYIDQQSLEDAISFIQNHCLAAVLILAP KDTITYETQETSTQLNTVVTPTGEFVNILCAAFHQGPDRCTLVVRHIVQDDLHAHPSG CRQRSRTMWFDFLRVRGGCTRKRVLTFTSQCHFTGEFDLEAEANTLGLSLTNCPDHLK ESRFRLHVVQMTQSLRSQVQRDHFHATK H257_05510 MAIKETVTAVEFVSKLEHCISILSPIDKWIKIFQSDRVPVSEVL NLHESKYIVAAIKTRWDFVYDDAHGVGYLLDPRFVGGGIDSMEFKEDVVDFVVTYAPS DTPPSTNSLQAWPLLRERALRVLNLVASSAASERNFSMHGFIQSKKRNRLSPSTVTKR MYITTKDNLDDEVGGKDYSSDEYTNSE H257_05511 MAGYVDLLGPPTSGASDEKKDNLPAPPPPSTPPSPHDDLPVPPS TDDAPLPHAMILLPSLRSQPHNPLPPQGGRKLIGRPHSDIWAWFTDASSPQRTNSAMC KHCGNNMFYYKKSKQVSHHLNNCEKFRPTMMALDPHERPTWFAVSTKRQKQAKALSSM KAPTGISFGHPSSAPVKTLQECMRRYALPKLSQVDLEAIKKDVAMHFYITDTSFHRVA SFT H257_05512 MRVHDVFNVDRLKQYQPNEAKFASRPIPKATPVVLDESTGEEMY IVEKLLKKRQFNRKLEVVFLANATMHALSLIVLPRPLEMTEGKPHLDGRARASTERPT VRGAEQIEHRIDYTMSDTEAKPSSRKSRSPEREDDPVRQAP H257_05513 MFDFGKSHGDVTEVKWVTWFMEAHDEAPAELAALMKCLQVAVQF DTKILDADIRVSRMLDNLMKILEAYSQEWVLHQEAKLMTCFVNVKRLLQSSIGYQLYG GMDEEKPSKPPKEKLAASTTFQPGPDEPPERPMPKCLKYQSTAHGVREHPSITDAEVT LMDDFHQACHRSVNFLSSTTPANSMECQASIENVITLPQALLDSGSDETLVSEGLLVA LERRLGATLRQSQEATVTLETSIAHWSCMDLERGSVESMAEAGDASQTSANPEDALYA EDVACAFSIVEAIQLGLSPAQEVELWRILNDHADLFRLEFGQDLPMWCSFMTPFGAYT PTRILMGQTDAVAYYQSVVNQMFVELFYAGVLGSLDDLLGCADNSDSLFVLLVKVLLS ICRKVLLKLHPKKCFFFLKAMWCGKVISAEGISHSPDRVEGLCALETPTSGADLQQFV CATNWMRSSIPSYSEMIDPLRGVLDLAAKAAGGPKKMALARIKSAAVGSASAHDACFA AINDMSRKMVPLSHPSADMVICLYTDASDTHWGAACTQIPPEDLELPVDQQRHEPLEA FAIVESCKRLEYLQLRQQGFRLFTDHRSLLYIFNTLSYNSNMAQYQANNLKRWTMVMT MTTFSYIIESVAGGANVRLGLLRRWDAAPLPAAVARVRQLVELVVVSPLQDKDFAWLT PAKILGIKKAAWKAAVEDVPNIWIPESAVDLQQRICIIAHQGVAGHRGVDVTTQGGAA LHTERPNEFIHFDWLQLPPAANGWNYVLVIQDDMSGFSSGPFGNGRREKHGKRLDGLN EVVGKIKRMIV H257_05515 MADGGYVGDTDSMSMAAREFKRRKLLQARSNYLDCRFASTDVEH ERDIGLGALSGYRVLLSLAVATVVQQVRPGASPSPTPTNDVDPNSSVFLWGGMLHMLP EFYMAKQGSPPCPSASALELAASFPVDNGLLDPIRTTTSKNVLDGTDNYMCRWPPDLS GKWSRPQTLGPTANGNQRAAET H257_05516 MLARLTLALAFLMTASGQRPTKDECAGLRTCTRELDPVCGSDGV TYFNPCAFKFSQCDKHDLTLRARGQCDPTQVKTEECARVYGSCTREHNPQCGSDGVTY GNPCHFNRAKCDNPGLTIHASGRCDPPQVKTEECARVYGSCTREHNPQCGSDGVTYGN PCHFNRAKCDNPGLTIHASGRCDPTEC H257_05517 MKLTRHELGQVEGELQRRRPAARVPPHQARVTHGHARVSGSVLR HVLIGDLLVEINGRDTVMMSVK H257_05518 MDSHARSLPGPSRHKNSWRRFGRNLLKTSTSRSVASPQASSSTW MRRA H257_05519 MPAPAHRSYGEALLNCGGAFSAVPDITLFVAGVSIQHMLLHAAF TPVMSATEIPMLMVGAADVGKVEQGIPKDDPRNPSVIADLSVAAEIIGAISLDKEGAI ESPVSFVSSIGILCVTEPGPTESDPMTTLQSRYSITFLLALAGLDEGKKRKVSEIAED ADDSVEEAKAPAQQVEVVDLTAESDDDGGVAPRGRNGAVQGRLGGQGNREVRTAWTAG AASGCRLGEERHASSVQKLLKQHLAREEAAKKAKAAEELKAKARRAEEAKAIAAAAAK AADEAAKEAAEAASTGASGGVTGTSSGNGGHPNAADFGGKEGKPSGKEAAVKTETKVE AELSGPERTGLARDEVFERELGFHWDCSRIFRPAGWGGVDLGYVRDVTRRLTVVGEFD ENREDWGYQKANAAGVERYLRFCKRPILARVSFHRFDEFMGAMRELHERERLER H257_05520 MARTAPSTSIIPYSGAILDFSPQSFAAWKPQCQNDGSSIGIMRY YVDSAYVPPTLKSDAFTKDKEALDYDRMTNPDTYSLDNYEDAGLAARKAIVAKAKSDT LRTVTTVTLEEMTRWLGQT H257_05521 MTPQQVHDFYWEQFHSIYLCNAFVEESTIWKSLCKMQMKAKNAG KVWSLAVLQRTITEIIQVDLKHHQMLDQHGSADSTTRLQHLAAPAYPSSAPSAPTAFV AHSLTLPSWAHADYRLNPGKSCFYCGQPGHAMDSCDEIVYDYNRNTPRPHVPTNIFKK HGGRKSTSSPAIQDDHAQHHRASRRRDDDDSNDRSRYPPRDSNQPARTSTTDQSRRNQ DTNNRSTRANSRRRASADGHASRATLHLGHPTPCILRVNPASPPLVKTTSTIQCYLGL PKSHFQHCSHNRDTFTAPVVYDNHCFLNQAASQLYFLSLSAAVFVRASFSSSSLNEHD TVEEFFDSTAPPVANPADAWRAGLAPTHDHFAFHVGLVDSSLSLKVSYDESSAPTVYK SDSCPQLSQDRIIDSGATVSCTPHKSYFRLSKFRSCSMTLTVGDGGQLPILGYGPVDL TVLSRNITKGPDHHSEPHFLSLPFGLYCPNLKINLLSVRHAVSSGYQVKFDHPEQCLF ILDKAYYFRAAVNILGLYSFSATGVPPGSPLPPRVTLTTRAMFDGFKAFLMANPVSPP LPSHPPSLHSTAFTLSAHFPSHHQALNAFPTTLPPSTLLATLVCPTMPFFDDGTNVLA TPVPPSSALSLPTTPNSPAIAHGTSNPSTATSVIYERFRLHATSIFKRDIDTIRYAAP SDIGNLQADNAKVFEKLGRLVLAKYHTKTTFSNAYSPS H257_05522 MSGLYLVVLATHSKLVVLAVAVCSGLGTLALPPSRRSSRPTCQS SEETEQADGWQRRKKH H257_05523 MLARLTLVLVFLMTASGQRPTNDKCSPSCTLDFNPVCGSDGVTH FNPCTFEFAQCNNSNLTLHARGECDPAFVKKVVCAGDRVCTHEFKPVCGSDGVTYDNR CTFKFAQCDNPNLTLHARGECNPAYVKKIVCAGDRVCTRELDPVCGSDDVTYFNPCTF KFAQCNNPNLTLHARGRCDATKC H257_05525 MLSTVKKRISSLPVVPAILMSAIESAKRLAARAAVDEFVKDGDV VGIGSGSTVVYAAERLGERVANEGLRISSIPSSFQASQLISQHKLNLTNFDSHPVIDI AIDGADEVDTALNCIKGGGGCHLQEKIVIFNAKKFVIVADYRKQSTYLGEQWTQGVPI EVVPLAYVPLIRKLKELHGAPVLRMAKAKAGPVVTDNGNLILDTHFGILQDPASLEKT LKMLPGVVEVGLFVQLASKAFFGQADGSVKVVVPQ H257_05526 MKPTKEVSLAPIHVVLWAIFPLLFLTILESLSYGLLVPVLPIAT TEYFAREHNNGVPIDCVKFSNVTACVQGSKEANIWSSATSSLGSLISFIITPLVGQGS DIYGRKPFLVAAQVLHVVYPFTIMLFCIYNHDIHIYFIVKFVYNSFLTGSVVAASVAD TISPHNRTTAYGGLFAIQSVFFSLAIALTEYLNTFTILVLSAVFYILRVLWCVLAFNE TLSMSCRAPSYTGINPFRAMTILLKTSLFRRLSVVIALSTFAGAGLMSFRLFFFNTDL GFNKDENASFLLAVGISSMLSQGILLPLLIRLVREKGVVAISMLSYAVMSGLYLVVLA THSKLVVLVVAVCSGLGDIGFAAISSLKSTHVSEQEQGRVQGALYAVRALASAIGPLA YSALYSAGQGKGAPFELSLALYAVATAVSCFLSSSDHSDHLVPVAVTLDLSVDSPRQG PLAPLLGDDDDAYDDDI H257_05526 MKPTKEVSLAPIHVVLWAIFPLLFLTILESLSYGLLVPVLPIAT TEYFAREHNNGVPIDCVKFSNVTACVQGSKEANIWSSATSSLGSLISFIITPLVGQGS DIYGRKPFLVAAQVLHVVYPFTIMLFCIYNHDIHIYFIVKFVYNSFLTGSVVAASVAD TISPHNRTTAYGGLFAIQSVFFSLAIALTEYLNTFTILVLSAVFYILRVLWCVLAFNE TLSMSCRAPSYTGINPFRAMTILLKTSLFRRLSVVIALSTFAGAGLMSFRLFFFNTDL GFNKDENASFLLAVGISSMLSQGILLPLLIRLVREKGVVAISMLSYAVMSGLYLVVLA THSKLVVLVVAVCSGLGDIGFAAISSLKSTHVSEQVG H257_05527 MEQIQAQMAALKRQREEAKALALGDAPASKKKYLRRGEIEAALD EKERIEAAAAAAASAAELAAKALHIEANSTTSASTASSNEQTKSNASSSSSAEVKGSD TSTTQQGDATGQLNLSLSDIKKRLRNLGHPVTLFGETAQDRANRLESLIHATEGGEME IQADKDDKEVETEENKDERSDEMLVYRYFKTMLSQWELALGQRPETVKRTAQGKIATR TMKQCKDYIRPLFRLCKHHEVPEDILRNLLDIVRFCEGGEFVQANDAYIKMAIGNAAW PIGVTMVGIHERTGREKINSNKQAHVMNNELQRKYLTSVKRLISYAQSISNALPSKKV L H257_05528 MAEELSKTERRRAAKAKKKATINMEKQKRQDKREEMKQRRRDQK MQPKGGFNEDGCWLCGASDHRKQDCTEGNSNKSCFHCRKKGHDINSCPQRKGEANDLC FNCGESGHSLWKCPKPKVGDGTSFAQCFVCQGTGHLSSKCPLSEKGIYPKGGSCKVCQ SKMHLAKDCPHKDGPQNTKKVFADDDVDDSMTGTASLEYGGDALDDIDIIEDNDDNEI KAPPKSTQRKKVVKF H257_05529 MSMWRILVAAVASAQATVAPGHFLFMPTAYSQTSVWGSVSVLVQ RGLGADGTASVAVSSRDGSALQSIHYIPIVNQTLGWSDGDSNPVEVQVRFAATPTNQD VTFFLDLHDATTSIYMPQATAEITIRATNVYPGDITFSANSTSFTIPPTWNPQTPYPL SIPVHRLHGAFGHVQVPYDVVVTATSTATAGEDFNFVKGLPFARFMSWSDSDHGPKYI DLLWLNQAPYRQDLTFTLQLFAPTGQALLGTISTVDITVQGNHLGVPAGVLQLNAPCF PSCAADVYSVQAGSAVRIYVARQEGTVGAIAVSYLCVSLIPGQPQTGTLTWADGDAAE KSFVLATAATSPTGTPHLVVLQAPTNGATLSTTAATTIVTVLPLEPYAGGVVDFVSIT TEERLLRLSPVTSDLLQLLPEVDYWDSRIGLAKPVQVTAPGSFSVLVQRSRGSVGAAT VYVQTIDGTAIGGIDFVAQTSALQWQDGDTRPVSVTVTILAPPYTALNPSRAFSLVLT TPTNTRLGAFAQLPLVIRGAMQTPRLVSSVLNMSAKTLTLTFSHPIASAAVTLLSILN PQTNTKITLTSDSLVVPVSPTTVVQIQVGANDFVRLQQAPTVATTAATAALSFEPGFA NYDNLQCKSTRIQGCWQPLMLPQSPILVTTFVADVVGPSLSQFTYDQQYIQLRFSKVM DRSTFQFMHLCDSLVATNCIGLSAPSRLIPRGEATTTTLPFPVDETLWIVWVSPSTVA QLNAAGIGLTRGTSFVSLPPGLRDIQGNAFQGPTVQQAATADCSACPAGSYGSRTCTD TADRVCSPCTVCGTGFFAATACSATRDTACLRCRPCHFGLYASTHCSPTQNRVCSACT KCTNDQYEFSPCTTEADRVCLTCDSCALTWQQEQVCKKSVAFERRKRSPYGCPAVMAY PTEEARLQAAKSNACGAGRCSCTGTGVGNANPNGFSYPTDPRCTGPSNYGIIL H257_05529 MSMWRILVAAVASAQATVAPGHFLFMPTAYSQTSVWGSVSVLVQ RGLGADGTASVAVSSRDGSALQSIHYIPIVNQTLGWSDGDSNPVEVQVRFAATPTNQD VTFFLDLHDATTSIYMPQATAEITIRATNVYPGDITFSANSTSFTIPPTWNPQTPYPL SIPVHRLHGAFGHVQVPYDVVVTATSTATAGEDFNFVKGLPFARFMSWSDSDHGPKYI DLLWLNQAPYRQDLTFTLQLFAPTGQALLGTISTVDITVQGNHLGVPAGVLQLNAPCF PSCAADVYSVQAGSAVRIYVARQEGTVGAIAVSYLCVSLIPGQPQTGTLTWADGDAAE KSFVLATAATSPTGTPHLVVLQAPTNGATLSTTAATTIVTVLPLEPYAGGVVDFVSIT TEERLLRLSPVTSDLLQLLPEVDYWDSRIGLAKPVQVTAPGSFSVLVQRSRGSVGAAT VYVQTIDGTAIGGIDFVAQTSALQWQDGDTRPVSVTVTILAPPYTALNPSRAFSLVLT TPTNTRLGAFAQLPLVIRGAMQTPRLVSSVLNMSAKTLTLTFSHPIASAAVTLLSILN PQTNTKITLTSDSLVVPVSPTTVVQIQVGANDFVRLQQAPTVATTAATAALSFEPGFA NYDNLQCKSTRIQGCWQPLMLPQSPILVTTFVADVVGPSLSQFTYDQQYIQLRFSKVM DRSTFQFMHLCDSLVATNCIGLSAPSRLIPRGEATTTTLPFPVDETLWIVWVSPSTVA QLNAAGIGLTRGTSFVSLPPGLRDIQGNAFQGPTVQQAATADCSACPAGSYGSRTCTD TADRVCSPCTVCGTGFFAATACSATRDTACLRKISTGGTYYV H257_05530 MAAQLRVPIQLRHAATRTFSSRPVARAWSSPKQPTVSTTVKPVP VPPGRKTWDKELKAWVPYVDKSATTNNAASSTPPFRKGIPTKSSPRQPWLKPSAVIDP PRFKLFGKGGEIQVDSNAAAGRGHPPPKQQQQQQKKSFKFEKSLFSKDYFPDVSWNSS AASTTADHKSHRQRYQQRNNATDRHPKNKFRDRKRSNVTSSSLPQREMKVEIPDTITV EDLADRMCIKSHLLLRTLRTLGERDLNETSELSATVAELAVEDLGMIPILVQGFVDIK PTDVPADCAAFPARPPIVTVMGHVDHGKTTLLDALRNTTTTEHGGITQKIGSFTVPLD DKSIVFFDTPGHAAFSTMRAQGCSLTDILVVVIAADDGIQPQTKEVLRLAIDQSVPLI VAVTKCDRFPGQEDEIIARITKEVQMEGIHDQDMQLVCVSGKTGQGLDDLKQAILLQA DIMELAADTSKPGEGVVVEGSVVRGWGVTVDAMVTWGTLRVGQLVVCGLEFGKIKALI DENGISVQFATPGTPVRVVGLKDLPKTGQTILPVETEAAAKEIVAERARILEQVAIKE AEAAAARLREGEAAHVPIGRRGKGRQLELQRQERAKEEERMDALTPEDDGYVAKVVPV VLKANALGIITAIDHMIAELNAVSRECTLKCIYAGVGSVTTSDIDLAASTNATIFTFN TRQGALIEKDAQRKQVPIKAHNIIYALMDEIEMLVTSQMTHTDKEEPIGAAEVLEFIP INLKGRRKASIAGVRVTDGTLVMDAKYRIVRDGHVVAEDLSLESMKHFQDKISESAKG QECGIQFTDDDAAFKAGDVVQAYRMVKVRPKLLR H257_05530 MAAQLRVPIQLRHAATRTFSSRPVARAWSSPKQPTVSTTVKPVP VPPGRKTWDKELKAWVPYVDKSATTNNAASSTPPFRKGIPTKSSPRQPWLKPSAVIDP PRFKLFGKGGEIQVDSNAAAGRGHPPPKQQQQQQKKSFKFEKSLFSKDYFPDVSWNSS AASTTADHKSHRQRYQQRNNATDRHPKNKFRDRKRSNVTSSSLPQREMKVEIPDTITV EDLADRMCIKSHLLLRTLRTLGERDLNETSELSATVAELAVEDLGMIPILVQGFVDIK PTDVPADCAAFPARPPIVTVMGHVDHGKTTLLDALRNTTTTEHGGITQKIGSFTVPLD DKSIVFFDTPGHAAFSTMRAQGCSLTDILVVVIAADDGIQPQTKEVLRLAIDQSVPLI VAVTKCDRFPGQEDEIIARITKEVQMEGIHDQDMQLVCVSGKTGQGLDDLKQAILLQA DIMELAADTSKPGEGVVVEGSVVRGWGVTVDAMVTWGTLRVGQLVVCGLEFGKIKALI DENGISVQFATPGTPVRVVGLKDLPKTGQTILPVETEAAAKEIVAERARILEQVAIKE AEAAAARLREGEAAHVPIGRRGKGRQLELQRQERAKEEERMDALTPEDDGYVAKVVPV VLKANALGIITAIDHMIAELNAVSRECTLKCIYAGVGSVTTSDIDLAASTNATIFTFN TRQGALIEKDAQRT H257_05531 MMVVDEAAEPTYYFNTIQGDVKRVALQTLEQVLKDKTYHPVEAS TWVHEITHSCLHSLKGLADGAAGFKFLVNVTIVQKKNGGFHTNTSCFWNADTDGHVVV RYDSSTILALCSIYAISLL H257_05532 MCSRDTALIPPDTWALYHQLIHVHMHALASHVRIFHQLVDTYVA HVGEHPITGVRSVATCSLPKTKRSILAHSTPSNLPSAFTMTLLSQVCHRGGYIESPLL LEYALNQLERWQLETAEKVQITFVVYLTLQHHGHHGASSSSFSRFDQYYCLYESYLHV FLNWMRHAALEKLRTTELDELVRVLLLLVSAFPPLLHSRRRQTARLLRLIRNALPTT H257_05533 MPGVRGRPRLPANASLPAKKRVGLQRKRLTYEEKLRVIEYHAEH GMPSTMDHFYPNVVGLARESARKNVHTWIATRASIAARASDPIQASHRCSRPHGVSST LGTESEDRLVRWICELRSNGVPVTHSMLRDKALEEAESKGLSTDVFKAGESWIGSFKR RHGMDRYDHRRRSEHAENDEHDA H257_05534 MVSLVALLSIAASIVVADNSEDIKFPEAVEAEVAVNPKAHSAGW LPRPDQSLDVNFPVDSKIIDTSAAPCVVTLVKNHTYAHSYNKPYKGDFSPPSCYNDPE YSLVYLRYKANVDPGRQFDRIAGVWIGNHPVLRTTTQEPNKVIGPHWEIFREISQYRA LFGKNGNVTASLDNVVNDVYTSSFHVTITAEFYKKDPSQHVVQRVPYAPDQIVPIFKS NDTYPWFNVQPNTLGKNFNLVTFPKNLDGLYLELFTSHHGCDEFHYANPPDELKAALK ADCAGGSFREVQILLDNEIVGAVWPFPLIYTGGMSPALWRPIVSVGGFEAPTYIIDLT PYVAKVLDGKPHNVSFAVGHGLAYWPTNANLLVYQDHNVEKTVATLDRKVFDRNVVPN VAYNGTGRNQTVDTTATRQVNVKSTISNSRGIRKYNIKQKFTFVSHQEYSRNERLFKQ RSTTQTTTTVKFQDGTKTTKYYTEDYPLYGKLEYKPYTYGKSARNVILSSVDEVDIPP FRSPLIPPKYFTKNVTIQHDLRQKLKIHGNKDDFRVGVEGYELSIAQTAKSHTDSRVG TNATNQVAVAASNSTGCYSHDVHSNTGNYTKYVEANKCPRVNLYVDDIDDDDDDDDDN DDDDDNDDNDDDDDERDDDFDL H257_05535 MQRAKTLKSKTNHSKPYLTDMNTVQRMRHAALFLRPSPNRTVFD NMHSQVHVDEKWFFLTTVKKRYYAYDDEELAS H257_05536 MEVQQLVPPGATSLYHACRLRLYCEGEREVNENLKVQIAFGDVG FYVEDLRDLRLRDGVREVLIKWLGLDDLESSWKPALSIYEAVPVLFRRWAKARGNEDG VSEMFDDLTSACGHPM H257_05537 MQVRICVVAHAGVAGHRRVEATTASVAEMFDWPTLKTDGKNFVT ACLHCMVVDGESVPRPWGEELHATKPNELIHFDWLSLPEAPGGLKYVLVIKNDICGTW VSDSGSHFKNEQVSTLGRMFGVHHHFVTPHCPWANGTVEVVNQIVDRTLKTMCSEMRL QPGDWPGVLLIVQSALNQQSADRLDGSQAICHVTELVDALGVMHKHVAETAAAKRAKA WDRRDGQRSVKLSKFTLGDFVLVARALQHPGKLTLRWKGPFRVVKVVSDYLMEVQQLV PPGATSLHHACRLHLYCEGGREVNDDLKTQIAFGDEGFYVEDLRDLRLRDGVWEVLIK WLGLDDLESSWEPVLSIYEDVPVLFRRWTKARSNEDGVSEMVDDLTRPPNVGGEVLSA EPLNQNFWKASYGKCSVPRATTGRELTDDQRSAIYRRLLQLKKDGRVDKGDMKKLMLE FNVSQQTVSRIWKRGCDAAAATGCAKVSSMKKGG H257_05538 MTRRTTSTREAEDVDVPLSTKNVIARDAYVQQMQLHIRSTQCPH ILYTDESYIHHHYKCRNQDLYAPSDYMDIAAKEKRKDRRYCFIAAILDSPTLATADKA PKQYHSIFNHVDYVKWLKGLLMSWTEKVSPTPSL H257_05539 MEKLVADVADAIGGTLSPLQVFLALASVVSILLGSACYVISMYS SPIALLVFGFVGYSLLRRLVSACGILSPQPHIKVQAPNTIRFVCISDTYGKHEHVKLP PGDVLIHAGNFTRLGTVDEVERFNAWLGSQPYRRKLVVAGHHDNVHPIDWSKVLSHGD YLADSSVSIDGISVFGCASTSSQAIPTHTDVVVTHIPPFGILDKQTVDGIHIGSEALL KQILTTSRPKLHVFGRVREGYGQTIVGTTTFVNASSTTLCRQPANAPWVVDLPTPA H257_05540 MDKTFVEEEFMMAGMGGTNGSNSNMFGAFSMPPNNGMGNGGGLN MPMNMGAMQSMMYMGVPQMASMYNPSASNSVDELGSAAIEFPPELGGDPPKLTASSSL NSGGAMDPSAMMGMNSMMATGMNPHMYLNPGMQQMQYNPMFGGYPPQNLNMMQQQHQM MQQQQYLQFMQQNMINMNNNQQGGNNSNPGTSTSNNNMMANPTPQATPPPPAAPPSAS SGATTNAGGSWRSPSDDPQRKDVIGRIIRFLQMQKPNAPPDWIRRLPQMARKLEEALY RKASSKPEYNDMNTLQQRLHVVAQEFKNKDWRSADDNGIRQDLIHRIVMLLRAQNPNA TPDWINRLPHMAKKLEEMLYQKATSKAEYTDNARLKERLQVVATEIHKNNQKKAAKVP PDAPTTASALKMEALGMPAELRHPFLDIARAIASYLTPRTITEYNHKVLTMIQNIGQH RVVLMRQQQRLMQLKHACECHADKCAHPACAEMKPLWAHIQTCPESENCATPHCVSSK YVLAHYQQCMKSTCVVCASLNQPPPPQQQQQQQLNHLQQQQQQQMLQHHHQLQQFKQQ QQQQHQAVLAQQNQPLQMPRELKDELQVSPFASHAKLISSTLPENIIRDYHQKVDFMM NDSSQAQHQSILHRQQQRLLELRHASECKADKCAHPACAEMKPLWTHVVTCRQADTCP TPHCVSSKYVLAHYQHCMKPHCVVCLPLRDVKKTDGGGGGTADKIRQFTDKAKGAANM LTTRQIQDYHAKVLGMMHVKCVAQNQTILERQQQRLLHLRHALFCPADKCAAAYCAEM KKLWSHVMGCKKSESCAYAHCLSSKYVLSHFQQCTNPACVVCALVRDAHEMEKAMAED ARANMDKKDQPLAPANQPVKRGLESTETNQQPPSKKQALAVPSPPESCGTRSAKADNS QQQHSMIACPPELLKDEPDVLKDDEFAFMQDIDEELGGEVLEFDEW H257_05541 MTSSAWLVLAFVGIVVRDAQGFRIVNMTNVVSLDDVPPAVFPPY AKGFVGSIGDPASTFFREHINSGAVFNPEVPAQWGGVDVLQRCPGMVGPFSDGEYYCV GKEYGFCDQRSSTCWCNKGYQGLNCTQCIPEYFMQGGLCYPKQNCPGDCSGQGSCDYA TGTCMCNSYRLGLDCSQQSCHRFDPLCTTCNSAACLACSPGFYPTASSNRCQPCSTFD PRCISCDAHRCLTCGDFILNSVRRSGARDGIDPSTVPLEESTREFSYFSVYGSQEPQV FDEAEAYMVVPFIPPSMACSQGSSQDSSWSCDALPRSHIVCGHPGTLALSSPTYEVLE NASFILITVTRTGGGVGFVSVSYVVVHITTSDDDASATAFYTSSQRLHFAPGVTSVTF AMTVHDNFVRNAVLKQFKVVLRDPSVDASIGNQDSAVVTILDDDPPDPTFTAQVPPWG IAGAPLALTLATSSPSASKWVVVQAKLMRSYNEIVERLFLPFTTNGWANSWTPTMSGE YLMTFCTLFGTGLQGQYFANARLQGPPIATRVDRMVNFTWTTPGKELWWNSLQYGSAR WTGYLQARASELTTFRVNTTGMFRLWLDDELVIDAWVALESQTLGAVLLHQDQFYALI LEYRHGISSSSRLHLQWQSQSFPLETVQNSYVATVAATANVTIVPSEAVPKVLFQGNL SGVAGQDYSFSFVSLDSQGNMRQGEAAFRSELILNQAHVDVTLTYDRTSQRMMGLAQP YIAGLYSLQLTLNQVHVTGFPIDVVIAPSPVTGPRSDVFGSGLGVVTAASPATLTIAA RDLYGNAMTQGGGHFSVRAVSTPSAVVDLGVVVDHLDGTYTATYTPRLSGVYAVEILV NKLHVAQSPYILTVQPNQPYGPSCHYVSGTGLVAATTGLPSTFVLQLRDVNSNLISSS PATVTTVLVTSNLTLASSYCVNVLNGQFSCTYVPTVATSWMGLSVTVNSKPIKNSPFV VPVTTGAIAASTCVATGSGLVVSFAGQVTRVNVQANDIFGNHRMASDHLIGQILLVNG TLATNTSVVLVYVTSGLYELSYQVFQAGTYLLSIQTSSGQNIVNSPFTITIYPVAADI GHTTAYISTPPPFVAGSRLLAKIEPRDMYGNPVNQMYRFALSPPVISTVVVATATSYT VAVTPTTATIYPFQPQIFLPGGGNVSVFKTRDWTGPTVLFQTSMPLGANYGLKLPPFT DTMRTFSVLWQGYISALYSELYTFNVSASGCQVRMMLNTSQVANLSKAGRTSFSTSLT AFDMNYVEIWLSKPTDAGPTKYNLTWMSLSQPEQELPTSAIYSIWRVTSLTPTFAVYP SASYAANFELLLPPTSPWVAGTAVVLTVLAKDLYGNQRTQGGDSLHALITGFNPVNPI RFTVQDSQDHRNGTYSIRIVCFSSGNLSLTLSVGSLDCEQNCLQTLGLNPFPIVVAPA ALALESTVFTGAGLLAGVAGVPQTFTMILRDAFSNVILAPPPSNLVVVLIQDAATSVV TTWTFDSNAVTVSYIPILAGTYSIKLQVGASLSYTRVSSEPLLIRPNVASASTSQLSG AIGASVVPFTDTQSFTIVLYDAYSNPVGIGGDHLCVTLSGGGTADVIDALDGTYLVLL TLPSRGLFEVTTLLMEPQSAGLVAKYFANTTTFAPELRRVDPVVNLTSVDTPKIEWTG FLLGTFTELFQFDTIGCHLYIDNVSVEPGAKVLLIETHLHGIRVESTYGAPIVQLKYS SARTPAQVVPSSVLFPVAAEILPRLRFTGV H257_05542 MITANSDNVCKYSYKKCMNPRTTKRNGTLHSLCEFHRVKANTLQ QIYAKKKKDAALMLEGPTADGNMDDLQTLPWPMDFDFSKPHIMTEEDCRILQELL H257_05543 MWSSLIGATVASLLDLQLTSALQKPRHRQHFFAVELEVFDELDE GIVVAGRHARFQFDEFAMISFAYVHFGTVLGVQLLETRKPRVVFDVLVMVQAVVKLAE RRHVHFSAHLPVLDQHLKASSKRHVDVFDQVSTHPTPASILPPFPKQ H257_05544 MDKTFVGDFGLGDATNMFPFTPATGGNLNSSSTIPSNTVMNPLM ASQMNPIMGGNPGMNMGQYNTAYQMGNMGNMFMPPGYNNGGVPDDLTGTGGAPLDFPD EFNPQSTASAAANMMGTPNMHLNNSMLMNNNPMMMLNGANQFNHPNGFQQMMGTNPAA MFGMMTPQQQQFFRQQQQQQFAQQQMMQARMMAAQAANAQQQQIQHQQLDQVPITWQS EKADTTIRHEVINKIVAFLRNQKPNAPVDWIRRLPQMAKKLEEKLYRSAKSKDEYMNE TTLKARLQVVARSFHAQRNPHTPPELAGFCQQVALITAKLPADAALEYHKNVQNMLTN QDSYKQGLVNQQQRLLLLRHAMWCRESAKCKATPQCTEMKALWGHIGLCPNMTSCPVE HCISSKFVLSHFHQCSNSQCIVCSVVRQPIEAKDANGGLIMDPHQAMHKLNSLKRSSA DINTGPTVVAPPVAVIPNIPTAQPIPGPSTIPPGGQQFATQMAMIQQKFKDWSMAQLQ EHSKKLQVWAEQLKSQIQMLTVECTKQVELFRMALDPAAKVQYHSQAEELQKQLKELK AKLSRCAHQHKIMAFTINGRQTPPRHPTSFESAESMQNLLLKSTNQHIPMLETSLIQN TPVLELPVKDEHPPDKKIKLDPSPPPTSSTTTSTTPAVKAEPTPPPPPPASSGTCMLP QMTNAEIRAHVQSLQQSHCASLTVAQLKKKLDPILKNMMEHKFGWVFSTPVDPVALGI PDYFHVIKRPMDFGTIKKKLEGNVYKHMYPFAADVRLTFGNAMTFNNEGEEVYSLASD MLKDFNCEMAKLEAEIGADEAAARAKDGACQLCGSEGLVFEPAILYCNGDCNSKIRRN NYYFCSPDNKFHCCVACHPGLPDTITKPADGGGPPYIKAELCRKKNDDVHEEPWVQCD SCNQWVHQICGLFSDKEKGSEFQCPTCLLQLENRTVADKKVWTAKSLPRSKLSDYLER RVAKVLQAEDQAMKHDKLIIRQVSNIDKTLMVRDKMYHRYKDQAKYPSEHRFKSKCIC MFQEIHGVSVLLFGMYVHEFDEQEAQCNARRVYVSYLDSVNYLEPAYLRTKLYHEILI GYLEYVKQRGFHTAHIWACPPLKGDDYILYCHPETQKTPKSDRLRHWYIQMLMKAKEE GIVVEINNMYDEYWAVAHASPTDLPYFEGDYWVGLAEELIEKLDEEGKSAKKKKDHKT KKPKHLAKKDARDDVDFHDQLMHKLGEHIHPMKDDFLVVKLLPSCTQCKRTIHDGTIW KESKTCLCDGCYQTQMSTQSNVKETPPYVPIKLTLKEKCSDPDDVVESEIFDTRQAFL SLCQANHYQFDELRRAKHTSMLTLFHLGQLTNGYIYSCNVCKADINSGTRWHCNTCVD YDVCAKCYETKAAAAHGHPLEAVGTVSDVAKKALEERKKSIALHMQLLVHASSCDEGG CASANCEKMKELLRHGAQCKLRATGGCGVCRRVWALLQIHARQCRGSECRVPRCNDLR EHLRKLALQQQLMDDRRRAAVTEQYSRQAEDDSTSGGC H257_05544 MYTYICMVGPSTIPPGGQQFATQMAMIQQKFKDWSMAQLQEHSK KLQVWAEQLKSQIQMLTVECTKQVELFRMALDPAAKVQYHSQAEELQKQLKELKAKLS RCAHQHKIMAFTINGRQTPPRHPTSFESAESMQNLLLKSTNQHIPMLETSLIQNTPVL ELPVKDEHPPDKKIKLDPSPPPTSSTTTSTTPAVKAEPTPPPPPPASSGTCMLPQMTN AEIRAHVQSLQQSHCASLTVAQLKKKLDPILKNMMEHKFGWVFSTPVDPVALGIPDYF HVIKRPMDFGTIKKKLEGNVYKHMYPFAADVRLTFGNAMTFNNEGEEVYSLASDMLKD FNCEMAKLEAEIGADEAAARAKDGACQLCGSEGLVFEPAILYCNGDCNSKIRRNNYYF CSPDNKFHCCVACHPGLPDTITKPADGGGPPYIKAELCRKKNDDVHEEPWVQCDSCNQ WVHQICGLFSDKEKGSEFQCPTCLLQLENRTVADKKVWTAKSLPRSKLSDYLERRVAK VLQAEDQAMKHDKLIIRQVSNIDKTLMVRDKMYHRYKDQAKYPSEHRFKSKCICMFQE IHGVSVLLFGMYVHEFDEQEAQCNARRVYVSYLDSVNYLEPAYLRTKLYHEILIGYLE YVKQRGFHTAHIWACPPLKGDDYILYCHPETQKTPKSDRLRHWYIQMLMKAKEEGIVV EINNMYDEYWAVAHASPTDLPYFEGDYWVGLAEELIEKLDEEGKSAKKKKDHKTKKPK HLAKKDARDDVDFHDQLMHKLGEHIHPMKDDFLVVKLLPSCTQCKRTIHDGTIWKESK TCLCDGCYQTQMSTQSNVKETPPYVPIKLTLKEKCSDPDDVVESEIFDTRQAFLSLCQ ANHYQFDELRRAKHTSMLTLFHLGQLTNGYIYSCNVCKADINSGTRWHCNTCVDYDVC AKCYETKAAAAHGHPLEAVGTVSDVAKKALEERKKSIALHMQLLVHASSCDEGGCASA NCEKMKELLRHGAQCKLRATGGCGVCRRVWALLQIHARQCRGSECRVPRCNDLREHLR KLALQQQLMDDRRRAAVTEQYSRQAEDDSTSGGC H257_05545 MEYHRAKSGESVSSIELHMPGNAPHDVLSFDAVDAMRSQTEPED SPTDVEATAMLELVEPTNEPAAPKPHITYRGDIDGLRTLAVVPVVIFHAYPESIKGGF IGVDVFFVISGYLISSILFKENARGSFTYADFYSRRIRRIFPALVLVLSFSLVMGCVW LLDMAVQSMASTLVAGTLFGANIQLLTVQQGYFDASVKENPLLHLWSLGVEEQFYIFW PLFVSVVHRLSFRKAVACQVAVMVASFACNVLFLGYNNDNKYSFYFPLSRFWQMAVGG LLAYLELPTLAGPSKYSSAALAAHPFASSVCSMCGFVAIIVGYVVIDETVAFPGFWSL LPTVGTACVVFAGPAPFINQYVLGNKLMVYIGQISYALYLWHWPLLVFAKLRYPRPDL RPTYMQPYSMVVLSVVLSIATLYLVENHLRRRKAAWLVPVLVGLMVVLCAVAAVVTKF PSAFSVPSAQLAAYVRPTFNQTADVPNWSTPPRLSEPTMPKVLAAMNDWDPLVGFQGY NQDLSDDGNKVLNGDAPDSVPRVLVLGDSHANMVAPRFNRLLELATDANARFPKVFYR SRNGDPPLSCSSNHAADLAFIQQVQPKVVFYVTNWIQFLRPGGLQSHGTSPVCCLSGY RDKCTYQSKEDVETLVTRFQQEIAALEASGTRVFVATVNPEGPSFNFNMMLNGNAVVA VHPVSRQAFRTQPQVALVLELVEAAVLNANATLVDFSVNQCHQDVCEVVSMVEGEPVF KDTDHFRPYFARNYLSTVDQVVDAAWDD H257_05546 MGIARHTIRSWQHQRFELLAYDGNKKCNKLVPGGLYEEFPYLSD LVEFINRVRDNERALTTTHMITWIKLNQRDWLFNYLSTKKPYAAYSSLLKLLQCFCKR HGFTRQRPTRNKLKQLCWPFPVFHACRTRRRFVPSMRASPPHRGCASRIRAYDDAKIV SFRITYCYVELHYSILVEIKRTANIVVTPLA H257_05547 MDGCSSHYSEYTYAEAKASNELLQFLPENATHLFQPLDRTVRRP FTLAIRNVGADSIWTDVSTNIKQRAIAIACNVWASSNIGPRWWIHEVHLGIINRALLC REVSIGVCFRFVQANVLGIRQSNLHYDHDERRSIYESLLAVSSSGILPRGAIVKLAMQ HNCHPDTVKRVWARGQSSVREGHICADVASKIREMVLNYVVPAIKSKFPRSTLRSGVI IQHDIASPHKCLTTSMLESSGVSGIAMKNQPPNSPDFNVLDL H257_05548 MASRPPQPTDADCAIAYAKKSRPRHVTHHEMLDIILVNAMLRQD DTPRASRTAARLLRRKPQLVQEVWKAFLVETGGTVTKADPTMEMSTGTRLPTTPTIVN TIQDFVRQRRQERIRTVSKDMAQFLQAQPILCFDRESNLSTKAALRSTEGFLAKLG H257_05549 MKNLTELEEWGQAHRCDTAEAFFTVAYAHELRVLTSVVLQHTIP RVLVSDQIATDTSPGLWAVCPISTEVLEKALTV H257_05550 MSDSLLKKRGGDVCHFFVDHALRCATEQEATERQNDEVEPPVPT KPSTSKQKAAKRKVLAAKRNLGPKVQRSPSDIRAAVKAVPLVARQILRSVAEHSGVPK TTLVRHMAEEYQLKCKSSYSKPFLTEDNERSHVKYAMSFLFHP H257_05551 MLYETQATINRLDEELGQANEKAKSLGAHDVATEDNASSSGTIG PATPSKSKCKHNDEVPTPSRQSSRQTKAKRFEM H257_05553 MATGQLTKSLNEDAVMSSLLHDNQSTVDGAILMDVIKCERDLKN RVVRWGASRTACTSMAIQQVRTPGSIS H257_05554 MRGPITSDKEECLGNIKKLQVMSGNDMKMSQFESIYRTACRMWF LSLDKPLASQLFDPFQKKRDLAFEGWGKCFEVLQNYFQFFSHCCLWSNEEVHKMLVKM LQVFLLVPNGFQPEPLDPEKLSTTASQNTRKAAFPTTLRDAYEVNAQHLVGYLNTNDV NTIKIANDERFIRAQKAKNKNEAEKIKRLREQIAKAEALEAKKRKDDEEKERQRKIDE EAHALQALDEENRLRQESEAQREKKRKRDAILSKEARQELGDDQPRKFRRLRRARSKG KKRKSSKSKDDKIRIMECDCTGPPSEFLEKLQGSVIDLTGEDDVLQIEVSAATFQRFS TMNIPNVAVHGNVTLVCHKPNEFFEAFKSKAITISGSDKEPSEEEKEDFDQDNEDDSD DDDNDDEDDFSSIV H257_05555 MSIPINILIETVIRMTMAWTAMMTLCHRPLTEVEVTPHAARDHG HTIIPWTHRLCLYRDFPYLRLYRGPSSSTRSCRRR H257_05557 MLCLGHARASDSLPSRTISPKQHSDGGSRTRPATWRKRPMVRGQ LFMAKDAWNLSSSQATWSHSWSPCGTVNTSSRLHIWSPG H257_05558 MYALLGPCSSLRLFAKSYHIPEATFRRWVANSSSYLAKKTHGPW ATLHGKGRLESVEFSSDLVAFMESVRDGEHFVTTAHLVTWMKSHRPLWLKAYMDAKLN DDRAYKSLLQWCLKFANRHGYFHRVPCAAKATQSELQVVQEAFSAEFFSKFGHLPRRA WINVDETPVYYDMPPGKTLAKVGKSTRVQETQKHSDRITVVL H257_05559 MAAGQLMKSLNEDATHDNQSTADGAILVNVIKCERDLKNCVVCW GVARSHHHVRVFVDGSPHALDGFYLDIPTDLQGQLDERLLFEAMPRLEPHFLWGSYNS VSATSSLAGARYRLHFLGSDIPSSMLVGDRMVEEFVFRGRCLRVYGRGRFFRDHQLVR INLDGPTPPDNLA H257_05560 MLGPQRPPWPPSTYFAELAAQDAASHLAMSTHRAEVQAAHTDGH FDMTALVNAMRVDTLCGHLSSHLVKFACNVWSFDRLYTRQDGDELCLSRFDIELVLAI AEVLLAVHGPLFFNSEAVLLATTGTPVGVIASHALDDDMRRFLSTLRRVPLTLHDMYG LGQCDLTTLGQVQVHESTLAS H257_05561 MTLGKGFTMPTTLLQFQSFTSSPNVSFFQKLAQLKLDTYQLSDA TQDITGFFELNSHATVPPRFLVDDTSFDFDASSSPNRSKHEWRVPGVLVNTNTLEDFK NLDKVRLLNDAKARLRHAIDGFNPLGLQTFVLCTFADLKTHTYWYRFAFPAVVPSAGA YQLQTWTPANSFLSLPHQQSIVRQLVNRRHVHDEVTSANFPAAFIFDLTSSTVHDLED LRSLSPPSALVFGFVDPSGLPTNPGWPLRNFLIWISQHCPTLTSAVILAYRDPVHHIS NPPEAHDDPSASFGLRASYIATIELTPYNEFTSKVVGWELNVQGKSGPRQLQLANLLD PLQLAKTSVDLNLKLMRWRQLPHLDLDKLAHTKCLLLGAGTLGCHFARNLVAWGFRSL TLVDYGKISHSNPVRQPLYEFGDVGEWKAPTAAKALKRIYPLVECEGHVLSIPMAGHA LSNPQALEETKSAYQELERMIISQDVIFLGTDTRESRWLPTVLAAAHQKLVINAALGF DTYLVMRHGVPDVVDLGCYFCNDIVSPTDSLTDRTLDQMCTVTRPGGAAIAGSTAVEL LVCLLHHADGLGASASAQTTDLGVAPHQIRGSLNTFSQILVQGPMFHQCTACSPSVLN AYRTDGWDMFVNVCNSKSYLQDLTGLAALAARTDALSFDDDSDDDDSLL H257_05561 MTLGKGFTMPTTLLQFQSFTSSPNVSFFQKLAQLKLDTYQLSDA TQDITGFFELNSHATVPPRFLVDDTSFDFDASSSPNRSKHEWRVPGVLVNTNTLEDFK NLDKVRLLNDAKARLRHAIDGFNPLGLQTFVLCTFADLKTHTYWYRFAFPAVVPSAGA YQLQTWTPANSFLSLPHQQSIVRQLVNRRHVHDEVTSANFPAAFIFDLTSSTVHDLED LRSLSPPSALVFGFVDPSGLPTNPGWPLRNFLIWISQHCPTLTSAVILAYRDPVHHIS NPPEAHDDPSASFGLRASYIATIELTPYNEFTSKVVGWELNVQGKSGPRQLQLANLLD PLQLAKTSVDLNLKLMRWRQLPHLDLDKLAHTKCLLLGAGTLGCHFARNLVAWGFRSL TLVDYGKISHSNPVRQPLYEFGDVGEWKAPTAAKALKRIYPLVECEGHVLSIPMAGHA LSNPQALEETKSAYQELERMIISQDVIFLGTDTRESRWLPTVLAAAHQKLVINAALGF DTYLVMRHGVPDVVDLGCYFCNDIVSPTDSLTDRTLDQMCTVTRPGGAAIAGNFVHLP INLAFCADLPN H257_05561 MTLGKGFTMPTTLLQFQSFTSSPNVSFFQKLAQLKLDTYQLSDA TQDITGFFELNSHATVPPRFLVDDTSFDFDASSSPNRSKHEWRVPGVLVNTNTLEDFK NLDKVRLLNDAKARLRHAIDGFNPLGLQTFVLCTFADLKTHTYWYRFAFPAVVPSAGA YQLQTWTPANSFLSLPHQQSIVRQLVNRRHVHDEVTSANFPAAFIFDLTSSTVHDLED LRSLSPPSALVFGFVDPSGLPTNPGWPLRNFLIWISQHCPTLTSAVILAYRDPVHHIS NPPEAHDDPSASFGLRASYIATIELTPYNEFTSKVVGWELNVQGKSGPRQLQLANLLD PLQLAKTSVDLNLKLMRWRQLPHLDLDKLAHTKCLLLGAGTLGCHFARNLVAWGFRSL TLVDYGKISHSNPVRQPLYEFGDVGEWKAPTAAKALKRIYPLVECEGHVLSIPMAGHA LSNPQALEETKSAYQELERMIISQDVIFLGTDTRESRWLPTVLAAAHQKLVINAALGF DTYLVMRHGVPVRDGKGDCCVYETSYLG H257_05562 MVRNQDGSELQLPDTVVTTALVPTESTTIFPPRMIMTSNVSLAP AQIQTSQLSAAGPQQSHFNSPRGSDTASRQSPTFQTVVFSRKTTQHGPDGEITDAFKR KLRDQEPSAQPPSPLASLVHQTDRHGKHSPHPHQDALKVKPTTSSIDTRNPQQPGGIS KLFANICSCLGLAEQQQQQLAQQQQQQHMQYRKQPSQHEKQVETRQGQEVRRIMSTPF PGDEGKKCLVLDLDETLVHSSFKPIPNPDYVIPVEIEGHVHQVYVAKRPGVDEFMRKM AEFYEIVVYTASLSKYADPLLDQLDTHKVIRFRLFREHCVHYEGSYVKDLSLIDRDIN QSIIIDNSPMSYLFHPRNAIGCSSFIDDMDDRELDSITTFLTEIRGVDDVRNYCHKWS PHM H257_05563 MNVAAAPSTMDPSGSPPNGRYEYLLQQVVQLNTDLHKTVALSQS LKSERDSLQELTQRLKQDVMRCEERCEKMQEVLMTETEYKVQSDRKHEALMHKWKQQL EIKAREFEVLQKNLAPPKDLDQLRLAIQDEVELPHRQRTQGLQLEIDKYREMYYSVRR DFEILKTEHDQTIINHGHEIESTIATHMVLMNDLKRQLDAADDRAADMHTTDKLRRME LDKDTLVTENHKLRQELEHVRQAKVDLFQRQEADATKFATQMTDVLGKLTNVDLELKA TARQLGRCKQDCERLQGVVDEKDKKLREATDDAMRLREQVKQKDLLLVDNHNLHSSKL RELRAELEADKVQFKQKQVDWMDQIASLQLALQQSEETFQKREKEWHLEQAKQVALQS HGDTHTQHTTAALQAKLAEKTAEAATLQETLDGCTQRATHALDQEQLKVHRLQGEKDS LSAKLTTAQELVTKLKAENLSWRSQLKEVEQEYRVLQGKYRDAMQNQQDLQSQLDQDK AKIQYLEDDLVKLTDERTNDKQSFEKSQAHLQAQLDETLAASFNARRSLADEHKKVAD KLTKSLVKAERKRDAYKEKCLQVHERYKAAAMAKEAAAVQLQQLKDQHHIEIQQFLTQ WSHAEDSRLSGSMPGKPMDMKLDSFLAEIDQYNQIHSPRLS H257_05563 MVLMNDLKRQLDAADDRAADMHTTDKLRRMELDKDTLVTENHKL RQELEHVRQAKVDLFQRQEADATKFATQMTDVLGKLTNVDLELKATARQLGRCKQDCE RLQGVVDEKDKKLREATDDAMRLREQVKQKDLLLVDNHNLHSSKLRELRAELEADKVQ FKQKQVDWMDQIASLQLALQQSEETFQKREKEWHLEQAKQVALQSHGDTHTQHTTAAL QAKLAEKTAEAATLQETLDGCTQRATHALDQEQLKVHRLQGEKDSLSAKLTTAQELVT KLKAENLSWRSQLKEVEQEYRVLQGKYRDAMQNQQDLQSQLDQDKAKIQYLEDDLVKL TDERTNDKQSFEKSQAHLQAQLDETLAASFNARRSLADEHKKVADKLTKSLVKAERKR DAYKEKCLQVHERYKAAAMAKEAAAVQLQQLKDQHHIEIQQFLTQWSHAEDSRLSGSM PGKPMDMKLDSFLAEIDQYNQIHSPRLS H257_05564 MSALRVAVALAVTTPVLSTVIGGSEPTRCTSCWQLTHCTALNGL SLPDPIVGYTRNATSQLEKQGVCEDIDHAVTLLTVFGGKYQYKDTSACRELVYTLNCL TWASQDNACLNAPMPPCRSLCVQVADQCVFMHSYKLFLTQVCSAIPCTDKPTGTCVPG QNELNPGFNRCTVHDDYLTLPLSKGPSWLHTFPTFVAGLALLCLVI H257_05564 MSALRVAVALAVTTPVLSTVIGGSEPTRCTSCWQLTHCTALNGL SLPDPIVGYTRNATSQLEKQGVCEDIDHAVTLLTVFGGKYQYKDTSACRELDNACLNA PMPPCRSLCVQVADQCVFMHSYKLFLTQVCSAIPCTDKPTGTCVPGQNELNPGFNRCT VHDDYLTLPLSKGPSWLHTFPTFVAGLALLCLVI H257_05564 MSALRVAVALAVTTPVLSTVIGGSEPTRCTSCWQLTHCTALNGL SLPDPIVGYTRNATSQLEKQGVCEDIDHAVTLLTVFGGKYQYKDTSACRELVYTLNCL TWASQDNACLNAPMPPCRSLCVQVADQCVFMHSYKLFLTQVCSAIPCTDKPTGTCVPG QNELNPGFNRCTVHDDYLTLPLSKGPSWLHTFPSTVYCCCSCFHY H257_05564 MSALRVAVALAVTTPVLSTVIGGSEPTRCTSCWQLTHCTALNGL SLPDPIVGYTRNATSQLEKQGVCEDIDHAVTLLTVFGGKYQYKDTSACRELDNACLNA PMPPCRSLCVQVADQCVFMHSYKLFLTQVCSAIPCTDKPTGTCVPGQNELNPGFNRCT VHDDYLTLPLSKGPSWLHTFPSTVYCCCSCFHY H257_05564 MSALRVAVALAVTTPVLSTVIGGSEPTRCTSCWQLTHCTALNGL SLPDPIVGYTRNATSQLEKQGVCEDIDHAVTLLTVFGGKYQYKDTSACRELVYTLNCL TWASQDNACLNAPMPPCRSLCVQVADQCVFMHSYKLFLTQVCSAIPCTDKPTGTCVPG LRCCDCSLQSKRTL H257_05564 MSALRVAVALAVTTPVLSTVIGGSEPTRCTSCWQLTHCTALNGL SLPDPIVGYTRNATSQLEKQGVCEDIDHAVTLLTVFGGKYQYKDTSACRELDNACLNA PMPPCRSLCVQVADQCVFMHSYKLFLTQVCSAIPCTDKPTGTCVPGLRCCDCSLQSKR TL H257_05565 MHLIMQQHYLKDDDMTNELRPILRAQSFVEKKEYLARETCIPRN RSLSDLQVRGAVFRRGPLEYNGCGDFLGTTPCRSTKKDNVRCGNCGEYDHITIDCVLL GDDDADDQPSLSYLQKELHRTHIMRECQHDAEWASPLGRKIIPTTIPERMTLQ H257_05566 MGTRKVVALPVVGRWTAGFQRWSSSSAISGDVYAQKLQHHLLDG EFKSAMEMYKLTTQETPRSLQTVMTLIERLAFKKDAFHVQRSTIGFFLKQQRKLAGPL LAAYHDLNVVPPPSAFRELLLFLVQSNDRPRVVHALHMALEHRIPVAADAFHQFFLVD HEEGKDHGATAVDKRDLHVLTALFVQCCDAGLVTPLTYPGLGDAIMSSCFRRDMDDVA AACLQLLPRNAIQSPAHKPLLSIFHGRSDVSPATRQALYESLVHFYDHQDRHYAWHIF QLMDKHDLVLPPRLLQDIALAILKQGARKDAIDAVIEHAIRHHVRVHDAVLAMGLEQP HAALDWARRYLVVLQHGLATSTSTALLFDATHIALLHGEFNVATALHALLLQAEGELD SPGHRRLHLTIQSIDQVGQRLDEGDATTSKLLVDVLIRQEPSRVMDLFHRLLASEHAH ADLRTASLLEAMLIAAPTSADTVTLLRHAITRSIFVPASVVESCVAKFSSPSGHDDLV ACVVDLVTADLVPSTEALKLLLVKSDNGSRPASPVVSDSPATS H257_05567 MWSRSVFALVGTAVALVSAQVQEIDILPLLPFRPYHLPRMRWTD LNRVLGEQASEKMIVSKPMDTMLGKINVPKEDQKAFNALSAMEVKSLHGDAEFVDEKQ VWIAFDLIHEGDIPSAIELLDQVKEQASRQFGTKHPLYANALADLGYAYMKQKDYIKS NSLLVEAMELDEELQDKFGVYNTAASINLMAASALASGAGNFNALSNAYEAAFNALHK ESHASQFEILMNLANMYRLHWLPLRSINMFKLAKQYAPNPSVKYADLLVGLGASYIME GEFQQGHRILLSAVDMYESLDRHSSHGHLEATYYLATSELLQKKYGAALARFQAARKA LTTETFPCAKATLMTSIGACIAAMGYTDMAIGMLDAANALLSAHATTLENELFAPYIH LKIDHLLATLWDQDKQAPHAKQQKHAAAAYEAAVTLFGDTHALAVAHKVV H257_05568 MTMTFKPRPPPSFQQLVVAGSVSGMSSVVVCHPLDTIRTRLQYS SSSSFASVVCTTLRHEGLRGLYKGFFPPFFSQAVYKSVIFSAQGHVRSFMSAHMDHPS PLLLSTCAGAIAGGINAFLVAPVELVRNRLQIQQQHAKYKGTADVVRQVIMHEGPLAL WKGLSCTITRDALGVACYFMAFDCVKSALPSEWDTTSRVLVAGATGGIAFWSIALPFD TIKTVIQVSTPSTSHQEQPLGMIRTGLQLVRQHGIGRVFHGWQAAFSRGIPGAAITFW AYDTTMAYLQHNDDPSSV H257_05569 MAKSRWFLGLAVVIGILGVFWPQIEEILFKSCPITFMGLREPKP RSASSKLDPTHDVSLAQLPLFTVEELQQYDGSDESRPIYMAVGGIVLDVTSGGKFYKK GAEYNQFAGQACTRALALASLDVKDINDITSDFTPAQQKDLDETLTFYHGKYPKVGVL AVKRFPENT H257_05570 MRSIIAFSSNAMAFLTGLVVCYLALAVTAAEFRFTNECAVVVQV WTEGNYVACRLEPGESKDDNCGAGPFPVQGSFRVSGEVLRLEYTQHMPGDSWQQIWFD FHAGTGTPTLVEPLVSKDQRSNCLPLNSADPTYPTRDCPLNEVLMVTYCPSTTRSNKT KTSALENKTGRASLNATNSSNATAVATPLPPTSPWLVLGIAVGTVALSVVIVFVCEVY RYRPSTIPQPRDPSTKPTTQQGEDGIRSSWL H257_05571 MENDNTTTGFSIVKSPRGDTPHPNLLASLHPLDNANLLSKAIFG WANSLLREGNRRQLGPEDLWPLQESNKAAPLASNYAAVYVTRGKSILRTFFAIYWAKL VGVGLMQLFTVACDLYGPAYVLQKVVRAVQQPVFDATATSLLVMSLYGIQVLSAFTKA HMKFINDVIGIQLASSLRSMLFEKALKLNAKSKKEKSTGDIANLFSTDIINIMQFAAS MNLIWIVPVQIGVVLFLLYLLVGWSIFVGLAVVFVILALNAVVAVVLGKEQDHLFKAK DNRMKVVNEVFGAIQIIKFNAWEEKFLAKLTELRLVEIVSIWKFMRYYLVLVTFLFTT PVLVTIAIFATFALWMNQALTVEIVFSTLALFRSMQHALYSLPIVITSTVQCFVSVKR INAVLHMDECDPSDVQTPASNAALKAKYATDRTVLAIDQGSFGWHAGESDKDSATDTK VADAVAPEVNADDDNAVSVLFADVNLTIQQGQFVVLHGAVGQGKSSLCAALLGEMRKL AGTVFVGGDIAYFAQQPWIQNATIRDNILFGKPFDAAKYANVVEACALTKDIAELPAG DSTEIGQKGINLSGGQKARVSLARACYSDADIFVLDSPLSAVDAIVQNEIFTKCFLGL LRYKTILLVTHSHDIIQSPHVHRTHDIIQSPHVHRTFLVQDGAVTESTSPRNRTNSPK PVSSVTPLPPPTAYWAVDSQDILDDLVVKPDNNDDSRDKTLLDTTEQQSGTLVLEEGR AEGRVSKAVVVEYIRAIGGWRSMSVMVFLTVAVEAIKVGSDMWLSHWSNQSTTLSPAA FRANTNHNILIYGILVLATSVVTLMQIASVLMYGLRGAKKLFSEMLHSLLEAPMLFFD TNPIGRVLNRFGDDIIQVDMAIPFSATPMLIQTASAIAKLVTTIAITQWMGLIVLPLM AVYTLLGLYFLAPLREVNRIQKTTRSPLLSLVSEGIDGSTTIRAFGAKYLRRFNLLHD NLLEDFVAASLVGVTANQWFALRVELISCSIVFALLMGVVVMHDAISAGLIALVITYG LSIPANLAGLVNVWARMETALIAPERLHEYIRLTKEGERHTPLDEASTSWPSQGQVQF DNVSYRYKPTDPLVLKDVSFTVNGGEKVGIVGRTGAGKSSLMMSLFRMNDVAAGHIRI DGLDIADVGLHNLRSHLAIIPQNPVLFKGTLRNYLDPFDEYDDDQLWLALQKVQLDGR IGADSDKLLGPVEENGENFSVGERQMLCMARALLRQAKIVILDEATAAIDHDTDQLLQ QVVRSEFASSTVLTIAHRLDTVLDCDRILVFDQGHLVQNDTPAALVHAGTGIFFELVT EGGYSLDKQL H257_05572 MGMVTGCEIAEPLTGVEFRFTNECADVVQVWTEGNYVACQLGPG ESKDDNCGAGAFPVQGSFRVSREVLRLEFTEHMPGDPWQQNRFDFLAGTVAALNTKIG RTVGIQGPTEQLPATKLGV H257_05573 MSSTNTGAHQAALALLRRGFGDNDTALLLGGMTPDNQTRIVEGI VNMIELSVAEATAAQKTLKEQVTQVSSHGRNLEDSLRVAREKFAILEEQASTMTRHGR TLQDSLRIAHDEITRLTRALESDTPSTSRLKSIKLDVAKFGGGESDKLPRWLLQVSTA ADAQRIPDDATTVAFAMSHLKGLAEGWAFSKRLTDRHCFPSFSVFVTELKAMFLPPNS DFRYRSQYLACKQGKRSLQEFIHDLRFLAANINDEESLSEALRVTVFMDGLNQGPART QLFRAYPDTFEEADRIAHSESFSSSFAHARAASSDMDVSMLTQASDDRKCFNCGRHGH FSRACPAPRRVARTVPPVPRQIPTRVILQAAHLTASIANAMAPRARLSALQA H257_05574 MRARSKPKGPTEAEKMRVLVAYKNGDDWKLVAKHNGVSVTTARR VVNNGHVNQKPRGGARMGRSKVTPAIREALERYVDQNCSYTLTAMKEFVANDFPGTEL SLQTIQSCFRRRRDQTFKCSVLCRPNKALFCHRLERGSIKMAQNAAFVEEIYQAVTSS ATWDANFQGKKVVIVLDNAPAHSQTEQRVQPHEDVVLLRLGPEFNSILESRMRLLQEA ARESLPCITQSLVIREAMFCQRNVEKALRFEDMSYGM H257_05575 MARMLGKTLRRKRNRLLPSAMPRYKKLPTSTLEDTASKPKQRTV KLEVPKYGGLASHQLLRWIKQVSRAADALNIDDDEIRVSFAMSHLTGRADDWAWGLTC EDGFAFANFDDFIEQLKAAFLPANSDFRYRAEYLSARQGKRSIREYVHDLRFLASCVT QKSSLSEETKVTIFMNGLNDSAARTQLFRTYPSTFEDAVRTALAEEFSVLQSRTTTKT RDPHDMEVSAMTSPTTDRRCFNCNRPGHFSRECRQPRRAATSARGTAASPAPRFAHPV VDRARGGREFPRGRGNGTPQ H257_05576 MAKYQADKLQRWSLVMSTFPYIIGASRVTRTDFEWPTAATISGI QRSTMEGGGTPLNDVDWDDDSHFYVAPDGRIWIPDGAVDLQQRICVIAHQGASGHRRI AATTKSVSDKFVWKTLTTDVEAFVRACLHCLYIDGEMVPRPFELAARCLLLADVGVTG MLVSAGERTNFFAAPKLPPASLRGLFVDSTATSFNLNKNSCEPDHVAVALRAATSRQF KDLHLGSLVTDDVYAAIVLCLTACNSSLRTLDLSRSRRFSTYGFAVTGSGMRYPRRRQ RPTATWFHQQILVALDGVDGLPNVATHSIRKGTVTFETSGCTTGYIHYAPAGDQYTSR IASRLPESSTALGMQPPHFGAQDDPVVTVMRRMEDIFEAETGAKPHDHDRPSDRYVTE SFDACLGHNGLDVTRSANATRRYQEFPTK H257_05577 METDTATGFSIVKSPRGDTPHPRTNLLAPHHPIDNANLLSKAIF GWANTLLREGNRRQLSSEDLWPLQESNKAAPLASKYASVYVTHDNSLLRTFFAIYWVK LVAVALMQLFSVACDLYGPAYVLPKVVRAVQQPVFDATSTSLLVLSLYGIQVLSAFMK AHMNFINRLIGIQLGSSVRSMLFEKALKLNAKSKKEKSTGDITNLFSTDVTNLLQFAT NMNLIWIVPVQIAVVLYLLYLLVGWSVFVGLAVVVVILALNAVVAIFLGKEQDHLYKA KDNRMKVVNEVFGAIQIVKFNAWEEKFVAKLTELRLVEIVSIWKFFRYYIVLMVFLFS TPVLVTITIFATFTLWMGQSLTVAIVFSTLSLFMSLQEALFGLPVVVMSTVQCFVSVK RINAVLLMDECNPSDVQTPASNAALKAKYATDRTVLAIDQGSFGWHTVASDNKDSATD KKLVDAIALESNAKDNNAASVFFADVNLTIQQGQFVVLHGAVGQGKSSLCAALLGEMR KLAGTVFVGGDVAYFAQQPWIQNATIRDNILFGKPFDAAKYANVVEACALTKDMAGLP AGDRTEIGQKGVNLSGGQKARVSLARACYSDADIFVLDSPLSAVDAIVQNEIFTKCFL GLLRYKTILLVTHSHDIIQSPHVHRTFLVQDGAVTESTPPRNRTNSPKPVSSVTPLPP PTAYWAVDSQDILDDLVVKPDNNDDSHDKTLLNTTEEQSGTLVVEEGRAEGRVSKAVV VEYIRAFGGWGSMAVMLFLTLIVEVVKVSGDMWLSHWSNQSTTLSPEAFRANTNQNML IYGILVIGGFLATLVQLASVLMYGLRGAKKLFWEMLHSVSEAPMSFFDTNPIGRVLNR FGDDITQVDMVIPLMFAPLLAETATAITKIVTTIVITQWMGLIVLPLVALYYLLGSFY LSPLREVNRIEKTTRSPLFSLVSEGIDGSTTIRAFGPKYLRRFNRLHDDLLEAYVAAR FVIVTSNEWFALRVQLIGCSIVFGLLMGVVVMHDAISAGLIGLVITYGLSIPANLAEL VGAWARMETALIAPERLHEYIGLTKEGERHTPLDEASTPWPSQGQVQFDNVSYRYKPT DPLVLKDVSFTVNGGEKVGIVGRTGAGKSSLMMSLFRMNDVAAGHIRIDGIDIADIGL HNLRSHLAIIPQNPVLFKGTLRNYLDPFDEYDDDQLWLALQKVQLAGRIGADSDKLLG PVEENGENFSVGERQMLCMARALLRQAKIVILDEATAAIDHDTDQLLQQVVRSEFASS TVLTIAHRLDTVLDCDRILVFDQGQLVQNDTPAALVHAGTGIFFELVTEGGYSLDKQ H257_05578 MSQALQQSLGESEVFELVCDYLRSRKFYKAEQALLHERRLAMEN SSYDTGGLRDQASSAAIPAPLKPSLLESLLERSYVTNFVSGEGDEEEHEHKRNRSHLD GTVDDVTLAEPDHVDDDADEVYGLSTQLVSFEACKDDPYGSATMPIYQTSTFAQKSAT EFGAYDYTRSGNPTRAALERQMAELEKGHRAFAFTSGMAALSTISRLAKAGEHIVLSD DSYGGTYRLLSKLTAKNGVHVKYVDLSGPAGPANLRAALNYETKLVMMESPTNPMQRI CDIAALAAVSHEFGALLSVDNTMMSPILQNPLDLGADICMHSATKFVCGHSDTMSGIV IAKDPELCRDLYFVQNAEGTGLAPMDCWLLLRGVKTMGLRVLTAQSNAMRVASFLHAH PAVTKVYYAGLPSYKDKAIHDKQARGAGSVITFCTGDLKLSQHIVTNTKLFKITVSFG SVNSLISLPGVMSHASIPEEVRKARSFPEDLIRLSIGIEDIQDLINDLQRAFQSFSTS A H257_05578 MSQALQQSLGESEVFELVCDYLRSRKFYKAEQALLHERRLAMEN SSYDTGGLRDQASSAAIPAPLKPSLLESLLERSYVTNFVSGEGDEEEHEHKRNRSHLD GTVDDVTLAEPDHVDDDADEVYGLSTQLVSFEACKDDPYGSATMPIYQTSTFAQKSAT EFGAYDYTRSGNPTRAALERQMAELEKGHRAFAFTSGMAALSTISRLAKAGEHIVLSD DSYGGTYRLLSKLTAKNGVHVKYVDLSGPAGPANLRAALNYETKLVMMESPTNPMQRI CDIAALAAVSHEFGALLSVDNTMMSPILQNPLDLGADICMHSATKFVCGHSDTMSGIV IAKDPELCRDLYFVQNAEGTGLAPMDCWLLLRGVKTMGLRVLTAQSNAMRVASFLHAH PAVTKVYYAGLPSYKDKAIHDKQARGAGSVITFCTGDLKLSQHIVTNTKLFKITVKNT IVHNFTHV H257_05579 MDGVMVVDLRGGGLVYSKALAASFSTRHPHKTHMNLAALLFAIF NYAGSVTRSGGGTLHQYETRSQRLVFGVAPAKQWLVVGFASPQVFSQHDHWWTTHRFA DAVNSRLHTIPSESRASQGKRLHIILHDVLVDSMRHIGTTLCPVFQASTLVVYCTPPK IETHAADVRQAEATPLPRHVNRQSHANSIMVATATSKWKRRWHALWRTPVLRRPPSLH QVLPGNSPIIVHQDEQNLPSRRHILLECTLGDKRDATWTLSTVEGLVATSIATTRTIP SSNPPSRTIIPEASTNWLVLGWDRLHVVMVLLLPPSADSSNTNTMESSSASSSSSSSH VDAKLQTLLAIADTTWGLCTGGGS H257_05580 MGTQWSKAIHGTPDYPVGDEMQELFESLGFTVYDMNNIYYALLD KSGAINVYEFLEYFELTKTEFSRKTFNLMDKDGSGQVDFEEFVLALWNFCSFTQESLT RYSFSLYDSDGSGEIDLNEAEYFVQEMWGGKWHTNKNAEHIMNKLTAIATASDGCIPI EKFVHFAHDNPLMMFPAFQIQHDMMDKILGEHFWTKIADQRQKNQRTDSKFKCIEDIL QGFNANHAVLRQVKDVLSETSTRSQRFSFAESFRSKVGALSQRHKYAKKPPPDTPPVV VQVKKKHKKKPNQGKMMAVPATKPLVQ H257_05581 MLLQRVWRRSSAPSTARRLGAHYSSHGKKRQLDALKGHGATVAQ VNAFNGTRDPAPSSLIAHHLANNDVSAATTLLLQQGTRQPSRDDRIYVGLALRQALET DTSLIPSLVSLAVSSHAEVPSDVFDACVHHCRDHSLSKLATSLAHVVHNDLTPLPLSP LDFVTVLIECGANRAAVTFFLKLQELRFVHTLPTHVIHMLTCLQCVYDGVTPRTNTMD IQTDAASIVRYFHYDHKIVAKSILNIFKRHMKATPPSSLLHQLAADLELVRQDVVPLL QYAVVDRGLAMEPHVYLSWLQTAPMESAAPVLLQCLPSIFQNRLDHDESMEIVRTGVR QCFRQGRVALGLALSNTAKSYYEEHSEIDTDIGLTWVTSALEAIRTDVSATDVATADE SAIVALYLHPRVSTEVATAMAHLLGHLPNALSKSFETSGLFRKLARALCFNGHVELAS SVFAWLEDIHEMLVPLELWTLFFSKTKRPIDHVLLEYEQNSCSAKQVLRPAFDRFIAH LEHLNATSAPATDSQLDEAVVAAMKAALHVGDMDTALAIQTTTSWVSTNVKHDGLAVV LAAARVIPDRHIQRNVALYFLEQPPSTTEEDQPVTWPSTASIFEILVANRIHMGRGVY RKMLRQVVPDLDMVTAHALLHHAQQHSIEVSPNVFAVCFAQHAHNQRDPTAFVSLLAS MSTLGLVQDNGVVYQAAIRACIAANKSKLMWACVARAESRGIMLDRTMLAELEALD H257_05581 MLLQRVWRRSSAPSTARRLGAHYSSHGKKRQLDALKGHGATVAQ VNAFNGTRDPAPSSLIAHHLANNDVSAATTLLLQQGTRQPSRDDRIYVGLALRQALET DTSLIPSLVSLAVSSHAEVPSDVFDACVHHCRDHSLSKLATSLAHVVHNDLTPLPLSP LDFVTVLIECGANRAAVTFFLKLQELRFVHTLPTHVIHMLTCLQCVYDGVTPRTNTMD IQTDAASIVRYFHYDHKIVAKSILNIFKRHMKATPPSSLLHQLAADLELVRQDVVPLL QYAVVDRGLAMEPHVYLSWLQTAPMESAAPVLLQCLPSIFQNRLDHDESMEIVRTGVR QCFRQGRVALGLALSNTAKSYYEEHSEIDTDIGLTWVTSALEAIRTDVSATDVATADE SAIVALYLHPRVSTEVATAMAHLLGHLPNALSKSFETSGLFRKLARALCFNGHVELAS SVFAWLEDIHEMLVPLELWTLFFSKTKRPIDHVLLEYEQNSCSAKQVLRPAFDRFIAH LEHLNATSAPATDSQLDEAVVAAMKAALHVGDMDTALAIQTTTSWVSTNVKHDGLAVV LAAARVIPDRHIQRNVALYFLEQPPSTTEEDQPVTWPSTASIFEILVANRIHMGRGVY RKMLRQVVPDLDMVTAHALLHHAQQHSIEVSPNVFAVRDCYFEEIYIYIYIRWGLFDW VFFVSHGDGYYYYYY H257_05582 MPTQDALAQEPGSMAHPDLTPSQPVPNYDSLRAPIDVDLGDDGE VNADATECGVLSRWIVNQWNDTIAFESLVPGLVTTGALFVGIVMAATVDGLSRSKGMI VSPTYAYSWDYVLITTILFDAFYAYAAFKEKLRGWRLTLQLAKAVCVGTAQTLFFLKK FGVFYGSWLVVAAPVAVWTVLLALQCQWQTLVGVTAIAAACKVSIDTSNIVSWVVVFI PIWVLLACIASLWRFQRKASIAIRGFCIPQAFVTFIVAVPVVIKLEVYRVSSPADLAA SAGHGVGYLWFLIVWADPIMYYLAILSRD H257_05582 MPTQDALAQEPGSMAHPDLTPSQPVPNYDSLRAPIDVDLGDDGE VNADATECGVLSRWIVNQWNDTIAFESLVPGLVTTGALFVGIVMAATVDGLSRSKGMI VSPTYAYSWDYVLITTILFDAFYAYAAFKEKLRGWRLTLQLAKAVCVGTAQTLFFLKK FGVFYGSWLVVAAPVAVWTVLLALQCQWQTLVGVTAIAAACKVSIDTSNIVSWVVVFI PIWVLLACIASLARRMQRNFPVSRFRFCFLQSLRYQPPHILHAKS H257_05583 MPKATTDESLEDGHSSSLEPLLSSHHVSGNEDDALKATLPRRRR RLWTWKHTAAFGVGGLAIGLIVLAFSIRPLALRAIEATKMDIQRMQLQYPRDDSVQLT TQLAISSDSPFGVTMHPTKLAIRYANSIVGLFSTPSMDITRGTSVHTIENATLTVTNL TAWALFAAAMVNSTTMTWTLSGEIDISLHLLSIPISNLPLAKSMVLPGMQGLRSLVVD KMDLSKSTPSDVLAAIDTCLLNPSAAALTPVGSLCFHVFYPHPSTHIPTLVGHLTSSS SGTSLPVTRIDPSHPACAAYAARGMNRLALTGRIVSSDPSTTSALISQYLSERPAQVQ VTACWPHASSISLYNDALRTLRLNSTLPPNPVPLIQDLTFTSMQLVPVNDTAVQVQTT VVATTQSPLGAHSPLSLSAMAMSVDLFSGNEEKGFGTMTTQLVHVDGDVVGLSNLTLR CTATLILSDNGHPFGQFVHSLVHLREKPMYVRGSFDVVAHGALGNLTLKAVPVHVLSQ VGGMDGLSNVTIVGFNLPGPHTAQGQQVEAISDIWNPSVVAMDVGDVRLRLSIQNDSF LGRVSTGIALTPESITRVQLNGQLKPQVDAQGDVGPDVNVFFSRFISNQPSPLSIEIE TVRSSIPWLQQGLEHMHLQTTFPGVPVDLVSSVNMPTMHIHFRASSMHMQAAMYAGVS MPPALAHLPINITHLTLSSALMWNDVDRLSQLRIPMQSVTYTAFPVPGQGQVEFESEI LLENVDIPAMAQFVRSLMFASGTVPLTIQSHRHGLGQDGVSPVVETPMGRLQLTHLPV HATLQLTGMQGFRLRGVNITAVDIVSGTSDTLVLAMALRMSNPSQVTAELDSLSVQVW LQGTLLGVARMINVTLACCNATSPLAGLFSFRPTNITLGRAFLSQFVSGTTSQEVHIQ GTIDSSPNPYLKAALPHLQFNSSVASLAQLFPSMPTLVSLSKMYKPSVWHWFSIATAL KVRNPFGHAINVTATNLRLYPCAAQHKEPSGRLVCAKYYTVPLARFHPKEFEPMVIPA KTSPDGCFTCCQGPKCQDSMPLCVGATAGKCMQANVDVSLWSVEMIKTLYATMTTGLL MHVEGTLTAMIDAYPMELAYVQDGLLVEMA H257_05583 MPKATTDESLEDGHSSSLEPLLSSHHVSGNEDDALKATLPRRRR RLWTWKHTAAFGVGGLAIGLIVLAFSIRPLALRAIEATKMDIQRMQLQYPRDDSVQLT TQLAISSDSPFGVTMHPTKLAIRYANSIVGLFSTPSMDITRGTSVHTIENATLTVTNL TAWALFAAAMVNSTTMTWTLSGEIDISLHLLSIPISNLPLAKSMVLPGMQGLRSLVVD KMDLSKSTPSDVLAAIDTCLLNPSAAALTPVGSLCFHVFYPHPSTHIPTLVGHLTSSS SGTSLPVTRIDPSHPACAAYAARGMNRLALTGRIVSSDPSTTSALISQYLSERPAQVQ VTACWPHASSISLYNDALRTLRLNSTLPPNPVPLIQDLTFTSMQLVPVNDTAVQVQTT VVATTQSPLGAHSPLSLSAMAMSVDLFSGNEEKGFGTMTTQLVHVDGDVVGLSNLTLR CTATLILSDNGHPFGQFVHSLVHLREKPMYVRGSFDVVAHGALGNLTLKAVPVHVLSQ VGGMDGLSNVTIVGFNLPGPHTAQGQQVEAISDIWNPSVVAMDVGDVRLRLSIQNDSF LGRVSTGIALTPESITRVQLNGQLKPQVDAQGDVGPDVNVFFSRFISNQPSPLSIEIE TVRSSIPWLQQGLEHMHLQTTFPGVPVDLVSSVNMPTMHIHFRASSMHMQAAMYAGVS MPPALAHLPINITHLTLSSALMWNDVDRLSQLRIPMQSVTYTAFPVPGQGQVEFESEI LLENVDIPAMAQFVRSLMFASGTVPLTIQSHRHGLGQDGVSPVVETPMGRLQLTHLPV HATLQLTGMQGFRLRGVNITAVDIVSGTSDTLVLAMALRMSNPSQVTAELDSLSVQVW LQGTLLGVARMINVTLACCNATSPLAGLFSFRPTNITLGRAFLSQFVSGTTSQEVHIQ GTIDSSPNPYLKAALPHLQFNSSVASLAQLFPSMPTLVSLSKMYKPSVWHWFSIATAL KVRNPFGHAINVTATNLRLYPCAAQHKEPSGWSRIVIV H257_05584 MVATFKGAQRRRRSSIQPPSETELQMPLEDLMFSPYKRRKVQKQ KDMQAANLAKLQQAAKYFDMIDNVKLETSSAAVDDDVDGEASATSTPYTTPTKQSHVH STAVHS H257_05585 MRKDGVAVTPQTLQMAIDLGIDEDITGQDTQGDGEAALAAFSAR LQSIMERECIDMVYNADQTGVNYEYLPAKTIHARGENTEWIKCGWKTKGRATAMLVAD CTGKKYPLFIVLKTGASKIKDVNSSISVDFLKFHFADRMDRPAKFMWDDFSTHFTVEV VSCAEDLNVVLSRRD H257_05586 MVDIAAKVRGNSGSKPRRSAEVIEATIKAVPLEDRKTLRSLAAH SGIPKPTIMHHMAATKKLMARSSHVKPFLTDANKTERLRFAKNFLLPGSKGIHFFDNM YNQSFQLSNILAKATGYTKKNQKRGLYNTVVFHKHLESANVLIKWDIGRTRRFWGHNG TERWRINFQAIKGTDMVQPFQAMYRL H257_05587 MLGGRDYVSKPFLSAYVDVNYAMCPYTRRCISGFLILLFNNLIS WLSKKQGIVTLSTTAAEFVALALCIQECLYIQQLAAELRHTSDQTISVHEDNQSAISF LDIKMRGNTCEVMVVFELWLTAFIFIFNGGDRGGTAKTEAQRPPSTVCLSDGSKKRVK MVKNVHVTYKKKQVVIKCFYTNGIARTLDVHFHRLHGSSRDSARKKCTTSCSKAT H257_05588 MALPPPRNSNATSTRRCAQSTADVTTAMIAEGLVATVVLVAFVA QVVMTVTVVVVMTVAMVAMVVMTVIVVVAMTEDVVALVAVTVAVIVLVVMTVALGIMT VTPAVLLLMPSPVTLGTAVLRLLPTAPSYHPPSTMSSKSRIHLRYYPKFLLRALPHSL MCLHRHRAYHFLRLYRSFLLSPLRLCHMGQILSSPTHCQPTTHLVRLLRLCHSRQLPL SWPRCQPTTGLPLMQAIFRLSPIQRGSRHRSTTHSTHRDHYFTSQPTQPKWIFHCSPP VTSRSPRPIKVVLQLPPQCVDGIRPHPPFQVMKGNGFLPYMRISATARKSGGPPLPKA VTYAPTKFPALREHWIVDSSASTLCTPNRDYFSRYIPCALSLTVGNGATLPVVGYGSI SMLVDMSPRDQVDDIRTCTLRLDFGLHCHELQFNLFSVRQASDDNITVRFPARDICEI TTSFGDVLNAPNNAMGLYSFPAQPKFVGTDPRFRAMMASFETALRTFLTLNFPQLVLR AFGIAVSAILALMPSNK H257_05589 MSLHSTSAPSFVGTPLNESRPLVYAIWKRNFLSQAIIRNVVGYF TEPNHDPYGIHREAIKIGKEPIVRPDIKVYMSAILAKSMDRKSAKAFAAQRFFAHTLL VSGMSTNLRHLYPKTTCLNTLFELLKTRFESNAMDNNPTVIATHLPNLKFTEDSCIDT LAVELNDLVKRYRGPMTMPTFNPLDAYAISSIDYDTYIWTYHTLCAMSDAFIVEKNLS GKSSRTVFRLPELPGAHRC H257_05590 MVYNAHDTARSSSVILHTELPDDNDLDGKRDSNSFLYEQLVARK RLIMAAAGLLVVGVSIAVIASTTSSSDEASLTSGVAQTVATTTTTVAPANAAAAAPTY DGETSVGSNGVDDSVLTEVLPLPSNFTFVNSTNTTAADCAIVHTPEPTFTTKFDNTTV AHSIESATVSTTTDPPTTDPPTTTTSTPPPTSAPTPPPTDPPTAAPTPPPTTSPPAPH YPYQDTNFDWLNIEEWQGDNDCSPSFASGGFQHSKIEVSTFGKPFNQSPVLRTKRGWS GEKILFVEWVTYPGSDTNIWLLPTSLSRQFGDSRWPNCGEYDIYEMFNGDAAIGHKGT KNLFYGSGLDTFGQSTTHIASKDCYAPFFVKQPSVGSQAAQWPVRYHNKISMAVIFGR DGNGLFIQQILDPTIVDGADGTADIQGGTPADKMYNNANTYWGVKPEGNCAAGHDPNS GYPFFGEFRLVFQEQFHGKFDITNIRVLVK H257_05590 MQQQLHQPTTAKRPSGRTASTTLSSLVHSSIQRRTVALLMYAVE VLPLPSNFTFVNSTNTTAADCAIVHTPEPTFTTKFDNTTVAHSIESATVSTTTDPPTT DPPTTTTSTPPPTSAPTPPPTDPPTAAPTPPPTTSPPAPHYPYQDTNFDWLNIEEWQG DNDCSPSFASGGFQHSKIEVSTFGKPFNQSPVLRTKRGWSGEKILFVEWVTYPGSDTN IWLLPTSLSRQFGDSRWPNCGEYDIYEMFNGDAAIGHKGTKNLFYGSGLDTFGQSTTH IASKDCYAPFFVKQPSVGSQAAQWPVRYHNKISMAVIFGRDGNGLFIQQILDPTIVDG ADGTADIQGGTPADKMYNNANTYWGVKPEGNCAAGHDPNSGYPFFGEFRLVFQEQFHG KFDITNIRVLVK H257_05591 MSSTSAADYANATAASAYEYSVQTLLHAGLDESVSVPLQLAFIL AVTLFVLGFEFLIESVEAIIERHPTYWTLLNKMYRELLVGGLTAFVSKRIDQWDILSA YSQTKLNLSDDMVLYFSLSIAIQSALMFMMLRRRNRNVDALSALGARDLVEVMSADPD RAASRLSQSAMKTKILQHFFLTTFGLPDLFSFPKYLRAIQDAEIFSLFDIEIIEWLLL VAVYAFFFWFANLFDIMRFVPEGATDDAIDAGVGLANAKRIQAGRVGILLVFVLVLSV TLFVLYQYIRFRVHQIVVHAGGYRASYLEAIMAIAADEDAAPPPLSNDRAIGQMAELA DSLADVEDHSGIWDLLTSAWRYMAGTPHAAKPNVAMPIQDLHLRFFSRKAIHVFAKIL LSFNAMYFALVWATFNASIAPVLVSGEATWYPLALVGIMAVLVFNMMFFAPRLVRQLA LVNATVRVNPTQLKAVIEHFSDVLDMQTKMADAVAVYCDANLKDVADMEMDLDALDPA GTLVVDCEVLRGVVKRYGFRFSRNKFQTFVRLQFQTRGTTVPYLEFTKLLSSLLRQRA AACDDDDDDDRDVTHPTLHHSNDLADGQVDSAAIAATLSLHEAIGLSVKKQQPMHQSS TGGSFRRPTPLLLDTLSAYTRVNAEDDATQEAMYATLRTPPPASTTANTAAAVAGGGA AQPTTSNVSSSTTTTTP H257_05592 MDQETAFDSASFDASPVDKTFPMGNLFHIGLQLPVYSSLLSFVY VIAFVVLIKSLLTQLELKASPHPKYFKLLTQMYRELLVGGLINFLSKRLMEFGVFEDD SDKYTAWEATDDMILFFAISLTIQSVIMFLRLRARNVQLDELSLLTPSELYAKATADG TQPHIAKPYVSATKMFILRDFFLRQYKLPRLFLFAKYLRAVQDTEIIHLYEVEKSTWI LLVLVQGFYYILSDYSLPAYRDDLSSPTIRDSIHKNRLLVLFALISALTVMMLLLYAY LKKCVSIMVVHAGGDEAQLVSALKHIADSAEVAPVDTTETTLLQLFDRTEHLPEYETE SSFAALCATMFRKVTGSKWGRKHPTPHLACDLHLPLFSRKAVHVLAKFCLTLNAFYFG FLFQAFMVLLRKARGWSLGVIASQLALLLVNMTLLSPRIIRQFALINGIVRVCPGELK QVLEHFTDVLELQRRMVNAIHRHTTTHRLDLHELLAELQSHDPTQSRFVERDVLRHVI ANYGFKFSKLKFNTFVRLQCKTHGTQVRYDLFFKVLEREAAALSVEQAQRKRRPVQTT SESPTISHLI H257_05593 MMLLLYAYLKKCVSIMVVHAGGDEAQLVSALKHIADSAEVAPVD TTETTLLQLFDRTEHLPEYETESSFAALCATMFRKVTGSKWGRKHPTPHLACDLHLPL FSRKAVHVLAKFCLTLNAFYFGFLFQAFMVLLRKARGWSLGVIASQLALLLVNMTLLS PRIIRQFALINGIVRVCPGELKQVLEHFTDVLELQRRMVNAIHRHTTTHRLDLHELLA ELQSHDPTQSRFVERDVLRHVIANYGFKFSKLKFNTFVRLQCKTHGTQVRYDLFFKVL EREAAALSVEQAQRKRRPVQTTSESPTISHLI H257_05594 MKMPRSTMAGRWTTALWMLSTYLPLASGIHCKLHAKTSDFQTQY SVTIAIGTPAQAFDVIVDTGSSDLWVQGTDCVACNGQPRFAAIASTSFRPDCGRGSCT YTVSYGSGMSSAKVGQDRISIDSFALADDVQFGVVYDEDASISQVLQSSGILGLAFKS MAAFTTPCVQDYMQSFSLSLSRTAPMLSINEQLPPYNDPAIVWASMPVEELDGMHSYW IVGLPNATLGSLQLCGTTTNRCQAILDSGTGFIAVPSTAWTAVVTQLHAAGCYAIGSS GPFACPTMDTLPPLTLTLGTTHGYACVLTPSMYAFRESSTTTSTAVIVGLIQSPMDIW ILGSLFLHQYYTVFDMRHGEVRMTELLEQPVLEQLQPVPISIHDQPSYFDRYFDEDVA EHVMVVVVLGVSLMLLYMMVWQPHFSRRQYPWDRSTTSDDLLLVSLLEHDLQWKQQPP PAK H257_05595 MGNKLAAARTTRRGGKSPPKKVTQSAKSISLDAKSSTNEPPMTF GKDPTLNREDYIVSKQTDATVVKRPGTINGQQFLIEDCRGTNVFVLDQCTSVQIDECH GCTIFIGPCTASLFVRNCSNSTLVCLVQQFRARDCVDMDIALFSTTAPIIESSAKLRI RPFASAYIGLQHQLDAAKFNVWNNKWSEIFDFTPSGGGGGGGNWTVGEMADATHVLSK LPPQVIADVGYVEDSSIVPLVLGLPARVPDKVVFVCVSTGNSEVARQLVTHATVDTGG NHEAMPKLIQTRQFRVSPVQAKTLFGKQAASHIKNATGNGVEIIAMEWSATTEAIRAL LAHESLQQHASSFYVEAASAAAKVDLCWSEWKEVI H257_05595 MGNKLAAARTTRRGGKSPPKKVTQSAKSISLDAKSSTNEPPMTF GKDPTLNREDYIVSKQTDATVVKRPGTINGQQFLIEDCRGTNVFVLDQCTSVQIDECH GCTIFIGPCTASLFVRNCSNSTLVCLVQQFRARDCVDMDIALFSTTAPIIESSAKLRI RPFASAYIGLQHQLDAAKFNVWNNKWSEIFDFTPSGGGGGGGNWTVGEMADATHVLSK LPPQVIADVGYVEDSSIVPLVLGLPARVPDKVVFVCVSTGNSEVARQLVTHATVDTGG NHEAMPKLIQTRQFRVSPVQAKTLFGKQAASHIKVRQDTKCHRKQSKAINIL H257_05595 MGNKLAAARTTRRGGKSPPKKVTQSAKSISLDAKSSTNEPPMTF GKDPTLNREDYIVSKQTDATVVKRPGTINGQQFLIEDCRGTNVFVLDQCTSVQIDECH GCTIFIGPCTASLFVRNCSNSTLVCLVQQFRARDCVDMDIALFSTTAPIIESSAKLRI RPFASAYIGLQHQLDAAKFNVWNNKWSEIFDFTPSGGGGGGGNWTVGEMADATHVLSK LPPQVIADVGYVEDSSIVPLVLGLPARVPDKVVFVCVSTVRTSRRLELT H257_05595 MGNKLAAARTTRRGGKSPPKKVTQSAKSISLDAKSSTNEPPMTF GKDPTLNREDYIVSKQTDATVVKRPGTINGQQFLIEDCRGTNVFVLDQCTSVQIDECH GCTIFIGPCTASLFVRNCSNSTLVCLVQQFRARDCVDMDIALFSTTAPIIESSAKLRI RPFASAYIGLQHQLDAAKFNVWNNKWSEIFDFTPSGGGGGGGNWTVGEMADATHVLSK LPPQVIADVGYVEDSSIVPLVLGLPARVPDKVVFVCVSTVRTSRRLELT H257_05596 MFRQPSVVDLVSSSSSDEDDDFPVHLQHRTVDRGENDINEPDSP DDHHFHQLDEPMEIEEDEPFQPVVEHREDVNTTSVVNVASALESVLKDLESEEDADDE AAPPPSSHHPQTTPPYAIDLSQDDDDVVNDGHSTDDAASAFFTSMSAVLMKSKYEEGS STDAFANREEGELSDDPSQLEQDASWRQKQLEATYLREAQVARRQAALRAKTLSSPSI YPPMSKRQQKKLKRKLHESMSYTAPTPSPLTTNTTSPYAQYASPQDRLARRHMPPPPL PPPETSILRVHRHNVVMDDSPLPSPFPSTSHLQHASPGQFMGSMHPPSGPCDPAVGVS PPPSSSPDHRAALNASRVIASTPPPSSMTTPQHEASAAVTPPVDITPTNSNGHLDDLS LLETLRNAVKRSVKKAKGSPPPEQNAAPVVGRQLGRTDESPPADMPVADVPSTAFPCG SSKMALGPPHVMKWPRPSHASSPPRSSGLKPLTACSQTVVIQLSTADCNNMRRRMQQV ESMEKEANPIATLKLKIAARETELLLRKQQQQHVVKSMGPPTLLEPATPPSPPPLPSS AMLTSSPTRDGDIEIGPRTTAAAAVVSPTMLDPVAVAESHIGRLEKRIGELKHLISQK ELMLVVGTSRQGGI H257_05597 MSTILPSDVTDDGGGMTSSALSHRDRLVQFYTEHNPSKIPSIES LLKKYEGDEEALIQKVHLKYDVRDVVSHEAVDDLFENERYSYLTMSFGSTYPGHLLVL DRKRWSRASGSPSSQDMHQVELTLPEGYEWTGPWEIDSSYCNCDREGWTYAFDFSNFK YMLLNDESHDTAGMADYVRRRRWIRRRRRHLPLSQAAPAVPSTESDDPMNSVASSSTS PSPEVPLISSPSLRYFPPSMSPAELESAWIYHLHQLSHAQAKIHRVSTARVVRWRLTK AKLRAQLATLPHKTLQSKRDLVQRAIWFPHEKGYIWRASVGGMFVGLKDFWVERLELS FSLTCTTSHVVVIFHGAFCGQFDGVKVKGDKGTRIPNAKWTQVDVDMHFRGHWILNYQ QQNHGWTLDRSNSTPVAFTAMAMQYRGGLGIPDALAQLVLEEIATHYITHVVTTSLPS DLAELLLRPGSGVELAGEVVVDGLDLATTVHGSFQDSKEDAKEGDENVASQVLHVLGL TRPQLNLLVLTRQYKGLDVLFPSLAQFTTYIRQHTLDPGPHDQDGVAGLTEMWAVTWD RLLELLLLQCHSQPTATSDKVAAISFGSLIDSAKRHALQKQVPVRINFTRLKCHLHLP TMLATLSSWMAHAATLRVSKSTGGAKLMGIRVGRKPSSPSHPSCPHQDNAIPPALGDV QRLLQAFTSALESGFVQHLHMHMEGKITGGTNMSDALMRVQLKHVTLDARGPVDWTSS LAWARSIGRVVVVSPSDTLPSSVTVTFEMKPHVTTLSPSSVTDIVDPAITATLTSIQS KVVLDATHLTGDVAVCTIDCLDQSPSVCNTFRMLWHDALRAKLSVGAVHVVANMRAMC DVVMDQWLHPSTTTLHTSTRHWLAKLVQKTLKYCLSDALLVAWSVETSLDEHLMWTCD TVTADHPQPLMYFDQLQLLTVLHDVDELVAFSIHLQDSPT H257_05598 MPVAAIIFDLDGTLLDSEMLSVQTLIEIAGPTYTLDLQKRILGM PSHQWTRIVVDELHLTISPEELAHQWHAILATKVASSVLLPGALELVQRLQTNPLVKV ALATSSTSKAVANKRSVHPSLFEGFEVIVCGDDPAVLHGKPSPDIFLTAAARLGITDM STCVVVEDSPNGVLAGRAAGMQVVAVPDARFYDRKDIAARFTDADIVLASLEHWHTAV FS H257_05599 MARSPQRKARRATTARRTATVSPSERGTDDETQDEDHTQVPQLP NSDAPIEGSDIARPSKKAKTASTRMNSGNIVVRGYDRSRNIPIDTDAAHGAFLCEKPD GDAALWASSGYGGKALRDQYSHTAIETIDDIRVAMSHIVKSKDQTWVTYGQAIEAAKK GHNVGHQFLVACYHNGLPPQYAWVSRIRNPANVTQAAIGLQQMFRSDGVGVGNGEIRA IKTPSTVTMPWAATEEDEPVAASVEDFTHKALRTLVASVEMMVQRMQGGRGGGYRPSN DRSTQQ H257_05600 MRGLGHLVATLISSSVALAASVQWRPIGPADKDSTVTLGFALRA ASPGALDDALAAIADIHTPMYGRYIADVSALVRPSDVAVAFIESFLHAHNISRSKHGD YIRVAMPVAAAEALFHTELFEYAHEGHPDRRIVRPSGSYQLPSNVKPHVLLVDGLDAF PTLFQAQAHAKATAAGEASSTSVEAIQRAYDLPSGLDASDPRNAIVIGAFLKETFNQR DIESFEARNKQAPSSAFHGPQPVHCIGDGLGVGTGEASLDTQLVTALTQSQHATVLCY NANRVPSQAFDDSNQEPFLAFMQEVNAMDPPPAVVSISYADDECALPPAYVAAVDAEF KKAGVRGTTVVVSSGDNGVVGSTLLGFCGTPACSRYQPQYPASSPYVLSVGATKFGDA STGEVGLSTENGGAITTGSGFSGYINRSQVAISFQEALVESAVARLPPDAMAKFNSFG RAYPDVVAVGHNVGVFVNGGIQQTDGTSVSAPVVASLLAHVNKFRLDHGNPPLGYVVP YLYKLFAVCPQVFGDISTGCNKCGGYGQVCCADGFHADVGYDLLTGLGTLQYGAWVAN MEACEAKMHPSAMLFDTSFSTRIDGDKGNWMLVASVGGVLVVVMIGLLAVHRRHRTTQ NNICDTAHYVFIK H257_05601 MSFRERRGAPPALQMDDEYTEDEDGKSGGCPYETNVLEMSCDIG DTTFRKGRNGVRGHPKAAPSSSVDDSTSKCLVDVNNLETVGVLGRGCSGTVVKALDRS TDVVYAVKTVHNVYDKAQRHQILTEIQTLYSVDTPWLVGFYGAYFKDQALSLILQYCD RGSLDGLVIQHGAIPERILAHMTFQILSGLQHLKEARHFHRDIKPQNILVTSQGCVKL TDFGLARELSGTFDMAQTFVGTFKYMSPERVQNEPYDFSSDIWGVGLVLLECALAEYP YGDCRSYIDVVQSILESPPPSLPSDSFSAEFQDFLNDCLRKDPVERATTDTLLYSSWL ELHDATDGERCAKQVCKWLDSLTL H257_05602 MGNKASKATSKPRGSETAGKKGAASPLPSTPTKKDTSSSSTKPT KKEEDEQSQGDDERSSESTAGGTDDERFSSTQWKLFNDLENREEAEMFELSSFLRALH DHMPSSNDVDYSDLGPPPPTDSLRMQHHVSWHAAASIDITDMYEGIHMNDPLTKEDAH NLVDSFKRGQKLHRNFAMHILTAALHMLQQKPNITELSIAPSPHMTIVGDLHGQLDDL LLIFRENGLPSPDNPYIFNGDIVDRGSRGVECALILFTFAVVFPQYVHINRGNHEDKS ITEVFGFMKECHVKYDAEVYAMFCAVFKWLPIGTILDKRILVLHGGIPRDATTKLHDL LAVPRQLYDLSMYRTKGDTKASRKMYKSMRIIKDILWSDPHTANGWKENSRGAGINYG PDHVYKYMVKNRLELIIRSHECVPNGFDWPFGAKGMLVTLFSASNYCGVANNMGCFMR IPQNGKPSFFQYMATTSESDLVATNLEGLFEVIVTHRDELRRRFQELDPGDTHTVTTS DWDDVMQQQLQIQLNWASIRPLLTSIEPNQTIDYVNFLDRYHTRGTTASQDDDDAAAS TVAGATAAIDRRDMFNNMYRYRKRLQALFQVFDQDDNGTINLDEFKAGIEILNEHLPV GMKPFRHPEDLMKSLDFTKDNQININEFMECFRIHANLTVVAKWRRARTKIRALRALG MLRVIDTPAIVTASDIRLQLGDITGGDDENTDDERDHATQSDSC H257_05602 MGNKASKATSKPRGSETAGKKGAASPLPSTPTKKDTSSSSTKPT KKEEDEQSQGDDERSSESTAGGTDDERFSSTQWKLFNDLENREEAEMFELSSFLRALH DHMPSSNDVDYSDLGPPPPTDSLRMQHHVSWHAAASIDITDMYEGIHMNDPLTKEDAH NLVDSFKRGQKLHRNFAMHILTAALHMLQQKPNITELSIAPSPHMTIVGDLHGQLDDL LLIFRENGLPSPDNPYIFNGDIVDRGSRGVECALILFTFAVVFPQYVHINRGNHEDKS ITEVFGFMKECHVKYDAEVYAMFCAVFKWLPIGTILDKRILVLHGGIPRDATTKLHDL LAVPRQLYDLSMYRTKGDTKASRKMYKSMRIIKDILWSDPHTANGWKENSRGAGINYG PDHVYKYMVKNRLELIIRSHECVPNGFDWPFGAKGMLVTLFSASNYCGVANNMGCFMR IPQNGKPSFFQYMATTSESDLVATNLEGLFEVIVTHRDELRRRFQELDPGDTHTVTTS DWDDVMQQQLQIQLNWASIRPLLTSIEPNQTIDYVNFLDRYHTRGTTASQDDDDAAAS TVAGATAAIDRRDMFNNMYRYRKRLQALFQVFDQDDNGTINLDEFKAGIEILNEHLPV GMKPFRHPEDLMKSLDFTKDNQININEFMECFRIHANLTVRCRRYMILVLTMVCRRHG RRWWPSGAAHAPRSERCGPWACFV H257_05603 MEDWMPDEHDIDILLNIDHEITSRHDPTVHDAPIGKASVASSTT LSPQKRGLPPEDVASGDDSSDGEENLELALEQSQHDADVVKRLRHRLISCRSRLKKKR ELVDLARTVHTLERQYHALQSSFPSLGHTELILARYQHHPSSDPALVKQIAYRRLLQQ ADQLRSENITLQHAIAKHKTFASSVQASISSTEAWTLEHIHAMTVCDFVSFRPLTTDQ FQAKLCHMLQDMASFNVPNDAAMTAHANVVDGWTDRRQVIGSRVNMVATKSFPANVAD SVMERTWAMLTSASLLKQVLPFLRKLDVLQTLTGPQDRHQQAVVVRRDFVFAHDDPTK RRRRHVVHYTTLLLVWQKLEGGGHVLTSRTIDVPVTDHAFGVGETWVNECFQYTVHLC PILYFR H257_05604 MDKVSRPRTIAVRQQQQQPKPPSPNKLAAKLKAAKHAPVKTTCN KFELSDVFATSRLRELQKNTKSSASKVKPASATSSQNHHHPSNQGELSSPTKPKTTGA FKVRPIEKTKFRYFYDRADLPLRVNFSGAVRKVQWQVDITQIDYSHYLPLFFEGLREL DEPYRFLALNGTMDMLEKGGDRPLTCIPHLVIPIKQNLMTRHPTIMCIQMKVLQKLVL TCPYAGEALVPYYRQILTIFNLFATKRVNCGDAIDYGQRRDENIGDLIIETLYILERH GGEDAFVNIKYMVPTYESCMS H257_05605 MAVLHLRSRMDTFQCTCVLKHVLVSSDKPATHSDNDSSSSSEAC HRQARSLLHATPTFVPSSRSATTCTCRTDGFTTKELLFKVVPFACSKSDGAQACQAMV TCLQKLQHQPLTTAELRLLYDTFRRVHNTSNACAKMYATRGIGLLRRWPPPICTD H257_05606 MGNKHSRAAKKASRRGGLVDTSSPIFSDALGVSVCTETEPTSPA CVTDDRFSLTQWKLFNDLESREEADLLELTSFLNALHEYMPRKDDVSDHQLSRTLSTV QIDISDMYEGVRLDYPLTPQNAIDLVDGFKRHQPLHREYVMQILFSTLAVLQDKPNVT YLNIAPHPHLTVVGDLHGQLDDLLLIFHENGLPSPTNPYIFNGDLVDRGPRGVECALI IFAFVLLYPNAVHVNRGNHEDKYINVSMGFMKECLDKYDMDVFDTFGYVFSWLPLAVV LDQRILILHGGVPRQHTLRLDELDAIPRSEYEVTKEKPPTGASPSDILQFKQNQIIQD ILWSDPMTLGNDWAESRRGAGIKYGANHVHKFMAHNKLDWIIRSHECVPQGFAWPYGD KGMLVTLFSASNYCGVANNLGCFMRIPSGANGAKPSFFQYMATSTTSSSTNSVDTPNL VATNLDGLCDMICTHRSDLLERFEAADEAKSHVIPVSTWEHIMDDVLQVKLNWAIVRP LVTSTEPADPQAINYVEFLYRYQVRGLEIEDEHDGGQRVAEDTRKRRRDMFNNMYRYR KRLQALFQVFDQDGNGTINLDELKAGIEILNEHLPVGMKPFTHPEDLMKSLDFTHDNE ININEFMECFRIHANLTAQAKWRHAKSKLKIMSALGMLKVVSDAPVVTASDVSFDKES ESISPVVVMRTDGNDDSVVVLP H257_05607 MAYDSIEKLEDTHVAIRSRQIQQQVPDLTQHVEWKHAQHCQVSA ERGVCNYNISQARQTSKQLEDARGTVEIVPRLQDVVKGLKCKRDRVDIKPIVHCGRAP QQIPEI H257_05608 MDVAALRGDLDVVRYLHAYRNEGCSDQAIMDAAENGHLEVVQFL HTHYPLTAHSLTLALTAAAATNRLDVATYIVHELGSDGHGSTNEIDAAAYNGHLAMIK MLHQHNYGCTTNAMDDAAEDGQLEVVKWLHTHRTEGCTINAMGQAAENGHLETVQWLH THRGEGCPDWTLERAAYAEQWDVVKFLVTWQLGGDAKTVMETAKQDSRDDIAVGLAVI LDETSTLLLNGF H257_05609 MPRPPPLTADEVARERRMLKELKIMQKHELALSTLSKKRVREPK VDEDNPFCTDRQLQDEISLRNFNKNPQLHTTKLSPFATPRTQNPHNHTDIHLPPERLP HVQINKEQNSASLAVNFPAYNASMTIATQRHPSRSKASPSTQHSLKKPVTFGHRPTSA SRHFNPVTSELNASAAFHNKLPRKQARGVAIGMSADRLKALLNSPPPQSHSTAESNPS TTPDKADHTHTGNSWKAQCNEDDTLTNLAVLEKGFNTRQYTAPKLKKESILNTPPTDS SVGDILAKFRKLAVGLN H257_05610 MESDAKDARHAKMSRRPTRAPQEMKLCMASPPSSPTSSTSPTSW VGSTSPVVAPNIVDRTVKTRSQFRVTISADALGTSTEDRGSGWSSPRALPYRPLGKPD LSMKLSPNLRSPNVRNESQAKSGFCPSKSNDVRSNEPTTPNGRTESSNPTSGTFTLAD DMVGLKTHSNLPAYSPSHIKHLQCPTRVQTDPSYAVLQQAIRDCAVRVCSVKYGGCAF SRAMVPHGANDVVRDLPSTTSLSPEKHVYLPYTTRKPQSSPPVPPLTTLHDFMIDSEA NSKLQKSVPSSPSSRSLRGGRHLHSPPHSPHHLHIPLPHVPLHDTSPSTSSKAMESPA TSSDAHAAYVDALSKSLDGVLAPLVTHPSQRLSSYSASSPLQPSAALPDASPCGANNM PLSLVQTVAPPLALPPSSLHPHQYHSHLHGIERLQLVIAAREDMKQVFSHDLLAILRS LDHGRWTCFCLKYAAFHTHRDLHVALFHMNDLSETTRRQNMAAAAFHAATWFTGLLAV VAKFTPINAHHTAPPATPPPACAFVLDTIRQMVESGYEIHRAVVCSLVLCMTESELQL ESTQAVLMYLRSVVQLSLDDWERFFASAHLQPPREVLEHRAQLQHAARKRSKMNFAKI KQVIRTKGMMEAVVIPRMHLIHRQSPTMPPNGHVARPTTDLPSS H257_05610 MESDAKDARHAKMSRRPTRAPQEMKLCMASPPSSPTSSTSPTSW VGSTSPVVAPNIVDRTVKTRSQFRVTISADALGTSTEDRGSGWSSPRALPYRPLGKPD LSMKLSPNLRSPNVRNESQAKSGFCPSKSNDVRSNEPTTPNGRTESSNPTSGTFTLAD DMVGLKTHSNLPAYSPSHIKHLQCPTRVQTDPSYAVLQQAIRDCAVRVCSVKYGGCAF SRAMVPHGANDVVRDLPSTTSLSPEKHVYLPYTTRKPQSSPPVPPLTTLHDFMIDSEA NSKLQKSVPSSPSSRSLRGGRHLHSPPHSPHHLHIPLPHVPLHDTSPSTSSKAMESPA TSSDAHAAYVDALSKSLDGVLAPLVTHPSQRLSSYSASSPLQPSAALPDASPCGANNM PLSLVQTVAPPLALPPSSLHPHQYHSHLHGIERLQLVIAAREDMKQVFSHDLLAILRS LDHGRWTCFCLKYAAFHTHRDLHVALFHMNDLSETTRRQNMAAAAFHAATWFTGLLAV VAKFTPINAHHTAPPATPPPACAFVLDTIRQMVESGYEIHRAVVCSLVLCMTESELQL ESTQAVLMYLRSVVQLSLDDWERFFASAHLQPPREVLEHRAQLQV H257_05610 MESDAKDARHAKMSRRPTRAPQEMKLCMASPPSSPTSSTSPTSW VGSTSPVVAPNIVDRTVKTRSQFRVTISADALGTSTEDRGSGWSSPRALPYRPLGKPD LSMKLSPNLRSPNVRNESQAKSGFCPSKSNDVRSNEPTTPNGRTESSNPTSGTFTLAD DMVGLKTHSNLPAYSPSHIKHLQCPTRVQTDPSYAVLQQAIRDCAVRVCSVKYGGCAF SRAMVPHGANDVVRDLPSTTSLSPEKHVYLPYTTRKPQSSPPVPPLTTLHDFMIDSEA NSKLQKSVPSSPSSRSLRGGRHLHSPPHSPHHLHIPLPHVPLHDTSPSTSSKAMESPA TSSDAHAAYVDALSKSLDGVLAPLVTHPSQRLSSYSASSPLQPSAALPDASPCGANNM PLSLVQTVAPPLALPPSSLHPHQYHSHLHGIERLQLVIAAREDMKQVFSHDLLAILRS LDHGRWTCFCLKYAAFHTHRDLHVALFHMNDLSETTRRQNMAAAAFHAATWFTGLLAV VAKFTPINAHHTAPPATPPPACAFVLDTIRQV H257_05610 MKLSPNLRSPNVRNESQAKSGFCPSKSNDVRSNEPTTPNGRTES SNPTSGTFTLADDMVGLKTHSNLPAYSPSHIKHLQCPTRVQTDPSYAVLQQAIRDCAV RVCSVKYGGCAFSRAMVPHGANDVVRDLPSTTSLSPEKHVYLPYTTRKPQSSPPVPPL TTLHDFMIDSEANSKLQKSVPSSPSSRSLRGGRHLHSPPHSPHHLHIPLPHVPLHDTS PSTSSKAMESPATSSDAHAAYVDALSKSLDGVLAPLVTHPSQRLSSYSASSPLQPSAA LPDASPCGANNMPLSLVQTVAPPLALPPSSLHPHQYHSHLHGIERLQLVIAAREDMKQ VFSHDLLAILRSLDHGRWTCFCLKYAAFHTHRDLHVALFHMNDLSETTRRQNMAAAAF HAATWFTGLLAVVAKFTPINAHHTAPPATPPPACAFVLDTIRQMVESGYEIHRAVVCS LVLCMTESELQLESTQAVLMYLRSVVQLSLDDWERFFASAHLQPPREVLEHRAQLQHA ARKRSKMNFAKIKQVIRTKGMMEAVVIPRMHLIHRQSPTMPPNGHVARPTTDLPSS H257_05610 MKLSPNLRSPNVRNESQAKSGFCPSKSNDVRSNEPTTPNGRTES SNPTSGTFTLADDMVGLKTHSNLPAYSPSHIKHLQCPTRVQTDPSYAVLQQAIRDCAV RVCSVKYGGCAFSRAMVPHGANDVVRDLPSTTSLSPEKHVYLPYTTRKPQSSPPVPPL TTLHDFMIDSEANSKLQKSVPSSPSSRSLRGGRHLHSPPHSPHHLHIPLPHVPLHDTS PSTSSKAMESPATSSDAHAAYVDALSKSLDGVLAPLVTHPSQRLSSYSASSPLQPSAA LPDASPCGANNMPLSLVQTVAPPLALPPSSLHPHQYHSHLHGIERLQLVIAAREDMKQ VFSHDLLAILRSLDHGRWTCFCLKYAAFHTHRDLHVALFHMNDLSETTRRQNMAAAAF HAATWFTGLLAVVAKFTPINAHHTAPPATPPPACAFVLDTIRQMVESGYEIHRAVVCS LVLCMTESELQLESTQAVLMYLRSVVQLSLDDWERFFASAHLQPPREVLEHRAQLQV H257_05611 MGAGASTQEMADLRLALEEKEAEIMRLQALTKSLEASKASAEEA AAKATHDLTKSHEISKATRPSVVGRPSLSKGGPGHPLISQSPSTTSFATRAHERKSVD PAKKRRVEVSAEVMQKAPTKYKKVVYPKSDGSKELILKAIQSNILFQGLSQGEQSDCV DAFQCREHEAGVEIITQGDQGENFYVVQSGTLDILVSVKTNPPIKFGALTSGMGFGEL ALLCNTPRAATIKSKSSVVLWALDRNDFREICTTHEAMRHAKAVEFLRQVEVLKKLSS AEIIRVAAAMQWEEYESNTVIFREGEMGEHFYVITSGEVQVTKLNAATEVDEFVRVMS SGDHFGELALLKDDTRSATCVSTTDVQLLVLSRDHFSAMLGSLQELMDRPDVPLLISS KKSSVLDLSRAASIKYKLDIPFEDLEIMHALGSGAFGRVKLVRHMQTDQTFALKCLVK SHIVANNLKDHVVNEKKVMMMLDHPFILKLHHTYKDDLYVYFLLELALGGELFTFLRR RERFEETAARFYVASVVLAFEHMHQKMIAYRDLKPENLILDASGFLKVVDFGLAKVVP ERTWTLCGTPDYLAPEIILNRGHDKAVDYWALGVLIYELIAGTVPFFADDPMQVYSLV LTGNIKFPVHFSRTCIDLVQKLLAQNPVRRLGNLKHGVGDIINHRWFSGYDWDGLLKH KLTPPIIPQLKNNMDSSNFERITDELKDVTPCAWDPDF H257_05612 MGNSQGKATQTTDKAKPSATQLVSAGGPPAAAAPAPPSLTASSG ASSAPVDDMGTQWKLFNDLENREEAAAFGLQRFLQALHDHMPATDASKPERSLDDFLS DATTKINITDMYKGVHLDDTLTLQNMLDLIDSYKRQAKLHKEYVMQLLRQAASLFQSY QNLHLINVAPAKHITIVGDLHGQLDDLLLILRENGVPSAENPYVFNGDFVDRGKSSVE VCLVLFGFAILYPHAVFLNRGNHEDRAVTQKFGFQKECIEKYDDEVFSLFCHCFRYLP LGTVLQDRRVLILHGGVPRQNVKLHDLLDIPRFEFDGLRHTNKYMKATPRLTRRDKNM QIISDIVWSDPRVQPGFDESPRGAGIDFGPDITKRFMQTNRLALIVRSHECAPLGYAY PYGEKAGMLVTLFSASNYTKASNMGAIMHIPSGVNLPPSFAQYRASASDHDFVGSNLD GLYSVILDSRELLLSAFEAADVDGRGLVSAQVWQQLMESTLHMAVDWAALQPLVTSVE KDGSVAYVAFLDRYQASASMAAAGGDKAAMNNLYRHRERLEMLFHTIDKDGNGVITMD EFQAALDVLNQHLPRDMLPFEHPSQLMSVLDFTKDNAININEFLESFRLHANLTTAAK WRRAKNKLKAMHHLGMLKTVEAPCLLVLDVPPPPADDPVTATA H257_05613 MRVAQLKAPTNDAWHSDPGGFDSGDLGSVSVFVQMHRMNDPRER KIKLVMKPWACVKDMKDRLQAMFGIPTAAQQLYYKGTELANPRNLVQCGLYADKVVVD LVLSANGRQNRANMFTIHPYGNHVFPRSIMKAAHLAMQGLALGFTPELAMDGTGGTYF LKDPAQRKIVCFKPQNEEPFGPCNPRGFVGSLGEAGFRKGVLSGEACEREVAAFLLDK DHFASVPATTLAEIKHPAFKNSDSGNVVYKMGSLQEFVRHDDVASDLSPSLFTPHQVH KIVLLDMRLVNTDRNDGNLLVRRRRLPDGKAEHELIPIDHGYSIPDTIEIAWCDWCWY NWPQLKVPLSDVDRQYILNLNVELEIQNVLTHVPLRPACRRVLLYDLARIMCRDDMDT PSTLENLVHVAMTHALELHPVPPPIVVDQVYPSTLPSTTTSTTSVSSNNNVPVAAASP AALRIITTAPPNPLNQEDHHEFDSLKSPLGFWASLDPFDIPASSVPMSPTCFTSPRHH VESLPSWEQLCQGFHADAQQVFKPTTTPKYHHLQGRPLGAREEKLFFRWLDHLIEDEL RAILDRYAKNL H257_05613 MRVAQLKAPTNDAWHSDPGGFDSGDLGSVSVFVQMHRMNDPRER KIKLVMKPWACVKDMKDRLQAMFGIPTAAQQLYYKGTELANPRNLVQCGLYADKVVVD LVLSANGRQNRANMFTIHPYGNHVFPRSIMKAAHLAMQGLALGFTPELAMDGTGGTYF LKDPAQRKIVCFKPQNEEPFGPCNPRGFVGSLGEAGFRKGVLSGEACEREVAAFLLDK DHFASVPATTLAEIKHPAFKNSDSGNVVYKMGSLQEFVRHDDVASDLSPSLFTPHQVH KIVLLDMRLVNTDRNDGNLLVRRRRLPDGKAEHELIPIDHGYSIPDTIEIAWCDWCWY NWPQLKVPLSDVDRQYILNLNVELEIQNVLTHVPLRPACRRNLRVAGLLVEKGVRRRV LLYDLARIMCRDDMDTPSTLENLVHVAMTHALELHPVPPPIVVDQVYPSTLPSTTTST TSVSSNNNVPVAAASPAALRIITTAPPNPLNQEDHHEFDSLKSPLGFWASLDPFDIPA SSVPMSPTCFTSPRHHVESLPSWEQLCQGFHADAQQVFKPTTTPKYHHLQGRPLGARE EKLFFRWLDHLIEDELRAILDRYAKNL H257_05613 MYSTGLALGFTPELAMDGTGGTYFLKDPAQRKIVCFKPQNEEPF GPCNPRGFVGSLGEAGFRKGVLSGEACEREVAAFLLDKDHFASVPATTLAEIKHPAFK NSDSGNVVYKMGSLQEFVRHDDVASDLSPSLFTPHQVHKIVLLDMRLVNTDRNDGNLL VRRRRLPDGKAEHELIPIDHGYSIPDTIEIAWCDWCWYNWPQLKVPLSDVDRQYILNL NVELEIQNVLTHVPLRPACRRNLRVAGLLVEKGVRRRVLLYDLARIMCRDDMDTPSTL ENLVHVAMTHALELHPVPPPIVVDQVYPSTLPSTTTSTTSVSSNNNVPVAAASPAALR IITTAPPNPLNQEDHHEFDSLKSPLGFWASLDPFDIPASSVPMSPTCFTSPRHHVESL PSWEQLCQGFHADAQQVFKPTTTPKYHHLQGRPLGAREEKLFFRWLDHLIEDELRAIL DRYAKNL H257_05613 MRVAQLKAPTNDAWHSDPGGFDSGDLGSVSVFVQMHRMNDPRER KIKLVMKPWACVKDMKDRLQAMFGIPTAAQQLYYKGTELANPRNLVQCGLYADKVVVD LVLSANGRQNRANMFTIHPYGNHVFPRSIMKAAHLAMQGLALGFTPELAMDGTGGTYF LKDPAQRKIVCFKPQNEEPFGPCNPRGFVGSLGEAGFRKGVLSGEACEREVAAFLLDK DHFASVPATTLAEIKHPAFKNSDSGNVVYKMGSLQEFVRHDDVASDLSPSLFTPHQVH KIVLLDMRLVNTDRNDGNLLVRRRRLPDGKAEHELIPIDHGYSIPDTIEIAWCDWCWY NWPQLKVPLSDVDRQYILNLNVELEIQNVLTHVPLRPACRRNLRVAGLLVEKGVRRRV LLYDLARIMCRDDMDTPSTLENLVHVAMTHALELHPVPPPIVVDQVYPSTLPSTTTST TSYVGSSCVAI H257_05614 MAPAFDLFRKVPEDLQTTSVSSGIFTLLTIVVMTYLIVAEYIGY AYSSSSFVSSVVLDSHQEDLLRINFNVSIPAIPCRHLSIDLSDHLGLQFTNITRHIQR ISLVQTPDGTLARHKEVFMAEAVAAWGNVGHVLHAGETITPLLDTTTFDEYMTKYDLV LVNYYAPWCPYSQALLPVWEATALQLQDHPEYAERVTMARVDCTQDNSVQLCRRARIM AFPSMMIYMFGRTFTRYIYNGPRNPESLLLFLDLFYRRLNPDGDLAEEVLPDFGTQLG LLATDDSDDDANEGCEVSGALSVQRVPGKLSFKAAAPDTSFDLSHINTSHHVNHFSFG QFKSAEHRLASHGLVATQYPLDKKKFHAQNINITIEHYIKIVGVDRIDDSNFLLDMRS REYEFSVTSNQYNASGDVPAAVVTYDVAPLVIELRPYHVPLFRFFTSFFAIVGGAYTI LKVVDAGVFLALNSMQKKAQLGKLS H257_05614 MAPAFDLFRKVPEDLQTTSVSSGIFTLLTIVVMTYLIVAEYIGY AYSSSSFVSSVVLDSHQEDLLRINFNVSIPAIPCRHLSIDLSDHLGLQFTNITRHIQR ISLVQTPDGTLARHKEVFMAEAVAAWGNVGHVLHAGETITPLLDTTTFDEYMTKYDLV LVNYYAPWCPYSQALLPVWEATALQLQDHPEYAERVTMARVDCTQDNSVQLCRRARIM AFPSMMIYMFGRTFTRYIYNGPRNPESLLLFLDLFYRRLNPDGDLAEEVLPDFGTQLG LLATDDSDDDANEGCEVSGALSVQRVPGKLSFKAAAPDTSFDLSHINTSHHVNHFSFG QFKSAEHRLASHGLVATQYPLDKKKFHAQNINITIEHYIKVRTKTSSHTIFPLVCRRI PCRPKPMANDHGLYIV H257_05615 MVPMTDRTRGVMNGAVAYVIWGLCPVYWKLLATVPAIQLIAHRI GWSVPLLVLMLSLRGELHVVAAAVQNRRTMATYALSASLLGVSYFVCVWAVNAGFIVD VSLGMYINPLVSVLLGVFFCKETLNTVQWLAMVLAAAGMLAMAIDYGKFPWIAFTIAL DFGLYGLVQKKAPLGALPGVTIEFVLLSVPLVVYLLVVDQQGDGAFGHTGAAQDWLMA GLGATTVVPQVMFAASAQSIPLSILGILQFLGPTISVLLGVLVYDEAFGTVKALSFGL VWLGLILFTTQSVRSPKVEQPISLELVVVSSVRLSAAEYIAAVDDKSVESHSALNVV H257_05616 MTDRTRGVMNGAVAYVIWGLCPVYWKLLATVPAIQLIAHRIGWS VPLLVLMLSLRGELHVVAAAVQNRRTMATYALSASLLGVSYFVCVWAVNAGFIVDVSL GMYINPLVSVLLGVFFCKETLNTVQWLAMVLAAAGMLAMAIDYGKFPWIAFTIALDFG LYGLVQKKAPLGALPGVTIEFVLLSVPLVVYLLVVDQQGDGAFGHTGAAHDWLMAGLG ATTVVPQVMFAASAQSIPLSILGILQFLGPTISVLLGVLVYDEAFGTVKALSFGLVWL GLILFTSQSYHQMKGVELMQWVAITTPPSAMMYPIDTEASRSTELVVNVV H257_05617 MRNGICAALLAYCIWGFSPLYWRLLADVPPDQLVMHRIVWSFLI VFVIVVATQWQDFRQNALTWSNLQLHGTAAYFIGANWALFVWAVNSGFVVQASLGNYI LPLVTVLLGVVVLREHLRPWQWFAIGLAAVGVVVVSIGYGVVPWISFALATTEGIYGL FKKKATLPSLQGVVLETGALFVPAVAYLLYAESRGVGSFGHGTMGKNELLFGAGIMAT SSLVSFGYAVLRIPLTLTGVLRYITPTIQVCLAVFVYHEPFSTVNFVGFVLLWIALAI FSVQSYFKHQQEMRRKTQVSLLSTASTQTVYKSV H257_05618 MKFLRPLLFCAAAATSCTGASSAEVASTQPSASSAWQEHAESVA VAGVDPSDHARLLQSALTEFYGNGTSSSHPTVRHNASLPLVELAASQGLARAQFLLGV AHATGFWGDVPNDAKAVVYLYFAAAGGDLAANMALGYKHMMGDGVPKNCDAALRYYEV VANRAIEVRENEDSLSPVLYDQRHKRLKTQADLQHKKNQPGDVDVVEYYHFSADKGDP EASLNLALLYYYGARGVTQDVKKAATYFHKAHDLGVTSAAANLGHIYANGIGVDVDLS KAFAYFQEAAHEGNAASQNGLATLYLNGRGAKENKVKAITLFRTAAKQGNADAFYNLG TLALQGALQIDGSPDFEAAYGYFQVAAQHGHTQSMHKVGHMAMHGIGVARSCRAALDA FKTVAERGYWEQELRHAYQSFSQGDYTTAFRTYVVLAEQGYEVAQHNAAWMLESQLGM PSSLGLGDGTIRLYKAAAAQGNVDANVKLGDFYYYGYGTSTTPSFAKAMAHYVAASTK HGQASYNLGYMYEHGVGTTQDFMLAKRYYDLSLDINPDGYVPVTLALHKLAWHQALLP FQHMTPTECVTSLVAVAHRKAWAQWKRVGGWIVGSGAFVLSFVSGMDMVSLLVQADVV PETDTLVIAILAVLLGFVWTLRLRRR H257_05619 MLIMHKSVDYYLCLLFVVLPIRAIVYLSPVMLAALIANQMGLAG ESILSSMVALPLIVYLCAECVFTLHYLHKKAKAGALHPNPPTIQAVNANRPHHSVGSA MEFFDKMMTHIDDVPRFVEGHFYGVSYGLLSKLDVAKWSAFVFFTKTYDDTSAAERGE IDAIVAKLHAIAPLQCSVHTQRPSFPFLQPNLDPFEATARPLALYMATIGANYVVSFW LRWHGFQHHHVTGAVGYWHRPPSSLDHSTDLPPLAFVHGVGIGLPTYIPLLHTMFGGT TNRHVFLLDLPFIAMQLRDDSVPDKAHVLDAIADMLTEQNVTAPVHWVGHSFGTIVMS WVCQERPHLVHYLTFVDPVVFACWQHHGIYNLMYKAPSTGLNLLLWYFASQEIGIACS MRRHLTWYETVLFPDHLPRHPHTNHVVASVFLSENDCIIDAPGAHAHLERGVLQDATD ALSPRLPIHTTLWRGITHGELILYASAHADVMATVVPTKTVH H257_05620 MLKTERAVGAHFKIAMGGGNHYGGNKGRGRGLSAAGPHRGGTGG RSSRPMDMSVDLDFALRRKEPFSRIHDMIAFMAALDNAPPAVINEFLMLFTREPHITD KLTCILELRFTPSTFQQVAKPLLALLASTTLVHSPHASDVRAVLRLVWSCPLFFKRTM DCLNDPQLLSDVKHDSAPHFAALLLLLVKKLPSVVPLDDMCYDLLGRFRDWGHQNLPT TSGVVAMLNEAMELCEEFVPSRAPHDKAPAAPNYFVDTTPRPVRRFVEDGDTAIGPRH DNDKPNVDDIQVLPTDDEMRCAEEPYLPLHPDSPSDAGAHVSFHFRAMREDALAQMRR GLQWWLHPSTDRFVPPPRHSDKPRLNVAVQVSLRQVHGSIHKGVLFQVRGPQPWPHET KANLTALWERDRRYAVGSLVCIATEVTMPPEPDAEPGRDAFPRRAPLACHSLVFGTVA VRDTALLVDPDGFCISIKLIRPTEVPRIMRAMSHGLNVLLEVQNSFFTGYEPILRSLQ AHDVAPRLRECLYGTDPAATWTQRPAYLTRTHLQLQCLVHPDHQHDVNLRQVPAASKD GLAAQLRAVMDKLVLDASQIDAFAAAMTQQMCLIQGPPGTGKSYVGTRIVDGILAQTG VTVGPILVVCYTNHALDQFLEGLLDDHIVRPLDIVRVGSRSKSARLDECTLFHLRKME HFAGPTRLESATHGKLVRTCREIEERTFSSFHQDTALAKFLQWLAQSKTESFEGICGP VDDEDGFRVQGGHKAQEKLFKKWEQGGKGWSSTMWKMNKPGRQALLRRWRHEFVETCS RRALQDFDVYQECVTKAAEIQTAQNLRLLGRAKIVGMTTTGCALNQELVRCLAPKVVL CEEAGEVLEAHLLSCLTAATEHVIQIGDHKQLRPLVSEFKLSIDANNGFNLDVSMFER LVQTDAPVVTLNTQRRMSRDVCDLIRWTIYPTLEDAPNVLQYPAVLRGFSHSLWFLDH SFQESDKDGSKTNADEASMVVELVQYALRQGFCDVAVLTPYLGQLVVLRNELNTKHVW TELGEKDEEDVLALDTRSVGDDTKQPYRMAMKSLKNCVKLATVDNFQGNEAELVIVST VRSNARGSVGFLKILNRVNVMLSRAKHGMIVLGSASTIRANRNATMFNNVLDILESKQ LIGPSIGLTCQNHSTATQVASAKDMRRLVPDGGCRLPCDARLPCGHVCAKCCHSDDRA HVTNQCREKCTKAVGKCGHLCRLQCFQACECRELLPSVDLSCGHIATNVPCIHRDTIQ CKVKFTLVRPDCGHNVVVDCATAIAFRAATSRDQQLSAIGEPCTVTCGRTRPCSHTCT APCHACVESQQDSGQHVGECTHPCNRMLICGHACAAYCHPANECPPCQLACSASCIHS KCALPCKEPCVSCAEPCMWTCSHRQERCPLPCGSPCIQLPCDVRCPNLLECGHQCPGL CGEPCNVPCRHCASADLKHQVVDLILQVTLEDHDPNDSPLVALPCGHSFTIETLDGYL ELDKYYRKQDGVWTEVAPLSMQLVDGQTNKSCPQCRRPIDRVNRYGRILHFHEVYASE RKYLHKTTELVLQSQQRRQEWTTQPNPAHAIMWLRQFTRQAMACSSPGEAMAVKERHC LEQVQQQQVNLNTYRNTMQSATELLLNVELLEVHLVCVAQALAGPNTINAVGLVKRAK AIEASSRALCAEVSSHRTEGQVLVLALKLRLLLVGSPGDQFADKPSIVDEMKSLVASA SSSTPIEFIVQATKLVDAAKVQLDKLLTQAEKDEIYKVFAASSTHWNSGFGGHWYQCP NGHPYVITECGGAMQVSACPECGAAIGGSNHALLPTNQAATSFFTST H257_05620 MGGGNHYGGNKGRGRGLSAAGPHRGGTGGRSSRPMDMSVDLDFA LRRKEPFSRIHDMIAFMAALDNAPPAVINEFLMLFTREPHITDKLTCILELRFTPSTF QQVAKPLLALLASTTLVHSPHASDVRAVLRLVWSCPLFFKRTMDCLNDPQLLSDVKHD SAPHFAALLLLLVKKLPSVVPLDDMCYDLLGRFRDWGHQNLPTTSGVVAMLNEAMELC EEFVPSRAPHDKAPAAPNYFVDTTPRPVRRFVEDGDTAIGPRHDNDKPNVDDIQVLPT DDEMRCAEEPYLPLHPDSPSDAGAHVSFHFRAMREDALAQMRRGLQWWLHPSTDRFVP PPRHSDKPRLNVAVQVSLRQVHGSIHKGVLFQVRGPQPWPHETKANLTALWERDRRYA VGSLVCIATEVTMPPEPDAEPGRDAFPRRAPLACHSLVFGTVAVRDTALLVDPDGFCI SIKLIRPTEVPRIMRAMSHGLNVLLEVQNSFFTGYEPILRSLQAHDVAPRLRECLYGT DPAATWTQRPAYLTRTHLQLQCLVHPDHQHDVNLRQVPAASKDGLAAQLRAVMDKLVL DASQIDAFAAAMTQQMCLIQGPPGTGKSYVGTRIVDGILAQTGVTVGPILVVCYTNHA LDQFLEGLLDDHIVRPLDIVRVGSRSKSARLDECTLFHLRKMEHFAGPTRLESATHGK LVRTCREIEERTFSSFHQDTALAKFLQWLAQSKTESFEGICGPVDDEDGFRVQGGHKA QEKLFKKWEQGGKGWSSTMWKMNKPGRQALLRRWRHEFVETCSRRALQDFDVYQECVT KAAEIQTAQNLRLLGRAKIVGMTTTGCALNQELVRCLAPKVVLCEEAGEVLEAHLLSC LTAATEHVIQIGDHKQLRPLVSEFKLSIDANNGFNLDVSMFERLVQTDAPVVTLNTQR RMSRDVCDLIRWTIYPTLEDAPNVLQYPAVLRGFSHSLWFLDHSFQESDKDGSKTNAD EASMVVELVQYALRQGFCDVAVLTPYLGQLVVLRNELNTKHVWTELGEKDEEDVLALD TRSVGDDTKQPYRMAMKSLKNCVKLATVDNFQGNEAELVIVSTVRSNARGSVGFLKIL NRVNVMLSRAKHGMIVLGSASTIRANRNATMFNNVLDILESKQLIGPSIGLTCQNHST ATQVASAKDMRRLVPDGGCRLPCDARLPCGHVCAKCCHSDDRAHVTNQCREKCTKAVG KCGHLCRLQCFQACECRELLPSVDLSCGHIATNVPCIHRDTIQCKVKFTLVRPDCGHN VVVDCATAIAFRAATSRDQQLSAIGEPCTVTCGRTRPCSHTCTAPCHACVESQQVENE AVVT H257_05621 MLPLLDDTTLKIENVRVLRDGKLVDTFLWVENGKIMNPQSRYWK ATSSLEYGPGTIVDGKGMIVAPGFVDIQLNGAFGHDFSDVECTPEQILEVRQKLLSTG VTAFCPTVISSAQDTYAKVLHKFKRTDDGHIVHGANMVGLHLEGPFINKQRKGAHKEE VLVDPEEGIKSLDERYGAEFLSRDHVALVTLAPELKGALPAIAELRQRGITVSAGHSS ANIQQAVAGVDAGITMLTHLFNAMASFHHRDPGLVGLLGETGPRPYYGLILDGIHSHH SSCRIAQASHPQGLVLVTDCMAGMGLPDASYELAGLKVDVKHGRAYLHNTTTIAGSVV QMDSCIRTLIEFTGCSVEYALETATLHPAQSLGLTTKGTLEFGADADFVLLNDKLQVI QTYIAGTLVYERPEADDVELPTVKSSFPVAMTHFDLDDDRAMDKAAASGQLHLVQQMH ARGRRCTVHAMDGAAANGHLDVVTFLHATRNEGCTTNAIDLAASYGHVDVVKFLTENR QEGRTNYAWKAANEHGHHDVIAYLLQHGEGERKAIP H257_05622 MRLIIQRDGEEVATWVARYVRQRINDFKPTANRPFVIGLPTGSS PLKAYKKLVQYHKEGKLSFEHVVSFNMDEYVNLPEDHPEGYHSFMWNNFFQHIDIKRE NVHILNGNAADLEEECRLYEEKIAHFGGIELFLGGIGPDGHIAFNEPGSSLVSRTRVK TLAYDTIVANSRFFGGDINKVPKMALTVGVGTVMDAREVLIIITGHSKAYALYKVIEE GVNHMWTVSAIQTHKKSVIVCDDDATLELKVKTVKYFKGLHETNERMLHDNNP H257_05626 MQCKHRVTLSSSRPPGKKRRYTPEDLEQAVQEVVGGMRGTEVAH AANIPYEVVMRRIRLIKPGKEVVVQRRGPKPTLAKSCEEDLVAWISGMQSRGYPTSHY TILVKLGPSWIPDGWLVIWSARNSIDEAGVALLFDSMGEAMKEHNC H257_05627 MFWKNPSALTQVLIVAMVCFTCPGLFNALNSIAAGVADETINYN ATALLYACFALFGLFAGGAVNVIGPKYTLFIGTFGYIMYAASLLVMDKNYDTVAKVYS SGATAFFYASNAYHSFFNTSLFNTRSSSFASAFYWGSQMLGAFTVGKYLDRPGSKKPK ALQSIFVIAVLIMLMWGSAMWVQVEFDLGNGKKDKNIDFQEGAFWLKFLLYMFYGFND SIVQVWAYWLMGQFSDDMSTLGRYAGYYKCVQSGMAAVGWRLGGIPISPVSNIIVNWT LSTVGLVLAFISVKTYMEEKTHEEAYEGAETPKDKVLIAH H257_05628 MDVADTPARNTISSQSPRLSLRPEVGAHSTSFDELTLEPMIKET VGQRNASAVSAVPLWTTSSCALSKHRANYNAIRANVSLGGEGLPTAQSIWNDMASLIK GCVGRKKKYTDLPARILVPRDEDQVQTDEVPPHRSTQRKCFIAKVMFLTAVGRPCWEK VKLEWFDGKFNTWHFNYVVPAARLSRNRPPGTLEIRLVNVRRPVYKKMLVENVIPSIK ANWPADSTRCVVIQQGNERAHVAPWDKAVVNAYEEIDQLEALMKYYHNDKILRAAIDS HD H257_05629 MQRDRLSAAFPECFRCHITAKPTELTVTSEITFGVVSALVVNTV STTIADYHADASHAKLLWDPEGP H257_05630 MKVNGDNAYKIPHMAKVKKSRQGSLPRNVVCPREVYDMARASLA GVDTAAVVRACASELEMAASLNELSFELECIALNSESSDDVMSVLNDIGIEPISIDE H257_05631 MNASAVPSKATIQGFFISKSTLLTYRTYQKQFAEYCKQLPGVEP EAATPSVCTDVFHHLYSQVKTARTVDSAKTALVAFFHDLKVIPNPARDVESKQYVVGL QNYNNKKQH H257_05632 MFWKNPSALTQVLIVAMVCFTCPGLFNALNSIAAGVADETINYN ATALLYACFALFGLFAGGAVNVIGPKYTLFIGTFGYIMYSASLLVMDKNYDTVAKVYS SGATTFFYASNAVIGISAGFLWTAQGQMCMAYPTSETKGTYFAYFWVIFNLGGSLGGF LSFATNYDNKGEAATTSTYVVFLILMSCGALFSLVLADPNNVVRNDGTMVKVERLPNP VSEFVATLKTFLDPKMLLMFPLFAYSNWFYQYHSFFNTSLFNTRSSSFASAFYWGSQM LGAFTVGKYLDRPGSKKPKALQSIFVIAVLIMLMWGSAMWVQVEFDLGNGKKDKNIDF QEGAFWLKFLLYMFYGFNDSIVQVWAYWLMGQFSDDMSTLGRYAGYYKCVQSGMAAVG WRLGGIPISPVSNIIVNWTLSTVGLVLAFISVKTYMEEKNQDEGLESPAKDKPLIAH H257_05633 MFWKNPSALTQVLIVAMVCFTCPGLFNALNSIAAGVADETINYN ATALLYACFALFGLFAGGAVNVIGPKYTLFIGTFGYIISGATAFFYASNAVIGISAGF LWTAQGQMCMAYPTSETKGTYFAYFWVIFNLGGSLGGFLSFATNYDNKGEAATTSTYV VFLILMSCGALFSLVLADPNNVVRNDGTMVKVERLPNPVSEFVATLKTFLDPKMLLMF PLFAYSNWFYQYHSFFNTSLFNTRSSSFASAFYWGSQMLGAFTVGKYLDRPGSKKPKA LQSIFVIAVLIMLMWGSAMWVQVEFDLGNGKKDKNIDFQEGAFWLKFLLYMFYGFNDS IVQVWAYWLMGQFSDDMSTLGRYAGYYKCVQSGMAAVGWRLGGIPISPVSNIIVNWTL STVGLVLAFISVKTYMEEKTQDEGLESPAKDKPLIAH H257_05634 MFWKNPSALTQVLIVAMVCFTCPGLFNTLTNIAAGVADETINYN ATALLYACFALFGLFAGGAVNVIGPKYTLFIGTFGYIMYAASLLVMDKNYDTVAKVYS SGATAFFYASNAVIGISAGFLWTAQGQMCMAYPTSETKGTYFAYFWVILSLGWSLGGF LSFVTIYDNMSEAATTSTYVFSDDMSTLGRYAGYYKCVQSGMAAVGWRLGGIPISPVS NIIVNWTLSTVGLVLAFISVKTYMEEKTHEEAYEGAETPKDKVMIAH H257_05635 MGQLTWAAHPMDQELTSNFRRDVIAMLAAANDMAVAAQASARFH TAVAAPASLSADLRSIVYSIHVRTTSEPDAAFEHPPPVFETSVFIQEKLHVLGAAGPV SVCGAQDVRVGVGRVAGGIRSQDNHSVFGRVAADGSTVTWEYVQAKWDALSAQYSQIV VWCILCVSIANCQLEQAAAAVEAFLVGHPQGAFAPPLALFLENIRTVATMYALDVTPL AAWIQTL H257_05636 MFWKNPSALTQVLIVAMVCFTCPGLFNALNSIAAGVADETINYN ATALLYACFALFGLFAGGAVNVIGPKYTLFIGTFGYIMYAASLLVMDKNYDTVAKVYS SGATAFFYASNAVIGISAGFLWTAQGQMCMAYPTSETKGTYFAYFWVIFNLGGSLGGF LSFATNYDNKGEAATTSTYVVFLILMSCGALFSLVLADPNNVVRNDGTMVKVERLPNP VSEFVATLKTFLDPKMLLMFPLFAL H257_05637 MFWKNPSALTQVLIVAMVCFTCPGLFNALNSIAAGVADETINYN ATALLYACFALFGLFAGGAVNVIGPKYTLFIGTFGYIMYAASLLVMDKNYDTVAKVYS SGATAFFYASNAVIGISAGFLWTAQGQMCMAYPTSETKGTYFAYFWVIFNLGGSLGGF LSFATNYDNKGEAATTSTYVVFLILMSCGALFSLVLADPNNVVRNDGTMVERLPNPVS EFVATLKTFLDPKMLLMFPLFAYSNWFYQYHSFFNTSLFNTRSSSFASAFYWGSQMLG AFTVGKYLDRPGSKKPKALQSIFVIAVLIMLMWGSAMWVQVEFDLGNGKKDKNIDFQE GAFWLKFLLYMFYGFNDSIVQVWAYWLMGQFSDDMSTLGRYAGYYKCVQSGMAAVGWR LGGIPISPVSNIIVNWTLSTVGLVLAFISVKTYMEEKTHEEAYEGAETPKDKVLIAH H257_05638 MWVVLKFGGTSVSTVPRWQRICNRVTQVLEEDGHPRVWVVISAL TQVTNRLTQALADAISGTNHLKCYLEIFDQHIALALEHNLLSADAAAILVEHEHANRA LSPDNVPPVLASLIHEFQNVRRVLDGIRLTEEASPRLRARMLAFGELLSTHLGLEIMK QAGLDRVVRVDSRQLLLSDAEATTSDMDRYLEANVQPSVDSEGRLDDASGGATVVLSQ GFIAGVVAHTGKLDVVETCVLGRGGSDTSGALFAAALQALRYEIWTDVHGMYTSDPRY VPHARLLRKLDYREAQELAAMGAKVLHPRCIGPAHWANVPVEIRNTNDPHGEKTVIGH MEDSGSPKIMAVVRRTNMTLVTITAYGMCGTSGFLSKVFLPFETLGISVDLIATSQFS VSATLDHIPGGVEGTSFALLLIALERLGSVAVVSSCATVSIVGRHLRTSLGELGHLFE LLEGTEVLLLSESAEDLNLSFVVRDSDADGLVQRMHAALFPPPENAFSSPMRRSISRD DLLGSSWAELRAPSTPMTNLLL H257_05638 MWVVLKFGGTSVSTVPRWQRICNRVTQVLEEDGHPRVWVVISAL TQVTNRLTQALADAISGTNHLKCYLEIFDQHIALALEHNLLSADAAAILVEHEHANRA LSPDNVPPVLASLIHEFQNVRRVLDGIRLTEEASPRLRARMLAFGELLSTHLGLEIMK QAGLDRVVRVDSRQLLLSDAEATTSDMDRYLEANVQPSVDSEGRLDDASGGATVVLSQ GFIAGVVAHTGKLDVVETCVLGRGGSDTSGALFAAALQALRYEIWTDVHGMYTSDPRY VPHARLLRKLDYREAQELAAMGAKVLHPRCIGPAHWANVPVEIRNTNDPHGEKTVIGH MEDSGSPKIMAVVRRTNMTLVTITAYGMCGTSGFLSKVFLPFETLGISVDLIATSQVL IVVSVHRACDTVFVISCMWL H257_05639 MNQDPLRSYVKRVAKNDEDLGQLSEVALQRLRTVTEHFIDEIGA LAATHMAKHEGTPLTSDILKSLVHASKSQTFDPVRHVLDTLTIPPHREKPVKKRVKAS SPRRAKKVVKRTTEPKKAASVVQPAPSVDLIEEDDDYDASD H257_05639 MSSEWPRTTKTSGNCRRLRCKGCVGLHALIIDLTSIVGTVTEHF IDEIGALAATHMAKHEGTPLTSDILKSLVHASKSQTFDPVRHVLDTLTIPPHREKPVK KRVKASSPRRAKKVVKRTTEPKKAASVVQPAPSVDLIEEDDDYDASD H257_05640 MWYDLSDFKGVDVGSAAFGRQSYEGNMFQTKTEYDRGVNTFSPE GRLFQVEYAIEAIKMGTTAVGIRTKEGVVLAVEKRITSTLLEPSSIEKIMEVDSHIGA AMSGLTADARTLIDHARVEATNHWFSYNEPIRVNALTQAVCDLALSFGEGNDENSHKQ KMSRPFGVALLLAGVDDTGPHLFYSDPSGTYLRVHAKAIGSGQQGAQNNLKESYSEDM SLLDAQKLAIGTLKQHMEEKLTSINVELAIVTAAHGFHVATTEELDQVIARL H257_05640 MWYDLSDFKGVDVGSAAFGRQSYEGNMFQTKTEYDRGVNTFSPE GRLFQVEYAIEAIKMGTTAVGIRTKEGVVLAVEKRITSTLLEPSSIEKIMEVDSHIGA AMSGLTADARTLIDHARVEATNHWFSYNEPIRVNALTQAVCDLALSFGEGNDENSHKQ KMSRPFGVALLLAGVDDTGPHLFYSDPSGTYLRVHAKAIGSGQQGAQNNLKESYSEDM SLLDAQKLAIGTLKQVLGLA H257_05640 MGTTAVGIRTKEGVVLAVEKRITSTLLEPSSIEKIMEVDSHIGA AMSGLTADARTLIDHARVEATNHWFSYNEPIRVNALTQAVCDLALSFGEGNDENSHKQ KMSRPFGVALLLAGVDDTGPHLFYSDPSGTYLRVHAKAIGSGQQGAQNNLKESYSEDM SLLDAQKLAIGTLKQHMEEKLTSINVELAIVTAAHGFHVATTEELDQVIARL H257_05640 MGTTAVGIRTKEGVVLAVEKRITSTLLEPSSIEKIMEVDSHIGA AMSGLTADARTLIDHARVEATNHWFSYNEPIRVNALTQAVCDLALSFGEGNDENSHKQ KMSRPFGVALLLAGVDDTGPHLFYSDPSGTYLRVHAKAIGSGQQGAQNNLKESYSEDM SLLDAQKLAIGTLKQHMEEKLTSINVELAIVTAAHGFHVATTEELDQVIARL H257_05641 MPMPMLLCMCFPKDKSSPNKPSTPGAYDCTTIGTPFAISHDFHV SYNFEQARFEIQGEGPPPPEVESQLENWNMHQHFNVPIQQIPRVALPAYTDRIPAVLL MLQHQFYAQNGHIVPYIFRESPGKADRDRAIQAINSGTFCGDDISDVRIVADLIKVWF RELPTPLLHQIPLTLMEHINSSSASSVCPDMLEYMGAMELSILQWLADVLLHVASFEH VNHMGIEQLAIILAPNLIRIDTPNPMVAVTLSKASVDFLRRFLKHRSGSVNTAAEVNL PPAV H257_05642 ALPILRLLVLLVTSRVTIYCTMYVHHLSGSDSESTQKNDCLASH EGRTTPRPHQRVKVSCPCCNAFENIQNLCGIPGVQLQGPSPPIHSRDCRCGVLPHCSA VHTWESLADSTFKMLMLVLAILGHLFSFTTGYAAGYPPRCRKQLRMNPPCVNTAMRFA WRKVSAMNCSASAARSNPSCRDSPGSFGASGPSLRA H257_05644 MPTCWPLNATFTYVASRLFTIELLEMLKGIGIDGPNEVFVSMPA VAAKFVKNGCLHPHTPYPFVSMVIEDDIAGRHLASQRFPRGFEKEAWE H257_05646 MARAASIERPHEAVVETPHQGLSTRANDTIAQQQAVIHELVAIN KALGLRVAAVEAQVGIGAAPTPPIAMPSHEAEATVPSKRHKAAATSLADLWFEWYARD PPMWQVGADRKKKSEAKLVVGFMKLFLHDGLELDPNAPSYRDDVLRFGSLADQRVLSF IHDIAPNVRSSGSVLRVLREQHRIGALNTNIGLFNAKVTQGGIKDPTPIQHVATILVV DNI H257_05647 MAQTFGRSRSVLCDVFLHVLNELYDRWNPLLYFNTNLVAKNIDR YCAAINSRGAQTSRVFGFIDGTKLQVCRMGPSGNGDNDQKEINSGHKRRHPPNYQPVT PPEEPSTQLQHQPEGKKHETKLRRQKRPRHPNYPNAHIYPQNETESDPEDTIAKRGQS AEKDKQRKKAQKESKKPSSQGPQWPKQKFKGAKTRTAPRKEKAPSKRPAPPPATELTH PIRETNRQRPYIRRNQISQDWDQKQPTQQIQKETKQIEDTKQPEWQGQLKSSENTLEY HHKQRHAQKSTRNTENRRQCCHHGDKRALKGRSPKQNKPKDETRTTNP H257_05648 MPRPVKTRFSDAEDILILREVNARLPFTAKRGSVMLAWNTVADA VSTKHDFARPGFDGKRALNRFTLLMEGHRANNDVSARASGIDEDHDEKTQLLHELLAV YEDSKAQDKARLVAAQQEADRIENMGATIREEAIQSLALVFVVFFVSFSRSPEDCKDV ELLDSRSDCFRT H257_05649 MVTDRAISNFCAGDVISAVAVANQITSGKSVFAWLGEALLCRDQ YDFALSAFQEGLQVNPDDVDCLVGIIDTNDSITVANAFRVADMWAVLAKDPNMRELLR APKFKALIQVVRDKPSQYKHHSADLAIDGRTAPTEATGETSPSLTLQPTPPSSPPKAA SNPQIPATSTEVATWGQGPPREVSVAEVQQWTDNFSPARKIGEGAFGDVFEGQCQSIP VAVKRLKPTLRLQGDEETDRAVLSTIRREIYVLSKFHHPHIIRLLGFTSVASVAQELC LVYELGPLGSMDQSLVDDARASDLTWKVRVRIAAAVARAINYLHCHDEKSPTFHRDIK SANIVLFHGFVPKLIDCGLSKFIPDPATTHHLGSIMSTKGMALGTPGYMCPTYIRKLQ YDAKSEIYSFGIVLLELLTGRLMSSRRDGQDLYDEYIEDMTPIGPDLDARAGPWSVEC AQRLEALARECLAPYKTRVSSMLTVLRRLVELEKTYCAATPEEIRMTQLVEDLQRQVD ALRSSPKQPAEILRMCNICFDQSANGLGCGAGDVLHFICADCAPQEVQRILNEIEAEA TQLARHRAQGGRIQCVMPGCDAVYSEQALAKVLPDAIFGHYRAAQDAVVEQRQYTYHQ ERFQLELEAARAEFKRSNDLARTRQDAAATAEFMRRQFPNAVQCPRCGAGPVIPENCY NLKTHHGESTSGGGRISNACPSCQFFTPERSVWANWDGQMR H257_05650 MTVKADSTVFVKLISFRGMQLNKLIGNGTTYLHMTIVDRLFPEM IRALVHQQTARAASWCRT H257_05651 MVALGANINATDKHGKTPLRNASERQYSNVVKELVLLGANIRAI DENGWTILHQASYSGHLDIVIELAGHGADINETDKLGRTPLHLASGSLKGEDVVQWLL GAGADVTSKAKDGRTARDVAMKMRYWRTLKVFSQHEQAMLIDRAISSFCSGAYELAFK DANQAMSSSGKKNVSPFAGLGEAFLHRDQYCVALAAFEKGLETNPDDVDCMVGIHDTN ESVNVASAFGVPDIWAVLAKDPHTLNLLRAKSFKALIQAVRDHPSQYRRYKEVLVNVL AILQKHRQSIGPPTAAPIQPTIPSQPKAVTSKPVVPIYAAKVADEAIRLALVNSSTFI KGGRSARVIVGADEKGL H257_05652 MSNDKLGQQLLNAACGGRLETVQQCIWQGANVNWKNHATWTPLH WASLEGHLNVVKLLVSHGADLHMTTNDGYTSLHWASYYGHLSVVQQLVVLGADIHATN NDGKTPLHFASQYGRLSVVQWLLDAGADKKRTTMDGRTARDLAESSGESAIVTTLDKR ETVIQTAHANKSTKTKDGRTAPTEATGETSPSPTLQPTPPSSPPKAASNPQIPATSTE VATWGQGPPREVSVAEVQQWTDNFSPARKIGEGAFGDVFEGQCQSIPVAVKRLKPTLR LQGDEETDRAVLSTIRREIYVLSKFHHPHIIRLLGFTSVASVAQELCLVYELGSLGSM DQSLVDDARASDLTWKVRVRIAAAVARAINYLHCHDEKSPTFHRDIKSANIVLFHGFV PKLIDCGLSKFIPDPATTHHLGSIMSTKGMALGTPGYMCPTYIRKLQYDAKSEIYSFG IVLLELLTGRLMSSRRDGQDLYDEYIEDMTPIGPDLDARAGPWSVECAQRLEALAREC LAPYKTRVSSMLTVLRRLVELEKTYCAATPEEIRMTQLVEDLQRQVDALRSSPKQPAE ILRMCNICFDQSANGLGCGAGDVLHFICADCAPHEVQRILNEIEAEATQLARHRAQGG RIQCVMPGCDAVYSEQALAKVLPDAIFGHYRAAQDAVVEQRQYTYHQERFQLELEAAR AEFKRSNDLARTRQDAAATAEFMRRQFPNAVQCPRCGAGPVIPENCYNLKTHHGESTS GGELFNASIGGNLKSVRKLIQQGANASWVNQQGRQTPLHAASGCGHFQVVQELVEGWT PLHFAALKGHLAIVKELAVQGANIHATTNDGQTSIYIASIEGHLEVVKEFVSRGVDIH TVDKLGWTPLHCASTKGDLKVVKELAINGADIHATARNGFTPLHVASRQGHLDVVQWL IHAGIDTKRICKDEHTASTVAGGTSKAAIVAALDKHVHVGWTELHSAAFRGYLEDVKT LVTNGADIHASGDDGNTPLHIASKEGHLNIVQWLLVEGADTTRTTKDGHTARDSAQRK SILIAYSEHEAKLTDRGIASFCAGVYESAVADANQAVASTERKDISAFAWLGEAFLRR DQYDFALAAFQEGLQVNPEDVDCMVGINDTNDCVDVTKAFGVPDMWAVLAKDPFTRDL LRAPKFKALIQTVRDKPSQYLQHKAELANVLAILETHRQSTRPLTPVPIFPPLSLSLK AASNHLVHGSAAEVAGEAIRIALVDRLTTNKGGRTSRVMAGAGD H257_05653 MDGVVFFHGASRLDAACEESGALVMPRDYPILCDYDAHYIPTAT TNNQAEYDGLLRSLQLATIRGYIHLTVYGDSQLLVRQMQGICSARYSWPRAQYLQARR LDGPEYGPLPSRQLALLYDFLDLDLAFNPGQTPHHLPH H257_05654 MAARTKAKTPMKKELTERLARLEAANTVGNFGSLSTEDEVAQNK KLMWRVDMVQILLELRLRTFSAAFGGSKSNKQFSML H257_05655 MHPPPMGHLARAVWLLAMASACDVGHYPASLSSSDCLPCSYGTW NDGSLGQCQFPVSCGPGSEPAGYAANATDCLLCVSGYYHDATGTSLCQPLRCPPGSAS TVVGATDATDSCSPCDERTSGEGGSSRCVPCPSNSYAPAGSTYCTPLQCAPGAIPNAA PTSTTDCTPCPRGTFPNGTADCAALQCAAGREPQVPATSVSDCRPCTLGTFSPGGAEP CAPTACSLGSVATLLATDATSSCQICPNGTVSTADNTCTPCGAGTYAAGDTCLPADCP PGTYAPALGVDPVSSCVECARGTFAAGGAAATCTSFTCPAGFEPSALAANGAACVPCP RGWYSHENTSLTCQPALCPLGNQAKEHATSATDCEACPATQTSVGGAALCGAPNCTQG FAPTVDGRTCTPCPLGTFSPGEGIPCEPTQCPPSSVPRKDASNASDCVPCDDGWTNEG GVQLTCTQCSYGDFRNASMEICAPANCDDGWEATAGATHSRDCTICPQGTYGQHNRCS PTTCARHTSSPKGAVDPTANCDACAPGYLSAGGQAPCEPATCARGSAWQSDLDGCALC PAGTFGRGEATLCAPMECPPGFSSTVVGATHPTDTCTQCERGWYGTGSGMACALVNCA PGSIASDGPTVSIDECTPCQAGSFSTGGENALSCAACPEGTYSSEGQPDCHPTECLPG WSYHVNATSATDCGPCDVGFSSNGGSSPCFPIACPPGEYATESSAECSTCPPGTYSDG DSICKPTACAPGSGAAVGATHPTSNCQVCGRGEFSLGDDQPCTPTACPVGTASSVEGS DLEKGNCLPCAEGYFSAGGADQCKQARCPAGAFFPLGAKDSLTSCQVCPAGTYSDGTT AGPCANTTCPPSSASPLGAVDPIAQCQACTLGTYSPGGTVPCVDSKCLPGFEPVVNMT SASDECKACGPGTFSAGGTDVCRPTLCAPGTSSNATKASHATRTCTGCSYGWFSAGGA AQCAAMDCVPGSASNATHPTAGASDSNSACTTCPAGYYSRGLDSACAPTTCPPGSAAP IGSTDPLADCVTCDAGSFSPGGTIPCAPTQCVAGSAAPAQAISATANCSQCAAGTFSE GGTESCDRQTSCPQGFSSPAGASSAQGQCALCLPGWFSPGQDQPCTQLACPDGQGAAE GTNICLDCPPGYYGNDAICKPMACPLGTASNAVAATSPTDTCVLCPAGTFSAGGVHRC RPTHCPAGTASPAGADVPMGQCAWCPSGHFSAGGSDACGAATCPPGSAMPAGAASASD CFPCADGYYSAGHSTSCIACACDEPTQSCFHGANECRACLDPSAAWHSDTKTCVGPEA TSQEHVVHTKATIVGLPPSLWSPSLTQAWAKVVADVIPSAKTTLASVDAATSITDPLG ATTLVLVTTVATADDASTLRGLYASKAWLASLQAAAPFRSRLSAVVAEDVSPSVVVSL AQTDSSVSTGLRNVAMAAIASVGGVALVLVVLVLVRRRHHRDVGDKATCEPATVLAII SASL H257_05656 MPRSPESDDDDAPEAVPMAVAKSKALASRELEKHAHQSAKAAAV LKRKRHVEPIAALPADVLDAVSAARKEKEVVEQQLAQDKADAEAIEAKPKKAHKPATH VRRFGTIQVTTLNAPTPAADVLTDSAKAFLVQRTAPGRPRSKSRILHLPHSQEDIIMV QVTPVARRPIIKKKVTKFKRHQSNRFKRVSESWRKPKGIDGRVRRRFKGAIRMPNVGY GSNTKTKHLLPNGFYKFTVRNVAELDMLLMHNRKYCAEVAHNVSGRKRKEILTRAEQL NIRVTNPNARVRAEEAE H257_05657 MFKRALNTFNKTALDNTFSSPPVVVTHSDLPSLATITAATTSSH MKLRHLKIPFFLAVVVSLCCWAALVFSFTSTSSTTPTGGGLRASANKYLDGILNRQPL ELTPTMRQPNNQLLPVKLPPWRQNLSMTNPADDFECLGWRQTGECDPDGPREPNEDKG CDKVITAGNSGYCEARHKATGTVVRAFVSSCIGMKGGSQFACNQTGDFFAYADLPSRY RVRQRTPPISKAEQGADLTRGIVMAVYPKVMKGVYAAIHRLRDTGCKLPVELWYRRDE MNTSHPIISTLLAEGGIYAREIVQPDATHFYVKPYALYHSAFTQVLLLDCDNFVTVDP TYLFGMPEFTEVGAMFWPDFWRMKKTIFNIHNTSVLWDMLGVSFVDMMEQESGQVLVD KSKSKDALRTLMFYAFHHPRLIETLDMAWGDKDLFRFAWMKSQTPFHMIQKPPGSAGV KHHTYNLFCGHTMVQHDPHGKIVFFHRNTYKLTGYADAPRICTFCSIVPTTSSTIPHH LWAGDHVQQYKKPTVDDNYDVRGANGGEVFPTFKRCFGRDTAYEELFDLTPLVDFPFG NMEESILRYAHDAWLLEPTTEPPATTEPPATTDA H257_05657 MFKRALNTFNKTALDNTFSSPPVVVTHSDLPSLATITAATTSSH MKLRHLKIPFFLAVVVSLCCWAALVFSFTSTSSTTPTGGGLRASANKYLDGILNRQPL ELTPTMRQPNNQLLPVKLPPWRQNLSMTNPADDFECLGWRQTGECDPDGPREPNEDKG CDKVITAGNSGYCEARHKATGTVVRAFVSSCIGMKGGSQFACNQTGDFFAYADLPSRY RVRQRTPPISKAEQGADLTRGIVMAVYPKVMKGVYAAIHRLRDTGCKLPVELWYRRDE MNTSHPIISTLLAEGGIYAREIVQPDATHFYVKPYALYHSAFTQVLLLDCDNFVTVDP TYLFGMPEFTEVGAMFWPDFWRMKKTIFNIHNTSVLWDMLGVSFVDMMEQESGQVLVD KSKSKDALRTLMFYAFHHPRLIETLDMAWGDKDLFRFAWMKSQTPFHMIQKPPGSAGV KHHTYNLFCGHTMVQHDPHGKIVFFHRNTYKLTGYADAPRIWDHVQQYKKPTVDDNYD VRGANGGEVFPTFKRCFGRDTAYEELFDLTPLVDFPFGNMEESILRYAHDAWLLEPTT EPPATTEPPATTDA H257_05657 MFKRALNTFNKTALDNTFSSPPVVVTHSDLPSLATITAATTSSH MKLRHLKIPFFLAVVVSLCCWAALVFSFTSTSSTTPTGGGLRASANKYLDGILNRQPL ELTPTMRQPNNQLLPVKLPPWRQNLSMTNPADDFECLGWRQTGECDPDGPREPNEDKG CDKVITAGNSGYCEARHKATGTVVRAFVSSCIGMKGGSQFACNQTGDFFAYADLPSRY RVRQRTPPISKAEQGADLTRGIVMAVYPKVMKGVYAAIHRLRDTGCKLPVELWYRRDE MNTSHPIISTLLAEGGIYAREIVQPDATHFYVKPYALYHSAFTQVLLLDCDNFVTVDP TYLFGMPEFTEVGAMFWPDFWRMKKTIFNIHNTSVLWDMLGVSFVDMMEQESGQVLVD KSKSKDALRTLMFYAFHHPRLIETLDMAWGDKDLFRFAWMKSQTPFHMIQKPPGSAGV KHHTYDPFSAKIYLDICILPNP H257_05658 MSNSPSSPSSSVGITDASSSMSVPLPSPSMEAAGSMVLSPYQGM QGYTSATPAFTPLASPLTHPSPGPSSSQVYSASGAGSKHTSSAEDDLSLKLSSIMKAL DACVYLVRNFNGDQQVQMQKAVHTYVQRLAELNLHLQHMPLNHVRPFMMFEPTGRVPS GHSAGPDGLSGQSTHGEPRDMDVKATGTVCSGRSGLAGKATGAAVVAPGAPHRPRPRS LRGDKSHTVLSSQFKIQNTNQVANQIHSSTIST H257_05658 MSNSPSSPSSSVGITDASSSMSVPLPSPSMEAAGSMVLSPYQGM QGYTSATPAFTPLASPLTHPSPGPSSSQVYSASGAGSKHTSSAEDDLSLKLSSIMKAL DACVYLVRNFNGDQQVQMQKAVHTYVQRLAELNLHLQHMPLNHAIPRALMAFLDKVPM ENPEIWTLKQLEQCAADAAALRGKLQALQLLRQELRTGLGLDP H257_05659 MAASTAASKLNLFKAICGKRNFGVGQKVTRAIWDRFEESPLSTT SFIEITRVEPSADLSHGKAYGIKTFRGVSEGKARRVDGPLKKDWRIVV H257_05661 MPSLGWFVLLSVVADAAQPWWSMLELIESSDASAEADSPPSRCC FNDGDLISLRADTDLFMGRCDGCVPGGAYQDSAFVHVSDPKDAPWAQWKVFNTLDGKL VLQADTGKFLGRCNNCAPGAAYPDEAFVHVEDWHTSPWAQWVCVDAGNGKIALQADSG RYLARCNSCLPGAYPNAAFVHATSVSEAYAQWTVVSKNPSVGLCAPNAITPPPTTTCI PTSAPAPITTAPTTKPVTTAPPTTAPPVTTTPAPTAPITTTPKPTTTTPKPTTTAPVT AAPTTTTPTPTTTTPKPTTTTPKPTTTAPVTAAPTTTTPAPTPVPKPCPARVRKAWTA HTDAEKALFQNALEQAMVRGFHHKFIEVHLESKSEHEAHDCLFFLWHRRYLLAYETML RSLGDQYRCITLPFWDFSTLSAKYATGSCSTFPECNPLLSDMGALETVGYDLGAGTYT VSGAGCQADTCVKSAHPVTRNFCQSQDAFSTKRCYQCIPRNKWSLTAPPSDTYISNVY NQLFSSKPYDFTKVTKGVQYRYHNGIHGALASTMGTFASPGDPIFYVHHATVDALYAI YYQCVVPPLNVDLKHSTVWPNRADWGASCSKVRNGVTGAYEPTDHLTMNLIGANGKYV DVQDPSSVLKPFFDAVGLQTFADLYDIRALGEMSYSYDFGLSSLGGLAQQCDKYGSPT TVRVGLEEATTDEVVSKEDQFMREMYLYCQAQNVPQDQIMERINWMQCVFHNECKQGV FDYSDAFRTSFDVHGSPYCYVTISQLANRTLSINVPGWEQVYARHYSCASTVM H257_05662 MLARSMTLRFFSAAAAGKKVAVLGAAGGIGQPMSLLLKDNDKIS HLSLFDVVNTPGVAADLSHCNTRAKVTGHAGMDNIEAALTGMDVVVIPAGVPRKPGMT RDDLFNTNASIVQSLATAAAKYCPNAMLLIIANPVNSTVPIVAETFKKFGVYDPKRLF GVTTLDVVRANTFVAESQGWNPRTTNVTVIGGHAGTTILPLLSHLKLDKKWSDDELHA LIKRIQFGGDEVVKAKDGAGSATLSMAYAGARFTSRLLDALAGEKNVVECSYTQNNVT SLDFFSTPVTLGPNGVEEVHSFGKLNAVEQANFDAMLPDLEKQIAKGVEFVHKK H257_05663 MVLYVIGLGLGDETDITVRGLRAVQSCDKVYLENYTSILGIDHK TLSEFYGREVILADRDCVETGAEVIYANAKEQNIAFLVVGDPFCATTHSDLILRAQEI GAKVEVIHNASVMGAAGACGLQLYSYGQTVSIPFFNDKWRPDSFYDKIAFNRKGGLHT LCLLDIKVKEPDFEAMARGRTVFLPPRFMSVNQAVEQLLEVEDKRQEGVYSRDTLCVG MARLGQRDQKIVAGTLAELLSVDFGAPLHSLVIAGDVHFLEEEMLAQFSVKLLQATTT ADETA H257_05664 MKEVGVAYVGDSFFGSEGLHIILWRYEDGALYEVIRRESDKPRS LTCSGEEYLRSLHSTFHHACSTASPTTDLSVSVQEDENEIVVSIREDRDGMNLRFDSW SMPRCTNSTSTEAAMRRRWCAEFAVKEDKALALRRRVEELEELVRSSDALVTKAIAAK NDVESTLIHKCVALLNEKKAKIKSLQSSSKAPSRRDHADSAPDDSDDDKHASTDEDDE AEEASQAYSHLPVSQTHVTAKQVLDGADDDFLDML H257_05667 MKADIDFPWRQTKDLSSEDGPDEAAREPAGRNMPRYDADSPTDK LVRKLARVTHGTPVVNGRQEKRKRQTGFEPVTLRAAI H257_05669 MLRFHRRALGPLQKGVSSFSSTATKEKLFDKVLIANRGEIACRV IRTCRRLGIKTVAVYSEPDVNSVHVRLADEAVCVGPAKSANSYLNIDRIMDAVRQTGA QAVHPGYGFLSENKLFCDALDKAGVTFIGPGFHAIEAMGDKIESKQIAIDAGVNTIPG FQGVIQDEDEAVKVAREVGYPVMVKASAGGGGKGMRVAYNDAEVREGYRLSKEEAANS FGDDRMFIEKFIEDPRHIEIQLLADTHGNYVPFPERECSIQRRNQKVIEEAPSMLLDE ATRRAMGAQAVMLAKKVGYTSAGTVEFLCDKHKNFYFLEMNTRLQVEHPVTELITKVD LVEQMLRIAAGHALPAHLTSGPLPIYGWAMESRVYAEDPLRGFLPSIGRLLTYDEPHH LPGVRVDSGVTEGSDISMHYDPMISKLVTHGKDRKECLERMKFALDHYVIRGPGNNMA FMQDVYRHPRFESGNITTKFIAEEYPDGFTGVKLDAEQTHEVIVAGALMHLKASRSSN KNKAAQVWTTAAGTTVTQLPESFQGVVALEAENATSSDVLSWLNTLPSTVGSHVFVSA HGPFGEAHAVRAVAVGSTIYASVGGSSNWVIVNDFDWSPKAPLFSADISANRRALQVL KKLPEGFQIQHHGAVHDVIVRSPKEHELSVYMKPKPKVDLSRFVLCPMPGLLVSVAVQ AGDHVQIGQEVAVVEAMKMQNVIRASKKGIIKAVLHPAGAALKVDEIIVEFE H257_05672 MNKLTHVKLATMDFLHERKLSAMEVPRNNLKLMHRLSHALLQGL DYWRLQPQEVTGVQRGHDTVFNIDYVASVVKCLLPVAACGTVADGVHGFRQHREPLAY QHSAQQDQGFVEAGQ H257_05673 MNSNPELTTSTLPTLDAYLLTDLRDAKVLVQFGGQGSGYLGELA QLVKSFETVRDLVSRAQAALEHNVTALANEASCILHSLLLADHELIARLSKSALSRAC VSYPLVFLTQLASQGLVAAVLLAAATTQNELVELGLQFVQLMHYHGVLAQAAFDAVND RVPKVVGTVATPMLLNSISIQHPTTPRSKFL H257_05674 MNSNPEHTASTLPTLDAFLLTDLRDAKVLVQFGGQGSGYLGELA QLVKSFETVRDLVSRAQAALEHNVTALANEASCILHSLLLADHELIARLSKSALSRAC VSYPLVFLTQLASYVAYLETGGLTHQRFLPTIRGGTGHSQGLVAAVLLAAATTQNELV ELGLQFVQLMHYHGVLAQAAFDAVNDRVPKVVGTVATPMLLVRGWSEALLSGIVAEFN LDTAPHNPPVEISLVNDATSIVVTGVPTALHRLYATLDGKQSVAVAPFQLEFLPVSCP FHNDMLRAGQSSIESHATALGLCVRGSAVQFPVIGTTVQGVNFQSYDDRDIVPDLIRM QLSDAIHWPTVSTTLHSLVGQCIALDFGPGRSLPLDLPNPCIRVSGILHQSPSTTTAL KCQQETQLATAWAGLLNVNVASIGRKTSFFTLGGDSILAIRAVGSCKAIGLDVTMSQF LRDPTVKGVVRAANSRLSSASIAWPRVVLGEAVIASVQLDNKDDVVVYPITPMQAGML LATLSNASAYVLQVTFKLTSASTQARLATAFETVVQQNSLLQTTFATSDGGIVQLVPQ RPQDAIVRDVATVSLAEYLASDFRRGFHLEDKSFIRLALISPPDEALCYGVVTVHHAI IDGWSINSFLTDIMDAMDNNQSVDLRPAFQTVVEYIEAQDKVATKCFWSSYVQGVVPT PIGSATPKFIQVDSSEQVPLQVVCAVSVSRLAQVAGQLGVTASDLAKFSWAATLRKFT RQHDVVFGQVRSNRGIPVHGAERILGPLLSTVPCRVTFDDELPLTQLLQRWTAANHAM SAYSHASLVDIAKWTDGQPMFDSLFAFQQWPARRPNVADVDIIETWKHKTQVAQHYAF ELLVELPRADKGLVAMASFQPDKLSSAQARAILHEFDYTLTQLCSSDAPSTSLALWTL SEAQTALIRSASFGPTVPLPFELLHHAFEARAAKHPDVRAVELDGQWLTYGDLNAHAD TVASQLAELGVCVGSRVAVP H257_05676 MKASKPSTKLAAADVPLFAVNDIILVKHGIEIFDAKILRLDENS DVPHYFVHYNGWHKKWDEWVSSDRVLDTSPESRELQKQASLNAKEQVKRKATTATITS SGVMKKKSKVVDPFDDSVVIKDHARDLEEVVQEVQVSIPIPMTLKKVLIDDWKKITQE QQWIDMPRVPSVRSVIADYLDHEATKEHDLEITRPMLEGLEAYFNRALPLILLYRHER AQYDQIADSSTVTDDSSAADRAAAAPAAIYGAEHLLRLFVRLPLLMSQMGLDLPQSDQ LRIQGTLTAFLKYMQKNRQTYLAPQYIASSAFVWRPTSTTQ H257_05676 MKASKPSTKLAAADVPLFAVNDIILVKHGIEIFDAKILRLDENS DVPHYFVHYNGWHKKWDEWVSSDRVLDTSPESRELQKQASLNAKEQVKRKATTATITS SGVMKKKSKVVDPFDDSVVIKDHARDLEEVVQEVQVSIPIPMTLKKVLIDDWKKITQE QQWIDMPRVPSVRSVIADYLDHEATKEHDLEITRPMLEGLEAYFNRALPLILLYRHER AQYDQIADSSTVTDDSSAADRAAAAPAAIYGAEHLLRLFGTAIDGLVW H257_05677 MTRSMWLMVLWACVLAFQSTSVDASSYFVFDLVDTEEDCYKEDV VSRSVNSDIFLHFEILEPLATDAIDVRLTSPSGRDVQAWPLSKGNHSSILVRESGLYT VCFTKTASSSRRLSILYAFDHASIGSRTLTRLPASTSTIVRAKPDETSYTELNLETSA NGDVQSMGLVQFPFSGVSKSIIHDNTRIMLSFSVEYASAADVAVSVALVAGGLKHPST WNAMAEHVTSFVAKANQAMVATTGGTIFFDITDDVTAAMQAEEYPSIVYSVQVLNGSA RLTGNAHAFVAHYPVITFEDIGLDVMREIGHFRYAVWDLKGELISIIQNERHSRNTAE AVLSRVVWGTVLTNVVLVALAVGQVLYVRTLIGTGYSF H257_05678 MMIMPLGLPWGVLGLAFICCLLPARGVTAGSSFAFDVYGGQEEC FREDIVLRSLHSDLFLHFELLEPRGPADALHVKLLSPSGVPVTTWAHATANNTSLQLR ESGLYSLCFTSTPGSKAHQRVLYVADVVSYGTRSLTRDPTVVATLHQQTPDEPSPSTL TLATERGVPVSMGVVEYSFAGISLHALHDNARSNHLFSIYFVFINFPIHSFQSSILYL FHLNDLPLVSPYIHSSFINRVIASFAVDFASKPGIEVTLAAIPDHRLVHPPTWTSMAT HIESFYDRLISNHGVLASTGGSLLFDITDVVRAATAQSESLAKQAGDADLATSPSTVA FSIQVAEDGDVRLAAGARKNGEYATPSESFLIRRRRPTLSVEDLGLPVLQSIGRFRYA VWDLKGELISIIQHERRSRDGSIPSSSVCDVVTLYVTRGRLDGCSGRERPGSPCGRRG AHQRLVGRGGAVPSAVRAAVAGSGVDGWKI H257_05678 MMIMPLGLPWGVLGLAFICCLLPARGVTAGSSFAFDVYGGQEEC FREDIVLRSLHSDLFLHFELLEPRGPADALHVKLLSPSGVPVTTWAHATANNTSLQLR ESGLYSLCFTSTPGSKAHQRVLYVADVVSYGTRSLTRDPTVVATLHQQTPDEPSPSTL TLATERGVPVSMGVVEYSFAGISLHALHDNARVIASFAVDFASKPGIEVTLAAIPDHR LVHPPTWTSMATHIESFYDRLISNHGVLASTGGSLLFDITDVVRAATAQSESLAKQAG DADLATSPSTVAFSIQVAEDGDVRLAAGARKNGEYATPSESFLIRRRRPTLSVEDLGL PVLQSIGRFRYAVWDLKGELISIIQHERRSRDGSIPSSSVCDVVTLYVTRGRLDGCSG RERPGSPCGRRGAHQRLVGRGGAVPSAVRAAVAGSGVDGWKI H257_05678 MMIMPLGLPWGVLGLAFICCLLPARGVTAGSSFAFDVYGGQEEC FREDIVLRSLHSDLFLHFELLEPRGPADALHVKLLSPSGVPVTTWAHATANNTSLQLR ESGLYSLCFTSTPGSKAHQRVLYVADVVSYGTRSLTRDPTVVATLHQQTPDEPSPSTL TLATERGVPVSMGVVEYSFAGISLHALHDNARSNHLFSIYFVFINFPIHSFQSSILYL FHLNDLPLVSPYIHSSFINRVIASFAVDFASKPGIEVTLAAIPDHRLVHPPTWTSMAT HIESFYDRLISNHGVLASTGGSLLFDITDVVRAATAQSESLAKQAGDADLATSPSTVA FSIQVAEDGDVRLAAGARKNGEYATPSESFLIRRRRPTLSVEDLGLPVLQSIGRFRYA VWDLKGELISIIQHERRSRDAAESVQDRLVVGAVLTNALWVGAALYQVLYVRRLLARG H257_05678 MMIMPLGLPWGVLGLAFICCLLPARGVTAGSSFAFDVYGGQEEC FREDIVLRSLHSDLFLHFELLEPRGPADALHVKLLSPSGVPVTTWAHATANNTSLQLR ESGLYSLCFTSTPGSKAHQRVLYVADVVSYGTRSLTRDPTVVATLHQQTPDEPSPSTL TLATERGVPVSMGVVEYSFAGISLHALHDNARVIASFAVDFASKPGIEVTLAAIPDHR LVHPPTWTSMATHIESFYDRLISNHGVLASTGGSLLFDITDVVRAATAQSESLAKQAG DADLATSPSTVAFSIQVAEDGDVRLAAGARKNGEYATPSESFLIRRRRPTLSVEDLGL PVLQSIGRFRYAVWDLKGELISIIQHERRSRDAAESVQDRLVVGAVLTNALWVGAALY QVLYVRRLLARG H257_05678 MGVVEYSFAGISLHALHDNARSNHLFSIYFVFINFPIHSFQSSI LYLFHLNDLPLVSPYIHSSFINRVIASFAVDFASKPGIEVTLAAIPDHRLVHPPTWTS MATHIESFYDRLISNHGVLASTGGSLLFDITDVVRAATAQSESLAKQAGDADLATSPS TVAFSIQVAEDGDVRLAAGARKNGEYATPSESFLIRRRRPTLSVEDLGLPVLQSIGRF RYAVWDLKGELISIIQHERRSRDGSIPSSSVCDVVTLYVTRGRLDGCSGRERPGSPCG RRGAHQRLVGRGGAVPSAVRAAVAGSGVDGWKI H257_05679 MASLLRHRSSKEQQSAATVPSSSNAPKIVLSGWMRKQKAHLKTW NRRFFALSGSTLSYYDSEDISGAPRGVLDVASVHPLPSEPNGLLLHCKSNNQRRRDEK LICDTNVAYNAWFALLTRATTAGQSSSSASLQLLHTSSASMMDTSSSTNSAASSNNNA TQHSPIAYRPNPIKMGYVHVHYAASSSNAPSSSQNNPVGGGDGVPAADVDAWNRYFIK VEGDLLNCYADESQQHLYVSGMVRNVTLFEGRKYALTVGLNKGRKVVLCCDSMEEKTN WLLTLEGALKTAYSVIQVQQRRGGGAGLKRASSHIYDLDLAPEDQAAPAGVLLSGLEE VNKLQGVMEHSDDDGDAAAAVAEDGSEASGVWI H257_05680 MQAAAVVRRAAVAIASRSVRVSVVRAMSSTAVPTPSFASELEPD VKGPRHFIGSFPEETEANNFEVNWSLGDDDITPLHNCYRNRALDRLAAAAAVAAPVAN AAAPAATATNSPAGPFLDLWNDVTKQLSHSADLYISDGAVGAHAALRTPIRVVSDTPR VAHALANLLTKVPTLKDPHTPRPILVVWKSSTDKDESAFAYNIDTNLDGFTQAKLVVR GGGVSIESLLQNVLSLKAQLDASDEAGAPVTLAADVVATDSTSTLVFGANAALRGAQV AAAHGVIWDPSIGVTPAFQGAVVSSAVVSTPKASTRRHQTYPAAWSTEATVVARAFAT ILPHPTHAVAHGAAANKKDVSVEEFVKHVNGSAALAAALAQHGTALSFKK H257_05682 MSSSIATSTASPSLQPVTALSPSGAPTDMMNPTLHDSVHLSEEL QPLETAGTARAAGRENTGRNSDPHDPVARDAGEGDPAEAAWLSAATAPKWKEMSRRPV SSQSELNILSLLKRPAVKCSAHANASYVRISHATWRTSIKRISQGHALQAHTRQLGQA DGAGFGRSNDALPYPKRIGTTTFWIDTSDALMSQTNDKIIKSLFEDNKDAPWAHLMQD FVQVNKARGGDVVVTVTYEATQIGMFGQSIRFLGKEFSVVDSSTTGNRNPSQRQHADL HDLYYMDIVGTRFNFDARAVLNALRRLKTNPVFISYKLAYSSSTQKSNTHPNIWRVYF NTPSQPAALLVKGHPVDQIMMHGVHYGVFVKDYQLAPSRNVTTPVPPHEGHSCVPITD IPITTDIPMEEEIAQVDLDAPNVQASTEVFQSSMEVEDFEKPRKPLKRTCEEKGDSKL IWVTDNMASRLSKTTPTQTIRHHTHDLTERIFLNHLLDFTTNSPLPHRDEHINQAVGQ RILVGQLLARHTVVALQETKLRDKFQFEKVRFHLDHALGINNYFLATNDHRSDSVDPE ERRSSGVMVLFQKEVPGFTQLRHLSDQDIRDKYMHFDVYFHNVYAPVSNDTREEFFEE LPRDFPPTAIHIVMGYFNVPQDRELDSLGDVVTHHSGRRECMTWLQHLGVIDAWRLHH PHDQVFSSPKAKHRLDYIFADTSLVQEAYLKSSYFECPDPTDHLCHSVTLLPSGLARS RSSWKLPKELLVILEVQSVIREEARALLVQLDNATNIGVLWAGWKKRIRRFLRQMGKD AQLSPAEKGAILAFCKAGCSNRAIAGELGRSKDVVRCYLCDPEAYGTRYNGMKPKILV GSACRLMLREASKVGSSARLLKATLNLDASLRTCQRRLQQSPILIYEKRKHIPNIQAK HKIARVEYAKRHLRESTKWTSLEEAYGTRYNGKKPKILVGSACRLMLREASKVGSSAR LLKTTLNFDASLRTCQRRLQQSPILNYEKRKHMPNLQAKHKIARVEYAKRHLRESTNW TEIIWSDEKKSNLGGPDGFQSYWLDLRKEPDTFLTRQKGGGGVMVWGAFSSKGLSELA FLEGNQKADDYVETLENYLLPFGHCHYGPRFTFMQDGASIHRAKTTMAWFAENGVDIF DHPSLSPDLNPIENLWGVLARSVYANGRQFMTLHDLVEAIKRAWSGLSQTYLDKLIRS MQDRFVDVARSSAVSVLFKGEDRRNTGNYRPISLIPVEVKALTRALAYRVNSLLPLLI YPIQNGAVKGRRIHGHVLFLRDLQHKHTLDGEEGYAMFLDFEKAYDRINWDFMFDTLE PFNFGPRFLQWLRLLHNHPVVHLVISGTLSQAIFQSRGVKQGDPLSSLLFVLSMEPLS QLLRDHEELDITFSETQLATVLLFADDTTILASSMENVERQLDLVNEFCSYTGARLNR NKCKSSKGQLNLISDTYYASFITWGCRVRALKGRRLIANPMILSKLWQYAAVLPLPSD LTKQWQTMLTKYILDRRGYAFLIFHHNSDLNVFDAYNYLCYRIQRMSECGPSSRRSFG SGAPGHSIARVDGMLCSVPQTLEQRCSIFLYCHHFGCTCGPSGEMFQ H257_05683 MQHDEVIWGVINNQFCSFKSKLREGSAFCRNEYNVTGLCNRQSC PLANSRYATIREHNGVCFLYMKTIERAHSPKNLWEKIKLSKNYTKSLAQLDEHLQYWP KKLLHRNKQRLTKIHQYLMRMRKLRMKTKPNLVVISKKIERRESRREEKAKVAAKLET SIEKELLERLQKGTYGDIYNFPEREFTKLLDEHEEIESEQSEDEEVEEEVEYVEDFED ESDMEDYEQQGSDSDNDDDDESSSDEDQPKRKKPKRQPTQKGPYVEIEYEHEQESATS H257_05683 MKTIERAHSPKNLWEKIKLSKNYTKSLAQLDEHLQYWPKKLLHR NKQRLTKIHQYLMRMRKLRMKTKPNLVVISKKIERRESRREEKAKVAAKLETSIEKEL LERLQKGTYGDIYNFPEREFTKLLDEHEEIESEQSEDEEVEEEVEYVEDFEDESDMED YEQQGSDSDNDDDDESSSDEDQPKRKKPKRQPTQKGPYVEIEYEHEQESATS H257_05684 MSKKAKAVETVTEVVKLGPTNREGEEVFGVAHIFASFNDTFVHV TDLSGRETIVRVTGGMKVKADRDEASPYAAQLAAQDVAAKCKDVGVTALHVKIRATGG NRTKTPGPGAQSALRALARNGLKIGRIEDATPIPTDSTRRKGGRRGRRL H257_05685 MQHTTRAFHQGKVLAATAMPLKAGSSNHQPAAAACIVEANETAY IPVALARSHLERVVQDMHALQADHATKLATVVDRYNKIEASTKAHYEAFVGELKRRTL DRVQMHKQQYSRLQSESDQAKAEATNDLHQLQETMAEHDRCHAAEWHSWLMERDALAN QHAADVLALRHSYEMELARLSAVARVQRENAARALAHCGLMSEDWRWEVQLLRSVEMA TDNPTQPIKSDNDNTSTCGLDARLWVDWLVDRALHQADVHGLQTVLRQAKTDIAHTQA MYDDLMVTSQVAAVLATVVCDVVDTIQPTSRTSAAKVLPFPSKMAAAFHHGTKWKSIV ALEPPQEYAHLIACEMDMEVLKTQLDTLKGQSTAVFQTKTAAKQRIKEWLNDFQAKYN RDPTLTDKAQVKDLYVAFKQAEDDHARLKQDTATAKAAYDLKVAEVETLQGSGNMRQF PHHTLVAHLRTALDDAQAKVDALQSRLKTPPSIQEPHSSNYPSVQEHRPQPAVVLERQ PSLKGPVTTNTSPRIVSQPSIQALDASPGPAIQLPMTQSSQPGKPLLEAPLSSIQPQH PPLIEEHSSSLVLPLTASPEHSDVSLAAPSKDAQKRTSLQGHFSTSNDTQPPSQAHTI EVSSTEKAQSPSIEDKASILKLKAALAAEHEVARSLIETQLALQEELLSWRVQAASSS AATLAADTFHDTSNDLDPDNDKNDDLDIDEGEDISSGNTSSTSLIAEPKLQGDVDGSG LGAAVQRAKAAIEAGKVAWNQGDKAGCHVILLSACHAIAPINVPGGDVVALAVAEAAT VVPAKGAVVLRKALDAFVAGHPNADSAVAAHQLATTPPVQAKAQRNTNTLATGSDGSA NSTKVANEYKQKLKVVESKWKADRVKLNQLEQALTKANNAGGKGGSDGGDAVSDRVWT KKLADAEKKAQKVVDDLQTQSTRQITALRTELVQASATVSDLTNQVQELTTTVTALRT QTARLSQLEKDMVGLQAEAATAATLTTNLNTLTQQYATLESQYKEEQALRKKYYNTIE DMKGKIRVYCRCRPMSSSELDRNCHSCVRFLDDFTLEVDTARGPRSFTYDAVFNPSHT QDHVFEDTKHLLQSALDGYNVCIFAYGQTGSGKTFTMTGTDSHPGITKRLIELMFALQ ESQASNQSISYEASMLELYNDQLIDLLAQLDPAYKEDKALKLDIKKNEKGMVVVTNAA SKECTSAHQTLRMFDAANKKRQVGSTKMNAESSRSHSVFTVLIHNYNKTTKQTSVGKL SLVDLAGSERAGKTGATADRLKEAQAINKSLSALGDVISALSTNEKFIPYRNNKLTQL MQDSLGGNAKTLMFVNISPADYNQEETQTSLSYASRVKLITNQANKTSDSEEVAKLKA IIKQLKAGSVVDDDVAASEGDSVFVNRGNCNLVLRPVVLIGGVGSIRKSFDPLTNHFP ISTAKWPRLCCSNRPPGTRSSKWSSRSRLPCCSIKCNRACKTWASSVPPSSSRRSLPS CRLNPRSKTSTRSRKGWSRTTCKRSSS H257_05685 MQHTTRAFHQGKVLAATAMPLKAGSSNHQPAAAACIVEANETAY IPVALARSHLERVVQDMHALQADHATKLATVVDRYNKIEASTKAHYEAFVGELKRRTL DRVQMHKQQYSRLQSESDQAKAEATNDLHQLQETMAEHDRCHAAEWHSWLMERDALAN QHAADVLALRHSYEMELARLSAVARVQRENAARALAHCGLMSEDWRWEVQLLRSVEMA TDNPTQPIKSDNDNTSTCGLDARLWVDWLVDRALHQADVHGLQTVLRQAKTDIAHTQA MYDDLMVTSQVAAVLATVVCDVVDTIQPTSRTSAAKVLPFPSKMAAAFHHGTKWKSIV ALEPPQEYAHLIACEMDMEVLKTQLDTLKGQSTAVFQTKTAAKQRIKEWLNDFQAKYN RDPTLTDKAQVKDLYVAFKQAEDDHARLKQDTATAKAAYDLKVAEVETLQGSGNMRQF PHHTLVAHLRTALDDAQAKVDALQSRLKTPPSIQEPHSSNYPSVQEHRPQPAVVLERQ PSLKGPVTTNTSPRIVSQPSIQALDASPGPAIQLPMTQSSQPGKPLLEAPLSSIQPQH PPLIEEHSSSLVLPLTASPEHSDVSLAAPSKDAQKRTSLQGHFSTSNDTQPPSQAHTI EVSSTEKAQSPSIEDKASILKLKAALAAEHEVARSLIETQLALQEELLSWRVQAASSS AATLAADTFHDTSNDLDPDNDKNDDLDIDEGEDISSGNTSSTSLIAEPKLQGDVDGSG LGAAVQRAKAAIEAGKVAWNQGDKAGCHVILLSACHAIAPINVPGGDVVALAVAEAAT VVPAKGAVVLRKALDAFVAGHPNADSAVAAHQLATTPPVQAKAQRNTNTLATGSDGSA NSTKVANEYKQKLKVVESKWKADRVKLNQLEQALTKANNAGGKGGSDGGDAVSDRVWT KKLADAEKKAQKVVDDLQTQSTRQITALRTELVQASATVSDLTNQVQELTTTVTALRT QTARLSQLEKDMVGLQAEAATAATLTTNLNTLTQQYATLESQYKEEQALRKKYYNTIE DMKGKIRVYCRCRPMSSSELDRNCHSCVRFLDDFTLEVDTARGPRSFTYDAVFNPSHT QDHVFEDTKHLLQSALDGYNVCIFAYGQTGSGKTFTMTGTDSHPGITKRLIELMFALQ ESQASNQSISYEASMLELYNDQLIDLLAQLDPAYKEDKALKLDIKKNEKGMVVVTNAA SKECTSAHQTLRMFDAANKKRQVGSTKMNAESSRSHSVFTVLIHNYNKTTKQTSVGKL SLVDLAGSERAGKTGATADRLKEAQAINKSLSALGDVISALSTNEKFIPYRNNKLTQL MQDSLGGNAKTLMFVNISPADYNQEETQTSLSYASRVKLITNQANKTSDSEEVAKLKA IIKQLKAGSVVDDDVAASEGDSVA H257_05685 MYVGWDLQTTRAFHQGKVLAATAMPLKAGSSNHQPAAAACIVEA NETAYIPVALARSHLERVVQDMHALQADHATKLATVVDRYNKIEASTKAHYEAFVGEL KRRTLDRVQMHKQQYSRLQSESDQAKAEATNDLHQLQETMAEHDRCHAAEWHSWLMER DALANQHAADVLALRHSYEMELARLSAVARVQRENAARALAHCGLMSEDWRWEVQLLR SVEMATDNPTQPIKSDNDNTSTCGLDARLWVDWLVDRALHQADVHGLQTVLRQAKTDI AHTQAMYDDLMVTSQVAAVLATVVCDVVDTIQPTSRTSAAKVLPFPSKMAAAFHHGTK WKSIVALEPPQEYAHLIACEMDMEVLKTQLDTLKGQSTAVFQTKTAAKQRIKEWLNDF QAKYNRDPTLTDKAQVKDLYVAFKQAEDDHARLKQDTATAKAAYDLKVAEVETLQGSG NMRQFPHHTLVAHLRTALDDAQAKVDALQSRLKTPPSIQEPHSSNYPSVQEHRPQPAV VLERQPSLKGPVTTNTSPRIVSQPSIQALDASPGPAIQLPMTQSSQPGKPLLEAPLSS IQPQHPPLIEEHSSSLVLPLTASPEHSDVSLAAPSKDAQKRTSLQGHFSTSNDTQPPS QAHTIEVSSTEKAQSPSIEDKASILKLKAALAAEHEVARSLIETQLALQEELLSWRVQ AASSSAATLAADTFHDTSNDLDPDNDKNDDLDIDEGEDISSGNTSSTSLIAEPKLQGD VDGSGLGAAVQRAKAAIEAGKVAWNQGDKAGCHVILLSACHAIAPINVPGGDVVALAV AEAATVVPAKGAVVLRKALDAFVAGHPNADSAVAAHQLATTPPVQAKAQRNTNTLATG SDGSANSTKVANEYKQKLKVVESKWKADRVKLNQLEQALTKANNAGGKGGSDGGDAVS DRVWTKKLADAEKKAQKVVDDLQTQSTRQITALRTELVQASATVSDLTNQVQELTTTV TALRTQTARLSQLEKDMVGLQAEAATAATLTTNLNTLTQQYATLESQYKEEQALRKKY YNTIEDMKGKIRVYCRCRPMSSSELDRNCHSCVRFLDDFTLEVDTARGPRSFTYDAVF NPSHTQDHVFEDTKHLLQSALDGYNVCIFAYGQTGSGKTFTMTGTDSHPGITKRLIEL MFALQESQASNQSISYEASMLELYNDQLIDLLAQLDPAYKEDKALKLDIKKNEKGMVV VTNAASKECTSAHQTLRMFDAANKKRQVGSTKMNAESSRSHSVFTVLIHNYNKTTKQT SVGKLSLVDLAGSERAGKTGATADRLKEAQAINKSLSALGDVISALSTNEKFIPYRNN KLTQLMQDSLGGNAKTLMFVNISPADYNQEETQTSLSYASRVKLITNQANKTSDSEEV AKLKAIIKQLKAGSVVDDDVAASEGDSVA H257_05686 MAPPSSSSSTSIAGPPPSGPTLSERIAAIQNMDISRVLRLMRLG NVFCSVFQIVAGIGGVFNLIALNVTGALLSMYVVLFGLLFLLFECRLKSMESRIRANF GFLFSYNGRAGFIFFIGFLDFGMGSAMGTVAGILMCLNAFLNLFIMLKHPEFASGAVR KDADPTTGYADSKEAAQAYLHSNPQVALQATKFALSGR H257_05687 MDIQTFEWPHAIGTQGNLASNCCRLGPYAGIKFNLFEQLQTLAN HTRRIVQVDGVRGFRGFRVHVRTRGAIPFEGIQFACYEYGKTYTTTRRWPHNKTHLQT KP H257_05688 MASTQVRAHIALERNSVVGPSFLTCPLDVGVDEVVYPRGGHGKR LVRKGGPSGTSVAATQIRPRVQHKSDFSNVGDVADCPLGRIAQRAQKNHVPGRPRLPQ ATVASADKLARVGLQPNHVAVFKGTDCGRRGAGEVGGAGVKRFLRKVAKAPGALVVPR VTKLGQNVTPTHHLGQWLSSGRDGGFAAFGGHIAFPSVVGPTLDIAVCIKRHGRVRPR PQRRVRILQTLPHRNERVFSEVAPVLGAVVVASVPVRLLMHSATHQRIGGVRCTVDRG LAVAIVVASVVIKRPTLHFSVGRQRERNRVARNALPVGGSGRVK H257_05689 MEMACPPSILLGNIMENYMSPRNHHQAASEVGECVELASEDNGM HTPWDQLSPASSVSVSPVSSTASTMSFALDHMDEDELELDEASTMVVVSTKSVEDSCG IVAWSVSSSVDKQGRAEKAPATLSILASELVQEGSNATTSPHSQVPATDAPSAATSSS QNPETALPELNELLPKLRVTELIVDGAKSPKVVPVTTHTNPFECSPDSIAIHIGNLTA IKGFALSPTCAVHEHEEGLHDQVAATAPPSTASPAASQLLWQCEEPPRLLLTYPAAAT STTSSPPSTERTGDVDALRPPCAALSSSPTQPEDAPLCGSLLMSTKETIPTHEAVTRS LLTTNHPTKRMVQPRPPSPPPPSNHPAFAHASESSPTTCWSLHEIARFKVHSMASPPP SSFSSFRSSGSRTYPTSMVLLPFHFESELRSHGVDAALEAMGRGWSLALSLLQSAIHT LLHKAPTTSTTSRTWYYLYLVDEVKGTLVVLPRPETSTYPLLVESTAVVPLLPFLASS LHLVHNIEPLEAMLHPSKTTVEGTLVHLRAAQAALRHPSVQYVDVLTTAVSQAKRGGR VSRRRVQDVARRELHLFLQTLDPEHECSGLGCVVEPTTGVPLWTASLPPPVDTYVAYF EDTDDVLDEMAQFCADKFGRGRSSVLGGHERVCDCTIS H257_05689 MEMACPPSILLGNIMENYMSPRNHHQAASEVGECVELASEDNGM HTPWDQLSPASSVSVSPVSSTASTMSFALDHMDEDELELDEASTMVVVSTKSVEDSCG IVAWSVSSSVDKQGRAEKAPATLSILASELVQEGSNATTSPHSQVPATDAPSAATSSS QNPETALPELNELLPKLRVTELIVDGAKSPKVVPVTTHTNPFECSPDSIAIHIGNLTA IKGFALSPTCAVHEHEEGLHDQVAATAPPSTASPAASQLLWQCEEPPRLLLTYPAAAT STTSSPPSTERTGDVDALRPPCAALSSSPTQPEDAPLCGSLLMSTKETIPTHEAVTRS LLTTNHPTKRMVQPRPPSPPPPSNHPAFAHASESSPTTCWSLHEIARFKVHSMASPPP SSFSSFRSSGSRTYPTSMVLLPFHFESELRSHGVDAALEAMGRGWSLALSLLQSAIHT LLHKAPTTSTTSRTWYYLYLVDEVKGTLVVLPRPETSTYPLLVESTAVVPLLPFLASS LHLVHNIEPLEAMLHPSKTTVEGTLVHLRAAQAALRHPSVQYVDVLTTAVSQAKRGGR VSRRRVQDVARRELHLFLQTLDPEHECSGLGCVVEPTTGVPLWTASLPPPVDTYVAYF EDTDDVLDEVGALVMYIKLMV H257_05690 MTSRFHAVVMVTLLLLSAHATVAQDTMCRTPATSCTYGYRCTYY THHPDSSATSVRQCSCECVSDNPPNDGPVLRRPCSVDAQCPHGQVCFDGDSWAGFCLT HHAKPSSSDKQAKSGGLRRAAPLSTANHQLTESLKSTTHPNTQVGNGPRKADTKLKKA ACSNSQTAYIAACSVVAGVVAGAIGTATVLVQWVLPRARTL H257_05691 MAEVAAEVKLFNKWTYDDIKVNDVALIDYVAVTGKAATFLPHTA QRYQKKRFRKALCPIVERLGNSLMRHGRNSGKKLMATRILRHTLEIIHLLTDQNPLQV LVDAVINAGPREDSTRVGSAGVVRRQGVDVSPFRRVNQALYLLSTGAREAAFRNVKTI AECLADELINAAKGSSNSYAIKKKDEVERVAKANR H257_05692 MGTTTSPEVHFHWKSILLTSCFFTNLLFMPLKGYLSEGSPFTTD SSVEASEFDMTLPLLFNASTMAPTIMYDYDSQYGLDVMRTVVADVLDPTDATTTTLNP VYSILGVPYFPPDVKRNWPWVLTSTNHTTAGPLHATHTIISWNITILTASASTSVLWV VPGNDLGRTAATSNASSTVYYVYRPVVKMMLWRLVKLLYRIGLCVWIFMLCTTHYYCH VYHLYNNLKTIPLHVTSPAMTRYEIVVGEPTCVVLSNPWLCLAFVMDICASTEYVGQA CLRVCQTENWLYFGLGLLYLGRTVWCAYSTLTILNIMLKRCHRTTWFAPANTTVLAVA ATWAGGGIVTIQKQWPKVLDLYTSLFVPYDVDTDVSKLHHIGHMDIALIMAVYLGMMC SLPFAVAACSGVWNAVQRSTTKMPTLTRKTSFVSILIATNRRLSSIGSIGPIHAMEMV VEPPKHTVESSFHSNDFKHRLMLWFCNLGKLNHDMYTGGSVYPLFQQCPDYQSQCTIS QRGGDCYVLSYDRHDHIVEVTRVTLVSQIDLTVVHRPFRINQLTTNAAVGRFVVAKKS DAIRAATLHRGCSNSPWVS H257_05692 MGTTTSPEVHFHWKSILLTSCFFTNLLFMPLKGYLSEGSPFTTD SSVEASEFDMTLPLLFNASTMAPTIMYDYDSQYGLDVMRTVVADVLDPTDATTTTLNP VYSILGVPYFPPDVKRNWPWVLTSTNHTTAGPLHATHTIISWNITILTASASTSVLWV VPGNDLGRTAATSNASSTVYYVYRPVVKMMLWRLVKLLYRIGLCVWIFMLCTTHYYCH VYHLYNNLKTIPLHVTSPAMTRYEIVVGEPTCVVLSNPWLCLAFVMDICASTEYVGQA CLRVCQTENWLYFGLGLLYLGRTVWCAYSTLTILNIMLKRCHRTTWFAPANTTVLAVA ATWAGGGIVTIQKQWPKVLDLYTSLFVPYDVDTDVSKLHHIGHMDIALIMAVYLGMMC SLPFAVAACSGVWNAVQRSTTKMPTLTRKTSFVSILIATNRRLSSIGSIGPIHAMEMV VEPPKHTVESSFHSNDFKHRLMLWFCNLGKLNHDMYTGGSVYPLFQQCPDYQSQCTIS QRGGDCYVLSYDRHDHIVEVTRVTLVSQIDLTVVHRPFRINQLTTNAAVGRFVVAKKS DAIRAATLHRGCSNSPWVS H257_05693 MNSAQLSPSRTPDPTANANSVNGDATNHSVHDDVDPPATPPGVQ IHQLIGLAHAMHKVVCLVCFATRPKTAPYCLECGAASPSGDQPSSRRSLLGDENVTPS VALRNGDLGVPLNTPVDSIHQAPGSASCSDAEPADMSSNTSQEPVATMDQLLVIDTKA HSAVVSSQEENCQLEAAVSSDAPDTDPISSSDLVDLRALACAMRKVVCLSCHAMNFQS EVVCVKCGASFAVPTSDLPLQGASSSAEVVLEESSHVVEGASNENIHGRVRTVCRTCF ATGAADNTFCIECGENLSLSITSPETQSTSVLAPSAVVGPEVAVQVAATTGSNDSNEH GDMGPQQGDNNNAASKSPDGGTRPADVIGGQVHPDDGSANKIAPPPPDVPSSSKLHAD TIVYGATIVLRGFVPPSGDNDGSATFNKPEGFCPVAFRPLVSTGFGKSNEPVLTLVQA NDCPLPLAQFVVLPPPGDKNARLGKTSVHFLQKVVLAIAPSVAGDPTHSWNNKLPGGV NDFIGTRPRLQPDHAGPTKGELHVMFVQTDHPTSTQKLATGMANVTIRVVDSNRLRET YTAQDVGFCVAAGGKSSPLVVGHEKSLMEFAKKVHPSALTFRVERVKDARQSSSPSPA TEQQPGGTAEQALE H257_05694 MERPTAPPETTPPPCRADDGRHDAVATRADNGASPENFTQSSRA SVTTPRQDEDADRTKSSVRLKKSIVLQPMQCLNSTASTDELFYLACQAGDEVMVRSQL PLASSGALDLNRFTHHQDTPLCVACVEERLEVVTLLLSHPSIQVNLSNYSHPPLALAA GLGRVQVVRLLLGHPAIDVNAATARRTPLTAACENGHLDVVQELCACPALSLNLLDHD EHSALFTASLHGHSDVVRFLLTLPDTDVNLLCGGDLAVTMATLYHHSDIVTMLLAVPT LDVNKLDQDGHSALSLACQEGFEDIVALLLTHPAIQLRVSGTKSALTWAVEKGQVAIL DRLLTHEQSIPSTVQPTDKVPLTTVACQRGHLDIVKLLLARGVFESDPPEVQWTSVYE ALLNKRLKVCRLLLVENGSKLTLPPTPKGQPTLLHAAAVAGYEDIVGTLLARAYDDVG ALDPDGRTALEVAVTQGQWGVATLLLSADLPVRVSQDGQVVPNATHVNSWTDIADPSG RFAHMDASKRCDVVRALLLQFPESSQRELAQALLSATHSTSGLTVDSFIDSKSLELLR SWTPSPQLGERTCLENQRALRTPGAVSVGRIELVEGADNSMVQ H257_05694 MERPTAPPETTPPPCRADDGRHDAVATRADNGASPENFTQSSRA SVTTPRQDEDADRTKSSVRLKKSIVLQPMQCLNSTASTDELFYLACQAGDEVMVRSQL PLASSGALDLNRFTHHQDTPLCVACVEERLEVVTLLLSHPSIQVNLSNYSHPPLALAA GLGRVQVVRLLLGHPAIDVNAATARRTPLTAACENGHLDVVQELCACPALSLNLLDHD EHSALFTASLHGHSDVVRFLLTLPDTDVNLLCGGDLAVTMATLYHHSDIVTMLLAVPT LDVNKLDQDGHSALSLACQEGFEDIVALLLTHPAIQLRVSGTKSALTWAVEKGQVAIL DRLLTHEQSIPSTVQPTDKVPLTTVACQRGHLDIVKLLLARGVFESDPPEVQWTSVYE ALLNKRLKVCRLLLVENGSKLTLPPTPKGQPTLLHAAAVAGYEDIVGTLLARAYDDVG ALDPDGRTALEVAVTQGQWGVATLLLSADLPVRVSQDGQVVPNATHVNSWTDIADPSG RFAHMDASKRCDVVRALLLQFPESSQRELAQALLSATHSTSGLTVDSFIDSKSLELLR SWTPSPQLGERTCLENQRALRTPGAVSVGRVC H257_05695 MVLAQDEIDACREAFLAFDKDRSGTIDVWELRQVLEAMGQQPTE EELFQMISEVDEDMSGAIDFAEFLQVIDNQKDRAALYNDESDMIDAFVACGGKPDKSG VVKRDTLVKIIKIDFGLTINIEEMINKLDVDQSGEIEFEEFKAILT H257_05695 MGQQPTEEELFQMISEVDEDMSGAIDFAEFLQVIDNQKDRAALY NDESDMIDAFVACGGKPDKSGVVKRDTLVKIIKIDFGLTINIEEMINKLDVDQSGEIE FEEFKAILT H257_05696 MPQDLRSSSHGSTGSSSSRQELGSAPVAISGGWTVSQRIGEASR PDNADMITAVEYDRYGDYIATGTKGGQIAVYTKDVNAQQQGRLTRSFQSHDHSQNRYA PYKSFQSHKAEFDYLKSLEIEEKINQIRWCRPSNDALYLLSTNDKTIKLWRLHNREVR TVQQFQHPANTYGDVIRLPTLNKAPPVAVATTKKVFANAHTYHINSIALNSDGETFIS ADDLRINLWHLGVSDQSFNIVDIKPNNMEELTEVITSADFHPTHCNIMMYSTSRGAVK LGDMRTSALCDSHSKLFEDQEDPAARSFFSEIIASISDIKFSPDGRYIISRDYLTLKI WDINMESRPVHTINIHEHLRPRLCELYDTDCIFDKFECSVSGDGNNFVTGSYNSEFHI YDRYGRSDYCLNPLSNAGRRRSSAPHTAISRALATGTADGDPLDFTSKVLQTTWHPTT NEVAVAIKNNVYLYSAKQDAHPSMVAVKNSKK H257_05697 MPWRRSASKMLACAAVAIVAAVVYWEMNGPHMRSTTLYDSLKVS REATQDTIATAYRGFSLKYHPDKTTSLAPEAREASTRLFHDVSNAYSILSNPQSRYEY DRELAHKATEPASLWWWPHGLATQAASLSTWVRLFAALGLLTALHECLVRPVGLWFSG ERIAAAPIATSQKDLARHECILRLQQEYDARQALANRRRRN H257_05698 MISFASTTGILAAIAHTASSKCLLVHLHGVPSHDGISLYEDLTE HSREAVHAYLRDKFAPAQASFVAQLTSATARANMTMPRAFPLWIQNTVILYDVEDTVE ALVHKMNGIATVVEDGIVYLPSMTSTSTASIPRLDDMESSSVQDNVKDLHADEAWSKG WTGTGVVIASIDSGVRYTHNALRGSYRGTQQRDGSVNHDYAFWVPVSQNATALTPDNA DLVGHGTHTMGSAVGSGGIGIAPNATWIAARPFNWDGSAAQSDILLAGQWVMCPTTWQ GTQAKCHLGADIVSNSFGADSSVHWMDHIVQAWRAAHILPVFASGNVNGFQCGSVMCP GCLREAVAVGALVGSKTLWGGSGKGPSPVGGVVKPDFVAPGVAIRSASSLGDAKFMRL TGTSMATPHVSGAAALVLQACRATTPTGCSVDQVVMQLQQTTTTQSLHKPILVPSTCG GTPYNRFPNNIYGYGLPNVLKATNPSSSSVYTARVADEGVVAVTVA H257_05698 MISFASTTGILAAIAHTASSKCLLVHLHGVPSHDGISLYEDLTE HSREAVHAYLRDKFAPAQASFVAQLTSATARANMTMPRAFPLWIQNTVILYDVEDTVE ALVHKMNGIATVVEDGIVYLPSMTSTSTASIPRLDDMESSSVQDNVKDLHADEAWSKG WTGTGVVIASIDSGVRYTHNALRGSYRGTQQRDGSVNHDYAFWVPVSQNATALTPDNA DLVGHGTHTMGSAVGSGGIGIAPNATWIAARPFNWDGSAAQSDILLAGQWVMCPTTWQ GTQAKCHLGADIVSNSFGADSSVHWMDHIVQAWRAAHILPVFASGNVNGFQCGSVMCP GCLREAVAVGALVGSKTLWGGSGKGMYDM H257_05699 MEAHRRDDDDFAAPAGTVMEDQVCATDIAWASTAGLNSSTDCGG VKSESISSIIDVNDILDNSFCVTQSIVDPTSNSTTSGDHSSIMEHSHTMCTGSTTTTT TTTTTSNSFAYSAHTEPDSPLDSIAVHPSRGPPDAKHVPARKAATSSVTTPSSSHRGM KEMYMLVFDNGKLLGGKTIMAASTALFSVALVVCAVALRAKTRQAVKMPSGVLLGSIG TVVMACIVCVSYLLSPGCRRHSNILLLNRSVMDLLLALSFLLQPAWNNWHEGSELGMS CQYVSAVREYFIMTSVAWEFCMAVDLFSLLNDPFTSPRKNRGRYQLLSHGLGILAGGV MLTSSSFYGMSVGDFCWVKCSGLDQRHFGVFDAGVWIFIAVPVVCFISTNIYVCAVSA KRFRSGIEATLEHRRGLLREGFLTTVAFIVYWLLLWGVYIGFWLAQSDWEILQELFGF LLSFRGSVVFFLWILYSNTSSRSGEDRDDDATRAQTNFALLNELVFYTTRGITKAVQA ANKRQALELAEVSIFTVHPPQNEHALYSCQECKFTDIRPDAFTHVRELFGVDETELIS AFSNCSIPKLSEGASGSFMFFTSTGAFIVKSVTRRESAFLSSIVDQYAAYVVANPGTF ITRIIGSYAMVLYGRESYFVVMENLFDKHHAVHHRYDIKGSWVDRNAEKPRRGQECTC RYCNMTFRTGNRDSCPNRAGFHEPNVVLKDMDLTTKVRLSDAQGPSVVTQLKKDSNFL CDQGIMDYSLLLGVIEVRFHVTATNIIVPDGDHDHHVRLPSVVPPAAAKGPMAKQSVA SVRAADVVVGPGFFYMGVIDILQTWTVEKRLERFVKAVLCRKDPTGISALPPKPYRDR FHKKLDEIFHVATSNTTHASPLGRLDVVDNGGKVLPVYTDSAPKKFSFQNEFRDSPLA QDTINAAAFNLNG H257_05699 MEAHRRDDDDFAAPAGTVMEDQVCATDIAWASTAGLNSSTDCGG VKSESISSIIDVNDILDNSFCVTQSIVDPTSNSTTSGDHSSIMEHSHTMCTGSTTTTT TTTTTSNSFAYSAHTEPDSPLDSIAVHPSRGPPDAKHVPARKAATSSVTTPSSSHRGM KEMYMLVFDNGKLLGGKTIMAASTALFSVALVVCAVALRAKTRQAVKMPSGVLLGSIG TVVMACIVCVSYLLSPGCRRHSNILLLNRSVMDLLLALSFLLQPAWNNWHEGSELGMS CQYVSAVREYFIMTSVAWEFCMAVDLFSLLNDPFTSPRKNRGRYQLLSHGLGILAGGV MLTSSSFYGMSVGDFCWVKCSGLDQRHFGVFDAGVWIFIAVPVVCFISTNIYVCAVSA KRFRSGIEATLEHRRGLLREGFLTTVAFIVYWLLLWGVYIGFWLAQSDWEILQELFGF LLSFRGSVVFFLWILYSNTSSRSGEDRDDDATRAQTNFALLNELVFYTTRGITKAVQA ANKRQALELAEVSIFTVHPPQNEHALYSCQECKFTDIRPDAFTHVRELFGVDETELIS AFSNCSIPKLSEGASGSFMFFTSTGAFIVKSVTRRESAFLSSIVDQYAAYVVANPGTF ITRIIGSYAMVLYGRVHEFITRNKCLGI H257_05700 MMAAKDMKDDSAVVLILSKVKFPSSTDLYRAQVTATKGSLPITV WIESLRSKQQWECDISCFDDHKPLTAGYALPSEMVFSALMSVLTSSSKRARGDHPPVN SEHFEVDLKPATGDNPDGRLSLQLALQAFVGLRAEYTFEMIRRQKQPLDALRSQLFAV QESVDELKAHTKNIATHLPIPSPQIEWLHVGTAASTPSGAVVQWPTHVRLPQDLACFV DEEATTLTVQRSGLYHIQVAGSCPSSAGRLELIVDDVKVAVADAIKQDNRSKNKTRLH LFHATPLDANARLRIVVASAACDHSKCAGEACKKVKLGNDAQLYVYALGYHDNATNQH DTDSSDGYSD H257_05700 MVQSILTHSRPSHAGCCGRECDISCFDDHKPLTAGYALPSEMVF SALMSVLTSSSKRARGDHPPVNSEHFEVDLKPATGDNPDGRLSLQLALQAFVGLRAEY TFEMIRRQKQPLDALRSQLFAVQESVDELKAHTKNIATHLPIPSPQIEWLHVGTAAST PSGAVVQWPTHVRLPQDLACFVDEEATTLTVQRSGLYHIQVAGSCPSSAGRLELIVDD VKVAVADAIKQDNRSKNKTRLHLFHATPLDANARLRIVVASAACDHSKCAGEACKKVK LGNDAQLYVYALGYHDNATNQHDTDSSDGYSD H257_05701 MAAARRAAAAALAVAPPPTPKATDLVLALSRTTFASHTDLFRAE IFTVGGELPIRIWMESRRSKGQWECTVTNFDDHRPAGAAYSLPPTTILTALMSVLTCT SKRSNETCSESCEHYDIDLHPLPQRGLSLALSMKAFVGMRADYTFQLAPCAVEALDVV HAKVRDLEEEVAELRAENRRLRSAKRQKAARTPLDRLEVSSGHDTDAFDHVAWTVPTL SQPSLVSINSDHDVLTIRREGLFHLHVSGSCTTSSGLLVLYHNDNKVAVAAAIKQDDG ASTKYQLQLSVMLQLAVDATVEVCYLSKTPCGHSQCPPAGSCARSKLNKRATLVVHVI NLLPPSDQAAPESPPVEDDDDTDVDIVDVKRER H257_05702 MAAFASAGKSMPGAHVSFDDLLEREWSANQPHAHTSSDGRKQVP KKPFLKRGARGWWKNSDKAVPMAYSLSSAHDDEQKGTATSKTTAFPSKQQRPSQASSQ PRRTQVLGPSASSRAQVPVNHRSSTRRTTPTSSTAASLGRSASDAIETPLHAAPYVPS PRGNSTVLNASSSRYIPVAHQAPDSSNWSIHDEDNNDVRHDEDPPPDTCKYHEHPLTQ SVDWKLEQDAHELQEFESLEQQLLQQHTHSTPHSHQLDDTDVHADPSAAAGTQPPPPW RVDEETERRDLLAELDAWSRDDITTRPPAASLYDQDLYDLNHSFSIDGHGDDEVGELS HHQSSMLHYPHHDHIEALNEGSLNDVSFADSEPWDEYDQGPAPIMAQERLDLTSNVHS VAEPHQESANVGQQPSYIEQKFQKAAAAANQMPPPPVSSSLQTLKMKLKQKAAASSRT PAKPTGKKSAGKIPPLPPPPPPPGKVKREKSLPGHHVGTAAAATAPHSVGTVPPPKPS SSHFPAGIEDKLFELETEVKHYKQETLKLQKRREALEADQRKLDQQRHDWHEEKRKAQ EDMDAQWKHLRKERRALDQALKFGAAALPDRKERGEIDALKAQLVKMQVDDKAKTNKH KAATDFFRHRIAELELRNQELRDDLKFMEQERLANWNWTNDASNHNNNPGSNQSGKIP SRPGPGTAPISLASSINYNISTSDAPMKKDKSTTVDEDAAYNPAQYQPNYGAVGQSKD KSDSRRTNGSETHHSDDGDQHKNDLNGAFGLETTISDDGHETPDDQATPSLSELHPNS SEVEEIRHSGGKVERRYASGPLLKSFQFANGTEKDVYKDGHSVVRFSNGDVKETYPGE GGKTVYFYAAAQTRHVTYADDTQVFEFPNGQVETHHANGAKEISFVDGTTKRIETNGD EWSTFPDGTRMVEAKSGFREVINPDGSRARDYPDGRTTWITPQGVEQPVQYKRPTA H257_05702 MAAFASAGKSMPGAHVSFDDLLEREWSANQPHAHTSSDGRKQVP KKPFLKRGARGWWKNSDKAVPMAYSLSSAHDDEQKGTATSKTTAFPSKQQRPSQASSQ PRRTQVLGPSASSRAQVPVNHRSSTRRTTPTSSTAASLGRSASDAIETPLHAAPYVPS PRGNSTVLNASSSRYIPVAHQAPDSSNWSIHDEDNNDVRHDEDPPPDTCKYHEHPLTQ SVDWKLEQDAHELQEFESLEQQLLQQHTHSTPHSHQLDDTDVHADPSAAAGTQPPPPW RVDEETERRDLLAELDAWSRDDITTRPPAASLYDQDLYDLNHSFSIDGHGDDEVGELS HHQSSMLHYPHHDHIEALNEGSLNDVSFADSEPWDEYDQGPAPIMAQERLDLTSNVHS VAEPHQESANVGQQPSYIEQKFQKAAAAANQMPPPPVSSSLQTLKMKLKQKAAASSRT PAKPTGKKSAGKIPPLPPPPPPPGKVKREKSLPGHHVGTAAAATAPHSVGTVPPPKPS SSHFPAGIEDKLFELETEVKHYKQETLKLQKRREALEADQRKLDQQRHDWHEEKRKAQ EDMDAQWKHLRKERRALDQALKFGAAALPDRKERGEIDALKAQLVKMQVDDKAKTNKH KAATDFFRHRIAERLANWNWTNDASNHNNNPGSNQSGKIPSRPGPGTAPISLASSINY NISTSDAPMKKDKSTTVDEDAAYNPAQYQPNYGAVGQSKDKSDSRRTNGSETHHSDDG DQHKNDLNGAFGLETTISDDGHETPDDQATPSLSELHPNSSEVEEIRHSGGKVERRYA SGPLLKSFQFANGTEKDVYKDGHSVVRFSNGDVKETYPGEGGKTVYFYAAAQTRHVTY ADDTQVFEFPNGQVETHHANGAKEISFVDGTTKRIETNGDEWSTFPDGTRMVEAKSGF REVINPDGSRARDYPDGRTTWITPQGVEQPVQYKRPTA H257_05703 MRRPQDDEAAEVKSVSTPMDSAYRFTSTPPHDVQLIAGSASREP ENPLPSTKPPSCVQEPSSIKLWSKATLVKVLWFLADVVFSLSLLLATAVRGSALSSLY LLSWIIGILYSFKSRALAAFTILVATVGVAANTYCIVWYETARANDDNAAMLNLPTNQ SVADMFPIPGKDILAVVGIKYMESWDDYMFGVGPDVLILVSTSVHLYFIYRQRKTQDA AIPITMPSATAAPSSYFSKEALVVILRGSELIVLVSLLLCAFSSPGYLGALYYLVFTY CLVVWTFWSPKITRSQLERHQSTFCYFFGPTSMKLMIAYTIPVLFFCHGYQYPQLHDS AVGRHIGQYANIFVLPGTWANWQGYVFYGSTLFLLATASRTYPIYLQLASSSLPASSS SDATSAVSSASLQRVPSTGESVYHDSQPLVMSSSLRHRRLRDGSANNLSSQASSFYSA RESVHSDDTPHESEHAAIVSAQDLLHQENLVVRVFLEDRGVLGAIAAAVFWCVSYPSH LLGVLFGMALVTLGTYGLVIPRLLLLVLNLYAVVVSVVTYALDVPILVSFQWVQSQKA LLGVTSSQFPLVDLSIHHSCLIVMCFCLRIRLRYRDLLRELRDDQALERLNAQVRISA LSDMSAADTPSRVQHDNFQPHRMTYLELAALWFKDMQCVLVSLLDTTVLFTIFVTVLS TSVNLLQTGYLMLAAFVAVFQRLRRRMWRYLLAYTLVVCFLMYIWNVVCPTSFDRTTM ETVGLTCFAGASPASSWNVLWPTLFIAQLILIVQVVIQLVIYMKSDSGRPDRDAMMRQ APGRPIYFISRLTLEVDLVFRLVGGVVAYAGFLFLGFVYEVDADGRVTLIGLLQVLLM FTLLGSHMGSMVKSPRGNSTKTQFLWRLVLVYEGIVLVLRYIYQFRAVEDFIRTHWHL TNVLTIEDFGLKRYSDTNELSGLFAYLFPTALLAAVTAWHLRSMKRKLPTYEILTPGR SVAMDGVVAVMAEFKRMVFVNSPIVLLLFNMGVVCHNINAFNVTYLSLLLATLFKPKW TGSWKSLFWLSSFFVLCLYAFQARSLQPDKLAVLFGSDFAAKVEENANWFGLARINKA LATSSSSSSSTDTANGGNYFHATIWSMTWQHLVVMLLCVVTRASHFWDPDKDKPVGRR RKHHQQPKRRETSERWMADAEDADEYEDGGGGAPLLKSLQDFSVRFVSQGSVTLTMLV LLVSAFVHLNSISVVYLVVVRWILAANPVTVCRNWTYLAMMLVFVCVTQYFVMLWLPP FMNRPRQSLPPWTWFEFVYQEYFALNFQHPWGLFCDYMCLLVVFMIPGAKTYYLEMEQ REGAAAARAAQLLVSGHVDSPPVSGGPVPGDVHDFTTDALRNQRPWRLLVFVVMNYWV FGLLVLVFVSGCVRSGVTSGIYLAFAIYMLLHVHAVDDPQSKMLCRLRDVSWGYLFLL ILFQLPVFSDVTDQCEIGTNSQSDGVCLSLPAVLDFNKLPKNYPGAPPLHSNLPILSI LIFWMTNIQELIFRSPMYDYVRAYTRRQHDQSHDRRKALHAEVLLDRLERWTALKQEK QAAILRLKAIISRMVNKVEEMMDIASGLNYSLPPSAPLAPTIVPHETTQNAVTVTWSP PPGPLLHRIRYYVVTRQVYPPTTLLGDYTDPVEVKAAAAAPSTSSGGVPTRVVVDGLR PGTSYQFKVSAASRMGEGPFSAPSAPIQTIPLNWGGTCLAGWVQYHKQRWPAPWTSRL WFHAKMLPRYAVIDSHAFVWYKNEAMALKHRSMKKRKRMKTSFLTRDVSLCDLSESTY GLAQVYAIHVVAIARNAHQVRYTIQLETADDFDRWVVELGSLVPRHAVGDRLEAYLEA KGVPLPPLEQMGMADDDDDEDGHRSEWSSVTGDESWLSDAEDEELCESRNIAALVYLA VYSFFYSLQDASMRHESQVYEEDDEMVPSWYEMLTMIINAFRSNSRNVCCVAFLCSFV FQGDLLNVVYVFAAFGFLLVENPRPHSLAWHVLMRYSFWVVCARYLFQLPFFCQNINT NSVLYPSIQPWCPDTLDVPKTRSAIQPMVYFGLYKFDGIANPDVDTMWRGVAWNFVVI LCILFHRRELQLRGMWHKSVDHQHASTSADATSSAFDHINQARHTLMSRDSLDDMDAF DVANFLARHDHDADDMTDAKPAPVASTTTKPVVSSSKAVAFSIERQNSIADNVVADDL MEDAGGGETGRGLSDGREAVEDLPDRRPVSPREALLRAQYARLQQQDSLQHPYLRQED EEGADRQLTSSESAVVMHTQDTPAIMSPREALLRRMVSTSPPAPPSSPPSSPRDVMLL RTSSQHSGRLVRNGSSEQLDENEVPPVLVDQPPPVSTTPIDLSKRHPKLVDKLCRRYP SAMAFVGRLWPPTPPHWDKDMAKAMLCPKPGRDYLAVMLLLPFVCIVYAFVFFKYFGE PLRDDSFAINASDSMLNGYMVLIVLFELTVMMWDRAAYVVGSVKLKVALHVTVLVGVH VGLWIMLPSYSQSYLPARPGLQGFYLLHCAYLWCSAYQIKHGYLVFRSNHYSKKSVHE TSFADEMCGKLFKVYMFVPFAFEIRCLLDWMCSTTCLNKDMWLLLEETAATLFLVRQE MDERIRDAAYLKGTKRVPVAGKFVSGGVILVVMLLCVVAPLAMFSSLNPTTIENEITS TVVTLGLVQADGTVQQLYINGDTNSDRFTGLNIKASDTVIQKTSYASYSNEIWASSPP LRRNLVARLNSTEMLQWSLRFSFTRDGPDGNQVVATSFEAEMTPMDRALLMNMVLNHG STADPVRVSTSHNATTPTTHLVLSTSNQTSISVTADTITMPSIRIKNFYTPVIKVGAQ TNPVPRRNYMMRDLDIQRNAEDGVSWWVVRSPDPVGNDKLNGIAVKCFDGSGADKDGF CLVTISDNIVAGLTTLGIGSYGLTAVYIFVVFTVGAFFKDMLRGAMYKVLYEELPNPN DLLELVEGVYIARKEEYVGHLKDEGRLYETLIRMLRSPETLVKLTGSNSIHIPHPKEK TD H257_05703 MYIYYSVRVLCHNNIILYESYVITIYVYIYTLRSRMWRYLLAYT LVVCFLMYIWNVVCPTSFDRTTMETVGLTCFAGASPASSWNVLWPTLFIAQLILIVQV VIQLVIYMKSDSGRPDRDAMMRQAPGRPIYFISRLTLEVDLVFRLVGGVVAYAGFLFL GFVYEVDADGRVTLIGLLQVLLMFTLLGSHMGSMVKSPRGNSTKTQFLWRLVLVYEGI VLVLRYIYQFRAVEDFIRTHWHLTNVLTIEDFGLKRYSDTNELSGLFAYLFPTALLAA VTAWHLRSMKRKLPTYEILTPGRSVAMDGVVAVMAEFKRMVFVNSPIVLLLFNMGVVC HNINAFNVTYLSLLLATLFKPKWTGSWKSLFWLSSFFVLCLYAFQARSLQPDKLAVLF GSDFAAKVEENANWFGLARINKALATSSSSSSSTDTANGGNYFHATIWSMTWQHLVVM LLCVVTRASHFWDPDKDKPVGRRRKHHQQPKRRETSERWMADAEDADEYEDGGGGAPL LKSLQDFSVRFVSQGSVTLTMLVLLVSAFVHLNSISVVYLVVVRWILAANPVTVCRNW TYLAMMLVFVCVTQYFVMLWLPPFMNRPRQSLPPWTWFEFVYQEYFALNFQHPWGLFC DYMCLLVVFMIPGAKTYYLEMEQREGAAAARAAQLLVSGHVDSPPVSGGPVPGDVHDF TTDALRNQRPWRLLVFVVMNYWVFGLLVLVFVSGCVRSGVTSGIYLAFAIYMLLHVHA VDDPQSKMLCRLRDVSWGYLFLLILFQLPVFSDVTDQCEIGTNSQSDGVCLSLPAVLD FNKLPKNYPGAPPLHSNLPILSILIFWMTNIQELIFRSPMYDYVRAYTRRQHDQSHDR RKALHAEVLLDRLERWTALKQEKQAAILRLKAIISRMVNKVEEMMDIASGLNYSLPPS APLAPTIVPHETTQNAVTVTWSPPPGPLLHRIRYYVVTRQVYPPTTLLGDYTDPVEVK AAAAAPSTSSGGVPTRVVVDGLRPGTSYQFKVSAASRMGEGPFSAPSAPIQTIPLNWG GTCLAGWVQYHKQRWPAPWTSRLWFHAKMLPRYAVIDSHAFVWYKNEAMALKHRSMKK RKRMKTSFLTRDVSLCDLSESTYGLAQVYAIHVVAIARNAHQVRYTIQLETADDFDRW VVELGSLVPRHAVGDRLEAYLEAKGVPLPPLEQMGMADDDDDEDGHRSEWSSVTGDES WLSDAEDEELCESRNIAALVYLAVYSFFYSLQDASMRHESQVYEEDDEMVPSWYEMLT MIINAFRSNSRNVCCVAFLCSFVFQGDLLNVVYVFAAFGFLLVENPRPHSLAWHVLMR YSFWVVCARYLFQLPFFCQNINTNSVLYPSIQPWCPDTLDVPKTRSAIQPMVYFGLYK FDGIANPDVDTMWRGVAWNFVVILCILFHRRELQLRGMWHKSVDHQHASTSADATSSA FDHINQARHTLMSRDSLDDMDAFDVANFLARHDHDADDMTDAKPAPVASTTTKPVVSS SKAVAFSIERQNSIADNVVADDLMEDAGGGETGRGLSDGREAVEDLPDRRPVSPREAL LRAQYARLQQQDSLQHPYLRQEDEEGADRQLTSSESAVVMHTQDTPAIMSPREALLRR MVSTSPPAPPSSPPSSPRDVMLLRTSSQHSGRLVRNGSSEQLDENEVPPVLVDQPPPV STTPIDLSKRHPKLVDKLCRRYPSAMAFVGRLWPPTPPHWDKDMAKAMLCPKPGRDYL AVMLLLPFVCIVYAFVFFKYFGEPLRDDSFAINASDSMLNGYMVLIVLFELTVMMWDR AAYVVGSVKLKVALHVTVLVGVHVGLWIMLPSYSQSYLPARPGLQGFYLLHCAYLWCS AYQIKHGYLVFRSNHYSKKSVHETSFADEMCGKLFKVYMFVPFAFEIRCLLDWMCSTT CLNKDMWLLLEETAATLFLVRQEMDERIRDAAYLKGTKRVPVAGKFVSGGVILVVMLL CVVAPLAMFSSLNPTTIENEITSTVVTLGLVQADGTVQQLYINGDTNSDRFTGLNIKA SDTVIQKTSYASYSNEIWASSPPLRRNLVARLNSTEMLQWSLRFSFTRDGPDGNQVVA TSFEAEMTPMDRALLMNMVLNHGSTADPVRVSTSHNATTPTTHLVLSTSNQTSISVTA DTITMPSIRIKNFYTPVIKVGAQTNPVPRRNYMMRDLDIQRNAEDGVSWWVVRSPDPV GNDKLNGIAVKCFDGSGADKDGFCLVTISDNIVAGLTTLGIGSYGLTAVYIFVVFTVG AFFKDMLRGAMYKVLYEELPNPNDLLELVEGVYIARKEEYVGHLKDEGRLYETLIRML RSPETLVKLTGSNSIHIPHPKEKTD H257_05703 MRRPQDDEAAEVKSVSTPMDSAYRFTSTPPHDVQLIAGSASREP ENPLPSTKPPSCVQEPSSIKLWSKATLVKVLWFLADVVFSLSLLLATAVRGSALSSLY LLSWIIGILYSFKSRALAAFTILVATVGVAANTYCIVWYETARANDDNAAMLNLPTNQ SVADMFPIPGKDILAVVGIKYMESWDDYMFGVGPDVLILVSTSVHLYFIYRQRKTQDA AIPITMPSATAAPSSYFSKEALVVILRGSELIVLVSLLLCAFSSPGYLGALYYLVFTY CLVVWTFWSPKITRSQLERHQSTFCYFFGPTSMKLMIAYTIPVLFFCHGYQYPQLHDS AVGRHIGQYANIFVLPGTWANWQGYVFYGSTLFLLATASRTYPIYLQLASSSLPASSS SDATSAVSSASLQRVPSTGESVYHDSQPLVMSSSLRHRRLRDGSANNLSSQASSFYSA RESVHSDDTPHESEHAAIVSAQDLLHQENLVVRVFLEDRGVLGAIAAAVFWCVSYPSH LLGVLFGMALVTLGTYGLVIPRLLLLVLNLYAVVVSVVTYALDVPILVSFQWVQSQKA LLGVTSSQFPLVDLSIHHSCLIVMCFCLRIRLRYRDLLRELRDDQALERLNAQVRISA LSDMSAADTPSRVQHDNFQPHRMTYLELAALWFKDMQCVLVSLLDTTVLFTIFVTVLS TSVNLLQTGYLMLAAFVAVFQRLRRRMWRYLLAYTLVVCFLMYIWNVVCPTSFDRTTM ETVGLTCFAGASPASSWNVLWPTLFIAQLILIVQVVIQLVIYMKSDSGRPDRDAMMRQ APGRPIYFISRLTLEVDLVFRLVGGVVAYAGFLFLGFVYEVDADGRVTLIGLLQVLLM FTLLGSHMGSMVKSPRGNSTKTQFLWRLVLVYEGIVLVLRYIYQFRAVEDFIRTHWHL TNVLTIEDFGLKRYSDTNELSGLFAYLFPTALLAAVTAWHLRSMKRKLPTYEILTPGR SVAMDGVVAVMAEFKRMVFVNSPIVLLLFNMGVVCHNINAFNVTYLSLLLATLFKPKW TGSWKSLFWLSSFFVLCLYAFQARSLQPDKLAVLFGSDFAAKVEENANWFGLARINKA LATSSSSSSSTDTANGGNYFHATIWSMTWQHLVVMLLCVVTRASHFWDPDKDKPVGRR RKHHQQPKRRETSERWMADAEDADEYEDGGGGAPLLKSLQDFSVRFVSQGSVTLTMLV LLVSAFVHLNSISVVYLVVVRWILAANPVTVCRNWTYLAMMLVFVCVTQYFVMLWLPP FMNRPRQSLPPWTWFEFVYQEYFALNFQHPWGLFCDYMCLLVVFMIPGAKTYYLEMEQ REGAAAARAAQLLVSGHVDSPPVSGGPVPGDVHDFTTDALRNQRPWRLLVFVVMNYWV FGLLVLVFVSGCVRSGVTSGIYLAFAIYMLLHVHAVDDPQSKMLCRLRDVSWGYLFLL ILFQLPVFSDVTDQCEIGTNSQSDGVCLSLPAVLDFNKLPKNYPGAPPLHSNLPILSI LIFWMTNIQELIFRSPMYDYVRAYTRRQHDQSHDRRKALHAEVLLDRLERWTALKQEK QAAILRLKAIISRMVNKVEEMMDIASGLNYSLPPSAPLAPTIVPHETTQNAVTVTWSP PPGPLLHRIRYYVVTRQVYPPTTLLGDYTDPVEVKAAAAAPSTSSGGVPTRVVVDGLR PGTSYQFKVSAASRMGEGPFSAPSAPIQTIPLNWGGTCLAGWVQYHKQRWPAPWTSRL WFHAKMLPRYAVIDSHAFVWYKNEAMALKHRSMKKRKRMKTSFLTRDVSLCDLSESTY GLAQVYAIHVVAIARNAHQVRYTIQLETADDFDRWVVELGSLVPRHAVGDRLEAYLEA KGVPLPPLEQMGMADDDDDEDGHRSEWSSVTGDESWLSDAEDEELCESRNIAALVYLA VYSFFYSLQDASMRHESQVYEEDDEMVPSWYEMLTMIINAFRSNSRNVCCVAFLCSFV FQGDLLNVVYVFAAFGFLLVENPRPHSLAWHVLMRYSFWVVCARYLFQLPFFCQNINT NSVLYPSIQPWCPDTLDVPKTRSAIQPMVYFGLYKFDGIANPDVDTMWRGVAWNFVVI LCILFHRRELQLRGMWHKSVDHQHASTSADATSSAFDHINQARHTLMSRDSLDDMDAF DVANFLARHDHDADDMTDAKPAPVASTTTKPVVSSSKAVAFSIERQNSIADNVVADDL MEDAGGGETGRGLSDGREAVEDLPDRRPVSPREALLRAQYARLQQQDSLQHPYLRQED EEGADRQLTSSESAVVMHTQDTPAIMSPREALLRRMVSTSPPAPPSSPPSSPRDVMLL RTSSQHSGRLVRNGSSEQLDENEVPPVLVDQPPPVSTTPIDLSKRHPKLVDKLCRRYP SAMAFVGRLWPPTPPHWDKDMAKAMLCPKPGRDYLAVMLLLPFVCIVYAFVFFKYFGE PLRDDSFAINASDSMLNGYMVLIVLFELTVMMWDRAAYVVGSVKLKVALHVTVLVGVH VGLWIMLPSYSQSYLPARPGLQGFYLLHCAYLWCSAYQIKHGYLVFRSNHYSKKSVHE TSFADEMCGKLFKVYMFVPFAFEIRCLLDWMCSTTCLNKDMWLLLEETAATLFLVRQE MDERIRDAAYLKGTKRVPVAGKFVSGGVILVVMLLCVVAPLAMFSSLNPTTIENEITS TVVTLGLVQADGTVQQLYINGDTNSDRFTGLNIKASDTVIQKTSYASYSNEIWASSPP LRRNLVARLNSTEMLQWSLRFSFTRDGPDGNQVVATSFEAEMTPMDRALLMNMVLNHG STADPVRVSTSHNATTPTTHLVLSTSNQTSISVTADTITMPSIRIKNFYTPVIKVGAQ TNPVPRRNYMMRDLDIQRNAEDGVSWWVVRSPDPVGNDKLNGIAVKCFDGSGADKDGF CLVTISDNIVAGLTTLGIGSYGLTAVYIFVVFTVGAFFKDMLRGAMYKVLYEELPNPN DLLELVEV H257_05703 MYIYYSVRVLCHNNIILYESYVITIYVYIYTLRSRMWRYLLAYT LVVCFLMYIWNVVCPTSFDRTTMETVGLTCFAGASPASSWNVLWPTLFIAQLILIVQV VIQLVIYMKSDSGRPDRDAMMRQAPGRPIYFISRLTLEVDLVFRLVGGVVAYAGFLFL GFVYEVDADGRVTLIGLLQVLLMFTLLGSHMGSMVKSPRGNSTKTQFLWRLVLVYEGI VLVLRYIYQFRAVEDFIRTHWHLTNVLTIEDFGLKRYSDTNELSGLFAYLFPTALLAA VTAWHLRSMKRKLPTYEILTPGRSVAMDGVVAVMAEFKRMVFVNSPIVLLLFNMGVVC HNINAFNVTYLSLLLATLFKPKWTGSWKSLFWLSSFFVLCLYAFQARSLQPDKLAVLF GSDFAAKVEENANWFGLARINKALATSSSSSSSTDTANGGNYFHATIWSMTWQHLVVM LLCVVTRASHFWDPDKDKPVGRRRKHHQQPKRRETSERWMADAEDADEYEDGGGGAPL LKSLQDFSVRFVSQGSVTLTMLVLLVSAFVHLNSISVVYLVVVRWILAANPVTVCRNW TYLAMMLVFVCVTQYFVMLWLPPFMNRPRQSLPPWTWFEFVYQEYFALNFQHPWGLFC DYMCLLVVFMIPGAKTYYLEMEQREGAAAARAAQLLVSGHVDSPPVSGGPVPGDVHDF TTDALRNQRPWRLLVFVVMNYWVFGLLVLVFVSGCVRSGVTSGIYLAFAIYMLLHVHA VDDPQSKMLCRLRDVSWGYLFLLILFQLPVFSDVTDQCEIGTNSQSDGVCLSLPAVLD FNKLPKNYPGAPPLHSNLPILSILIFWMTNIQELIFRSPMYDYVRAYTRRQHDQSHDR RKALHAEVLLDRLERWTALKQEKQAAILRLKAIISRMVNKVEEMMDIASGLNYSLPPS APLAPTIVPHETTQNAVTVTWSPPPGPLLHRIRYYVVTRQVYPPTTLLGDYTDPVEVK AAAAAPSTSSGGVPTRVVVDGLRPGTSYQFKVSAASRMGEGPFSAPSAPIQTIPLNWG GTCLAGWVQYHKQRWPAPWTSRLWFHAKMLPRYAVIDSHAFVWYKNEAMALKHRSMKK RKRMKTSFLTRDVSLCDLSESTYGLAQVYAIHVVAIARNAHQVRYTIQLETADDFDRW VVELGSLVPRHAVGDRLEAYLEAKGVPLPPLEQMGMADDDDDEDGHRSEWSSVTGDES WLSDAEDEELCESRNIAALVYLAVYSFFYSLQDASMRHESQVYEEDDEMVPSWYEMLT MIINAFRSNSRNVCCVAFLCSFVFQGDLLNVVYVFAAFGFLLVENPRPHSLAWHVLMR YSFWVVCARYLFQLPFFCQNINTNSVLYPSIQPWCPDTLDVPKTRSAIQPMVYFGLYK FDGIANPDVDTMWRGVAWNFVVILCILFHRRELQLRGMWHKSVDHQHASTSADATSSA FDHINQARHTLMSRDSLDDMDAFDVANFLARHDHDADDMTDAKPAPVASTTTKPVVSS SKAVAFSIERQNSIADNVVADDLMEDAGGGETGRGLSDGREAVEDLPDRRPVSPREAL LRAQYARLQQQDSLQHPYLRQEDEEGADRQLTSSESAVVMHTQDTPAIMSPREALLRR MVSTSPPAPPSSPPSSPRDVMLLRTSSQHSGRLVRNGSSEQLDENEVPPVLVDQPPPV STTPIDLSKRHPKLVDKLCRRYPSAMAFVGRLWPPTPPHWDKDMAKAMLCPKPGRDYL AVMLLLPFVCIVYAFVFFKYFGEPLRDDSFAINASDSMLNGYMVLIVLFELTVMMWDR AAYVVGSVKLKVALHVTVLVGVHVGLWIMLPSYSQSYLPARPGLQGFYLLHCAYLWCS AYQIKHGYLVFRSNHYSKKSVHETSFADEMCGKLFKVYMFVPFAFEIRCLLDWMCSTT CLNKDMWLLLEETAATLFLVRQEMDERIRDAAYLKGTKRVPVAGKFVSGGVILVVMLL CVVAPLAMFSSLNPTTIENEITSTVVTLGLVQADGTVQQLYINGDTNSDRFTGLNIKA SDTVIQKTSYASYSNEIWASSPPLRRNLVARLNSTEMLQWSLRFSFTRDGPDGNQVVA TSFEAEMTPMDRALLMNMVLNHGSTADPVRVSTSHNATTPTTHLVLSTSNQTSISVTA DTITMPSIRIKNFYTPVIKVGAQTNPVPRRNYMMRDLDIQRNAEDGVSWWVVRSPDPV GNDKLNGIAVKCFDGSGADKDGFCLVTISDNIVAGLTTLGIGSYGLTAVYIFVVFTVG AFFKDMLRGAMYKVLYEELPNPNDLLELVEV H257_05704 MVVDSKTYVKAISAIVDEIIKAYEKQDPVNMTRLKNDVAKVYKL PSMPKLVDIISAIPEEYRDELLPYLKAKPVRTASGIAVVAVMCKPHRCPHIAMTGNIC VYCPGGPDSDFEYSTQAYTGYEPTSMRAIRARYNPYVQTKTRVDQLKRLGHNVDKVEF IVMGGTFLSLDREYRDWFMRNLHDALSGHTSSSVAEAVRYSEQGQTKCTAITLETRPD YCLKPHLNDMLSYGCTRIEIGVQSIYEDVARDTNRGHTVAAVCHSFQLAKDCGYKIVA HMMPDLPNMGMERDLSGFKEYFENPLFRTDGLKIYPTLVIRGTGLYELWKTGHYKNYS PDELVDLMARLLALVPPWTRVYRIQRDIPMPLVTSGVENGNLRELALARMKDLGVACR DIRTREVGMKGIHDQILPDQVELVRRDYVANGGWETFLAYEDVAQDILVGLLRLRQAS ATAFRKEIPPGTSIVRELHVYGSAVPIHSRDPTKFQHQGFGTLLMEEAERIARDEHNS AKIVVIAGVGTRHYYRKLGYELDGPYMSKFFNDDC H257_05705 MTAVGITMNLNTSNSMHPLRMPTHEENDLLCRGFGDLPTTPHTD STDPMNNASGSFVTKLFAMVETEPDSVVTWIREGTAFCIVQPKVLAETFLPKYFRHGR FSSLIRQLNFYSFYKVTEGSAIIYQHSHFRQGRPDLLVHIKRRGAGKAKDPWIDPLST KQRYPSEQDTPIYAPIHINNHSNTSTGVTAGDLCSSPSSKPLSTQNKQATMLIKTDNM SPALKPGTAQMMAPPAVDLNGGGRKEMPGMMKQRTHHMQSSTMFGVKENPSTGSLVAV RSLDQADMSMRPPLWRTSSSASINTKPADLMGFVPFEKKVKSEPMTSDQIKDEMIDDA ILDNLTFGDMLEQHPPSSRDPRSMVLARNCLKGPAAVCSPSLSAIPSATHQHSSGSSL MMHNNSMLFDPADIHNDDDDDTWEVPLSPLNDPEDIPWLDLSF H257_05706 MDMDDGSLAASSRGSPQSPLSLNMASLPGVPRHNSHPSTSSQNA ASNDDTTSQVLKDVRGFYQVTNEDDLLQCMEGLNALFNRAVEFESPIKQLKQSQPSSP AARRPKTAAAAGALTPRSAKQKEYEDQAIEILNGPPRQLEDLLQTSAIQAAMNATGIV YDELQPKTRDDFTKEKHRAWSVPEDVASLRFKHYDKTRKHNLALLLQVAAEERPQVDD PNTETDHCKYSKELAHEHKLLQEMIKGRLKYEKILEKEAAKLARKRAQYLVLDHGVPL RRPGESDAVHVRQQMNAEKADQNRAKMERVQHQRELLEQTRQQNADARRRFEKSKQES LTREKAQVTREKRSREEDRQKKRQQVLHEAKASDLQRRVGIQSTLDDKEQALRDKKVA MLRHQLLQKETKRLVSQEREANVAHMKNVQAFQKQLAARKIQANYRRIDNLKEVKQAI VDERNRIHKLAGIRYSHCKDLSDEIRLTPGPGEYNPPPLTKRATGGMWSPLPKGPTNQ LHATPGPGAYDHSRDQATSGTTFAKSRLLNSLQSQLGPGPGQYISAVKCSTFNPHKGP LFPSSNVASPFEMALRRAADLPAPCDYSPSTFPRDMAASTVEFKRALHTMTTSYLLAS KCKTDIMIDADINALEQSPVEAQDE H257_05706 MDMDDGSLAASSRGSPQSPLSLNMASLPGVPRHNSHPSTSSQNA ASNDDTTSQVLKDVRGFYQVTNEDDLLQCMEGLNALFNRAVEFESPIKQLKQSQPSSP AARRPKTAAAAGALTPRSAKQKEYEDQAIEILNGPPRQLEDLLQTSAIQAAMNATGIV YDELQPKTRDDFTKEKHRAWSVPEDVASLRFKHYDKTRKHNLALLLQVAAEERPQVDD PNTETDHCKYSKELAHEHKLLQEMIKGRLKYEKILEKEAAKLARKRAQYLVLDHGVPL RRPGESDAVHVRQQMNAEKADQNRAKMERVQHQRELLEQTRQQNADARRRFEKSKQES LTREKAQVTREKRSREEDRQKKRQQVLHEAKASDLQRRVGIQSTLDDKAKYISASNTY IILYILWIFSYQEQALRDKKVAMLRHQLLQKETKRLVSQEREANVAHMKNVQAFQKQL AARKIQANYRRIDNLKEVKQAIVDERNRIHKLAGIRYSHCKDLSDEIRLTPGPGEYNP PPLTKRATGGMWSPLPKGPTNQLHATPGPGAYDHSRDQATSGTTFAKSRLLNSLQSQL GPGPGQYISAVKCSTFNPHKGPLFPSSNVASPFEMALRRAADLPAPCDYSPSTFPRDM AASTVEFKRALHTMTTSYLLASKCKTDIMIDADINALEQSPVEAQDE H257_05706 MDMDDGSLAASSRGSPQSPLSLNMASLPGVPRHNSHPSTSSQNA ASNDDTTSQVLKDVRGFYQVTNEDDLLQCMEGLNALFNRAVEFESPIKQLKQSQPSSP AARRPKTAAAAGALTPRSAKQKEYEDQAIEILNGPPRQLEDLLQTSAIQAAMNATGIV YDELQPKTRDDFTKEKHRAWSVPEDVASLRFKHYDKTRKHNLALLLQVAAEERPQVDD PNTETDHCKYSKELAHEHKLLQEMIKGRLKYEKILEKEAAKLARKRAQYLVLDHGVPL RRPGESDAVHVRQQMNAEKADQNRAKMERVQHQRELLEQTRQQNADARRRFEKSKQES LTREKAQVTREKRSREEDRQKKRQQVLHEAKASDLQRRVGIQSTLDDKEQALRDKKVA MLRHQLLQKETKRLVSQEREANVAHMKNVQAFQKQLAARKIQANYRRIDNLKEVKQAI VDERNRIHKLAGIRYSHCKDLSDEIRLTPGPGEYNPPPLTKRATGGMWSPLPKGPTNQ LHATPGPGAYDHSRDQATSGTTFAKSRLLNSLQSQLGPGPGQYISAVKCSTFNPHKGP LFPSSNVASPFEMALRRAADLPVCGPMHIVDDDENVRRHRATTRRARFRATWRRRRSS LSARCTP H257_05706 MDMDDGSLAASSRGSPQSPLSLNMASLPGVPRHNSHPSTSSQNA ASNDDTTSQVLKDVRGFYQVTNEDDLLQCMEGLNALFNRAVEFESPIKQLKQSQPSSP AARRPKTAAAAGALTPRSAKQKEYEDQAIEILNGPPRQLEDLLQTSAIQAAMNATGIV YDELQPKTRDDFTKEKHRAWSVPEDVASLRFKHYDKTRKHNLALLLQVAAEERPQVDD PNTETDHCKYSKELAHEHKLLQEMIKGRLKYEKILEKEAAKLARKRAQYLVLDHGVPL RRPGESDAVHVRQQMNAEKADQNRAKMERVQHQRELLEQTRQQNADARRRFEKSKQES LTREKAQVTREKRSREEDRQKKRQQVLHEAKASDLQRRVGIQSTLDDKAKYISASNTY IILYILWIFSYQEQALRDKKVAMLRHQLLQKETKRLVSQEREANVAHMKNVQAFQKQL AARKIQANYRRIDNLKEVKQAIVDERNRIHKLAGIRYSHCKDLSDEIRLTPGPGEYNP PPLTKRATGGMWSPLPKGPTNQLHATPGPGAYDHSRDQATSGTTFAKSRLLNSLQSQL GPGPGQYISAVKCSTFNPHKGPLFPSSNVASPFEMALRRAADLPVCGPMHIVDDDENV RRHRATTRRARFRATWRRRRSSLSARCTP H257_05706 MDMDDGSLAASSRGSPQSPLSLNMASLPGVPRHNSHPSTSSQNA ASNDDTTSQVLKDVRGFYQVTNEDDLLQCMEGLNALFNRAVEFESPIKQLKQSQPSSP AARRPKTAAAAGALTPRSAKQKEYEDQAIEILNGPPRQLEDLLQTSAIQAAMNATGIV YDELQPKTRDDFTKEKHRAWSVPEDVASLRFKHYDKTRKHNLALLLQVAAEERPQVDD PNTETDHCKYSKELAHEHKLLQEMIKGRLKYEKILEKEAAKLARKRAQYLVLDHGVPL RRPGESDAVHVRQQMNAEKADQNRAKMERVQHQRELLEQTRQQNADARRRFEKSKQES LTREKAQVTREKRSREEDRQKKRQQVLHEAKASDLQRRVGIQSTLDDKAKYISASNTY IILYILWIFSYQEQALRDKKVAMLRHQLLQKETKRLVSQEREANVAHMKNVQAFQKQL AARKIQANYRRIDNLKEVKQAIVDERNRIHKLAGIRYSHCKDLSDEIRLTPGPGEYNP PPLTKRATGGMWSPLPKGPTNQLHATPGPGAYDHSRDQATSGTTFAKSRLLNSLQSQL GPYVPRMIVLLLVLLTSISLQGARAVHKCREMLDIQPAQRSAVSEFERRVAV H257_05707 MWKPGTKAPSPHAPALPTKIDAAPLKKAASDGPKAPKPALSHKT LAMKFMQRKNQAALAKAEIQQDEWVDSNEGGEVTGELTCIQDIPDPSMDKKLGRRSFG GFNGNIEEVHKGLKSNKRFDEANERALKDEVSAEEMTARYRRHDATTRICDDGLSTEW PSTLDCEKGPTTTTAPPSDRRSRRTSAE H257_05707 MWKPGTKAPSPHAPALPTKIDAAPLKKAASDGPKAPKPALSHKT LAMKFMQRKNQAALAKAEIQQDEWVDSNEGGEVTGELTCIQDIPDPSMDKKLGRRSFG GFNGNIEEVHKGLKSNKRFDEANERALKDEVSAEEMTARMAKYTGLRKGPNNNNRPSK RQKK H257_05708 MTRHVMFPSWYDRLLGMQMSDGGVRGVLVEHSDATMYGLKFLGS KKQALVTVEQALRARRIQLDGCSITLGDRGAGILRTLQGPTDLSSSPSTAGTCMVEWT TATTPPSEVPFTDVLDHVVDAFLGVSLQKTYDQPNVFYTLTLTKAVVHAGALHYEATI DDGDVDFLSKEDVLQAMDLHDVREVAVPCEDVATPSSTVDIPLPTSPASPPSEDSSPP DDTLVHVYFHRQSLHMTLGPTPTANSVCVYKLLRTADGSQGEASATGLVDIGDIIVQV NGVSVDGLSVDHIATIISQSPRPIQLSFQKKRDPGRTFSDIKSDPTPSAPSIVPCGAP TKPPLDGPLAGGTKPITNSNLLEVKTLKEEGVPNFQSGPAPQTSEKAAAPGTIHSHHA QLGRN H257_05709 MIHPFFETPRLFCVVVGRAGRPFSVTIRENNTVGMLKDKIAIQK KYQFPADEMDLFMTTDGTGFSTVAAAAVTLADLQDVQRFKAMGPALSIKKTFGGTFPP NEEVYVFAVAPKYASQLFCVVVGGTGCPFPVTIREDETVGMLKGKIAIQKKYQFPADE MDLFMAKDGRGFSCEAAAAVTLADLQDVQRFKAMGTTLSIKKAFGGTFPPKEEEVYVF AVAPEQPVAEALTLPKIAELGYSTKTWMLPPVDGVPRQNIPDFEWIDGVNENDSRNVQ MYKEYVALMLQDFVQLVVSTTVRLGFSTPVGESRRRLTGAPDLYVVPRGCAFNMCGRT EVVMVIELKKHDTLSDANIAQTAGYLLAAHTLFDKQAYRPTPVAVLTNLRDEWHLFWV DRNGEVYDLQALKLFPAFGDTNTMAGQVKKFAPLEYEDNMVDVLETEEEVLLYQMSKR LQRTTAFDMPYAAMYS H257_05710 MLLARSSTQPAALHSGLADTGASMRGPNLRDSERRALFERMLEI SSRGVLPRGAIVNLAREIGRDRATITRVWKRGLETRKDGDGAANVASKIRAGA H257_05711 MNQRSAQARTARKEPLKPNRTSGDRIEDATDPSQHPHPARQRKS ASIYDYRSHSAAL H257_05712 MTMLLSADKLNNMAASGRSPDATSAPASTSMLQQLTATLREALV QQVSILVVVSSVEDVQ H257_05713 MAGNQPTDPVTDSNFDGTMLNKYMKFDQRIYATTSEYPPSEFQA KWLTSDSLGDGALKGIAFENYVHNLALQHNKIDLKVLEYQPKPTAGVASVYADETFEA KAPETSGKNTVECDAAMVGMASSPVDYWCPYTCSLPTIDCVAKLTIGGHEWVALIQIT TRNTDNIDVEALEGYASHFPEWKCCYIALVPDKETCDGFRLSPVEPHARVPLKVAYMP AWSAFVATKI H257_05714 MQYTFPEHELTLYLAKLADGEWLPGDAATLVRLSNGHLDEDISK YLNPSNRMLAAMGLNYHKHFGMEVPPRNVVHVLVRVPSARWDEVRPQKKHKVSSTEAV KGVQGATSIPPDVIASIQGALGLFPSQKLVVALDWAFTSSSRISPSGFSCDRRQWTPS RLATR H257_05715 MTRHVVFPSWYDRLLGTQMSDGGVRGVLVAHSDATMYGLKFSGS KKQALVTVEQALRARRIQLDGCSITLGDRGTGILRTLQGPTDLSSSPSTAGTCMVEWT TATTPPSEVPFTDVLDHVVDAFLGVSLQKTYDYGITYTLTLAKPIVYQGALHYQANFH DEDDVDFLSKEYVLQALDPDDMREVVVPVEDMMAPPPVDRPPIVHVRFHSQSLHMTLG QTTDGPISQRHVCVYELLPTADGSQGEASASGLVEIGDMVVRINGTSVAGFDVHRIAT IISQTPRPIQLSFQKKRDPGRSFPETKGHSCVLPFVTHSTSPLERALATANGWSALLL DLREAIASGHNVGVDGSDVVFSTDESTPPVFRLPASTCTGFRSRVKSSADAAPLTLGT VAMYVRHRHLSYAEYARRCRAAGSVGVLSIVDINALLPFLNGRDDPPRPPQALGSNHP IRPHSPDKGSTRTKTGGHERIVNNDAAMSPTSSKPVAAVPVTPTKGEGGMISKGCSSS STSSDDDECLVVRPPKKLRHGSTLDDQAQTKPLQPVSQASSCDRVVTLTVHDRNLGIS MSEGKPYPIVNALTPGGPVEASGLVAVGAQLTHVRTTHVARATVVGVVDLIRIIPRPA TFTFINPPTVLPSTPKPPTKRTVKGPRNQR H257_05716 MADYNRDSGRSGGNYDRGNSGGGYNDGNRRRKRSDDNMQYGGGG GYNDGSKRHRQNDYNSRDRNYSRNDNYRGRDNYRDQYHHRSGDDDALELRRRVISLGD SVNVNDSQAVRDVLTRCGDWLGEQLMFQAQEIAALVVTCAGRLSAKTEWYGMLTTLLN EKNAVFGKKVVELALQTIQADLAWWATDDAAATSPPKPAGEVPVQFLRIKSLVRFIGN LTTTKMILAPDMVALLATFQSMCTPISHDQNTTARSVQTANAVKDFFAHLVLDTVLHC GHTLVVAAADPLDALLAQSDAYIASRDVQDDSNRLVPGNTWLLQRIRLNLLVDPEDKD HLHAYAKTLDPLNVVWNAVQSLVTAAKQAPPAPPSADAADTSTLFHSQWKIQSLLYPL LNFVPVVRKTESAPLPSVVSFDLFPSPTQFASKVPPYAAVYRILDGDSGPIGSAIGNM HLPSYVIARSYFEDIVDSFRPNPAEAAKQLLATCRALNGRWNLQAEYILVESLLVAVV SAPPCANMVGYGGAVLFHLLKHESKTIQSAFAILVELLFRRIPLMHMHAVDAFVKLFA LFLSNFEYKWPWTHWNYVLDAQADDAQRLFVSAVIERCVRLSYRQHMQSVLPETFHML LPPVPVHVIRFRQAESSADDDSSDAATGVLRSQYEQVFGKIKAREEAAAVQAWIDDTS AAHDGAPHVVLEMVVAAILDAGSATFTHFRTLLDKYVGVLVAAIDADVERQVVVIAAV SSVWEQSPQHVILILSILLRHHVLTPVAVVTWLFGADAVQQYSWPYVWEILDNTVRYA LETQAAKSTPNAVDDAWAGSVEDLFVAVFEGLSRVIAAHKAQCDKDGTTFKDNWYAST LARMQSVGRDFRVALDPLLEGLATSVFAAQHAEHDVRVVFANLQASFQRV H257_05716 MADYNRDSGRSGGNYDRGNSGGGYNDGNRRRKRSDDNMQYGGGG GYNDGSKRHRQNDYNSRDRNYSRNDNYRGRDNYRDQYHHRSGDDDALELRRRVISLGD SVNVNDSQAVRDVLTRCGDWLGEQLMFQAQEIAALVVTCAGRLSAKTEWYGMLTTLLN EKNAVFGKKVVELALQTIQADLAWWATDDAAATSPPKPAGEVPVQFLRIKSLVRFIGN LTTTKMILAPDMVALLATFQSMCTPISHDQNTTARSVQTANAVKDFFAHLVLDTVLHC GHTLVVAAADPLDALLAQSDAYIASRDVQDDSNRLVPGNTWLLQRIRLNLLVDPEDKD HLHAYAKTLDPLNVVWNAVQSLVTAAKQAPPAPPSADAADTSTLFHSQWKIQSLLYPL LNFVPVVRKTESAPLPSVVSFDLFPSPTQFASKVPPYAAVYRILDGDSGPIGSAIGNM HLPSYVIARSYFEDIVDSFRPNPAEAAKQLLATCRALNGRWNLQAEYILVESLLVAVV SAPPCANMVGYGGAVLFHLLKHESKTIQSAFAILVELLFRRIPLMHMHAVDAFVKLFA LFLSNFEYKWPWTHWNYVLDAQADDAQRLFVSAVIERCVRLSYRQHMQSVLPETFHML LPPVPVHVIRFRQAESSAVRCHARDVNQCVTWYILMWNHSVTWFDFNMYCVLYS H257_05717 MQVWTEAKEDCMWLVYYLCFIAPMHSLLVKYLESRGKRISSGQV MAWIAAFTLFSMFLPLIVRGRIQSQSPYRLLGVSRYGDAYSWAQVYAALKQRYVDGKL SPEEWTQVDAAYDILYDPHVRRAHDGWGPDFQVQLQKDMLFNVALFYMLWAVGVFIAT AGRKYQSGRDLAVAALLVTLVFEVSVRFFSYDPRLTLLSQATPFELVMALHIIFPASL LGYTSYKRLLFVDMLKHRHDCLSLALRTNEETKLKLRELSVAATAAADNQIGAESKVN H257_05717 MQVWTEAKEDCMWLVYYLCFIAPMHSLLVKYLESRGKRISSGQV MAWIAAFTLFSMFLPLIVRGRIQSQSPYRLLGVSRYGDAYSWAQVYAALKQRYVDGKL SPEEWTQVDAAYDILYDPHVRRAHDGWGPDFQVQLQKDMLFNVALFYMLWAVGVFIAT AGRKYQSGRDLAVAALLVVRYQTLAKTSIWECMYVVWQTLVFEVSVRFFSYDPRLTLL SQATPFELVMALHIIFPASLLGYTSYKRLLFVDMLKHRHDCLSLALRTNEETKLKLRE LSVAATAAADNQIGAESKVN H257_05717 MQVWTEAKEDCMWLVYYLCFIAPMHSLLVKYLESRGKRISSGQV MAWIAAFTLFSMFLPLIVRGRIQSQSPYRLLGVSRYGDAYSWAQVYAALKQRYVDGKL SPEEWTQVDAAYDILYDPHVRRAHDGWGPDFQVQLQKDMLFNVALFYMLWAVGVFIAT AGRKYQSGRDLAVAALLVTLVFEVSVRFFSYDPRLTLLSQATPFELVMALHMYVDFFV SYCPFTPI H257_05718 MAVSPSLVEHFSKPSSLVHMLFYRESALDVLLLLEQYQFDVYAV KRCLTEIARHLRDTPTSVKDLHVHNFVPRLCSCLQPYTTNFTIISCLCLLLRRLFSLG GTHHSSVVTSGLWKVVVDAMKHDSGNVNLFEMGCALTSALCLERSGVAHEANQIEMVQ SGVLDMLCVAMQTNNQLSSVYIGAAQTVANLVYKNAGTADRALKSDLPQLFSMGLHSF FKDAAVVTALAQVLFQLHVASPPKAQHSYLTWGCVDRVRACLAAHVADVDTEYHLLRT LEVTLRDNEPAKDVFCAKEMPFHLVTIFEDVITAVSQRRSGGGGASSAAFLSFVSAVI FSHVAIMTNVTTSSTCGIVTHPARVQMLMKASVHTFAVSSLTQFPKVIPVLEQCVRVL ELLAMPGMYKPILIRAGALRQIKYIASTKSHPGLLMLCERTVAALL H257_05718 MAVSPSLVEHFSKPSSLVHMLFYRESALDVLLLLEQYQFDVYAV KRCLTEIARHLRDTPTSVKDLHVHNFVPRLCSCLQPYTTNFTIISCLCLLLRRLFSLG GTHHSSVVTSGLWKVVVDAMKHDSGNVNLFEMGCALTSALCLERSGVAHGTILSAASY AFSRVVEANQIEMVQSGVLDMLCVAMQTNNQLSSVYIGAAQTVANLVYKNAGTADRAL KSDLPQLFSMGLHSFFKDAAVVTALAQVLFQLHVASPPKAQHSYLTWGCVDRVRACLA AHVADVDTEYHLLRTLEVTLRDNEPAKDVFCAKEMPFHLVTIFEDVITAVSQRRSGGG GASSAAFLSFVSAVIFSHVAIMTNVTTSSTCGIVTHPARVQMLMKASVHTFAVSSLTQ FPKVIPVLEQCVRVLELLAMPGMYKPILIRAGALRQIKYIASTKSHPGLLMLCERTVA ALL H257_05718 MAVSPSLVEHFSKPSSLVHMLFYRESALDVLLLLEQYQFDVYAV KRCLTEIARHLRDTPTSVKDLHVHNFVPRLCSCLQPYTTNFTIISCLCLLLRRLFSLG GTHHSSVVTSGLWKVVVDAMKHDSGNVNLFEMGCALTSALCLERSGVAHEANQIEMVQ SGVLDMLCVAMQTNNQLSSVYIGAAQTVANLVYKNAGTADRALKSDLPQLFSMGLHSF FKDAAVVTALAQVLFQLHVASPPKAQHSYLTWGCVDRVRACLAAHVADVDTEYHLLRT LEVTLRDNEPAKDVFCAKEMPFHLVTIFEDVITAVSQRRSGGGGASSAAFLSFVSAVI FSHVAIMTNVTTSSTCTRGTSSA H257_05718 MAVSPSLVEHFSKPSSLVHMLFYRESALDVLLLLEQYQFDVYAV KRCLTEIARHLRDTPTSVKDLHVHNFVPRLCSCLQPYTTNFTIISCLCLLLRRLFSLG GTHHSSVVTSGLWKVVVDAMKHDSGNVNLFEMGCALTSALCLERSGVAHGTILSAASY AFSRVVEANQIEMVQSGVLDMLCVAMQTNNQLSSVYIGAAQTVANLVYKNAGTADRAL KSDLPQLFSMGLHSFFKDAAVVTALAQVLFQLHVASPPKAQHSYLTWGCVDRVRACLA AHVADVDTEYHLLRTLEVTLRDNEPAKDVFCAKEMPFHLVTIFEDVITAVSQRRSGGG GASSAAFLSFVSAVIFSHVAIMTNVTTSSTCTRGTSSA H257_05719 MMSPMTPTNNDDLDSKRGVGGTAYERRAWTRKEDDAIIRLVEEY GTKRWSVISDHLNNENYGNERTGKQCRTRWLNHLDPSIKKDPWTTEEESIIEDAQNRL GNKWAEISKLLPGRTDNAIKNHWYSSMRRTMRRIAKQVNKTTPTSMNGSCGNGPSTRP SSRQSSSSSPMLDDMGSNHEDMSQHHAPSAPVASSLAARASSLSHGVSPKLASAFREC YNVLLHNKENPNNLHGSPSAQTNPNAAMTATPPKKNLSKRKRKDLRVVTGSSIEGGMF MPSTPRRLHHTQLLLTLLSNASEDPMTKCANAKRKKRSTPLHANSSYSASHAATANAT SFPLSRSSDTSMALADHFAFHDIESPFQAFESLDFDLNEHVAPDSLFHGSATTPTHSG ITPTAAATAPSMPAPPPLSLYSLRRSPHMASLLKANAKFSFDDIDLSSEMEQSSLDFY MNMDSLPTVLRRSPRLRTDVSVAFPSPSSAATPPSSSMSMMKKSAFHPPTIDVALGLQ HDTFGFDNSMTPSLTSPQLSQWLDGSPRGFAAAV H257_05720 MPVTTTTTAASAVVHLTHERSGASADVHLYGATVTSFRPSAGAP DVLFVSKKAILDGSKPIRGGIPLVFPQFGAGLVPSKLPSHGFARTSTWTLVDTEDFVD SVTATFSLVTTKHQLVDWPHAVRLLYTVTLSQNHLTTALHITNTDTESWGCQALLHTY FLVPDASPSLVTSALPNVTVSGLAGLEYACKVRKETLIESRDAVPIETETDSVYKDTP ERLTVQLGASRAIRIEKRAYVVGNGAVPSDAVVWNPWSDKAHGLSDFADDEYPTMLCV EPGVVTRVQLVQPQQTLVLKQTLSML H257_05721 MASRPPQPTDADRAMTYVKKSRPRHVTHHEMLDMILVNAMLRQN DTPKASRTAARLLRCKPQLVQEVWKIFLETGGTVTKPDPRCSQHQGCINKADRQLYKL AEYIKEQQEDDAVKAKVTGPVTATATATKVDVYCCIHPV H257_05722 MCDLVDNELQKGKAYFLRDATLSEWKAYVTTEDQQLKSKHTEWM DGKIFIVELSCAPREGYIALLIFAVNGATNNGLRFLRIAGAAYQTNIRRLEPDLCLMP RRVLEQPPYNVQLPPGVIWNDFHTVKFEVGWFQSWDQLDWKANQWATVANVVYILCIK MDRPMLVHCSYNAHHAMHHGVALPAMVPIPIAPDTAVVHLDSRLVLHLPAPSPLPPNF PTQLDIDLLASRGPAC H257_05723 MRRNDGRSIPVTSISLDDTHGISSATSVNFANSCLVLHHRRLDA SSWRSRARSLSSGGRPSYPRNQSIHMTRIDVDGNRNGWGGGGGRGNDVNNMYMSSVGR REGYARGNASTRMASRAAGDIADISAAEDTDECARIVDMANDTASNELYRDLSDGMWK RRNGTVMRWLSMIVRLPMLSP H257_05724 MASSIDLTSAASSSSVDDWGPSTKSNSVDLTAMPSPLRRRHEVI SVGTDGTPPQREPTWIVVPSDSDDDDNNVKVTMAPTAHVKVSDAAPRPRPAKRTKNHL ATSKKPRTAIPLPPAVATKYVWDDGRSRKVPQGWTFPDMTIAKLWMCWFHGSPEEGIG PFRELTQDDFESTTCVSYVRCWEVASVVMSAFLTIAVSNDLIDENKVAATPPTTLARQ FLAVFERIYPDEDPQTKLMSSCLSPSEWPQDDADVATAPLVFPRGLTCVEMWRQWFHG TPTPLRQLQPSDFDSPDCKSHWSNAKQFIAHVATTAKSLDLVATKKAIALLDKNALDR VMEAAFTVLASKQEHALTTTQNTKHSVVDEALVAWLNNQAAAATPPPLTRGTPYRRRR WVKDEATKAVLRELTKVAVKSRHLPEVPSIVSLEKMPSAQLSTVLAQVLKELRAVFPV DERPFMQPDTTVTRLWSVLFNLPMPEGVCDREKGKTTKVVAKFPSLSLRAMWVLWWKG DEDGGYRHRDWTKGSAETLRKTKHVMEELGRCAAELNVSADELDAMDRDALQKLLQTL FDNLKGRITNARLRDMNLDNTCDAVYKGVVNVRRGRPPKLGR H257_05725 MDEERPSLMHRQSMHSNEDMYARKQNAIEQNKVLQDQLVGKMRE LSFLETEYVKTKREATEWKKKFDYVFRENELLSVETAKLDAAQKQIGFLHQEIQFKSE ETEALRGLVTALEASNKKNRRRNMDALNTAITSANARSSSGSEITDGGRKSSDKESEV SALRSENSLLVKDLDRKDHELKLKDDEIKLLKERIQELESTLDTEQAMHFSRLKESQE SIGKLETQLARHHIHDDVRVSNDSINNTPPSTPPPMPTQQFFRDDDDDSMDSKWKLHT DVLPTAAPYDRAVLDAFLDKVPFAHRDGASRILRVLLGTNERLSMETNMVLQNVSAEL VAELKHTILPWLKAKHHVKVAYFHLTRHIVATDVKLVLEPREQEFISTSGPAECASHV FLGPAPYDNKLVLHTMRTLHADRARFVSSPDAEAVAAAAGEDTLEMESLPPTLFRRLS ATPSFMGEADNTATSSRRGGGGGFLSNSFLGGSITGSIPAAGSDGATSSRGGGGLTKS FLGSIATAAKTVVMKNFEKKQTHPGTRCAVCSISPIVGDRFRCATCEGYDLCSNCYAF GAHGLENTDDMFGRVQELVLARCPRLAQEAELLELLRFEICRSNLRKFSFVANWLADI VQGRSTKDLRARAIEVPSIRREIRKQFVPLLMMVVSDRMDIEVKTEWELELNSELEKN LKTGHNACLEVLRIWVADKFSTTSPFVERSLHRYNKEGDDDAGPALPPADVPDTPTEA ADDAPKTDDSKYLFQEV H257_05725 MDEERPSLMHRQSMHSNEDMYARKQNAIEQNKVLQDQLVGKMRE LSFLETEYVKTKREATEWKKKFDYVFRENELLSVETAKLDAAQKQIGFLHQEIQFKSE ETEALRGLVTALEASNKKNRRRNMDALNTAITSANARSSSGSEITDGGRKSSDKESEV SALRSENSLLVKDLDRKDHELKLKDDEIKLLKERIQELESTLDTEQAMHFSRLKESQE SIGKLETQLARHHIHDDVRVSNDSINNTPPSTPPPMPTQQFFRDDDDDSMDSKWKLHT DVLPTAAPYDRAVLDAFLDKVPFAHRDGASRILRVLLGTNERLSMETNMVLQNVSAEL VAELKHTILPWLKAKHHVKVAYFHLTRHIVATDVKLVLEPREQEFISTSGPAECASHV FLGPAPYDNKLVLHTMRTLHADRARFVSSPDAEAVAAAAGEDTLEMESLPPTLFRRLS ATPSFMGEADNTATSSRRGGGGGFLSNSFLGGSITGSIPAAGSDGATSSRGGGGLTKS FLGSIATAAKTVVMKNFEKKQTHPGTRCAVCSISPIVGDRFRCATCEGYDLCSNCYAF GAHGLENTDDMFGRVQELVLARCPRLAQEAELLELLRFEICRSNLRKFSFVANWLADI VQGRSTKDLRARAIEVPSIRREIRKQFVPLLMMV H257_05725 MDEERPSLMHRQSMHSNEDMYARKQNAIEQNKVLQDQLVGKMRE LSFLETEYVKTKREATEWKKKFDYVFRENELLSVETAKLDAAQKQIGFLHQEIQFKSE ETEALRGLVTALEASNKKNRRRNMDALNTAITSANARSSSGSEITDGGRKSSDKESEV SALRSENSLLVKDLDRKDHELKLKDDEIKLLKERIQELESTLDTEQAMHFSRLKESQE SIGKLETQLARHHIHDDVRVSNDSINNTPPSTPPPMPTQQFFRDDDDDSMDSKWKLHT DVLPTAAPYDRAVLDAFLDKVPFAHRDGASRILRVLLGTNERLSMETNMVLQNVSAEL VAELKHTILPWLKAKHHVKVAYFHLTRHIVATDVKLVLEPREQEFISTSGPAECASHV FLGPAPYDNKLVLHTMRTLHADRARFVSSPDAEAVAAAAGEDTLEMESLPPTLFRRLS ATPSFMGEADNTATSSRRGGGGGFLSNSFLGGSITGSIPAAGSDGATSSRGGGGLTKS FLGSIATAAKTVVMKNFEKKQTHPGTRCAVCSISPIVGDRFRCATCEGYCTSWPTMD H257_05725 MDEERPSLMHRQSMHSNEDMYARKQNAIEQNKVLQDQLVGKMRE LSFLETEYVKTKREATEWKKKFDYVFRENELLSVETAKLDAAQKQIGFLHQEIQFKSE ETEALRGLVTALEASNKKNRRRNMDALNTAITSANARSSSGSEITDGGRKSSDKESEV SALRSENSLLVKDLDRKDHELKLKDDEIKLLKERIQELESTLDTEQAMHFSRLKESQE SIGKLETQLARHHIHDDVRVSNDSINNTPPSTPPPMPTQQFFRDDDDDSMDSKWKLHT DVLPTAAPYDRAVLDAFLDKVPFAHRDGASRILRVLLGTNERLSMETNMVLQNVSAEL VAELKHTILPWLKAKHHVKVAYFHLTRHIVATDVKLVLEPREQEFISTSGPAECASHV FLGPAPYDNKLVLHTMRTLHADRARFVSSPDAEAVAAAAGEDTLEMESLPPTLFRRLS ATPSFMGEADNTATSSRRGGGGGFLSNSFLGGSITGSIPAAGSDGATSSRGGGGLTKS FLGSIATAAKTVVMKNFEKKQTHPGTRCAVCSISPIVGDRFRCATCEGYCTSWPTMD H257_05726 MAWSSQDDVDTRLRRHKLLPRPVLNTLKGLLSVDPSNRPALSSI LVSEYLSPPSSWLRDGGSSPFLQPSPVDTTTMTTEPTLLKWTPSELVALALQNHKVEL ERMQQQYHQQATAVPTRSLKPRPTTTPPHVVPLSPQVEPLPPQAEPSALMNDDIVIQD EATPIQSTDDPYLGQRVRKYFADGIAYHGTVTSRCPSGAAVWTVQYDDGDSEDMTQAD LAAIVGVKRSIQGYVATTQLLSQGHSVWLVHDLQLVARGRVYTSPPPHMMTQHRHLGH ADHDDDDVVCLRITKRFTGTPAKVTAQFILCTNSNNTKSAYFVWWRRAHCFVPS H257_05727 MDVTVSSSSPPPSPWIEAVDGHGRTPLMHAALAGHWAAVQWMLQ HGADPATIQALDLPRTVQRAVAASQHAHVVIHRRYVVQTIIGPQQERHDDEVGEGLAM DLKTGHNVRLVTFASKENLAAYCARVDSVQRRSPWLCGVADWFEDVESYVAVLEGAGR YGAVLDCVRPPAAASTVGPMLCRALADVHSGGFVHTGLVRSLLVSLFSACKNERLKV H257_05728 MLRALTVHVGRWPCGVGASTATSLRPLGMMSFGTKSSTGSNNES RSVYVGNVPSTWTVADVRTALATCGPIERVHMVKDRNTKEFRGFAFVEFVDTIDATNA VEFGETLGKHRLVVRPAASTSSSPSTKSPRDSSTRTSSSSKSSSTTLLPHSKDDTTPT VYFGNLPHGGSTDDVVSLFAACGPIRHVHVVKDSVNQSRGYGSVEFEDVASVTAALAL GGRTDVATTERNRPLIVKRPHQTKIVGAPSTVVLVKSLAPDTTVEAVAEAISATGANV AHVHLHKDKHTKQPKGTGYVILHDTESMKKATSVRQINDHDVRVVIAQDIPGMAKTLY LGNLPTTATEDDVRDLFSSCGPIYQVRLAQRSGSPRVYAHVQFASGASLAAARRINAP TIGGKKLFVQVALDE H257_05729 MMLSLLRRCALPAMSTARLVPASFLCADNLIFGARLFSSSSVPA SKSSTVWVGGLPYTTTAADMAERFGEFGTIEKVQMQATADGSPRGTCLIKFASPAEAA AALVMDRRTFGTRWMTVKKHLQHESVAERFPAIKPSPKIWIGGLPFETTADEIHQRFG SFGSISDVTFNTRFDGSPSGMCYVTYASTDAAAAAVASMNKATFGPRYVHVKLHYDRA ATKKRSHDTAQQQQPVVVASPRDYPVNRAFFGNIPFTCTESDLEEIFATCGEVTDLFI YRDKQTQRSRGHGYVQYKDAGSAAAAVRDVHGTVVGGRELSVELPKGLRRAAVSTSTS VLVTNLPEDVEDDTLRSMFEHCGEIAQLRRAPDLRSAKIVFGSVESAQEAKGLAGADI DGRAIDVQLTNDKQ H257_05730 MLTRCVRRLAVTCAPPAHGVMTLPCVNAMNLRSFTAVSLVQHRA FSSDTVHPVVPNSRLWIGGLPYDSTHVDVRNRFERHGAIKKLEFPTTPDGRSNGTCYI SFETPEAATRALAEHESVFGTRWIRVKLLRDLNNGGPRTPSNAKTTPSAKVFVGNLPY NYTEADVADLLSACGTVVHVNLVVDNENKSRGFGFALFETVEAAAAAIDLSGSVVQGR TLSINYSTRAPVRERGTAMADTVFVANLPQDVEEETLESMFDHCGAIDNIRLATDAAT GRFRGFAHITFAMPEGAKNAVGLNGAQIDANTIGVELAISKSQKARRYNDKSGTAEDD STPQGIY H257_05731 MDEVHVRGVIVGLPLWLRLCFYVDGTCSVEILECPDEVGHDNMV IWDTSYCVHQRTKHVQGFISIAAGHVAAKLRFVGNYDADHGTFMGKWFDCVLVDHTAG DFHFERTTDIAPQVAMHDCNLATLTPLGPGHYLFHGAAIGGNGRIYHSRMTIRLFADG SLSGTVQESHYPQTCTLAGQWSAHQLAWQTTYTADGIMSQYLYYGTPALRVLRGLWQL ADVGCVHSLARESGHFDYQLEAAHRQWSRDVHHTFPRPFRRIARAILLSKPSGPSQTA ATPTSCGVLLPGDLWCHVFSYVHTEWWQV H257_05732 MGPPTHLPNNAYKFKYSVLFMAPPPPGYLKYFDMFGDPRESILA LTAHATSTSSRLDGRSSGEPTMGWSDKLLEKDPPSWSDSSLTVPKGKAQFVDYTWQLY KGKNEYDENGWIYNTSFDKGGAFGKKGATSVVRSRVWMGHKTQRDAKGNITVSIHTLL QHASGPKALKSPPSRQSPTSAPSFQPTDPVPQASSSSTTSSTRDVIPEDENASIDPTT SELLSTIGEEPPVIATPVVEKSIEPPSSSSGSSYMSLFSSALHAVQEVSAYAYDTAHM ATVSVFDAAPSAPPPAASSRSGSSNSTRRFPDLSPAEKQLVSCCANSPSAAPDNAPEP VESPTCTACHTAFGLAKYRYFCGYCANSFCRDHLPATARLHAYGGTKLSKICATCSSL LAQKIETQQRHWRVERVQDFFAGVLAPYVSFTTDTAMDKACRAAEGTLVAAKNVPLGA TARLAVVSADFLRKYGRAGLLGFVLRNEFMQSFNTLKELLGDMESLTVQDASLGMYYF MATNRGLRGSSPTLEEDQHTCCPVVSDDLLARMIKFAPITLHCVYELDILDMQRFAKL QGYTLVYASVENRTANQPAFGLVVKPDEKLGILMIRGSKSVQDVLTDLQIASAATTTS TTSSGPLDSFAHHGMAQAATWVKHQVHDSLKELDCQGYRFMINGHSLGGGVAALLSVM LHDEFPSLECFAYAVPACASRDIADACVPYVHSIVLRDDFVPRAKTHNIVKLSAELKD FRDNWTHHVHEDLSAVKSRVVSLWAPRKREWAQQEAARLRGTNVVVQPVASTGTTSSS SGDTTSSSDGSTATAEAWTLNRANLMDQYKETTLPPSSKAADDATGAADEQVEDDDVD LESKDTVELFVPGQITHIYYVHGTYEAVHVRRDCDALSRIQVYENMLADHLGRNYLEA LRVVRDARAAATPPPAWVPFDTHTRCQCCESPFTWNSTSSSEAQANVDQHNCRNCGWL ICDGCSKKRASLPKYGINTPVRVCDRCYYQL H257_05732 MGPPTHLPNNAYKFKYSVLFMAPPPPGYLKYFDMFGDPRESILA LTAHATSTSSRLDGRSSGEPTMGWSDKLLEKDPPSWSDSSLTVPKGKAQFVDYTWQLY KGKNEYDENGWIYNTSFDKGGAFGKKGATSVVRSRVWMGHKTQRDAKGNITVSIHTLL QHASGPKALKSPPSRQSPTSAPSFQPTDPVPQASSSSTTSSTRDVIPEDENASIDPTT SELLSTIGEEPPVIATPVVEKSIEPPSSSSGSSYMSLFSSALHAVQEVSAYAYDTAHM ATVSVFDAAPSAPPPAASSRSGSSNSTRRFPDLSPAEKQLVSCCANSPSAAPDNAPEP VESPTCTACHTAFGLAKYRYFCGYCANSFCRDHLPATARLHAYGGTKLSKICATCSSL LAQKIETQQRHWRVERVQDFFAGVLAPYVSFTTDTAMDKACRAAEGTLVAAKNVPLGA TARLAVVSADFLRKYGRAGLLGFVLRNEFMQSFNTLKELLGDMESLTVQDASLGMYYF MATNRGLRGSSPTLEEDQHTCCPVVSDDLLARMIKFAPITLHCVYELDILDMQRFAKL QGYTLVYASVENRTANQPAFGLVVKPDEKLGILMIRGSKSVQDVLTDLQIASAATTTS TTSSGPLDSFAHHGMAQAATWVKHQVHDSLKELDCQGYRFMINGHSLGGGVAALLSVM LHDEFPSLECFAYAVPACASRDIADACVPYVHSIVLRDDFVPRAKTHNIVKLSAELKD FRDNWTHHVHEDLSAVKSRVVSLWAPRKREWAQQEAARLRGTNVVVQPVASTGTTSSS SGDTTSSSDGSTATAEAWTLNRANLMDQYKETTLPPSSKAADDATGAADEQVEDDDVD LESKDTVELFVPGQITHIYYVHGTYEAVHVRRDCDALSRIQVYENMLADHLGRNYLEA LRVVRDARAAATPPPAWVPFDTHTRCQCCESPFTWNSTSSSEAQANVDQHNCRNCGWL ICDGCSKKRASLPKYGINTPVRVCDRCYYQL H257_05732 MEEVVENQRWYTLSGWSDKLLEKDPPSWSDSSLTVPKGKAQFVD YTWQLYKGKNEYDENGWIYNTSFDKGGAFGKKGATSVVRSRVWMGHKTQRDAKGNITV SIHTLLQHASGPKALKSPPSRQSPTSAPSFQPTDPVPQASSSSTTSSTRDVIPEDENA SIDPTTSELLSTIGEEPPVIATPVVEKSIEPPSSSSGSSYMSLFSSALHAVQEVSAYA YDTAHMATVSVFDAAPSAPPPAASSRSGSSNSTRRFPDLSPAEKQLVSCCANSPSAAP DNAPEPVESPTCTACHTAFGLAKYRYFCGYCANSFCRDHLPATARLHAYGGTKLSKIC ATCSSLLAQKIETQQRHWRVERVQDFFAGVLAPYVSFTTDTAMDKACRAAEGTLVAAK NVPLGATARLAVVSADFLRKYGRAGLLGFVLRNEFMQSFNTLKELLGDMESLTVQDAS LGMYYFMATNRGLRGSSPTLEEDQHTCCPVVSDDLLARMIKFAPITLHCVYELDILDM QRFAKLQGYTLVYASVENRTANQPAFGLVVKPDEKLGILMIRGSKSVQDVLTDLQIAS AATTTSTTSSGPLDSFAHHGMAQAATWVKHQVHDSLKELDCQGYRFMINGHSLGGGVA ALLSVMLHDEFPSLECFAYAVPACASRDIADACVPYVHSIVLRDDFVPRAKTHNIVKL SAELKDFRDNWTHHVHEDLSAVKSRVVSLWAPRKREWAQQEAARLRGTNVVVQPVAST GTTSSSSGDTTSSSDGSTATAEAWTLNRANLMDQYKETTLPPSSKAADDATGAADEQV EDDDVDLESKDTVELFVPGQITHIYYVHGTYEAVHVRRDCDALSRIQVYENMLADHLG RNYLEALRVVRDARAAATPPPAWVPFDTHTRCQCCESPFTWNSTSSSEAQANVDQHNC RNCGWLICDGCSKKRASLPKYGINTPVRVCDRCYYQL H257_05732 MEEVVENQRWYTLSGWSDKLLEKDPPSWSDSSLTVPKGKAQFVD YTWQLYKGKNEYDENGWIYNTSFDKGGAFGKKGATSVVRSRVWMGHKTQRDAKGNITV SIHTLLQHASGPKALKSPPSRQSPTSAPSFQPTDPVPQASSSSTTSSTRDVIPEDENA SIDPTTSELLSTIGEEPPVIATPVVEKSIEPPSSSSGSSYMSLFSSALHAVQEVSAYA YDTAHMATVSVFDAAPSAPPPAASSRSGSSNSTRRFPDLSPAEKQLVSCCANSPSAAP DNAPEPVESPTCTACHTAFGLAKYRYFCGYCANSFCRDHLPATARLHAYGGTKLSKIC ATCSSLLAQKIETQQRHWRVERVQDFFAGVLAPYVSFTTDTAMDKACRAAEGTLVAAK NVPLGATARLAVVSADFLRKYGRAGLLGFVLRNEFMQSFNTLKELLGDMESLTVQDAS LGMYYFMATNRGLRGSSPTLEEDQHTCCPVVSDDLLARMIKFAPITLHCVYELDILDM QRFAKLQGYTLVYASVENRTANQPAFGLVVKPDEKLGILMIRGSKSVQDVLTDLQIAS AATTTSTTSSGPLDSFAHHGMAQAATWVKHQVHDSLKELDCQGYRFMINGHSLGGGVA ALLSVMLHDEFPSLECFAYAVPACASRDIADACVPYVHSIVLRDDFVPRAKTHNIVKL SAELKDFRDNWTHHVHEDLSAVKSRVVSLWAPRKREWAQQEAARLRGTNVVVQPVAST GTTSSSSGDTTSSSDGSTATAEAWTLNRANLMDQYKETTLPPSSKAADDATGAADEQV EDDDVDLESKDTVELFVPGQITHIYYVHGTYEAVHVRRDCDALSRIQVYENMLADHLG RNYLEALRVVRDARAAATPPPAWVPFDTHTRCQCCESPFTWNSTSSSEAQANVDQHNC RNCGWLICDGCSKKRASLPKYGINTPVRVCDRCYYQL H257_05733 MMTDETSINYRRRSCRSRDLMRGSHTYLDGTLTVSEHARPGCVE GIRGWSGPWRRRHYCRRSVEQRRRTCSCSCVSSALPCTGGPSDMMIVVALAWSTYS H257_05734 MDKLMIDKFKNAFDDAKGLVKSKMGTDTEKKLEEALSNKNWGAS STLLNEIAQLTYEYESYNLVMKKVWEAMDAEGRQWRTVFKALALLDHLIKNGTERVVE NARDHMFKLRTLSDFNYYDGSADKGAGVREKVKQILDMLNDNDRIRDERDKAKRLRDK YIGVGSTGNTGGFSSGGGYGGQSGGGGYGNSGSGGYGGSGGGYGNDSGGYGGQSAGGY GGQSAGGYGNDGGYGGSNSDRTNSRDQDNGYGGSRTGSRDKYASKQADDAESEEEVKP KPRRTSKTKRATKKDDSVVADDDEPVRAPSNAPSLLDQDFFGGSPAAPVAQAAAPLHT FDPFAVAPVAQQQSGGYGNFGQPPSQAPPAAFNAFAPPPALGGLNQFQGGFPGGFPPQ QQQLQPPLAQGYGGQYGSQTGQSAPFVGAPPPAPVQSLGNPAQYTSQPKTNSSAPPSA AKSNDAWGAGSNLFDLSNLGQSLPSATGGQKPSGPGLAPQNSFHGLDTLAGLPNKPRP LGGAPAPLYGAPTQQPSYGQPQYGQPPQQQQYGQPPQGYGQPQYGQPPQQQQYGQQQY GQQQYGQPQPQYGQPQQQQQQPFGAGFRQF H257_05734 MDKLMIDKFKNAFDDAKGLVKSKMGTDTEKKLEEALSNKNWGAS STLLNEIAQLTYEYESYNLVMKKVWEAMDAEGRQWRTVFKALALLDHLIKNGTERVVE NARDHMFKLRTLSDFNYYDGSADKGAGVREKVKQILDMLNDNDRIRDERDKAKRLRDK YIGVGSTGNTGGFSSGGGYGGQSGGGGYGNSGSGGYGGSGGGYGNDSGGYGGQSAGGY GGQSAGGYGNDGGYGGSNSDRTNSRDQDNGYGGSRTGSRDKYASKQADDAESEEEVKP KPRRTSKTKRATKKDDSVRFNIHFGRYFICWCFNVVQVVADDDEPVRAPSNAPSLLDQ DFFGGSPAAPVAQAAAPLHTFDPFAVAPVAQQQSGGYGNFGQPPSQAPPAAFNAFAPP PALGGLNQFQGGFPGGFPPQQQQLQPPLAQGYGGQYGSQTGQSAPFVGAPPPAPVQSL GNPAQYTSQPKTNSSAPPSAAKSNDAWGAGSNLFDLSNLGQSLPSATGGQKPSGPGLA PQNSFHGLDTLAGLPNKPRPLGGAPAPLYGAPTQQPSYGQPQYGQPPQQQQYGQPPQG YGQPQYGQPPQQQQYGQQQYGQQQYGQPQPQYGQPQQQQQQPFGAGFRQF H257_05734 MDKLMIDKFKNAFDDAKGLVKSKMGTDTEKKLEEALSNKNWGAS STLLNEIAQLTYEYESYNLVMKKVWEAMDAEGRQWRTVFKALALLDHLIKNGTERVVE NARDHMFKLRTLSDFNYYDGSADKGAGVREKVKQILDMLNDNDRIRDERDKAKRLRDK YIGVGSTGNTGGFSSGGGYGGQSGGGGYGNSGSGGYGGSGGGYGNDSGGYGGQSAGGY GGQSAGGYGNDGGYGGSNSDRTNSRDQDNGYGGSRTGSRDKYASKQADDAESEEEVKP KPRRTSKTKRATKKDDSVVADDDEPVRAPSNAPSLLDQDFFGGSPAAPVAQAAAPCML FFILVNLLLNKALGSAHVRPVCSRACGPAAVWRVWELWPTAQSSPSSCVQCVCTAASP GRFEPISRRVSWRVSPTTTAAAATSSPRIWRSIRKPNWPVGSVRRSSSPGARTVAWQS GAVHLPA H257_05735 MEVPTDDIRFQIELEFIQCLASPSYLNHLAINQYFENPAFLNYL QYLKYWKKPEYARYVNYPHALTFLDLLDDEKFRQMIAHDNFRDLVHQQQGLHWMHYYN NRVKAAAAQAADVVE H257_05736 MWTKSGLLDGILKSLPHTLTDESAAHRSYGEALLNCFRGGAFSA LLDITLIVAGVSIQHVLLHAAFTPTRFVVPTSAPICRPLRQCSISAGWNGTDLLPTML VLPSAKQGRSRRRRRGGHVWGNDAISKDGGNHAPYPLNLDLVVNTLERPRFADGNTSI IGNKSVPFHPADMLHWRSGRQAFLLTTVPEEKSASRAFDDAEGAVLTGAMWVSEILEG NKMPPSKSFGIPWTRLRPRGTRCLHRNLLTICAAHNES H257_05737 MGKAAVKKSPTPTSSEAGDPWTGRSVSSLSEKWTNEKAVAAYDA TLVTYIYRTFLDHVSTKESSSHHHHEITLLSSTGYLENYLWLHFNAEKHATDKWLLLS IVALSNETDGRLWTSVDGSKYASFIDSLFRLKHGHAFASWSLREHARFLRFLTHGIRH LEHAHVAKTMLQYASLPTWKHLSDIQRNLVFADHPKLKRHWQNRIPSPPAPSSSSPVV KKRKVLPSSSPVVDLDGDFYVGLLNDLKAVLLRAAADPSDDDDDQDDIVHYVAHALDL WVDLMSQLPTRRFLRTLAVHLHLLMACRHSAVVTNHPLLSKQVALLHFYVHFPLDDQT GHAWSAVEHKANVASRAHALQLTAFATHESLRALSLLPLSSLSNRSVLQTQLNLVPDD ILFPFAIAAGLVQPSDENIDLVDAFVDRFALHAPPTLSALPLFPTEADLWAPDTASLD DDHAHGDRNQPLILSTRKLNLQFLSLQDYLYRNYELFRLEAAHGVRLDLEHVLHVLQP GSSSHHQPNSSSSGRPVFRRRHPMAAPVDAVKIVRVDPPSIGHLHPAQVLAQVNVDLA PEAVEAWDAALQLPGHHVVFLVHVDASAIPYDDDQAKLSVLNAAEQHGVQSVRGASVL QVLDGHGTAIGDLVEHPDGTLVRAKGKGTRRVLRVALDGAQYAKDVAEANTDVYTHLN VVVRRDAKVNNFKAVLDTIQDILREQSPSRVLPAWLADVFLGYGDPASAHHRHPSLQT STSYSVPLFDTFANQAHAAASFPNARNVEFIANGEATSPAKEGGSGAALSFTLIQKHD QGDQNVVLQVDSTSSHTTDGRPSAAVQFTPTQVDAIVSGMHRGLTLVVGPPGTGKTDV AVQLIANLYQAYPTERIAIVTHANHALDDIFAKLKAKRVVDPGHLLRLGGGGVVGSSD DETDFENDFTKAGRVAFLLARRRVLLDQVEYIAQAVGGAAAASGASHSCAQAAYFYTR HLGPALASPPTADFTRFVTQFTHASNGADQRAYFARLFDELATLSALEVLRTPKQRGD FLLVKHARVVAMTCTQAAMNRSRFIDMGFQYQSVVMEEAGQVSEIESLIPMLLQKTTS QLHRVVLFGDHEQLPPVVQNRPLADFSKLDQSLFTRLVRLGAPTVQLDRQGRTRPSIA ALFKWKYKHLTDLTSVTSAPQFTTANAGFRHTFQFIDVPGGSETSPRLHAYENHLEGL YLVQVYQYMRLIGYAADRIAVLTTYAGQKALLWSLFQPGKAAFGLPNAIATVDEFQGQ QSDFVLLSLVRTRHVGHLRDVRRAIVAVSRARLGLYVFGKKSVFTSAVELKNVVTPLT HATTLALVPAERADKGPIRRQECDKVPSDHVHLVPDAHNMSDMVAKLKAQQQRQ H257_05738 MWAYSFSGRRSLFRDRHRVLFSLSSNDDDRRNLTTALGLGAYGV FITAPDDASQLAAAKTALRDLMSKDSHITRDDICIVCECSSTWSLATLGGKCKDVAAK LDVGRIDVVLYPNALLSPAVHGQVRTVALVEAWTAMTTLRSAGLVDHIGVSDFAVHEL EILLRRFPEHPVEVQCIRDVSPFSPYDHMVRFCQGKQIDVVACFSVQLDSLTHIQKAT WSKIASDIATAHQRMHFQHNLPSETIRVDNSNASINQATETCDVQLDARRTASEVLAT WLNQRGMIAVPMVEGDEPYDEGACRALFSLSHPFVKEPAAAAPSKPYHFVLCKDDMTL LGRLATSIIQ H257_05739 MRSDAAADTVGASPVGLTSCPTVEVHPKDIVVVKTVSRNSYHHP TIQEVDERLRSGVGSMGTFNFVVDTGDTSGAIWLPQQREDDAAAVVLAGQDALVYGDT VELFGTVPSIGGGWEELPVGFLPLGVTGLVKPSTSDEQVLALLKHHTSSRPISPARFV VLPPPGESAIILGKTPVPFHEPVVLMTLVDSDMDNSTPPPVALNNKLTAGVNNLIGKR PRSLTGVSTKGELHVAFERPDAAPSRPISALHEYCPQQPALLSLLRRVTLRIVTTNRV RHTYDGQAIGHCPRTGVLVCGHKKTMFEFTHKLHPAAVTFCIAKVKMMTSSR H257_05739 MRSDAAADTVGASPVGLTSCPTVEVHPKDIVVVKTVSRNSYHHP TIQEVDERLRSGVGSMGTFNFVVDTGDTSGAIWLPQQREDDAAAVVLAGQDALVYGDT VELFGTVPSIGGGWEELPVGFLPLGVTGLVKPSTSDEQVLALLKHHTSSRPISPARFV VLPPPGESAIILGKTPVPFHEPVVLMTLVDSDMDNSTPPPVALNNKLTAGVNNLIGKR PRSLTGVSTKGELHVAFERPDAAPSRPISALHEQPALLSLLRRVTLRIVTTNRVRHTY DGQAIGHCPRTGVLVCGHKKTMFEFTHKLHPAAVTFCIAKVKMMTSSR H257_05739 MRSDAAADTVGASPVGLTSCPTVEVHPKDIVVVKTVSRNSYHHP TIQEVDERLRSGVGSMGTFNFVVDTGDTSGAIWLPQQREDDAAAVVLAGQDALVYGDT VELFGTVPSIGGGWEELPVGFLPLGVTGLVKPSTSDEQVLALLKHHTSSRPISPARFV VLPPPGESAIILGKTPVPFHEPVVLMTLVDSDMDNSTPPPVALNNKLTAGVNNLIGKR PRSLTGVSTKGELHVAFERPDAAPSRPISALHEVQFMKCL H257_05740 MKGMLAVALGRPQIRAVSFSAQLPRRRPALRDLVILSPSLSASL GREPNEIAKIISDESATDPKTPFRIQLPNSLDRPWVAAKDVGLADPHAHQPAPEPPAS HECCGSSCPNCVWISYWEESQAWEAAVAAAAALNEQVA H257_05741 MRRVLSTTIVRGTARSLLLRSQLCHPLGCVSYSNSAQLLHRVYV GNIPYRVTESDLASIFSHCGDIVHLNIVRNVVTQQSKGFGFVEFDSPEGVDEALRLTG TVAAGRSLVVKTATPSPADTFAERIPPPPPSSQLSSAISGPNPSRVYVGNLLYSKTPA DIAALFHACGPIKYLNVVCESSTGRSKGYAFVEFESPSCAEVALQMHGAIVDGRTLIV KDAAENKREQKHPGFDVAPVPDTVYVANLPEDVLEDDLRGMFDHCGAIDSIRISHSPD FAQTFAHVKFASDEFVAAALALSGSDFDGDTLLIHPATRRTPKTF H257_05742 MSVGGAIDTGTMSSFGLLFRSLGLKQLLLFDSGNLLFLGGVNLG KQREGKDPSTDINPFSSSPSFSLGGLVFDPLDLDVSRRGTSVLKKLETAPHLLQGQGV NPRCSESTDNIRRKNVAGPCVGCDAQSGGF H257_05743 MSVGGAIDTGTMSSFGLLFRSLGLKQLLLFDSGNLLFLGGVNLG KQREGKDPSTDINPFSSSPSFSLGGLVFDPLDLDVSRRGTSVLKKLETAPHLLQGQGV NPRCSESTDNIRRKNVAGPCVGCDAQSGGF H257_05744 MRQVKGVSVPFSIMGSLPLVRDLQRAGLDLTLTGFGKSAVYHGD NEYCLLRDMADALRVVGRFIHNVDVA H257_05746 MGCEVPIFGAGAKYCERCTITLSLSLDATRTTMRSRKNIAQAVD IVEVLSSDEEREQLRLQQIENAEEISDDDMDASSDEELSDDLRTDDLRVLATDGDGVF RAQVDNRNILRSRTFARYRFRINDFPISTPLVPASAVPAGASSTSVPESTTIITNHTS HGRGKKRPIVTSTTPTKKKASSKKPASAYQGAIDPALLHYIQNPEDYAASEYESSAND ADDNDADNLIDLAHSDGSATEDEEYGARNRRRRQHPAVPDEPCFICESRSAPNGGRSM RVQCPDCEGIYHRSCADAHDGNQTGCLQCADDDLIDDSELTEADLATTSHVFGAFHVK DEPDPTSSADSLPPASHLSPSRLDDDDADDDMVDSGTLPDVVPDASHVKQTILDGWKK FLDIHTAAFDADFVAATRAIEAANGFQTTLESDLHKLFQHYTTEQAKAEELERTQAAA AASSIGAASSSPCKSAVHDEASPPDTSIEANGHEIHHDDTAAAATCPEEATIDLTSDN DDEDEVVEVCGTLPSASPAVAINATSVKPHSVSPTNTVGRPPDADANVAFVRNQPPPD ISDLPPKKAKRRIPLVSVVPSRPLQPKARPQDPRRPLSTVVAASASPPLP H257_05745 MALDLTLNEDAYITLLRKIMTVSERVQNAPGLGLIPQEDLVSDI VLEELKPYLKQQGGPIEAQRIAFKEGRGHLILKYAGTSKADETINFVGSHMDVVPANP EGWERDPFTLSVEGDKLYGRGTTDCLGHVALVTQLFVELAKHKVVTQKTVTAVMIASE ENGEIAGVGVETLMETGKIDFLKNGPVLWVDCSDSQPCIGTAGALTWSIKATGKLFHS GLPHLGMNALELAMDAIKVIQDRFYKDFPAHEGEIPYNFACGSTMKPTKIESSTNGIN QIPPWTKISGDVRLSPFYEMQDVRDKLQSYVNDLNANISSLESRGPHSKYTLPKENLV GTIELTLAQNALEGIACSLDSIGFKSFHEATKFVKGVSVPYAIMGSLPLVRDLQRAGL DLTLTGFGKSSVYHGDNEYCLLSDMKDGLRILNRFIHNVDLA H257_05747 MNGDGANSTLSGCSTRDAHAFVLSACDQSELPLNAAKIPQLPEV SYLSFARVARGHDNISIALITESQEMNLLISSTTDDPVEEDVSHPSLSVWKDLETNPY YDLVREFQDSFPDSVPKCLPKDKGVRHNIDLVPGTKWCVTRQWRLPRDQVAYIDEFLQ HASEPVTCGRASPRTLVPPCVLRKAAGNGALFTPTTS H257_05748 MKVDLPDDWRIHPTFYVGKVKRHLPRFIDGEDVPSDVEQCPDTP RASPAPRHDKSRHKDAETPHERSSAYPAYPPAPVAGQQTLLRQQPPPPAHATPPSVVA SGSVSAPSDAGPLPSTPMGHLHLALDSCQSFKQGIVLQSCHAIPLHLPLNRSQASGHS IMQRRARLKSYQTPQHVAGDTSVRICHTTS H257_05749 MTAAMVAEPGTAQGIVTSALDGAHVLRCGSDDATMTQSDHTGED GSLPDVGGADYPMDQVVFGLAWSADEYACMEECRASRSELLRQ H257_05750 MVNPVTFQARLLTSLAAQEKQSRLCGATTLARQIRAHVVAKYVA AFLLETGHLSYDPYIKTERQTALRSVQKFVKHCGYLRDSKRGKKSLALTVANTILRDN YNFIHQHYNKNDISLYDPTDNLYVQAKARHKGHRFCFIAAIFVGGKQTKDYHGMFDHT YFVTWFGRLLDALADRGISNTIIVMDIAKYHKCLPEATPRIAWRKPDLMDPYTASVVP VVVEMASAAGHELVHSPPHHSNLQPIEFLWAIVKGDVGRQYDTSTTFHDGDMRLDSAF EAVTSAMVHGCIKKSKQDLLELHRYISTIDEDEYQSCDSDDDRGSFDGSSSGDDSDVG AFVDSYLE H257_05751 MVKLTFIAAFAALATAKIAPSIHRHLESNEDVDVVIEFKGGNQP ALETARLQRASFKDRGSGIAHVRSLLEGNMKTSQRAAVELLSLQPRSFTTRVESFYIN GNMHVYGANRLVLDELATLDNVARIRQPVTAQISPVSFDDDDTDVGIPQGWADDNATS TRAANEWGVNLISAPAVWANGNRGEGVVVGIIDTGAIHTHDDLKGNWRSTYGWFDPTD KTPTPIDRNGHGTHVAGSAVGQNGIGVAPGATWIACRGCTTSKCPEAALLKCAQWMLC PTDVTGKNPKCELAPDVINNSWGYGASSSTYQAVVDAWRAADIIPVFANGNGGSNCGT VYSPGDFKNVIGVGAVGFDDKLASFSSRGPTNDGRSKPDVSAPGNRVRSAWHTSNSAY NTISGTSTASPHVTGAIALYLNANKAFTTTVDTDTLTPSNQNCGRGSNSKYPNNYYGF GRINVASAIGGGVEPPSFTSVPSPSKPSTSAPSTSDPATTTRRPFPSFPVTSSPSPSK PSTSAPSTSDPSTTTHRPFPTSPVTSSPPSTCNGCTGCYSPLINLCFPPEFGQAECAK LTNFQETWCGKQ H257_05752 MPTSLVSTQKMPVPTAKLSKPAPCPKSTFLGWDVVHLDEKCFNA NKDHRKTYLVDGEDVGYSACKSKRFIAKVMFLCAVACPRDEDGFNGKIGIWPFITQVS ATRNSRNQPAGTMVITVINVDGATYRDYVVNKVIPAIKENFRSSNKRVVLQHNNATPH RSIDDATLAEVK H257_05753 MVDTQPQPPVKYVAWTEDLEVALLREVTRIEPLGADHGELLQRW KLVASGLSDQVPKINYRSAREHVDVMLMGTAVVDLGYLISCSKESGTRTHLYDWLIAH LATFQCPPLTPSLERNPADLESRNLPDDDRQAVLNMLLSKSDDGKRKHGSVNDVAKHF NCHRSTVSTIWNSYKAACASTHIGPSPTLPNSRMKDHLLVRVVQHPCKLQRGAILEVA RIFGRNPRTIGKIWQRANVSLGGDNLPSREMICEHTASMKKGRVIGCAGGNSYKIPYM KKAALKKCGRLPESVSRGKDVYDDGCTLLGQVDLSTVMLELSLQTARDLEMMRHLHSI GNS H257_05754 MAFHANIPRTTILRHMKRNRRHRCKSSYHRPLLTDANKEERVKF ALSFVKRNQVFDDMHNVVHVDEKCAAPPTSEVHEVHNKVMFLCAVARPRFDVGRNRIF DGRIGMWPFVVKEPAQRSSKNRPRGRLVTQPQTVTSEVYLRMLTTKVIPAIQMKMPLA MKRSTVFIQQDNARPHAQSVNNSDGDGLTIKMRNQPPNSPDFNVLDLGFLILFKVYSI NQRH H257_05755 MVTSADKEAYEEEAYEEASVVEDSAHDQGPPGDRNIRKQYGRQV DANDKVLEDKGVADLHPDDVQLLKSVLKYRLEGETTVRLLLALCRKSSGDPKALLQPM INQHEADEDYAFSPPTAPVSLPSSTPVPPLAAAVATTPASSIPSTVVAPNPPSKGTDP REFRRLSRLTKMLS H257_05756 MQHANDTRCVGLFASAQSFELHVLRMLLALEGMQLARVSFRRFD EFKDAMRVWYERERFERWTVGLGCIELCNDETLLEFIVAFV H257_05757 MPSTTAISDDDYDEIASYIRQERPRSLTKEERLDILRLHAELRR DGQMQVSSTIGRLLGRSQKDVMALLLEAKIIHYDVNCKPEAVNCLRRVQQFLVKLGFK RGKRRGHAAYAMSSAHATARDVYVQHMMQLAPATPVVYLDESYIHHHYARHHDSLYDP TDNGPTKEMHKGRRFCFIAGIMAASPTESVVVGLDHSAFIQSTTTISSIKTAAHEAVL ALLRGPPILAATFIEETCNLNDLPRTSSETLALPEMSFHWLVESLRAHDIATMAMITV EGEMDLFSTSTVDDSVPAAPLKSQTWGSLRSNPYYDLFKELRTSSPTKCPPTTCRQGF PTRDRPLDAIDAFFAARKVAGHVRDSISPHNSPTLCVKKPGGK H257_05758 MKMHIGAVILLVAAATHTATARTCGDKSPLDEKCADLFPTCPDQ HSPAIFNGCYHCAHDVTCEIKAFAVVNVKDMVAANEDVDRDVKESLLDARENTRVRSH ASSSSDLHAVASVTSSDEENTSNDGWAVPGVLGLLGVGVAVGGVYRHKRSRGQYHSIL SQQQQQYDADDAALDDLNPFCHGSLSEETPSLLKPSPKASATSSSFRILTV H257_05759 MELSLEHEQELKKIFDDYDEDGSGDIDVEELGKIADDLGEPLSK EELDYLVKEFDADGSGTIDWEEFIAWWKSPF H257_05760 MPKHATPIKHADGGFTINGCTFHVDPKYEPLDAIGQGSYGVVCS VLNTVTKEKVAIKKITPMAGDEWDATHTLREIRLMRCLGEHDNIISLKDLTMCVEKDE LYMMMELADTDLHRLIQSSCPLHEGHIRVIMYQLLCGVKTMHDNGVLHRDLKPGNLLV NKDCELKITDFGLARMVPKDLQNRDANDDSASVSSPMTEYVVTRWYRPPELMLAPNGV YDGAVDMWSVGCILGELVTRKPLFPGSDFMDQLTRVFKVIQIPPKAQRGYIIEKDALK FLSSLPATPPNALDNLCKGKDVSPDTKDLLERLLCFNPKERIGVDEALAHPFFKGVDI EWGVIPPLQLAHSLEFAFEDQSLPLDVLRQYIKDEVAAFQVKTQTIESGTQPEDDENG GVADVATARNGPLAQDTPTTAVTTKGSHVPLATASRRTSATAADGNYAAGLGFTLKGC EFNVPSHYRAIHVLGEGSYGIVCSATDATTNQTVAIKKITPMAGDEWDAKHTLREIRL MRYFEHHPNIASLQNLSTCIDKDELYIMMGLVDTDLHRLIQSKTKLEENHVAAIMYQL LCGAKALHENGVLHRDLKPGNILISKNCDVKITDFGLSRYVPEGRTSAHPPSSLSDKS APQLMTEYVVTRWYRPPEIMLAPNGTYADAVDMWSIGCIFAELLNRKPLFPGTDFIDQ LTRVFSVLPVPPKEKRGYTVEGDALKFLESLPRCSPQALTKAFRKASPEAVSLLRRLL CINPTRRITADQALQHPYFKAVRAQLGEPVAFHVSASFDFEFDQNDMSLHTLRELIQD EVRLFARGSSDKDAAEVKCGALSSTAAKPTAIKSGGDTTVEGANDDEEDNDVQPVSVA KPTVNTNHDSLSTNQATRAKVNNRDVDSGDLSSAPKSVERFPETNAVDSPHDKADRRR LGDENGQGSDEKQQQQQSWADQSAHQQKQQQSWATDGKGRAADNRKAAGHGEPTELDS DDDDDDHIYVEGESKKASLDSTQPGSSDWDDDDGDELLEAIQKHNQDALATGDSRVHI ARPPSNQDQCHDDDEQEEDADNDRRGSHPSAVIAAAAVAPLPPGWEERFNKKYNRAYY VDHATKTSTWERPVTGSRPTTPSATTLDKKPVSAIALASTTTTSLVSSGLAGLSGLLK KVLPPAPIAAVVASSSMPDVLPKNWARRTDAKTGRLYYVNLLTKKSFARLPSSVSASM VQKTNPKDKDDKTKRPVTVPQSPQFSQMSWQRKTRTSVGGSRHE H257_05761 MEGGGGGGSILSAMTANMVSRGLVPEVDASSLAQKLQAAVRDLR VACRVSYAFQINEDKMTSWKTASLGTVLKSVHVTPEVQAKIHAVYDEAIVQMRTLLDE TYDRTVAEMTHRLDAFEKELTAQAAASHASLVDDLELRYAATKLELEHIIADMHKEHD HQRMQIIYLETRLKALEGAPDRGDVELCNQLRTRVVELEAAVEASAARVAQVQNDNAT AKRRIGHLESDAVLRQAKWDMTQGMYARETTQLCDIIRMNEAQFMAVATAVHRAPSPT KPSAMGGPGSPTRGGVQRYDPHSGRVLLHTSPPTPDLVSTPSGVQYISTTSPTRRPPS SPHRRPVSDKPLPILPTATVHRPYI H257_05762 MAENTYDALRDAIWNDDLEQLRYHMRTGRIDVNHTDSAGQTLLH LAAFWGRTDIVRVLISLGGNMKTKNATGCTALDLAIHWGHSATAEIIRLRGGTSVWEE KMGLLQMQVEDLTTTVVDVERQNRDHEAMVATLRADLVTLHATWAEAVDQGKAHAAER DTFAAAAADLEAAVDRLHQDVATLKQDLYESQMDGFRLDRAREQAENERDAAVQQRRD AIDRQNAALEGQAQRTRDWQAAERAAVIMETQRNMAFHERDHIQRRATVAAVELELQA ERLAYAQAEHRRIEEEAAEFLHAKRQQDLRLKRAARALEEFSAEKKAAAMEAAKTYGQ QQTTNRRRQLAASPSREDRHRQRAQTAAALESQQQQHDLASFEAEFVHTIKTFTEARD AKWAKVKALDQQSRFDADRAARRPLVHLKDSSSAHSRTTASCTVHEYGAIVQYAPKDY TSKHLPTSSCVVLGCM H257_05763 MAASTTSSPSSSPAHRALSNRLKAQFQDPDSDNDDDAQASQDWF VNDVRATTPVQDNNNHTTHADPVHQEPAHVKKASASSPSRRKSSFSETEEDEAALVKT KTGFMHLRPLVSSVLIMELCTSDATMAKLYGSKYFKIYLPQIVDSQVDFCLVGRKRLN KNKIRFSLSETNISKWSNPSYVGKLSMYKTPRGHKFKIVCPKRKQRTVFTIEQDNRKL ELVVHFDVKNQLVNLFQKMKPPTPSAAATSDVATVSCLLQKFINPVADVRGTTANVSL LQIDREGDATYGKHIISYMRPFSMFTSACIAVAMEAHLFDA H257_05764 MPDAKPSPGKFKRFNRVGILPDIAVYAPDPKDKSRSVAEVHQEF LFNQRQEIEDICTGLQVRLEHVKKALPVPKHVEDPDDASDVNVPSPLEKRIEIEIAAE QDEVDTPLTPLDHMAIALEVCQDAFPGIGKALHDEAGAQLNVEYTQVLLEMIETIESC VHDKVVRDRVVRQTQLEVRSPEKTPVKPNLGISPTAQFKHFRQQLDQLKSPNRKAPRQ DKPPAFELESLLHKGNVGGSAQLPKVHKDNTFRQVLKCRRFPPTKVPKEEAIEKTYSY CPIESRFDMQSVHIAVDEAKPVADTWVVVEFPKVRPSLTSDQMAYLSRWQAARYRKLV GMQNTHDSDAVLHLYLEYTDTVMYEMCRLLFDKCPTSANLLYGLWHFFFTVVAFINKT IEEELTVLQQTVHCLKVEVEQYQLKISKQVEVGNAMRVKLAQKHKVISLEREKCIRQR NQLNRYLAADQTLVRLAMTFVQSIHDSFPDKSTATTSFLSSSSVPSRHFTTTEALDEM TRAVGLKFVTSSTSPPESSRVHRRPPRLSTVRHNLITEDGSRVLTHFDVDSMAPELDA AQKRLAQLVTLSSPDCTLGWDAQAVWQHAFFIPPPDDVLEMEYRVRHMILRVERAIAA RGSTRRRTNKAIQVDMDNMDNTPTAPLDPSDGTATSPALRPTLRSVQLAADFCRPPPG PKLTLKRKGELMKVVPPSFQRFILRISTSYNPHDYTVGAVSRVMTFVANTLLGQLASG VDIHSDIPKAPMPRDMAEMESPMEAVYRVYVMRFRVPLFANERLMDLVTSLSHLDTQS DKIHLWCRLLHLTGVDPLPPAAFWFVLHVLHVLAKCSHDGYYVCESSDDVEYIGQQPA WDALGIVFASFPTEVFQRCKTKLIGLSQIYGAMWIPIYSIVSMCVDEWEARYFAVRLE IDTRFANALKVDTFASFHHVVTSFVPRVHAYATAAAFTAATHMSRSTRPTPSDCTAAC VQAGLVPPDAAPQFDKTALLFGTPSDAMMAKTTELSMSFLSVVWSTSKDVVVRAIEEG GADTTAGLRLVSPLDHALHEDPPNAAMCWNLLEQLITVAYAG H257_05765 MGQAGSSEISIFGEGEMEAEFEKHGGNGNISVKDLISDGYDLVI DLIIRPTRAKYTLDALGPPSFELEDPLTQAPIYVSRTDRVVLNERQLGLACTHWNLLE DDRVTVKPAPCLIYLHSNVGSRKDALRVRDIGLRLGFSVFAFDFSGSGRSDGIYVTMG WLESSDLKYILDDLDACDSVTSIAFFAHSMGCYPAILNVASRSQQTAELAIKGSPIDA IPHIFRKWNVEPYAKRITGLVFDGAYSTMDQCIDDLVLNVKNEGFGAPKLVLKAAAHF IQKSVENRTGVRLEFLRPVDVAAAIPSIPALFVCGSVDKYVGPAHTHELAAAYGGPCA KVVVDGADHYSPRPTQVYQLSMLFLRHHLTSSSSSSAINEAAVSMSPLYQSQLHTILT HTSRPSQHYSLPTSPSNNMTSPSLSHTSKYIGSMCRTDNAAF H257_05766 MAAAPHHHHAAGEDERSHGSFLKCMRPKERFSLPRTKARTGSIY HTPTAAAFGSPPTPKRRFFSNERTRASSIHYQHGQPPTTSCDCVGLKSLRCEYASSEC DSPTSPSRGRGSSFLTHGPSSHNREIEMTSMVCAKKKKWEDKFTSKEWELDWLQKWRK RTLEEATISSSPPDESRPSFTDRFSDPHFQQHAGFRRRSLSDAGHMGFMGFSLGQWQV DTMLRSGVPVEYRGQVWWLCSGAAQKRSSAAIADQYESLLWRADEVRVDVTTEIEKDL YRTFPHEPDTRDERESSISELRRLLSMYSLRNPKVGYCQSMNFLGAMLLVYLGEEEAF WVLACIVEDLVPGYHTKSMVGSRVDQYVFAALVEQKLPQVAHHLHTLHVHLAPVTFKW FLCLFVNTLPLETTLRVWDVFFSEGSKVIHRLGLTLLKLLAPDIVAADEAFDVYELLK FSPRTLANLMAPHRKAPQWRKQDDCFCDTLLRLAFDKAFLGPFPYRAIVELRTVYQVY VEDEVARAEADKATDMAVPIPVAAVTTTAVMVTRTARKADEYDFVDDFKADDLDYEFL ITSSNASSGSGCAADSSWRGTAGGGGVAVPAADQSLIENYW H257_05767 MTAKDGVGGGWTSIPFKDLSVGDKIGGGGVGIVYRGKYRGKPVA LKTLFDPRVDSALKQEFMDELLVMSKLDHPHVVEFIGACMEAPNLCFVMELCSMSLYD QLHGTNDPISIPTLVKMATNVASAMQYLHSLSPAIVHRDLKSQNVLLDASGTVKLCDF GLVCTKEGTAGTPAYMPPELLAGKPFSKAVDVYMFGVLLWEMFARDVPFRGYAIDDIQ RKVLHGDRPPIPTLDCPSACQELIRQCWSADPSHRPTFDVIAQKLRQVDVTAVVHAVD DIIEEDALDSLLMGGKKKNGRR H257_05768 MDHANNSARSVPRPLMSTFVQSKDSKVMSVFRWKGMLDVLDKEW MCDSLADDDISVPEELDATLDDDASTLRFGMLPVNGREPPERWNDLGLDLFGPPQVPP H257_05768 MSVFRWKGMLDVLDKEWMCDSLADDDISVPEELDATLDDDASTL RFGMLPVNGREPPERWNDLGLDLFGPPQVPP H257_05769 MVTTDDISTKLEAAFQATFVQVEDNSDGCGNKFSVIVVSNAFEG QGLLQRQRAVNEVLKDEMATIHALQMKTWTPAQFEQKKSQPSGEN H257_05770 MSDSAVNPKAYPLADAKLTVSILDLVQQATNYKQIKKGANEATK TLNRGISEFIIMAADTEPLEILLHLPLLCEDKNVPYVFVPSKVALGRACGVSRPVISA SITSNDASQLGPQIRAMREQIEQLLI H257_05770 MSDSAVNPKAYPLADAKLTVSILDLVQQATNYKQIKKGANEATK TLNRGISEFIIMAADTEPLEILLHLPLLCEDKNVPYVFVPSKVALGRACGVSRPVISA SITSNDASQLGPQIRAMREQIEQLLI H257_05771 MSRRERSRSRSRDRDYVEVGGDRRRRGNERRSEERRDDRGRARA KDFSHLVQPTGTGADAVRTWGVAEVATDADAVPVVVQKPNFGLSGALAKDSATGNVKN GIVMKWCEPPEARVPTARWRMYVFKKDDNVATLHLHRQSAYLFGREKKVADVYLEHES ISKQHAVLQFRVRHKEVRRDELDQPELVSEVLPYIMDLKSTNHTFLNGKQIEHSRYIQ LKAKDVVTFGESTREYVLVLDAAKAGVSQ H257_05772 MSKEACNDAAALVLAPLVLSEDVPNSAAHLALLCSTRKFQALQD ELANVMALVPLPLALGHFAYCIDFHIQTASFNWAAYLNSLVVALDVASKRTSNDPPPS DVRHVSTSIYLHHLANLPEHVHATVTWCKLYHVDIADRITGAMSLLYVNSKAALDLMH DLSLLPHLPADSVMRLLLETNDIPGADRFVLGDPIRQRALVHLMIEHHVDDKVIKKRL TKFRLPPDDFPVYVERRRRATLRYLAHAKQYSDVPDAAGSSDATQLYAANLLYDQCGH DNPITRYIVHLFGLGAHFPDVLAPPASFDLGANKDDPPPLAGFLTLEHLHATVEFVDS VAAATAAAAFLLSEPVVGLDTEWRSSFDAAAASTTPCAVLQFASASRAFVIDLQSPRD DAGKDAILAAFLPLFTSDAVLKLGLDVSGDFKALGVRPVHCILDLQTLQKAIGGREAP TTGAKTSLTDLCRHYLGFPLDKRTRMSNWTRRPLTSAQMEYAALDAVALVHIYHAMKA ASEGNPTKHKAAKTSNKASPKNSLFGSSWIYSI H257_05773 MMATAEEVLWEWQPEAIALVVEDEDHRLHLTEQGVDLLRSFNYP VAVACLVGGPTRHDVFHAFVDDGMSNTVPPLVPATHGIYLIGSADFMRTGRCMLALSL TVDLEDDDQHAPLWDLALLLSSIVLYINDGEIPTPPPRLLDTLEVIRALKPDCSTDDI NEFLPSLLWATASPSDAPSAAAFHASSWPFELPSTAVSDMATLDLVGWCVYPSHAAPS SDAATIIDDMRVILLHHTKTKSFFGTSLHGDMLVALVDHALSWLPRDDSSATSVTVDF LGGWEHMVGMQCTAVAATALAAYDDVMAEVDPPPSSAPLSSSVRPPMPLAEFEKLHVD MHEMAMSSFTSQAKPYKKSRAYRRHKQSLVGTLQTSCTRHRRALIESSRVYCTAVAHV HLSLLSNESTVPDDTPSTSSSSPAPPNGTIDHSTPPTETSQDDVLSAFVRVYRQATTG QVAADQVLATVVVSDVADFLTRRQHAAKASWTSAHLASERSALQAAYAAKQDQLTAHF HDQALQLREALAAEKKLWTQAHMAKQARDKIDVHETKRLADDLSAAAATIAALERTNQ ALQDEVAARDATIGHLQLQVSDLQRATADDDRVRSALVDSIAESIRNEKRLEAALAAA ESAATAAAAHLRILTEEKEHLQTNWRQLMVQITALPPPLQHQVLSLHVDEQATGHEHT TVGFSDALSSFMST H257_05774 MPQQVEAGDEPSRWQQLFGKSHKAGGHDPPRHRGNQDDDDDDSR PTWVDNWEREKMLVQATLDPEDTDAKFSASAVTKEWEAGRSIAASHRDDDDGVVEGDA KKRIQAWLNVENTAQTIKGAVKAQAAIRNNTPLRPAPRSADPVPASDPARNMAARQAL VLAKRLARAGTPSSSSIHKPRSFIRMQNPFNKPPKPPLPPAIDTLQHINARHAVVDKK RLERKLKASPPPPPPPTTTRRPIDSTLLRKQTHAVTLHLAQETERLAALETSRQQRHA AGVVLQDAFATWWSVVHMHQSTMAQAARAHMWHLQRRIWSAWRVYVRQIVHARAVAAA KAAAAWQHRADLDATVHYRKATLFKTFVQWNTFTKRSKAVKHAAAGFVARQSRTDRLL TQVLRPNMIEVELETATTTTKYSTSCTSPSLPPPSTVSFTKVPRRPWKSAKRPPPPPR RGSHMDVATSPRKLRVRVPRTAVVQDALPPRILDMRAREAERKLRWEALQTKYKEAQL AREEAVRVAAAQALADVQQQKAAAAALKLERKREMAREEAEKAQRRQLAVEQWTLAKR HHLRALVLFQGWRPWRHLVAMCRTKAIKAKHWHHDTTLHQRFGAWVGYRDACRAQARA IRTARLRAAATWHDKRWLNRVMFQWQAVREATLQRELSVRTASHNHLVRRLWGVVKSL TDARKAQLCRLQVKREADAKRRVLHVWSCRVGVWRKEAAAKREKDRMWRQVQVWLADD NN H257_05775 MTSPAFHAPPLSAFFKKEEDLLVTHGLADVHTPAMFHHAFDIGD VIGRGSVSMVYACRHKSTLREYAVKVINKQLCIKKKSLRDEISVLMRVKHPNIISLEA VFESDQELYLVMERATGGELFDRIVQVGVYSERQAADIVAHLLLALEYLHDHNIMHRD IKPENLLLGTTDRPDDIKISDFGIAKILEDMEDDDSMQGIQTTRRASSAACRGRAYTS CGTDYYVAPEVLNGNGYDNKVDMWSLGVVIYIMLCGFPPFAEDEGGMESVYRKITSGV LDFPDPYWSNVSELAKSFLRSLLNVDPVQRISAEKALGHPWIRGRTVSTSEAPLRSAI HEMRRFNQKRRFS H257_05776 MYNVRTLYNVYVRINQSSPPFFSIMQVLNLITRAGGGRGIWHAT RAPLGKLNPDACRHISSGGKGWLAQHGEKLKQVTKEYGKVAVVFHSSVFVATLGSAYT SIRMGVDIRHVKVPFVNLEDVDPDAGSFFLAYLVTLATGTRDIYREREVSLLPTLSFI CRSTPRWHHCHIDAVDCPIAPQVLEANVIRNVVFEFDG H257_05776 MYNVRTLYNVYVRINQSSPPFFSIMQVLNLITRAGGGRGIWHAT RAPLGKLNPDACRHISSGGKGWLAQHGEKLKQVTKEYGKVAVVFHSSVFVATLGSAYT SIRMGVDIRHVKVPFVNLEDVDPDAGSFFLAYLVTLATGPLRGGITVISTPWIARLLR KYLKPT H257_05777 MWHTTAADGVTEELLQELLGRWETLDTASVFAQDQLAALWRVSF PTAPVESPLTPHPRWLEIGFSSADPWLDIHTTMHLHCLLYVAEHQNKLYMRLLRRNVF PVVQTLLLLLDTLVAHVDGKGPCPCCSRPNQGDLFDGLEFLYEERRGSELELVFTRMV IEFDKSTSRLPSRFTETRQLIERLMRQRPTCQNILTLPVMPTWCDILLCRT H257_05778 MPSTTTASFLHSQPTATLHHHFPSPRWNGGHLPLRCQRASAYHI PPMLVLRPLHSHFGESLSNYHMCSRDHCAKYAKILGLCLAHCGVVPTPPSPVTRLPST FTTAETTPKTRKIVSLRPCSFDGCSKAAKRKGVCMDHGGRHFCKVDKCHKCAHKGGFC ISHGGGRRCAIDGCGKSAQAGGTCYSHGGGKNGSRIYCKWDDCHKCAHKGGFCIAHGG GRRCDVEGCTRSAQVGGSCYSHGGGKRCQVDGCNHAGRLQGLCIRHKKVSDADALSVS PVQAERIA H257_05779 MHANDILFCSTLQGCVLELGCDLVDAFESPRHRHGGDTSRNFNT AVGPASNGDTRSEHELFLDGMRMHGRSWKLIAGNVKSRTLTQSRTLTQVRTHAQKYLA LQLQQQLFGRKVSCTTKRHQANRFDSDLNDDRLIYINRHTRPQRHEFIPIKPFNYEAN RIALPSTRKEFHGTAATSIAFGSNTA H257_05780 MSIPEAPRGPPLRPVDPPHPWCRRPCRGFKVGLALLVLAVGAIF LWHGPPCHRHHRHHHHGHHHRHPHHHHHHHDDEYSPHHHHDHHDHHHHHHHEDDDFRP HHHHHHGHHHDHHGHHHHRHGHHHHHHGHHHDHHGHHHHRHDDDFCPHHHGHHHRGYN GHPGVVEVNVGGTRFATTNATLLGCGHTLFHELLAGRSSSAGPRIFVDWSPRAFEHVL KGLRLKNFGFMDSLSATDRQDVEEALVFLKVDVSACRLSNDDEAPGKPMVCPSKRADR GAATTERFDELSSVGDPEIDNRFTTSPPLDASTRKHHARTRLEKEARKRRNRRDNYD H257_05781 MSSEAEQWKAKGNAALSAKNNAEAIECYTKAIALDASNHVYYSN RSAAYLSDDNAELALKDAESCIAVKGDWGKGYARKGAALHSLKQYDEALAAYEEGLAK EPNNSACVGGLEEVKKAIDTSSGSNPMAAAFGPDMFAKLATNPRTRAFLQDPDFVRKL QDVQQNPNKLNDYMSDQRMMTVFAELLGFGGMMRPDEKTSASADFTPPTPKQDTAPAA PAEVFEEEVTDEEKAIRANKKAADEAKARGNALYKQKQFPEAIAAYEEALSKDPTNMS YLSNLAAVYLELKDYDQCIVQCKKAVEVGREYRADYSLIAKAYVRIATAYIKQGETEE NLTNAIDAFEHAQVEHRTKEVEAKVKDAQKKLKKAKELAYLDVDKGLEAKNLGNDYFK AANFPKAVEAYSEAIKRDPTNAVYYANRAAALTKLTSFPDAKADCEKALSLDPTYVKA YSRMGAIQFFMKEYHKAMESYQKGLDLDPTNQECKEGLYSVQSKIQAGETDTERAAHG MADPEIQAILRDPVMQNVLNDFQTDPKAAQRHLQNAGVMAKIEKLIAAGVLQTK H257_05782 MKVSTAAAAVVLVVMAASATVMAEQLPSLDTDQEVTSGPVSFPA TEKAATTTTSAPQTTNVPTTPPDPATPNTTSASVTSKPTTSATSTTTSRVPTTKPSGL TPSATPNTTRSFSSTAPPTALMTSIISSPAPSSTNNAPTSVQCSFVFTSALVTTEFNE VLDEILANNAVNTSNSSNATDIIIGPPTTTSRRTTSIAPSPLPTSTAPPTTFSTVLTT STPPDDEPTTPAPTAVTTDNPPAWTPLPTSTPLPTSAPLPASTPLPTTTLRSATTTKA PPPSTSPSSPDSSRRRRLGTGTDTVAFACDAAFYGKWITSNLTCGGHDLDVDAAVLHA ACATYRGDVALPAVTVASCLTTCAFPSCINNEWAYSTALPGFGSAIYAHFNFSQWFNP ATTNQLNTRTQNIVDFHNFSMTVVDTCASAGGCSCPAYVPPTDKSTNPKQDLDNRIQD AKKQQARAADFTPQQGWAPRTPLESVASFTATVTQYATYFGVATSASAVAVTSLTTSS AVISSGGAAAAAGSAASLSVAVSLLDMASFVTSVSQLNIERLPPPMGIVAASVSAFQF TFFTWEAARSPPQTASSTKARSLAVVADNSMSGMERYAATVGIRPDQLFYVTLMGICV AAGAIGVLLAAVLLVGLAFSRNFPTYREQMVDRGVGACMFLGIVAQYAIGVTGTYEIT RAVDSGHAWGVSVFVAIAALLVLSVGTIVYGYLVVRQHEHDITDIGTKDHFDKPVHRR YGCLYDEYNFANRFFFIVKMLLALLVGITTGMSNLPGVAQVLVLVGLHVVFVLYLEVR QPHLAKFVQAATTLITVMKIAALALTAFLLSAVVELPPTARTVVGYVILSLQGLVVLF LVIRQGFILYRQWKLKRVPDADHERVSVTDFYAPSTGVAAKQTSANLLDGAGSTRRLP PLNHPGKEYTF H257_05783 MPRGKRTASDSSDATSAKKATHTNALLAADDVEKATLHFEDGTS IPGVSFGAKTSMSGEVVFNTGMVGYPEALSDPSYSGQILVLTFPLIGNYGVPSQEIDE YGLPKNFESSKVQISGLIVSEYSFEHSHWNAVTSLGDWLKAHNVPALFGLDTRMITKK IREHGSLLGKIEFPEHPIKIEDPNKTNLVAKVSPKEVKVYNKGASPKILAFDCGMKHN IVRYLLSKGVELTVVPFDYNLAKSKLPYDGIFISNGPGDPEMADATIQSIRWAIQQEG ANLKPIFGICLGNQILALAAGATTYKMKYGNRGMNQPCIDMRTTRCYITPQNHGFAVD TASLPAGWKTFFMNANDHSNEGIIHEFKPFFSVQFHPEACGGPTDTAFLFDMFLDKVN GHPSKLTLMDTSLYDRPVFRKVVLLGSGGLSIGQAGEFDYSGSQAIKALKEEGIQVIL VNPNIATVQTSKGLADKVYFVPVRASTVLEIIKKERPDGILVSMGGQTALNVGIELEQ SGALAANNVRVMGTPISVVIDTEDRERFSAKLAEIGETIALSKPAKTVEDAVAAANEI GYPVLVRAAFALGGLGSGFAENDKELRALAKKALHGAGNKVGDRQILIDQDLRGWKEV EYEVVRDAKNNCITVCNMENFDPLGIHTGDSIVVAPSQTLSNAEYFKLRSTAQKVVRH LGIIGECNIQYALDPHSERYCIIEVNARLSRSSALASKATGYPLAYVAAKIALGIDLV NIKNSITKTTTACFEPSLDYCVVKMPRWDLKKFNRVSNDLGSSMLSVGEVMSVGRNFE ECIQKAVRMVNPNLDGLNARPVDYTADKAEIETNLKKATDERLFYVIAALDAGYTIDQ VHALTKIDRWFLSKLQHISGLRKGMKTLGSLDKLSVNNFKTLKTYGFSDRQIAHEVAS TELQVRNRRKSFGVVPFVKQIDTLAAEYPAQTNYLYMTYSGMEDDIPMDDHGVMVLGC GAYCIGSSVEFDWCAVSAVRTLRELDYKAIVVNYNPETVSTDYDESDRLYFEELSFER VLDIYDRENAHGVIVSVGGQIPNNLSMPLHKMGVRLLGTSAESIDKCEDRNKFSALLD KIGVDQPKWTEVTTTTAAEAFAREVQYPVLVRPSYVLSGAGMIVTSCEAELRDYLNSP NVATSKSICISKFILNAKEVEFDGVAKDGHILNYAISEHVENAGVHSGDATLVLPAQK LYVATIKQVKRIASAIARSLNITGPFNIQLMAKENEVKVIECNLRASRTFPFISKTFD LNFIALATRAMLGLAVKPVPIALIDIDYVAVKAPQFSFTRLHGADPTLGVEMASTGEV ACFGTDMHEAFLKALLSAGFKMPKEQKTILLSIGNDDMKREFLDSAKILDELKYNLFG TPGTAAFLQEHGVKCEVLYKPSGKDAKGAVDGAVEAIKNNKIEMVINVPDGGSQQEVS DGYLIRRASVDFGVSLINNIKCAVLLVQSLEKVKKLEICHIGEYYAMPTLGWSTGKSL LARKMSIC H257_05784 MTAKPPGLTLRLKAEDAAKKAKATRANAVIFGIPVAIVLLAIWL SSLSVDSGSLLSDWTRRWVDDLAATLIPSQNAGWLPDVADQKQRGDILVEANHLQPAL VYYHNALKLAAQHDHNKAATPDESKRMRSHLANSMAMTYVKLGNDTQAATWYKHGLDV DDNNAELHYNYANLCVRQEKWVPAEAHYRKVLALKPLNPPAMLSLAYVLQQEGALDEA RTLLLEAWDLDNTDADIAAQLGYQYMAETDVSNALEWLNTAADMGHEEAALQRNELLS AWMRTQEQMTAGATQGSHDGDTMTLEEPVL H257_05785 MNHVVKTVRQSTKHASTRAFSSKVALPDLPYDYNELEPVISAKI MELHHNKHHAAYVANYNTLTEQYAEAQAKNDVSKLIALQQGIKFNGGGHVNHSIFWTN LAPPKKGGGGEPTGALKAAIEKEFGSFHAFKTTFSAQTAAVQGSGWGWLGYNPTQKRV QFAALANQDPISTVGLIPLLGVDVWEHAYYLDYKNVRPDYLKAIWEIVNWDNVEERFN AASK H257_05786 MGPGSHASGGDSQSLTEPAFLERVFASFGRKWQHVLDQSTIYVY GRWIASAVLLTSYIVRVYYLNAFHIITYGLGIYLLNLFIGFLSPQIDPETEGPVLPST NSEEFRPFSRRVPEFKFWYTSTKATFMATLLTFFEVFNVPVFWPILLMYFILLFTLTM KRQIKHMWKHNYVPWSSGKQVYKGKGGKDSK H257_05787 MLARLGGPSQARKKYEEVLLLPRKQLQHMDLSPPKPKVATSSLE GEHGSGVIKRKELSDDENNAIWRRWKDKKDAERRRRRQAKPAMSDQELTPLVEQTVEE SSTNAIKAEFLKWKQKKDGERRREKQRKREMQASWEREKELHRLALQEKPPPSHPFPA LHPTQQHPRKPKVDPVRLQQVQEKVLASPYAKPSRRRPPPHGGCVLPQLAKVSVATET TRPVTSCRSAESRPSTEASAMFIEAPAVDIEPSLELQHFPSLPPPCSGSSSSRPHQRS SNEDVATLPPLLSALTLLERRDEDERDVDPSQVFPKSDDRGDDEYGDIYEDGGHVHLT APPPPVQERDATSRGTTSGYGSETWE H257_05788 MARKQKRTDADDDDLGGSLEETMELYPQDKIAAWKEKLKKDWLM EAPDEFFALHSLAASLHHADPSCAFAASLGVELTGPFDLLSATTMRVDKHEYLHGRCY YDPPEVISVMRSGSTSFGYFRDAPSQVPTYVVQGSSSTGSFELVGASLAQVLLDLIEV AAAPHPHHAMIRAFLTTQCECSALPPKSKRRKTTSLASPHRDDAQRRRSADQVAPTLS GLGIVVPVHAKSRVGYRDLPLMGNALRKLLEAPVRGKAIDDLITRATIACDECDFGTA LQLGLDLWTQGAKFEGEAVGLMESAYMMLGRGAFGVLARRHIEHRNVDHCKN H257_05789 MLSCQCHPSHSPHFHPLAARPQRHFTSGPAVMSLKVAMLLLAVL AVLSVVEAATSLPETCSGGGAFSLYRRQSGCAVGNCECFPILFECSPLARDGLGECSL SHTGWIVLIVAIALNLVVPVVAFLIHLVHKKSAKEVTGREDHVQTDLEATSGQRKYMI NLAQFRTIVPDTVFGLDQAPKIFMETMNKGWVQSLCYGLVLAFILAMALLIPPFKMDE SLYPLTRDTTVQLNRSAYRIASHSDTSVPPGIRYISIQGDFCGDASSALLDPNGKTAL NVSYEVSFTIDSNSIFDNIHGHKVIGCVCVAAACRIDDEQSDDGYAPLVYFPWYQDAL HPLDLQAQPHSFALSGVFQVESKFNRAATVHPIPHLAFIAHHSYIERITGLVDLGVFV TDVAALLAWIGLTRAANVLPERRLMFVMLVVNFLGSFPVLFVAQKLDPTASWQDTYFF MHAWSACASGIWLLCLLFALDMQRKRVFGCRFYLVKLTLGAVVLTSYMFLFYSATLPT QWIQLTNFFLAILVGSIFRGVMVDVRNKLRYASYADSRPQQLTARFLYTIALAVSYVF FFVALFADPVPRVTNYLPKTALLTDTAIQVITRSATWVLVIIFLPPLAVDPSVYYTRA ASALPRLTHASNREFELAHLKQATHSGGTTTTPTYHLSTLSWQAPQAFCVETACAMYN QAIGVYDEPVLNKATGRYDMASLEHFHQDGLEFVAELFDKATDTYGWVSRGDKRVVVT FRGTQSAANTVTDLKYFFAVPTWECHDKPDLDKTRVHVGFWTAYITVQHQLKSILRDT LQDMGDVQIYFTGHSLGGALATLAAFDIATDATFVLKEEVVLYSFGAPRVGNHVFARA FKEYVPNAYRVCNDGDAIVGAPKRSVQLAYFVKSLCYKHVGKAVLLSTRAQGVFVIEP NIVEMAFMAEFRYNALAHLGGGYQTMLEKGIKYTMQPKNTATGEKAPLL H257_05789 MINLAQFRTIVPDTVFGLDQAPKIFMETMNKGWVQSLCYGLVLA FILAMALLIPPFKMDESLYPLTRDTTVQLNRSAYRIASHSDTSVPPGIRYISIQGDFC GDASSALLDPNGKTALNVSYEVSFTIDSNSIFDNIHGHKVIGCVCVAAACRIDDEQSD DGYAPLVYFPWYQDALHPLDLQAQPHSFALSGVFQVESKFNRAATVHPIPHLAFIAHH SYIERITGLVDLGVFVTDVAALLAWIGLTRAANVLPERRLMFVMLVVNFLGSFPVLFV AQKLDPTASWQDTYFFMHAWSACASGIWLLCLLFALDMQRKRVFGCRFYLVKLTLGAV VLTSYMFLFYSATLPTQWIQLTNFFLAILVGSIFRGVMVDVRNKLRYASYADSRPQQL TARFLYTIALAVSYVFFFVALFADPVPRVTNYLPKTALLTDTAIQVITRSATWVLVII FLPPLAVDPSVYYTRAASALPRLTHASNREFELAHLKQATHSGGTTTTPTYHLSTLSW QAPQAFCVETACAMYNQAIGVYDEPVLNKATGRYDMASLEHFHQDGLEFVAELFDKAT DTYGWVSRGDKRVVVTFRGTQSAANTVTDLKYFFAVPTWECHDKPDLDKTRVHVGFWT AYITVQHQLKSILRDTLQDMGDVQIYFTGHSLGGALATLAAFDIATDATFVLKEEVVL YSFGAPRVGNHVFARAFKEYVPNAYRVCNDGDAIVGAPKRSVQLAYFVKSLCYKHVGK AVLLSTRAQGVFVIEPNIVEMAFMAEFRYNALAHLGGGYQTMLEKGIKYTMQPKNTAT GEKAPLL H257_05789 MLSCQCHPSHSPHFHPLAARPQRHFTSGPAVMSLKVAMLLLAVL AVLSVVEAATSLPETCSGGGAFSLYRRQSGCAVGNCECFPILFECSPLARDGLGECSL SHTGWIVLIVAIALNLVVPVVAFLIHLVHKKSAKEVTGREDHVQTDLEATSGQRKYMI NLAQFRTIVPDTVFGLDQAPKIFMETMNKGWVQSLCYGLVLAFILAMALLIPPFKMDE SLYPLTRDTTVQLNRSAYRIASHSDTSVPPGIRYISIQGDFCGDASSALLDPNGKTAL NVSYEVSFTIDSNSIFDNIHGHKVIGCVCVAAACRIDDEQSDDGYAPLVYFPWYQDAL HPLDLQAQPHSFALSGVFQVESKFNRAATVHPIPHLAFIAHHSYIERITGLVDLGVFV TDVAALLAWIGLTRAANVLPERRLMFVMLVVNFLGSFPVLFVAQKLDPTASWQDTYFF MHAWSACASGIWLLCLLFALDMQRKRVFGCRFYLVKLTLGAVVLTSYMFLFYSATLPT QWIQLTNFFLAILVGSIFRGVMVDVRNKLRYASYADSRPQQLTARFLYTIALAVSYVF FFVALFADPVPRVTNYLPKTALLTDTAIQVITRSATWVLVIIFLPPLAVDPSVYYTRA ASALPRLTHASNREFELAHLKQATHSGGTTTTPTYHLSTLSWQAPQAFCVETACAMYN QAIGVYDEPVLNKATGRYDMASLEHFHQDGLEFVAELFDKATDTYGWVSRGDKRVVVT FRGTQSAANTVTDLKYFFAVPTWECHDKPDLDKTRVHVGFWTAYITVQHQLKSILRDT LQDMGDVQIYFTGMCVCVCVATS H257_05790 MVSATYLAATLLAASTNAMTTLPDTCSGRGAFSLYQREAGCAVG NCECFPGLFRCDDSLVDGLGQCALSGNGWTVLIVAFALNLIVPVIAFVTHLLHRKQAE KAKAVLAKEAADLEASEGAGAKYKSYFIDLNLFKTVVPDTAFGLHDEPKIFMETMSKG WVQLVCYCLAVSFALSCAMLIPSFPINEKAFELTRLSTVQLSDTSYAVESAATTPLPA STRYLSIQGAFCEMSATILAPTGLSVLTLNYTISFDMEAKKMFDTVSGSKTIGCDCDN SVCKMVPDQSDNGLTDLVYFPWFQDADEDLIRPLVADTDPHEFTLRATFELSSSYVSA TPVSPIPHLSFLAHYSYIEHVIDLVNVLVFALDLLVIFVWGYLTRKVEHKLPERSLIS VILFVNFIATFPFMFVTKFFFPTSVSLHQVYLFCHAWQACSSGIWLLCLLFALDMQRK RVFGCRFYLVKLTLGVVVLSLYLWVYYSTLPYQWILMLNFFLAILVSTIFRGVMIDVR NKLRYQCYVSTRPEQLTARLLYVVAIAVTYVFFFVALTADPVPRVEAYLPKTVHLTDM SIQVMIRTATWILVIIFLPPSFSAPQVYYMRAKTSLPRLTDGSMHDFEMASLKQAVHS RGLFHSASNYAGWKAPRAFCVETACTAYNQSVAVYDEVVANAETGGYDIPSHAHFAAD GLEFVAELFDRDTDTYGWVLKGEKKIMVVFRGTKSGQNAVTDLKYRFCVPTWATDETE GGLLDKTRVHTGFWEAYVTVRDDLKRILHELVQDMGEVQVYLTGHSLGGALATLAAFD LVTDNTFHLDEEVVLYTLGAPRVGNHIFATIFNKHVPNAYRICADGDAVVGAPKRSIQ LAYFAKSLCYKHIGTAVLLSTRAKGVFMINPNIVERAFMAEFRNNVLAHLPPTYRHLL NKGVMYTMKPESVRENEATPLLK H257_05791 MDDRRAPTASSEDIASPRGSIKVSVVADVEQQPGPGLDALMVTT AIPRHNDVAPSPKSVMSSPNLAGNGAATGRESATPFKLSNLLNFKDDKKSSEDRSAEV ARLTAADAITGTLSMHLKDLDKKKLFYVKSKYRVVVQATKPTVDLFTSDHPDASPAFV LSLCKSSLVPDPKAAADGTFILQVHAWTKQATVHYRPQLFVFHDEKHHRVAAWIACLQ RAIAAAADMDEGQVLTAGLADALSISSADDGDDLQDDHPLSMYVDSESAMSSDDDEDV GDAVARTLKKLNPFSPRASSSPASNPPSLSHRSSGNAGFKPCPNSTRDPPQSPLGRLN RALQGKKAAPSLDKAGSHPVLSLEERCELLRQQNYDKVQDQQLTDPVVTTATHSGDVA PLIRPREPNGPKAAASSPNNQRIRTFLHQVRAVLWHPTGGSGLLLSFMSGFVGASVVC PVAVAGFYHAHGTHNEDATMAIGYPGLVAAFVFVHVMAATGMIMGGLSVVGVLVLISN VTTQEAKRRRLRSDMRQLTLAEKSNFANCPTVEFPSWVKFSDVERADWLNTAIARSWP YTKVAIKDSIMYYVNPMLETSTPPMLSSMVLTGLEMGDTPPSFGGIKCIPDDSVLPHG PVTEVSFDAEVRFVAGDDQLAELKLISHMGSAAARVRLKDAVIMGTMRITLRPMASVW PGFSGISLSFISPPRIDFTLTAAKIAITSVPFASDWLQTFILDMVTTTLVWPKVLDIP FWDPALYPVVGVDDLPPAPSSPSAASTSHHHAADEKKSVFGPGVVSLHVRKLTVLPPL APTTSSPSSDTTTMLTAAEVYCIVTLSQSHKTEIRVVDSNGMCTLDEKYEFYWDAVAA PTLYVEVWQHQRSVPDHAWGTAIIPLGPLAAKRDHELKVDVDLGDGVRGVLHVHVCRR LFSTVQVARTVTSQPKKSLIQGLGHDVCVGMLFVTWQSVVWACGDDDGRASVHGVFSC EKQRSPSTVQAKVKAMTWQEMFSFFVYSVDTATLVVEIFEKAPTSRSESLGTVTLSVL ELRKRLTTSQNAITESFALQPPHPDTTELTTPSTAMSVTLMFQWRQLMS H257_05791 MDDRRAPTASSEDIASPRGSIKVSVVADVEQQPGPGLDALMVTT AIPRHNDVAPSPKSVMSSPNLAGNGAATGRESATPFKLSNLLNFKDDKKSSEDRSAEV ARLTAADAITGTLSMHLKDLDKKKLFYVKSKYRVVVQATKPTVDLFTSDHPDASPAFV LSLCKSSLVPDPKAAADGTFILQVHAWTKQATVHYRPQLFVFHDEKHHRVAAWIACLQ RAIAAAADMDEGQVLTAGLADALSISSADDGDDLQDDHPLSMYVDSESAMSSDDDEDV GDAVARTLKKLNPFSPRASSSPASNPPSLSHRSSGNAGFKPCPNSTRDPPQSPLGRLN RALQGKKAAPSLDKAGSHPVLSLEERCELLRQQNYDKVQDQQLTDPVVTTATHSGDVA PLIRPREPNGPKAAASSPNNQRIRTFLHQVRAVLWHPTGGSGLLLSFMSGFVGASVVC PVAVAGFYHAHGTHNEDATMAIGYPGLVAAFVFVHVMAATGMIMGGLSVVGVLVLISN VTTQEAKRRRLRSDMRQLTLAEKSNFANCPTVEFPSWVKFSDVERADWLNTAIARSWP YTKVAIKDSIMYYVNPMLETSTPPMLSSMVLTGLEMGDTPPSFGGIKCIPDDSVLPHG PVTEVSFDAEVRFVAGDDQLAELKLISHMGSAAARVRLKDAVIMGTMRITLRPMASVW PGFSGISLSFISPPRIDFTLTAAKIAITSVPFASDWLQTFILDMVTTTLVWPKVLDIP FWDPALYPVVGVDDLPPAPSSPSAASTSHHHAADEKKSVFGPGVVSLHVRKLTVLPPL APTTSSPSSDTTTMLTAAEVYCIVTLSQSHKTEIRVVDSNGMCTLDEKYEFYWDAVAA PTLYVEVWQHQRSVPDHAWGTAIIPLGPLAAKRDHELKVDVDLGDGVRGVLHVHVCRR LFSTVQVARTVTSQPKKSLIQGLGHDVCVGMLFVTWQSVVWACGDDDGRASVHGVFSC EKQRSPSTVQAKVKAMTWQEM H257_05792 MFGSRGMSRHSDVLDCPVPTEPREIASLVDELKRRGNQAFSKGI MEEAEVLYSRAIEVTQSDVHILHSNRSATRLKMGKKELALEDAEAAVAALPSFAKGYF RQGQTLIALKKYSEAVDVLTKADSLEAGNASVLKALAEAKDLQAKHASSTAAADERPV SPKKRTIHNAPSFPSSTSKSGAPPAKSAHVVVEDGEEDLKGVRGYKKLADGRVTTFFN NELTAEDKALIGSIAPQKIDDAQAVQIKNVEGGSAWNQGNSFEEKDLSAFARDRVTQL IQGVPPQPLTLDGTAGLLSIKEVKDMAGDASVAVVRGAKRYIFDLAFTVDVTWTPTDA VVSPLQATVKFLDMSSDSGGDYDVEVVVAERYSHPKGKVLHQSLTSKAATSFQRLLFD RLQVFVAEFHAN H257_05792 MFGSRGMSRHSDVLDCPVPTEPREIASLVDELKRRGNQAFSKGI MEEAEVLYSRAIEVTQSDVHILHSNRSATRLKMGKKELALEDAEAAVAALPSFAKGYF RQGQTLIALKKYSEAVDVLTKADSLEAGNASVLKALAEAKDLQAKHASSTAAADERPV SPKKRTIHNAPSFPSSTSKSGAPPAKSAHVVVEDGEEDLKGVRGYKKLADGRVTTFFN NELTAEDKALIGSIAPQKIDDAQAVQIKNVEGGSAWNQGNSFEEKDLSAFARDRVTQL IQGVPPQPLTLDGTAGLLSIKEVKDMAGDASVAVVRGAKRYIFDLAFTVDVTWTPTDA VVSPLQATVKFLDMSSDSGGDYDVRTNDDASPPCVLITVLVYA H257_05792 MFGSRGMSRHSDVLDCPVPTEPREIASLVDELKRRGNQAFSKGI MEEAEVLYSRAIEVTQSDVHILHSNRSATRLKMGKKELALEDAEAAVAALPSFAKGYF RQGQTLIALKKYSEAVDVLTKADSLEAGNASVLKALAEAKDLQAKHASSTAAADERPV SPKKRTIHNAPSFPSSTSKSGAPPAKSAHVVVEDGEEDLKGVRGYKKLADGRVTTFFN NELTAEDKALIGSIAPQKIDDAQAVQIKNVEGGSAWNQGNSFEEKDLSAFARDRVTQL IQGVPPQPLTLDGTAGLLSIKEVKDMAGDASVAVVRGAKRFVAPCASIFTLLMSSTPS CRRSKRRSSSST H257_05792 MFGSRGMSRHSDVLDCPVPTEPREIASLVDELKRRGNQAFSKGI MEEAEVLYSRAIEVTQSDVHILHSNRSATRLKMGKKELALEDAEAAVAALPSFAKGYF RQGQTLIALKKYSEAVDVLTKADSLEAGNASVLKALAEAKDLQAKHASSTAAADERPV SPKKRTIHNAPSFPSSTSKSGAPPAKSAHVVVEDGEEDLKGVRGYKKLADGRVTTFFN NELTAEDKALIGSIAPQKIDDAQAVQIKNVEGGSAWNQGNSFEEKDLSAFARDRVTQL IQGVPPQPLTLDGTAGLLSIKEVKDMAGDASVAVVRGAKRFVAPCASIFTLLMSSVGT FSTWLSPWT H257_05793 MSSTGIATGLSKGYPVTKREVAPRPAAKKGRAGKKTLFVRAIVR EVVGLMPYEKRILDMIKSGGSSAEKRIYKFSKKRLGTHKRALAKREEMKAYYASQRAK QAGI H257_05794 MMAVPAPTAVIPEPTPLELGAIYSINQTIDLLDWDLVDKQLQKG KAYFLRDATLIEWEAYVTTEDQQLKSKNMEWIDGTLFIVELPSRPHEGYIARLIIAVN AATHTGLRFLDIAGAAYQTNIRRLEPDVCLMPRRVLGQSPYNVQLPPGVNWNDFHTVK FEVGWFQSWAELDWKANQWATVVNVVYIVCIQLDYPTLAHCSYKVHRAVHHGVALPSM VPIPIAPDTAVVHLDSLSVLHLPAPSPLPPNFPPQLDIDLLVPLEDLRADF H257_05795 MERRRSYGSNSNPARHFDFSVKEETLHGPSWTYATYGHAQTLHI PRDSLDSIRTTMQTPKHLLSEWPSTAISGNDLLSSCLYSAGIVASKAGKLSPIPTFLV TCVMYLFRFIYIEVVSAIPLNGGSYNTMLNTTSKKVAAMTATLAVIAYLATGVVGAVS ASDYLRAQVPSVNNVESAIGILFVFALLNVLGLSESAVIALGIFVLHIMTLLILIVGC VVFSFNNPHILRSNMQTPLPDLDICGSLVTSNVFTAVFFGFSSAMLGVTGFETAANFV EEQQPGIFGAILRNMWFLSSFFNLSLSILNLCVLPLLGPAGTIANNNIVLALMARETL GRGFELWMTIDGFIVLSGSVLTSYVGITGLVRRLACDRVMPEFLLAENKWRHTNHYII WLYFAIAASLVVCLNGDIVMLSSVFSYAFLGLLLLFSGGAILFKVKRSHMPRDTSAAW WKCIVALVMVCCGFLGTLLGDPTVSVVFALYFLVVGSLVFIMLERILLLRVCMFVMKS LCPSKKDKAETKSSDSSDLDEPLLQPRTGALGGRTIRKAIQAINAPPVVFFCKHMSLS LLNKAVRYVRTNEHTENIQIVHVHAPGTADPVGFADLVGVFDSMYPETKIDYVSIEGT FEPALVQWLASYLHISTNMMFIRQPDNIDAHKVSLLGVRVITS H257_05795 MERRRSYGSNSNPARHFDFSVKEETLHGPSWTYATYGHAQTLHI PRDSLDSIRTTMQTPKHLLSEWPSTAISGNDLLSSCLYSAGIVASKAGKLSPIPTFLV TCVMYLFRFIYIEVVSAIPLNGGSYNTMLNTTSKKVAAMTATLAVIAYLATGVVGAVS ASDYLRAQVPSVNNVESAIGILFVFALLNVLGLSESAVIALGIFVLHIMTLLILIVGC VVFSFNNPHILRSNMQTPLPDLDICGSLVTSNVFTAVFFGFSSAMLGVTGFETAANFV EEQQPGIFGAILRNMWFLSSFFNLSLSILNLCVLPLLGPAGTIANNNIVLALMARETL GRGFELWMTIDGFIVLSGSVLTSYVGITGLVRRLACDRVMPEFLLAENKWRHTNHYII WLYFAIAASLVVCLNGDIVMLSSVFSYAFLGLLLLFSGGAILFKVKRSHMPRDTSAAW WKCIVALVMVCCGFLGTLLGDPTVSVVFALYFLVVGSLVFIMLERILLLRVCMFVMKS LCPSKKDKAETKSSDSSDLDEPLLQPRTGALGGRTIRKVCLVNWLLLLFIHGR H257_05796 MTDDDIQLPPTSAVTDESSTFLDLGDLAPALPSPSQAELDDVIE GIPCEVVDPTVLAAIARNLGAVPANLVRVAASYTTRQNQVEPAVLVLYPLRDCTNDYK KHHRATAEPFPTMYWLASPELHERVSLLEGAGFVTRFTDRLAASPKHLQAMTDMHAAY ASERWALLTPADRLVVEKKQWVRALQTVGIAGIRNPASVKCLHTHYAHFLATGHNLVG AWVHQALNQGESATESAGAT H257_05797 MNIFQNVREYLTPVLSESGFVTDGVLTPEEFVAAGDQLCYKCPT WRWEGGDVGSRRSYLPPNKQFLRTTGVPCRRRVTSLEQDYIGETAVEGDNDWLETHNQ NKPVDDTAERMQHISLDGGGSSPTSSSQTNILRTLVDEHFQATAAATTSTYGHATASS SSPPDLSAFDDDDNLVEETDEATLTYIATSEPEPTELDADGNLVYTRTYDLSISYDKY YRTPRVWLFGYEESGAPLKPDDMLQDIMQDYANKTVTIDPHPHLQGIPHASIHPCQHG AVMKRIVANLMGGGKEVRSDQYMFIFLKFLQSVIPTIDYDYTIDVEAKSS H257_05798 MADSSAKPPVKVEAAPTVKQSGSGCAACGLDNNADSILLCDGNE CNAEYHTYCLVPPLTEVPDGDFFCPSCSGNGTTYAIRAATNDDENDNVVLESTSSLGV FRRWYVKNKNKVFYKPFVAQVESNSGRPIQLGLYRTEELAAEAYDCSILKSHGATDLA QLQLNYPGKIDKYKDIILAAYIAPPSDSDNDDAATRSRLTRLRKPARHNSFDKPPKRH RSLKSDDGGDDSAKKARKAAVDYAALNVGDIPTKYIGVNVHSNFSVAQLKILDKVNFI GRFDTPKEAALAYDREAVRHFCRGTPLNFPERRDEWTQTHASHTLNKVLVVPENRYNK NVDKLTAWMRLVGQTVKLIEASRRVHWPSLDMDAIERGPVINEEFTTRDRDLRNSCLA VFACVDSIIQESKFYQEKSPNGMFLNPPPAGCFLELAAARAYIAYCEAINDFSKCDVD NVGTLAASLATVLSARDEYLKAKAGEDSMLQELDDFMATAIKTFEPSKARPEDVTYFP NCKYIKRTVDVEHAPPLVKSEKGDVVADSTLAAKDDEPPPVDGSDIIAEDTSSSTHAA DKPNGKTNHATAAAASLTHDECCLAVELADGSYELYPLLTLERVVTDDGHTPLRWTSD QVAAHEVTEMDALTFGVDISPTSAADIVPYLCVTFVHEMTLRARGRDQVDVKAKKADK LAALQAKVSVFMDNFPKTKAAYDVDTTANKLVLANAKRDIELLETVDLVAVPATTAGL STCMLHLNTYLDTLNARREQFAAEFKYYTAALLQVIASDGVLASLQASYMAFFTRELH ALWKEKCASLEILYLLLRSMAKAAAEDPDADGMQAPIRQAIAAWEAFTWPDLTRTTPF LTKTETSPLATVKPEPTIAVDELKGVIETTLSHRVIGASAKAATSKPTKPLTMVVYHP VCIHHETPPEHPESPERLKRAIAVLKPLTLKYPHALSVVALSGTASELAPPETTLLLV HSPHYLDQLKDRSTKVTRGALVFETDPGDDNDGVEAAAPDTIRPFAAIGGAFKVAASI KKDSAMDTYVSAASWDVARIAAGTVCLAVDKVLAGEFANAVCLVRPPGHHVGRNGRTP TAPSSGFCLLNNVVIGALHARMHPSVTRVAVLDWDIHHGNGTEELLRGDPRSFFASIH LYHNDFFPGTGPTASDANIVNVGLQNAGLGSGSEAFRHALTTAVFPAMEAFQPDIIFI SAGFDGHKDDILGGCAAVSNRAVPAGYVEADYAWATKEVLKIAERHCQGRVVSVLEGG YDVRDETNSLAKSIESHIDAIVEGVLESAAAKAPTTTADQQVKTEVKVEPKVEGRLAQ LLSQNLNDASVVIVDQAMA H257_05798 MADSSAKPPVKVEAAPTVKQSGSGCAACGLDNNADSILLCDGNE CNAEYHTYCLVPPLTEVPDGDFFCPSCSGNGTTYAIRAATNDDENDNVVLESTSSLGV FRRWYVKNKNKVFYKPFVAQVESNSGRPIQLGLYRTEELAAEAYDCSILKSHGATDLA QLQLNYPGKIDKYKDIILAAYIAPPSDSDNDDAATRSRLTRLRKPARHNSFDKPPKRH RSLKSDDGGDDSAKKARKAAVDYAALNVGDIPTKYIGVNVHSNFSVAQLKILDKVNFI GRFDTPKEAALAYDREAVRHFCRGTPLNFPERRDEWTQTHASHTLNKVLVVPENRYNK NVDKLTAWMRLVGQTVKLIEASRRVHWPSLDMDAIERGPVINEEFTTRDRDLRNSCLA VFACVDSIIQESKFYQEKSPNGMFLNPPPAGCFLELAAARAYIAYCEAINDFSKCDVD NVGTLAASLATVLSARDEYLKAKAGEDSMLQELDDFMATAIKTFEPSKARPEDVTYFP NCKYIKRTVDVEHAPPLVKSEKGDVVADSTLAAKDDEPPPVDGSDIIAEDTSSSTHAA DKPNGKTNHATAAAASLTHDECCLAVELADGSYELYPLLTLERVVTDDGHTPLRWTSD QVAAHEVTEMDALTFGVDISPTSAADIVPYLCVTFVHEMTLRARGRDQVDVKAKKADK LAALQAKVSVFMDNFPKTKAAYDVDTTANKLVLANAKRDIELLETVDLVAVPATTAGL STCMLHLNTYLDTLNARREQFAAEFKYYTAALLQVIASDGVLASLQASYMAFFTRELH ALWKEKCASLEILYLLLRSMAKAAAEDPDADGMQAPIRQAIAAWEAFTWPDLTRTTPF LTKTETSPLATVKPEPTIAVDELKGVIETTLSHRVIGASAKAATSKPTKPLTMVVYHP VCIHHETPPEHPESPERLKRAIAVLKPLTLKYPHALSVVALSGTASELAPPETTLLLV HSPHYLDQLKDRSTKVTRGALVFETDPGDDNDGVEAAAPDTIRPFAAIGGAFKVAASI KKDSAMDTYVSAASWDVARIAAGTVCLAVDKVLAGEFANAVCLVRPPGKSLFRVFCIE H257_05799 MSSSSAESNDDGLARLRSIVGESYSDDKLRWLLLKVGGDVGRAV EYYYGHGDLNDDTMDDAGLPADAATIVPPATNPSLDPQAIASLKDLLDNNVTDAELRD LVTRSHGDLSDAVGLYFLDKTPGQDNPSKSPVVSITPPPTPTFSSPDGPHKVLHTNEE YEVELTDGVLKWTIGNVLGRIVVQDVVVGGAAHKANIQKADVLIACSGHVIKETNVAP IVTRLSKEVVNVPVLLRFRRADHTHGHHDDRKPSVDTSAVPTELLLSSSPVEYGIHIM ARALESMRQAAADKYPSDLLLLHYTWSQGNVAVAMDQLFHPVPHFPNFDQVVGHEWYS TDGHVNNRAHPDWPLYDASFPTGPMGITVENIHERTIIVNVKDGTSAAYANVSVDSWL VAINGEGVTHLTHRETLHRIHTLPRPLLLTFCVTPAPWLPALKQTMDVNIRMAQSANP TERHELVVSDDDRTSFGRFQRKLLWVLQVLPSIACEILHIAALNATYNVVPADLLYTA DVDGIDTTDGAVARRALMAMVHGMGLHADKGESVVLDLVRGLAVLAQWCAPNSATESH HQHLWWVLHLVLDVFENGSVMEKSTTWDAIVDSLKALGAALSPSAFLRTFPPLLARLS LYSSPSSRIIPLALLPLTYARVHGDLRVQFRGLFERLTMDDAPLVRRAAVFVLPSLAR VAGPDAIAWVVQSAEKLSADHSDLVRLYAVQAVADLGDVLSGLDDPARRLVRCQLLPL VNSFVTDSDWQIRHQTVALVPTLLHVLGRDFADVLVDHYVELAGDANMEVRIAATRSA FPISAALSSSEKALDDGEAMHAKVALSILPALSSLSRDPCASVRRAVAASLGAALAML RASQGDVLVPMIQQLVVDKDVVVAQTVVEQLATASAHLPDELEALVVVHVDKLSKQRP WRSRLLAAECVRHWTEHKTLPPSLVVVALSLLQDTVSQVRLGAVHALVQVTARHGPDW FQATGVGPVLSLLDQPFQLRLTGLEACRELAQRNLIPDPDALFDRVVEAATASKTGNI RVKALDVLVAMAPHLPPCTWDTVRPTLHASFGMEDDIEVQSRHVHLLAYVGSADEADM EPGSAVWTERLRLETTRSPLGS H257_05800 MRVKCTRLQPLASVSHAQYPSQAAEELDVLKAIVCRELSLDQLL DVTTSFEASPADILSCVLRVRDTSVAVVEAVATWRSFMVRPVPFLWRGVNYVHRMASD GDFVSKSTALHHALGFKLKRRNPFCTVPGLDAPWMQIPRDDPSAAGLTGDRLHKAAMC ILEEEGRMGGPYKPPSTDARWAFMVQREAEHVTQLRFGKLNT H257_05801 MSRPQSIRSAAATITSLNRYLSSKAVKLPEYPVDTSYLVSHYTA PALAAAFRDREQTLRRCAQLLADGEIDQLSKLLTPFRGQAVIKDKPPTTPLDDAFSVR HLDRIKKRLNRLPRQIAKMSHKRAAVVIPLCTIDGAPHVIFTLRSSHMRFHRGEVCFP GGMVESGDTCIENTCLREMEEELGVPSSQVNVLGVLRCDWSNVASITGVAVTPVVGHI DAFDEASLNLNSDEVESLFTVPLALLVQDKFWIRPKNATPVFTAGPHLIWGLTAYVLD ICIREVLLVDEATTDDKVEAAAAPNAQFV H257_05802 MVPQRPTPPRRPSPIDRIEDDTLNAAKLSSVLPTTAHPPLRAMT ERTLPSSLIPHLEKKQTGLLAKFQMLRHHHPDKSQPASPTARETTLSFQRNLTALTSY NLKEKQSVQSLKQQLEQTQVEIDSLKHELGQVKGEAKRLSAELKLDKASTKHSTSQAN QRKQADDDGKQRTKQLEEDHQVEMFKWENKCHEITANHKQLTKLLAKSQESERALTVK LADAAQHVAALEANVAALSRELQAAARAKLAEDDTLKTRAENEALRCKIVALNQSIDD IQAKERDTRNTLAIKTMQFTQEKDALLRELCHVRDEWAASRATSQVELDKLTVVFARD ERIKADLESQVTDLADKCIALDETASGARKQVEFQAKVIEDKQLALDQTKALVAQHER DVQRLMREAAAMEVDNATLREKLTMVSDVWSACTSQNPTVHALQQGRQGQNEALAIVL DEKERLKAELGKTKEDVARLLAQVARTAPSGDVVGLQTQLASMLNKKNELLLMMDQMK AELMTLTTSHTMLRIAHDTLLQRCAALEEVAMDPSVIIMLKQAQLDLKDAVDKLVEAE TSSETAFTCLKCMSIFVRPVTLTGCGHTYCESCLHSSRGNGVGHTCKECGEWSSTDGL FANNALADLASRFVFRQQTLSCLTGVCQSIDDAFATPLVA H257_05802 MVPQRPTPPRRPSPIDRIEDDTLNAAKLSSVLPTTAHPPLRAMT ERTLPSSLIPHLEKKQTGLLAKFQMLRHHHPDKSQPASPTARETTLSFQRNLTALTSY NLKEKQSVQSLKQQLEQTQVEIDSLKHELGQVKGEAKRLSAELKLDKASTKHSTSQAN QRKQADDDGKQRTKQLEEDHQVEMFKWENKCHEITANHKQLTKLLAKSQESERALTVK LADAAQHVAALEANVAALSRELQAAARAKLAEDDTLKTRAENEALRCKIVALNQSIDD IQAKERDTRNTLAIKTMQFTQEKDALLRELCHVRDEWAASRATSQVELDKLTVVFARD ERIKADLESQVTDLADKCIALDETASGARKQVEFQAKVIEDKQLALDQTKALVAQHER DVQRLMREAAAMEVDNATLREKLTMVSDVWSACTSQNPTVHALQQGRQGQNEALAIVL DEKERLKAELGKTKEDVARLLAQVARTAPSGDVVGLQTQLASMLNKKNELLLMMDQMK AELMTLTTSHTMLRIAHDTLLQRCAALEEVAMDPSVIIMLKQAQLDLKDAVDKLVEAE TSSETAFTCLKCMSIFVRPVTLTGCGHTYCESCLHSSRGNGVGHTCKVQHNAT H257_05802 MRIEEKQSVQSLKQQLEQTQVEIDSLKHELGQVKGEAKRLSAEL KLDKASTKHSTSQANQRKQADDDGKQRTKQLEEDHQVEMFKWENKCHEITANHKQLTK LLAKSQESERALTVKLADAAQHVAALEANVAALSRELQAAARAKLAEDDTLKTRAENE ALRCKIVALNQSIDDIQAKERDTRNTLAIKTMQFTQEKDALLRELCHVRDEWAASRAT SQVELDKLTVVFARDERIKADLESQVTDLADKCIALDETASGARKQVEFQAKVIEDKQ LALDQTKALVAQHERDVQRLMREAAAMEVDNATLREKLTMVSDVWSACTSQNPTVHAL QQGRQGQNEALAIVLDEKERLKAELGKTKEDVARLLAQVARTAPSGDVVGLQTQLASM LNKKNELLLMMDQMKAELMTLTTSHTMLRIAHDTLLQRCAALEEVAMDPSVIIMLKQA QLDLKDAVDKLVEAETSSETAFTCLKCMSIFVRPVTLTGCGHTYCESCLHSSRGNGVG HTCKECGEWSSTDGLFANNALADLASRFVFRQQTLSCLTGVCQSIDDAFATPLVA H257_05803 MSFAATMKNIANTNMPAGMAELAKKMRGEGNDSKFFTSSKKGET HELRTELADQSRDKKKDAVKKVIANMTVGKDVSMLFTDVVNCIQTADTQLKKLVYLYL INYAKSNPDLTILAVNTFVKDANDPNPLIRALSVRTMGCIRVDRITEYLCEPLRKCLT DEDPYVRKTAAICVAKLYDINPELVDEQGFLDMLRELISDSNPTVVANAVAALSEIAD NGGHQHFKITKSVLQKLLAALNECNEWGQVFVLDALANYVPADSREAEGIIERVTPRL QHANSAVVLSAVKVIMKFLEKVTNADTERTLSRKMAPPLVTLLSTEPEIQYVALRNIN LIVQKRPSILSSEIKVFFCKYNDPIYVKMEKLEIIIRLVSERNVDQVLLEFKEYATEV DVDFVRRSVRAIGRCAIKLNNAAEKCINVLLELIQTKVNYIVQEAIIVIKDIFRKYPN QYESIIATLCENLDTLDEPEAKASMVWIIGEYAERIDNADELLQSFLDAFEDETALVQ LQLLTATVKLFLKRPNETQAMVQAVLKKATEESDNPDLRDRGYVYWRLLSANPDAAKA VVLAEKPVISDDTFTLEPALLDDLIGKISTLASVYHKLPSAFVVRSNIAEIQKQNEQE AADTEGDERYDDAETSDGGAPGGGAVDLLDMGGMSVGGAPPAPTTSAGGLDIFGAPVA VAPAPVAKKQLTNAQTGKGLVIHGAYTRRQGAFSLDLDVENQSSTTVPGVAIQLNKST FGVVPTQPQVNFATPLAPGQRASVSIPMTVSPQFVNATVAPHTVVQVALKNLGSGDVL YFSTDIDVSVLLTEGGLVDKADFITLWKGIADANETYFALPVKASTASVDALAAIFKP RNVFYVAKRAVEGKEIGYFSFKTMTNVSAFLEVTFDGASNAANVCVKGDQAAFNPVFQ KLIEQIAS H257_05804 MARNEEKANSMMNRWTSMKADLSKSFLGRRPFQTSECTNLADAE RYRHQVIRIISRKVSEIQNASLGEHVLRDLNDQINKRIGEKIRWEKRIIELGGATYSV GSGKDGYDAEGTSLKRGGYKYFGAAKNLPGVRELFEKQDAPKPKRSRKDIYQGIEPDY YGHLDEDDGELVREEAVVEAKRIQQAIDTWNQQQTNKEQAQA H257_05805 MVLFEYLTKISPAQAIGQSVVGAFALSGLVWGGFRYTGEDFGGA LPGEPKTTSAEWQAATRDYIVAQKMNPISSYRK H257_05806 MDPMAMLEMGRRSGTSNQDVDEFIRKTDAIAKAMEQIKNGTFDP DNCDIPGYLTPEQEEREALAAKKREDERVQREQARKQKEKQEEHDHWWHRAKLRFATY DDDDDTNSTDPDKWANRIVEAYKARDANDYSMWDKWEPQDPVTLDEKARQDALVEKMK NDQFEQANPDFCTQFKHDLEKRQQSTRDKDRLAQKHKMQGNTYFKRRQYAPALASYMA ALVEAPYCPLILTNIAQVHLRLNATDDALEFCNRALFVAPTHIKALSRKASILHGRGL WKDALNVVQLAMDVDKRANPDLVQQFVQIKAAYDDDVGREVLQRRMDGPNSVDNWHLH AMQHLLDQFDLGHHNPHESTERPFQRHASGDKMDGDTTGRPPNVATVDALRALLPLLQ ADKDCKLLFRTSGALTRVVARLVAPEDAEDDEVRAILQCLVAMVTDDAATQHHLYLLA PFRQWVVHSVADTSMNTTRNMSLLVQLVDECMAVNLWKSVVATSVPMLAGLLRQWQAQ ESAESATSIVLYASEMEAGRHALTTALIQPVLVQVLALLRRYPSSSSTVQLVSGLGVL LNLTNHVTFRHIVATERDVAHEMTKALVQLLTLRDEVVVAERSLAILLNLSLDPAAAC IRQDMLAANVHEHVLPWLQRGRGLLRGNDVVLVTRLVSVLCRLHSLDGVARDVASTPP FLRALWDVFESTADRPHDCWHLNAQLFCHLAWSFPTAAQFMDEHACVRRMMRFMQDKR THVPKAQTAAFERMVTNCTKCCIAMLAVGGGDVTVAAIVESNGLEILVDLMSHMKDEK VARKNVAILLAKLCQRSDDIKGRVRALRGIEMMLSICRDLKG H257_05806 MDPMAMLEMGRRSGTSNQDVDEFIRKTDAIAKAMEQIKNGTFDP DNCDIPGYLTPEQEEREALAAKKREDERVQREQARKQKEKQEEHDHWWHRAKLRFATY DDDDDTNSTDPDKWANRIVEAYKARDANDYSMWDKWEPQDPVTLDEKARQDALVEKMK NDQFEQANPDFCTQFKHDLEKRQQSTRDKDRLAQKHKMQGNTYFKRRQYAPALASYMA ALVEAPYCPLILTNIAQVHLRLNATDDALEFCNRALFVAPTHIKALSRKASILHGRGL WKDALNVVQLAMDVDKRANPDLVQQFVQIKAAYDDDVGREVLQRRMDGPNSVDNWHLH AMQHLLDQFDLGHHNPHESTERPFQRHASGDKMDGDTTGRPPNVATVDALRALLPLLQ ADKDCKLLFRTSGALTRVVARLVAPEDAEDDEVRAILQCLVAMVTDDAATQHHLYLLA PFRQWVVHSVADTSMNTTRNMSLLVQLVDECMAVNLWKSVVATSVPMLAGLLRQWQAQ ESAESATSIVLYASEMEAGRHALTTALIQPVLVQVLALLRRYPSSSSTVQLVSGLGVL LNLTNHVTFRHIVATERDVAHEMTKALVQLLTLRDEVVVAERSLAILLNLSLDPAAAC IRQDMLAANVHEHVLPWLQRGRGLLRGKTRLEEDGRLRVDRPVVHLGDVGNDVVLVTR LVSVLCRLHSLDGVARDVASTPPFLRALWDVFESTADRPHDCWHLNAQLFCHLAWSFP TAAQFMDEHACVRRMMRFMQDKRTHVPKAQTAAFERMVTNCTKCCIAMLAVGGGDVTV AAIVESNGLEILVDLMSHMKDEKVARKNVAILLAKLCQRSDDIKGRVRALRGIEMMLS ICRDLKG H257_05806 MWDKWEPQDPVTLDEKARQDALVEKMKNDQFEQANPDFCTQFKH DLEKRQQSTRDKDRLAQKHKMQGNTYFKRRQYAPALASYMAALVEAPYCPLILTNIAQ VHLRLNATDDALEFCNRALFVAPTHIKALSRKASILHGRGLWKDALNVVQLAMDVDKR ANPDLVQQFVQIKAAYDDDVGREVLQRRMDGPNSVDNWHLHAMQHLLDQFDLGHHNPH ESTERPFQRHASGDKMDGDTTGRPPNVATVDALRALLPLLQADKDCKLLFRTSGALTR VVARLVAPEDAEDDEVRAILQCLVAMVTDDAATQHHLYLLAPFRQWVVHSVADTSMNT TRNMSLLVQLVDECMAVNLWKSVVATSVPMLAGLLRQWQAQESAESATSIVLYASEME AGRHALTTALIQPVLVQVLALLRRYPSSSSTVQLVSGLGVLLNLTNHVTFRHIVATER DVAHEMTKALVQLLTLRDEVVVAERSLAILLNLSLDPAAACIRQDMLAANVHEHVLPW LQRGRGLLRGNDVVLVTRLVSVLCRLHSLDGVARDVASTPPFLRALWDVFESTADRPH DCWHLNAQLFCHLAWSFPTAAQFMDEHACVRRMMRFMQDKRTHVPKAQTAAFERMVTN CTKCCIAMLAVGGGDVTVAAIVESNGLEILVDLMSHMKDEKVARKNVAILLAKLCQRS DDIKGRVRALRGIEMMLSICRDLKG H257_05806 MWDKWEPQDPVTLDEKARQDALVEKMKNDQFEQANPDFCTQFKH DLEKRQQSTRDKDRLAQKHKMQGNTYFKRRQYAPALASYMAALVEAPYCPLILTNIAQ VHLRLNATDDALEFCNRALFVAPTHIKALSRKASILHGRGLWKDALNVVQLAMDVDKR ANPDLVQQFVQIKAAYDDDVGREVLQRRMDGPNSVDNWHLHAMQHLLDQFDLGHHNPH ESTERPFQRHASGDKMDGDTTGRPPNVATVDALRALLPLLQADKDCKLLFRTSGALTR VVARLVAPEDAEDDEVRAILQCLVAMVTDDAATQHHLYLLAPFRQWVVHSVADTSMNT TRNMSLLVQLVDECMAVNLWKSVVATSVPMLAGLLRQWQAQESAESATSIVLYASEME AGRHALTTALIQPVLVQVLALLRRYPSSSSTVQLVSGLGVLLNLTNHVTFRHIVATER DVAHEMTKALVQLLTLRDEVVVAERSLAILLNLSLDPAAACIRQDMLAANVHEHVLPW LQRGRGLLRGKTRLEEDGRLRVDRPVVHLGDVGNDVVLVTRLVSVLCRLHSLDGVARD VASTPPFLRALWDVFESTADRPHDCWHLNAQLFCHLAWSFPTAAQFMDEHACVRRMMR FMQDKRTHVPKAQTAAFERMVTNCTKCCIAMLAVGGGDVTVAAIVESNGLEILVDLMS HMKDEKVARKNVAILLAKLCQRSDDIKGRVRALRGIEMMLSICRDLKG H257_05806 MDPMAMLEMGRRSGTSNQDVDEFIRKTDAIAKAMEQIKNGTFDP DNCDIPGYLTPEQEEREALAAKKREDERVQREQARKQKEKQEEHDHWWHRAKLRFATY DDDDDTNSTDPDKWANRIVEAYKARDANDYSMWDKWEPQDPVTLDEKARQDALVEKMK NDQFEQANPDFCTQFKHDLEKRQQSTRDKDRLAQKHKMQGNTYFKRRQYAPALASYMA ALVEAPYCPLILTNIAQVHLRLNATDDALEFCNRALFVAPTHIKALSRKASILHGRGL WKDALNVVQLAMDVDKRANPDLVQQFVQIKAAYDDDVGREVLQRRMDGPNSVDNWHLH AMQHLLDQFDLGHHNPHESTERPFQRHASGDKMDGDTTGRPPNVATVDALRALLPLLQ ADKDCKLLFRTSGALTRVVARLVAPEDAEDDEVRAILQCLVAMVTDDAATQHHLYLLA PFRQWVVHSVADTSMNTTRNMSLLVQLVDECMAVNLWKSVVATSVPMLAGLLRQWQAQ ESAESATSIVLYASEMEAGRHALTTALIQPVLVQVLALLRRYPSSSSTVQLVSGLGVL LNLTNHVTFRHIVATERDVAHEVHMYCTGSATLVMDDTHNEYHDSHLAAYSDDDG H257_05806 MDPMAMLEMGRRSGTSNQDVDEFIRKTDAIAKAMEQIKNGTFDP DNCDIPGYLTPEQEEREALAAKKREDERVQREQARKQKEKQEEHDHWWHRAKLRFATY DDDDDTNSTDPDKWANRIVEAYKARDANDYSMWDKWEPQDPVTLDEKARQDALVEKMK NDQFEQANPDFCTQFKHDLEKRQQSTRDKDRLAQKHKMQGNTYFKRRQYAPALASYMA ALVEAPYCPLILTNIAQVHLRLNATDDALEFCNRALFVAPTHIKALSRKASILHGRGL WKDALNVVQLAMDVDKRANPDLVQQFVQIKAAYDDDVGREVLQRRMDGPNSVDNWHLH AMQHLLDQFDLGHHNPHESTERPFQRHASGDKMDGDTTGRPPNVATVDALRALLPLLQ ADKDCKLLFRTSGALTRVVARLVAPEDAEDDEVRAILQCLVAMVTDDAATQHHLYLLA PFRQWVVHSVADTSMNTTRNMSLLVQLVDECMAVNLWKSVVATSVPMLAGLLRQWQAQ ESAESATSIVLYASEMEAGRHALTTALIQPVLVQVLALLRRYPSSSSTVQLVSGLGVL LNLTNHVTFRHIVATERDVAHEVHMYCTGSATLVMDDTHNEYHDSHLAAYSDDDG H257_05807 MSHHLKLLLRDVFAPSRCRWDDGRKQYGIGNPIQFFTRVRIMGT VRACGATALELDDGTAVIAVQFSAHMRFRPGDLVDCLGELQPSRQALRSSYILASNIL PVLDANMETLRFLEIIHLYKASYFSGQPPATSSELARPLAALPTAVMAGIKRKFATDA LEYLFDNGPSQKARRTAEESRGDEFYLTVPEVEAARLASGQRRLELRVNKPPYSIIVP GDTIFFNGAHAVQVGAVRNYVSLAIALQAETPSLLLPQGVESAHALAHYSSLASDADV RTFGVAVFEFIDRGDDQPLPLATTSHIPSDPGAAVQELLEAETMSINDLVGRLAPTHS ASAIAIALDELQMDGFIYQLPNGHYAIL H257_05807 MHLVQVDCLGELQPSRQALRSSYILASNILPVLDANMETLRFLE IIHLYKASYFSGQPPATSSELARPLAALPTAVMAGIKRKFATDALEYLFDNGPSQKAR RTAEESRGDEFYLTVPEVEAARLASGQRRLELRVNKPPYSIIVPGDTIFFNGAHAVQV GAVRNYVSLAIALQAETPSLLLPQGVESAHALAHYSSLASDADVRTFGVAVFEFIDRG DDQPLPLATTSHIPSDPGAAVQELLEAETMSINDLVGRLAPTHSASAIAIALDELQMD GFIYQLPNGHYAIL H257_05807 METLRFLEIIHLYKASYFSGQPPATSSELARPLAALPTAVMAGI KRKFATDALEYLFDNGPSQKARRTAEESRGDEFYLTVPEVEAARLASGQRRLELRVNK PPYSIIVPGDTIFFNGAHAVQVGAVRNYVSLAIALQAETPSLLLPQGVESAHALAHYS SLASDADVRTFGVAVFEFIDRGDDQPLPLATTSHIPSDPGAAVQELLEAETMSINDLV GRLAPTHSASAIAIALDELQMDGFIYQLPNGHYAIL H257_05808 MAATEYFPPLDRKQRREIRKARIWASILERSDLCLSMERHRVHQ PLRGSKARGLRSRGLFALLTQRERRGELRPAEHLAVNEAFLPVGENANVFEVDHHDER LYCGGFSPDGETFLVAGQSEEVHIYDTNTWKRVDAYPVRDIRWTVTDAHFTPDAKGVL YSSITSMVRMVMQGGKECAFDLAKTARSSSTGRSMTQRRRDFGVWSLGVNAAGTEFLA GTSNNTVVLHDMTTNTSVCHLAGHDDHVNAITFVDGPQSSNVFVSGSDDCLIKLWDRR MMSESNARPQGVFVGHTDGITHMSSRDDGFYFVSNAKDQSAKLWDLRKCVTDEAKVQH LPRQFQWDYRFEEYPGNYEGEVADAHPQDQSVMTYRGHAVKQTLIRAYFSPQHSTGQR YIYSGSADGEVVIYDVLSANVVDRLELMSQGVTRDVRWHPFKPMIVSPDFYGKLCVWQ KEPLGHTVHDDDDDEE H257_05809 MLDTLRGVWNEIGLNVAEQEAELDRQLQEFYQYKLMDANNTKSQ YLDDIASMQHDISTFVTQLGDPFKVPKDMGLQSKSLKEQEALVRADFDALKRVVDERT SALSAVVKELSYIQALMGEAPEKPSQPLDLTVAGIDRVKDALRVKKKEQANRRQAILK VADEYRVLVDQVQLVDLSDFDRSVVTNVEALGQSLNLIELISTRVADLIQLKADREQA KKTLLDQIHVLWDRLNVSPNVQGDVLDACRGISADALRHAEDELTRLQHLKRTKLGEL LLDVRRQIAALWSSLEVDATAAASSFPAMQVPVVDATEELLALHESELKRLDAKATAR RALLKYIEKREEIIGERSQYEASLHDPDRLIGRVATARLLREEKLQAKIKHDLPKWTK LLLDKLPAWEQEYCTPFVLRGERYLDTIARVDADYIKQKELDRMEKDRLKREKKAAAT DDKLGVSTPKLKLAKGPSPPPLTKRMSCPNQMTTAVPPSHHNAVALARDSSKSKLANP ETY H257_05809 MGEAPEKPSQPLDLTVAGIDRVKDALRVKKKEQANRRQAILKVA DEYRVLVDQVQLVDLSDFDRSVVTNVEALGQSLNLIELISTRVADLIQLKADREQAKK TLLDQIHVLWDRLNVSPNVQGDVLDACRGISADALRHAEDELTRLQHLKRTKLGELLL DVRRQIAALWSSLEVDATAAASSFPAMQVPVVDATEELLALHESELKRLDAKATARRA LLKYIEKREEIIGERSQYEASLHDPDRLIGRVATARLLREEKLQAKIKHDLPKWTKLL LDKLPAWEQEYCTPFVLRGERYLDTIARVDADYIKQKELDRMEKDRLKREKKAAATDD KLGVSTPKLKLAKGPSPPPLTKRMSCPNQMTTAVPPSHHNAVALARDSSKSKLANPET Y H257_05809 MLDTLRGVWNEIGLNVAEQEAELDRQLQEFYQYKLMDANNTKSQ YLDDIASMQHDISTFVTQLGDPFKVPKDMGLQSKSLKEQEALVRADFDALKRVVDERT SALSAVVKELSYIQALMGEAPEKPSQPLDLTVAGIDRVKDALRVKKKEQANRRQAILK VADEYRVLVDQVQLVDLSDFDRSVVTNVEALGQSLNLIELISTRVADLIQLKADREQA KKTLLDQIHVLWDRLNVSPNVQGDVLDACRGISADALRHAEDELTRLQHLKRTKLGEL LLDVRRQIAALWSSLEVDATAAASSFPAMQVPVVDATEELLALHESELKRLDAKATAR RALLKYIEKREEIIGERSQYEASLHDPDRLIGRVATARLLREEKLQAKIKHDLPKWTK LLLDKLPAWEQEYCTPFVLRGERYLDTIARVDADYIKQKELDRMEKDRLKREKKAAAT DDKLGVSTPKVINHVICHQVLVYVFDCMWS H257_05810 MERPTTRRGVRRRPANDDEEIAREMPPNKKALVDLLENLCISMS SNNNSAAPVDGNRSYTAATFMSTNGPRQFVYPRRSQQSIYSEYMRKMNNTAPKPPRDP SLGAMVLYNTSPTLPSPLRRGMMMDVDMDSDDSTVQAPSQPRLAGNLTHGEWFLPEDD EDDAMDIMDML H257_05810 MERPTTRRGVRRRPANDDEEIAREMPPNKKALVDLLENLCISMS SNNNSAAPVDGNRSYTAATFMSTNGPRQFVYPRRSQQSIYSEYMRKMNNTAPKPPRDP SLGAMVLYNTSPTLPSPLRRYMLPPFTIPEGSESDGDYDDSGMMMDVDMDSDDSTVQA PSQPRLAGNLTHGEWFLPEDDEDDAMDIMDML H257_05810 MERPTTRRGVRRRPANDDEEIAREMPPNKKALVDLLENLCISMS SNNNSAAPVDGNRSYTAATFMSTNGPRQFVYPRRSQQSIYSEYMYTIIHAMTGNVDVM IRRKMNNTAPKPPRDPSLGAMVLYNTSPTLPSPLRRGMMMDVDMDSDDSTVQAPSQPR LAGNLTHGEWFLPEDDEDDAMDIMDML H257_05811 MSSLSTTSKEAPPEGSSLSDSDSGSTFHEPAGGSGRYTTNALHG CTGSLSNTSSMRTDGIKAGRCGLNCAAWLPTTSRVACTFGASPPCRAYCTTCESFHCV GC H257_05812 MDVLALRLDHLKARGTYVATIKTWLQETQVNGRLVSRGDLHLFV AEGPTEAIDALLIQFETEPVDTNARGERCIDKFYDVVGREPRESALLKPGFTDMHLLN DTMLEKLVLEEWGVPKDWLTTARSTSRTKRFLAWKEQAKVARKQGRRRTAQVRDETKQ KQREAKRQKTESAADDKKEDKTT H257_05813 MALELRVQVFEAKGLLDRGGPFTKQSPYCTIKIAGQKVKTNVHM NGGCNPVFNQELVIRTVTAHDDFQIEVKGYHTNIPKTHLGVYGISLEHAIHEGHFGRK AWFPLKNVKKPGKDAGQLRMRLDIRNAASLPEEKSQSVDVLRITTSRRSMDPNLVPIG ASRRSSTRTTVDKAIRSPQNLKAGFSAGEHDVRSDGFRRLSLATADDAGAPPPPPAIH IPSGVALRRTLSRDEVDWTGYEALRPYSKFYVYASQVSIIRQVHTDYIHTDYMKTELG HYGGDKVMITSAKVPAEGAALVKEVIALSKVDCPLILPFVGFFIDPTKGGLHCITNHS LANPPTLLKYLQRMTTRLTLKDKLGFAIDVAGALVYMHALGMMHRGIKAENVMLTERK KAVLSGFGTCRDRSYDQTLTVGVGDIQWSAPEMLVDGDYVEKVDVYSFGVLLVEIETC RTPFDEASKVMTRMDLTKAILMGKLRPTPTSDCPAGLKNLIRTCLQHDPRLRPSMEQA LASLHDVVATL H257_05814 MGSTPCQCQLFPTYAFLALTLKRPPVHTTISFVHAVMEKVMPRP TGGRDRPESKPSMTDDVLPPLQVVPPPPKLKQKRISFSMWRQAEVIPAVNRVSLQVTA EERKKLEQGLEEDAIRFMWTPDSQLRLSWDALIAVVTVFFAYRLPYSLAFESDVDFQE QAWSIAFNSIANVLYIIDVVANFRTGYRSDVEVVLDPKQVAINYAKTWFLVDVAGSIP FELFIKTGDSGIERKAVKTSLKYLKIPKLFRLARIIRFVRKHMQFMYAFQLSFLYISV VHWIACLGPSMLTLDVSEYVPFSRYGIFLYISVTALFDLGKMEGFPADEQIILAALSV FGFFLVCLVSASITAIFVSQTSRASEYQEKIQSVMADLKALQVPRELRLAAKNYYEML WRVKKTSDRYEKFIYEDQDLSPTIRAEIALHIHRRAIAVVPLFKGCTDDCLATVVMRL KTHLYMAHDVIFHRGEPGRSMLIIIRGKVKIIGPDNRTLVAVLKEGSFFGEIGLLQNT TRSCTVVASTFCEMKSLAQADAEVIFSLYPHILERLYRESDRRKRDNSSKNSFCSIKV LDNAHTVDKESIDQFDARYSGSRSLREGKAVSPGEAKSSILMNLDDKNASNFWTTDDG ARLRASPDASARTMDRTMESLANLRMDVDDLKDMLGTVLGNQKLLVEKLSELEARSTT HGHHAHRKRTKETPDHHVPLKKEGSLKRRDLLHMTGESDRSIKLNGTGSNGTNEDDKV VNRLMVELERPKT H257_05815 MLVLTILLVVSSEGLRGVLQDTFAYLASYPSLFLLFLLFGFGVA VASSYIHFHLAKRGNASSTTPLTCYDCEQQHKTKSSPKSNKKAKRQADNVGILAMEVY FPANYIDQSDLEQYDGVGAGKYTKGLGQDKMSFTGDREDVNSIALTAVKQLLEKYDIH PSQIGRLEVGTETMVDLCKSTKTVLMDLFHEHGNYDLEGVTSVNACYGGTAALFNSVA WVESKAWDGRYALVVCGDIAVYAKGPARPTSGCGAVAMLIGPNAPLVMESELRTTFSE NMWDFYKPDPHSEYPTVDGKFSQSCYLKTLDDCYRRFCSKNEHAGVTKNGHFFDVAAN DFVLFHSPYNKLAQKGFSRIVFNDFLRNPTLPQYDKLKKWHGAALEDTYYDRDLEAAS REVSLALWNKMVEPSCFASRHIGNCYTASVYINLACLVDSARATLHGKRLMLFSYGSG AVGSIFSFRCVRPEATDVAVPAFTVERMSDCLNLASRLNSRLKRTPEEFNGHLDLRQA SHGLKSYTPVQSVDSLFPGTYYLVGVDDKHRRKYARKPLNAPLTYVALPSAAVATATT VATHPITAVATSPVAAIKPALVEVLVTGVAAGAPGIVGADLTSLLEGVNCIQRIPDAA KHAMVAKRVVQLKKDGASGAIRRLPVTTINQTIQLAAPMAVVDLVKDFGLPKSLVEGM DDASACAVAAGLRALQQAGLVAGTVENGWGNWRLPDAMAASTGVLFAASFPALDACVK EVSRSFTDPAYEFDRKLLFRLLVLANSQLAQIVGAKGPNAHVNATCAGTTVALTMAQD WIRSGKCQRVVVISGDVASNDTLLPWIGSGFRSLGAASIAANVADAALPFDTRRNGML LGSGAVGLVLEAAGASTIQRFPSGTPSVSLVASQLSNSAFHGASLDKEHMAQELNRFL QAVESEHGIPRAALAAEGVYYSHETCTQASPTTSCSFTELYMLRSAFGDAGLDTLVLA NTKAFTGHAMGVSFEEVIAVEGLKRGLLPPVVNFASHDEHLSPRPLRLSQGGAYPHVK YALRFAAGFGSQIAFTLYMRK H257_05816 MVGMSNADKWEAVGHPVVKKLHAALSKQEAYILATNSNTNRSGN LQSHPQLLRAVELEDLLVKKINVWVGEKKSRDFINDPTAMAEYLRVASCLEAAIESHD DANKSFWQKDVVAPVSAKSILEQLFQPSWMSLPQAVGASSPGGHSKDEKKHKKHKKEK KSKKSKKSSKRDGGGSDGDNAATGSSSARFHPYANNPIGNVTRALMQNLDS H257_05817 MLDTVHGRAVGRFGAREPAPTTTRRPVDSALPCKQSTREEAARV AAAQALADVEQQKAATAALKLERKWEMAREEAEKAQRRQLAVEQWTLAKRHHLRALVL FQGWRPWRHLVAMCRTKAIKAKHWHHDTTLHQRFGAWVGYRDACRAQARAIRTARLRA AATWHDKRWLNRVMLQWQAVREATVQRELSVRTASHNHLVRRLWGVVKSLTDARKAQL CRLQVKREADAKRRVLHVWSCRVGVWRKEAAAKREKDRMWRQMHVWLADDNN H257_05818 MRRESARLGLLHSTFGVSVATIALCVCEKHLLRGYIGAGVPTKL TASVHTGVLDYHVYRDLIVRKVLPALKSSWRWPSGVETGTVFLQQDNARPHIAPEDPA FVSAALL H257_05819 MVNKRPRTDGDTAAPVLPQQGASCAEAPKEKSTTRLQHPLGVKP WGNSFNDMDKGIKPCRPNGLGRLHNLPDTILHAIFSCLDPISLGLTSTTSRAWYVFCY HDEFWRTLVLVEFGGDFVARPTWRESYIATSASAILTRPTSRVSVQGFYSDLLFQPFY CAQTPLTLLSAASMLKSNTIPRVDGATLSVADFKATYEQPNLPVILTNVVTTWPALSQ WTDEYLADTCQATPFYAGGFAMSIAKYTEYSRTLRDDQPLFIFDKHFAETVPQLAADY TVPPFFHDDLFPLLGASERPDYRWLIFGPEGSGSSFHIDPNSTCAWNAVLRGRKKWIM FPPDVVPPGVHPSEDGGEVSTPVSVMEWFITFYPQLKSLKDPATGGPRHLEGMCAAGE MIFVPRGWWHIVLNLDESLAITQNYVSPSNVQQVLEFLHDKPDQVSGLDDETKRPLLY AKFRAALELHHPEIVATFDESRRQKPKKSKWASLVIGSTTDEDGASVGPAAPFSFGFG V H257_05819 MVNKRPRTDGDTAAPVLPQQGASCAEAPKEKSTTRLQHPLGVKP WGNSFNDMDKGIKPCRPNGLGRLHNLPDTILHAIFSCLDPISLGLTSTTSRAWYVFCY HDEFWRTLVLVEFGGDFVARPTWRESYIATSASAILTRPTSRVSVQGFYSDLLFQPFY CAQTPLTLLSAASMLKSNTIPRVDGATLSVADFKATYEQPNLPVILTNVVTTWPALSQ WTDEYLADTCQATPFYAGGFAMSIAKYTEYSRTLRDDQPLFIFDKHFAETVPQLAADY TVPPFFHDDLFPLLGASERPDYRWLIFGPEGSGSSFHIDPNSTCAWNAVLRGRKKWIM FPPDVVPPGVHPSEDGGEVSTPVSVMEWFITFYPQLKSLKDPATGGPRHLEGMCAAGE MIFVPRGWWHIVLNLDESLAITQNYVSPSNVQVLV H257_05820 MFPGPRHGQHHAQHPRDLRVQPMAAVRLFQLLMERVEVREATAY DPRRRCDGTCQRHRVHCDRAGEGPIGCGQIRRSDRRRRRRTRQWSTDFVRWRLLCRVA DDPVIQSVVYSKQGRVQQVLTRLGVTARAPQACQVEGESRKCVFATSNGGS H257_05821 MVNILASVGATLTATTAADFATTFPREMADLIDPTADLCQDFYQ YAVIGAKNTDIVKALIATKKPKVAEFYNACMDVATTTPRGPPPHSRLYGHLHRHSIEA ILGIAAKLSSKGVDVIVQPYVMANQGDVTTNALYAFQADLPLDQSFFEDAAKWATIEA SYREYISSLLTLAGQSATDAKAVEDVIITFQKKFANVLLTKVELMEAEVTKYNPLTYA DAAKKYPLTVGLQLQAHGFNVCKGCSADKVVLYDLEFFDRAQVLLKANPMATLKTLVE FMLLHVNSPQLSADFVMANRKLFEKKIKGVITQPSRERVCRTRPSGYS H257_05822 MTTFYDYFLAKANYQPVDKTMWGTIPHTVNAYERYTPRENKIVF PATVLQAPIFNAKFDAAQNFGAFDNCSLNFDDNGNCKKQL H257_05823 MPPKKKANTLFVVKQAAEYVAIGQPYKAHEHQCPKETNQWIHLG RLLTFQKSYHWSRRSSALRNVRTTRDLRMVAHDESHIHAQVGMVTDMLDVAIVNQGES NGQE H257_05824 MLRLRGILRERNAVESVALHDDQSDAAWYTMYRSRNTPSFLTTV DEDALRGSSTSMLF H257_05825 MTLHFYTAAVEHKTPQELFGVSPSTFARVLSNAERALGRSLNRI ADAAVRWPTTRQQQHWPTLTNAKEPLVEGVFAFVDGWLHSVFVTGCLCLGVVGTIIWA RHNCPGSWNDGEVSRQLQARLADGRYAGVGMKVASDSAFPVAGPCAGRIITPLKQSDL ERQPPANRLALQTMSDCITSLREAAEWGMGATGKVYRQLMLPLPYNPVLRGQRLSNMF RLYNFRVRRTGLSQIKNVFSA H257_05826 MDALKRKFILLKNHAKPTGDPECPVKVKRAKRIQREIDQSVSVM SLDVGCDEDENDDDTDPPVPAQEDDEDVGRTGLQQTELQSLSVTLKRQFDQGDPTARL LSYTAKKRRSIDKYINGAAEADTKASSDMMTMILLMDERSAKREESRIERQEKYDRER EERDARREELHILLMGKMMGQHQK H257_05827 MALSPPPAAACLSQSRQGLTERAISHGVDVLTNLHAARETKRLR YSSVSRDPDQDTCDSPSPILDSFVDSQGPGVVHKLTNFSPTEFNSLWAELQGFIQSNW NVGSGRKCEVSPRDMLFMTLTSLKHCGSWDVVAAVFKEKSPTFSKRVTGFITAIHPYL KAKFIDGLADKWRMPALDKAGLCFKNYPWALYAVDVTFQQANAPAGSFAEKKRFFSKK HGLYGMKVEASVLPNGYAINVTNAVPGSLADITICYDNNAFHQDMLTKVGDETTMSDN GSLRNEYPNSWALLADKGYQGLYRHMRAITPAKRPAGGLLPVSVMTANDSDRVVVEKI FGRLKTLWTIMSESYSWKRDHYDLFFQTCVALTNVHIRFLPLRDLDGEDFARYENRLL SLGDRIKSKRAGSMAKYREKRKHRGVGRKHTTNTTMTDVVHAFMMHSPPLPSRVIYNC IVHTKKKVKSMSQYLQALDEADDDASHVSSSEASAGDSEYSDEVEEFTA H257_05828 MKNALATWIDDDPKHNPKTLFKMLKASIGQERFGGMPCPTLKQV QHAVHYMRSKDLFQKSTVPAAIEELMKWRITDNVEDHVAHKPFVFDVEEEAGRFALRD GGMQAFRVGLSTVELLRKYHAVVTANPMKTVICHMDTTFSTNVLGYPMFVFGYSDMAG SFHLLCVCITSQRTHADVAWLLRSLKEKFTSLLNYAWAPTRLMGDADKAQFLGMTNAP YCYDKRQCMTSEEWTSVTFDIYLLHMSTSEANLVNNMDTAHANWKGSRTLQKFRTYFF NTWLPYHAVYSTNCGPRFWKWQVFHSHRGLVHQQPQ H257_05830 MVRLQGSPVALAVAAVPGELAGMLYRWRDAVHGMVLAVANASRW KAIESRALIKDQSEAAWYTMYESRSIPSFIATKSIPPDDFDDLLRVFSVNYTVCSGPG RRERPPRVQQTHAVLAMLLHYYTAAVEHKTLQELFGVSPTTFSRVLRRAEVALDRALS HMQDSAVRWPSKALQRDWAVLTNAKEPLMEGVFAFVDGKNYRVQSPSNADLQNAHYNG MLPVLAVANASRWKAIESRALIKDQSEAAWYTMYESRSIPSFIATKSIPPDDFDDLLR VFSVNYTVCSGPGRRERPPRVQQTHAVLAMLLHYYTAAVEHKTLQELFGVSPTTFSRV LRRAEVALDRALSHMQDSAVRWPSKALQRDWAVLTNAKEPLMEGVFAFVDGKNYRVQS PSNADLQNAHYNGSWNDGEVSCHLQARVSDERFVAPGMKIASVSAFPVSGRCSGRIIT PLKEGDLDRNPPCDRLALQTMSDCITSLRQAAEWGMGATGKVYRQLLLPLPYNPLVRG RRLSNMFQLYNFRVRRTGLSQIKNVFGA H257_05832 MVKTKTGRGKSWCPSSVDLLLDIAAAVLPLGKNQWEKVAQRFGI EATAQSLPHRDAEALKRKFLLLKNVQKPTGHPDYPPLDVLRAKRLQREIESSVAVLSM NATISMDDEPDDSDDCSDDTFQSATMQSQLPTQLDDQRVEVGRTGLQPSELQALSDKL KRKQSDTGGLPSYTAKKRRSIEGELESDAKASSDMMTFLMVMRERDAKREEMRYERQQ KTDRLREERVEKADRDREAREARRDELQFLLLGKIFGKNESS H257_05833 MYDLSALDGFTYRTLLEVTASEDACVLWCRQNGWRWRCKKKPHA DRPVEKSIRAGSFFAKSKLPLTTLLRLLYAWASHKPAKTVMDEEGVSTDTACNWYNYC RDICSAEMLASEMKIGGEGHVIEIDETSLKKKSKYGRGEVHPDRWLFGSVDRATKRWF GILVGEDRTKPTLLALIKKHVL H257_05834 MSVAYGIEVEATEIPAAMEPVHRINDALRSMIMTQAQTVLFTTH LDDGVKVCDATKSDWNRFVNSEYQELISRAMMWRDGAIYIVEPPGGIHEDMNCILIFV IAAATGTFGMHLQPHGATFVNSL H257_05835 MDSATWDSESESSGEDDVVIAIVLCVLVVTAQKKEVSNRDSNKG KRPNIDSKCAQYDLLLRADYFDSVPTYDDSHFRRRFRIRQSLFLQIANDLAHQDPYFH RPQGFSTLQKVAECVRYLATGSSIDDLDDSYI H257_05836 MVIDDEMDDDSCNLELLNDLQPDDPFVATGETEHATSQSCIQAF AKLTDGVAHTKLLNDLVELRWNLFGDISYE H257_05837 MVGTQLWEDRVKQTVFDEVWIGYAAQFWGKYGHYDKASILNADE TGVNLPLLFSSLSRGSRAAQLKRASCPHTIVTCMLYKQMLGWMTVFGPSIWSICLRSM WMMPLSFWPTTSIAMSRVHLTDKAIEASLAWWNHCHRIRRVDASSRSTLASWAY H257_05838 MLNASCNFNASNPCPLPEPSDKYSGDRRQGPNECYDTGNSPTSI LPLPPTAVLPDDADVATHPSTGGDWPPPGPQRLWVSEPTCLPGPVAPSRTTQLPPSLP VDRHRLYFLDLQPPLDYTKVIRGFSAAAKQHTKAAFVPPPADAIEAILFELTKPKKDR VDILAKIDLARPYTPKVAIARFTVDTGDALATQSHKAIMSSLFASAQTDTAKFLLSEF VQVTVLYLIPVHEEHPLDSLCFMDITGIRDKFDAAQFYRKLTQLGVDVIYHSHHGRAE KGQKGRNATQSRENMVTPESKIADTVPSPRPFQFSLQQSVRPEEPSNSLAPSEHVSVF EDDGEVVMDADDDFTDTHMSMEVDVPFQVV H257_05839 MKDGKRKRDYNKETRAYEHAQSIEWDGPRNPLQPRQHFEEREGV NLPCYIDDKYDTSDNSTSQKDDGGDDFDEHLERLVALPASFRGYHTNAGKYL H257_05840 MSEVEFQTRFDVAEAKYGLAKEPVKALLSISRHKEKVCRAFTGS VFTCSSLATQRGLKKKELRRFNLLQLAEHLWGIFQQQEIKACDELVTLLVAKRRWSNY VDGLWRANVLKAETSPHVTKVAGIWYVSGRRTSGL H257_05841 MDADTVDRIQAFVRLRRRRSLTYDEKMDNLWLQSALRKEHVTNV SVAIARLLGRSPKTVKAVLAEWLATGDLSVVDPPSNTKYHKARVPNTHAVRATVRSFI RDRSVTRTRTVGKDVLAHLLENGVVVVDPCCPKDYAACLRAVQVFLSQQGYERGKRKG TISYRMTKAHEEARDAYVALMVPTVTHAPRRPVVYLDESFIHHHYSRHADSLYDPTDD ATTKPKHKGRRYCFVAGILDDGSDVSHLLGLDIFVGGKKNGKKVEDYHSMFNHDYFVD WFKKLLDEVEELGWGSAVFVMDNAKYHKATGDLSVVDPPSNTKYHKARVPNTHAVRAT VRSFIRDRSVTRTRTVGKDVLAHLLENGVVVVDPCCPKDYAACLRAVQVFLSQQGYER GKRKGTISYRMTKAHEEARDAYVALMVPTVTHAPRRPVVYLDESFIHHHYSRHADSLY DPTDDATTKPKHKGRRYCFVAGILDDGSDVSHLLGLDIFVGGKKNGKKVEDYHSMFNH DYFVDWFKKLLDEVEELGWGSAVFVMDNAKYHKGKPVDTPKGNWKKCDMYQACVDLKL PDVSPDDLKTTIWKKLKKYVEDNVQPMVVSMAEARGHHVMYAAPGFSELQPIELVWAN VKGTVGRAYTSTTTFKDVLHRLESAFYELDSEVIQSTIASSTTKLLKLDSALRKAEDA AATSNNEGGDSDTSDGEDMSSSSDCSSSSDIDD H257_05842 MGLDKATRPNATTQSTVSTFGDLLVMHHKIIDANKFITSVQAWA RAQGFTVSRTGKNFSKKNPHPVHGGRGSIMRRSTLYCTHKEEVCSGRSTCQWHIKFTF H257_05843 MSSSSAHDTLSNVDIFRLMVQLQHGLPGVLHEYVKDASAMSFRH TRVGYDTTSQPIGIYYVPMASKVRFDDSMDLLHVALHHSDMYLRANDLYLNNHHRDPR LGLHLAVFDGNLTAVQGLLAHNPAWASGEALSCAVKFGHRSLVEYFVQARKNNPLLST YALPDASLVLDIAAMHGHYDLLEYLHPYEPNGCTFRAMDHVAARGNVAMLQVLHTTRS EGCSTAAMDTAATCGHLEVVKFLHAHRQEGCTTKALDGAAREGHLDVVRFLSENRREG STPAALRLAAEHGHLEVLKFLHVRHRVGWCAHLLDAAARHGHMDIVMYLHDRGERCSS AAMDFAAANGHLEVVRFLHTHRRDGCSVHAMDLAATNGHLELVKFLHTKRIEGCTTAA MDGAAWHGHLKVVQFLHEKRREGCTRGAMDWAATAGHLAIVQFLHERRTEGCTTVAMD GAAWNGHLDVVQYLHKHRSEGCTKAAKDNAQRNGHTHIVTFLDLTRLYTTKKPSSPPP PKPTKAESGQSMWQKLTGAMMPRRRRIRQERMSGLPM H257_05844 MSRKANDTSPATHDTSLTNNNQPGGGNGLPPSHPGLKRTRSATL RESSGMSSSGMVGLHMGMYGMPNSLPSLEQRNSSTFSISSILPMNQSMHMMSMPSFHP DVEPKQKSTASDFMYPADVQPMTFDDKQRLVHRITMLPSQYLRGLIDVIKKYQPDTVR QLDEEYVFDLEQMHENTVWAISDYVRDAFSELDEYVKSLTNAGGSKGGSQPISLQSIE NYEAPRIHDNTNPDHPSNKRRHTVTSAMVDHATRQQLQSNGQVKFMEQVEMYSKVKQA KSKTQPSQRHACEMCHKQFRGRSELQNHVRTHTGEKPLVCSHPNCGKTYAHSSNLRAH ERSHEGIKPYVCHYDGCGKRFAHSVSLKEHIWMHAGVQPYECPFPGCMKKFTQVSNFA RHKKLHVIAGHRAAKAEPTAAAAAMHGGNGPSQQQPTVNI H257_05845 MAPSWVLLVAAVATVLLHSVYASSNAAVLYPNQLQTHQVIYPNQ PVVYELRTDADVSYEVKLSYRATTPSKFTLRILDSTEGLHKDRAQYKNMRRILNTEKT FVRGIADKISYVQVSMQVEGISYLLTQAEMDARRTEFDILLEDVVLQVLPRSAIPLIV VAVILLLLMFVCVYPRVMQLLSPDNVIMKSH H257_05846 MLGAAWSVQTNNAHWILDDKELQDATQGFQSQKSFRKDFFAYCK LLNVTPHPKLCPKLDEEDKDLLVQGYDESDTDTVNVRNWMLDGASLKVMSLALHNCPA IHTVKLFNVALTIDQLDFVCVKFPSTCIKNLQLEWNAIPPAAAADAPDHTTCFANLLS PQSSITSLSLRANGITSAGAAAIADMLKANTKLAVLNLFRNDLHDDGAAALAYALTEN KTLLHLSVANNHITSQGATAMIRGLTRYILRDDQLQHAAEVEVQIQAALEAAKKQKKK LERADVIKELNVPDTETVDGVVYGVGNATLQSLTLSGNAMTTVDELMPLNKLLEDHHV KLKTHLHVIKVQRMFPATATSNAKPSLSELLAL H257_05846 MLDGASLKVMSLALHNCPAIHTVKLFNVALTIDQLDFVCVKFPS TCIKNLQLEWNAIPPAAAADAPDHTTCFANLLSPQSSITSLSLRANGITSAGAAAIAD MLKANTKLAVLNLFRNDLHDDGAAALAYALTENKTLLHLSVANNHITSQGATAMIRGL TRYILRDDQLQHAAEVEVQIQAALEAAKKQKKKLERADVIKELNVPDTETVDGVVYGV GNATLQSLTLSGNAMTTVDELMPLNKLLEDHHVKLKTHLHVIKVQRMFPATATSNAKP SLSELLAL H257_05846 MLGAAWSVQTNNAHWILDDKELQDATQGFQSQKSFRKDFFAYCK LLNVTPHPKLCPKLDEEDKDLLVQGYDESDTDTVNVRNWMLDGASLKVMSLALHNCPA IHTVKLFNVALTIDQLDFVCVKFPSTCIKNLQLEWNAIPPAAAADAPDHTTCFANLLS PQSSITSLSLRANGITSAGAAAIADMLKANTKLAVLNLFRNDLHDDGAAALAYALTEN KTLLHLSVANNHITSQGATAMIRGLTRYILRDDQLQHAAEVEVQIQAAVNPLC H257_05847 MPTEGTSTRLLVGTYTRKEDHVDGQGKGIYTVSIDHASGKLTLI HVNDNTGINPSFVVVKANAVYAVNEHSDTLKDTFPNGSGLVASFSLDNLGRLTRTSEH PSRGGFPCHVSIDPTNSFVAVANYGGGNVTVFPIDAHDGKLHDHSGFAQMTGASLANP QRQDGPHCHSTTWLSRSTLAVLDLGTDTISHHLVHANGALAIHPDAPVVALPPGSGPR HLTLHPTLPLAYVVHELSNNLSVHSIDAAVLSPNPVQVVSLLPHPSTSQDHATVDGSI AAEVRVSKCGHFIVASIRGIDQLAVFRITYPSGLLAAPQFVSTQGSVPRHFTLVGDDL VVVANQNSHSIVSFQLSPADGLVPTGHALHIPSPSCVAVVL H257_05848 MMATDITAGETEGQRVVIVDEGAMEKETMVQVTNGATISPPVPS QAASPNTILTPHKTEAATPTHETPTPRGNDSPINAANTDSDTATSDTQPTGNHPRKTV ELSNNAPESLQVEPLRLPSSKGVAPPPSTIPPLTTNESAVRTLVKQVRFSDEKSVAPS FFLSKRLQSNGLSLTRRFQLRKVVVALTPPTDDEALRAELAVQLPSSPPEIGFQDHMW LGVRWSKQVKLLRSNCLKSPETLTMRRVKLPSWKLVATLGVAYNMTLVTLDVEGAGLD VACAKVLFQVCRTNRSILQLNAAHNAVGDDAVYALAAMVHTNTSLTQLILHHNAITSK GMKVLSHALQDNQDSMIFGLDLSFNPLGEGSTDALSACLQVNESLTSLNLAGCCVHEA GLLASLRRNYTLTALHLQSEDVSEQNQSGRLRRDRLNRSHAPPIMDALRRSTCALETC NLTGVQLPVGKIRASRWVKMAHTRLNELDGMILSALLPMNKVLMELDLSSNALRSESV LAIVTAIVDCPTLERVDVHDNDVSDVVGEALGLALVHNNTLQTICVATSHLDVQQLRG NSDTADEITYAKDQFTHPLDNWIVTVLFGVNRRTTILNELHVPPDSASLDMCHLTLAV YEAVYICTRIRHHVRLANLLINSSNLTYYAGMRLADALRNHPILLSVSLEHNNLHQLG GKAIAECMEHNRSITLLNLSWNNLDDVGVLPFATSLRANRCLKRLDLRGNAIGATGIA AISTGLAGNACLEELYLRWNDIGTNAARALAAALCINKTLAVLDIERHHMETDGAVAM AGMLRVNKSLTSLNMKGDLMVYPGSSVGVVGAEQLALALGESNHSLRYLVLAESKIHA EGCEHLAKIVATSRLTVLDLSYAEMDGETSLVLFSQLAHNTALVELHLAHNTVGADGI KGCVRSLCVNKSLRHLDLSFNHVTEEGMLLVEAQAKNFSLLRLNVLGNRVTDGTRGRL MAMSLFVVEI H257_05849 MHEPRREGVEEAAKTSVHSPRPPIPSAIEEPNANATPNKKQDDG TMDVGDTPPLLVGMTTLADKSLYHGHLNADKLPHGHGCMYFHAGGHYAGQYVAGKRDG VGVYSFPDGSRYEGEFKRDQRDGHGVYMVPVGEKYRGRWQDNAQHGLGEWTEWNGAVV RGEFKKNDFVGPVESSMQSCMDSVNLASRTQQRAVVAERAARVVERLAYAQDNMSIDG VYIKDEATFESYSQDTTVRQRAYTIAWQREFERIETTTQDGKTEEATLGERQKQLHAT IQVRRQELARYSMFWDIVADKERELADAKRVLASIETQVRLEEAVDQRTRSCVVSAPL LPGDGPSNT H257_05850 MTGATQPRKRLASDDVMANLSTSFDAFRRRISGLASPDATTTNP GSPMTTMPPPQLPEAVSECSVCLCSLGLLKFKYVCKNCDKTVCGAHSKNQIPLPDMGV WKEVRVCDVCYEQRLKRRAGAIETSDDAPGDDDTSLCGILFSGLVEEQDDTLDEMLYL GSFRMGSRSLASRNFNPNMAIWIERMVMLTPAELLSFKPQKDKDKEEFLLGIGEVRSS IHMTDILHIDVDEHYPRILTLVRSDGRIYRLRAKDIETCAAITKKLQEAMHLFQAALH KLQRGLRPEDNVVACVTVQHHPSLDEIVVRAGTGPDDSTPSRPQFLVELYPASVVRLY ASSPVVSAVATYTVPELFQQVKRCDTVRGATSTDEHQLVTHVDIERMPPQGGWASYGW LGVGLAAIGVGSGVVLLMSAQSPPALPLLLLPPLHSLLPWLVVALMALTLSFAKHLNV MVRTWQLWGPQMFRLVCVKVDCIKNTLPPYAKDLTVDLRFTEACKGDADEAKRKYSKY LEWRHDHNIDTILLRPHPNFKVIKESYPQVTHKRDKLGHLVAFELAGGMRKGMQYFQS KGVTEEDVVTHLGFYNEFLWTVLDRRPFPDGVMVKVIDMQGVNMGDFGGDVVNFMKKC SIVGEAYYPERLYKIFIVNPPSWFSMVWKAVSPLVNPKTRDKIHVVRGQKEIQKALLE FIDAASLPEAYGGTCACPGGCLANSDDEILLREYVAKMNAPAGSVDLAAELAVLQGVK VPPTPVPTTKRS H257_05851 MRVVSSIVVAAALVLAILLEHHEVNALAMSYDAPQKRAKPKSKP APKPAPKPVPKPASKPAPKPASKPAPGTPAARPPTARPVPAPATRPPTPKPKATPPPK YVYVSPRVAPRPRTVVAVYTRRSYWATNACSYGRCQADFDNCMTVFDGCACFPGLLSC AKQNCAAEFNAAATECQAAKTQVTGCVLTCSAGAYPSTYADATEYSLWTAVTIQGASA ASFQGSEYDFAAAVANITSDDFLSVTTDMVYIASVKDLVAGSSRQLESLVVSLDVQGN VEQRQQHEHRHLADGTTLLAVEFVVLFDTYDDLNGTAAYFGSLMDVTAAADNDLAVAL VARGVLFNESQLTIESVRTMVTPIFTSHARNRAFHVGTFTMLLVTVAWTLDPT H257_05851 MRVVSSIVVAAALVLAILLEHHEVNALAMSYDAPQKRAKPKSKP APKPAPKPVPKPASKPAPKPASKPAPGTPAARPPTARPVPAPATRPPTPKPKATPPPK YVYVSPRVAPRPRTVVAVYTRRSYWATNACSYGRCQADFDNCMTVFDGCACFPGLLSC AKQNCAAEFNAAATECQAAKTQVTGCVLTCSAGAYPSTYADATEYSLWTAVTIQGASA ASFQGSEYDFAAAVANITSDDFLSVTTDMVYIASVKDLVAGSSRQLESLVVSLDVQGN VEQRQQHEHRHLADGTTLLAVEFVVLFDTYDDLNGTAAYFGSLMDVTAAADNDLAVAL VARGVLFNESQLTIESVRTMVTPIFTSHARNRAAFHVGTFTMLLVTVAWTLDPT H257_05852 MFRRIVQQHYAPAIGRRSMTHEARIRNKGVALLSGLDLQLGGSL SEVQVTYEQWGDQTLDDDRTIVIFPSFSHSSHAASNRDDPRPGWWENMIGPGKAINTS AFRVICPSVLGSPYGSTSPLTIDPTTGKPYRGTFPQITPADMAKVHAKLLDYLEVGRV HAVVGGSMGGMQAVQFASLFPDRLDRLIAISCTAQTTPGTVAFRRVQRLAILSDPAYN DGNYVPGVPLPGMRVARELGMTCYRSREEFDSRFDWNPSGSTHFKDVTFDVENYMEYQ AAKFARVFDPNCYLVLSKAMDLTNVGHGYSNLAAGVGQIKSDTLVIGVNQDLLVPPTE QATIANILESFDVPVTLNLLNSKYGHDAMFHPQMQTVIFAPLVQAHVEKGLETLDHEQ QRYSNL H257_05852 MFRRIVQQHYAPAIGRRSMTHEARIRNKGVALLSGLDLQLGGSL SEVQVTYEQWGDQTLDDDRTIVIFPSFSHSSHAASNRDDPRPGWWENMIGPGKAINTS AFRVICPSVLGSPYGSTSPLTIDPTTGKPYRGTFPQITPADMAKVHAKLLDYLEVGRV HAVVGGSMGGMQAVQFASLFPDRLDRLIAISCTAQTTPGTVAFRRVQRLAILSDPAYN DGNYVPGVPLPGMRVARELGMTCYRSREEFDSRFDWNPSGSTHFKDVTFDVENYMEYQ VPSTT H257_05853 MEDHEMGDDATPKLTWDEAKGLCEEVGAEFEKGLKDGERLFQLK ATFNNRRVSLIDQQKSARQTVIDMVKEIQRIQQYEDERDNSAEMVRRIEELDRLKHEL QHKLHELKEEQLVCEANIENLILQYDFAQQQYAEECTASGRDIPRLKQTIAVYASITG IKWDFSSEHIAGCIHAPEQQSLHTFELRAPHNDFAVANELWQLIDHAHRAVE H257_05854 MAHRKDGCDYESQDDRQGRDSSIAVKRTPGIIHPTSQPGQSGQM SALIKCHKPTIASTAPPTIPMERIDDILLEMRQMLERMQLMVRKVEDQAQYLRPVQVS LPSASAWIPLTPPTPETTSLDIDSSSKNDFRTPAEVQHDSRIIQSSGIYNWDREDAYG H257_05855 MNATTVPSKATIQGSFRAASTTRTYLTYQKQFFLFCQEVLAVDP ASAGPSACTDFFHHLYGQGKTARTVDSAKTALVAYFRDLKVDPNPARDVESKQYVVGL QKYNKKNNIDDEKKAHPLSVHELSCLVNSLSTSHLFVGAMYRFLLSASYLGCFRISEM LNLTWDDVAIKHDGDSQYVSLRLRWHKKASVQSDCQIYHLVDEKSFPCLRVCGLFGDY VDFVKRASPNLATKSFVFPAYTIEANGTPKLNWNYIAQYAAWWQLLPRV H257_05856 MSLTIADFLKASSTEASAHAGTPADTGLTVSGLTHQQKLPSATP YLSLTWSKKGKKFVVTDQTLVDILSVYGPVKQVIMGTGSRKTAIVEFERVKDAQVAKQ ALDDSGAVFHGKKFHVEPAMLRKAFEDECSFNRVPNYDSARRQVDPATFVPGLLVYND FITPEQETLLLAELDKAEWKNDVRARQVQHFGYVFNYKTQKCDASTPLEDMPPFCRSL IDTMPPTFDGVPDQITANEYLPGQGISAHIDTHSAFTGSIGTLSLGHASVMEFRHPED GRCETFLLEPRALYIMTGASRYQWTHCVHPRLFDVVDGAKVPRRRRVSITFRKLQATP CTCAYPDQCDSQQYTEATTSTEKMSPTEIEQRYVHDFYETIAEHFSSTRHSPWPRVEA FVRGLPLGTLVADIGCGNGKYMKCVGTPSGCVGGDRSESLVKICKSRDLNVLVLDALV VPLRSNAFDVALSIAVLHHLSTLAHRLQAVKEVLRVLRVGGQGLIYAWAQEQTQDSRR AFDSHKQDCMVPWNLDKRFAKVDADSGEPVVVQRYCHMFKEGELDSLVRMSGNAVVNE SYYDQDNWAIRFTKTSDI H257_05857 MAAAATAVAVWQHPFVDVFKHVATVRMETMSRGDVEQLMDKHIR KNVYRLRGKIAASNYLRIPKDIHALPNMHLTGRFVYMELRRMQSSESVTFHFEVTTRK KTVLRFTFSTMYSNVRSMGINLRLPLTLTEKWTVLALDMFQLLDLHTSASYHREGYEA LKAMLLCSSMYVRGVFTSDILYLPETLPKAMQFPLVKAMDDATNAWDASYDWRWMPHL PTDSSTSSGLALAPPTQLHDVTTLHSPSSTCDSPTKSEPSPNAPSKSPPSSHAFNPTT PDDCETQMDASRVKVLDKADMILKTAGIHRTSPPKSYIKAKYEPTGVYTPQKYGQHAG FHAANPVAFPSPALSLRRVIGYSVERRRALAWASTSSTFFYACESTVIVGRRPPPSGQ SETTSSPFVDQEFLLGHTDDVTALAYSSAAGLLASAQGGVSPSIRFWLLSPKGHCIAS VKAHAHGVDTIAFCPHGTQLCGVGHDSKRRTQILVWDVTTPSQPVCVAKQLTDYAIAN IKFSPHEPDRLVSCGRESIRFWRVKNGHLPGCAVVLHEYARDTLFTDLDFDPVVMGNQ PSRPLYVASSHGTVLVVDYDSMALTCVYKLHDGPIHCLRVNEGFCVTGAADGYLRVWP LDFSDFYLEASHEAPVASVDLSVDGLHALIGCVNGTIGVLEISTQQYTTVVRAHTGAI RALALSPRSDSFVTTSTDGTIRVWDVTTGTQTYEFQDDDRAATAIAYHPRRDVVAVGF ASGTLRLFDIPTMQIHETYQQHRGAVASVVYGRSACYSSGGDDRQLCCYQAHATTVHM VNCAFPDGQGHLALDTTLKLVAVVGKDALAIELRDMYTLRIVRSVRGKHAPPLTLLGF VQSQVVALEAPSQRVVFFCATSGATLHTFPALCSGGPMGDVAFTSSGRYAFTGRVDQS QLHVVMLETSTQLRAVQLFAGQASGVTHIQLCADGRTIVSYGAGSAVYVWSFHGPSPD DGEMQDKDGSPGNNPHDGVDDDDEALYDLPQPVTRENNDTCDDDDEMPNVRGLLPTPI QPPTKEREVVHPVQAPMTCSLLHTLGWNMMEARGSSPVVVWSSATGHVVAAAGATLLV ESPNDPRQKTVRHHRTDVLGLSVSPDEGMMASYDVECIGVWNFDRWEDVHVSLLWPKD TVAGLVVWSTDGSHLVGVATSAHNQSTLLLWNVQTKQLVAQSPATIPRTAIRQAVWVL HDSDDMHDNNVLFCTNAPFQAWAVDDSKMLVPVDLLPDSSVTLLQTSPRLPHCVLVYS AMDHTVSFYDLRSHTITGPVSTLHRRHSVRHLHWVHPFLIIATDTAPCVWVYELHLDT LTVSQHTSTTPDDLEIHTVRLDCPIASMSWNDRGQGIVVTASGALWYVEADAHSKQLL RRAHDGPIECVAATATHVITVSRGRMIRLWLTATLDQVAATEVTCAGAISVDVNASGC VVGFQDGSFRVFDLTLTLVYSSSAKSIDRLDQVARPISPPTTSPHRKPSTPSTAPAVR PPLDHVHFLGDSASVLLASTSGLCLLFNIPTKHMQRIALPFGMPHHDSAPPNVLASQT KIDGSLLAVRSGGSSTWLVVWQSSASEKCYVHVFANGTTTQDAWELVGTKREHAASAL FESPSVSSVVVYVSHGAVEGRCYVQRQVLWRVNVHAVPTSMERYGARALLCRSRDTSR TLGERGAVLPLFYLLDVASSTIHMPTPEDVEATSINEATALVVSGYKVVSCRANRLST IELGVS H257_05858 MQSSARLFRSFSTAANPKVFFDISVGGKASGRLVIELRADKVPK TAENFRQLCTGEAGIGKSGKPLHYKGSKFHRIIPNFMAQGGDFTAGNGTGGESIYGEK FKDEAFVLKHQGRGVLSMANAGPNTNGSQFFLTTVDCPWLDKAHVVFGKVVDGLEVLD ILEDQGSSSGATRVPVVIEDCGEVTE H257_05859 MGYMLPLLQRILDGMGSSSINLVATPLTHVVWIDCGYDGRRFQD TSTFKAYVVDIHMTQMMSFALVTMNAWRSRFNMEVQVGAVIVSTANLSQFALGSNGKY GVVYAGTSKYHTLVAIDFPYEHDTPFHDTIADGVLPTNQYQWRLKSTNESLAINGYSG YYRGNQDDQGSFVRYVLGMSGDPVRDFAEEFFSSLGHSKDSWAWVQGLVIAFVCVRTW FRLAVAVNVAFVTWLGRPLGDRWWLPDVFAGRVRHLVVARAVLILVTFAINHFWSLHE WMFTKAFARYKLTPMFSLGDGVRSDFLVLFLTWTEVVASLLNVPMAPIVPIVVYLVCY EYSQVLVAALDSTSMEHAVRAVINDMYIRNLVNFSPTGMNLWTRYRLTGDDPPSWLFA TEFIWFFAPCLGMVGLLLAYKCVLLVVTLPRAVVDADISAVATVPADQLHTIATVPLG FLDHFVSASPMRGLVSVRPMPFQFEATAFQVDKSNLWRAGWVLLDSQFLVCIDDLPRI FLNVVAGATLVKIYCCAVVLDPETRKLFLLPRLVPLYTGDLSLRSLFWLRLDTLWVKK VLVGENLSTSRRMPETYKPDKVTPEAGPRPQDIRPRTNGK H257_05859 MGIPGTIEATKTTRVALCGHSKDSWAWVQGLVIAFVCVRTWFRL AVAVNVAFVTWLGRPLGDRWWLPDVFAGRVRHLVVARAVLILVTFAINHFWSLHEWMF TKAFARYKLTPMFSLGDGVRSDFLVLFLTWTEVVASLLNVPMAPIVPIVVYLVCYEYS QVLVAALDSTSMEHAVRAVINDMYIRNLVNFSPTGMNLWTRYRLTGDDPPSWLFATEF IWFFAPCLGMVGLLLAYKCVLLVVTLPRAVVDADISAVATVPADQLHTIATVPLGFLD HFVSASPMRGLVSVRPMPFQFEATAFQVDKSNLWRAGWVLLDSQFLVCIDDLPRIFLN VVAGATLVKIYCCAVVLDPETRKLFLLPRLVPLYTGDLSLRSLFWLRLDTLWVKKVLV GENLSTSRRMPETYKPDKVTPEAGPRPQDIRPRTNGK H257_05860 MSETSSFYASIPLLPQLKNYGYSPPTTDEGHDGPPSADRAIGVC VNFEDHGGVWQFLSPSLSQRLPLRGIVWKNHLQSNKTIDRLHVNFQQLASSSSGGHVD TTGLVHMFVVKCEDMDTYKAKVKPSLSAWVDRMTLHGHEWLVLYVPLGTQMPSSTSVA RGLGLSALTQTFRDTSKVYRKIFDRMKGDFADNQKSKLDRFCKIDVLDGSSGVPGQQQ QHESQWSEVLIKLKACIMDAFDARCAEYEDQLRVLDGKRALSGWDFSSFLQVKESLAL LYIQATLYDDALRHYDELEAIYASMDHEASTHVRFNPTDAIFTSTPFEIDMTSVRMNI AVNAASVLHVRLYLFCRQVAILLLMENAYVDVCQRGLVLIPQVVALLYTLRISPAMAS QWAVGAALALCVACEHECSRLPSSPALATALGDLLYLARRHAKSLDLCGSSEWYPLRS PHGLDEITQRAAVQYGRAGRVRLASFLAAQRHASWKCADDHEQPSPLLVQLNQYERDQ WWDLMHDTVVRFMKAELQRGNVCDVVDVALRWLKLDAAVDYASSTVRALFLQALAAPS NDPDRWTNGEWSTVFDPSVAVLEATSTTVRLQVSLKNALRVGFCVDDVVVTCEYEGFE DEEDVVDCLSLDAPALMGQHRPAGVLRSSTSDMMDTIDGFTSYLAEGDMRPHDGQAPH PSKTADNDIAVTFGKIALPAQRGATADAMRENVPHGRYTCRQVAVRLADDVVLVVPLE DPVTFTLRPSYSSTMALDVRCPPILSPLTSTQCTVVIRPHDDIIQGGTLAVTIQGDAH VEISVASSTYARPNDSGGGGSVVVPLPSPCQVDTAFEFTLVSTSNKEECSHVVLDVAV HASITTKDGIAHKRTSRHAETHISVTPLVTTTTTTRCIRDTVHCVDVEIQANDKVGVE IDPAQYEWWALDHLHGGDAHDNEGHLVNLLSSDTPRYPGLLIMANPNTSLPVMTLNPS GRCHAAFVVDVTDELPSDTAVYMRLHCKSVWTADDSTVGTLDNPFPPLEMVVVVPIDV NLRLADLVLPRPFQLTTTCADLSTASTRSRWTTFSVDVVAPPSHSSQLWIGLDETSQR CWVCAGPSVQTVTSSSKAAFKLRPLHVGRLSFPVFTLKVRESDNSVSIVAPNLVHQLP EYVQVQVLA H257_05861 MEGTSGRSVSSPLESDRLREAAKNVDTDELESLRRKIIELEREF LLAQVQQQVISDLEATSSLASLTAHLANMNVAESRAVMLTRKKQLWATCHERDLAVRQ IQDTLDQIDVTRQALQHAEADANAVAVANAAARNEWKALQKRNTQKKTVLEMAFNVQI TNEQSCTDLLERQAVAMQEDHEREHALDDQILDLTAQVKQSYEVLEQLRQDATRQAED VHILEQTKKYAHLNEIMQWYIEMQALVTHLTGLRVLRVEASHFDVQVGDFELRMVMDP DSIKLQQVQLTPPTLDIADLVEIAVDENDVPFLLRETQARVVNMAQLQAHLDFLATEG VTCTRNGMNVSLKFGAPDEEMYVEVEVSTEYALDHEWLQVLAVRPANERLMVALNTTV QCRNLVDLIREIVHQLLQ H257_05861 MNVAESRAVMLTRKKQLWATCHERDLAVRQIQDTLDQIDVTRQA LQHAEADANAVAVANAAARNEWKALQKRNTQKKTVLEMAFNVQITNEQSCTDLLERQA VAMQEDHEREHALDDQILDLTAQVKQSYEVLEQLRQDATRQAEDVHILEQTKKYAHLN EIMQWYIEMQALVTHLTGLRVLRVEASHFDVQVGDFELRMVMDPDSIKLQQVQLTPPT LDIADLVEIAVDENDVPFLLRETQARVVNMAQLQAHLDFLATEGVTCTRNGMNVSLKF GAPDEEMYVEVEVSTEYALDHEWLQVLAVRPANERLMVALNTTVQCRNLVDLIREIVH QLLQ H257_05862 MTTTHLADAYAEYEATVQRRAEVTRRRAGRLGRKRQQERQAIEL ENQAAEGAATLAMRGLDAECRAVERIWRASDALKAYELEQFIYTQSAKCRIVATKEHL GEETPGLRGHKRLTVLQKRVDSFNDRVELANVACAHIEQAPAVYALKRNCIAGASKVW WGEKLETAVVAQNCVAVVHMLEKGADPDQESWNHMTPLLCCLVQRRHDLLRRCLELHA NPNFETQDGKTPLLVAIVADDIVAMQILLEPRWKCSPWTETVRGGVTPLLVACEKGRL AAVQLLLAQPSSSIQGLRGNTVHGITPLMQAAKANHLAVARLLLRHNVNPFVHCKADR SAAEYARAFGHVRMERLVARGNEFNLDPSTPPTYEERMESSALDAIHLALDRSLASTS IDGVLEIVRGDGLASPNHESPDGHMAFLVACAKGDLATVQVLMQLCLWSQPNRHGTTG LLEAASHGHLDVVLHVVSQGGDLNYRDLKGRDAFMRMHDGGHHDMLQYLVQYKAKNNM LLPWWQLAPLPQPGAPLKAPSKLSSLQPRVQVNLPAVHPRPICAQCQDRHSSKHCHSC ETSFCDQCYWRFHLDARRRHHEYHVLAPEAAVAAAVVVADPKFDGWHPLHLLLTCQPD RTTNQELHAIRKQKHDLQVQRHVATIEAHAAASIQNASDDHAAALQLAAVHRDLGKFD AATTLLRDMEALGVTWQSRRALAQLHVAEGNIVAAVECYKQAFSARLNEVAVDHQDIQ ALLVEFYSVMDKAQFMNEAVVMATTVCDIARRSLPRRHPFLSLSKVALDHLKQRREEC AMSAEDFSLHPSEQDRKSLDMCTALLRDSAMTDVVSTFCRLNQQTKSLRLWRDMQTLK SVARPSKVATSTAHRIVKTFPTVHCIPSTMYRKVVAALSCAQWPADLAAVLGPCERIL VASLYQSMVVPFLQTQAGQSWMTKHVLSTLR H257_05862 MTTTHLADAYAEYEATVQRRAEVTRRRAGRLGRKRQQERQAIEL ENQAAEGAATLAMRGLDAECRAVERIWRASDALKAYELEQFIYTQSAKCRIVATKEHL GEETPGLRGHKRLTVLQKRVDSFNDRVELANVACAHIEQAPAVYALKRNCIAGASKVW WGEKLETAVVAQNCVAVVHMLEKGADPDQESWNHMTPLLCCLVQRRHDLLRRCLELHA NPNFETQDGKTPLLVAIVADDIVAMQILLEPRWKCSPWTETVRGGVTPLLVACEKGRL AAVQLLLAQPSSSIQGLRGNTVHGITPLMQAAKANHLAVARLLLRHNVNPFVHCKADR SAAEYARAFGHVRMERLVARGNEFNLDPSTPPTYEERMESSALDAIHLALDRSLASTS IDGVLEIVRGDGLASPNHESPDGHMAFLVACAKGDLATVQVLMQLCLWSQPNRHGTTG LLEAASHGHLDVVLHVVSQGGDLNYRDLKGRDAFMRMHDGGHHDMLQYLVQYKAKNNM LLPWWQLAPLPQPGAPLKAPSKLSSLQPRVQVNLPAVHPRPICAQCQDRHSSKHCHSC ETSFCDQCYWRFHLDARRRHHEYHVLAPEAAVAAAVVVADPKFDGWHPLHLLLTCQPD RTTNQELHAIRKQKHDLQVQRHVATIEAHAAASIQNASDDHAAALQLAAVHRDLGKFD AATTLLRDMEALGVTWQSRRALAQLHVAEGNIVAAVECYKQAFSARLNEVAVDHQDIQ ALLVEFYSVMDKAQFMNEAVVMATTVCDIARRSLPRRHPFLSLSKVALDHLKQRREEC AMSAEDFSLHPSEQDRKSLDMCTALLRDSAMTDVVSTFCRLNQQTKSLRLWRDMQTLK SVARPSKVATSTAHRIVKTFPTVHCIPSTMYRKVVAALSCAQWPADLAAVLGPCERIL VASLYQSMVVPFLQTQAGQRCVCAMMFAGWICDSVALTSL H257_05862 MTTTHLADAYAEYEATVQRRAEVTRRRAGRLGRKRQQERQAIEL ENQAAEGAATLAMRGLDAECRAVERIWRASDALKAYELEQFIYTQSAKCRIVATKEHL GEETPGLRGHKRLTVLQKRVDSFNDRVELANVACAHIEQAPAVYALKRNCIAGASKVW WGEKLETAVVAQNCVAVVHMLEKGADPDQESWNHMTPLLCCLVQRRHDLLRRCLELHA NPNFETQDGKTPLLVAIVADDIVAMQILLEPRWKCSPWTETVRGGVTPLLVACEKGRL AAVQLLLAQPSSSIQGLRGNTVHGITPLMQAAKANHLAVARLLLRHNVNPFVHCKADR SAAEYARAFGHVRMERLVARGNEFNLDPSTPPTYEERMESSALDAIHLALDRSLASTS IDGVLEIVRGDGLASPNHESPDGHMAFLVACAKGDLATVQVLMQLCLWSQPNRHGTTG LLEAASHGHLDVVLHVVSQGGDLNYRDLKGRDAFMRMHDGGHHDMLQYLVQYKAKNNM LLPWWQLAPLPQPGAPLKAPSKLSSLQPRVQVNLPAVHPRPICAQCQDRHSSKHCHSC ETSFCDQCYWRFHLDARRRHHEYHVLAPEAAVAAAVVVADPKFDGWHPLHLLLTCQPD RTTNQELHAIRKQKHDLQVQRHVATIEAHAAASIQNASDDHAAALQLAAVHRDLGKFD AATTLLRDMEALGVTWQSRRALAQLHVAEGNIVAAVECYKQAFSARLNEVAVDHQDIQ ALLVEFYSVMDKAQFMNEAVVMATTVCDIARRSLPRRHPFLSLSKVALDHLKQRREEC AMSAEDFSLHPSEQDRFNSHVITARMATVQVPYPPGYVGKAWTCAPPCCATRP H257_05862 MTTTHLADAYAEYEATVQRRAEVTRRRAGRLGRKRQQERQAIEL ENQAAEGAATLAMRGLDAECRAVERIWRASDALKAYELEQFIYTQSAKCRIVATKEHL GEETPGLRGHKRLTVLQKRVDSFNDRVELANVACAHIEQAPAVYALKRNCIAGASKVW WGEKLETAVVAQNCVAVVHMLEKGADPDQESWNHMTPLLCCLVQRRHDLLRRCLELHA NPNFETQDGKTPLLVAIVADDIVAMQILLEPRWKCSPWTETVRGGVTPLLVACEKGRL AAVQLLLAQPSSSIQGLRGNTVHGITPLMQAAKANHLAVARLLLRHNVNPFVHCKADR SAAEYARAFGHVRMERLVARGNEFNLDPSTPPTYEERMESSALDAIHLALDRSLASTS IDGVLEIVRGDGLASPNHESPDGHMAFLVACAKGDLATVQVLMQLCLWSQPNRHGTTG LLEAASHGHLDVVLHVVSQGGDLNYRDLKGRDAFMRMHDGGHHDMLQYLVQYKAKNNM LLPWWQLAPLPQPGAPLKAPSKLSSLQPRVQVNLPAVHPRPICAQCQDRHSSKHCHSC ETSFCDQCYWRFHLDARRRHHEYHVLAPEAAVAAAVVVADPKFDGWHPLHLLLTCQPD RTTNQELHAIRKQKHDLQVQRHVATIEAHAAASIQNASDDHAAALQLAAVHRDLGKFD AATTLLRDMEALGVTWQSRRALAQLHVAEGNIVAAVECYKQAFSARLNEVAVDHQDIQ ALLVEFYSVMDKAQFMNEAVVMATTVCDIARRSLPRRHPFLSLSKVALDHLKQRREEC AMSAEDFSLHPSEQDRFNSHVITARMATVQVPYPPGYVGKAWTCAPPCCATRP H257_05863 MATSELPFRGSAIRAAIVGAMSVLSASTDIDPLAIAQAISNDGR SGSLVQADKPSTKSNGDQSMSDDMCSWSTCDQNVYQFLANPSQLWGFTPCQSCAGPGQ KCENATVCRDDMYLGFDQVQNRSFPPGHPRKSTACALNTQSKKCINAVYQFCCLPGSP GCGNTDVPTCTAGGCAQFVQRGSAPPGSVVIPCPFLNTSATCDAPACKDPWWVQEITA DFLSGKNNCRGPSCSKAQLANM H257_05864 MQHIDECAVGVCKASADAAFADDVCGNQTFSYIHQCPDTSCLDT IVANVNKCVTWGDFVACASDNCFGSPDQGNNTSPSGGSYYGNATDGYYGNQTGGYNGW PNTTGYVGNNGTSYPPNNAGSTNYPGSTGYAGSGSYSGGENSGSSNGNNDGGDFTTIL AQLQNCTTGICMDEAVNATGDMGCGAAEISNLLACTDGLCLDNIVKASTCESFRDYVE CVSTKCFRDDKGGGGNSGSSTGTPDANSCPAHLVSYCTANPDDASCALPCPFNCYLQP NCPCQEDACTATASAPFCVREDGVCSQFKSALAKAKFSHGAFNPSQEWQRQQVAQYLV PPTPYDPTNDTSLSQLWQQCNQAWPQLADNIASCLNTSITYCNKVGWDQACSPGEGAT GVSECGDGFVTFGETCDDGNNVGSDGCSSMCQTEDTSQYSCLEQGKPCFACTRPYVQY GSNGQPLPGQFCTNDRLVIDNETVPQSSPIYYPSCASITSIDDAIDCDVYANTFCANL TLQGTSDPACQPYVNLTRKYTVPTVAQSCEYQVKEIDIGTQGMTSAKIAVLTCKFQDP FGLLSAEDATPIYKFRNPFTSLSKSWKDKITANATVSAIMNTIYGTRNDMFYSLKDLR LTDFFQYAFPEFNMKDGQIPFNQPSLARLTYYLPNATIDPQGQIDEFGNNPNCWASDG YGSMDVIPLPPTTEPTKWAMKAILMRFRYEALNWNDWSKKEFANFNAWDIDGGSVYQD ATLNFGVLLSTSSKDGNGKIQENVQRTCSDWNVPWQDQATSPLCTTIEQALVDGRAFL KFDQQLFQKGCASEQCEYKVDHCRRVPLTKRTLVVDKTRFVESAATVVALASSIDQAK TWEDLVGNTVKVAVEAASESFTDPVSLFWSLVVKGKQTKFLKNVPNYCKFDYYNDTSY STVNPKWKADPCCNWEMRQYLCCTPQDIPNGEIDVVLSTADTEISTHCPGVGAQIRDV VQSTMKALQRADSCSADLDSSTGYDSWKTMSAVSDTCRTTIQKAGSVDCKKDSDCSVC SQSTCQRDKGAKEGAGKCTVPWDDMEGCTLECYQKTMDSELLRYLYDQWDLSVTATVD EKKAKFTEMMSEPTCSGPQAWMPDIGYNGWTWQMNTTCQKEHICDDYDYQNYLQNVAN QQQSGGYYSYSWLDFRNNDTCALYNGTTTCTSFRPDGECYDWGYQCTFDQVRGPCKDV NQCYQTCQAPYSQTGCASLNGSWFTSNGYGQCCPPDAYFNVSGTNSICSYAPPNSPNS WSVNDETCCRAAKGIWWTQVDYYGNSNGQCCFGKMRPYADYLTGAINYQCQQDFWGWD NSDCYDTCNSLQQSCTQCKLDSSTCQGTVHKLANKTACLSYSTCNQGQYQPDECRNHV GDEPFCAQCWGSWCYKQGNPATCTLYQWGTDSCANAGGVWDWRDYRCHINGTQDTLAD PWSCFSPGPAVCPDPHNNSRAYGPGELVPAYSFRDNQCESGCYVPASTADNCTSDKYW SIRWKPQYGNGSGACAIETWQISADDCANEFNGVYVGSTIVYNGGQFSTKDQCDQGIC QGGLSWDGWSRKQCEDTPKFQCSQQCEQCLTWNWPFYAQDSGGCFSTNATYCQGLGLS DVPCSVSQYSSKSACDADADTFWVSCSDFNATTCVNASNPYISKMSCQWGWGQCKTQE ACEAQGECNDWDSMRQQCGDDGWDHGDTCYASWADDVFDSNTNSSVTQWHSAYCSNCQ SVDGVCVIERPIEGCQANMWHSLGCRVDGVHNETACYAFNEDAGWLTKAKTKDACLAL LRCDEPGYYGGNNKNLEECTKCGGTGKPYYTWWGGVWSRPYVQDLKWMANGTQLIPVN QWKPAIADYKVQDQLALPMVRKLANAKKTQALLSYNAFSSSLAVIACACGSSKANASS CFDQISGSIEGITDAFCDSSAPVSAGCSKAVVQKNCSSPSGRRRLADGAPAAGDDKLA ITTTYYSAGPFASALEPFCNATDRMQRNPLAVRNSHGVIVGQLMGDGKGLSATTTFSS ISICLEMSLDIRVVDKRFPTYDVATLVNGTFSPLFLTSFVSLNAQTMCFLAKQSAIYF PITRLAVANLNAITCSQSCVASNGICVYSGATNATSCVCNCGYSGVNCEIGCVNQCSS QGTCTNNTCVCFKGFTGTDCSEYDCPVANGKKCSGNGICNANATCMCNNNFKGDDCSQ AKVTQAKTIVLPKGLAPASTAPKTSATSTKPSTSAAVPGKDVTATTTTKPATFPPAPM LTPAPPLPTISSTCVASKCKTDFDTCMETFDSCACFPGQLLCVQTSCSSEYTGILATC KGLVAQATSCVLDCSPNAYPVKGDTTNAVVMAVVANIAIQGVTAAQFTAAVQDKFKQA IASAVAGVTADKVTIIKVTDVADARRRRLLDAAKTLSMTADGKVKAMHEARRQLAGSH LEIEFSISVSSPDALKATTSSLQAQSGSGGGGAASSLATALVATGVVTDVASVQVKSV KTSVTVATPTTTTATPTPTPTTTPKPADNTTVIAAAAGGGAAAVILLVCLVRYCYKKR AEKSA H257_05865 MEFFGCEGYGRGNEAARSLFEGAVILTPGVSFANVGQLTVDLLV NSLLVQEVDMKLVGHLYSKHVPPMAGSSAFATQSSSGLCLNLAVFLLSHPSSVGHPTA PKVVFVQQRTSINAGQVQAFCDDFAVWASSTCRASQVVVLSGVDNMLRHDSNMQQRRI QWTSTEKSTLLNEAFLHQFQPLAASNDPEVDQAHGIWDTVRGAGVAPKLIDACNSRAI PALALLLHCAEGNNVPDAVFFASCVVQYLWLHTIIQSFRLVLPPSWAQLYGRDPAIAL YA H257_05866 MSTPWTRPPRPPNATSWQRKADVGDDEWVGITWAVRITSVLSAI GCVFILYRYIIRRKAERDITTILVTALAAFELMIAVAKFPALSFLEFKRNRDMPGHFL NSNRPMCQIQAYVLDVFMLQAVLWNGYLAFNLLRVVVYRDSNKALMGRFWKYFFCTTM FTVLWGLSAALPIWPDDRNRLDSLFGYAKFFCWIQETRYIAIRFIPFVVATLLFIVAV TIKVRRVVLARAKHARSVGVMADDDDAVTQIQRRLMLYVLSFLVLYTPITVFRLIAAF QGDTKDSRNRSKIAEGTMPEFGIVAQALVNLQGFVNAIIYGGFLTSASSGERRQSLSS SLPPSVYLEDIRSHASGYYAHGVNSGDCMTIFASTFNMAEGAVPPPDQLDQWIPKGHD IYVIGVQECIDLRTMRHVMASHLQRINGKTYIEYGREIGRTETLLGYHGFIAITVYVA ADDVHAGHFHMHLDATSKVNRGKNLIGLGRASNKGAVGFAFRYFNVTFAVLTCHLASD STGKSKIKKRHHDGASILTNMHLQSIENEFDCHLMAHHTIFMGDLNYRLTALDATPDR ILHMITDVVNNNFRTTSYKRGQVFSHNQLLTPVRSEGSPAPIADAFSNDMDDTYMLTQ SPSALEAAAAAASTSSLPSRHHRHHHVAVLSPLSSVSTAPSAVEVSWRSLLEHDELKA SMDDGTIFHDFDEASIAFPPTYRRVLGQALDARWTASPLTPARVASVYTTVLGDGRIR VPSYTDRILFHSLPGLRDRFACVQYSSAEFIGTSDHKPVSCGFQVYIDKTRQSSCTHP AAVPSSASHDVAPTGIGGGPAPLTTHMTMYLSSLTIHLGPALEKFNTSDGEECDSSDI MCATPAPRLPTGSIASSDVSGASISTASDVAKSVGMSIDGMQVRSVFPLPCEDEFAEE RKLGELADQLVFNANKQRKFKSTTKHSAWASVAVHGLKQSVVLAPRKLLHVALNFILP SGTTVGQCVISLTEASYRNGRKVDFVAALTVGGRRTGELLGKVSLSVQKR H257_05867 MSGKRAWQQKFGVGETERLAIDWSVRSTSILSTLGCAYILVRCT FFQSNRRDVSRLLVMTLAAIQLVVSVVKLPALQFASLQKRFKRGDSDGMLRPYFLVNA TADDSSTSSAVFCQIQAYLLDVFMLQAVLWNACMAYNLLRWVVYRDSEEKLQSRFWVY FFGTSVFCVVWGFTGALPVWSYQNNPQVSSLFGFSRFYCWMKFPDYILYRFVPFVVLT LLFMVAVVIKVRKVVAVRARRCVKDSLHRLVYSYINSISCIRLSMTPSVADPVATKIQ RTLLYYVVGFLCLYTLPTAYRFLEAAMENKDEATSNGWSRGGSGNGSSKSPNSEWTRN ATAHSQGGEHSTFMQVFAVVSEVLVNLQGFVIAVIFHRCSVSRRCKSQSSSSGEGSSL EPDACMDDARSCASKSEAVYMCGASGRIFASTFNMAEGAVPPPEQLERWIPKGHDIYV IGVQECIDLRTMRHVMASHLQRINGKTYIEYGREIGRTETLLGYHGFIAITVYVAADD VHAGHFHMHLDATSKVNRGKNLIGLGRASNKGAVGFAFRYFNVTFAVLTCHLASDSTG KSKIKKRHHDGASILTNMHLQSIENEFDCHLMAHHTIFMGDLNYRLTALDATPDRILH MITDVINTSRRRHESKRVDVCRDMFLASSPSSSQYSMSVPGNPELKDYASEVSSGDTD VYLLTESPSAVLGNGFSMERAAAARGVMTPHHLGHPNVESPYSMASSSAFSPVLQSWQ SLFLHDELKQSMADGVILSDFEEAKIAFPPTYRRVLDQMLDVRQPTTVAQVAALYTTV LGEGRVRVPSYTDRILFHSLPGLRDRFTCVQYTSAEYIGTSDHKPVSCVFDVLVDKAA AVVSPRPVPRRLLAGGHPPHNVVYGVQLTQLNVRWGPTLEDFETSDESDGGNLSNKCA QDSFSSDLSQATTASGTIQPGMIFEGLRVRSVFPLPCEDPFAEERKLVEVADNLLFSS GQGTGTTLKPTWKLNAWPALLQHGLRHSAVLPLRKPLHLAMNFILPSGTTAGQCVVSL TQASHRPSRKLDFVATISVGGRRTGELTGKATLSIDMAP H257_05867 MSGKRAWQQKFGVGETERLAIDWSVRSTSILSTLGCAYILVRCT FFQSNRRDVSRLLVMTLAAIQLVVSVVKLPALQFASLQKRFKRGDSDGMLRPYFLVNA TADDSSTSSAVFCQIQAYLLDVFMLQAVLWNACMAYNLLRWVVYRDSEEKLQSRFWVY FFGTSVFCVVWGFTGALPVWSYQNNPQVSSLFGFSRFYCWMKFPDYILYRFVPFVVLT LLFMVAVVIKVRKVVAVRARRLSMTPSVADPVATKIQRTLLYYVVGFLCLYTLPTAYR FLEAAMENKDEATSNGWSRGGSGNGSSKSPNSEWTRNATAHSQGGEHSTFMQVFAVVS EVLVNLQGFVIAVIFHRCSVSRRCKSQSSSSGEGSSLEPDACMDDARSCASKSEAVYM CGASGRIFASTFNMAEGAVPPPEQLERWIPKGHDIYVIGVQECIDLRTMRHVMASHLQ RINGKTYIEYGREIGRTETLLGYHGFIAITVYVAADDVHAGHFHMHLDATSKVNRGKN LIGLGRASNKGAVGFAFRYFNVTFAVLTCHLASDSTGKSKIKKRHHDGASILTNMHLQ SIENEFDCHLMAHHTIFMGDLNYRLTALDATPDRILHMITDVINTSRRRHESKRVDVC RDMFLASSPSSSQYSMSVPGNPELKDYASEVSSGDTDVYLLTESPSAVLGNGFSMERA AAARGVMTPHHLGHPNVESPYSMASSSAFSPVLQSWQSLFLHDELKQSMADGVILSDF EEAKIAFPPTYRRVLDQMLDVRQPTTVAQVAALYTTVLGEGRVRVPSYTDRILFHSLP GLRDRFTCVQYTSAEYIGTSDHKPVSCVFDVLVDKAAAVVSPRPVPRRLLAGGHPPHN VVYGVQLTQLNVRWGPTLEDFETSDESDGGNLSNKCAQDSFSSDLSQATTASGTIQPG MIFEGLRVRSVFPLPCEDPFAEERKLVEVADNLLFSSGQGTGTTLKPTWKLNAWPALL QHGLRHSAVLPLRKPLHLAMNFILPSGTTAGQCVVSLTQASHRPSRKLDFVATISVGG RRTGELTGKATLSIDMAP H257_05868 MQSEQRNQGARLQPSTGASSNQPTQEAPPGLIDLSNEPTAEDQA IVAHAMKSFKRVTSKLSKGDTRIERLRLGYDPYPNLAELPRSPITRQCKCWLINAGAQ GMLCDTLACANSSEYVVCPPDCTSRHRCANQRFDKHNAEAPHEVFVTQRTGLGVKASE VIPLGAFVMEYMGEVIRGDEFKKRLTQDTVDGKRDFYFLSIRDDYFIDGRLYSNSSRF INHSCQPNCVIEVWKKCGIKRAALYALKEVGRFEELTFDYNWWNTFDASNFECRCGAE RCTRQGV H257_05869 MHMTNQQHSELKSICMPATDLGLTEREWVEWGIRITSGLSALGC IYIIGRYVLGRSRVDRNVTSMLVVALAVFELMIALAKAPATQFIQFDLHSSSTWVKAG VQPPLQASLCQVQGFLIQVFMLQAVLWNNCMAYNLLRWVVYRDTEEALLGRFWLYFFA TFTFSLLWGVGAALPVWTLRSPSPVPLFGFARFFCWLQYPDVSIWGFISFVMLTLAYT VGAMIKIRKVVVDRAKQHCAAPVDADVDAIQRSLFLYVFVFFSLHAPPVLYRVVIYMA EGDESSEGDVAHHCDFDAVNIFGILAQICINLQGFVNALIYGGLIRTPKCHMAHRDDM SEVLSGNVRSIYLEDGGSTASASPTSSDRTSIFASTFNMAEGAVPPPDQLDQWIPKGH DIYVIGVQECIDLRTMRHVMASHLQRINGKTYIEYGREIGRTETLLGYHGFIAITVYV AADDVHAGHFHMHLDATSKVNRGKNLIGLGRASNKGAVGFAFRYFNVTFAVLTCHLAS DSTGKSKIKKRHHDGASILTNMHLQSIENEFDCHLMAHHTIFMGDLNYRLTSLDATPD RILHMITDVVNNNLSDNTIKCGEVFSLDRPMDTAELYRSSVPAAFDIMEEHDRKREGR PTYVLTHTPKAEVAIDDSRSSSTTMHPSDAPPLSTTTTTMSSWRCLMAHDELKQSMDN GDVFAAFEEASIAFPPTYRRVLDRALEVRQVATFDQIADLYTTILSDGRVRVPSYTDR ILYHSLPMLQDRFICVQYTSAEYIGTSDHKPVSCVFDVWGVDKQPPPPPPVATALAIK QEPCASIPLPLNTCMAALKVFTVHLVALDLVWGPPLEKLSDDDWTSDDDGSTSGGRDK ALSWTSSAAPNLADPRGEGRMIRGHRRLRVRSLFPLPCEDEFAEERKLAEVADHFQPH VGAKTRRRPTWKVSEWKTVADQGLRQSAVLPTTRRKHVALSFVLPSGQSAGQCVISLI DASHRMGRMVDFETHVTLGGRRTGVLSGKATLVVDHAPAGE H257_05869 MLPMNVTTRRHCAAPVDADVDAIQRSLFLYVFVFFSLHAPPVLY RVVIYMAEGDESSEGDVAHHCDFDAVNIFGILAQICINLQGFVNALIYGGLIRTPKCH MAHRDDMSEVLSGNVRSIYLEDGGSTASASPTSSDRTSIFASTFNMAEGAVPPPDQLD QWIPKGHDIYVIGVQECIDLRTMRHVMASHLQRINGKTYIEYGREIGRTETLLGYHGF IAITVYVAADDVHAGHFHMHLDATSKVNRGKNLIGLGRASNKGAVGFAFRYFNVTFAV LTCHLASDSTGKSKIKKRHHDGASILTNMHLQSIENEFDCHLMAHHTIFMGDLNYRLT SLDATPDRILHMITDVVNNNLSDNTIKCGEVFSLDRPMDTAELYRSSVPAAFDIMEEH DRKREGRPTYVLTHTPKAEVAIDDSRSSSTTMHPSDAPPLSTTTTTMSSWRCLMAHDE LKQSMDNGDVFAAFEEASIAFPPTYRRVLDRALEVRQVATFDQIADLYTTILSDGRVR VPSYTDRILYHSLPMLQDRFICVQYTSAEYIGTSDHKPVSCVFDVWGVDKQPPPPPPV ATALAIKQEPCASIPLPLNTCMAALKVFTVHLVALDLVWGPPLEKLSDDDWTSDDDGS TSGGRDKALSWTSSAAPNLADPRGEGRMIRGHRRLRVRSLFPLPCEDEFAEERKLAEV ADHFQPHVGAKTRRRPTWKVSEWKTVADQGLRQSAVLPTTRRKHVALSFVLPSGQSAG QCVISLIDASHRMGRMVDFETHVTLGGRRTGVLSGKATLVVDHAPAGE H257_05869 MMAGVVVIYMAEGDESSEGDVAHHCDFDAVNIFGILAQICINLQ GFVNALIYGGLIRTPKCHMAHRDDMSEVLSGNVRSIYLEDGGSTASASPTSSDRTSIF ASTFNMAEGAVPPPDQLDQWIPKGHDIYVIGVQECIDLRTMRHVMASHLQRINGKTYI EYGREIGRTETLLGYHGFIAITVYVAADDVHAGHFHMHLDATSKVNRGKNLIGLGRAS NKGAVGFAFRYFNVTFAVLTCHLASDSTGKSKIKKRHHDGASILTNMHLQSIENEFDC HLMAHHTIFMGDLNYRLTSLDATPDRILHMITDVVNNNLSDNTIKCGEVFSLDRPMDT AELYRSSVPAAFDIMEEHDRKREGRPTYVLTHTPKAEVAIDDSRSSSTTMHPSDAPPL STTTTTMSSWRCLMAHDELKQSMDNGDVFAAFEEASIAFPPTYRRVLDRALEVRQVAT FDQIADLYTTILSDGRVRVPSYTDRILYHSLPMLQDRFICVQYTSAEYIGTSDHKPVS CVFDVWGVDKQPPPPPPVATALAIKQEPCASIPLPLNTCMAALKVFTVHLVALDLVWG PPLEKLSDDDWTSDDDGSTSGGRDKALSWTSSAAPNLADPRGEGRMIRGHRRLRVRSL FPLPCEDEFAEERKLAEVADHFQPHVGAKTRRRPTWKVSEWKTVADQGLRQSAVLPTT RRKHVALSFVLPSGQSAGQCVISLIDASHRMGRMVDFETHVTLGGRRTGVLSGKATLV VDHAPAGE H257_05870 MGDENDDACTPQVTGTAPMTPKLSRTQSDMSGLGSVQRRRVLTH SSKRALFSSPESALDSDSKRARSLTDESGRRRKPTAFQEAIADSESNSFKENELPPAL VPSVMINRSLSSPGPSTPLHAYTPLLPLIKSSKHPDLNVISPSTVEKLLDGTYNDVLH TFHLIDCRFQHEFLGGTLRGARSLALPQHVEAAFFQPQALRASTRTALILFCEFSAER APKMARHIRNLDRRIHADVYPTLHYPEVYVIDGGYKHCFESVNHDVCLSPAAAYVPMN HPDHVDACKMELSGLRSSWKQLRSTPFSKRHANKWC H257_05870 MGDENDDACTPQVTGTAPMTPKLSRTQSDMSGLGSVQRRRVLTH SSKRALFSSPESALDSDSKRARSLTDESGRRRKPTAFQEAIADSESNSFKENELPPAL VPSVMINRSLSSPGPSTPLHAYTPLLPLIKSSKHPDLNVISPSTVEKLLDGTYNDVLH TFHLIDCRFQHEFLGGTLRGARSLALPQHVEAAFFQPQALRASTRTALILFCEFSAER APKMCVHPFPGLS H257_05871 MASSFNFYSLVSAVKDSSSKALSTLSSDLKEFTATVQGDVVDAA KEVKKKVEATLAERSEQAASKDEADGDNGNSTDQLAATSSASASVAASIFAFGSRLES VGATLLLSADEFLGGWTGDLPPRVTPPEELSSGRRFRLVALQEASDTYVDVPPDFETF QTWKRNLPQDDDMQLLQSEVLVHYPVVQDKLEALVPGTVDADTFWSHYLYKASLLAAQ EERRALLLEKVGGNDDEEIAWDVDSPTHEGSAAAAGSCFESSMADEEEKEETKAAMDD TRDRDEEAAAVDVGTAQDVPQDLSTRHPAPPSPEDGWVDVPDKDETAVEAPSEAAAVA ADDDMGVDWGDDDDDESTLPLTAATGAPDEWGQWE H257_05872 MTRVDDANDDDELVYESTYFDIALPHGWTRVAHNSGLFCFLHEP SGVVAWSQPYVVQVIDRNGRTTLDDMVQAHRPPIEIFAAGCGMRQPDLNRPVKLAQAE SILRRLNHAAQAPTTHQQDQRPAAVPDARTHNEPSRPPQSADAPASRKRRLPDDDDDV TSSDIAPPPPAANYERVVVGDVTMDNPAGKTAMVFLTEYLAAAGRAGPQFVQSASTGA LVDAFHAVLTLMRLDPTLPFRCGVVLDGAEYSHGIAVTKALARQVASENALEALLPGY WAGLKKEGAVRDVVSNVPQTPATDWAKPISTATTMDDFETMSIDDPGVLQGCMELSFK TPAQLLMEFQTKHKGIAVNYTTVPVPGGSGGDRNKFRVTASAGPSAAEGVASNKKLAK QFAAQALLAQLNPRVATYIELIRVHENSVKSHADGAHRAKMQKFSGKYGAKHATIPAT TSGSAAPSILPTPPSSILPTPPSTTPAGGSLLERSSARTSHGQGYSRGPSNPSGYNSA STTSNVADPRRDSYDSNHGYGGYSTMPQQVSA H257_05873 MGITTIKLLHKEAQDMRRVKVSDLLHTIGKTTTSSEHAFSFDEL KAYALDHAFPDLKNSDVFFYYLDDENEQVRITNEAELKEGVRLMETEGSIFKLVISGT RQVVDQDVATGIVSFDSECSMALFVDLSRLLDKWDASPEQLQMKKDMSALLHEAGVQT ALIDMMADPKFSVSFENVSALIKTGGSILHGVAAMFAAGKVQEIAGVLMDTCPHVKDL LENIMHALQVHVHAHTNLSSSPSPEQVDTPLPPLPDDPFIVHRGLEFVTGQFFTDISS LTNDQAGEVMFNCGVSGDVLRPLRRDLRIRWIAETILKLQQVNQALKDAKQDDKEINT HGIMDADDVDKKPSAAFVGDVSFPDGSTIRAGDTFVKTWRLKNDGKTRWPAKSRLVCV GGDHLEVGDNFVSVPIVPPGKMIDVSVDMKAPTKSARYTGYWRLCTADGKRYGQRLWV DILVVGKPDLPPTPSAAASPAKPAAVGAHASNEVHLPAPSAAVLKRPVAPVSIPAPAP IVVAPVVSRYQDQLDTLASMGFSDAALNLTLLEEVDGDVAAALNQLLQ H257_05873 MGITTIKLLHKEAQDMRRVKVSDLLHTIGKTTTSSEHAFSFDEL KAYALDHAFPDLKNSDVFFYYLDDENEQVRITNEAELKEGVRLMETEGSIFKLVISGT RQVVDQDVATGIVSFDSECSMALFVDLSRLLDKWDASPEQLQMKKDMSALLHEAGVQT ALIDMMADPKFSVSFENVSALIKTGGSILHGVAAMFAAGKVQEIAGVLMDTCPHVKDL LENIMHALQVHVHAHTNLSSSPSPEQVDTPLPPLPDDPFIVHRGLEFVTGQFFTDISS LTNDQAGEVMFNCGVSGDVLRPLRRDLRIRWIAETILKLQQVNQALKDAKQDDKEINT HGIMDADDVDKKPSAAFVGDVSFPDGSTIRAGDTFVKTWRLKNDGKTVGFCWLICVFP VF H257_05874 MEEPAPKKPKVTHDEVDGVAKVPPQELVSFVVPAHNASSTIDDC LASICNITYRPLEVAVYDDASTDDTFDKLQAWVPKCAAAGVTLHAIEKKKDTPGGAGY AKNRAAEVCTGAYFAFLDSDDMALPHRVDVQLAMAKNDPSVIVGANFTRIPEGSTWHY TAWANALTDEQLVLQQYRECTIIMPTWFMAKARFEFVGGFDEAKTSATIPEDLIFFLK HLELGGTLKKSNDTLVIYRHSSGSVCSKLPRRVLLRTRLESFERRVLSQWPTFTIWGC GRDGKAVFNELSSASKRKVVAFCDVNEKKIGTKHHDQVTRINIPIIHFRDAVPPIVCC VSMGRTDGELEANVRSLNLVHGVNFWHFI H257_05874 MEEPAPKKPKVTHDEVDGVAKVPPQELVSFVVPAHNASSTIDDC LASICNITYRPLEVAVYDDASTDDTFDKLQAWVPKCAAAGVTLHAIEKKKDTPGGAGY AKNRAAEVCTGAYFAFLDSDDMALPHRVDVQLAMAKNDPSVIVGANFTRIPEGSTWHY TAWANALTDEQLVLQQYRECTIIMPTWFMAKARFEFVGGFDEAKTSATIPEDLIFFLK HLELGGTLKKSNDTLVIYRSTKHVAHVVAHVVERKRRHSSGSVCSKLPRRVLLRTRLE SFERRVLSQWPTFTIWGCGRDGKAVFNELSSASKRKVVAFCDVNEKKIGTKHHDQVTR INIPIIHFRDAVPPIVCCVSMGRTDGELEANVRSLNLVHGVNFWHFI H257_05875 MATSRRHVMVLLAMCLLVLLHVKDATGQKIKTGGLTQPASHTPS ATPPLDSPEMLAGVAANDKPSTELKASLRSGQDVHTSSFDVATSIVTTRVPSLLFDDP LDDGDQFEFDDIDLDDEAAADTADGDSGRTAWCTDIGLTFELDFHSAFADLRGFGHNF NQRLTSDLDDAYSILFRDLSPSQFAAGKPFVVFSACSPSTSATPTNTSWLTAFRLSFR PFLETQGTTLLQASSGQAGALSDLVVYEAPLDDESVGAAKVVVWPTAATISLVPADSN GVPTIQLALRVQNTGALPVRVFQVVCHDVRGFRDTVLSISPTFVVAPTSDKLLSLNGP LVPSSFSRKDEHAEAPMRLHVVQSSGAFIDVGVVATVVHMPSASHNTNGKHDDDDVVN EEWLHIGTTAAVPRGASVRHSAKDHSSPLDTSSSQKTRMDTSSPSADVRPIRIVGSDA ATAASNEELPLSAFPSSSWKAASTVLLVGVFGYTAFFVYGKLRHRGGRSSIGFKQQKT AAARGHPRHAKDKECEMMLRTSNDDEPPSSPRDDRPSKASAPPPIQLNQSWGRLPPPS TTAAPPLQPQAMRPVVEVAAYQLQLDATVRLHPKRFESLWEESVERKAWTQSADDSGM LPPTGVVIQVLESQGILCMASGSVAKVEKYLFYAFEVAAGQFVFVEMVANPVSLEIAT SVRCHRDVAATAVDAVAALVHALVEAMLDSMRTRRSGGGGA H257_05875 MATSRRHVMVLLAMCLLVLLHVKDATGQKIKTGGLTQPASHTPS ATPPLDSPEMLAGVAANDKPSTELKASLRSGQDVHTSSFDVATSIVTTRVPSLLFDDP LDDGDQFEFDDIDLDDEAAADTADGDSGRTAWCTDIGLTFELDFHSAFADLRGFGHNF NQRLTSDLDDAYSILFRDLSPSQFAAGKPFVVFSACSPSTSATPTNTSWLTAFRLSFR PFLETQGTTLLQASSGQAGALSDLVVYEAPLDDESVGAAKVVVWPTAATISLVPADSN GVPTIQLALRVQNTGALPVRVFQVVCHDVRGFRDTVLSISPTFVVAPTSDKLLSLNGP LVPSSFSRKDEHAEAPMRLHVVQSSGAFIDVGVVATVVHMPSASHNTNGKHDDDDVVN EEWLHIGTTAAVPRGASVRHSAKDHSSPLDTSSSQKTRMDTSSPSADVRPIRIVGSDA ATAASNEELPLSAFPSSSWKAASTVLLVGVFGYTAFFVYGKLRHRGGRSSIGFKQQKT AAARGHPRHAKDKECEMMLRTSNDDEPPSSPRDDRPSKASAPPPIQLNQSWGRLPPPS TTAAPPLQPQAMRPVVEVAAYQLQLDATVRLHPKRFESLWEESVERKAWTQSADDSGM LPPTGVVIQVLESQGILCMASGSVAKVEKYLFYAFEVLVPV H257_05875 MATSRRHVMVLLAMCLLVLLHVKDATGQKIKTGGLTQPASHTPS ATPPLDSPEMLAGVAANDKPSTELKASLRSGQDVHTSSFDVATSIVTTRVPSLLFDDP LDDGDQFEFDDIDLDDEAAADTADGDSGRTAWCTDIGLTFELDFHSAFADLRGFGHNF NQRLTSDLDDAYSILFRDLSPSQFAAGKPFVVFSACSPSTSATPTNTSWLTAFRLSFR PFLETQGTTLLQASSGQAGALSDLVVYEAPLDDESVGAAKVVVWPTAATISLVPADSN GVPTIQLALRVQNTGALPVRVFQVVCHDVRGFRDTVLSISPTFVVAPTSDKLLSLNGP LVPSSFSRKDEHAEAPMRLHVVQSSGAFIDVGVVATVVHMPSASHNTNGKHDDDDVVN EEWLHIGTTAAVPRGASVRHSAKDHSSPLDTSSSQKTRMDTSSPSADVRPIRIVGSDA ATAASNEELPLSAFPSSSWKAASTVLLVGVFGYTAFFVYGKLRHRGGRSSIGFKQQKT AAARGHPRHAKDKECEMMLRTSNDDEPPSSPRDDRPSKASAPPPIQLNQSWGRLPPPS TTAAPPLQPQAMRPVVEVAAYQLQLDATVRLHPKRFESLWEESVERYVS H257_05876 MQTSSSTQQSTDGDDRGRAIVQTLSVVLEGMVHPADSIPVGYLR RTKFEAFRAPQISILDYLVRIHTYASCSPECFVLALVYIDRLHQMQGFVMTDLNVHRV IITSIVLAAKFFDDHYFNNAYYAKVGGVPCTEMNELEVEFLLLTNFTLHVSTDTYTRY YNELANHYMFSAQGSADIKHFVKPDASGLLVYVTEDVSAILDQDMCGDGAVASTASCS SLGSSVGSSSGGSSFGGRTNCKKRSRSTTSGQKRRSVVAPLGVNA H257_05877 MLRVTSKLRQMSTRSTTASGSTVYESDRAAHEYLQFHFGDSSEV VPYSFTPADALQFLPRCVQDSVTHLPKEHRRQRALEVGCAVGRSSFELARHFDEVVGI DFSHHFIEVANGIKATGSAEYEALIQGDVKQTRLAKVAADIDRSKVTFTQGDACNLDT TALGSFDLVFASNLLCRLPQPKQFLDALPSLVRPNGLLALISPYSWLEEYTPKEHWIG GTVLADGTAVDSFAEIQRLLAPHFTLVDRTQYPFLIREHDRKFQYGVSDGTFWRRV H257_05878 MSIFLQSALLVLLMSVATSQRQNDNMIVDTQPPILNLLEGNKPC DYPTVALVFLPYLADIQNCTTDSKYPLVPPVSYPTAEQTQALCMQPTCTTALNSLVAL DLPNCTVAVPNSAPVSLDFMIRRVVASCQPPTTTTIEPVSVPVTTATSDDTATAAPLA TTTSWAAGHWLPTTTVVASVVLFGWV H257_05880 MSIFLQSALLVLLMSVATSQRQNDNMIVDTQPPMLNLLEGNKPC DYPTVALVFLPYLADIQNCTTDSKYPLVPPVSYPTAEQTQALCTQPTCTTALNSLVAL DLPNCTVAVPNSAPVSLDFMIRRVVASCQPPTTTTIEPVSVPVTTATSDDTATAAPLA TTTSWAAGHWLPTTTVVASVVLFGWV H257_05879 MLRHAQAVATTSQLTRRWGVSWGGARSRFSNWSFVSGMVSLPHP EKKQGEDSAFCTPTALGVADGVGGWARKGIDSGAYSRMLMAACESSTLTNPKDRLTEA YRATNVPGSSTACVVHLNGTSLSTINVGDSGFLMCRQQGDNKWSLLYASPSQCHYFNC PFQLGMGSRDTPEHGDVQTLPAQPNDLVLVATDGLFDNLSTPHLLELLNLISAESLVQ GHDAVQAMAFMATTVGDVAHDVAKSHTRLTPFALAARQAGYKDTAGGKMDDITVVAAL VQE H257_05881 MSLTDEIKLASQLTATTSEIPFDLSDAIEKRDPTSLSDAISANY VNDETDDEHQWGQTILFLEEALAIAKHKHEAAARSKGADISNSALVTLNKVPSIVPKG KALDIVFGEADLVIQGKDFNISTPYTNIDVVLKLPQYEAVAKLTVHTYQFVLRLKTPL THRKNRLSYLSFVIGSFAQQSDANVVFHGDEAKEDFADKKLHQVAEMLLQSLTSTTVI KTYPELGGKRYVSTTGAPFVKCYRRTTPGILFFLPQGLCFVNPPVFLSRQEIDSISWS RETSEALRTFDVTVEMVDGQRYEFSMLEKEEIPSITEFVGFFKTLRDEDDGIAPEDND DDDDEVAPKEEEEEETKDDDSEDENGDENEPPKKKAKTGATKGKLSIKSKKQPAKAKP VQVDDDDEDADSNYEQDDDDDGESEEDEWAGSESCSDQAMDSDDDDGGNNDAGSDDDS D H257_05882 MAATGDVRYQGMLWLACSFLVILFLDSIQEVTLLQKIVLVVWCF LGKVYLHDLNAVAVTPAKSPYPLHSPRRRSSQKGPSKQQVKASPIAAPMPLSMSKSVS LGSLNTLNPPPDDHPTTIKRSQTSSSLTPLNPEPMSMLEHLQEPFSPRTDSETGSSPK SDGDFLVGDDDASRLDTFKSMLNLADSVGLPYTDEYLLSVMDVPGRALQYAADKLNRI IAWRNEYKAHTITPDEVASQFQNCSMYWFGYDFHNRPILWLRPKKKDYANMDNDLEIR ANVYILELAIKRFMPPGVTCFTLILDCKDVGYREVDISLTKNLVQVTTGNYPDRIGCI GVGPLTMLVKTLTRIFSPLLPPRLRDKARFMDNPLATLSEVMPLDVIPTFMGGTNPHF LNADDEAGRFDYDFMVSEMQRRMRAIVMTGEPTPPL H257_05883 MDDGESFESDDDAVACASHVPVDAAPREVEGGDEYGSDKFEVES SEEEYADESFEPPPSPLREPREVNPHATSQPSSTPVITIVGTCDDRPTNVEELSEKSS SAIHDPTSQHTAAACTMNLQSFVASKMQKLSTEPCCSGDTLNASTSQSILVPMSLLHR LQMEPLAPQPISRRQATTSMCIPAAIIDRVRIQTLLSTMSSLSAAVGAADDDNDVLPH HRSLFTFVARHISRLQDRRWAAQLDALEAKTRQAHDTLGWIAHHMQRHRAAYT H257_05884 MKDGEHDTYVNTSNLFIGIGICLIGSTISNFGLNIQKYSFMLQQ HLPDHERKPYNTQWRWWLGFAGVGIGSVADFAALSFAAQSIIMPIGAFTLVCNIFFAH YWLKEKLTRNDLIGTVLICIGAVVVTIYGSHENVEYTLEILIHLYYRWDMLIYLIVII AVVWVLIGMLKRAELVLKKKGPASLEYKAVLKLHPLTYAGLAGVFGAQSVMFAKSSGE LLKQTLRGDNQFDKFLTYVIIVALVITISLETHCLSLGLKYFDALYIVPVFQCFFITF SVIGGAVYFEEFKDFTMTQWIVFPIGVLITIAGVIVLSSRDMAHDAGAPAEPAAPPPP PSTDTVGEFNLQTSRVDLQRRNSGSFTNSFRVLEPEFCPAANPAQLLSRSSSVGGVFY STQSVVMSSRTNSTDFLAMQDAGTEMTDISRPPVALMLPAAALSSATATHGISGDDVS VKVVADVSTGTNTTSEFSVAEVGAVPPPHQ H257_05885 MGTVLSGQEYDLVTGIAMCLVGTTISNFGLNIQKYSFMLQERQP EHDRKPYNTQWRWWLGLFGVAVGSIADFAALTYAAQSVIAPVGAFTLVANIFFAHYWL RERLGRNDLIGTMLICIGAIMVTVFGSHSSTSHTLDELLALYYRWDMLVYACAVCAVL IGLFTALVRSEDALRVHGNLSDEYKTFRKIHPLAYSGLAGVWGAQSVMFAKSTGELIK QTAHGLNQFDKVPSYIILACLAGTITMQTHLLSLGLKSFDALYIVPVFTCFFITFSVL GGAVYFEEFKSFGLMQWLGFPCGVVVTICGVAVLSKRDMNRRDVRQPLLPKLGQVGYS QQLG H257_05885 MGTVLSGQEYDLVTGIAMCLVGTTISNFGLNIQKYSFMLQERQP EHDRKPYNTQWRWWLGLFGVAVGSIADFAALTYAAQSVIAPVGAFTLVANIFFAHYWL RERLGRNDLIGTMLICIGAIMVTVFGSHSSTSHTLDELLALYYRWDMLVYACAVCAVL IGLFTALVRSEDALRVHGNLSDEYKTFRKIHPLAYSGLAGVWGAQSVMFAKSTGELIK QTAHGLNQFDKVPSYIILACLAGTITMQVTHAKRICFPWA H257_05886 MVYDLSSGGMQTCGCAVGRPCTCGYLLRRVVNEGVLTVTEEDEL LEVAPGVVLRLKKEYEDVPGTRLFRRMHQYRLSLRDAIAEFSSAITIANSHRKVVSFE LLDVAHRRKRLVEGTTPTSTGSGKPVTKKELQDIQYMVSAYSDLTTHLKGRPEGFAPS TIPVSIHVLGPHLDLLHAQLTATIQKAKADLTGCKTFVHNYAMSRIQSIVRGRRVRAH HTASLVTTWFGGELAAAVLLQCFSRTVRARWRVAECRRRHQHRICMLATIHMQRTVRG YLGRKVAATKRVSQRMVQESHAVVTLQCWVRCLVAFRLAKVRRNLRDAARARVRRQEA ALNVQRVYRGHVGRVKARQAKIQRSLSDPVRNLVAEFQATGDLWAFVQAVDKDYRQFD RDRQAEEDNATTFVTKVLRERMLHQERSLLAWHASRAMASPVVAHQRRLDSAYESPLP SVSSSSSPGVLTSPAGSSTQSGGGVVAPHSLFELTTSDDAIHMHQIAPETIDLPDKYP PHVIRHAMAQGYAVDEIIAALRGLEAQGKSTRNVALVLRELKRRTPLMLNAFKSERVV RQQRHKSPPKHHPLRHQPPSPLTASRSFDQASSPMSSTPSSSLSPSSDTFEIVDMTVV TTYLLQMLPDGLDEPMAKITFAAAMLVYIPPLVDVNGGATTGSTSPPWTSSPNSHFAA YVNAPSALLQIRREQLVTAAIAPFATIFKTHEVVHGADLDRISTPLTQLQTWGVPVGV AHSLVHVLKCCRRRQQHIDPRYIRQQTATSPARRSVQKESPGAPATPRGSTADTVAYD VSSRASTAVVSSTPPTPPEGSTSESDEDLHRRQFAEMKTRIEATALTPLGMDSSLFDL FFQALFVVLPTEADLSNNHELNAATSLDARHLDTFVYQLLDPALSMHASHRLLKRRSQ RTATMARAYSNACKAANCHSVKDIVNRSLEPFHVPDVLAEQVRVCIGKVLFPPCHRDV DKHERAKSPKQLAKLMPLHVRMDSPLLRSRGKMPGMEELPTILHLVDDL H257_05887 MEKATCRMDELLARICVGDAVSLSDMEGEGLKHVFMEVMELLRV EEQVCGGGNSRFLRLLRAQQAILAGFPHDKRAPFMEDALSMLLRKTQAKSPALDDVVL DFIYQLLVHLQIPGNDTDASPTLLRQSKCIVGCILSILGANLERLVAQSSTPPPLVVT AMACLGLCGIPICHIVHFAKWKAFRAEWMAMVLPTASIESCPSDSDEDDHDDDDGALI LQADIDRHTNQMVRTLLPDPFGLKYMGDLPAWSDAGLAVVAHWLAVVPSLRSQTNAMS PANLIAIEPLAPHIETLLHHTAVAIKRGGLTMLTAILQASNPHVATPSSSSCLLSSNE KVPPPINATGLDQYARRHLDDNLQSLNSLFQAVLSAMVMLPDAADRAHALASWQRLVD MVAPTLRFDLLAQLARLCPFPNCIAIIIDRIRSEVATTHWIDSTVRSKLAPFLTDMLR PRPDQEFVRYSDAIVSALSLARFVLLRDKASGGGFNTWQQRKQVSRNLDGSSMSQATR RRCVVRQTMSPQSSWT H257_05888 MTASIVTSVEAAAQVDVDLRIKHSWMLTYPHIPLYVHDVWMDVV HRKGMEKWRVVQCMSSSHKSFVLVGILSLQALTARTSLPRRDADPNDLDRATWTAVIC HADHFPFDMREVAHVRSSIALSCDLDAQLPRHLVLAITTQSPSSTSYYTLHDSLVV H257_05889 MSKKTKATAATAEKTFQYQDELPPLPLPSLEQTIDAYIKSCEPM LSASELEHTKGVCHDFLHGVGPQLQAILEERAGSEKNWIEEWWETFGYMKPRYPSAIN INWYGVVPGNWGPREMSQSEAAAIFTVALLQYRKEFLAEAIPPEKMMGKPLCMFQYTR FFNSCVIPGEDCDEIQVYDHNQRHIVILRNNCMWVLDVLDEQGNALSVPELVNAFEAI RAEATGLFDLERYPPVSVLTSENRTNWAKARAYLISLDACNKESLEIIEKALFVVALD ETSPANSEQVAQNCLLGDGRNRWYDKPVVLVVHENARTGINGQHAWADALVVVRIFAY CAKYVNDNFKQFFAHKTVMGPPKHTPRRLKWKIDNNALTAIECASAAISKLIQASDLS TLLFQHYGHAFLKRYKLSPDYFIQQAIQLAYYKMYKELPAVYETAHTRLFYHGRTETV RSLTNESLAFVKTMESTAEASVKWEVLQTALKRHGQVLKNSLLAQGVDRHLMGLQIVS EMSGISPRPSLFTDKAFELTKRYRISTSNISGTAGASPIWGGFSAMYNDGYGVCYALQ PDRINFSITAYHTDPTTSAATFKRHLEAALLDMVELCLSRNVIYVGQSNL H257_05890 MGQGQSGLPGSKKGDKKDEPPKKKKFEPRGGAITRKRKKKKGPS AATRIPAVFPTAKCKLRLLKLERIKDFLLMEEEFIKNQEVMKPKQEKDEEERSKVDDL RGTPMGVGTLEEMIDDNHAIVSSSVGPEYYVSVMSFVNQDLLEAGCSVLLHNKVMAVV GILSNDTDPMVSVMKVDKAPLESYADIGGLDQQIQEIKEAVELPLTHPELYEGIGIKP PKGVILYGEPGTGKTLLAKAVANQTSATFLRVVGSELIQKYLGDGPKLVREMFRVADD HAPSIVFIDEIDAVGSKRYDSSSGGTREIQRTMLELLNQLDGFDERGDVKVIMATNSI ESLDPALIRPGRIDRKIEFPLPDVKTKRRIFSIHTSRMSLAEDVDLEEFIMSKDELSG ADIKAVCTESGLLALRERRMRVTQTDFRKAKEKALYKKKANIPEGLYL H257_05891 MDRYGNHLGGGGGHGQHNQHPGQHPGHHGGNQQHGHHSMNGSNG GLNMNGLPQLNMGGMPPMSNGYDLGGYDQGNSYMNQTSYLNVPPPAMHSQSGGSGMQS LSSSAAHSPMPAATNNAPKAAKAKPAVAASRKRKAPSETQSIPDAPDSPTSSKGGDEN SSDPKARRRAQIAKAARKHRQRQKDELVALRDKVKDLKEQIEVLQSSEPSEHDTEIGW KQEAEQHAEIRARVDQENEFLRKTLMEQMKFIQRLQDYFTKQPLLNAPSLNKMLTSSP SMGMSAPPAPALMPPPTMPSSFFQLPTVSFRETLQKLADEGMQMCTDSIQYGEQLFRA PLVPNMTYLGMTVQYEVAKDAINVFSRLTIPHVNFVGAADKIWDLYSSRQFHLDFPLT ESFEVLDAIDNDTKYTRSILNLTLPNPDKNGNSKVKSERLHIVKRRNVGNAVYIASRS VNDDPSWPPTPSYVRRNMNVGIMLRDTVDEGRPATECMWCIKVIMDQYTDTSVVANSQ DTILRNLFEVTPAFFKAMVEKVQL H257_05892 MPTVGVKRDELFAAIGQTFTDEEFDHLCFEFGIELDDITSERQI KQKEQGVNAAIGADDTVIYKIDVPANRYDLLCVEGIARALRVFMKKELPPVFQVVAAK NHAVHRLTVKHGHGLETSRPYVVSCVLRGVTFTQPRYDSFIDLQDKLHQNICRRRTLV AIGTHDLDTIEGPFTYEAQVPTDISFVPLSQSREFNAKELLDHYRTSPDAKHLKPYTD IIYDLPAYPVIRDSNGTVLSLPPIINSEHSKIKLSTRNVFVECTATDITKANVVLNTV IAMFSQYCDVPFTVEPVEITYDRDGHREVTPDLSNRQVVTKVDDIHSMLFGKRPVIDL DVQRICDLCVKMQLAATYLPAIHSIEVQVPPTRSDILHAVDVMEDVGIAYGFDNIPMT FPPTLTVGGGQPLNNLGDHLRNEISRAGYLELLTHGLCSHDENFKLLNRPDDGTSAVV LSNPATIEFEVVRTTMIPGVLKTVQNNKGLSFKDGLKLFEISDVVVLDPAGSDVGAAN IRRLCALYTGQTDGFEVIHGLVDRVMQLVGVPCVLDPHHSPTNYYAIVPSANPTFFEG RCADIVWHCDGAATKLGTFGVLHPQVLHNYELLYPASVVEMDIQPLV H257_05892 MPTVGVKRDELFAAIGQTFTDEEFDHLCFEFGIELDDITSERQI KQKEQGVNAAIGADDTVIYKIDVPANRYDLLCVEGIARALRVFMKKELPPVFQVVAAK NHAVHRLTVKHGHGLETSRPYVVSCVLRGVTFTQPRYDSFIDLQDKLHQNICRRRTLV AIGTHDLDTIEGPFTYEAQVPTDISFVPLSQSREFNAKELLDHYRTSPDAKHLKPYTD IIYDLPAYPVIRDSNGTVLSLPPIINSEHSKIKLSTRNVFVECTATDITKANVVLNTV IAMFSQYCDVPFTVEPVEITYDRDGHREVTPDLSNRQVVTKVDDIHSMLFGKRPVIDL DVQRICDLCVKMQLAATYLPAIHSIEVQVPPTRSDILHAVDVMEDVGIAYGFDNIPMT FPPTLTVGGGQPLNNLGDHLRNEISRAGYLELLTHGLCSHDENFKLLNRPDDGTSAVV LSNPATIEFEVVRTTMIPGVLKTVQNNKGLSFKDGLKLFEISDVVVLDPAGSDVGAAN IRRLCALYTGQTDGFEVIHGLVDRVMQLVGVPCVLDPHHSPTNYYAIVPSASTWLFL H257_05893 MSEKAKKGGGESWVQGDALDRELASLKQTISLCNPIFQGHVNDW QSTSQVNQAIVDTEKALFAAPAKPSRASKKVKLVELDRSFNTTPLAKDKDIAILLAQA HGPPAASSGGIGSRGNNPDDGRGVDVYVPSAAAASKPVPKAKRMHMDGILHGLPVASN YKLTTTTTDHFAYNAEMSTMNRDKDTSHFRKRDSYSEYVEARARFSKMHSVT H257_05894 MEHEANWGLEGDGVVENLFYYLWCKDEFGGGPSLLIPDTVVYKF TQPAFWYFTSTSGKVKKKVKASLTNVQIEKEFCRKSCGVDIVAYYIYMLNDAPTIEYF NADGLTMIRAIWTPKMCLLERKTNARRLHDLRYGLYERAVTFDGADAYSNPDPVRGSI LPGDIQYLCEQIVDHVMEVSFHKHRISRMVLHLKTDSDDNVWLLWSSSVRLAHAATLC DLIKPLDINADAHVPSFVHLNVMPDGKPKSKIKQFTTCRSCGASIDASVGSTVTYKAI LEHFRQLLHALKVDMLRRPTAAVLWPPDDSIIHAAGGVGFGILRDTAPHLPSSSSSQH GAPRRPNVSKPITETDVTIPPMLRSLHPHLTLDDFGRFSHDPVFLYKTATVCTDCFLV FADYSTSALEVNTLRQEAPAVLRPQRDIPPLKQGGLVKSTSTLPNSAWMPQKGGKSPS SIVAAMPKRQYTFKPPPSLPQRIDHTMLDEVERQMPSELLRSSASAPTIRSSPPPPNQ TTNPHHPVTAAAYVPEAWRQQPTMVPNPLLSANALTTMAKEDSFFADLSASSMTLAQH HPLRHMVDSASKLTTSTAAASISSLSEATGPPRKAKTVRNPYTVVQTLRGDGTGGVAA SSAQIDRKKPHKKSTVARLSRKSSAASMTFQRLVPTDEERVTSLKHREFLLQSLHEIQ QQMATPDTLADVLGDAWTSDRRQQSARTPVFDEEATTYSTNSNDDEYACSTEDLQEKN HRSNAIKDDQNDDERRAYGGSARREIEADLATPAMLLRQPPLQDDHSGGVLQSELDPA AMVLTGRSATSRPQDVDDGTDTRHLTPPMGGSSPPTPSTEEPSLTSGLGDDLILPLST ESSTSQTFHDEVNGTSDSTPVDMSSTEPDGALELAISTQQLPFTGDSDALPDGLVSER HSVASDDMAMSASSTPAAAADSSGHDDMDEGGATYL H257_05894 MEHEANWGLEGDGVVENLFYYLWCKDEFGGGPSLLIPDTVVYKF TQPAFWYFTSTSGKVKKKVKASLTNVQIEKEFCRKSCGVDIVAYYIYMLNDAPTIEYF NADGLRDFLYARQKIHNGVLQRFVVPKGSCNSMIRAIWTPKMCLLERKTNARRLHDLR YGLYERAVTFDGADAYSNPDPVRGSILPGDIQYLCEQIVDHVMEVSFHKHRISRMVLH LKTDSDDNVWLLWSSSVRLAHAATLCDLIKPLDINADAHVPSFVHLNVMPDGKPKSKI KQFTTCRSCGASIDASVGSTVTYKAILEHFRQLLHALKVDMLRRPTAAVLWPPDDSII HAAGGVGFGILRDTAPHLPSSSSSQHGAPRRPNVSKPITETDVTIPPMLRSLHPHLTL DDFGRFSHDPVFLYKTATVCTDCFLVFADYSTSALEVNTLRQEAPAVLRPQRDIPPLK QGGLVKSTSTLPNSAWMPQKGGKSPSSIVAAMPKRQYTFKPPPSLPQRIDHTMLDEVE RQMPSELLRSSASAPTIRSSPPPPNQTTNPHHPVTAAAYVPEAWRQQPTMVPNPLLSA NALTTMAKEDSFFADLSASSMTLAQHHPLRHMVDSASKLTTSTAAASISSLSEATGPP RKAKTVRNPYTVVQTLRGDGTGGVAASSAQIDRKKPHKKSTVARLSRKSSAASMTFQR LVPTDEERVTSLKHREFLLQSLHEIQQQMATPDTLADVLGDAWTSDRRQQSARTPVFD EEATTYSTNSNDDEYACSTEDLQEKNHRSNAIKDDQNDDERRAYGGSARREIEADLAT PAMLLRQPPLQDDHSGGVLQSELDPAAMVLTGRSATSRPQDVDDGTDTRHLTPPMGGS SPPTPSTEEPSLTSGLGDDLILPLSTESSTSQTFHDEVNGTSDSTPVDMSSTEPDGAL ELAISTQQLPFTGDSDALPDGLVSERHSVASDDMAMSASSTPAAAADSSGHDDMDEGG ATYL H257_05894 MSGHAGDFLYARQKIHNGVLQRFVVPKGSCNSMIRAIWTPKMCL LERKTNARRLHDLRYGLYERAVTFDGADAYSNPDPVRGSILPGDIQYLCEQIVDHVME VSFHKHRISRMVLHLKTDSDDNVWLLWSSSVRLAHAATLCDLIKPLDINADAHVPSFV HLNVMPDGKPKSKIKQFTTCRSCGASIDASVGSTVTYKAILEHFRQLLHALKVDMLRR PTAAVLWPPDDSIIHAAGGVGFGILRDTAPHLPSSSSSQHGAPRRPNVSKPITETDVT IPPMLRSLHPHLTLDDFGRFSHDPVFLYKTATVCTDCFLVFADYSTSALEVNTLRQEA PAVLRPQRDIPPLKQGGLVKSTSTLPNSAWMPQKGGKSPSSIVAAMPKRQYTFKPPPS LPQRIDHTMLDEVERQMPSELLRSSASAPTIRSSPPPPNQTTNPHHPVTAAAYVPEAW RQQPTMVPNPLLSANALTTMAKEDSFFADLSASSMTLAQHHPLRHMVDSASKLTTSTA AASISSLSEATGPPRKAKTVRNPYTVVQTLRGDGTGGVAASSAQIDRKKPHKKSTVAR LSRKSSAASMTFQRLVPTDEERVTSLKHREFLLQSLHEIQQQMATPDTLADVLGDAWT SDRRQQSARTPVFDEEATTYSTNSNDDEYACSTEDLQEKNHRSNAIKDDQNDDERRAY GGSARREIEADLATPAMLLRQPPLQDDHSGGVLQSELDPAAMVLTGRSATSRPQDVDD GTDTRHLTPPMGGSSPPTPSTEEPSLTSGLGDDLILPLSTESSTSQTFHDEVNGTSDS TPVDMSSTEPDGALELAISTQQLPFTGDSDALPDGLVSERHSVASDDMAMSASSTPAA AADSSGHDDMDEGGATYL H257_05895 MGNQLCGCCRPGPALDEDLNGLLSPRNEYVPPTTCTVQRTVLRV SHPASPRQPDGNVKHHREGTNSTPRNKIEEPRDEEVTSSSSTEVVPSAGEDRVHSPKQ QHKQIHNRHHHAADKEEKVGEGHEHVHHERHHRIRSHHDSEGGGGSGRSLDSSNSSLL DSPTPSTDGDGWTTAMSPSSKKKSKRKAKYGRANYRADSTKRNVD H257_05896 MERIQAAIKRQGKAAASSSSGGRRLLQWNDHEWSVYQKTPPFGG LVENIVPIRPPLSTLYEVHYDSSFKGATPTDLMEGLIEQAKRGKVFNVATVIDASGNG VYYHERGEWNDWDVEYVKIPADTDNDAVHDAASATEIVPSKSFVNKFLQSVQQHFAGD RCDENIAVFGARGYNTSAFLIVCYLVELKGLSLNDALEAYKKTNPVGIYSTACLEVLY KRYYSTLKPQGLRIRRPDPPSWDPVAADDSPEAIGADILTDDDKAQPFVRSQSAAIAP PKPAASYPPPPSSMPSTASHHHPSYKPAAPPVFHMPPPVAKKPLTKKRKIRTWEDDVE PFPFGDAVPVDSAEHERLVAVVAELTGGLSDGFPGCEATSLTKIHIRDPTRRAPGSLT KEYLVTWRARGTRCLILALKDANYLVSRAQTFTKINVKFPRKRALHENTDKTLVDGVL VLDRDGGAVVRRFLAFDLIAWEGASVYKSKLEKRLQCLQNEIILPRKTDKTLEGADES FRVRMKDHFRLDKTEHLLRNFIPKVTHDVEGLIFTPKQATYGVGGFEADEPVFKFVTD ASMMMMGGLDGSLTEGQLLQYIQHIPK H257_05897 MGYCFEEAAFEADDFHAATFLEECQSRSPIVQVHHDLEKLMQSL ENQLVAIIDKDYAEFLQLSVKLKGVNSAVLSLRSPLLQILERVHAVKTAAHALVQKAE VHVAELTELQTQKANLQLSITIAEKFHAVESLLGITPAGNTTSDACDAASAMFTSTEQ SIRLERSARLVLELGSLLAQGLDIPSILAEEPRLAHVERTLHHRLEAELATEIVPDSF YAREHTINTANVSHLLRAYVLLEDASTAESMVARLVMQPFLDETMTRGRLDGKHRGSC EGLSGMYASVLTFVERTLGGVLALAVCQGGDATNSVDLLGQSVWTPVLDTMRSKLGEV FTPANPDRFHHNFTTSMSFVASLEQLCLSPGAALRFRSTHVQPFRDSWNLVVYMQLRQ NELNQVLAASKATPRPVVDSTFAFPVTTATWHVLVKTWADGVVLAPLVAASARYSLTV LSQYMAYWRDPLESAVALVANASKTTATLFADVHHPGLTSCDDVYCLGSDLHRLGMHV TRDLVRVLASRVPLEAISADEDHDANEFALKLVEEHVFELARMERSCWDTVAVLVSDE CKKVLPAVRTIKGQYQMTNKPMPTTPSTYVATVTRPLDEFLAKWREDVGTHPLASDVL STTMDSYASAALDLLKSATELEESLKSRKNQRLMMQTSSALVDDAVSDTDKMRRQLFL DMQELARIASDFGVDITQFASYQRMRQDVASNDV H257_05899 MSSDESTTTAAPISALHSNIRDKGTNAYYYAHKKRSGADDHQWD GQCAPRLIATSESSIAVSTDRPITAYAWSDGKKSATVYIDVPAIGTHPDDLITLDWSA RSVDVRIREFDPSGADLVFKIKYLYEEVMAVTLKKKDDKLVLRLTKAKELTWYTLKKD HP H257_05898 MASSHGESPADAKVSIELTKYAVPLNQGTASPASSRPNGATQAH AVVNPLFAQQAPTEKRLTSFPQPGMVSNVEELFSDGSRHPASTARPSPTAHVLPSVPG LPLTVPKAAAATSPPATTQNWMPDKVCKVCSDCGESFNIFRRRHHCRACGGIFCHSCS PYAAEAIEQGVKTHVRNCKRCHQRTTLTNQNVTEVAADFPPGLMSPLVSQTVFDYGNI AGFDLELDVGTLSDGVADAATVRTNSLYQHLSRRPSFELASALKAIHDAEEHLDKSSS SMLEIVDEDGEGGRSSSIGYPDEYHHHRYPDCCHSPPPLSSTKSKASSARPSFTDGTI DGHQWPLSDHTPPFDPATPLEAHQMASRRQIRKLLARALEDTMGTLLPDAADRRAVLG ELERLVEDTTALLVRATYSRNAVDGMFNHQDLLHVKTIAEVPDDDTAPTSSSLVHGQV IHGIVCRKNVSHKKAPRWLEHPRVLLLGGSIVTDRESAKLTKFEDLINDEAAYAQQLV DKILSLNPTVVFVEHSVSRLAQDQLQQHNIALVLKVKGTTLQRLARLTRATMVPSIDA MRPEDTSVLGTSCRSFAVVPTKVVDPTKEAGGWKRDSILIVDGCDASAGCTILLKGPN KAVLRALKALVLQVAPQAYDLMLRAQALSDLAYPVPSLDENENAWAVQVIKYMLKHRD EVHKPKFSQCSRPQPHKVAFYSKHDKALGSYLQKEGIDSSTKCQVPNCKVPLIEHLEA YSFLNGTVLISTERMPDLARSEIERTEGQHAADTQPPPTPQPPPSHGTCVDDDAKGPT IFFWRHCLECSRMVTPPRLLPVSTLKFSFARFLETIFRVPGPQATSCLLRPRVGSSTS PAAEAAPVEGNDAVGAPTEDDVGCPHDGQTQHLLYFKCGKRAIRVEYMQHEPWYIQHD NCLHFDQRWYVDHQRQQATALKAAVADHFAALLRKIKALPAAAASRDVMCLELLVRTS QTGYLADLTLLEGLGEVLAESGGATFVVDANSVRRAFYHSCCEWSTMLHKITATMSAN ATPEDTSDATTSMNGEVEGPVPTPARVPSVVGELEGGVSTLEAELELPPLSITVKEPT MGAESPVSWTTALSNSLGAILLGDKKDEPMADRHHDVPEAFAGGHPFLPAGAHHRVVF VYDHLRFSYVAYALNSTEYAAEVAVQGGIAPQSSVTWQHQAGVEDRLKSAVDTTVKLK FAASDVDFMCQVHYAVQFEALRTLFYGDTVDFIKSLATSEHWSAKGGKSGATFFRTAD DRFVIKYISQVELQSFLASAVSYFAYIAKVHFDGVDSVLSKLVGVFTVTTKRWTEHLV VMENVFSKATTQIDHVYDLKGSTRNRYLDPPHDVLLDGNFLERHLGLPCPLHCRSYDK LVEAIRNDVQFLSDNNIMDYSLVIGYAPEVPVNVDDHDGTNHDVTTNRVLLVGIIDYL RHFDFLKRMESVGKSVTMIAGQAAPTVVQPKQYAKRFVDALEQTYFMPVPSFTEQVYK A H257_05900 MPRQAMMDVPGGGTDANEKFIQTLMVITSVLSMTGCVFIITSYM WKQYTHDKRDFTAKMVLVMSIIDFLDAGFKLFGTLGYTRPWLCNVQGFVMNAAGVSGV TWLACMAFTWYRWIVRRDDDQRLHRWFRTFCVVSFVPSAVESLYLVAADKYGPAGFYC WIGDAYGTSRIYFFFTWVFAAAAGIMTLAFLVGLDVYKRQKSQDNQEAARASSLIFSK LSAYVGIFVVVWGPCIVNRLVQFFRGESIYELFVVHISFNNSQGLLNAIVYGGVVQAV RRLVFGVDTRGSGTRMTNNCSELSTSFIRDDVPGVTISVFATTFNLGEGNAPDDMAQW IPLGHDVYVIGVQECLHLTELRAAVKGFLERCTHTTFAEYSRDIGSKNTVLGYHGFIG IAVFVPETDVDNGNFYMPTPSTSEVNCGKTLNFRTSNKGGVGFAFRYLDTSIAVVSCH LSSDSKGKSNMERRNEDATLIWQSLHLSGDAMGVEFPSLHHHTIVMGDLNYRLTRFHA SPHEVVDLVAHALSQKKWPLQQRLSAQSPPDSSGMTILSSFPPVPQLPLAGSPSSVSS SHHHLSSTPPDRRTRFHRRTVGAWTPVLKHDELLMCMEDGLAFAGFDEAVITFPPTFR RLRHTSLLTSCDVASAYSLEAANGGGPRVPSYTDRILYHSLADVEGDLECTEYRCHES IDVSDHKPVSCVFHITTKAHRFPLPSPPPPHALDGTAMVEEDDRVRDVVLEVPDDGDR HWLDRASMTGVQGVRECIIVLNQLRWMPTKKPSDVRRGSSDDILSQKWDDPKQYADIE LCTLFPLPLEDIFAEQRKLHQLAASWRMGLVGGSSADGRSKYLNHMRVPWMSVREKGV VHRCFAQAKRHMHIALDIRGPTMSLGQCALSLDAAFVKLNVHMPFEEALSTGGIKAGV LKGRVMFCMV H257_05900 MPRQAMMDVPGGGTDANEKFIQTLMVITSVLSMTGCVFIITSYM WKQYTHDKRDFTAKMVLVMSIIDFLDAGFKLFGTLGYTRPWLCNVQGFVMNAAGVSGV TWLACMAFTWYRWIVRRDDDQRLHRWFRTFCVVSFVPSAVESLYLVAADKYGPAGFYC WIGDAYGTSRIYFFFTWVFAAAAGIMTLAFLVGLDVYKRQKSQDNQEAARASSLIFSK LSAYVGIFVVVWGPCIVNRLVQFFRGESIYELFVVHISFNNSQGLLNAIVYGGVVQAV RRLVFGVDTRGSGTRMTNNCSELSTSFIRDDVPGVTISVFATTFNLGEGNAPDDMAQW IPLGHDVYVIGVQECLHLTELRAAVKGFLERCTHTTFAEYSRDIGSKNTVLGYHGFIG IAVFVPETDVDNGNFYMPTPSTSEVNCGKTLNFRTSNKGGVGFAFRYLDTSIAVVSCH LSSDSKGKSNMERRNEDATLIWQSLHLSGDAMGVEFPSLHHHTIVMGDLNYRLTRFHA SPHEVVDLVAHALSQKKWPLQQRLSAQSPPDSSGMTILSSFPPVPQLPLAGSPSSVSS SHHHLSSTPPDRRTRFHRRTVGAWTPVLKHDELLMCMEDGLAFAGFDEAVITFPPTFR RLRHTSLLTSCDVASAYSLEAANGGGPRVPSYTDRILYHSLADVEGDLECTEYRCHES IDVSDHKPVSCVFHITTKAHRFPLPSPPPPHALDGTAMVEEDDRVRDVVLEVPDDGDR HWLDRASMTGVQGVRECIIVLNQLRWMPTKKPSDVRRGSSDDILSQKWDDPKQYADIE LCTLFPLPLEDIFAEQRKVTQLSWMACERRAS H257_05901 MFELWVWLVASSIIGGSISASDIQDTANATAITTNDTTVVSSFA GGLNASEWELTFEEHFDRLDLAKWHVHHDCSTHRDCAHNDEKQVYLRDQAYVQNGHLV LEASNLTHVSEASGTRRYRSAKLDTAHSFSQRYGKFEARIQLPRGAGMWPAFWLMPKG GRCWPMDGEIDIVEYVGQTPQTIYGACNANLHDDPAVCGPTGASQNDDSLSYAFHEYS VVWTPTSIQWFMDGQEFYRLPPKSGCRKPASFFLPSKPFYIILNFAVGGTWPGDPTAA TTFPQRMVVDYVKVYQRRLEASDINVTAETIQFPL H257_05902 MLFGPQVVGNPAATATTTQTKKAALSSSTSIDEGGIDKKKKKRS KAAKKSNDVDASSTTSASTSRRDRSKKKLARPLNVSPHVDMSCMYATVWKGLKYLRKT TTGTLHKPICVTGVDGFLAAWIVEKLLVKGYKVRGTVQNKNDDISKLYDLPSAKKHLT IVETSLLTAQSCDLAVDGCDFVIHTGTPTSCSVRDPFSEKQEPGVYSISSRVHCIVPM MNNFITACVRAKVKRVVLTSSIAAMADSVDAQSQVTDLSWNVTSSLDRNPHFLSLKLA EEAAWQLVKNESMELVTINPGMLIGPSLCNSKISPGTQVIYDLIVGHYSALVDLNFAM ADVRDCAAAHVLALEHMDARGRYICVHRTVWLREMVQILSRNGNSGRALPLQVVLPHW VANFGYALQLGQVGVSLYAEPDATSSGPPASPYSHDKLLDVFKFNDQTAFRSVDDTVV DSAKDLLKWHLIKPWHEDHSVTACVCCGTPFGVLFRKHHCRECGRIVCNACSLSRAVV EGFDDKQRFCDGCVLSAVPALLAGLADPHRDVAVHCKAVVVLESLMENQKNHELLARG GGIPILLQALHATDDIVAVNAAGALHKLSQRLPTALQLVLEGTVLHMLEMEEGSAAWR VCLLALKNFWGYVARRDFKTMLIASVRVTPDTASGTFRGNVMLSLVHLLDPSEWLKLI PEGLIPLLLDLLHDDSEYAHRTVAHAIKHMLPTSYRPTIDVDVPSLRETYDRDKEAID VWFVVGAHKIAANRVVLSVQNPFFKRLLGTFPKHVEVSDVNNHTFSLLIHFLYTEEVA IDLHNAVDLLVAAALYHVPELQKRVERFLVDEITAHNAMGLWAVAHKCLATDLETACV AFILRNICAVAATEGFTAHHRSRVTTDVVAALCRVLGPPWSVSFNAMAPKDLRGLAAL ADVATLPDDDGKSANDVHSEWSSVTDELAEGIC H257_05902 MLFGPQVVGNPAATATTTQTKKAALSSSTSIDEGGIDKKKKKRS KAAKKSNDVDASSTTSASTSRRDRSKKKLARPLNVSPHVDMSCMYATVWKGLKYLRKT TTGTLHKPICVTGVDGFLAAWIVEKLLVKGYKVRGTVQNKNDDISKLYDLPSAKKHLT IVETSLLTAQSCDLAVDGCDFVIHTGTPTSCSVRDPFSEKQEPGVYSISSRVHCIVPM MNNFITACVRAKVKRVVLTSSIAAMADSVDAQSQVTDLSWNVTSSLDRNPHFLSLKLA EEAAWQLVKNESMELVTINPGMLIGPSLCNSKISPGTQVIYDLIVGHYSALVDLNFAM ADVRDCAAAHVLALEHMDARGRYICVHRTVWLREMVQILSRNGNSGRALPLQVVLPHW VANFGYALQLGQVGVSLYAEPDATSSGPPASPYSHDKLLDVFKFNDQTAFRSVDDTVV DSAKDLLKWHLIKPWHEDHSVTACVCCGTPFGVLFRKHHCRECGRIVCNACSLSRAVV EGFDDKQRFCDGCVLSAVPALLAGLADPHRDVAVHCKAVVVLESLMENQKNHELLARG GGIPILLQALHATDDIVAVNAAGALHKLSQRLPTALQLVLEGTVLHMLEMEEGSAAWR VCLLALKNFWGYVARRDFKTMLIASVRVTPDTASGTFRGNVMLSLVHLLDPSEWLKLI PEGLIPLLLDLLHDDSEYAHRTVAHAIKHMLPTSYRPTIDVDVPSLRETYDRDKEAID VWFVVGAHKIAANRVVLSVQNPFFKRLVRCPLLLYIYIYNDTNRCIYIGVVGDVSKTR RSVGRQQPHVFASDSLFVHGRSGDRLAQCRRPARGGGAVPRPRAPKARRALPRRRNHG PQRDGPVGRGPQVPRHGPRDCVRGVHPAQHLRGGRHGGLHRPPPVAGHDGRGRGAVPR LGASVVGFFQRDGAQGPARSGGARRRGDLAR H257_05903 MLFGPQHGDAASPSTAFRVEQKKRDQFVDGNGGATVGRKRRSKN NSSTSPTTGMPFDSNNTIKLASRAKDQQPQRRVPGGNGVTIAEESTMYLSVWNALQFK VVESSSTNASSVTHPYLHASRMKMPICVTGIDGFLGAWIVTELLQRGYKVRGTVQSRN DDVSRLVDLPRASTQLMVVETSLLTPESCDLAVEGCEYVIHTGTPSSCAVRDPLSEAK EPGVHPVSSRMHCIVPVMTNFIKACVRARIKKIVLSSSVAALSDHVDASDVVNDLCWN MVSSMERNPHFLGLKLAEEKAWQLVEQEPKLNMVTICSGALMGPSVCTPRTIPSGNQI VYDLITGHYNAIVDLNWALTDVRDCALAHVLALEHPDARGRYICVNRTVWLREIVDML RSNGYSGRALPFQVGLPNWVARLSSFALQLGQVGVSLYGDDADATKPSPYLSDRLVDV LGLSFRDVKTTVVDSAGDLLQWKFIKPWGEDREATMCACCDAPFNFLRRRHHCRECGV VVCGDCSQSRAVVQGLEHPARLCDACVQSSIPALLELMHAPMTCHKAIKALESLMVNP SNHELITRCSGVPILLKALHEQDDEISFHAAGTLLALSEDTASALQMVLEGAVLHMLE MDQTTDTWRVCLSALRNIWKQLNRDDFRQMLHSVSRVSADAADGPLKANILITFVHMM EPREVTSLLKEGLLDVLFVMLKSAHAFDRCAAAHAVLRVIPVDYDPPTEIQVPPYTVD DHEELLTLSTLSDIQFLVKGHIAPINAHKIVLFVRNAYFKNMFGSSASATPTKRVIEI DNCTYNVFSMILRFLYTGKLVIDDVSAQDLLRAAAFYQVVELRVRIEKFLADRIAVCN VVELLCLSNECNADGLRRACLPFLMLNIHAVVKLPSFAAHREWAGQEILLALALELGD DWYAAYQTMVTHPRHQPAMASSLQNLTSHSSSSHHHHHPPLSFSSYPPPSSSLLPRQT VVSPLPSRRLIPPPSPLGKASQRGRLLSEESLAEGIC H257_05903 MLFGPQHGDAASPSTAFRVEQKKRDQFVDGNGGATVGRKRRSKN NSSTSPTTGMPFDSNNTIKLASRAKDQQPQRRVPGGNGVTIAEESTMYLSVWNALQFK VVESSSTNASSVTHPYLHASRMKMPICVTGIDGFLGAWIVTELLQRGYKVRGTVQSRN DDVSRLVDLPRASTQLMVVETSLLTPESCDLAVEGCEYVIHTGTPSSCAVRDPLSEAK EPGVHPVSSRMHCIVPVMTNFIKACVRARIKKIVLSSSVAALSDHVDASDVVNDLCWN MVSSMERNPHFLGLKLAEEKAWQLVEQEPKLNMVTICSGALMGPSVCTPRTIPSGNQI VYDLITGHYNAIVDLNWALTDVRDCALAHVLALEHPDARGRYICVNRTVWLREIVDML RSNGYSGRALPFQVGLPNWVARLSSFALQLGQVGVSLYGDDADATKPSPYLSDRLVDV LGLSFRDVKTTVVDSAGDLLQWKFIKPWGEDREATMCACCDAPFNFLRRRHHCRECGV VVCGDCSQSRAVVQGLEHPARLCDACVQSSIPALLELMHAPMTCHKAIKALESLMVNP SNHELITRCSGVPILLKALHEQDDEISFHAAGTLLALSEDTASALQMVLEGAVLHMLE MDQTTDTWRVCLSALRNIWKQLNRDDFRQMLHSVSRVSADAADGPLKANILITFVHMM EPREVTSLLKEGLLDVLFVMLKSAHAFDRCAAAHAVLRVIPVDYDPPTEIQVPPYTVD DHEELLTLSTLSDIQFLVKGHIAPINAHKIVLFVRNAYFKNMVLHLDIYLPVIER H257_05903 MLFGPQHGDAASPSTAFRVEQKKRDQFVDGNGGATVGRKRRSKN NSSTSPTTGMPFDSNNTIKLASRAKDQQPQRRVPGGNGVTIAEESTMYLSVWNALQFK VVESSSTNASSVTHPYLHASRMKMPICVTGIDGFLGAWIVTELLQRGYKVRGTVQSRN DDVSRLVDLPRASTQLMVVETSLLTPESCDLAVEGCEYVIHTGTPSSCAVRDPLSEAK EPGVHPVSSRMHCIVPVMTNFIKACVRARIKKIVLSSSVAALSDHVDASDVVNDLCWN MVSSMERNPHFLGLKLAEEKAWQLVEQEPKLNMVTICSGALMGPSVCTPRTIPSGNQI VYDLITGHYNAIVDLNWALTDVRDCALAHVLALEHPDARGRYICVNRTVWLREIVDML RSNGYSGRALPFQVGLPNWVARLSSFALQLGQVGVSLYGDDADATKPSPYLSDRLVDV LGLSFRDVKTTVVDSAGDLLQWKFIKPWGEDREATMCACCDAPFNFLRRRHHCRECGV VVCGDCSQSRAVVQGLEHPARLCDACVQSSIPALLELMHAPMTCHKAIKALESLMVNP SNHELITRCSGVPILLKALHEQDDEISFHAAGTLLALSEDTASALQMVLEGAVLHMLE MDQTTDTWRVCLSALRNIWKQLNRDDFRQMLHSVSRVSADAADGNHALYIYIYIYIYI GGLICRPAQGQHFDYVCAHDGAAGSDESAQGRASGRAICHAQERPCVRPMRRRSRRPP RDPRRLRPPDRDPSAAVHGGRPRGAADAVDAVGHPVPRQGAHCPHQRAQDCAVCAQRV L H257_05903 MLFGPQHGDAASPSTAFRVEQKKRDQFVDGNGGATVGRKRRSKN NSSTSPTTGMPFDSNNTIKLASRAKDQQPQRRVPGGNGVTIAEESTMYLSVWNALQFK VVESSSTNASSVTHPYLHASRMKMPICVTGIDGFLGAWIVTELLQRGYKVRGTVQSRN DDVSRLVDLPRASTQLMVVETSLLTPESCDLAVEGCEYVIHTGTPSSCAVRDPLSEAK EPGVHPVSSRMHCIVPVMTNFIKACVRARIKKIVLSSSVAALSDHVDASDVVNDLCWN MVSSMERNPHFLGLKLAEEKAWQLVEQEPKLNMVTICSGALMGPSVCTPRTIPSGNQI VYDLITGHYNAIVDLNWALTDVRDCALAHVLALEHPDARGRYICVNRTVWLREIVDML RSNGYSGRALPFQVGLPNWVARLSSFALQLGQVGVSLYGDDADATKPSPYLSDRLVDV LGLSFRDVKTTVVDSAGDLLQWKFIKPWGEDREATMCACCDAPFNFLRRRHHCRECGV VVCGDCSQSRAVVQGLEHPARLCDACVQSSIPALLELMHAPMTCHKAIKALESLMVNP SNHELITRCSGVPILLKALHEQDDEISFHAAGTLLALSEDTASALQMVLEGAVLHMLE MDQTTDTWRVCLSALRNIWKQLNRDDFRQMLHSVSRVSADAADGNHALYIYIYIYIYI GGLICRPAQGQHFDYVCAHDGAAGSDESAQGRASGRAICHAQERPCVRPMRRRSRRPP RDPRRLRPPDRDPSAAVHGGRPRGAADAVDAVGHPVPRQGAHCPHQRAQDCAVCAQRV L H257_05904 MAATYVTHEIRDNFFHAQRSVAANKMCFDCERRSPMWATVSFGT FMCLDCSGYHRRMGVHVSFVRSTDMDEWTEEQLLLMQLGGNSEARKFFKQHGVSDMMN IDEKYKSKAATLYKAQLSKKVQAAKFDSSPFAAATATKGKPTDEFGLDNLAKLVGTGE VPTIANAYTARSVAAAVSVPDTIAPPAAPGQLQIRPTVTLGGDSSGPSSSAAGGLGGG STITSKLSSRKAGGAGGARKATRLGATKVKSNFDDFDSIPFENAKPAVAAAAAAPSSN LSKQIDDDEALARAMQQADEEALGRSFSQHASVQQQQHTTATSTESLNKYKNSKSISS DNFFAAEHGSVDHDKIRQFSGSQSISSDMYYGDGRARSASSEASDQAAYQLEQLKSQV SEKAQQLKSMTSTFFTDLQSRYS H257_05904 MAATYVTHEIRDNFFHAQRSVAANKMCFDCERRSPMWATVSFGT FMCLDCSGYHRRMGVHVSFVRSTDMDEWTEEQLLLMQLGGNSEARKFFKQHGVSDMMN IDEKYKSKAATLYKAQLSKKVQAAKFDSSPFAAATATKGKPTDEFGLDNLAKLVGTGE VPTIANAYTARSVAAAVSVPDTIAPPAAPGQLQIRPTVTLGGDSSGPSSSAAGGLGGG STITSKLSSRKAGGAGGARKATRLGATKVKSNFDDFDSIPFENAKPAVAAAAAAPSSN LSKQIDDDEALARAMQQADEEALGRSFSQHASVQQQQHTTATSTESLNKYKNSKSISS DNFFAAEHGSVDHDKIRQFSGSQSISSDMYYGDGRARSASSEGIYIWTMRLELSMTIR MRWMDGSE H257_05905 MKLLYVAVVALLGLSAVEVSAKDDKECEVCIKVIDTLKAQYTDL LKESKGKPKLDVAEVALEKMCTKFKSSPKEKKLCYFLEPMKKDAARQVTFNKDTLKIC QSLTKKNPEFCSIRFPIKTEAGADYSKLRVKELKKILSERGVSCNGCVEKSDFVKKLQ DTEHIEL H257_05906 MHFVRHRFGRRRRKFHMHVGVSRRGLRMFFQRWFGGGTWGGRDH PSRLRIRQEQDDAKADGVADPNAKPNQRRHGLVQAYEIVGPKPFVECRVSNPRQARKQ CRPQDHELEARALGEVFIEGKLDEQHANIRQVHNVRSPLGGLGRVVEETPVHESYKQA RRHGHVEERVEGPVGMVRIVGSDPPPHLVDDGRAKVDRLEGKVPVCVVHEVEPVGRMH LNAPHHDEEATRRDTEQHKPPVRCAERHLEVMGRVAGMLVDPIRTDDAN H257_05907 MATNPNLWKEILCGALLGIVGVACMYRIVAYPVEPGRHNVQIAF NPSNVWLLSFRCATFVFFVVVWILQVQSSNWFELVYYTYWNFTLQTIYFGAAIVDQVR RWSRPPTLTDRYYANRPLNTLFDVVFASLILVALVYWIFIFNTAKHIEWPTYVVHLVN VVLVVVEFAFNEHLAQRTSLKYVVLWPAIFASVAWIGHATYTRGFWPYSIMSLDNPYA PLVWLGIGVAHVVCFGFVLLLSYFKARWVGGEQPPRLLARQDMHLHA H257_05908 MVELVVWQSVVIALMLAAGFGVSAFRLFTIPRVTYRVQVPLPSK SIPLLVFRIATFSFFVVVLLLRLAESNLDDLFYYTYWNFTIQIIYLGWAIVYQVQIWF QPSPTHANGALNAAFDVVLTSCAVVCTVYWLVLYNPAHVTPWTTYVVHGVNLGLLSVE FAFNEHLVQRYNLKFVVMWPALYGSVTWITMATGLNDLWPYALLDVTQPLAPLKWFGI VAGHAVFFGLVLLLSSLKFRVVGETTAAVDSKTDENLKIQVVPTSLV H257_05909 MLARAFSGLRLGVARQSVGLGSVSHAAGFASHKKNDDDDDDDES ASKEDDGWFDEWGPRPEYDPDNWFGFKGDLDEVTEDGTATWIQAVRNMADIVTERDLL IKRKRQEELAKKKIIRVVEVDEFGRAYGTGRRKTSSARVWVKRNENDGHGTIRVNKMD LVDYFARDAHRHDILLPFLEIDHLGNFDVYCTVKGGGLSGQAGAIRHGISRALEKFNP DFRPALKGAGFLTRDSRMVESKKTGRKKARKSFQWVKR H257_05910 MASRFWGGSSSESGSDSESAGSEVEDVKIQANRWDVDSESESDD DVRVVVSAKDKALQALQLAVDAINRFLKIHDWSKIQGEFDAMAKQMDNAKAKQAIAQH GLPKYYIRCMAQLEDEIVDKLKNKSDKKLSKENSKALIRMKGKIKKHNESIAKELEAY RKDPSAFESEEEESDDSDDDEESDDSDDDEDSDDDEDDESNDDEEDDEDESESDEFAG SDDSSSDSSSDDDDKAFGELKGRARWLKKVPTANESAKKLRVKPTRGPKEIKEREVRK TVVEEDLKLGKKGFDNKIKEVIALRGKRGTDLGEQLSTLRKLVNYARRLGPAREVVAT MHMVGTQMFDTSSKIDKVLSTRLWKLVHSDLVNILDILDRNPGFKLAPLTSEDQADLI RAGATKSKDAADADDDDDDVPGQDLLPVAGAKGVIKVSGDLSAFVERLADEYTKSLQQ LDPHTSDYIGRLYDEALLSGLAKRVQDYFKRQQDHVRGAAVALIQAELMYYKHDSIAN ALHASNAKRAIYGDPALLHPACESSSAVAVESFDPSVVHPASVLGQPRVDVEHVDVEK ELSELCLYVYKYADDRSKTRAMLAHIYHHALHDRFHEARDLLLMSHLQDTILHTDIAT QILFNRMMAQLGLCAFRLGLTWEAHACLSEICTGSKTKELLAQGMASFRHQERNADEE RLEKRRQVPYHMHINLELLEVAHLTSAMLLEVPNMVLSRTQDRRRVISKAFRKLLEFH DRLVFAGPPENTRDHIVAAAKYLSQGQWQRSVALICGLPVWDLLPGTGTSDKVKALVQ HKIQVESLRSYLMAFSEEYDSLDLAQLCAMFELESKTVHSVVSKMMINEELQGAWDQG SQTIVLHKVERTRLQQLALQYSDKIGTIVENNERMMDLRSAHTNNPKDPHHDSSYQRR NDSRKYDNNSKNQLNNSNATNSNVRGTQSSRKNPPGNQGGNNATKANNYAGKRW H257_05911 MPTTTIKSTTASHAIAYHKLEIQHSKEDVAELKRLIETATVTLD ERRQRYEALTAEAAHRRAKMAQLVQDELAVRKQQDEAIKSRFKLQRRLSDVGGHENAL KMLIATLAATKNDVQNVRQSKATLSAAHKDMEKEIASLTAAVQKAKATIETLNRDRQA LEAKKLDVDGKLAKIAAFLTSP H257_05912 MDKYRRGEKKATDQDAATLPNEVRITKFGNVHGYVSYATNLLTP ADKATTSGDIVLKAMGNAISKAVTVAEILKHRIPNIHTVTNILSVETEDVYEPLEEGL DVVTTVRRIPSISIQLALNVSLVNLNASGYQKPIPVDQVNPESDGVTNVYDGDDSRQT RGGGRGRKPRGRSGRGGGRGRGGDVAVEEGETAAVGGYAAESGENGESRAPKARRARA KKNVVTASGAPEVSENATAAAETTNEEATGRGSRGGRGSRNGRGRGRGIGAVDDDAQD AGGRGGRSGGRGNRSRRGPKPAGDDAQVEHSGYAVEVAAENGAVASSASVPRARTSSA SSVGAGGRGNGGRSQSRGGRGEGKLFADQ H257_05913 MKKVKASGFINLHKPSGLTSHDCVAKLRRILRTKRVGHAGTLDP MATGVLPIAVNRATKFIQFLDKSKAYDGTIRFGITTDTDDITGNILHEHPVPWLTKAR IDDELKAFVGNITQRPPMVSAFRKDGERLYTLAREGKIQLEDVPLRNVTVDSISTQAF EPHPSFPEATIRVVCSEGTYIRSIARECGERIPMLSSSSHADTTSYVGATLSMLHRVQ SGGFLSSSSVKLDEIAAAVNAETFDLEPIESCLPTSFPTWTVDATTEARWIGGMSVHW FDDMASNASSLTNWPASETSRLVAVYRHDGNQFLGVTTLTRTNELDRYVVTGPRVIVD PLE H257_05914 MNQKELQHIRQAFNTRYVQKRDWPLRIDKPCVHVPPQVATTCQD DVAPSPRVSPPASPVAKSTFETVSFILRDAINDGFSFTFDRIGHGMVVLSVDKARRST SSLSVGSLLVAINGHTVQLADDIKSITISPNPQLATHDQRTEAMRLQMVDLFKAHGIS ATSSTPCNVTFVQMPTKVQLVHKWNRRSTYKPWSQVHLKLHCGYLNFYTSDAKADKAT VDDMQNSVVAIPVMRCDVMCVDIPGKRGFCFQVLEVPPPPYQADSLDGDEKEEEDVIL PVNVSIVQSYSPNNDMTNDKAVDPSSESTMLDDMHAFLGSTNVVKKVVVVKEPKLYRF RVATAAARASWVRHIRTAQTCRAEDYLDQP H257_05915 MLTWRTILATAMVTTMSRAQEFTGDGTGYVLDKPSSGNCNFMNV PEVVSTNYVALATERFARTAACGKCIQVRCTDVQCNGATATETLYVVDRCPECAKDDL DFSREVFLKLTGGIEPGRLKMTWSYVTCPHSSDIVMCKKPGSNAFWLAVQPAGAVTGV SSVKINGKSTGMVDSAYYFKLESSPAVDLSKVQFELTPDNGGDAITTTLDMTKEGCVP TGKQFGRSGGAPPPPPPPPPSPPASSSAVPVTTSAPVTTSAPTTTSAPVTTSAPSTTK LAATSESTNIPEVVTTEVVANVTTIAPTPVATTSEVTTLPPPLEEATTTLPVLTSVGL DSPDASPSTTKQPTSSPQGVEISVQAQSEDRGLDLGYYFLLAGGCIMAGVALAVIVHI RRKKWDDEKESDLGFNDAQTAIAARRTDESIAIL H257_05916 MTSATPASSIRYSRLVHACKKFLATDATQASSSDISKFVRCVHH ALNAIQADNALVNTTFHDDLHLIEADLKALHGPTDDESAFPTQNVLRQRRQRAVALRS STDTDPIGAILSHVEGKVVSTWEDKKLSLMDDDFMTFSTADFTVESASSKSPSVRAIR DQLGCVHLYLGDDDVFPMCILPRLVPNNRSGSLSAAKSVEAKEALENELQALSSRLKH ATHGLNQNLKEDATLLDQVAADAEANQAKLDTENRKLAVHRQSRIGFFTTMYLLVGLG VVFIAMYLFMKVWSTRHYPIL H257_05916 MTSATPASSIRYSRLVHACKKFLATDATQASSSDISKFVRCVHH ALNAIQADNALVNTTFHDDLHLIEADLKALHGPTDDESAFPTQNVLRQRRQRAVALRS STDTDPIGAILSHVEGKVVSTWEDKKLSLMDDDFMTFSTADFTVESASSKSPSVRAIR DQLGLVPNNRSGSLSAAKSVEAKEALENELQALSSRLKHATHGLNQNLKEDATLLDQV AADAEANQAKLDTENRKLAVHRQSRIGFFTTMYLLVGLGVVFIAMYLFMKVWSTRHYP IL H257_05917 MPSALEQQSRAELEKSSIVVGYDPLNYSTSAKEMNAMSSSRYLQ HPGDNDHRKRIKEEHRVRHFDLGDANEKPLTYDTSSKLQDPTGEIEKYTAKLNVDARA MLVKTSAIVGYEKAQFTTSTKAATQWNRDDMKKSIAMRDETRKITGPKKCPFVYGDDD VGYVSTAKGTMNFDQKDAKVAVMAADVKDDLRKCHYSFGHDNVDYSTSSHIAPMSSEA YREATKKHPPLNDPRKGSVYFS H257_05918 MHHNTEVVNAVRRERGWNASPDVASKRVLLMDDQSGRPAAYRAM KKASRAVSQGSCPLADLDSKPTTSRSGTRARPPLEAASQQNPSTAANSQRVVATHRMM ERHVVVQEHEARVVQLARLDLLPLDHKLAWNASPTSP H257_05919 MGPKKGNTNTKVEAANQRKAAQAADKKSKKSAQEEANAAADWAQ GADGRSAKRAQEEELKRQQADAKKAELKRLQEEEEAAMSGIKAKAKSKAQKDIDKPWE AALAPVAKKSNKGSRAPPPAPKVVAAPAPARPASRNDIVFDERSDDDLFQNRNRLQTD ALEATTIEGALDMLGVNDKDPERHPERRMKAAYKAFEEVTMVQLREDFPGLKLSQYKQ RLSDLWRRSPQNPLNQDTVAYNTKKN H257_05921 MPFAIRALRTDEVDTWVAHCTEVFKHDSSAYFVSHLQDDSSALE DMNNILVADEDGTIAATVRVIPRSQFIGGRVVSMGGIAEVSTKEKFRGKGLASKLLRA AIDGPLASVDVSALHTDADRLGGFYGKLGYTPMPLRSVSIPVNLPLPPLSPQLHIAPL TDLAIHSSALLRLYHTVSSKFNGPIQRTEAYICQWIAARHRRDHIQAVGAWNAQGQLV GYVFGRTKLRTNDNTILVDELIVPDSLPESHAVAVHLLAALATTPGPCAFVVSNLPVP VANELGFLPTAIPEDVVISEDRGSL H257_05920 MSRAMAARALDPVYDPIYTVSNTGGKWRGVHHGRRGGGGGTAIP SVTVGFEAVSGSERAKYSKRPILPYVQAIPPDILLAPAPPVAGPHQANPSAPPVADED TEPTRSIGIQTMYRDSEAQTTPYTPDFTVKAGTSPEIATLTSFSYENGLPAGMAEVEL IQRNRQKRAFDASLPPMTDEASFELRKRMMEQQEKAEWAFREVEIDRVHDQRLQLLEK ALVERDHENQFLAEQRIEALRQRLTAEKDETIERIQQERVTALRKLAKRRAAAQKIYP KRDLKRDIIGEYTAFGSTVYAPVTRAGKSGKHDTVHEVGLARLHGLDEIQALEVTVGS KFLAPSMHKPPVKVIKTAKDRREAAIEGHLLSMQTKINQTKTISTDDHSTTTTGGGTK FKVGGGAHNVRPPTPLYGVVDDDDDDAAHDAVRLLQKLLRGRAVQNTMFEGKGRRTEL IAELREAGTEPGGAATDAAADANAATLAGAATVAKIQGEVVSDLLDFLAKELVRVNEH MALNEFVMDTVYERRKREVIEGGRRHAEEMLRKREDVVFQSVQRVHDETADDFVMDVL RSVVEADATATARHESGVLGSGLSNVVSALEAGGTTDDGVVKDLLASFLFPEVQRRRV QEQVGREERKFVTAVHQALQLPTTFSSSLY H257_05923 MKHVVVLGGGISGLSLVHQLRKHLPAAVRIELIESSSRVGGWIK TKHTPPFLFEAGPRGFRPSRNGAEMLRLVEELGLQDQCIPSSGNSRYIFTHGKIQKAP STILEFLQWPLAWDVLQAVLRELVVPKTTASDESIYDFISRRFSPVVANRLLDPMVSG IFGGNIRHLSMRSCFGLLWDMEQSHGSIVRAMLFGSPPKSTTLLDGTAHSSFVKTGSK AMSMSFTHGMQTFTDALAAHIEATPHTDIRFNTTVESLSPSSAGTTQHGSGVVVRVRD AGASAAETIVADHVFSALPAPRLAPLVQSVAPSAAAALSRLPFTSLGVVTLGFHRNLI QHPGFGYLVPTCENQGILGVIYDSCSFPQQNFDQTNNITRLSVFVGGENHPAVAAMPR AKREALALETVQRHLGVTDAPVHVESTWYEHAIPQYPVGYHADVASLEADLAEAFHAS LTVVGNSFYGVGLSDCVHKSTSVALDFAALHRTNAGGSL H257_05923 MTIACFHLTCKQASDESIYDFISRRFSPVVANRLLDPMVSGIFG GNIRHLSMRSCFGLLWDMEQSHGSIVRAMLFGSPPKSTTLLDGTAHSSFVKTGSKAMS MSFTHGMQTFTDALAAHIEATPHTDIRFNTTVESLSPSSAGTTQHGSGVVVRVRDAGA SAAETIVADHVFSALPAPRLAPLVQSVAPSAAAALSRLPFTSLGVVTLGFHRNLIQHP GFGYLVPTCENQGILGVIYDSCSFPQQNFDQTNNITRLSVFVGGENHPAVAAMPRAKR EALALETVQRHLGVTDAPVHVESTWYEHAIPQYPVGYHADVASLEADLAEAFHASLTV VGNSFYGVGLSDCVHKSTSVALDFAALHRTNAGGSL H257_05922 MTDYAEEQTMEVEALESIYMDDFAKVQDSPLSMKVKLIPDQNGG VNHVALSLVFTMPAKYPDALPLVDIKLEKGLSEPQERDIRELLNQQMEENTGMAMVYT VCEAVREYLIENNREGNDGSEYQEMLRRQEHRHKTDTIAAEAAQAILDKENEGKGPAK STTGTPVTVASFNAWKAAFDLEMATKTGVKIAVGAEKRLTGRQLWTTGAAKEATDADD SEIQSLDGSAFVGDDNDDDDEDYVDNDDDEDDE H257_05922 MTDYAEEQTMEVEALESIYMDDFAKVQDSPLSMKVKLIPDQNGG VNHVALSLVFTMPAKYPDALPLVDIKLEKGLSEPQERDIRELLNQQMEENTGMAMVYT VCEAVREYLIENNREGNDGSEYQEMLRRQEHRHKTDTIAAEAAQAILDKENEGKGPAK STTGTPVTVASFNAWKAAFDLEMATKTGVKIAVGAEKRLTGRQLWTTGAAKEATDADD SEIQSLDGSAFVGDDNDDDDEDYVDNDDDEDDE H257_05924 MESHTEHASSSPQECGSRRSMLQVDDPLTNTPNYSTDHHNDGSS QSTHDNTTVNMDSLDACVHDILHEVATSPNPPHSSEVFLHELAIDMPDDILHASPPAP PMPVPPLFHQSSPTSSNKLRLSTDGEVDVDAAILHSSSTSGNSTSLLPLPPPLALTFH FAILVKVPRLPAADNSNSTAPVVTFDPMVLQGLEDLAKRLALAGFLVRLESRPAYKKH APHLKLVFLLHAPDAILSDMQAKMEVERWVAGGRNGEHFLAPQQHGKLTSADRIVLVD RLLHAPRRHGGLGLLELQSSCPFKAFPLHDDQFNREYLTHWFLQWRAYFRNNTDSVEK IRLHFGEKAALYFAYMDFYTKWLLPLALWGLFIYFLSAFSSTLYFRMSAITGLAVSTL WATLFLICWKRRRSELRLKWGVGAQEHVHVTNPHFQPPPPTASLNGVKWSRRLKYIVG YLVLVVFLVGETVITIGFVDLYFFLKAGCAGNCSTGGLENWVLVLCQGILLGLVVDII QYQIFRVIAVSLTQWENHPTEKRYESSRALKVFLWDFFGIYSWFWMCAFVYVPYGSQF SSLLNEYNLLPWTSSYEPGLLELQSMFVTPLVATQGLKIVFEKIIPYFLLQAAKKASD AKGMYDVYHSTLAEHFDRDGKTVSAAATASPPSPPTAGATFPPPLRAKSSTRIISDML TSLPKLSRKLTTSSTKSPTNQQAQGPSPQQPNTPAKLVKQQTRSSRRRSSNFGGSSMA FQQQRQWFLDRVLDQAHQSPHDTFADYADTLVQFGYVSQFTCVWPFIPVCAVINNVFE VRSSAFQLAYASQRVVPQRTSSMGSWNLFLRFNNIWAIFINVALICYASGLLESFFPT CVQLQVSTDYAQHLPAMVPNFSCIASSDRFAIFVVLEHVLVLVYVTLTLSINAVPSSI KRILKTKDRVLKEHFAAHITTHDDDNDQRRRDLSHDHDDQAAKHAILHDQLAALLADR RQCVAPSPRHAPPVASGRGSSRRSRS H257_05925 MPRTMKAAPPTDSDSSHARENYFDNSPSVQEAPFKSVQSPAAAV VTTSALSSQPSFRGEKIRLDLSLQWANNFGIQLAMFLYFVGALAVTIVIPSLGYKVEV GLLAGGSVTILSTAAVLYTYSVMPTWKKHPNPLIFYRSLFDMGFVLVLMTTQLYKCYN NQCVVTSSKDETDGWTITILSENGCNTVAGFTQFFLLGSECWFFVMSVDLFKSVRSPF TDFKHNMRTYHVFVWGFSTISAILLVGIGQAGPSEFNYCWTKPQHMGKTSENKNMLWS LNISSWGFFYAWIILYFFGAIGVICFAWQRLKGGLAETLRVRLRVLHSVTVYVVAIII YWAITFGVYVPYLALGLVNNPTDYPVLPQVMVFFITCKGYFDFFVWFQINELPSGKQQ PSTATSAVTAAAKVDVDVDLSPQVNQALRAEVLYYTTTGIIQAAHLAASCSDDVPKRE LQLTPQGAAGAGTSTTLFVDYAPATFGRIRAFYDVSTDSYVTSLLKTTKERLSEGASG AFMFFSEDQQLIVKSMSEGEASFLQSIASDYAAFLITHPESLLTRFFGCHSVHLYGTT FYFVVMSNLFSDHTKIIHRRYDIKGSWVNRSAKQPTKGKKVTCRHCNGKYVFGSVEGN VCPLRVGTHEPNVILKDNDLTQKVRLDAAVAEDLYDQIKKDAGFLCNHGIMDYSLLMG VHNVEYRVSADLDLGNHHLDNSLLLNTNITRGGSAVVSAQPTARNLNNTGLGDGGSDG DDTGDVPLDDDLHMDARMPLKFTGTRKANTVVGPACYYFGIIDILQLWNFDKKLERNT KVLLLRRDPDGLSALPPTKYKDRFCSKMADILRVGVHDEAFKAINMWEEAEPSSYHAD VPAFPATQDQRILHPANDLYARDTNDVRHTTTTCGRGGSNTTNSSLDSRRSTTSRSRY SGTAGNGGLV H257_05925 MPRTMKAAPPTDSDSSHARENYFDNSPSVQEAPFKSVQSPAAAV VTTSALSSQPSFRGEKIRLDLSLQWANNFGIQLAMFLYFVGALAVTIVIPSLGYKVEV GLLAGGSVTILSTAAVLYTYSVMPTWKKHPNPLIFYRSLFDMGFVLVLMTTQLYKCYN NQCVVTSSKDETDGWTITILSENGCNTVAGFTQFFLLGSECWFFVMSVDLFKSVRSPF TDFKHNMRTYHVFVWGFSTISAILLVGIGQAGPSEFNYCWTKPQHMGKTSENKNMLWS LNISSWGFFYAWIILYFFGAIGVICFAWQRLKGGLAETLRVRLRVLHSVTVYVVAIII YWAITFGVYVPYLALGLVNNPTDYPVLPQVMVFFITCKGYFDFFVWFQINELPSGKQQ PSTATSAVTAAAKVDVDVDLSPQVNQALRAEVLYYTTTGIIQAAHLAASCSDDVPKRE LQLTPQGAAGAGTSTTLFVDYAPATFGRIRAFYDVSTDSYVTSLLKTTKERLSEGASG AFMFFSEDQQLIVKSMSEGEASFLQSIASDYAAFLITHPESLLTRFFGCHSVHLYGTT FYFVVMSNLFSDHTKIIHRRYDIKGSWVNRSAKQPTKGKKVTCRHCNGKYVFGSVEGN VCPLRVGTHEPNVILKDNDLTQKVRLDAAVAEDLYDQIKKDAGFLCNHGIMDYSLLMG VHNVEYRVSADLDLGNHHLDNSLLLNTNITRGGSAVVSAQPTARNLNNTGLGDGGSDG DDTGDVPLDDDLHMDARMPLKFTGTRKANTVVGPACYYFGIIDILQLWNFDKKLERNT KVLLLRRDPDGLSALPPTKYKDRFCSKMADILRVGVHDEAFKAINMWEEAEPSSYHAD VPAFPATQDQREASTSNKRLYL H257_05925 MPRTMKAAPPTDSDSSHARENYFDNSPSVQEAPFKSVQSPAAAV VTTSALSSQPSFRGEKIRLDLSLQWANNFGIQLAMFLYFVGALAVTIVIPSLGYKVEV GLLAGGSVTILSTAAVLYTYSVMPTWKKHPNPLIFYRSLFDMGFVLVLMTTQLYKCYN NQCVVTSSKDETDGWTITILSENGCNTVAGFTQFFLLGSECWFFVMSVDLFKSVRSPF TDFKHNMRTYHVFVWGFSTISAILLVGIGQAGPSEFNYCWTKPQHMGKTSENKNMLWS LNISSWGFFYAWIILYFFGAIGVICFAWQRLKGGLAETLRVRLRVLHSVTVYVVAIII YWAITFGVYVPYLALGLVNNPTDYPVLPQVMVFFITCKGYFDFFVWFQINELPSGKQQ PSTATSAVTAAAKVDVDVDLSPQVNQALRAEVLYYTTTGIIQAAHLAASCSDDVPKRE LQLTPQGAAGAGTSTTLFVDYAPATFGRIRAFYDVSTDSYVTSLLKTTKERLSEGASG AFMFFSEDQQLIVKSMSEGEASFLQSIASDYAAFLITHPESLLTRFFGCHSVHLYGTT FYFVVMSNLFSDHTKIIHRRYDIKGSWVNRSAKQPTKGKKVTCRHCNGKYVFGSVEGN VCPLRVGTHEPNVILKDNDLTQKVRLDAAVAEDLYDQIKKDAGFLCNHGIMDYSLLMG VHNVEYRVSADLDLGNHHLDNSLLLNTNITRGGSAVVSAQPTARNLNNTGLGDGGSDG DDTGDVPLDDDLHMDARMPLKFTGT H257_05926 MLGSFMHRRCSSLMLLLIVCGCSLWTVSGLDDAFFVPADLSDPV VNKTAEAFVDEYALFLSDVFAVVPERTVLETLVYKHPLAIRDNDGGDLVYEPGFTYKL LVMFKVQWDSPGFRATPFVTVAECIYVCVKGADGNMSCEGKQFSQVDRHDLGVHAPGG ALALSYIKYSLTHAYDNARMTLTAYETQDDVDLVEYVQFQVEDEPVKCEGVIYTRRRT VGVEPKKLLYFDDACIQAKYNGLSLDAFEHRQRTDIGVFLVGLALAASVIAGAVVYRR RILAGKGLYRSMHIRFGGGETVL H257_05927 MCQIWRTLVAVAAIASTTKVEGTSAVRTAPIKHDTPLTYVAVAP TDPFVEMTAALFVDDYQTKLDFHFATIHANRVVSAEVAKHNGDNAGNTYRVNLFLALH FDSTHFGGPKYHTVASADYTCTNDTTTDDLCTPDAFLENPRTVHTLDTIPNLVKDTLN STVLFEQMAIHFTAYETQALTTHTPNALMHYVAFAIHDQPVPCRASLYYDGARTHVLH YNTDCLTATYDSAMLRTAERDDKLKVVGTVAGVAAVLAVLGMFLVRRAGKTPPKAYTP VRLQKEPADYSPKAEIRDPSKSYSSMAV H257_05928 MTEWWTDRDEALLRQCVFESAYDFDVAAITFLQRLPKQRKLLLG ANAITPQVCERKYMEICRLEDGEYDEENGADQDVETESIGGLMRMSSSNDIHVLTTSD DLPVLELPLSDFDVDLLLQDIPSATVPRDSEMQWVLSYLEDPTNAVDEEVDGGGNISL SVFSGSEAECLSQLDLTFQASRDRSYRNRSVGNLLAPCILSLAKEDEGDVRSPTSVAG GDVKNRTLLASSTPSCEPNHSTTPAVESRSFPPPTPVSFPPSQTQGYSTKDGSPHLLL PPPQPSVDEADIESCDDVGSDSDSSSDIEDWNTARRQLKARTIELAPSRSPPPPQETS SSLQLDNEPDDDTNDGNTVEDASEALERQLMKMWKRDVELQEQELLKDQPLDAVIEKA ILVRAEVESLLLVQTTTPPVRDGHSPTARTDLDRRPFSGYNNPYALNERDVHDQLSET QVEGVPPLRSPQALLDHVGAQSVAATSRVRTSPPPDYSHDQVPSISRPIPVNLPSVEA DIIKGMVEKGVMNTVDALSEPLYHRQLSHTSFVPTLPREYWRRLFSSNCSSDNDERPD DNDTFLSDTHVVAVHGVDSTDAVFATIVQLFCQLQHETSPCCTLLGLQYTVTWPGSVT SLQPPPQSHTGAVPVLYIALHCASTYIDAILLSPMAPFMPGNTNTMGDYVVSVPSVLA SPPPQSSAAAIHPPLHPIHLFTRFPTFKVFHQHLPPHPPHHHYHADTTVVVLRDPAAL VLDVLHRTRSRLDLVGLKLVFDASFEPHVVVSPQVATAACHVILALRGVDATTVVRDL LHDLPKTQVYLPHGALQAHRDVVHWFGGRIPLPNADSSSSSAQLPRARPVYGIVVKPD QVVAVDVSMTAPGHLGRVLTAVATTGYDIVGLVQVHDEEERHPCCRVKLALVKENGAN EFRLAHLQTTLADVDGDVKATMIPYLPHTFTSKIGMGQPSQATLTPERPLAPVYVDFD REQTLLAVLFPEVTQQTVDINGSYGMPIERLLARCSRDQLVHVKLLQPMVVDAVREVL TSLQSIVFGASSSVSSVPKPTTHAALVVLFRQHVEDGHFRTHFRGMGTNAPNGLVRLY TDPTIVRSLLPLLFDPHEITTTRYASPLDACFPPSPHTDDAGLFQLVPSAPFASLLML KPDDPLGVLPVVLRRLRREGFDLVCMHMTRLPSSSFVGHPYVSAAQRAHMSSLPCIVC VVRRVSCISRLQALVGPADPDEARQHARFSLVAGYGVDLVRNGFYTPPTYDQARRDLH SVYGVGTDADYDGLSRWSPSAAVVVGAPFSRSTSPQPHPVEYVTTPRTLVETTLLVAG GSAVPEASAMVASLTSDGGFLVVNLFQGGLTLHQKLHCASHFAPDVLEGPWLVLALER DNAVSRLVSYLTTSPLFADAPEKRQLCRCSTSAKEAREDLSLFFDELHGSVHSLQAVP RGEGRVISPISD H257_05929 MLSQQRAVTKALRHTQARFASTIKKVHAREIIDSRGNPTVEVDL TTEQGLFRASVPSGASTGIHEAVELRDGGKRYLGKGVIQAVNNVKNIIGPKLEGMDPT NQSEIDLLMKQLDGTDNKGNLGANAILGVSLAVAKAGARAKSIPLFQHFADLSGNDKL VLPVPSFNVINGGSHAGNQLAFQEFMILPTGAESFSEAMVMGCEVYHHLKGVIKKKYG QDATNVGDEGGFAPNIQSNHEGVELLMLAIEKAGYTGKIGIGMDVASSEFYTESKHYD LNFKNPGSKEAPLTGEQLGQLYKDLAKEFPIISIEDPFDQDDWEHYSAFTAAIGTDVQ VVGDDLLCTNPTRIAKAVGIKACNALLLKVNQIGSVSESIQAVKDAKAAGWGVMTSHR SGETEDSYIADLAVGLSTGQIKTGAPCRSERLAKYNQLLRIEEMLGRSAVYAGKHFRN PHEA H257_05930 MVEKQAPPPGTKPLMVSMREMDTLGLKTGPGLKETIDFKVFDRQ TILDEIAKVGFMCPFHEHRAEVAKLEIPQLLLVADRDEVYGENWLLCVRQVGYDGQMA LIAQRQAALEVAEADSKNQVNEEDELLTLVYEDKPIVSRPWESASADDSHEQVRLLSI HATRAVISISVTRRAEEFNADYKFSDRDADQCFVECRQHKDPNYDLTRMEQDVGLQGI PDLIDNTTQTSWFRAVNSALQYEPIKFPSDLRDREMQSNRMQTFLATVLPRMEEALQQ NETLDIFMDPLAILAEEEMATGQHKTDNNIKELRTFTDLVYSKNKTLPSIDWHPKKSG VVAVAAATNSSFSKRADATDKVDGSYILLWNFADLIHPQLMMEAPQDVMAVRFNPTQP GIVVAGLFNGQVCVWDISKAEGQISKKKAKTPGTSTQDASKADEAHKTMPPVKPMYVS YIDLSHRRPVADLQWLPPGLEINNRGHLSATQDPLTHQFVTIAGDGQVCFWDLRFKDP KYRMLNHGRIKQDKSQQKAAKDGKDTAPAEVLFTPLYAITLTKVDGAGELGLKCIAME RMSNDQPSSRFFCGTEEGELLLADWRPHASKDDDSAPSNNNNNGEESVEYVQWSCHDH FRTTVSLSRSLFFPHIILTVSESNFHIWNVAPASSGSANTPIFISPFSLAPLTCGCFS PTRPGVLYIGKADGVLEVWDLLDQSHRASFSSSIAACSLTTLEFRSAAPASAIVAPNA ATNNVNNNAANNAANKGAAPPQQAPKQQLLAVGDVNGNLHILEVPRTLSRGSAGERGV IETYFKREIVRVKAVLEYADMASRNANASLNQAAPAAVPSTASTGTKPTSGTNDADDD LFRKMEKEFKSELGIEDHDQHGKKG H257_05931 MLGLVKRVRYALVIEYALPLIYGAGSLHNAAQTSMKMDWSLAKD LKHFDVLVQCDELHVVPFGAVNTTLPTTLLGPFSSIDMRSTSLRPRHPSMSYFLPQIC RHSHIVFNNVHPMGTVSIGAARARPTLRYYDCHSGRKLGASARQRCPPWVTEFDGCNG D H257_05933 MSIQYAQYESEKELGDLMALFEKDLSEPYSVYTYRYFLYSWPEL CILARGGDGTVVGAIVCRLEKSPGVLKGYIAMLAVDKSFRKHGIGSSLVKKAIDQMIQ LECDEVVLETEITNVAAIRLYQNLGFVKDERLLKYYLNGVDAFRLKLWMH H257_05932 MNAPPRNNYTSAFNRQPASQASSIGGSGGAPQAPAITGYPNYND ERDKCLNFLRNFVCSLSNSRKYADVLQEIADRQRTTIAISLDDVLQFENDEGFVRNIM RNTRRYVTLFSDAVDEILPPPSRDISQAHDVLDVLRLHRVQEATTDPDHPVDIRTIFP PALMRRFELQLIPGVKTKPVPIRDVKASKVGSLVRIKGMVTRVSNVKPLVVVSTYTCE SCSFEVYQEVKSRNFNPLLQCPSEKCTTNRTNGRLLMQTKASKFQKFQEVKFQELPDQ VPMGHIPRTLSVYLRGELTRTCEPGALVTICGIFLPLPYSPMRQMQVGLITETYLEAT HVINHKKRYSAMDASEEMEHEIQRLQDSPNLYSMLAQSIAPEIYGHEDIKKALLLLMI GGVTKRMDEGMKIRGDINVLLMGDPGVAKSQLLKHIATISPRGIYTTGKGSSGVGLTA AVVRDQMTKEMTLEGGALVLADMGICCIDEFDKMEEGDRTAIHEVMEQQTVSIAKAGI TTTLNARSSVLAAANPIYGRYNKKLTASQNINLPNALLSRFDLLFLILDIAQYDKDEA LARHVTHVHRYGKNPDMAFEPVRADVLRYFVAIAKQYVPSIPESLCSYVVEAYVMLRA GQDATNDAQTAMTARQLLSILRLSQALARLRFSNTVMTEDVDEAIRLVYVSKSSLHEE DQSGSSRHKPSADANSKIYRVILDYTRDRNETSVSYSDIEPLVLRKGYTQVQLKQCIE QYEALQVLQWSENKTTLHFVM H257_05934 MGVTGTPTSFSRPKWTATQETHMQQLATSSLNEFIDGCNLTSGG WTLNGCSHQVPLLVRNEAPHALASSVQLAGTIDEIVHIFKRFQPFQPFDAKESACVGY LGSKSNHQHIAIQWMRLDTPPLTRDRDACILDTRNVFSRDGKRGYACHFQSVDIPECP NLEVSHRYVRASIQHSGYVFTEIHPGLVNVVLSLHVDFCGRFPVCLAHPYLKKQVATI KSLEMYIRQVRIQDAALLYPVQPHKQCPCCRKVFGVFERSKRCAGCDEVVCVDCSSVG LVHGRKVVPLCIPCVLISVSKPSTSSLGVLRAQIERHISSVDYPDDEPEGKCVDLTHV QSFGPATRSNMRIMRSLEETQATSEDIEFDTPQLAPPIPVARGRSENSSGLWEDAIWM FHTNLERFKHHKLSRTI H257_05935 MSIATSSTSAGLTASVAGNQDEVSVPVASTHDNGSRDEMHGDEG SESDTSCNLKKGHLAKIPDDEEVIAISVARVELPGQASREDLAICPDVEHTVLDPPMD QRTEVQGLPTGTSGGVEKGHSVGDADVQGNVAAAVATIELCVKSSDEDLATAPNDNMK KCALDASMNRGGGDQGIIPDASGTMEEPGLNASENAKALAPGMSNIFATISAVCPNIG KCMSTETFDTRLHQSTEKLELTSDSIGSVTKYHVAGTPNDDAVIGPKVATIEHCVKTS DKVVPTCRSAAMANDEFGMPIYQNNGDQALAPEAIGAVDKADASNTSELEGVVLNTEG LGTLEDRGTDDPVIATESSAGVETEPATANFDNENGIDVTVSTVESCSLSCGSSHDDD MGPNQVPSTSVSAPSTSEDLKTRQSIPPEGDDPTDSVVYGLASTSPTSAARNGQVVST PSDLEATSELTSMSGGKTFAVLPKSLPGEPVGNEYVENRLVTGHFSPVAVTMGDNLTL RVSVGNGKGDASSTGEDVRAIAVEMTSPCLEAVDEFQALRQPYDKDSGGLPTGYLLTP LEERLPTELCVAAEKSAQVDDSSNVQENAKGSDMLLIFRFITHQI H257_05936 MSMQPAASLVEEKATEEYFHTVPLSQRQGISMTDLFGHSLRECT NPSSPFSPTVATNIVTPSVPWTPSPQRDLQKDVKRSATIIRSCESNDESPVRGQTQGF KNRRPPTPGYGLRASSKYMNVASNITSVHEVRSHYPSKSPLPPKTATTKHASSNSSPF AVYVDKHDTKFSTPSPKGRSTKKPRTSTGKSKKKRLYSEADKENVSPNSTPKGVHGKA DKKRLRTMT H257_05937 MNFLKKPDNIALDSWLFGDDIDSKRQSVDQVHSAPSSRPHSPST ATNAAGHSPVLMFNPKLVAAATDAAIKIQDTYKKMSTKALNSPYPMPISKHFRKYLKA KQHKATADDTDDDEFHLSPSSYTRLRSYTPASLPEIVKDPKKLPYLLTFLSDAQTMEG KTNYHQVLLFLLELEQQKAVGARPPLLKLFTKYFTRTSEFCISDTLELTDELEQLVLT NIQQPDIGWLGFRPLQKLAFKRLAREELPRFIKSDAYLKMLKEVEQTAAFVPMDRFLT HPRAAHYFLLFLMQQRQHFELYFWLHVEYVLQPCAVTQPALFWSLTADLVAKAAVDSA AIQDATKDSLAAVVATSRREAPAAISTALAALKAAQKDIVALLSASWYDRFVKSRLYV IALHDRKSKKLLDSDSEDEAAAPSYSEYDTDVSIPTSSLVHHEEVSDEDSSDEDLSDD GKDGSSTSEDNHRRSPPNHRRRRRSSNPKKLDLESILRSTNLPPGLQVHYRPNYVVTA HTLSDYLTHKSTPNGVDAVVLFKTSLERSSGLEVSYVRRDKTAVTTPDAQRKIQDLAK RIQPFLVPHGLLTKPEPSAKPTMFPFLVLQNGHHDHLYGVSYFTSSDVPLPTSADDGT TPTDEADTKRYGVQGLCLLSTYPLLETLRDLMLQHTRRHPKLSCMLPDAARELYVAPT SSSMALADEAITSTPPVESRQRRLLTGLSFLELPTPARVDFSLDQLFHRLSTSVVLEV VANALLEHSVIFVSNSYTALTTCAESIRSLLHPFTWCHIYVPLLPKSLLSYLHCPTPI MVGVHQGTTFRDDLPSASDASATVLVDLDRGTVEYLGTRRVVWGTMGLLESSSSTSHH HIRVVDAFHEAKRRLDALLPWGLRGDAVEEEEERGMPPDDAGTMDQRRRHICHDLVSS LLDRHNAASLVVGDAHDSVIMFDEKKFTALRPPHETPFLESLVRTQSFSEIISTHRIG TTV H257_05938 MVMMLRPAGRLLKPLGLRFRSSYAAKKGLAPKNELAPKKKPTAP WLGLAVGSALGTYGGYEVWKTWSDEKNPAHVYDRLPGGKTAEEAKMASEAQMFLLHLV SYRAISRAWGAVNDIELPVWMREPIYNAWTRAFDCKLDEMKYPLEHYKNLGEFFSRPL KDGIRPVNWDPRCVSSPVDGTMASFGVVDFTDDIPVMEQIKGVRYRMDDFLGDVPAFF DKPTKAGNKLFHCVIYLAPGDYHRIHSPIDWSMGERRHFPGDLFPVNSRAVHFVPSLF TWNERVALLGRWKHGFFSMSLVGATNVGSMTLDVEPDFATNKWADFYLTKPWGTCDTK KYERQELVTRGDQVAQFKLGSTVVLVFEAPDDFEFTAKSGDKVRYGASIGHFQQSMDG DVAASTFPSTSDMIRLASQANDQQTKASYLSEISQSIGQMFFGRAK H257_05938 MVMMLRPAGRLLKPLGLRFRSSYAAKKGLAPKNELAPKKKPTAP WLGLAVGSALGTYGGYEVWKTWSDEKNPAHVYDRLPGGKTAEEAKMASEAQMFLLHLV SYRAISRAWGAVNDIELPVWMREPIYNAWTRAFDCKLDEMKYPLEHYKNLGEFFSRPL KDGIRPVNWDPRCVSSPVDGTMASFGVVDFTDDIPVMEQIKGVRYRMDDFLGDVPAFF DKPTKAGNKLFHCVIYLAPGDYHRIHSPIDWSMGERRHFPGDLFPVNSRAVHFVPSLF TWNERVALLGRWKHGFFSMSLVGATNVGSMTLDVEPDFATNKWADFYLTKPWGTCDTK KYERQELVTRGDQVAQFKVYLSTHHNCMGYSIRRL H257_05939 MTRAILVLLPALCVLANASRLKSGHDVRRSVVEAHRDTAEVLLP SDDCTWKRIWRADISQQASSSDKESNASGHNTRADGSGFVIEVAQDWNGSYVSSHVVF SLEGATFPPQGKLVLRAQFPTGRGLRPVLKLVKHAKELVVVQGSSNHPTSLIVNPRVP GPTAAKAASSRLRLQDFPCLHESFASATHSFELSWDNHWLRWYVDGAFYAEAPHPTSF FTPDATYPLSVVVAVDVVGDIAPPPSSAAAAAAAFHVQDLLLLEQTSNTCTPVFVNPA DCRQYSANPPRPPSSPLPPIRSGSLEGSMSLAQVYDFLNDAIPDLLSDMPHMWRNEVI GTSVEGRPIVALCLGLCHATSSPPQALYTGLHHSREPMSMMNLAFFIDHLVLGLRGHH PAITSLLWSRQLWFILVVNPDGYAYNEAHMPLHPDQSFAGQRKNRHPSTCGKAPDTGV DLNRNYDVCFSEDDVGSSDDVCGEDYRGPAAFSEPETRAVRDLVARHNFTTAFNYHSF GEYFNIPFACQPKGVPPPFAMTVFKALATDMTKLNGFKYGQSWKESNLYSVNGETSDW MWHAHGIFAMSPETGPRFDVGSFRGFWPSDPSLILAICEQLLHSNYVLAQSAGPEIEL TMDKWTTIDMDNTDGGGGVAGVTLDITLWNHGLRSSSRAVEVVASVHLNGSQSSPVYS LPTLLHAADPHNAAVRLTMVVPNDNMTTSLPSRVYLVVRDGWTCSFYRISLGHAAADG AFQVWRPLVLPPCGTCASFGSDLSVHSDHVNCMTLHASLLHVTVSHTHIDDVDGNLTK YDDDMVDTVGVVTLRPNSMPEVAHHEKKALLLVSLIVLLGMVVGMIGLRHAKAKRSSD RDEYAKVSKTEAAADDNDEEEALDNMLDLSSPKSIPETPSSMMETGTQRRVRSPLRED QQGLLVKDNAVSRRVRSPPPPSTRGGSSNSHDEV H257_05939 MTRAILVLLPALCVLANASRLKSGHDVRRSVVEAHRDTAEVLLP SDDCTWKRIWRADISQQASSSDKESNASGHNTRADGSGFVIEVAQDWNGSYVSSHVVF SLEGATFPPQGKLVLRAQFPTGRGLRPVLKLVKHAKELVVVQGSSNHPTSLIVNPRVP GPTAAKAASSRLRLQDFPCLHESFASATHSFELSWDNHWLRWYVDGAFYAEAPHPTSF FTPDATYPLSVVVAVDVVGDIAPPPSSAAAAAAAFHVQDLLLLEQTSNTCTPVFVNPA DCRQYSANPPRPPSSPLPPIRSGSLEGSMSLAQVYDFLNDAIPDLLSDMPHMWRNEVI GTSVEGRPIVALCLGLCHATSSPPQALYTGLHHSREPMSMMNLAFFIDHLVLGLRGHH PAITSLLWSRQLWFILVVNPDGYAYNEAHMPLHPDQSFAGQRKNRHPSTCGKAPDTGV DLNRNYDVCFSEDDVGSSDDVCGEDYRGPAAFSEPETRAVRDLVARHNFTTAFNYHSF GEYFNIPFACQPKGVPPPFAMTVFKALATDMTKLNGFKYGQSWKESNLYSVNGETSDW MWHAHGIFAMSPETGPRFDVGSFRGFWPSDPSLILAICEQLLHSNYVLAQSAGPEIEL TMDKWTTIDMDNTDGGGGVAGVTLDITLWNHGLRSSSRAVEVVASVHLNGSQSSPVYS LPTLLHAADPHNAAVRLTMVVPNDNMTTSLPSRVYLVVRDGWTCSFYRICTYVSNVFY AIACVTVSCDAVLVGSKNV H257_05940 MGVFADAIKSALEPGTGDKFVIVLNGVLVTLLAVIGLTIWSGIE DSIHMFVFLFLAVGLTLSINWFLMEARSLQAQGKLQWGTEDGATSAARQEELKKTD H257_05941 MKLGLVVGLDGAGKTMLLRQLSRMCKDEFHNPKLGSVFEKVRTR LFADSAPAAEVDGIDVATLPTTGVEEETIAYRNLAVTLREVGAPMLSMWKSYFESCDF FMFVVDMTNFPQLAAAAVEFFNVVQAPAMQSKGCAVHRVHAMVAVSLQPRSSVRVHEH PRDSDQRHDRRPPGLAAHDDGYEAPVHTI H257_05942 MTTPVTNRPQIAILDFGSQYSHLIARRVRELHVFCELYSCLVTV EELSKHQLTGIILSGGPNSVYEEGAPHVRPEVWKFIEDQKLPLLGICYGMQELAYTFG GIVAKGQRREYGKAMVSTHAVDRSAHLGFLDGLTDGDFQVWMSHGDKLTKTPTGFVDI AFTANSEHAAIANVSKKYFGIQFHPEVTHSPLGKVLLKNFVVDICGSPTDWNMRSIAD AFIEEVRQTVGPHGHVIGAVSGGVDSSVAAALLHRAIGDRFHAVLIDNGLLRAGEAVE VVDRLQKHLGINLHCIDASDRFLATLSGVTEPETKRKLIGNLFIDLFQEEAARIDHPV DFLLQGTLYPDVIESVSYKGPSATIKTHHNVGGLPDTMHLKLIEPLRELFKDEVRELG IALGIDEASVWRHPFPGPGLAIRVLGEVTKDALDILRQADTIFLDELRKHGHYRSTGQ AFCVLLPVKSVGVMGDGRTYEKVIAIRAVSTSDFMTADWYHMPHDVLAIMSNRIINEV RGVNRVVYDISSKPPATIEWE H257_05943 MDLVDANAEDFFASLNAGSASAFFHKPVEEPVAKKPKASAVVTT ATAMKYDMSAQPKTPGPARVTVSTVLDPKEPQLPMEERIANLLVPDMKVGDVIQLIKK MKQSGKSDADEFSELDAEMNSAPRFVCEVCGNTEQHNYITDYARGDTVCMGPDGKGCG NVVQDHIVHEGSAYRKFEGEEDKSHHGPAPNKLFSMGHNLRTMISQDGEQSANLRRAS EFVEMNLSQMGKDERRTRIGYKDQMKQKACRLIDHTGANLDLHEIVCNRAKKMFAEFR DLREHVHQFEAVVAGCIIDSYMTTGKEMYQAQTSIKIGKFKQAGIAQLTLAPNKAVDE KKLHPFHCKACGLTFNAKRALQFHTCEVKGGAGGNGAVASTVDDLPPLHGPDESAAPV RDVRDGKEWFQCPVCPRKYERREAAVEHMMRHKKQSEKRKLHIETATMDSTRFQKTNS LASANPSVQVNTHEQVLFRGQGRRPWLQSKLQEQQQQLLQRRDHDSKHD H257_05944 MLRPKMFTRVRRLAALRVLAQALPRRCTSPAVVEAISFSMMTKS IPHACHRPSTKLGWQQAFRSFSTTTPPSDPPSSGSDIPGATLTSGDKFVMVYTCTVCD TRAAKTISKHAYYNGVVLIRCPTCQNLHLIADRLGWFEDGGYDVQEVLAAKGGNARIV THDNILELTEADVLGTPATDSSPPPSSSSGSSS H257_05945 MMQHEPRLSQIDVMYVLRSLSVLKRRGVVPRSKLQEYKDMLIAR HPALVAMVHEAARANQDLAEYLLAQSTRDVPVVVPTHEAVAIDALDVSILRAQFGMDE AEAIRVLVESRGDFVTAILAVDAARDTD H257_05945 MLIARHPALVAMVHEAARANQDLAEYLLAQSTRDVPVVVPTHEA VAIDALDVSILRAQFGMDEAEAIRVLVESRGDFVTAILAVDAARDTD H257_05946 MHHNTEAMNAVRQRERGWKASPNVSSKRVLLAGDERDRRAAYRA MKKVEARRLAERQPIRRPRLVL H257_05947 MTTKKEKTPLLTVAASTSAAPLFATTAPEDYRGCGSAIFFTWLT PLMDLGSKKPLEFEDLYQLDQENRATHIATRFGHFWTLEQAKASPSLSWALARSFGRP FVLAGFLRLVRSTLQFTAPVVIKKTIAYLRNPDADPFDGYVLVAAIFVSGVVSSFCFR QYMYYVKETGLRFRSALVDQVFAKSLRLSSRAQQRRSTGEITNLMSIDASRIQRLTVD LHTVWVVPYLILISCFMLYAELGISFVAGIVVILLVIPITLCLSRVMKRLQKALMEVK DRRVKLCYEVLAGIKVIKLQAWELSFTKRVMDYRTDELDKFKAYIITQAISSAVYNGV PSLVAMASFVSYVVLGNALDVSTALTSLALFNVLRFPLFKLPQVVNAVVEASVSVRRL REFLLDDERVEVPVGPLVDPGIVLDHVDFSFDESSVPALHDVSLSLRSGDLLAVVGAV GSGKSTLLQGILGDATCSAGRVFRRGNVAYVSQQPFVQNASLRDNILFGLAFDHRRYE RAIAVSCLVDDLAMLPFGDRTEIGEKGINLSGGQRTRVALARAIYQDADVYLFDDVLA AVDSHVGADIFRQCMLDMLHDKLVVMVTNNLGVLAHCSRILVLDHGHVVQQGSYTDLM DAKLQLAEMVAKFQGGSSSLDVVHDDVIAVNVAGAHLPVDDEDDAAADRAAGAQVDAA VVRRTITLSDVGDDDDEDTTQVVPSAALIVQEDRSTGQVGWPIYSVWIQASGGLVAAY GVLVVYLVAQGMTLSSTLWLSQWSNHPLPSDQSFYLTMFVALNVAYILCLFFRAVSIY LFGLVGAKVLFQRLITQILRSPMAFFDTTPLGRVVNRLSKDMYTTDEDIPSSFGSILT AAIAAASTIATIVYVTPMFSVVLVPVGWWYLSAQQFFIATSRELQRLDSISRSPVYAL LTETLDGMSTIRAYGVESAFLGRLRTLLDTNQRAYLLNFAVNCWLGLRLEFTGTIIAT FAAFFAVWTKPTQSGGAFAGLAGVSLSYAFQITRSLNIGVTTLSELETEMVSVERIHA YTMLPSEAALRTRAHQRLERVVPPTAAWPARGRIVFDNVTLQYRAGLPPVLRQLTFAI EPQEKIGIVGRTGAGKSSLVVALLRLVEVQSGIIYIDDVDVSLVGLHDLREQIAIIPQ DPVLFSGSVRSNLDPFNLYDDDALWASLRRSHLDHKVGSLEDAVEERGWNFSVGERQL LCIARALLKNTRILVMDEATASIDATTDAALQATMRVEFAACTVLTIAHRINTILDSS RILVMDQGGVAEFDTPAALLQNESGIFSSLVSHWRDDAPSSPVA H257_05949 MTHNSFAFPAVASRAILVSMTLPPLRHNVPATAAVDTNNHMERL PTLNDERATPRVSALTPLKAADRLPTQSTLSPSKDHGKAMSQSVQGTTMTKLWHRCNM CHKSFEREESLAEHLSRHKNQMRKRQQRMQEDQAAVERATKERRTLNTTCAKTSPAVR LKFIL H257_05948 MKSNTSTFVALLEKTTDFDKDERYMATSDLCNELQKDVELGPDL ERKICAAVLKQLDDKSNDVQSIAVKCLGILVTKVQEKQVGDICEKLCDLILNGKPELR DIYSIGLKTILTDVSTKTGASVSTALCGRLLIGIAQYADQAVKSDTLDILTELLKRFG HDFPSEHVSIMDLLLKELKDDRAFVRKRVTSCLGALGIVATDALLHRLVDHLLGDVKQ ASAASSSDSSEVRTLIQTIGTLSRTVGHRLGRHLPTIVPLFLTFCGTPDNEAMQNDTA NELRENCFQGLEAFVLRCHAEITPHTTDILSVAIAFSKYDPNYMYGDDDGDDDTMQGD DADDDDQYSDDGDNDYSDDDDASWKVRRAALRVVSAIIGTRRELLELIYNQYSETLIN RFKEREESVRIDVFGVVSDLLRATVLLHPPSPAADAKAATRPVFARQRSCVDQLHSRV GTIIAAANKQLGPKTSVATRCAVLGMLRELAKVEEGQLGPYLDVLVPNVLKALQDRNS SLKLDAILFLRLLLSTHDAALFQKHLSAIVPLAVENAKDDWYKIVAKALQLVAAIVQV LRPSPGTTPLPPPLVSFVQPLYTAVLPRLQAYDIDQEIKDNAIASMGLIVASLGDHLT SALPVVLPLIQERVQNEITRIAAIKALGIIARSPLQLDLSIVLADVVTSLSQLLRQQS RTLKQTALDTLIALVTSKGADIPLPILCDTVREAAALISDTDLQLSTLALTLVLRTVE ASPAVAQDPALLTKALPNALSLAASALLQGQTLDALFAFLGHLVADHGFDRLFDQLYS TPRPDATKHALHNVARCVAAICVKAPVASQKKAFDLFVQDIGGSGVEQTHVALFCLGE FGRVTNVASFGDVRALILGCFQTGKTSEEVKHAAAFALGSVCVGNMSVYLPTILDELT HDSGAHVYLLLSALKEVLSTKKTDVVNQYVSAVLPVLNKHCESDEEGVRNMVAECLGK LALLNPALILPSVTKFCDASTPVKTRWTAVTCLKYCMACGPDGEPMHHLTVHPILSAL QDEDMGVRRAALVTLNSAAHHQAAFLKPHVRESIVPILLKTMEIKLERVVDLGPFKHK VDDGLVLRKGAYGCFDTLLDTLPGEVDVNAFAPYLLKGLEDHDDVQMLSHQILAKLTT VAPGTVLSNLDVLCVVLDKALNRRPKETQVGSEVERINDVIRSALRAVDAASCIRDAD ANPKWKALMDKIKKTENLSVMLEAISIERGVGAEL H257_05950 MQRSLSSLKGLPPPSKVHFPFRSMSMEETPLVPRPSSTDNDLLI TASWFVEDAFLGISRPHPVRTAFASRMYTLYADMHYLRGIAVVVLLGLSFFEIPPWCA RSDESASCGDPTDPATPLTFEMAWITDIQSVCIEAACLIVLLANKYVRYLYLRENFTS RKDSVAVTAMIVTSSFLLVVRVVFANTWQLDVLAKQVCGYFRVLIFAVKNRNVRRTAR KIWLVVAEVHNILSLVVVFVVFFAWVATMVFQGTDEGKKVMPDIYDASWHMLILLTAA NFPDVMMPAYDKNRLSVIFFGFFLCFGVFFLLNVVLAVIFNNFSRNSKLSDARRQHTR VTKLQLAFDLLCHISSTDSSLHPIGGPSSSFRRAPTPVASYSAKDLWEHRHDDWFLGE PSVNEGVPWNVMERLFVQMNHYRHIGFLKRSKMRLLFDTLDADGDGVLTWVEFQSICD LLHIALTKRRARSSEIERFWPRLYASSPFQAVARCVQHKRFETAIDVLLVVNMLLVVA ESLPVLNGHAISVVLELNVWERLELVFSIVYLVELGLKVIVYGVSRYWSSMKNRFDGL LTLLILAVDVYVYLPEPNHDSSIVVVKVLLVARCLRLFRLIINIEQYRVFCMTWFRLL PFAKNLIVIMFCAMYMFALLGMQCFGGLISPAVMTTRFNDSAYTQDDYMANNFNDMAS GIVTLFELIIVNNWFVLAEGHVLVTSKVSRWFFIVYYVVSVTLLLNLVVASILEAFVD EYQDELTNGKEIAHGNDDDDDDDDMDGLYTKDGKEVLMMTPT H257_05950 MIVTSSFLLVVRVVFANTWQLDVLAKQVCGYFRVLIFAVKNRNV RRTARKIWLVVAEVHNILSLVVVFVVFFAWVATMVFQGTDEGKKVMPDIYDASWHMLI LLTAANFPDVMMPAYDKNRLSVIFFGFFLCFGVFFLLNVVLAVIFNNFSRNSKLSDAR RQHTRVTKLQLAFDLLCHISSTDSSLHPIGGPSSSFRRAPTPVASYSAKDLWEHRHDD WFLGEPSVNEGVPWNVMERLFVQMNHYRHIGFLKRSKMRLLFDTLDADGDGVLTWVEF QSICDLLHIALTKRRARSSEIERFWPRLYASSPFQAVARCVQHKRFETAIDVLLVVNM LLVVAESLPVLNGHAISVVLELNVWERLELVFSIVYLVELGLKVIVYGVSRYWSSMKN RFDGLLTLLILAVDVYVYLPEPNHDSSIVVVKVLLVARCLRLFRLIINIEQYRVFCMT WFRLLPFAKNLIVIMFCAMYMFALLGMQCFGGLISPAVMTTRFNDSAYTQDDYMANNF NDMASGIVTLFELIIVNNWFVLAEGHVLVTSKVSRWFFIVYYVVSVTLLLNLVVASIL EAFVDEYQDELTNGKEIAHGNDDDDDDDDMDGLYTKDGKEVLMMTPT H257_05950 MQRSLSSLKGLPPPSKVHFPFRSMSMEETPLVPRPSSTDNDLLI TASWFVEDAFLGISRPHPVRTAFASRMYTLYADMHYLRGIAVVVLLGLSFFEIPPWCA RSDESASCGDPTDPATPLTFEMAWITDIQSVCIEAACLIVLLANKYVRYLYLRENFTS RKDSVAVTAMIVTSSFLLVVRVVFANTWQLDVLAKQVCGYFRVLIFAVKNRNVRRTAR KIWLVVAEVHNILSLVVVFVVFFAWVATMVFQGTDEGKKVMPDIYDASWHMLILLTAA NFPDVMMPAYDKNRLSVIFFGFFLCFGVFFLLNVVLAVIFNNFSRNSKLSDARRQHTR VTKLQLAFDLLCHISSTDSSLHPIGGPSSSFRRAPTPVASYSAKDLWEHRHDDWFLGE PSVNEGVPWNVMERLFVQMNHYRHIGFLKRSKMRLLFDTLDADGDGVLTWVEFQSICD LLHIALTKRRARSSEIERFWPRLYASSPFQAVARCVQHKRFETAIDVLLVVNMLLVVA ESLPVLNGHAISVVLELNVWERLELVFSIVYLVELGLKVIVYGVSRYWSSMKNRFDGL LTLLILAVDVYVYLPEPNHDSSIVVVKVLLVARCLRLFRLIINIEQYRVFCMTWFRLL PFAKNLIVIMFCAMYMFALLGMQCFGGLISPAVMTTRFNDSAYTQDDYMANNFNDMAS GIVTLFELIIVNNWFVLAEGHVLVTSKVSRWFFIVYYVVSVTLLLNLVVASILVRVGG SIDRR H257_05950 MQRSLSSLKGLPPPSKVHFPFRSMSMEETPLVPRPSSTDNDLLI TASWFVEDAFLGISRPHPVRTAFASRMYTLYADMHYLRGIAVVVLLGLSFFEIPPWCA RSDESASCGDPTDPATPLTFEMAWITDIQSVCIEAACLIVLLANKYVRYLYLRENFTS RKDSVAVTAMIVTSSFLLVVRVVFANTWQLDVLAKQVCGYFRVLIFAVKNRNVRRTAR KIWLVVAEVHNILSLVVVFVVFFAWVATMVFQGTDEGIVTPMSCPPSTDGCTYVGKKV MPDIYDASWHMLILLTAANFPDVMMPAYDKNRLSVIFFGFFLCFGVFFLLNVVLAVIF NNFSRNSKLSDARRQHTRVTKLQLAFDLLCHISSTDSSLHPIGGPSSSFRRAPTPVAS YSAKDLWEHRHDDWFLGEPSVNEGVPWNVMERLFVQMNHYRHIGFLKRSKMRLLFDTL DADGDGVLTWVEFQSICDLLHIALTKRRARSSEIERFWPRLYASSPFQAVARCVQHKR FETAIDVLLVVNMLLVVAESLPVLNGHAISVVLELNVWERLELVFSIVYLVELGLKVI VYGVSRYWSSMKNRFDGLLTLLILAVDVYVYLPEPNHDSSIVVVKVLLVARCLRLFRL IINIEQYRVFCMTWFRLLPFAKNLIVIMFCAMYMFALLGMQCFGGLISPAVMTTRFND SAYTQDDYMANNFNDMASGIVTLFELIIVNNWFVLAEGHVLVTSKVSRWFFIVYYVVS VTLLLNLVVASILVRVGGSIDRR H257_05950 MIVTSSFLLVVRVVFANTWQLDVLAKQVCGYFRVLIFAVKNRNV RRTARKIWLVVAEVHNILSLVVVFVVFFAWVATMVFQGTDEGKKVMPDIYDASWHMLI LLTAANFPDVMMPAYDKNRLSVIFFGFFLCFGVFFLLNVVLAVIFNNFSRNSKLSDAR RQHTRVTKLQLAFDLLCHISSTDSSLHPIGGPSSSFRRAPTPVASYSAKDLWEHRHDD WFLGEPSVNEGVPWNVMERLFVQMNHYRHIGFLKRSKMRLLFDTLDADGDGVLTWVEF QSICDLLHIALTKRRARSSEIERFWPRLYASSPFQAVARCVQHKRFETAIDVLLVVNM LLVVAESLPVLNGHAISVVLELNVWERLELVFSIVYLVELGLKVIVYGVSRYWSSMKN RFDGLLTLLILAVDVYVYLPEPNHDSSIVVVKVLLVARCLRLFRLIINIEQYRVFCMT WFRLLPFAKNLIVIMFCAMYMFALLGMQCFGGLISPAVMTTRFNDSAYTQDDYMANNF NDMASGIVTLFELIIVNNWFVLAEGHVLVTSKVSRWFFIVYYVVSVTLLLNLVVASIL VRVGGSIDRR H257_05950 MIVTSSFLLVVRVVFANTWQLDVLAKQVCGYFRVLIFAVKNRNV RRTARKIWLVVAEVHNILSLVVVFVVFFAWVATMVFQGTDEGIVTPMSCPPSTDGCTY VGKKVMPDIYDASWHMLILLTAANFPDVMMPAYDKNRLSVIFFGFFLCFGVFFLLNVV LAVIFNNFSRNSKLSDARRQHTRVTKLQLAFDLLCHISSTDSSLHPIGGPSSSFRRAP TPVASYSAKDLWEHRHDDWFLGEPSVNEGVPWNVMERLFVQMNHYRHIGFLKRSKMRL LFDTLDADGDGVLTWVEFQSICDLLHIALTKRRARSSEIERFWPRLYASSPFQAVARC VQHKRFETAIDVLLVVNMLLVVAESLPVLNGHAISVVLELNVWERLELVFSIVYLVEL GLKVIVYGVSRYWSSMKNRFDGLLTLLILAVDVYVYLPEPNHDSSIVVVKVLLVARCL RLFRLIINIEQYRVFCMTWFRLLPFAKNLIVIMFCAMYMFALLGMQCFGGLISPAVMT TRFNDSAYTQDDYMANNFNDMASGIVTLFELIIVNNWFVLAEGHVLVTSKVSRWFFIV YYVVSVTLLLNLVVASILVRVGGSIDRR H257_05950 MQRSLSSLKGLPPPSKVHFPFRSMSMEETPLVPRPSSTDNDLLI TASWFVEDAFLGISRPHPVRTAFASRMYTLYADMHYLRGIAVVVLLGLSFFEIPPWCA RSDESASCGDPTDPATPLTFEMAWITDIQSVCIEAACLIVLLANKYVRYLYLRENFTS RKDSVAVTAMIVTSSFLLVVRVVFANTWQLDVLAKQVCGYFRVLIFAVKNRNVRRTAR KIWLVVAEVHNILSLVVVFVVFFAWVATMVFQGTDEGKKVMPDIYDASWHMLILLTAA NFPDVMMPAYDKNRLSVIFFGFFLCFGVFFLLNVVLAVIFNNFSRNSKLSDARRQHTR VTKLQLAFDLLCHISSTDSSLHPIGGPSSSFRRAPTPVASYSAKDLWEHRHDDWFLGE PSVNEGVPWNVMERLFVQMNHYRHIGFLKRSKMRLLFDTLDADGDGVLTWVEFQSICD LLHIALTKRRARSSEIERFWPRLYASSPFQAVARCVQHKRFETAIDVLLVVNMLLVVA ESLPVLNGHAISVVLELNVWERLELVFSIVYLVELGLKVIVYGVSRYWSSMKNRFDGL LTLLILAVDVYVYLPEPNHDSSIVVVKVLLVARCLRLFRLIINIEQYVSYYY H257_05950 MQRSLSSLKGLPPPSKVHFPFRSMSMEETPLVPRPSSTDNDLLI TASWFVEDAFLGISRPHPVRTAFASRMYTLYADMHYLRGIAVVVLLGLSFFEIPPWCA RSDESASCGDPTDPATPLTFEMAWITDIQSVCIEAACLIVLLANKYVRYLYLRENFTS RKDSVAVTAMIVTSSFLLVVRVVFANTWQLDVLAKQVCGYFRVLIFAVKNRNVRRTAR KIWLVVAEVHNILSLVVVFVVFFAWVATMVFQGTDEGIVTPMSCPPSTDGCTYVGKKV MPDIYDASWHMLILLTAANFPDVMMPAYDKNRLSVIFFGFFLCFGVFFLLNVVLAVIF NNFSRNSKLSDARRQHTRVTKLQLAFDLLCHISSTDSSLHPIGGPSSSFRRAPTPVAS YSAKDLWEHRHDDWFLGEPSVNEGVPWNVMERLFVQMNHYRHIGFLKRSKMRLLFDTL DADGDGVLTWVEFQSICDLLHIALTKRRARSSEIERFWPRLYASSPFQAVARCVQHKR FETAIDVLLVVNMLLVVAESLPVLNGHAISVVLELNVWERLELVFSIVYLVELGLKVI VYGVSRYWSSMKNRFDGLLTLLILAVDVYVYLPEPNHDSSIVVVKVLLVARCLRLFRL IINIEQYVSYYY H257_05951 MRQHQRDMDSTPLLSKDSEDTLFSASWLVEDAFLCVSRPEPVPT PLARRMYVVHSELAFVGRLVLYVLIGLAFVQTPHWCDESKPVFPCGDPSDRSTPMTFQ INYLSTRQSYWIEATCLVLLLANILVPYMYLHGRFLVRHESRVELGLVLVSLLDLTLS AALPTVYAPIASLRLHDYIRIALLITTNTVLRRSLRKILLVLSEIYSILSLVFVFILF YAWMSIVLFSGTPEGDAQMPNMIEASWHFLILLTTSNFPDIMMPAYNANRVTCLFFML FICFGLFFLMNIVLAVIFNNFARYTEAEASLRHAIRKEKLTQAFQLLATKQEAASRPG GIPLDMCVRMFDELNRCQHVSHIQTTKMHQVFAALDTNGDNEIQLDEFLHTCDVLEML LFADNVSKSEVELWCPVVAHAHWFATFATMIRSQSFEWVVDAALVLNAVLVVIESSGV IYGHNTSTADHWAGWDHFEVAFTTLYVFEMMAKIVIDGTRRYWASVKNRFDCIITVAV VGVDVFTYVGRASPSPQLIKVFLIARCLRLFRLIINIRGYRVIITTWFRLLQFGMHLL LLLFCHMYIFALLGNQLFGGRISPSIMTTHFPNDPYTQAGYMANNFNDMPSAIVTLFE LILVNNWVVIAGGHVAVTSTYARWFFITYYVLGVTFLLNLVVASILDVFLDEYKTEQA NNLECASKDDKASSITLPLQRVDLALNKSLTQRTHYGTTNSS H257_05951 MKGTPIICGECRPHTHGGSRVELGLVLVSLLDLTLSAALPTVYA PIASLRLHDYIRIALLITTNTVLRRSLRKILLVLSEIYSILSLVFVFILFYAWMSIVL FSGTPEGDAQMPNMIEASWHFLILLTTSNFPDIMMPAYNANRVTCLFFMLFICFGLFF LMNIVLAVIFNNFARYTEAEASLRHAIRKEKLTQAFQLLATKQEAASRPGGIPLDMCV RMFDELNRCQHVSHIQTTKMHQVFAALDTNGDNEIQLDEFLHTCDVLEMLLFADNVSK SEVELWCPVVAHAHWFATFATMIRSQSFEWVVDAALVLNAVLVVIESSGVIYGHNTST ADHWAGWDHFEVAFTTLYVFEMMAKIVIDGTRRYWASVKNRFDCIITVAVVGVDVFTY VGRASPSPQLIKVFLIARCLRLFRLIINIRGYRVIITTWFRLLQFGMHLLLLLFCHMY IFALLGNQLFGGRISPSIMTTHFPNDPYTQAGYMANNFNDMPSAIVTLFELILVNNWV VIAGGHVAVTSTYARWFFITYYVLGVTFLLNLVVASILDVFLDEYKTEQANNLECASK DDKASSITLPLQRVDLALNKSLTQRTHYGTTNSS H257_05952 MQAVIDLGDDADVMESSPHAPTPPPTCLICMDELPRAMNGVPGK RRQKTVYKPDDCWRLRCGHFYCVGCLSGWIESKIDERKVPIVCASLDCAREVRPPHVA AVLSSTVFEKFSELVTAKAFEAESMYCPNKECSQVFVKPTFNAGQEKTTCLFCKTKLC LRCQVAWHDGLECDQYKRMVAAGGDSDEAQLHQLKEKFKWKQCPKCNVLVERSIGCNY MRCNCGEPFCYACGTSYAEKTPSPGNPHGKPGCNCGLYPPTAPNPAGGIQGAMAGALD ALRRQMLHLPAAAADLVQRRMEGYRIPIEFENILRRHLPQEMQQHRDAPLHHNLHLGR NNIIQHHLPHPIAAVVPGLLAAAARPPRARRPARATAALPIPRVYLPPPAAPANNPPK TQRQIALAAAQQARERHRRAEAQRLLDAPPRKRKAAGT H257_05955 MAAPHVAGAVALIVNANPGATYETVYKLLANTVDTATLKPSTAN CGGVDNSKYPNNDFGYGRINANKASSTSSTPVPSTTKPAC H257_05957 MSLPASPIFAYSGILLDDAYKFYAAWQITFEEAAMTSSFFSLYT NETYCPTHIETDAYTITQAKLQDNSIRNDAKYFTYCCAGDALEQRLAAVKRLEHDLTH NFVFSFNSFTYILQEAIRSYVSAFSLWASLMARHEAGTRGPMILFASITQLKYTEDIS AETLFITLDDQINMIETATLAATPTL H257_05958 MNDEVATDITEGQQARKWWSDADDMSLLTQVNIDLPFKQAKNTT KAWDAVANKLRQVHGFGRIGLDGKKASSRFYQLLRVHRKFQESSKYLSGVEQDETGKI MLLDELIQLFDEASDERQAERATTAAKATEKEAAAGYMREQAMMRGRRKSNEGDDSTD SDVASRKRKAIFETQEREIALEHERLEFKKYKFEMELQEREKDRMERIQQREDERNRN DDMMDLIRHLLHR H257_05959 MLSCQEIEVLLKQRRTSKLRQRLRLLRSDLTSLASNALWSPEVV VTSSRDRCFASEALCITLYRMSYPRRYYDIMATFGRLVMYSAVVKTKGSYMDNIFGFI DGSKFEICRITQKRDRFASNFADLQRLIYSGHKRRPA H257_05960 MVRIALFAALSASAAATVSVRTLRELETSSTVDVLVHFDGESGL SKLDTESLPREDRAQAVLSTLQAESAIVTADAVELAKAAGVEYEAYWIAQYVYVKGAS KELVNQLAALRNVVSVAPVEVYKLPELVEVPADLSVQNSTVEWGINIVDAPKAWARGN KGKGSVVSSIDTGVRLTHETLQANFRSANGWYEPSTKSKLPNDRHGHGTHVTGTIAGL NGIGVAPEAKWIACVGCPAGSCPQTDLLACAQYITCPTDTDGNNPDCKLAPHVVNNSW GSNQNGNTWYEAAILAWRKAGIVPVFSNGNAGPNCGTVGSPGDSPSVIGVGATDSDDA LASLSSKGPEPLQKRIKPDVSAPGRSIRSAGSASDTAYATFSGTSMAAPHVAGAVALI VNANPGATYETVYKLLANTVDTATLKPSTANCGGVDNSKYPNNDFGYGRINANKASST SSTPVPSTTKPAC H257_05961 MDDKLNRQHIRRHENSSQVMTAPLLCSKELPTRFSTPSIPPPTA GHTSIKAPMKVANATTKTTPNAAPNVAPSVAPKATRIVARNMTVPSTALKAPHNVFPN TVSKAAPYVAHEIITEISIYYPIGFSGSCGGTSKPTTKKKAKIRQQQRKYDSNEDVKT AVKCSRTRTLQTCIEYVNLPSEVATEKPGQGSTLAACARHSKGGRLEMIVVVSRHALN SSIMVWGAFSGSGVSELAFLEGSQTTEKYVDTLAKYFFPFGHEFYGEQFTLMQDGDSI HRAHQTMEFLAEHNVQLFDHPALSPDLNPIENVWGVFARAVYANGRQFTAKTELILAI KRAWSNLGRPYLLTLAKSMPNRCVEVILAQGGKIDY H257_05962 MMASRLIIEFSPAIHLWPTFALLAALPSSIHFVGLPDDRKGYKL LNAYSHQLIYSRDVDFHEDEFPPSTPPKPQPHFDFVSVTHPTFHPLTLINICRLYLPA SSRPFTPTSFIFSTANHRTTTHQAQADGFNYAEWADHIEQKISQQKLRKYLTSSTRFE HYDEDDDYVAKSYINLSVHRKNLKYFKETDLEKAHPSTIHTNLARHRLKDVHEKTTIV NNMLLRRELWSLSWKPHETYATFVDWILERITKQDPEYCSLIGVIKSVEIGWSFMALH GHQGRSKRLDPSHYLQQLVRIRCEGSASVTADVESAYLVANEAPSIWHARLGYPPPAP TQAILKATNGGPSKLPSPDSCDGCVCGEMPEIAHPRYGERGSKPLGTNIHAKAWGPSP V H257_05963 MCTFLSYATNLKAYKLYELDTKKNAFPNRHALIIEALADDVEAD IESDDLETHSAAARHAPQAPTKGVPDIAVPPPSPAPAPQAAAPRVPPAAAPRHKHPVA AEPEPALRVLYPPAIRFVQQPPTQHRYNTRLQANSNPPPPAPPPQ H257_05964 MLHDARRPCGDVASYRKESYEGKLKQEPIGECLSAVQKARRTTF RCIAAALDLSRSTLHDYYKRGIFLSLQHVHATNADLFSFEGMTNVAHVHEKWFFVLVR RKDTARGTSRNVPAQRGWCNQPAGALETKRVSVTCSIYKNMLIDQVIPAIKANWGGDS RDLMYIQQDNARLHVPPSDTKVVAACTSDDWNVQLVCQPPNSSDLNLLDLGFFRTTIQ AIQEKNYSRRVDDIIAATEAAWLDVHKET H257_05966 MVRIALFAALSASAAATVSVRTLRELETSSTVDVLVHFDGESGL SKLDTESLPREDRAQAVLSTLQAESAIVTADAVELAKAAGVEYEAYWIAQYVYVKGAS KELVNQLAALRNVVSVAPVEVYKLPELVEVPADLSVQNSTVEWGINIVDAPKAWARGN KGKGSVVASIDSGVRLTHETLQANFRSANGWYEPSTKSKLPNDRHGHGTHVTGTIAGL NGIGVAPEAKWIACAGCPAGACSQTDLLACAQYVTCPTDTDGNNPDCKLAPHVVNNSW GANGNGNTWYEAAILAWRKAGIIPVFSNGNNGPGCGTVGSPGDSPSVIGVGATDSDDA LASLSSKGPEPLQKRIKPDVSAPGKSVRSAGSASDTAYTTYSGTSMAAPHVSGAVALI VNANPGATYETVYKLLANTVDTATLKPSPANCGGVDNSKYPNNDFGYGRINANKASST SSTPVPSTTKPAC H257_05967 MFVGALYRFLLRANYIGYFRISEILNLMWDDVALQHDGDSQYVS LRLRWHKKASVQRDCQIYHLVDKKSFTCLRVCGLFSDYVGLVNRASPNLASLFVCFSC LHTQIVWDPKTQLVQTY H257_05968 MVRIASLAAAFTATAAATISHRTLRELEIADETEIMVRFVSPNS LAKLDTESLPREDRALAVFSTLSADSAIATAAGVELAKAAGVEYTAYWIDTLLYIKGA KKDLVDQLSGLPSVESIGPVEVYDLPVIKEDGPHVAVTNSTVEWGVTIIGAPTAWARG NKGKGIVVASIDTGVRLTHETLQANFRSANGWYEPSTKSKLPNDRHGHGTHVTGTIAG LNGIGVAPEAKWIACAGCPAGSCPQTDLLACAQYITCPTDTDGNNPDCKLAPHVVNNS WGSRRNGDTWYEASIQAWRKAGIIPVFSNGNAGPGCGTVGVPGESASVIGVGATDSAD LLASFSSKGPVPNRTLIKPDVSAPGRLVRSAGSASDTAYATFSGTSMASPHVAGAVAL ILNANPGASYDTVYKLLATTADTASLKPSGANCGGVSDSKYPNNDFGYGRINANKAST TKPAC H257_05969 MALRPFWSNSMSSTALSWWSSLVLGTSFTSMCFKMVPWMPHVDR CSNAVGVVLACPLCQNNDTSTTFLRSVCVERPVIPDIRYNLNAVSDANALLDFRFDVM GIKKLDYLLGLSVVVITAQRYRASRDEAMCILLGRLAFPTRFHTMTQTFGRSRAALCA ILVQCNWKNKHDICFVVEDAAALRCLHA H257_05970 MVRITSIAAFAASASATVSLRTLRDLETSSTVKVLVTYRKGSGL AKLNIESLSREERSQSVLNTLTAENFAITASAVELAKSAGVEYTQYWIDSVVAIEGAT KELVAQLAALPNVESVASVEVYQIPAVQDETRTVIAANSTNEWGVEIIKAPATWILGN KGKGIVVANIDTGVRGTHEALAANFRSANGWYEPATNSSAPNDRHGHGTHTIGTIAGN NGIGVAPEAKWIACVGCPAGSCPQTDLLTCAQYIVCPTDTNGQNPDCKLTPHVVNNSW GSTQNGNTWYEAAILAWRKAGIIPVFSNGNAGPNCGTVGSPGDSPSVIAVGATDATDL LASFSSKGPEPLQKRFKPDVSAPGKSVRSAGSASDTAYATFSGTSMAAPHTAGAVALI LGAKPGSTYETVYKLITDTVDTASLKPSGANCGGVSDATYPNNDFGYGRINVFKATSS GPAPSSPAPTTTKPAC H257_05971 MEQSSLSVPALDRSSADTTDASPAGLIQILTFACIPGAADSDST FWHVKDSELNGAAYVQWKVTTTDDGK H257_05972 MEHDGATSILDEHPYVALGSAGSEPRRRSYAAASRRRSLIETPF FEVDEAAELILKANAPPKTVDGTIREMMLNIRGSKYRHDQFAKIQGQTGMSPSTLRFS RDYELGYRMYIQRAAVPRTRVCFALGFIATLLYFLWDVQRISYTSVTYFLAFGVAVPS FGVGFLLTYVRQLSMYTETLSFVVFSSVAGVLIALKPLHAQRGPVIPLLILIIPLFGV TRMRFLYSTMLGWSIFFTYMTVQLVARPFLGPAYDTRSDVFYQSINYGIALISGMVSH YRQELLRRRNYALKLPFHGVTDADCSAALQKDKFAKKHLVHRWSMEFRHAQVEDCFIR HWYLIDPFPFENPNAAVLHQGSFRVIRFTVMTVLLNQLFLAVQDYRLLHRFPNHLAEI GYGLRFGVVDVAYVSAAAFMYVVGRRYYKLWLASAREGDAALVHENESTNDNSDDGSS SKSSKHTTRRSGDVSVSTSRPSSSSWCPDWTTSSSRNPYSLVGEGDDDDEDVEGSTWR TSPRRWRVMAMLFRSDATTTETNRSQTSDVLSAQLYAVVVVALHATCMAAMLFVVGTS PASLALSDIYLMGFLNATVFAHRSGFRVRHKYAVAITSLIGIATICTAATLLRPAADP YLWLRYATYIAVVLVLGGMISREEEGLRRTFFIVKSIRSLEFEEWFHRVSRVQDWIRM RLHQKCVAIHDKPPPPIAKEEEATKKKTKKKGKDQKVLVNTGAYLAQASKMGVFGELV HVLLVVIDVLMKIE H257_05972 MEHDGATSILDEHPYVALGSAGSEPRRRSYAAASRRRSLIETPF FEVDEAAELILKANAPPKTVDGTIREMMLNIRGSKYRHDQFAKIQGQTGMSPSTLRFS RDYELGYRMYIQRAAVPRTRVCFALGFIATLLYFLWDVQRISYTSVTYFLAFGVAVPS FGVGFLLTYVRQLSMYTETLSFVVFSSVAGVLIALKPLHAQRGPVIPLLILIIPLFGV TRMRFLYSTMLGWSIFFTYMTVQLVARPFLGPAYDTRSDVFYQSINYGIALISGMVSH YRQELLRRRNYALKLPFHGVTDADCSAALQKDKFAKKHLVHRWSMEFRHAQVEDCFIR HWYLIDPFPFENPNAAVLHQGSFRVIRFTVMTVLLNQLFLAVQDYRLLHRFPNHLAEI GYGLRFGVVDVAYVSAAAFMYVVGRRYYKLWLASAREGDAALVHENESTNDNSDDGSS SKSSKHTTRRSGDVSVSTSRPSSSSWCPDWTTSSSRNPYSLVGEGDDDDEDVEGSTWR TSPRRWRVMAMLFRSDATTTETNRSQTSDVLSAQLYAVVVVALHATCMAAMLFVVGTS PASLALSDIYLMGFLNATVFAHRSGFRVRHKYAVAITSLIGIATICTAATLLRPAADP YLWLRYATYIAVVLVLGGMISREEEGLRRTFFIVKSIRSLEFEEWFHRVSRVQGRRIV HTVLCS H257_05972 MEHDGATSILDEHPYVALGSAGSEPRRRSYAAASRRRSLIETPF FEVDEAAELILKANAPPKTVDGTIREMMLNIRGSKYRHDQFAKIQGQTGMSPSTLRFS RDYELGYRMYIQRAAVPRTRVCFALGFIATLLYFLWDVQRISYTSVTYFLAFGVAVPS FGVGFLLTYVRQLSMYTETLSFVVFSSVAGVLIALKPLHAQRGPVIPLLILIIPLFGV TRMRFLYSTMLGWSIFFTYMTVQLVARPFLGPAYDTRSDVFYQSINYGIALISGMVSH YRQELLRRRNYALKLPFHGVTDADCSAALQKDKFAKKHLVHRWSMEFRHAQVEDCFIR HWYLIDPFPFENPNAAVLHQGSFRVIRFTVMTVLLNQLFLAVQDYRLLHRFPNHLAEI GYGLRFGVVDVAYVSAAAFMYVVGRRYYKLWLASAREGDAALVHENESTNDNSDDGSS SKSSKHTTRRSGDVSVSTSRPSSSSWCPDWTTSSSRNPYSLVGEGDDDDEDVEGSTWR TSPRRWRVMAMLFRSDATTTETNRSQTSDVLSAQLYAVVVVALHATCMAAMLFVVGTS PASLALSDIYLMGFLNATVFAHRSGFRVRHKYAVAITSLIGIATICTAATVRCHIFYA VQSAVGCTTVVTHKGKVKLTEMDFSVSNDI H257_05972 MEHDGATSILDEHPYVALGSAGSEPRRRSYAAASRRRSLIETPF FEVDEAAELILKANAPPKTVDGTIREMMLNIRGSKYRHDQFAKIQGQTGMSPSTLRFS RDYELGYRMYIQRAAVPRTRVCFALGFIATLLYFLWDVQRISYTSVTYFLAFGVAVPS FGVGFLLTYVRQLSMYTETLSFVVFSSVAGVLIALKPLHAQRGPVIPLLILIIPLFGV TRMRFLYSTMLGWSIFFTYMTVQLVARPFLGPAYDTRSDVFYQSINYGIALISGMVSH YRQELLRRRNYALKLPFHGVTDADCSAALQKDKFAKKHLVHRWSMEFRHAQVEDCFIR HWYLIDPFPFENPNAAVLHQGSFRVIRFTVMTVLLNQLFLAVQDYRLLHRFPNHLAEI GYGLRFGVVDVAYVSAAAFMYVVGRRYYKLWLASAREGDAALVHENESTNDNSDDGSS SKSSKHTTRRSGDVSVSTSRPSSSSWCPDWTTSSSRNPYSLVGEGDDDDEDVEGSTWR TSPRRWRVMAMLFRSDATTTETNRSQTSDVLSAQLYAVVVVALHATCMAAMLFVVGTS PASLALSDIYLMGFLNATVFAHRSGFRVRHKYAVAITSLIGIATICTAATVRCHIFYA VQSAVGCTTVVTHKGKVKLTEMDFSVSNDI H257_05973 MVEGKASSRRSGRSPDRHPRGRRDRSSSRERRHRRDDDHRRRDS KKRKHSRSSRSRSREGNKHRSTASRSSRDTDKVKKTSDVVAIEPIEGTSDASKLAVVN EVSTAVEVVSSQPSTVKPKKSSRFSDKKGARSFDDDVLVGAPSVVPSAAPKPTTSSTT TTTNGAGTPAASKESVKGTISDIFRIDNVVPTATNKSLSKPGSLSIVLGGHHRPSAAK GVSAATSSPQLSSKPPPPPRPPQQGATAKPPPPPRPAGSTAKPPPPPPLASLKPPPPP PPPQLPKPAPPSPPVVAAATKPSPPPPQAAPRPSSPVKAPTATPTSMTPPVAATIDVG SMKLDILKALAAAKSLAATTIGPAIAAADKPSAAPAVPLHEDDAAASGGLELRKSAKP KPSSSTAEPADDPEPTAALSESLLASRSEDDQDDHDARATPTSTPPAAAVTAAAASSV VVDPDNPLKASADHAALEFDMFALDDDVKVGVLSPEKQPDKVSLRPAVLALERHGNHD DSEGYYKANVGEVLNGDYRVMGTVGKGVFSNVLLCKCLKGDGDTTVAVKLIRNNDTMR DAAQLEVRLLTELQTGPRRSKYIVRLLNSFEYRSHAAMVFEPMQMNVREAMKKFGGRD GLALGGVKVFCRQLMLALDHLQTSNIVHADIKPDNMLLDDKQSMVKLCDFGSAFKTGA GEVNDPTPYLVSRYYRAPEVILGLPYDFPVDMWSLGCCLYEMFTGKVLFPGQTNNEML KMFMELKGRFPTKLMRRHRQVYQEKFLMEPHFDDGLRFCSREIDRVTGTPVVRTLNTI HGTKDLAAALMAAKSHSDDKKLLMELKDLLDKIFVIDPAKRLSVTDALQHPFVMRT H257_05973 MTPPVAATIDVGSMKLDILKALAAAKSLAATTIGPAIAAADKPS AAPAVPLHEDDAAASGGLELRKSAKPKPSSSTAEPADDPEPTAALSESLLASRSEDDQ DDHDARATPTSTPPAAAVTAAAASSVVVDPDNPLKASADHAALEFDMFALDDDVKVGV LSPEKQPDKVSLRPAVLALERHGNHDDSEGYYKANVGEVLNGDYRVMGTVGKGVFSNV LLCKCLKGDGDTTVAVKLIRNNDTMRDAAQLEVRLLTELQTGPRRSKYIVRLLNSFEY RSHAAMVFEPMQMNVREAMKKFGGRDGLALGGVKVFCRQLMLALDHLQTSNIVHADIK PDNMLLDDKQSMVKLCDFGSAFKTGAGEVNDPTPYLVSRYYRAPEVILGLPYDFPVDM WSLGCCLYEMFTGKVLFPGQTNNEMLKMFMELKGRFPTKLMRRHRQVYQEKFLMEPHF DDGLRFCSREIDRVTGTPVVRTLNTIHGTKDLAAALMAAKSHSDDKKLLMELKDLLDK IFVIDPAKRLSVTDALQHPFVMRT H257_05974 MSCSALYPQARKLVFELKTQLNYLESSPSNSDGTGGSTESQARE NAAGLKSLVDQLDSLVYNENPSNREIWAKKVHQIREEYMLLNTTLEQRCIQFSRSQIE FQERDKLMSRQRHQNGNDIHYLSQEHDSLHRSSRMINELNDLGAATMSNLNDQRSRLK GVHRKVLDVASHLGLSGSLLRVIERRETADKWIVYGGIFVVLGFMYICVAYLRG H257_05975 MCMTFERLLTSKAFWLQKITVLMQQLAARGRVELLRPWRQAMAF RMHSGGSHDHTHDHSHDHDHSHDHDHSHDHDHSHDHDHRHDHDHSHDHSHSHEDDENL PIGPVSPFKVKVHSSKKTHSGLQLQVLGLYKQSLAIAKDKERNGVADSVEFVRRKFRE DAASVGRMDFQKIEYLIRKGERQLKQYRQVKGASFAFAKPQSSP H257_05977 MTDITTEPCFRSALLWGISTGVGIGLHRFRVTRKVQTACDFALY SFVGVAGVSWIVCRSAKAATQKQQQRILDAMNVAEENRPSAQYFRSEVVPPPQSSPDT PSSSS H257_05976 MSQRVPLLKAKPTRKPIRGLLVAPKVKTSTSQPTTPANAAAATP NEAQETPSRPAVEAAATTPKNGKGRATGTAAPPRNDSPVRQENLHAASPSTPHERATT RRSGKAASPNPPAIAASPKRIDSKPAPICITVPANSPAPRIIAPPRGIIAPGGSFGLP PPPKPMQISTPSPSRPSPKGVPIASRIAPPSSHKPTTTASPPTKPTTGGIAATQDERS PRANRTTNKKAGNNDDDDDDDSDDAPMLPKSRRTAAKRLLGLPPRSKVARGIPRSRKP AKRYLHEDHHIPVKTQFLAYAAAPHAETRMVKRKTSASRRDLSPPSRESEPEIASMTM GELALSVPFGRRRVDEEEAAHSNDDEDEDNMSRVDAAARRKQDVASRGAATGRPQVEI VNGQIVLSTKSLTVHEDELMQEDDETDGHEPHPRLGRAAGYLSGRSSSRRWNYIETKQ FFYCLSHIGTDFTLMETLFPNRTRAELKLKFKSEEKRHRALVEVALEGAKRPLDADVA ELAADNLKQLQAKKHDPDEDDMGGDDDQPNAATGEDAEEQVGEFMLI H257_05976 MSQRVPLLKAKPTRKPIRGLLVAPKVKTSTSQPTTPANAAAATP NEAQETPSRPAVEAAATTPKNGKGRATGTAAPPRNDSPVRQENLHAASPSTPHERATT RRSGKAASPNPPAIAASPKRIDSKPAPICITVPANSPAPRIIAPPRGIIAPGGSFGLP PPPKPMQISTPSPSRPSPKGVPIASRIAPPSSHKPTTTASPPTKPTTGGIAATQDERS PRANRTTNKKAGNNDDDDDDDSDDAPMLPKSRRTAAKRLLGLPPRSKVARGIPRSRKP AKRYLHEDHHIPVKTQFLAYAAAPHAETRMVKRKTSASRRDLSPPSRESEPEIASMTM GELALSVPFGRRRVDEEEAAHSNDDEDEDNMSRVDAAARRKQDVASRGAATGRPQVEI VNGQIVLSTKSLTVHEDELMQEDDETDGHEPHPRLGRAAGYLSGRSSSRRWNYIETKQ FFYCLSHIGTDFTLMETLFPNRTRAELKLKFKSEEKRHRALVEVALEGAKRPLGMMPH TRKRYLMYGWDGW H257_05976 MSQRVPLLKAKPTRKPIRGLLVAPKVKTSTSQPTTPANAAAATP NEAQETPSRPAVEAAATTPKNGKGRATGTAAPPRNDSPVRQENLHAASPSTPHERATT RRSGKAASPNPPAIAASPKRIDSKPAPICITVPANSPAPRIIAPPRGIIAPGGSFGLP PPPKPMQISTPSPSRPSPKGVPIASRIAPPSSHKPTTTASPPTKPTTGGIAATQDERS PRANRTTNKKAGNNDDDDDDDSDDAPMLPKSRRTAAKRLLGLPPRSKVARGIPRSRKP AKRYLHEDHHIPVKTQFLAYAAAPHAETRMVKRKTSASRRDLSPPSRESEPEIASMTM GELALSVPFGRRRVDEEEAAHSNDDEDEDNMSRVDAAARRKQDVASRGAATGRPQVEI VNGQIVLSTKSLTVHEDELMQEDDETDGHEPHPRLGRAAGRRYLVKAGPDPESSGRVL VWAVVEPPLELH H257_05976 MSQRVPLLKAKPTRKPIRGLLVAPKVKTSTSQPTTPANAAAATP NEAQETPSRPAVEAAATTPKNGKGRATGTAAPPRNDSPVRQENLHAASPSTPHERATT RRSGKAASPNPPAIAASPKRIDSKPAPICITVPANSPAPRIIAPPRGIIAPGGSFGLP PPPKPMQISTPSPSRPSPKGVPIASRIAPPSSHKPTTTASPPTKPTTGGIAATQDERS PRANRTTNKKAGNNDDDDDDDSDDAPMLPKSRRTAAKRLLGLPPRSKVARGIPRSRKP AKRYLHEDHHIPVKTQFLAYAAAPHAETRMVKRKTSASRRDLSPPSRESEPEIASMTM GELALSVPFGRRRVDEEEAAHSNDDEDEDNMSRVDAAARRKQDVASRGAATGRPQVEI VNGQIVLSTKSLTVHEDELMQEDDETDGHEPHPRLGRAAGRRYLVKAGPDPESSGRVL VWAVVEPPLELH H257_05978 MADNDPVARARAIAARLSAVVPEMSSHGKRKWEGDHGSGSMDKK LKKVYIPVDKYPEINFMGLLIGPRGSNQKRMETLSGSKILIRGRGSSKEPSGDADENE DLHVLITGDTDEQIARAQREVEDILFNPEQAMKLKQEQLRQVAENNNNQDGGGGHYGP GAGYGGAPSPYGGGGPSGYGAPSGGGYGEENTTLNIPVPKTLVGLIIGKGGETIRELQ GKSGCHIQVARENEVNPDQVERTVMCSGTPAQLEMAKQLITDLLGDRLHGGGGHGGET MKMPVPNDKVGLIIGRQGTTVKGVQQRSGASIVIPPAADVDNPTIRTLMISGSHDARE KARQEIQLLVSDQHSLVPAGANVIYMQIPNDRVGIVIGRGGSTVKAIQDRNNVKVQIP NVVDPGSMPEVRTIAISSNNMESIAMAKAEIDAILMGDDGGSGRNSRYSNMNHNSHYG AQGGHYGGGGYDQHQQQQYHQPYQQQQQYQQQQQYAQPYPQYTYEQYQAYYQQAGLSG EAAAAAAASVAVGPAAAPVAQVAAATPVAAVTPAAATTTTTTAATTTSTTAAADPNDP NAYWNGYYEYAAYYGVDAANAAWGVTAAATATDATTPGTAAAADSSATKDPSSGAPAP SS H257_05979 MSKLDRAVYDRYMSLDTGSSVQAEYIWIGGSGEDLRCKTRTLEK SPASVADLPDWNFDGSSTNQAPGQDSEVLLKPAAIYKDPFRGGKHILVLCDCYKPDGT PIPSNTRHDALRVMNASASHVPWFGIEQEYTLFEADKVTPYGWPKAGYPGPQGPYYCG AGAHSAFGRLIVDAHYRACLYAGIKISGINAEVMPGQWEYQVGPCVGIASGDQMWMSR YILLRVCEDFGVIVSFDPKPIPGDWNGAGCHTNVSTQAMREEGGIAAIIEALEKLKLK HKEHIAAYGTGNERRLTGRHETASIDKFSYGVADRGASIRIPRTTEADGKGYFEDRRP ASNMDPYTVTSRILKTIILNEA H257_05980 MAHCSQRRMHPSTKINVPVRTKQRTQPAVPAFCSAARPGISHRN HSTFDKAVRMPSTRHSVTTAASERSPPRMPRKHSISRMPMEYRPRDRAMAPSPVRKRA QSECVTDEYMLLNNRPRSPSPSASSHASYEHEITALEDQVLTLEGDLVSAKVDLAVQE FDLLGLQHDNYRLQLEHAHATTVADAALAALQAQYQQALRTIQDQEQTIRRQANDLDE CQAWILRHNELAQSKPHQTNDSAISGELEALEGLGCVTQSVWSSRFQPSLGRQSTWKQ QQLALAQVDAMHVHVGECVHAHSLRVAEAVLVEHALLKAAATPADGGTNLLPANATVI SATQLNVKDESSQANGRTNQGQANIPLTTFDQVAVELGVLVGRYGHVQAS H257_05981 MINEKKRAIRRHGLQWPINGRQVCVLAVYIMSVACATLSISTLL RLDYPADDTFTTRVKACRDVMIALFAITLGLFLYVSLVDTSASNCVSIPPGEKVVRCR ACLITVTRTTRHCQCCHKCVEAFDHHCMYLNTCIGKSNIKHFRWLILWNILYLLAQNA ITIYTISIVPRPSIASSLLSGLTILPALGLLGMLVLAAFQTYIYVNDMTTFEFAVQSA QQRAKKVKQIALVCSCDRVELCATFPLVYYARTTKRQPGWTFLWPPVPLSTKSKSFAS VNTLETWTITCVHFTVKEAI H257_05981 MINEKKRAIRRHGLQWPINGRQVCVLAVYIMSVACATLSISTLL RLDYPADDTFTTRVKACRDVMIALFAITLGLFLYVSLVDTSASNCVSIPPGEKVVRCR ACLITVTRTTRHCQCCHKCVEAFDHHCMYLNTCIGKSNIKHFRWLILWNILYLLAQNA ITIYTISIVPRPSIASSLLSGLTILPALGLLGMLVLAAFQTYIYVNDMTTFEFAVQSA QQRAKKVKQIALDHKTSTRMDVSMATSTIVHQVQVIRVCEYA H257_05981 MINEKKRAIRRHGLQWPINGRQVCVLAVYIMSVACATLSISTLL RLDYPADDTFTTRVKACRDVMIALFAITLGLFLYVSLVDTSASNCVSIPPGEKVVRCR ACLITVTRTTRHCQCCHKCVEAFDHHCMYLNTCIGKSNIKHFRWLILWNILYLLAQNA ITIYTISIVPRPSIASSLLSGLTILPALGLLGMLVLAAFQTYIYVNGSTYLSLVADLI AC H257_05981 MINEKKRAIRRHGLQWPINGRQVCVLAVYIMSVACATLSISTLL RLDYPADDTFTTRVKACRDVMIALFAITLGLFLYVSLVDTSASNCVSIPPGEKVVRCR ACLITVTRTTRHCQCCHKCVEAFDHHCMYLNTCIGKSNIKHFRWLILWNILYLLAQNA ITIYTISIVPRPSIASSLLSGLTILPALGLLGMLVLAAFQTYIYVNGSTYLSLVADLI AC H257_05982 MLDERHPGEYVCIITADSWTCEGDVGVHFTKPFFAKWHQVKAID SDVIRLRIAASAACCGWPSLQVGHVLVAVNGVWIGHLTSSQLKLHFKQLAPPICLTFR DECRAQLEVSLLRNVSDTVKMPFPSLDSIERQLQLVQSHIFANELDQALAVVAALPPG CHFHVVLFRAEIQCIRALLAGDNVSKDKAVADTADAVAVLGKFTDLPQLSPTNKLLAD VALAEAFAFFSMAQVLAHRSHAGIAASLRRCHQLYSQIHSTLATTSSSLDENAVPPLV RQDVVGRAQLGVGAFAMCTGGVVPTEYHWILQLWQQNSLEDGVRLVHTSWLSETSRSH WAGLMLMNATPMLLQHWVAGHKAKRQQANNLHTPTTTTTLPPTSVANMSAYDRHHVAT PEDSDEPSTDDSSSKDDSPANHSVSHGFLLQSSCLLRVAAGCLEAHPHWAPFLWSRSV HIQHTNPVDALALAQEAATSCKGTYPYMLQVHIGRLQFKLHRMDDAADTFQQVLAMSV KTPAAAAPIDVHQTTCAYLAGALCCESHVNLRFVRSLLAQTSRLCSSKASVDMLLLVH RARYYHALASDVHVRLLTYDLLYMCFSDNSHIHRHRKQDALHHDDDSSGQHEVALANL DGLMASQFPHTDGVLPPWLARLSSSEESSSCFPYIVGDNPVTMQFALDWLTLRGLVLL YLDVAAAKLHFKRVLALTTVAGASRSFGVPVASFHLARELAPDDPGAAIALLDHAGQW GKTSNASDAVGYTTRLNVLRSLLQQQPPQPT H257_05982 MPFPSLDSIERQLQLVQSHIFANELDQALAVVAALPPGCHFHVV LFRAEIQCIRALLAGDNVSKDKAVADTADAVAVLGKFTDLPQLSPTNKLLADVALAEA FAFFSMAQVLAHRSHAGIAASLRRCHQLYSQIHSTLATTSSSLDENAVPPLVRQDVVG RAQLGVGAFAMCTGGVVPTEYHWILQLWQQNSLEDGVRLVHTSWLSETSRSHWAGLML MNATPMLLQHWVAGHKAKRQQANNLHTPTTTTTLPPTSVANMSAYDRHHVATPEDSDE PSTDDSSSKDDSPANHSVSHGFLLQSSCLLRVAAGCLEAHPHWAPFLWSRSVHIQHTN PVDALALAQEAATSCKGTYPYMLQVHIGRLQFKLHRMDDAADTFQQVLAMSVKTPAAA APIDVHQTTCAYLAGALCCESHVNLRFVRSLLAQTSRLCSSKASVDMLLLVHRARYYH ALASDVHVRLLTYDLLYMCFSDNSHIHRHRKQDALHHDDDSSGQHEVALANLDGLMAS QFPHTDGVLPPWLARLSSSEESSSCFPYIVGDNPVTMQFALDWLTLRGLVLLYLDVAA AKLHFKRVLALTTVAGASRSFGVPVASFHLARELAPDDPGAAIALLDHAGQWGKTSNA SDAVGYTTRLNVLRSLLQQQPPQPT H257_05983 MDVATELVSTSNFHATLLPGELSVIQIPPAHVRACCWPLLNLLL YGECSLNCREADATSGDHICRLQQTELFSMVVDNDGITLFVDPNGINMFKAANLQDKI HTAPQHWRAIQIHLGPMVAEFPGVVSFLSKLLAEDNISILNMSTYDTDIIYVQACKLD LAVACLQRKLSRGVTGLKADMESECELRLGVDPNVLFDYKTVVACGQYLAVYPERMVL VRLKKEALRESAFGLTQLVLRSSSSSSSTTASFWCYCETAEEISLILDDECLANFAEH AVIVSHDRWRVIKLCGKTYDFDATGIVAAMSGLNAVDTQVLNISSFGSNVTLVLDEAL DASVASLCESLKLTRVEYRGVGRGETGDVVPC H257_05983 MDVATELVSTSNFHATLLPGELSVIQIPPAHVRACCWPLLNLLL YGECSLNCREADATSGDHICRLQQTELFSMVVDNDGITLFVDPNGINMFKAANLQDKI HTAPQHWRAIQIHLGPMVAEFPGVVSFLSKLLAEDNISILNMSTYDTDIIYVQACKLD LAVACLQRKLSRGVTGLKADMESECELRLGVDPNVLFDYKTVVACGQYLAVYPERMVL VRLKKEALRESAFGLTQLVLRSSSSSSSTTASFWCYCETAEEISLILDDVRRSWPIGS VVQGRHVCMLVGMPGEFCRARCDRVPRPVARDQIVWENVRL H257_05984 MPAGRGGGGNRGRRGNGGGNRGDKDTLPGRFRSMLSLSSIETDS NPLGSLLKPCLYFYKFLQPLGDRKLELNLPNTALCEMAEGGKEDLLWLTTDASGHVVH VDRPITWKRKFVADVSVANDDDARTNDDTVVAVRKVPFSKPHVPVQNQTVAVAERDLD RILNGDPKRLSYGVQKYVQCRGAHAALYRVQWTRGSTNNTAVNIVNNLNMSDRSEALR GIGAAPVETDPFEMALRDAAILARFTCVSSAPTSLHVDDNVIHTWPPDLHAAKLRGRP IAPAVDAIQRIVAHAQTHIPAVQFNDMEADFVKDAAGTWWCLQVKSFRYEMRVPGVVA LLRESKLIDSLVHVPKLLRRRPTRCNFHDDDNADISTTDIAAMRQDSSDVHPPSSACF LCHNSFDLTDADALGLVLDDDDQHVSMGAAATSRGYAMTFHMVSDTLTSLRHRGVHLS SWEASLHRATSIPAASECRVCLVCYQIYKHQAAVCDTAAAIHRFFVPPPIMPTLLVDS AGRRAKHDSILQRIDAFRQERDPHDYSMPEEEESAADHLRIVRGPDVDPNCQQFCFFL LFHELQDVLSRDDPTNYHLEYQLGQTFSTLSFDGPKVHTAHRWQLCEARAHYAVATPD ALRTMCRDHKIQIKMKTTHTHAFEGHAVLSLKPLLGQASAAAASIDNGGDHDDDDDND RLQGPSHCGVLVHVKTHSLGLLKLKVTLGVFGTHQHDFAALQGAIAGVDFVQEHQVFW PDVSYYQPSIAVPIEWMPLFAPPDLFGLNAEGIAAVAGDGINTRRPSLAPTTAMTTES SLMHDLQRQRRDLELQAPFSTMRRLVGRVGGHIDVVPTFLAATLLRTVSYGSWKSSKS PSVSSWRAPSSYALAPSWTLDQLFRGIVKDSRPLRLEAIALLAELLFVLLDTHCIPSM LPLSDSLEPLVSPYWLQQSPTKVLAWLTPQDAPSSCPSQHRILWNRAVRRCHLAGFTA HPDAAFLATHEWPSSVHMSAADVAAHKKRLRTRLRLLLLMHLFERIEAYDSGYMDMGE FRCLPQQLQQQADAANAADAKATAGGATGSHLIAWDSALRRQWTTAVTETTRDLVASA HFQDAFAGFDQFGSGGIGFREFWELAERGNVSPLMCCASAKTSPGLCLRHGVVAPILV RDAVCAQCDAEYIAMEDYDDDAQPPQAMELGRSNSVMSDASFVSPSLGVSHRPDDEYE GRAGSFEDEDKLYPSSRQYSYFQSMEASSMTKDFQDKVQTRIRQRYRSVERVPDDLNP HTLAAILTRLEAAERDAKTTYFCVHASMPRLPTNHDHPPPHTTDDNYDAQREDHHKAA ALRVLRRRERLRPLAHHPPKKTVHPTQQQVAMDRLHAVPKSRRKRESYAALLQKELHL QLRPPDDLLDRIGRHQRKKKALDNHVVHALKAIHTTLGARHGRATG H257_05984 MPAGRGGGGNRGRRGNGGGNRGDKDTLPGRFRSMLSLSSIETDS NPLGSLLKPCLYFYKFLQPLGDRKLELNLPNTALCEMAEGGKEDLLWLTTDASGHVVH VDRPITWKRKFVADVSVANDDDARTNDDTVVAVRKVPFSKPHVPVQNQTVAVAERDLD RILNGDPKRLSYGVQKYVQCRGAHAALYRVQWTRGSTNNTAVNIVNNLNMSDRSEALR GIGAAPVETDPFEMALRDAAILARFTCVSSAPTSLHVDDNVIHTWPPDLHAAKLRGRP IAPAVDAIQRIVAHAQTHIPAVQFNDMEADFVKDAAGTWWCLQVKSFRYEMRVPGVVA LLRESKLIDSLVHVPKLLRRRPTRCNFHDDDNADISTTDIAAMRQDSSDVHPPSSACF LCHNSFDLTDADALGLVLDDDDQHVSMGAAATSRGYAMTFHMVSDTLTSLRHRGVHLS SWEASLHRATSIPAASECRVCLVCYQIYKHQAAVCDTAAAIHRFFVPPPIMPTLLVDS AGRRAKHDSILQRIDAFRQERDPHDYSMPEEEESAADHLRIVRGPDVDPNCQQFCFFL LFHELQDVLSRDDPTNYHLEYQLGQTFSTLSFDGPKVHTAHRWQLCEARAHYAVATPD ALRTMCRDHKIQIKMKTTHTHAFEGHAVLSLKPLLGQASAAAASIDNGGDHDDDDDND RLQGPSHCGVLVHVKTHSLGLLKLKVTLGVFGTHQHDFAALQGAIAGVDFVQEHQVFW PDVSYYQPSIAVPIEWMPLFAPPDLFGLNAEGIAAVAGDGINTRRPSLAPTTAMTTES SLMHDLQRQRRDLELQAPFSTMRRLVGRVGGHIDVVPTFLAATLLRTVSYGSWKSSKS PSVSSWRAPSSYALAPSWTLDQLFRGIVKDSRPLRLEAIALLAELLFVLLDTHCIPSM LPLSDSLEPLVSPYWLQQSPTKVLAWLTPQDAPSSCPSQHRILWNRAVRRCHLAGFTA HPDAAFLATHEWPSSVHMSAADVAAHKKRLRTRLRLLLLMHLFERIEAYDSGYMDMGE FRCLPQQLQQQADAANAADAKATAGGATGSHLIAWDSALRRQWTTAVTETTRDLVASA HFQDAFAGFDQFGSGGIGFREFWELAERGNVSPLMCCASAKTSPGLCLRHGVVAPILV RDAVCAQCDAEVQYGGLHCDGGLRRRRPAAPSDGARTIQFSHVRRIVCVAIFGCFPSS RRRVRRTSWFV H257_05984 MAEGGKEDLLWLTTDASGHVVHVDRPITWKRKFVADVSVANDDD ARTNDDTVVAVRKVPFSKPHVPVQNQTVAVAERDLDRILNGDPKRLSYGVQKYVQCRG AHAALYRVQWTRGSTNNTAVNIVNNLNMSDRSEALRGIGAAPVETDPFEMALRDAAIL ARFTCVSSAPTSLHVDDNVIHTWPPDLHAAKLRGRPIAPAVDAIQRIVAHAQTHIPAV QFNDMEADFVKDAAGTWWCLQVKSFRYEMRVPGVVALLRESKLIDSLVHVPKLLRRRP TRCNFHDDDNADISTTDIAAMRQDSSDVHPPSSACFLCHNSFDLTDADALGLVLDDDD QHVSMGAAATSRGYAMTFHMVSDTLTSLRHRGVHLSSWEASLHRATSIPAASECRVCL VCYQIYKHQAAVCDTAAAIHRFFVPPPIMPTLLVDSAGRRAKHDSILQRIDAFRQERD PHDYSMPEEEESAADHLRIVRGPDVDPNCQQFCFFLLFHELQDVLSRDDPTNYHLEYQ LGQTFSTLSFDGPKVHTAHRWQLCEARAHYAVATPDALRTMCRDHKIQIKMKTTHTHA FEGHAVLSLKPLLGQASAAAASIDNGGDHDDDDDNDRLQGPSHCGVLVHVKTHSLGLL KLKVTLGVFGTHQHDFAALQGAIAGVDFVQEHQVFWPDVSYYQPSIAVPIEWMPLFAP PDLFGLNAEGIAAVAGDGINTRRPSLAPTTAMTTESSLMHDLQRQRRDLELQAPFSTM RRLVGRVGGHIDVVPTFLAATLLRTVSYGSWKSSKSPSVSSWRAPSSYALAPSWTLDQ LFRGIVKDSRPLRLEAIALLAELLFVLLDTHCIPSMLPLSDSLEPLVSPYWLQQSPTK VLAWLTPQDAPSSCPSQHRILWNRAVRRCHLAGFTAHPDAAFLATHEWPSSVHMSAAD VAAHKKRLRTRLRLLLLMHLFERIEAYDSGYMDMGEFRCLPQQLQQQADAANAADAKA TAGGATGSHLIAWDSALRRQWTTAVTETTRDLVASAHFQDAFAGFDQFGSGGIGFREF WELAERGNVSPLMCCASAKTSPGLCLRHGVVAPILVRDAVCAQCDAEYIAMEDYDDDA QPPQAMELGRSNSVMSDASFVSPSLGVSHRPDDEYEGRAGSFEDEDKLYPSSRQYSYF QSMEASSMTKDFQDKVQTRIRQRYRSVERVPDDLNPHTLAAILTRLEAAERDAKTTYF CVHASMPRLPTNHDHPPPHTTDDNYDAQREDHHKAAALRVLRRRERLRPLAHHPPKKT VHPTQQQVAMDRLHAVPKSRRKRESYAALLQKELHLQLRPPDDLLDRIGRHQRKKKAL DNHVVHALKAIHTTLGARHGRATG H257_05984 MAEGGKEDLLWLTTDASGHVVHVDRPITWKRKFVADVSVANDDD ARTNDDTVVAVRKVPFSKPHVPVQNQTVAVAERDLDRILNGDPKRLSYGVQKYVQCRG AHAALYRVQWTRGSTNNTAVNIVNNLNMSDRSEALRGIGAAPVETDPFEMALRDAAIL ARFTCVSSAPTSLHVDDNVIHTWPPDLHAAKLRGRPIAPAVDAIQRIVAHAQTHIPAV QFNDMEADFVKDAAGTWWCLQVKSFRYEMRVPGVVALLRESKLIDSLVHVPKLLRRRP TRCNFHDDDNADISTTDIAAMRQDSSDVHPPSSACFLCHNSFDLTDADALGLVLDDDD QHVSMGAAATSRGYAMTFHMVSDTLTSLRHRGVHLSSWEASLHRATSIPAASECRVCL VCYQIYKHQAAVCDTAAAIHRFFVPPPIMPTLLVDSAGRRAKHDSILQRIDAFRQERD PHDYSMPEEEESAADHLRIVRGPDVDPNCQQFCFFLLFHELQDVLSRDDPTNYHLEYQ LGQTFSTLSFDGPKVHTAHRWQLCEARAHYAVATPDALRTMCRDHKIQIKMKTTHTHA FEGHAVLSLKPLLGQASAAAASIDNGGDHDDDDDNDRLQGPSHCGVLVHVKTHSLGLL KLKVTLGVFGTHQHDFAALQGAIAGVDFVQEHQVFWPDVSYYQPSIAVPIEWMPLFAP PDLFGLNAEGIAAVAGDGINTRRPSLAPTTAMTTESSLMHDLQRQRRDLELQAPFSTM RRLVGRVGGHIDVVPTFLAATLLRTVSYGSWKSSKSPSVSSWRAPSSYALAPSWTLDQ LFRGIVKDSRPLRLEAIALLAELLFVLLDTHCIPSMLPLSDSLEPLVSPYWLQQSPTK VLAWLTPQDAPSSCPSQHRILWNRAVRRCHLAGFTAHPDAAFLATHEWPSSVHMSAAD VAAHKKRLRTRLRLLLLMHLFERIEAYDSGYMDMGEFRCLPQQLQQQADAANAADAKA TAGGATGSHLIAWDSALRRQWTTAVTETTRDLVASAHFQDAFAGFDQFGSGGIGFREF WELAERGNVSPLMCCASAKTSPGLCLRHGVVAPILVRDAVCAQCDAEVQYGGLHCDGG LRRRRPAAPSDGARTIQFSHVRRIVCVAIFGCFPSSRRRVRRTSWFV H257_05985 MSAFGRYLNAGSNNDAKASATGFASAIGPPSGSTASAAVSRLGG ILSAASKLGSTLGVSAAAPGPVPKQQPEKVNRDGQMSEYVYEYDRVDGTVLRPSIDPK RYGDDRAVVGVGDDVFPTIALDDECEWTSAWEVDMSNWASVDKDGWTYGADWTDVLKL SRDELSHATKHPNDCVRRRRHIRYWKRRDDNSAEGGDAANDAWSGDADTTLMDEDNDD PFSRTAQKKQSGFRFAARAGKDFTKDYNNIGDLNSLSWLVNASETSAAPTDEAIREKT ANLEERIKEASEKSAAQEQALRLKHQKKAKEVALQQRKLALLIDQYRKVKAEHDVLQT NAVELTRVVEKLRKEATEKDILANDDQRAINAEMQAANQALEEKAKALATARIRYKRD NKSLTAAIQAAKLRLEQQEQAAAAGTAQDPAAKELEEMVDKLTKLHAKVDAVKQHRLA IEEERKEMFNQVVEKKSDLRLQSKLKVETSLADVDSKLSSLKSEQENVIKSFATKPEG KVLEQLNKRRNEIRNEMSALKERRMELTVKQRQVEL H257_05985 MSAFGRYLNAGSNNDAKASATGFASAIGPPSGSTASAAVSRLGG ILSAASKLGSTLGVSAAAPGPVPKQQPEKVNRDGQMSEYVYEYDRVDGTVLRPSIDPK RYGDDRAVVGVGDDVFPTIALDDECEWTSAWEVDMSNWASVDKDGWTYGADWTDVLKL SRDELSHATKHPNDCVRRRRHIRYWKRRDDNSAEGGDAANDAWSGDADTTLMDEDNDD PFSRTAQKKQSGFRFAARAGKDFTKDYNNIGDLNSLSWLVNASETSAAPTDEAIREKT ANLEERIKEASEKSAAQEQALRLKHQKKAKEVALQQRKLALLIDQYRKVKAEHDVLQT NAVELTRVVEKLRKEATEKDILANDDQRAINAEMQAANQALEEKAKALATARIRYKRD NKSLTAAIQAAKLRLEQQEQAAAAGTAQDPAAKELEEMVDKLTKLHAKVDAVKQHRLA IEEERKEMFNQVVEKKSDLRLQSKLKVETSLADVDSKLSSLKSEQENVIKSFATKPVR V H257_05986 MCHTKPLSALRRVSFTPRRSMATLTTSATTESSSSTPSVRELAV ERGWKLYNDLHQPKRIVAPMVDQSELAFRMLTRKYGADLCYTPMFHARMFHEDATYRE TAWQVEATDRPLFVQFCGNNPDVVLNAARYVEGHCDAVDLNLGCPQGIAKKGRYGAFL MHEPDVVRAIVSKLSSNLSVPVACKIRLLPKYEDTLAFANMLIDAGCCWLVVHGRTKE MNKHLVRECDWNAIARLKKALPIPVFANGGIETDADVQRCLDETSVEGVMSSEGILER PALFANDRDPHGVPLRQIDLAIEYLELAKQYPPPDKFLRAHLFKILFQELKVHTDLRE QIGLGHTHEALVAITAELKARLDAPDAEPIPASVEASWYRRHRKQQEIADRKPAMEKC DDSGFTDFSSLFG H257_05987 MSRSALTRVEMQQALASRATATTNPMVHAIYPGTAMMQVPVDPY YVEEARRVKENQLPIHGNQTTYNFNTLLYDNVMNSDYFRKLYELATYHEVVDEIYYRV DHAEPWAAGTSRIPSTCFCLLMKFCTMRLTVNQMQGLLKHVDSPFIRCVGFLYLRYTC DPELLWEWYEPFLDDEEEFNASSNDAILTTMGAWIRSLLEDLNYFNTILPRIPKKIQD GIKVKLLLHGQKKQRQAANLAIVEYLVKGTKVKAMYTDEDHPPAMYDAVIDEVDDVTH EYWVTFPEYGNTEKVSLGDIAFEKPAPPPSKSKRSRSISRSRDRHHHRSSRRRDRSTS RPRDRHRSSRRSPSEERSRHRHHRHARRGSSRSRSRSRERKDASGALTDDLMAQVREQ ERRKAEAVGKDYAARPASYKGSLSLKQDRFTTRKRSRSPAKRDVEFVELEKPKEGTLA TTSSRPVQMSREAEERRRKLVETYGDAASKSKPAMSSSSFA H257_05987 MSRSALTRVEMQQALASRATATTNPMVHAIYPGTAMMQVPVDPY YVEEARRVKENQLPIHGNQTTYNFNTLLYDNVMNSDYFRKLYELATYHEVVDEIYYRV DHAEPWAAGTSRIPSTCFCLLMKFCTMRLTVNQMQGLLKHVDSPFIRCVGFLYLRYTC DPELLWEWYEPFLDDEEEFNASSNDAILTTMGAWIRSLLEDLNYFNTILPRIPKKIQD GIKVKLLLHGQKKQRQAANLAIVEYLVKGTKVKAMYTDEDHPPAMYDAVIDEVDDVTH EYWVTFPEYGNTEKVSLGDIAFEKPAPPPSKSKRSRSISRSRDRHHHRSSRRRDRSTS RPRDRHRSSRRSPSEERSRHRHHRHARRGSSRSRSRSRERKYVVVMTRMRRDDDHVGM RRER H257_05988 MSFKALFVTLLAFVSVVAAAGPKITHQVYFDISIGGHNAGRIVM GLYGKSVPKTVENFRALCTGEKGLGKTGKALWYKDSTFHRIIPDFMIQGGDFTHGTGT GGESIYGEKFEDENFKLKHEGPGTLSMANAGPNTNGSQFFICTVKTSWLDGRHVVFGR VISGLDVVKAVESVGAGSGTPSQTVTIKASGELAEDEFVTVE H257_05989 MEQPSVVEHVDQHDHHGHAQAAAFAREYEQALLQDDGPLKDDKF KLAVQSLFVCECGKHIVNANEYNIARHKSSKRHAEALSNSDSEFFICECGKRLLRSQK ENEDNMAQHRASRKHIQAMAKKQKLVHTDLCLPGRPDDTVWSSTLQSLRSHVFSLELI KEAEDELMRGLSSLEQEYQRTSSLLMTVEKSQHKAHQKAEKLQSENMAIKASVAAVLQ ARDQEMKILKNIADMH H257_05989 MEQPSVVEHVDQHDHHGHAQAAAFAREYEQALLQDDGPLKDDKF KLAVQSLFVCECGKHIVNANEYNIARHKSSKRHAEALSNSDSEFFICECGKRLLRSQK ENEDNMAQHRASRKHIQAMAKKQKLVHTDLCLPGRPDDTVWSSTLQSLRSHVFSLELI KEAEDELMRGLSSLEQEYQRTSSLLMTVEKSQHVGPPSMLMIMAVVSWVDGRKRTKKP KSCRVRTWRSRRPWRQCSKRGTKR H257_05989 MEQPSVVEHVDQHDHHGHAQAAAFAREYEQALLQDDGPLKDDKF KLAVQSLFVCECGKHIVNANEYNIARHKSSKRHAEALSNSDSEFFICECGKRLLRSQK ENEDNMAQHRASRKHIQAMAKKQKLVHTDLCLPGRPDDTVWSSTLQSLRSHVFSLELI KEAEDELMRGLSSLEQEYQRTSSLLMTVEKSQHKAHQKAEKLQSENMAIKASVAAVLQ ARDQVMCLTLVVHIE H257_05989 MEQPSVVEHVDQHDHHGHAQAAAFAREYEQALLQDDGPLKDDKF KLAVQSLFVCECGKHIVNANEYNIARHKSSKRHAEALSNSDSEFFICECGKRLLRSQK ENEDNMAQHRASRKHIQAMAKKQKLVHTDLCLPGRPDDTVWSSTLQSLRSHVFSLELI KEAEDELMRGLSSLEQEYQRTSSLLMTVEKSQHVGPPSMLMIMAVVSWVDGRKRTKKP KSCRVRTWRSRRPWRQCSKRGTK H257_05989 MEQPSVVEHVDQHDHHGHAQAAAFAREYEQALLQDDGPLKDDKF KLAVQSLFVCECGKHIVNANEYNIARHKSSKRHAEALSNSDSEFFICECGKRLLRSQK ENEDNMAQHRASRKHIQAMAKKQKLVHTDLCLPGRPDDTVEMDKRLDDHSYVYPIGVV VHVAIPT H257_05990 MGWEADTCRVECQSTTWEEFMQGKPKSWGSWKNKRFPQFYLCER LFGSTLARGRNVLASTAEEPQAFLDDDDDARSEHELSTGGDSELQYAPEGWRRSPSDE DASQRQRDDSRDDARDGARDDSLENATRSRISANDEDSVPSKRRRSTMASQLSQDFRA IADQNAKEMELLARALGPPVQASNVPHCARAIEVLQADFEDMLSVDELVKAFEVMENE TKALMFLHMNGPVREAWIRRQISQID H257_05991 MKQVACLLILRRRAQRRRQLAMLAYMAYHYAAYLLKSPKRVSAL TGAMWVEEMLVGNADAFIEMFRMPRPSFIALLEELMRNGDLKATRAVTCQEQLCLFLY FVGHKPSNTNMQQRFQHSGETISRHLRRVVASLLAIAPRHIFLPPSNGPTPVQIATNS KLSPYFDDCRMAIDGTHVPVWVKAGESAPYHGRKGVTMNVLAACDFDLHFTYVLAGWE GSAGDGRVYTDALLRGLVLSPTKFDILDAGFALTKKCLTPYRSTRYHLKEYGQGRLKP QTKEELFNLRHAQLRNCIERIFGILKMRFPVLSCGVRYDYSFQVSLVMALCVVHNFIR RWGVRQDRFEQDADILRRQQQQEAVSDQNDPADHVEDADSDEAKLWRDSIAGTMWVNY QNLLNERRRRLS H257_05992 MPGKSDDEELSGSEEEEVSGSESGSGEEEEEEEEEEEEDEAAKP PKADKKQPSFKDKAVDDVKERPLQNQPFDAAVDVSDSESITDAQSPKKESGKTLKNQP FDEALELSGSVNDLELPTKDAAPKKEAKELDKVEEKKPLVEKGAAAASTSTAAAPKKS TNAPPEKGEVKNKPFDEEVDLSDSEASVDTSGAPSPEKPKPEKRLSMNQTLQTMPKAA TDKAAVAAVDEKPPKDVKPANPSAASSKSQPSSKDSKSASASSSSSKKKKKNHESSSE GSEDDDEDDEDDEDEDDEDDDDDEATGGGGNAAASSGSAGGGAAIGGSGMGVVPGAYK ESDFAHLKVTQDIRELFQYIGRFKSQDIELETRLKCFVPEYIPAIGDMDTFLKIPRPD NEADQLGLKVLDEPTIAQSDATVLDLQLRATSKKKHGDIVVRSIEHAEKNVKEIDRWI KSIADLHRTKPPPQVHYTKTMPDIETLMQVWPDEFEELLAKTQLPHADLDVTVDQYTR VICAILDIPVYKNVYESLHVLFTLYLEFRSNQHFMNYEVDNTAMSSASALGNMDTGGN RSPMVAESK H257_05993 MLRNCARIIPGSAASRCFATSSKLTNMKSINLKLIMSTFLLRVH PDVMQVDRNSMKEVDQSIKDHNENAMKTLNAYLDIASAGCNGELKADDLSTREFPLEF FIPTTKKVQRSLRKIKTAGDAEVIHIKGNMYTRISHFVKLSDKLVEASHHALMHRRTV NVAAVHWRKDTNAVLRDLFRQADLPVLSAHPNGELIPWDEETIREADAEAVFESNETF EKKFRAMLVRERDVVFKYTTGFEEHVENHQVLLSLVRRIHADALAADEQQPAFNWMGE TLLRNFMDLRLANPVWNRVVLILSDGENTLEVLRDEADPCQIAFVVGFTHDVDRLVDF MCAEVAQLEAALDAAYAPGKTAQRHRSSHKRRIQAAPKRLY H257_05994 MATHDGMDRPRSPKKSEVYEKQLLNRSASMDPVWQAATSQMAKM KCARVLRVRRYRIEQCMTLLSLVSVFATILVMQFEIVSSTHVVDVSSLRFDTVVLVFR TIVSATTLFLIGLILFRADTVCKLRIVTFRLPPHTKYYHPSAGLLMKILFEVALVSVH VPPGWNHPFMDTRFMSASILNTTTGLQSCPDESHRLAGSFCYKDLPWPSSQLDVVVFV RLYMLFRWLRHRLGFESVDIEWLGRSSSTSKIDTLIHIYALVVSGTEWHVQTQSLAFT VKYMFRKHPVRFAAVAFATTWMFTSVLVEFIEHAINVDLDSTVEALWLLVLTMSTAGL GSIPPKSFQGQVVVAMGGIVGGAIISALITSVLIQSLRVTDAEAAVVDILVARHVQTD HQVAAIHVLERFGQYVVLLTRHATTRTALRHAKTHLFWSTVTFQASRHALAHLNQSAM HVLDRKTAAVKFRTTALLSAPTAAHTAASMDALERKMHAVHSTLLVNAMAHRPGHSHR HPKGG H257_05994 MATHDGMDRPRSPKKSEVYEKQLLNRSASMDPVWQAATSQMAKM KCARVLRVRRYRIEQCMTLLSLVSVFATILVMQFEIVSSTHVVDVSSLRFDTVVLVFR TIVSATTLFLIGLILFRADTVCKLRIVTFRLPPHTKYYHPSAGLLMKILFEVALVSVH VPPGWNHPFMDTRFMSASILNTTTGLQSCPDESHRLAGSFCYKDLPWPSSQLDVVVFV RLYMLFRWLRHRLGFESVDIEWLGTEWHVQTQSLAFTVKYMFRKHPVRFAAVAFATTW MFTSVLVEFIEHAINVDLDSTVEALWLLVLTMSTAGLGSIPPKSFQGQVVVAMGGIVG GAIISALITSVLIQSLRVTDAEAAVVDILVARHVQTDHQVAAIHVLERFGQYVVLLTR HATTRTALRHAKTHLFWSTVTFQASRHALAHLNQSAMHVLDRKTAAVKFRTTALLSAP TAAHTAASMDALERKMHAVHSTLLVNAMAHRPGHSHRHPKGG H257_05994 MATHDGMDRPRSPKKSEVYEKQLLNRSASMDPVWQAATSQMAKM KCARVLRVRRYRIEQCMTLLSLVSVFATILVMQFEIVSSTHVVDVSSLRFDTVVLVFR TIVSATTLFLIGLILFRADTVCKLRIVTFRLPPHTKYYHPSAGLLMKILFEVALVSVH VPPGWNHPFMDTRFMSASILNTTTGLQSCPDESHRLAGSFCYKDLPWPSSQLDVVVFV RLYMLFRWLRHRLGFESVDIEWLGTEWHVQTQSLAFTVKYMFRKHPVRFAAVAFATTW MFTSVLVEFIEHAINVDLDSTVEALWLLVLTMIWTTSRQRAWAASPPSRSKAKWLWLW VASSAVPSLAPSSRPSSSNRCA H257_05995 MSALSLRNERPDDVSRRARWPWQVHKMNLDLLWEHEKRRVADGG GALMSSMASVTDSDVGDIELTKKTKPTLLKFKKLGPAGAQTSFLDSNQCIPHLCTDDT IFERSGYALDYEGHMNKRLNQPPPSYHTTTSPSAGGVPTSINSHTSSHATHQSSTDES NKKRAIDASKRKPSTPLKAVSVIAATATTTAPTGASSPLPARHDKLSLNSLLKTAHTT AQQPTHQRTEVDPTSNAPPKASAERTIQRYVGDYFSTRGEYVDGMLYMSRMKKSHVIL YGSTPLSSSHVGASLSAAGPPVQALDGVKLKETLASAALATDKADGNNDNDVPALSSP VSTTVGGASVKSTQAQRCASTLANWSSNPANARVMVQEGVVQAVMVLSRNDDVATRIH CVTTFMNLSNVSELRQGIIQLGAVKTLVAVLSGCDDRTLQTACALTLCNLCCLAGEEV TLVADSAVGALMALMNDAPGVAAISERALFNLTCVAEPYAQIDVVVKALVSLASSSSM MMASGGGGSTSGAMSGMGNAVVRERTLHMCAMAFVNLSNMKRVRSRLMEEGIVPAISA LLRSHSLDVKHWAAYVLCNIASMRSCRVELVTKGALNILVALAPHPTLPPKTLHVIGT ILCHLSKEPSIRHRLVVEGLLSVVAAIGQAQGVGSTLVLIASDDIRRVCATAIHNCSC SDDTRVKLVERDGVAVLTALSAGCGSPDVRRMCTLALCNFLMVKQAAMEVVGCGAVTS LLDLAMQPDTPLNSQLLYATAFYNLCHNPISRDSIGTTGGVAALAALCTANLPPPSPT SSLAVGVLTLCAASLCYLAADDSTRLYVATADVVHVVTRMLQTSASQAAACVPIQRFG VACLSMLSQDQLCATWILDECGIDAILAACANSRDVETKACCCDLLASLSYHTHCRQK LVSIGVLPSLTKLAKLRDPDIQRRCATAIGNLASEASVHDALLAANIVHVLSILSNSY SEESQSDCAKALCSLSTTPGFETKLVAEGAVGVLLMICAVRSGSLATKETCARALGNL LTSSTMDAMLHEGLAKLLPSLAKGGDGPASPVPATIFAKLLRHPHARLAICSESQALR TLFAYMSRDGHDAATIDLLSSIVCDLLVHANTRHKAVEDGLVAAVVDLARRTQWSNAR LVAALLLLAASDDTRMDAVQDDSVHMLLAYLEPSSGHDVASPVTWTVVTALCHLGWHD VTRSHLHDPRVVVALVTLVLPRLLTLLQDPSAASTTPASTVLDATLLTLASLSFRPDH ANTMLQHGICLALVGVATLPALPPLHRRLVCLLLRQVSHADSFHALLHVRDSRQPVLA LVCTLCLHPSSGFLDDVAALDCADALCSIAAADGLAPKLVVEDFVGSIDALLHLSPSP DIQWRCTAALYMLSNEPSCRKAMVDLHCTNTLVRVARSSAANHPTLQMCTLTLMHLSV DAGNAAAQMVAEDAVSALLHLSTFDSDVTRDACSLALANLSHESPPVPSGSVLALIHL SMHGKSTTSSSPTSGGGVAHPPHITTPHHESNAAPPVYTYDEVDYATMMAAKYMADVA DRQPPIPHLPTITVDPESLHASMDNPGGGSSNVTSSSAHDHDELSTAQQLVFQKIEPT DPTHLGGGGLDGGGAGLLDDLQEEHEANCDDMASPASPEPKHGDGNSDGVGSHGRAGS CSSSTTSSHDKSSRQLLNHRRTTKGLLKKRSNIAMLVVNAPGSFRAKKSSDSEIYRLK KRPSKVVGLSATNVPCSSSTSSLSSSSTSHGGLAPSSSSRVHTAAKKGVQPLPPDVQD VVQNADDLRQQARKMGLWS H257_05996 MRCGAYPWAYMMWAFHTACGTDMDHSVDGWTSEVQIDASLGADI DDNVPLEESLARCKAQGYTRGNSHLDSSLDPTFVKHCNKIQYMELILHAQEGSNHNDP HTPSPTFPVRIWPNDAATVNDDASFQDFFQKHVLHAEPAEASDVLSLPPAFLATCFDP ALGSSTLNIHSPPCQDLLPSFHVPTCVANDYMQRINTSHPITLPTLLRTESPVATHCR YGLNMALVSVEGAGGLSVSVSTRAPSADEYMLPLRQDPVDTTPASPPSSPSSAQSSLV LGYGRVLFIPNGHAATFQGAALRFCYTDASNFNRMKQHLRVEALLDPAVRARLVAFQD TTFDSSMIRRVSRVHTAWPSFRQWPKPQHLAKESRHDGSTSSLSRRERYKLWQDDRKW DTLIKGLTLPVTRPPLVLDAAQDIHRTAITLTWQDVFQARKGDITRYGYQVSWVPDKS SIQSMPATADIGIQRRNLSSAELVRSALPTTAFGDAFDGKAIQGTITGLRPNTSYTFS LQLYVGDDIGLHSERSASMRTASMGVPSVVRGQPIVLQNTLLEAPSCVSLSWLPPEDD GGCDIVGYVVSARYDPRPKTAADSSVDDAMTWHPFNTTSQFDVVQSVVVSTRELTLDP TNVTGAVCNLRMRQTYQFQVAAMNALGVGSFSMWTPPLLPSQVSTASTSKARQDVVRG VGAPHFHRVYDREHLDDLASAGGDDVVGPVMHLSDTQQLLQPYQLIAPSLSTAVLRYD ANGKLVWTSWFAHVWPGHFSPKLYSVVAELVVANPILADKPLENNVRDRLVVVRRGKL PLFNKVYHAQAAGALGVIILDEICDDFDHHCSAGSNLAFGEGFGAQDDAAVWAAIRIP HVLLRRGDAADLVARLPVASQSLLTDESI H257_05997 MVVSTSVVIAVAVVLVVLWVLILFIFATSIRIVNHAEVMILERF GRYKKTLLPGMHIIVPIVEQVRPIAWRHRSRTIQNGDAPVISATTERVDMREHVIEFS RQHVITKDTVMIDIDALVYFRIVDPRLAVYKAQNIPDSVELVTQATLRNIIATMTLDD TFSSRDEINYELLSKVKHDVERWGVTITRVEIFDIIPPMDIKLAMEQQIKAERWRRSE VLEADGQRESMIVNSRGDAARMVLRAEGDRASMLLRATGEAEAKMLSSKAEAQSMESI RAAITEAGVRAVDYLTAIQYLNVLRSININPSRTSDMRLMLLPMDTVNGVNDLVKLNS H257_05998 MWCFNPGNDEQPSVAPSSSARHCSSSMEKLRSANKNVLGTYDTP PTWVSDESIPSCAACHDDFDLFNRKHHCRGCGGVFCGTCTPHSGKVLKLGLTTDVRLC GPCATSAQRENGFYARHLPLLEAGAVFVKYGFLVERTVFVKWVDGTVQYQSINVMSNV LSGIVKAIPFDTISSLTPVGVSGLYLTTPSQQHRLDAADPHIRDLWLQALRSALEMRQ FQVQKIEEETAARVALEHQEMTRVMIGLESIELRKTHMQQTRLEKNQSRREALRAKYG LDVATTTGP H257_05998 MWCFNPGNDEQPSVAPSSSARHCSSSMEKLRSANKNVLGTYDTP PTWVSDESIPSCAACHDDFDLFNRKHHCRGCGGVFCGTCTPHSGKVLKLGLTTDVRLC GPCATSAQRENGFYARHLPLLEAGAVFVKYGFLVERTVFVKWVDGTVQYQSINVMSNV LSGIVKAIPFDTISSLTPVGVSGLYLTTPSQQHRLDAADPHIRDLWLQALRSALEMRQ FQVQKIEEETAARVALEHQEMTRVMIG H257_05999 MADRVVAEYGSMCPMLPLDSYVMEGEGDYCSETRLPNDDNAERL DLCSDSEDVRTA H257_06000 MSNWQPAPDALNEVVALLQASTVPDNAIQQQSYQRLNQYSVQPE FHLYLLHVFAHHPEIGVRQVAGLLLKKSIKNMYHNLSPDMQTHMRTSILSLLTDPHPK IRSTAGLLLTTVVQVLVTFDQCPEMIPGLLHYLQDAANESGVEGAFGALTKICEDHAD KLNDQRLASRPLDALIPIFLQYFNHPRPEFRRDALNCMNQLILIMPTALDLNIDAFLQ GISHLTQDTSSPVRKLVCQSIVILLEVRMQVLMPHFTPIVQFILHASNDPDETVALEA CEFWSSICDLPPELFNDVKPLLSHVLPHLIPLLLSRMVYSPEDIAQFELEEDEQNENV PDRPEDIKPIFHKSKHAADDDASDDNDSDDEEDDTGDDDVEQWNLRKSAAAGLDTLSH SFGVDILPILLPLLQERLANTGGHWAIRESGILALGAIAEGCMDGVVPHLPTLFPYLL TLMNDPAPYIRSITCWTLGRYANWAVSLNDHENVLKPLMTSMLERVLDGNKKVQTAAC SAFCTLEEEAADDLIPYLAPILHNLMYAFGRYQARNLLILYDAIGTLADSVGEALNYP DLVAVFMPPLIAKWHAVADDNAELFPLLECLTSIASALGLGFQEYARPVLDRCIRLIE TGLLSSALATHRPQDVEPTDPEFIVCALDLVSGIAEGLGANMEGLIAPTNVLNLVVEC VRFPLHNVRQSALAVMGELAKNCFSLVGPHVQDIVPHLLRNIEPDYPSVCNNASWALG EIAVKVGADIGAFAPDAINRLVAVLGYQDPRPTRSLQENCAITIGRLAYVAPQALAPL LPQFASLWFKTLRVLQDGEDREVAFTGLVKLVQLNPSGIVQDFMQLCVAFASLEDRKK DGYQISEGLHEMLQQIVQGFKRSLGDQWPAYYESFPQPLRDIISARYHV H257_06001 MKSQRDDLPAAKTSGGRGSSHRKLDTDMAISHEKPPLKAMDNPE PARGWTSDEHLRFLDGLELHGTSQHLRGDDDGSTPWISIAAHVQTRNESETKEHGERY LHALLTQSLLATKGQGGSTTWTADEDAVFEAELAKWIHTPHAWTKIAMQLPGKTVYDV MDRYDTLLRDLSAIESGVTPPPIADMHDANMTLICSIANAHGNHVLFDVFKDAYDNPC SAEIATYVASAVVGMVAATSAGTTNGDSAQSATLVKRGRPKGKVAKPLATMGMKKKPP PFKAKKSPKRKKSNGGGLASHRQTTTHHQNQHAPPPSRGGNFASTSGGALGLTLPPLF DGKMLPGPGMLLLTPGRLNRTDDAPPLHRTA H257_06002 MTMKVVQNAGEDHLHLTPPLTVDTKAALPFVSALLPWLYLVHHT DAKQLDRVLAILAKSDGRDKACKITQYMCKLVMAINQPTYKPLVGKLATQLSGTRRVL RLGRCLKFFPNAMAASQEPHGWKRAVATLGAVVGGAGDFGDDVCWASDMNLLSTSVAT SLEVWIDRLWVFSVACDLPLNTSDLLDARLAFVAASNADTADNERTKAAVHLHNCRAT YVSVWLAQVKLVADFFHATRRAYDWPTASPMQDALCGLVSASCAMIKMWQPSILQKQH LS H257_06003 MTARRHSAENPLLDHELEGAHDMLHTSSSMGDIRGVHFDGGLAT PESDDMTQPTAVYRSMFTKRSQSFGTPSSAQRESSSFGYDDITMGIGIGTPPSSTRSM RDGSRASVQDIAKDMVINRKMIDEGVGLAHPEDVRDSIRMIQAYSRREMPLDCDLKAS ARIKKKPCTLMLSRDAFAWFHGSKRVGFIDTDDIVGAESISSSSSRSTSSSSSSSSFR LHYFRKGKGSKPSHALLRTHHQLDVDAPSEAVARTWIQAIQELVRWQARVPPTSQKRR IRVVINPHSGCRDAPRIWERDVKPLFDLAGFDSHVDQTTYGGHAVDMGREYSTAEGYE AIVFVSGDGTICEYMNGLLARPEAEWKQVVATTPISLISAGTQNAFGMGVGIPTTAAA VYCIIKRKLRPLDVCTAMADDNRGTVQYSCCGLGWGVPGDIAAESEKFRWMGTKRYAF LKVKRLLFPKRHSGRLQYVPLKPQPPLRPYDQIKNLGADDQYDVEEDNIYDGIASVRN AHLKAASKLAGAVRSPASPSRFRQDWWTTETGNYVAIGVLNSAPDGAFCHPSDGCLDL IVARKGNIFQMLNLAVLYLLGKERKSSLLSYVKVKAVVITQNEADGVMNMDGEVLPGP GPWRMEVVPSLFKVLSEK H257_06003 MTARRHSAENPLLDHELEGAHDMLHTSSSMGDIRGVHFDGGLAT PESDDMTQPTAVYRSMFTKRSQSFGTPSSAQRESSSFGYDDITMGIGIGTPPSSTRSM RDGSRASVQDIAKDMVINRKMIDEGVGLAHPEDVRDSIRMIQAYSRREMPLDCDLKAS ARIKKKPCTLMLSRDAFAWFHGSKRVGFIDTDDIVGAESISSSSSRSTSSSSSSSSFR LHYFRKGKGSKPSHALLRTHHQLDVDAPSEAVARTWIQAIQELVRWQARVPPTSQKRR IRVVINPHSGCRDAPRIWERDVKPLFDLAGFDSHVDQTTYGGHAVDMGREYSTAEGYE AIVFVSGDGTICEYMNGLLARPEAEWKQVVATTPISLISAGTQNAFGMGVGIPTTAAA VYCIIKRKLRPLDVCTAMADDNRGTVQYSCCGLGWGVPGDIAAESEKFRWMGTKRYAF LKVKRLLFPKRHSGRLQYVPLKPQPPLRPYDQIKNLGADDQYDVEEDNIYDGIASVRN AHLKAASKLAGAGTLSPSKHI H257_06004 MAVRDTPLTSVLTRQDSGYFQRDNISYTMSEGPRSTLFDKHLEE LYSSDDPVDGVAFFESAGYYDEVEGNTVAGFIQTWGRQTFWGEDNPPTTMSSDGTLTY VTTKSDRQHFDDGEDKASLDELFHDYMQSRAAVSKIHFETRKLRNNLEQTSCRSGKDT MAAIDRLRLKLLCDTDLEDDTDDDMDSEASFTGNYLMGINLNLPASAMAPYFGHLRVL KTGRVHLFGSFNKRWFYLDFAKGELSLFARSYWKSPKGRVDLRAVARISPINDTDFTI ELAGEHSVYVRANTVEKMQSWITLLLYARKHARLHDHTVSQRAIPSHAFNSTPPTLRT QQPHVSSVRSSPVPRTFQRSQSWNPGKLFKKFGPSSTAA H257_06005 MQWLEVTHRPDENCFEEAMQMASETGQFLHGYRPGAVTPASVLE AVESDHLDLVV H257_06006 MNGAADGGHFSVVIWLHRHRELKAVQLTQWTMQHQGRGDLTTMV ESIFACQPKAARAKNGHLSVEHFLRTHTTQGCTDRAGSMCRHLKVLHATQRLVVSTWT LIHVTKIDGDLTVGQWFLQPGVLAQHVQLGHVIAEAGRFTEVHFLLKTSGKHTSGKHT SGKHTSGMHSSWGQLGTP H257_06007 MNGAADGGHFSVVIWLHRHRELKAVQLTQWTMQHQGRGGLTTMV ESIFACQPKAARAKNGHLSVEHFLRTHTTQGCTDRAGSMCRHLKVLHATQRLVVSTWT LIHVTKIDGDLTVGQWFLQPGVLAQHVQLGHVIAEAGRFTEVHFLLKTSGKHTSGKHT SGKHTSGMHSSWGQLGTP H257_06008 MQRERLTVAFPEHFRCHITTKCHHIVTAVSDALDETFQQQMPKH QSSLWGRLSQEK H257_06009 MPGVKRVQLDGWGSHAAGKVICVDSDRSTNSHMAAAPAYHYYHN SFHAQNQPIFKQESQPTLSSSYTSKQHPYMYGQSPPPPPSIDAAQVEIARHCTAIAKQ VPHLPISLHECSVCLSSNAHTQIAPCGHIFHSRCFLRWYRSNRTCPMCRGGVDRVQVA TPVLEEVDVLMAYDSDDDNMDVTSVSNDPSSLMEDTELLSFLTQDDATTSPSSASALS DDTDLLPMEDMEILEDLASFDEKPLATTYAMPPPPTPLAFTPATTMPNYWMVLNNHGQ TLLPVHPTAARVVPIAPRPNAPVTSSHHFHGSTKPIPSSSNMVVLAPSSTKMHSCRCA GGCRNGRCACVKEGSMCGITCRCTSCKNPFLSIAMAGIDVSSLIKDDCFMHNLSKIRD MMTKLHERLPPPCCATSSHDTLTILDCIDGYTCKTCDKVYDFSWCSNKLCDREKHKRN HCSKCKRCGDHRDVHCDNCNRCYFAGVSSSFACACQEKIKEAAKSTSGSGAAAARGGG PSATTADDGEEGECSIM H257_06010 MLRQLSGSCEATTRTTVGSALGASQFLRRPAFSSTRLPPLTSPI AETPMKPTIPSSSPLPVYIYPLLVPAAGLVTMAMTIGYSCTHQFTCSNVYPTLSTAAK YHPQFSIFAVGMNVTSYMIFLTVSLYSTFLLRTVPTSTSWQRPLAWAYYGFGLLTCIG LSTLATFDLKRWEHIHIAATVAFFVSSWIMMFLAQTSRWMVHYRQPHVVRPHHRRALM WGNVWLGAGIALSVGFGFCYLSVHDHIDNVFHVTYLMEAMCELFSICCQLLFMGTLSA EVGDLERINGYFNSVDAAVLGSVLLSVLAVECA H257_06010 MLRQLSGSCEATTRTTVGSALGASQFLRRPAFSSTRLPPLTSPI AETPMKPTIPSSSPLPVYIYPLLVPAAGLVTMAMTIGYSCTHQFTCSNVYPTLSTAAK YHPQFSIFAVGMNVTSYMIFLTVSLYSTFLLRTVPTSTSWQRPLAWAYYGFGLLTCIG LSTLATFDLKRWEHIHIAATVAFFVSSWIMMFLAQTSRWMVHYRQPHVVRPHHRRALM WGNVWLGAGIALSVGCTYLPRTPKVVVTSHFRVYIVGFCYLSVHDHIDNVFHVTYLME AMCELFSICCQLLFMGTLSAEVGDLERINGYFNSVDAAVLGSVLLSVLAVECA H257_06011 MLAPVSHGCIPRSLLRTIALLDGTEEASFVGTDTHDSIAVWSIT SDFCDRTSSVNEPWTHCDMLPGGLRVLGPVVIVHADASKQDIFEKVDAKVQHRLGNTT KDGTPRPMYVLVHERGSRQVQVYLPPSSSSIHDATTSWNSMTLDNITDDGDDDPTAFL SSIDYTMLRCSFTTSLSWITPPPSTLPSPPPSHDDLFVTALETFRQTMQDPSRSFFQL PTLSSSCQFILAISGDVVHGKLLSNDTSNGRLSLRDVLPAYHERTHGGGAPYRSSHHP VTIHRAMSSSAAGAPVWTAHAPGAQFETVDVAFDVVVCVPWELSVAAALATLIAHLHL QINRVQHVAKLQPSPSSQQDTVRHNSASFALVQYPLHGGAAHPIGLWTRNGLHLAECE RQHLHAMWFQPTTQCLFRPRCQWVDSPSLGHLVNVHVGIPVPEHVSTFSLVQGKVEYY HYNHNGVQDKGWGCAYRSLQSLASWLWWNHYTELPVPSHRDIQDSLVRMGDKPPQFAG SKAWIGSVEVGFVLDARYNVSCRTIHCASGRDLPLHASTLIEHFDTHGTPVMMGGASL AFTVVGVASSAQATDMWLLILDPHYCGPDSDVRALQTKVVAMEGYKALPVGWRRASSF SASTFFNLCLPQRPVSVL H257_06011 MLAPVSHGCIPRSLLRTIALLDGTEEASFVGTDTHDSIAVWSIT SDFCDRTSSVNEPWTHCDMLPGGLRVLGPVVIVHADASKQDIFEKVDAKVQHRLGNTT KDGTPRPMYVLVHERGSRQVQVYLPPSSSSIHDATTSWNSMTLDNITDDGDDDPTAFL SSIDYTMLRCSFTTSLSWITPPPSTLPSPPPSHDDLFVTALETFRQTMQDPSRSFFQL PTLSSSCQFILAISGDVVHGKLLSNDTSNGRLSLRDVLPAYHERTHGGGAPYRSSHHP VTIHRAMSSSAAGAPVWTAHAPGAQFETVDVAFDVVVCVPWELSVAAALATLIAHLHL QINRVQHVAKLQPSPSSQQDTVRHNSASFALVQYPLHGGAAHPIGLWTRNGLHLAECE RQHLHAMWFQPTTQCLFRPRCQWVDSPSLGHLVNVHVGIPVPEHVSTFSLVQGKVEYY HYNHNGVQDKGWGCAYRSLQSLASWLWWNHYTELPVPSHRDIQDSLVRMGDKPPQFAG SKAWIGSVEVSCRTIHCASGRDLPLHASTLIEHFDTHGTPVMMGGASLAFTVVGVASS AQATDMWLLILDPHYCGPDSDVRALQTKVVAMEGYKALPVGWRRASSFSASTFFNLCL PQRPVSVL H257_06011 MFLHDIALITPPPSTLPSPPPSHDDLFVTALETFRQTMQDPSRS FFQLPTLSSSCQFILAISGDVVHGKLLSNDTSNGRLSLRDVLPAYHERTHGGGAPYRS SHHPVTIHRAMSSSAAGAPVWTAHAPGAQFETVDVAFDVVVCVPWELSVAAALATLIA HLHLQINRVQHVAKLQPSPSSQQDTVRHNSASFALVQYPLHGGAAHPIGLWTRNGLHL AECERQHLHAMWFQPTTQCLFRPRCQWVDSPSLGHLVNVHVGIPVPEHVSTFSLVQGK VEYYHYNHNGVQDKGWGCAYRSLQSLASWLWWNHYTELPVPSHRDIQDSLVRMGDKPP QFAGSKAWIGSVEVGFVLDARYNVSCRTIHCASGRDLPLHASTLIEHFDTHGTPVMMG GASLAFTVVGVASSAQATDMWLLILDPHYCGPDSDVRALQTKVVAMEGYKALPVGWRR ASSFSASTFFNLCLPQRPVSVL H257_06011 MFLHDIALITPPPSTLPSPPPSHDDLFVTALETFRQTMQDPSRS FFQLPTLSSSCQFILAISGDVVHGKLLSNDTSNGRLSLRDVLPAYHERTHGGGAPYRS SHHPVTIHRAMSSSAAGAPVWTAHAPGAQFETVDVAFDVVVCVPWELSVAAALATLIA HLHLQINRVQHVAKLQPSPSSQQDTVRHNSASFALVQYPLHGGAAHPIGLWTRNGLHL AECERQHLHAMWFQPTTQCLFRPRCQWVDSPSLGHLVNVHVGIPVPEHVSTFSLVQGK VEYYHYNHNGVQDKGWGCAYRSLQSLASWLWWNHYTELPVPSHRDIQDSLVRMGDKPP QFAGSKAWIGSVEVGFVLDARYNVSCRTIHCASGRDLPLHASTLIEHFDTHGTPVMMG GASLAFTVVGVASSAQATDMWLLILDPHYCGPDSDVRALQTKVVAMEGYKALPVGWRR ASSFSASTFFNLCLPQRPVSVL H257_06012 MKDGWHAHARCTDQRKVDWWRAGVLTERHLKKATEHFTRFQHVV MNHITEAVNAVRQRERSSNASSNVSSKRVLLAGDERNRRAAYWAMKKVEARRLAEEQP IRRSRLEAVNKEPEWKKSLTSTRSGKSTVSKQISAND H257_06013 MATRRPMERHVVVQEHEARDVQLHSRMAPWLPDASTQFPVTTLC TCTCGTQTPRPVWPCALDAVVLSVKSSRGSQREFAC H257_06014 MDTTSLAEFKLISAVAIWLLALGGGMFPIYVLQMNAKVTSTLNM AAAGIFLSASLVHMLPDAAKNAPLLELGCTQFMCFPYAFIIFAVGFLLILLIESMAHA LQERLHGSADERLPLLVNAPHIAHDPADPCVKVEVTHAHMHGLIDTSSNPFLAIVVFA ALSFHSLVEGLGIGAATSTNAWQIFFAIVAHKALAAMALSLELVKHQVQRPRLIGSLF VFASMTPLGVMLGAALAGDDPSDSLAGGVCTALAGGTFLYVGAMEIIPQELHDRRHLL QKCAAMVGAFGAFSLLALWV H257_06015 MLRPSRSTLRRLHLLLHRPSTTIPIVVDTTCGRLRGHSTSSKEK SNDSRTDPNSLQSDQAMKITRVGMYVNLAMAACKGTVGCAVNSSALVADAAHSLSDLL SDIVTLWAVRISRLPPDDEHPYGYGKFEAVGSLTVGAILTAAGVGMGYDAFHILQTGF FDAATATTVAVPSFLDLSFLTSLDRTTQWGIAAGAAIVSIGAKEALYHATVRIGNQTN SKVLIANAWHHRTDAISSVVALGGIVGSMANIPLFDPIAGAVVSCMIAKTGVDICLDS VRELTDKSVEDEVLDLLRHSSSSVEDVVSVSNVRARRMGPYTLVDLRVNVHGRTSVSA AQQLAARVKAEVLRRVPDVSEVLVHIDVANEHDHDDGSSSNSAEQMRPFREIKHDVLH AIKAIPEILSVTHVNVHWVVHRDRPSELGTAYGTDLDVTIVVHPDMAVRDVHNIARRA RREIERLSYVVTADIHLELYDEETMVLDERPPDRASSPTWPSQVDHVTRDANP H257_06016 MLCRLTRQVRAAPLATTRSFTTKKVFLPILRKFKQVNSDAFLPP SLFQQKIILVVNTASKCGLTPQLKSLQELHTRYAAKGLTVLAVPSNDFGAQEPEDDAT LEAFYKSEYNVTFPITTKTKVLGDDAHPFYQAIVEHYTNEVSPAWNFEKFIVDESGDL RAVFPRNVDPLEPEVIETIENLLKNLAPPSPPSTTTPTA H257_06016 MLCRLTRQVRAAPLATTRSFTTKKVFLPILRKFKQVNSDAFLPP SLFQQKIILVVNTASKCGLTPQLKSLQELHTRYAAKGLTVLAVPSNDFGAQEPEDDAT LEAFYKSEYNVTFPITTKTKVLGDDAHPFYQAIVEHYTNEVSPAYVHHHRPHYLSLSL VPSQHPPYIQMEL H257_06017 MHSILARSLRRSVRPQGASAVAKAFSTVGATLEKAVDALPHREA VRAFNNVDRDDDLRFSYQEFNNFVNELTNGFLELQFQKGDTIALWLPNNAENLVAQFA AAKAGLNIAAVDPSISTVDELAFVVKDSKAVALLFDPKNNDSDSTDVAKQVFANQPYA RGLQTVITTAIDPVHGFLQFRRILVNALESHYVEQRTAQIDAAAPLVVSYTRNNGQTP IRGAALTHGDVLKQAKDIVNSVKLTSNDKILLTDVPAGFVVASVAAALKNSMVVLAPS ALKEHAVSLETPSVATDAKAAVFQRLQ H257_06018 MERTLDDIATSIDLTPWTSKLKSFTAFAADNTIRHSNNAHHSVD LSIEAAFVALQAKLSAAGKELVWKDVLLNKFETSLKHAEKRADSNQVELDHAFLEHAK QTMLVESLDLKLRECADARDAAKLMLTDMQSQRSDMVDRCAAMARDMSVAQMLRDQSD RECREWKDRCVQLEADRRVQSQALHVQHQESFAWQTKHDIVLRQLQKREEERRQQFES RQRRVSLQPPPQLPTTHDQGSQVCHVKGTTTCDTGTQTSTHGRIDDDDQTCMELHRAA IAHQQDLLTIASQAAQIDALHANVQHWEESYRRSIHAYQELRRKANTWKGCVVTMLPI YQDSARAVKAMKAAQAEMSKAKHMYEVEGRRWATQLKNVEEMLRQANDQLQLDQQMLV ESKKREKALGRTIKSRETKARELVVALQSTQTKLDAMQAQYTKTKALSKQLSARSSQV HAAWQQERTDRLQAMDEIETFRVALIECCQCAVTLQDNPVQNGPLPASQHPPNMPAST TTWTLEHLRAVTGLADPLVYG H257_06018 MERTLDDIATSIDLTPWTSKLKSFTAFAADNTIRHSNNAHHSVD LSIEAAFVALQAKLSAAGKELVWKDVLLNKFETSLKHAEKRADSNQVELDHAFLEHAK QTMLVESLDLKLRECADARDAAKLMLTDMQSQRSDMVDRCAAMARDMSVAQMLRDQSD RECREWKDRCVQLEADRRVQSQALHVQHQESFAWQTKHDIVLRQLQKREEERRQQFES RQRRVSLQPPPQLPTTHDQGSQVCHVKGTTTCDTGTQTSTHGRIDDDDQTCMELHRAA IAHQQDLLTIASQAAQIDALHANVQHWEESYRRSIHAYQELRRKANTWKGCVVTMLPI YQDSARAVKAMKAAQAEMSKAKHMYEVEGRRWATQLKNVEEMLRQANDQLQLDQQMLV ESKKSYGVGRKPLDERSRAEKQRLGSWSWHSSRPKPSWTLCKRNTPRQRRCPNSCRQG RAKFMPRGNKSGLIACKPWTRLKRLG H257_06018 MERTLDDIATSIDLTPWTSKLKSFTAFAADNTIRHSNNAHHSVD LSIEAAFVALQAKLSAAGKELVWKDVLLNKFETSLKHAEKRADSNQVELDHAFLEHAK QTMLVESLDLKLRECADARDAAKLMLTDMQSQRSDMVDRCAAMARDMSVAQMLRDQSD RECREWKDRCVQLEADRRVQSQALHVQHQESFAWQTKHDIVLRQLQKREEERRQQFES RQRRVSLQPPPQLPTTHDQGSQVCHVKGTTTCDTGTQTSTHGRIDDDDQTCMELHRAA IAHQQDLLTIASQAAQIDALHANVQHWEESYRRSIHAYQELRRKANTWKGCVVTMLPI YQDSARAVKAMKAAQAEMSKAKHMYEVEGRRWATQLKNVEEMLRQANDQLQLDQQMLV ESKKSYGVGRKPLDERSRAEKQRLGSWSWHSSRPKPSWTLCKRNTPRQRRCPNSCRQG RAKFMPRGNKSGLIACSSSTPNSVVNADATLFKC H257_06018 MERTLDDIATSIDLTPWTSKLKSFTAFAADNTIRHSNNAHHSVD LSIEAAFVALQAKLSAAGKELVWKDVLLNKFETSLKHAEKRADSNQVELDHAFLEHAK QTMLVESLDLKLRECADARDAAKLMLTDMQSQRSDMVDRCAAMARDMSVAQMLRDQSD RECREWKDRCVQLEADRRVQSQALHVQHQESFAWQTKHDIVLRQLQKREEERRQQFES RQRRVSLQPPPQLPTTHDQGSQVCHVKGTTTCDTGTQTSTHGRIDDDDQTCMELHRAA IAHQQDLLTIASQAAQIDALHANVQHWEESYRRSIHAYQELRRKANTWKGCVVTMLPI YQDSARAVKAMKAAQAEMSKAKHMYEVEGRRWATQLKNVEEMLRQANDQLQLDQQMLV ESKKREKALGRTIKSRETKARELVVALQSTQTKLDAMQAQYTKTKALSKQLSARSSQV HAAWQQERTDRLQ H257_06019 MLARIITHVAALPPARHVSMNRMALLHTGRATAAGASAILSKAN VQSTAALRFPGAPASSEGVSIAPLGYGSPATFIKDTQVIAEVKAAVLTRGSNLVQTHF SYSGDPANDENLGRLGESIAINELLSEDDVPREGLVISAILDEDVLSHDRPSLSVQDR LSSVVLHEHLEQILRLLDVEVLDLLIVRLPADLFTLPAAAAAVLIQGAIAGLEASVQD NLLQGYGFALPSAFMTSPHDEDINLSNDRIHKFLSSVLLPHLQSGCVAIQRRTNLQEG HTFPSSSSFSWPAHIQWIGEFPLDIHVKHGTRSKPLHLKSTQGAHNGVEVAAKLKESF AFALNVETKYHHELFPAHSALLPPPDDVAWAHILAAQHAQFDNLTEWTYIRETQIQPR LEALLQQLQGIEATKDFSFAYSVAMRTLLRHFDVSIDMVATNHNDRILTSVVEGPLKS DSEHDRTIEDIGLLVAQSCRPVDVVLVAQEVPASSMQPRRKLSTTTLDSIQALESQWN DLE H257_06020 MSESPAGLRLPQLKAVDVVSSSTLPRRTSLNSGIESKGGGGGGS APVSPRPTPPPSRSDKLQTGTGRIPKPPPPQQQPLDDGSASVAVGHSKIVATTIKLNQ VQPLGSLQPLPTTTQPLYAPQSTPNTTTDLSLITTPSTNLSPPKTHHLPSLFASNHER SDRKLDLEQRISKLELDHFPAHHDHTHFAHDDAERYSDMTLDEYMVYAKTLDLPQLQA HHSKLFKLVRQYQGLFSVASAISVEIDSNGALARIVSGVHRVMPVERVLLLLVHRKRS VLCGTVGGADIEVPLAAGIEGEVVTHGKAMMINDAPNDARFDATLDTLSNFTTRNVLC APVTDPQGNIMAVLQCANKAAPFTSSDCLSLELIALIAGHTLHKLELFDSAMSAGRRT SAILQVVKAVADENHDTHGMILRVVQVAKDALNCDRLTLYLCNSVRRELVCFVCRDSM VDRFCLPYGKGLAGHVATTGKLLRIANCYDDPRFHPDVDVKSGYKSVSMLCAPVISAD GNTIAVLQAMNKSSWPIPSNFTGTVPSASITAFLDSDVALLTAFCTELAGSLRKSTLE VLYHNVYSDMHKSKRRDSVTLMVSSLLGVHSNEKGMSHSWSRKGWKISGLVSLAATKF MNSLAKRRSEPFVPDAAAAVSLGRGSIGRGSEGKSSSHETLHAVSAEWRSKQHGFDDF TFDIFTYTHDQLAVLVVQGFTQMGLCSIFSIPDEIAVRFVDTVRRGYRDNPYHSWWHA VDVYQHVFALLNRTHLLSMLQPNIVFAMLVAALCHDIGHPGTDNAYEIATGSALAIQY NDMSVLEQHHASETFRILHLPACNILLGVSKPGYQTIRKLVIDGILQTDMKCHFDLVH DLEDAVVRRQKNLDMFDIANATHVKWLTGAILHASDIGAQTYPVAIAGQWSNRLVDEF RLLGEKERSEGLPVAPFRDNLTTSKAVGRLQLNFINYIVTPIWHLLIDMFPDAGVLRV NLDENRTHFQNLILEDAPKLSSSGGSDPLLATALSASRLSKPELRRSNSNDSDIVLNQ QLHHQLSMIMDAPPKMRRSQTFGRHTNQHLRHLNASSSQANLSTPYLYATRPPSLGSS CSKDSLHRPPSMPRILSSNTSQATVSSNDGGDKMNIAQMTFDKPA H257_06021 MRCLPPGHPPHSVASTFIPQGSSSKHSGEAVADVERPTKEILRA DVFMVLLVDPSTSYLCCSRHVNEDGGCVREGFASSVACTAAPLVLSTAAHFHRAFHLV ADKATAAVVSPWPFHVDDVSTMQVLSSVVTLFVEGLAAKHSRIPPSRDSTTRQRVQGI MAKEPRPLSPSSTPPSPRNSALPDELKQWTFDPFAAAALSKDVLVGHVLTIFQAFSLP LHFRIGLSTLRRFVVAVRDQYRDVLYHNFLHEFTTLHVTFLVMLSQSVPEELALSSVS IPLLSPATSPLGPVADLVGSGLLNRRDILALFIGAMCHHMNDNGSTNDFHIKSAPPRR CCTTTSLSLRTCTLCFDALRRPGHDVLENASGSDYIFVRRSIIRVILATRRW H257_06022 MARYAVDVTFQQTNAPAVSFGEKKVFFSKKHGQYGVKQYLEVCP TLPSVISTPTSTWSTCRRREMMAKRFMPDH H257_06023 MQPISCAADVDAVRLSDALPVHRVVERINYALLGRQRNAFWNEM TDTFRHGMFDKDKQLLLNAIVHNADIANPALATPLHARWSTSLMQEFNAQYEEEMNQA RMNIGFIDLFCFPLWRLMDGFCFPLWRLMDGFLRGLDGCVDNLQANRAYWVRNAEVGS IVT H257_06024 MSDQPKKGVANVTRKTWDKAFFEQQAALRASGALEEEEKKTKKI DLAREEFQPAAEDAAGPAGSVRAFLKARTKKVELDSNVGKTTVIKPDDAMRATGYYCE VCEVTLKDSISYIDHVNGKKHLRKLGFSMRVEQSSVASVKDRLNAAAKRKWDPEITKK LDAVEAYEKKLAALDEEAQAKKAKRKEAKAPTPAVDVFASAEEEAMMAAMGFGGFNST KKK H257_06025 MGYLHQSQRVFTPLSQARLEHIDVVRLKKGGCRFEVACFKNKLV EWRRGIETNLSDVLQSEAIFDNVSRGKRARDEDIQRVFGTQDIVEVATFIMTKGAIHV SESIRVAEHDSMFQEVGSVIAKTSVNPEISRPYPMSAIEQMMQKIHVALTPHEPVDIQ ALGVLQQLKQVMPIVRATMKVHVSVASTDVRHMQSTLQSLGATVFEQHSGANGALECT CLIEPGVFGAVKAFVSEHATRRVLRVVECIPLDRDDVNLPNETPVIMQAMEAPPAPVA VVVAPAASTRPCSTCGGHFADTAQYREHFRSTWHRYNLKMKAKLEPIVDEATFLTLDA DAVQRVFESLD H257_06025 MGYLHQSQRVFTPLSQARLEHIDVVRLKKGGCRFEVACFKNKLV EWRRGIETNLSDVLQSEAIFDNVSRGKRARDEDIQRVFGTQDIVEVATFIMTKGAIHV SESIRVAEHDSMFQEVGSVIAKTSVNPEISRPYPMSAIEQMMQKIHVALTPHEPVDIQ ALGVLQQLKQVMPIVRATMKVHVSVASTDVRHMQSTLQSLGATVFEQHSGANGALECT CLIEPGVFGAVKAFVSEHATRNPHYPSSLLGNLKLMMCML H257_06026 MSYSSTGRQRSQVEQPKGQKRLTNVAIVRLKKGGCRFEVACYKN KVVNWRNKIETQLDEVLQSHDVFVNVSKGKRATADDMRKVFGTDDVDEVAKLIIDLGE LQVSDGERAAFNQSLFQEIATIVAEKCVNPESNRPYPFSVIERAMKEIHYSLIPNRSA KQQALEVISKLQDHMPITRAKMKVQVSVPAKDGKAMKKYLEQHNAAVLEQKGTSDVLR LICLIDPGAYRGLDAFVLNDGDNVDGSRSLEVLELSCHEEGEHSIDAEISKKTERLGL NADAPSVAPPPPSTTPSAAPAPVGRPCSTCGGAFVDTALYREHFRSEWHRYNLKLKAK KRPVIDQAAFGTLSSHDVQRFFETLD H257_06026 MSYSSTGRQRSQVEQPKGQKRLTNVAIVRLKKGGCRFEVACYKN KVVNWRNKIETQLDEVLQSHDVFVNVSKGKRATADDMRKVFGTDDVDEVAKLIIDLGE LQVSDGERAAFNQSLFQEIATIVAEKCVNPESNRPYPFSVIERAMKEIHYSLIPNRSA KQQALEVISKLQDHMPITRAKMKVQVSVPAKDGKAMKKYLEQHNAAVLEQKGTSDVLR LICLIDPGAYRGLDAFVLNDGDNVGTVVFVHGHVA H257_06027 MATWRVARTNDSSGVASALRPSTGYREDMIRRGAIPRDFVAENR KLVKQKQRELQKKKEEDEVRAKAQLERDNFKIKKFTKSRRGGSSSSSSVGDLASEAPS THSRMSRSSHRSAVDDRLTKARVPTQAELRQREDDLKEMTQHLRNKNVDYISTNAWHV IQQTPKRLDPSPAEVRDSKARRHRNFGEVPGYIRARKAAWAKEEGDRRANLPDPDCPP GMVLMPDDERVATLATLRETLEQTRRQLQALPLRIETLSQIRRKTAIDSKMQEIEDAI VVFDKPKVFMLPPTTLSA H257_06028 MGKKERQQAVGRTKKGPGKGLKASFGKGTGKGGNAFDVQKNSKS KYEVLGKRVKGQGRNVAAARADAEERRRSTLLKQFQGRKKNNEYKDRRLGEQNENMSL EDKMIARFQTERKRQYQQRNAAKFNLNDDGESDREEELLTHRGSKIDDFDNIDMAGEG SDDDEDRAFDHKLGRDIVNQLHFGGGGDNKGGDDGTAVEGPERKKTHEEIMQEVMMKS KMFKAEKQKYKATQDETTEQLDAQFADFQALLEFRPKKEDRPREKLDEFDKMTRELAM EAKAKATERKLSPEELAKKEHDKLADLEKKRVARMNGEDEETNDKANQRKHRKKHKAK TSEPELVLLPPKQRATDDSLVDDFQIDDEYRQRVQGENDSEAEEEADEEEGEESEEES GPEEDEESEEDEESEAEEESEESEEESEEDEEARAAALVKRQEAANEMPFVFPCPESA ADLSRLFAQYGPTVDARSTIVSRIRAFYSPKLHADNPSKMKTFFAVLVRTFLKLASNF KRNHLDADAIATHVFGLAQDLPDAAGTVFREFVATLAKKTAKNCWPDMRDLLLLRVAL HVFPVTDLRHNVISPIELVLGQCLSRATLESADHVRRALFCAGLSLQITAKKGKFMPE LVHCLHEIVALVHSQDADDAWFVAPLKAFVKSKATTFPALALDAATDGLDAAAVSAAI FHSTLTTIRLAATQYASVASFVELFAPLHTLLSQIKAKSLKVQAEETLALLTKLTDAS LKQRRPLRLQAHAPTVLPTFVPRFDENYAMRKDKTMERDKAQLKQLQRQVKRERKGAS RELKRDAAFLSRQRTEEHNVWRAEKDAKQKEIRGWMEHQNATFNQQVRKGGELIKGGG SGPAKKRRISKK H257_06029 MTQQSPIDIDPHAVKEIIMDDYSGHIDLTLGTGPGRFEHGYTNF KVNWSGDATTVLKLRDGREFRPIQFHFHTPSEHTLQGHHFPFCMHLVHQSTDGNLAVV GVFFQVGHDESPFLKQFWNVLPELDPHGVDVTVDNISFDDLYIASAITDEAFYRYQGS LTTPPYTEHVEWILVKDPRTMSKAQLQHFIDILPGGSNARELQPIQDAAGQLLFCCDA H257_06030 MWKSQAMAESFAGRRQRRVVDTAPNPIPKYKVLLVGGASVGKTS IFRRLLHTGGDFGELSPPGTVGVNIGVKCVDVEGLGPMVVELWDVPSIAAPDKSCQNE LYFDNTHGILFVHSAVNRGSFQHLQAYHTAFTLHSTSMPVAMLVLKNKSDDTIDETAS IHLQWLETQKIDQVNVSAKMNVGLLACLRRLLGEIATSNQPPNNANSDCQVLQAKS H257_06031 MRPGFDFERRMLDMDWHLVEAFNMNDIDTSLLQEMVPTLTYALV TETACTSPRLSCKLIRILQLVVETFIECRKGDAYDAHVLQEDLIAVSNERDSFRLRLK EAKTDVKALKAQLARCTTLLKSCSQVLHAQGCSPHTIAAVESMLATSDSMMSTFTPTT DATMPKVAHLCAFCGKAFGTQDYLLKHIDRRHASSSAGVVGKGTIQGGGSGNNQTPNI DILTKLELLLAQHEVHMKKATADSADTLQALQNELAIERAVANELKLARSDLQWRVDE TQRQLTICRAEKDDAGKQVKTMQDQVSQLQLQCHQLALTIPTTTSTNPRDSTTQGAIQ RLEGALEHAKEALATARHDMKAQHDKYTELLVAHERIKNGRIAANNLHTNIVYNDAST QTKAEDLPPPPLTHSMACQAELIIAALEQSPKVADIVQCTTSQKPVLVDASTQFDPAT ELPPPDDDDVVEVTEVVQTTGDVVMTKEAPSPNPVPICIENPMDNSTQPATSQTGVAG PVAATTVATPIAHDQRAMDDESATVILPPELTAAYIQDIMDMIELRANKACIQQPPTT TAASSRHPLPRRPFLSSGWPHTDPSVNSSIMSHLKQLTDTAARFGIPTHLTYLTQSQH DAALSAYKRHLHGLPVALLERIVAIDLQVHATVETEWMPSEKHRNAMLSTLQARMDRR EGLQKEWMARVLERKRATIATPDEQAIMGPRYGSLVCIDVPPPVAKELQPAEDTMAST LDINQIVPDHAQPGYKMDASLGKAEGANDDEDVDAATAAPEDPTTTSRSRVVVAPTSF LDSSSKWSQNNTSTPRNPRQDGNDVPMMKCHTLEVSTAPIQVSSSGSMPYGELDKRLQ NARMEEEMGEAKEGDGIIERVRHESTTNEPTTTTKNVQVKQQHLELGSNSGTDTAIAH EMSDEGGIEAITQLGGEGEMQGGDATSSESINCTDDVLPRVLEVSTNTSAVKETTVTP PTAVQSSIEVDVDQPPFNISVRSSEQPNALDPSTLDTPHRPVDDVDKPPNDAAGSDDI AAFDDESHVSLSAGEEGTHDGKTSPLRSCPGTASVVGRASKKMPAMMMSPTFSSAMTF HATTSSSRCRDRYEDEADGGGGSHDEFGCNDDASPGQSVRTFPSSASSSSPAAATVAI RPNSTAYSIAKRDFDDDDLDEEFIT H257_06032 MATVVLDAANVATVSSGILRVQRLEAALEYFQRLNVRCIAFAPR YWVDGLQVFEDDATKSTLRALVDTDKLVLTPPQAHDDYYVIDYATKHDGYVVSNDMFR DHVMHKRRFNGHTLTIAWVKTRCIDFTFVGLEFLPNSQVMDKVLHHVPRPPPPLPTSL ASAAAPDHHQSSTSFTSGIQSPVAIAPVAMSYDDDDVDMGGSHHHDSPPASNSRRVVD MSEAVYIDVPMWIVAHLYENDGAGLKYFQELTGTYMQLPRVVPLGKPTTTLSIHGSRD KCERAMHEVHSYLQHQQHMQSHHPPPQPQYPPQHQQHQQQQQQQYYY H257_06032 MATVVLDAANVATVSSGILRVQRLEAALEYFQRLNVRCIAFAPR YWVDGLQVFEDDATKSTLRALVDTDKLVLTPPQAHDDYYVIDYATKHDGYVVSNDMFR DHVMHKRRFNGHTLTIAWVKTRCIDFTFVGLEFLPNSQVMDKVLHHVPRPPPPLPTSL ASAAAPDHHQSSTSFTSGIQPVAIAPVAMSYDDDDVDMGGSHHHDSPPASNSRRVVDM SEAVYIDVPMWIVAHLYENDGAGLKYFQELTGTYMQLPRVVPLGKPTTTLSIHGSRDK CERAMHEVHSYLQHQQHMQSHHPPPQPQYPPQHQQHQQQQQQQYYY H257_06032 MATVVLDAANVATVSSGILRVQRLEAALEYFQRLNVRCIAFAPR YWVDGLQVFEDDATKSTLRALVDTDKLVLTPPQAHDDYYVIDYATKHDGYVVSNDMFR DHVMHKRRFNGHTLTIAWVMDKVLHHVPRPPPPLPTSLASAAAPDHHQSSTSFTSGIQ PVAIAPVAMSYDDDDVDMGGSHHHDSPPASNSRRVVDMSEAVYIDVPMWIVAHLYEND GAGLKYFQELTGTYMQLPRVVPLGKPTTTLSIHGSRDKCERAMHEVHSYLQHQQHMQS HHPPPQPQYPPQHQQHQQQQQQQYYY H257_06033 MNQEDDDALRAALAQAEQFKNEGNEALKEKDYAEAIRLYTCALD LDPTNAIYLSNRSAAHLSNDSKTKALRDAEACIEHKPNWWKGYMRKGAAEHALNRFDL AKQTYFRGLEKDPGNASLQDAIEDVRVAQETYSLQLKKENAEKEAVRLAQEAVAKAKA DEEALLASFMDEVEALEDQANTVKKPAPVERVKPPVDFGTPEGQIIRLLQPHFEWINL NPFRVLMLDTDATDEEIKQHYRKLSAMVHPDKNPDPRAREAFEEIKKAHDQMLNEDRR KTCIRMIDNAIESVAVERKQKLKKFRADQLPDLADLNDKAVLKAFAESENRRRNVESR EMKQRRREAEQEEAEKEKERAAYKHDKEWSQTERREKRMANWLGVQTDPSKKVKTIPK NVGWQREEKKEAKKHGVVAGDEYKKSWK H257_06034 MSGTPSNTAATPAGGRGSVPQVKVEGGVGRLTSLRSTEATGGAA RKLKFVPRVQKRAQQGESEPVEKASKPTFLPRGSTGDSGEYGGRGGRGGRGDGGGRGG RGGRGGEGRGAGRGGGRGGNRRANLPPAKVAFVGSMAAGSSVSSGGGVAKAKKQPQST EVGISLLEDGIDDVHGADVEVPIQWPPPIESAMQPMELPFGRPPQHTNDAGGDVFCDE TGAFVVGNDTLFFVQLPTTLPYTAPLSGVGKETASKSSENGTETRFDKSLSAMPGGYL GKINIHKSGKAVLVLGDKTFDLAPGQPPSFYEEVVSIDVKDKLLSMLGPVATHLVITP DFDSLLQ H257_06034 MSGTPSNTAATPAGGRGSVPQVKVEGGVGRLTSLRSTEATGGAA RKLKFVPRVQKRAQQGESEPVEKASKPTFLPRGSTGDSGEYGGRGGRGGRGDGGGRGG RGGRGGEGRGAGRGGGRGGNRRANLPPAKVAFVGSMAAGSSVSSGGGVAKAKKQPQST EVGISLLEDGIDDVHGADVEVPIQWPPPIESAMQPMELPFGRPPQHTNDAGGDVFCDE TGAFVVGNDTLFFVQLPTTLPYTAPLSGVGKETASKSSENGTETRFDKSLSAMPGGYL GKVIMHYRRTNQVLSGGDYNVELD H257_06035 MAAPPGSGPPPGLPPARGPPPGSGFAGAPPPGLGGPPIGRGPPP GPPRGGGPPGGFPGGGQPPAGPPGRGPPPGAPPGRGPPPGAPPGRGPPPGAPPGRGPP PGAPPGRGPPPGAPPGRGPPPGAPPGRGPPPGAPPGRGPPPGAPPGGPPGGPPRGPPP GAPPGGAPGGPPRGPPPGGPPGGPPRGPPPGGPPGAPPRGAPPPPGMPPGGPPGGPPR GLPPGMPPGGGVPPRGPPGGPPPGMPPGRGPPGMPPGGPPRGPPGMPPRGPPGAPPPG MPPRGPPGMPPGMMPRGPPGMPPRGPPGMRPPGMPGAGPPGGAPPGAVPRFGKLSVKL LKGLELKSLGTLQTADPYCKLTVGTQTFQTKVHEKGGKNPTWNETFEFSISTEKELIM ELYDKEQSGTDRFMGQCRVDLIAWIAKGGFEGDLDLKDEQNQDAGKVAAVVKFTKPVV GPAGPIKAPPQIIPGAVGPPQGMGAPPAAPAEPPRDPNGKFSDSEILEAFKAFDLDHN NYVGAAEIRHVLINIGESPTDEEVDEMIRMVDIDGDGQVSFEEFYKMVTGGKEPPSGL FAPAAGDDPAATAAVSGATSSIQLRNERKNTLEEFSQDNNIKPESVKKAFKRFQATDK DGSGQIDYTEFCEIMLVDPSPQCEKLFGLFDNDKVGRIDVREFLIALSNFCGAEKDEK LKFAFMVFDDDGNGVLSKMELMKILKANHMASNESEVARKADTIMSQGDKDGDGVITF DEFAVVSKKFPNILFPAYTLAQQADAK H257_06035 MAAPPGSGPPPGLPPARGPPPGSGFAGAPPPGLGGPPIGRGPPP GPPRGGGPPGGFPGGGQPPAGPPGRGPPPGAPPGRGPPPGAPPGRGPPPGAPPGRGPP PGAPPGRGPPPGAPPGRGPPPGAPPGRGPPPGAPPGGPPGGPPRGPPPGAPPGGAPGG PPRGPPPGGPPGGPPRGPPPGGPPGAPPRGAPPPPGMPPGGPPGGPPRGLPPGMPPGG GVPPRGPPGGPPPGMPPGRGPPGMPPGGPPRGPPGMPPRGPPGAPPPGMPPRGPPGMP PGMMPRGPPGMPPRGPPGMRPPGMPGAGPPGGAPPGAVPRFGKLSVKLLKGLELKSLG TLQTADPYCKLTVGTQTFQTKVHEKGGKNPTWNETFEFSISTEKELIMELYDKEQSGT DRFMGQCRVDLIAWIAKGGFEGDLDLKDEQNQDAGKVAAVVKFTKPVVGPAGPIKAPP QIIPGAVGPPQGMGAPPAAPAEPPRDPNGKFSDSEILEAFKAFDLDHNNYVGAAEIRH VLINIGESPTDEEVDEMIRMVDIDGDGQVSFEEFYKMVTGGKEPPSGLFAPAAGDDPA ATAAVSGATSSIQLRNERKNTLEEFSQDNNIKPESVKKAFKRFQATDKDGSGQIDYTE FCEIMLVDPSPQCEKLFGLFDNDKVGRIDVREFLIALSNFCGAEKDEKLKFAFMVFDD DGNGVLSKMELMKILKANHMASNESEVARKADTIMSQGDKDGDGVITFDEFAVVSKKF PNILFPAYTLAQQADAK H257_06036 MLLQATRICPRTAVPAAQSAWRRGLQEHTSCIRSSPWSCCQLFS HDSQDGALVRLSKLMSQQGICSRREADSYIQNGGVRVDGRFVTELGTKVHPSSRIELT RDAKEHLTAKVTVLLNKPLNWVSSQPEDGHEPAIKLLTPVNECKELSIRQPPNLESKV GKYGPLSLPKMAVCGRLDVNSTGLLLFTQDGALAKQILDPNGDIEKEYLVRVNLVLDE STRTTKTMIQRLRDGITIDKVVFQAKSVEVINDNQMRIVLTEGKHRQIRRMCEQVGLK VVALKRVRIGNIKLRSLPVGQWRYLQPFDKLM H257_06037 MDFRTNLDDDDPAVRLELETHSMRIIDDVEEDPLDAYMKTIAVD DASSHDSAFPRELPRPRREVVSITASVTKNRRYQKLQELLHASDYFSDENMELRNPGL FHLHLGNYLPTPPAPAQPTADQSKLSEFLIASIQKRDLEERKAHEESLWGSSFKRSAK PVAIDDPVEDDEHNHAFQEEMDSDDDGDEEDRLSSDDEAELSVAERRATLVDVMAQRF MHGLDVGFIKYDEIDTNDLLDLNPLALQDLEDEYFTTTTIDDDDDGDSIK H257_06038 MEETTTMTVGVTKALTSFSMQLRCPICLESVTVPYSLPCNHCFC EPCIGMALTYAPKCPVCKASAKKRHLRLDESVQRIQNALQVLLARASVQGRDEDKVTT TAALRDRTDAASDNPRAANPRVQRVRVASTKLSTAPIVNPLRLQRTPIRGDLPRYKSP TNHLRRRLSQKENVPTTAHENTPHNTNPVSPLHHKNSDEMTTLKKHFPLVEPTKDMNP SSTTVDVCFSRTYSDSIVPCTQESYPSIVDLASSVHTTPPQKHRPHDHVDDASSSSVQ GIAAAASLQSKLAVFKPGDVVQVMARTWPGINKLGGTAWISTCNPDDNTYSVRYVLGG REHNVHAQYISIFQDLAQEATPVRGGTKRRASSPLLHTPSSPFSSPTRQHDDETRDNA PRHYRTASNPSRKKTKASISFPDAHAPLRVSTDEPMVLLCSGLTHDEKLAVEECAVHV LDATIVHDWTPQVTHIIVQCQHLSASKLKLRMPPSPSVHAVPVASSSKHVKRWVKIRS LKFLKALVSGRWIVGPAWIKECLLQRTHVDEQAYEVHGLMKAHNLLDVARKARKLRET HLARRGMESAASVGTGLFSKLIFYVHGQFASPLPPKAEISALVRLGGGKVSTSWTDVE GMAAKDPSLPIVIVMEHASDASSFVHPAASSVSPSSMACVGYEWVLDCISECTVKSFE CK H257_06038 MEETTTMTVGVTKALTSFSMQLRCPICLESVTVPYSLPCNHCFC EPCIGMALTYAPKCPVCKASAKKRHLRLDESVQRIQNALQVLLARASVQGRDEDKVTT TAALRDRTDAASDNPRAANPRVQRVRVASTKLSTAPIVNPLRLQRTPIRGDLPRYKSP TNHLRRRLSQKENVPTTAHENTPHNTNPVSPLHHKNSDEMTTLKKHFPLVEPTKDMNP SSTTVDVCFSRTYSDSIVPCTQESYPSIVDLASSVHTTPPQKHRPHDHVDDASSSSVQ GIAAAASLQSKLAVFKPGDVVQVMARTWPGINKLGGTAWISTCNPDDNTYSVRYVLGG REHNVHAQYISIFQDLAQEATPVRGGTKRRASSPLLHTPSSPFSSPTRQHDDETRDNA PRHYRTASNPSRKKTKASISFPDAHAPLRVSTDEPMVLLCSGLTHDEKLAVEECAVHV LDATIVHDWTPQVTHIIVQCQHLSASKLKLRMPPSPSVHAVPVASSSKHVKRWVKIRS LKFLKALVSGRWIVGPAWIKECLLQRTHVDEQAYEVHGLMKAHNLLDVARKARKLRET HLARRGVWMDTLPLCC H257_06039 MNAKHLVMSLRCSFRISASSPPPLALTIKAICRRLTRPCHRHSA TAPLLLLGRDNTRASNVAMQCSALWNITSIAMDFGSWTGNRSTGGGALDIIAGGHGVL LLVRLSDSKLFPTTLAGEECTLSQVSDSSSSTCHMRRTMSQVRSLSTTQIASSDRSIL SRSTTSTCVTMSSHHNFHDVRITTLHVSIVSGVTAAQNALKSAIATATRACHVSPAAE STVASDSSRKQTTSGRITMSTIAFRNSHSDAYTVLVMAVATVLLGGFLWRRKMLTTDR QCCRLTTRTRLSW H257_06040 MSSPNDINVNQHPSATDIAEATKPSTTAPSASLSIDSIKSIVNE QVEAATNLTAAARHNILEGATDVWTTVKQPLTEVVQVIRNANSAGVGTPLRKSIADVR LAASDTLISVEGTVKDAETSLEKNLIPVKDAFAVVQENAAKFNTFRKAYPAVVVGGAA LIFGLPTLLLRGKARGVLNAVGAAGVTAGAIYGADEWEKRQQQQA H257_06041 MEPTPPTAGHIEGADDWNGLISWNATVERTVHRTDNTTRLKGMP VVATATTGLTQAKYNSVAPKSRAVDSVTKMCWPCGAIELYRRMSMLAMRTTAQHSHEG NVMGHMADAVASSRHTTAMNHAATHAKTTNAACSP H257_06043 MLQYRFMALAATAATVVTAKISVQVHRNLEIAKQSNIVVNFHSD EALATHRRRLKGGASRTETIESLVDSLKEHTTKSQASVKSLLANQVESTAVEVATTWI QCSMYIDNAPNDLVQKIAALPEVKYIHEPVTMTLDETKSGDKPASAVNEVSEWGIKKI QAPALWAKGIKGDGIVVANIDSGVRYTHDSLKSNWRSEYGWFDPYNKTTLPSDPLGHG TSIMGTMVGTQGIGVAPKAKWIACKGCKNGWCVDRKVVECAQFLLCPHDKDGNNRNCS KAPHVINGSFGRHRRDFFLEGMITMWREAGIIPVFSNGNNGLKGCGYSTYPGTSPQVI SVGFTDSSDSLSIYSSLGPSVRNHVKPDISAPGSDIRTAGHLSDDGVLQASGSSMSAP YVSGAIALYLSANKGASYDQVYKALTKNVDTDTLTPPNKTCGGIPNTRYPNNLFGYGR LNIFKAVAASIPRLTLPPPSPKDSKSNQVFNPTNGLNTCGTLEDNTHYIGGNLASAKL ATAESCCAECKKTPGCKLFVWYNLNGGLCRLKDTQGPKVAVDGAKAGVLPAPALARPP LF H257_06044 MQEPHPTSSSRMPPPAAVDEKLYIIHTPEEINRQMYLLTSDRLR ISPSMSTRSDLSSSSYGYEERYTTPQIKAKSTTHAMRSVEDGTQSMYERSVLRTSFSA AIPMLEEPTRPTQSYDMNRSQNGVVTTGVLYRRRRGNLGWLERWTLSHFVLCTRYLKY YNHTGEKLLGVLNLAGCSETSVEIMPKDSVPNGKQATIWRFALRTPQRRIILSAATEY EMNCWLRHLGAAITGKAVTSGGRADSVVQMCDFIYDDHIVDPAELVRPNGLSLHHFSL HASRAC H257_06045 MWRIKTLRQSAAAACHTLRHGKSTKIMRPSMSSCRHLHSQKAAV VSCMEKQEWPHHASQSMTTLAAFGLGALTLTTVTYMQAAPGASDIPLKEENAEPGKVV PGAFKPDLPTYTLADVASHVSTKGGGVWVVYKSGVYDVSKFIGKHPGGSKILLAAGKS IEPFWQIYAAHNHADVHVILEGLRVGNLDPADVQALEEERLKKYGDGPYANDPERNPL FKVNASQPFNAEPPAELLTESFITPNDLFFVRNHLPVPDIDASTFKLEIAGLGVRSGN PDQPNTVAFTLDELKKTFNEHTIVTTLQCAGNRRAEMSAVKPVKGLSWDTTAVSTATW TGVLLSEVLAYIGVSEEQHCRAFPSACPTCANLPLTNDYPSECSSTIEHCHLEGLDKD VTGQSYGASIPISTALDPRKDVLLAYSMNGEPIPRDHGFPLRAIVPGTVGARNVKFLG RIVLSHEEYPGFWQQKDYRGFSPMIDYATPDFSKYAGPSIQELPVQSAITEPKPNATW PPEGSEEADVMTIKGYAWSGGGRNIIRVDVSLDGGATWQEAALDPLGVRQKYNRAWAW TPWSLDVDIADHMTDVKLVCKAVDSSYNVQPDSIAPIWNMRGVLNNAWHRVDVAIQKP PPSSGDDDEDDEDVEGDK H257_06046 MEDLDDEPSLHADENGLINLSQGAWVRLDEVIWSQGDRLLTLIV EANQLVELPAALGNLALLRVLNVAHNKLTSIPDEIGQCAQLLELNAQHNFIKAVPKGI QRCVRIEKLLLSYNNLKTLPREMHKLHEIHTIDVRFNQLTTLPETLSECPNLTTLACE GNNDLVQIPESLRDNSRLVLWILQRLREHAAEIKYITDINNNLEQAARLADDEKLKLK EEILRLERDKQLLWSERPVHYLKLKGHVKNAAAKTAKTTSEVCLLM H257_06046 MEDLDDEPSLHADENGLINLSQGAWVRLDEVIWSQGDRLLTLIV EANQLVELPAALGNLALLRVLNVAHNKLTSIPDEIGQCAQLLELNAQHNFIKAVPKEK LLLSYNNLKTLPREMHKLHEIHTIDVRFNQLTTLPETLSECPNLTTLACEGNNDLVQI PESLRDNSRLVLWILQRLREHAAEIKYITDINNNLEQAARLADDEKLKLKEEILRLER DKQLLWSERPVHYLKLKGHVKNAAAKTAKTTSEVCLLM H257_06047 MQDSLRETLRQQNHPDACAELQKAISTGKVKLKSDLKKSSALVK KLKVLGDANLSACLKDVGELNLTRYLSECVVSLVEAAGALKPSEVSSHIHLISSLHQR YGVADITDPLVQAYCAAAFAPPAAPPVDASSKQRQIKRRLVTLRILTELFFVGVLADV SVIHSIIHAVVTREDLNAKPSKKQASSSTQGSSSSKQPPLDIPLLVSFAKYAGADFFG GVSDAAGGSTIAVIPISVQTQFRALFDQAFDMIAAMYISQHQTVRKMEKRNMKEEVNR GELCDEHVADLAAATVLCEKLTGSITTLSEALQRPMPILPVDNSDDAHLAQLLLWDGG EGRAELHADGPFDDEDTRSFYEDLPDLLELVPAVVLGLTEAEVADLKLKKASSSSLAE AEAAAADVQEDEGVMVDDLPPTSGVDDADAADSEAPGPKTSDEDPKLPNETKAAASYH HQMDAFFASLEDMISRDRCDKAAVDFCYRNTKATRTRLVNTLYSVPRTHLELLPYYSR LLATLDSVLKDDVGGALVELLVQEFNYFQKKKNQYRLESKVKNIRFVGELTKFKVAPP MVGFRCLRRCFADFQGHNVVIATTFLETCGRFLYCSKYTHVRTAQCLEIMMRLKAAKH LDPLADTLVQNAYYMCKPPEVVTRVKEPKDPVYLFMLHLLYTELAPDSVGKVVRLCRK FDWDDPQTTHWFIKAVLKVTTAQVLHMALVCDIVVGLSRYHEELGVYILDTVLESIHY HLTLNNYKYHQRHLGLVKLLGELYNHQLVTSVVVFDMLYWMLHHSHDMTTLDTPLPDD LLRRIAVVPDMKFDPRVPSDVDNDRNVFRVRLVCSLLETCGSNFDRGITKKKLERFLV FFQRYLLAKAEIPLETEFVVLDTLELLGAKEKVVRYDSWEQVDAVAHAIWGVELLQAE KRLLKNKSSHVLAIPEESDDHDNDNDDRSSSGDDDDDDDDDDEEAHDVLHDVATSSSD DDDDDDSGEDSEDEQIIVKHESKVEEDLEFDKAFKLMMQSSADSRKHIPRVNVDKMAI PTVVKTTHHVDVDTDAKADSAVVFRLLKRGNKGKLEAREILVPQATSLAQHSQRQEDA GKKEQSELKRLVLLGVERDEMNYFDDTNDDITGMPPPPPNHTTRHYVKPPRRDQNSSS SYIVANPTGRGASWGSLESFLDTKRTSIVGVGPPPPPLPQQPAPATRGGRGGPGGRGY RSGR H257_06048 MAIACRPDKHLVVVHVEATQVERFRSKLVTAGNTTHGGGLEVVV IDSPLLFVQCDGLDTKDSVEDLCSRGRIAAHRVYTVTSMCHDPAWIPQSALLTSHQRS SVFRVVAYPSHLQNKLVQLLHDYGYATHPRQHSHELHVVSSALAGPSFYYGVSAAGTK STRDPTTAMERPSSCMAISPEVSPSLHSQVPCRAYFKLQEACRGGSVSLLPPRGYFRA LDIGASPGGWTEFLSSSGASCVVAVDPGMLTIPVDGVSIIHLNMLVEAAHPILATMDK FHVCVCDINVRPRSMAKLIRSVMAFLHPHAKVILTLKLGRRPTEAAVQLAVQDVQTEL GQAFGAYDVRWLHANTINERTLVAELL H257_06049 MEQYGRPRRKPSQQSNAPPQVAFLYPASSKASQPNQPQGLFPTK PKHNITSRTNHTAVSSDVKRACSPNVATTTTPSPPGLFVTPRDTFPKNMVAVVHKVRP STASAVKSTSLTFHSAPLKPNITAEFPPDNDIAPPLRGDDVAVPTLKLRPSTASATKR TSLTNNNPRNHDTSSPQSPTSTPRTERPENTELDLTSRVSDDGGVGYIRRLKQDIQTI HFLLACTDSASPPSSFLSTTPNHLLTRRDSVPLPAHVRAAFALATQLQANDQLDHVKA TLEHLLSDSLTQLISKTLVGSHGATTGNSIDDISGGGNDAGTVYLHHFLSLSRRAEMI QKKCITEAAEKECVQTQLMEVRRQLADAHNDIVLFKDTLDKVRGPGHGWSFLRSEMHR LGGSIAHVEDANPIDTSASPDDKTEPTKCAGILEQMQALEEKYTTLETQHAELQTAHT KLTKEHVTAKDVELNLSNELQGSQTTCAALRLSFEKSDAHCNELVTTVQSLQAQLDLG RSRILSLESDLETTNTQLKKASADAKTQIHQAQVSYMSKLDVVGEAARQLQLRLQHEW EARLAEVEQTQQMQLHVMETSHGRALAAQADAFSADKQAWDTERLAATHEHSATLDQL SRANEALEAEKTRSRTLATDWSVRLEEKSKALETVERQVHALDAANLQLKLAVSETKI AWRHDMETTWLQQIATLEHALAQARAKLDAEREKFHLELQAERDRVHHVVTQAKSVAD ELETANLCLAERKRQREADQDAFEAREKRAAAAIARLTEELQELQQHKAPASKRNWDA ECRQLHQHNDELAAQVRGLKEQVDVLSKKKEEDLMSLKVQHDKMLQLELAVRVLEASN VDAGHQLAVARASVAELERQLDAAKDECQLMDMAATESRLQQQARLNDRLGMEKRVVQ EQLLDLDMATQNLMEEKQLLQQVVTRLNDRLKLQLELLTNVPSNESRNSMTKHEWAEA QAQWQRLQGQCDDADWQLLHMKERLDEMESLMKGGPSSSKHAAAAKKRPAMAHRKQSP FSDAIAAGQRTVAVADKLHQHQSPEIESDSYAAALAKEMKAMKDTYEAKLQDLHAELK RVQNMRCEHTARLQRDLADDKAKYTSMMSQLEEKCKGLEQELAAHLTAIRDERDEEID LICRSRVERDGKAKVAVLEALLECRAKACSDELQRRSTAHNSMRKPARSKS H257_06049 MEQYGRPRRKPSQQSNAPPQVAFLYPASSKASQPNQPQGLFPTK PKHNITSRTNHTAVSSDVKRACSPNVATTTTPSPPGLFVTPRDTFPKNMVAVVHKVRP STASAVKSTSLTFHSAPLKPNITAEFPPDNDIAPPLRGDDVAVPTLKLRPSTASATKR TSLTNNNPRNHDTSSPQSPTSTPRTERPENTELDLTSRVSDDGGVGYIRRLKQDIQTI HFLLACTDSASPPSSFLSTTPNHLLTRRDSVPLPAHVRAAFALATQLQANDQLDHVKA TLEHLLSDSLTQLISKTLVGSHGATTGNSIDDISGGGNDAGTVYLHHFLSLSRRAEMI QKKCITEAAEKECVQTQLMEVRRQLADAHNDIVLFKDTLDKVRGPGHGWSFLRSEMHR LGGSIAHVEDANPIDTSASPDDKTEPTKCAGILEQMQALEEKYTTLETQHAELQTAHT KLTKEHVTAKDVELNLSNELQGSQTTCAALRLSFEKSDAHCNELVTTVQSLQAQLDLG RSRILSLESDLETTNTQLKKASADAKTQIHQAQVSYMSKLDVVGEAARQLQLRLQHEW EARLAEVEQTQQMQLHVMETSHGRALAAQADAFSADKQAWDTERLAATHEHSATLDQL SRANEALEAEKTRSRTLATDWSVRLEEKSKALETVERQVHALDAANLQLKLAVSETKI AWRHDMETTWLQQIATLEHALAQARAKLDAEREKFHLELQAERDRVHHVVTQAKSVAD ELETANLCLAERKRQREADQDAFEAREKRAAAAIARLTEELQELQQHKAPASKRNWDA ECRQLHQHNDELAAQVRGLKEQVDVLSKKKEEDLMSLKVQHDKMLQLELAVRVLEASN VDAGHQLAVARASVAELERQLDAAKDECQLMDMAATESRLQQQARLNDRLGMEKRVVQ EQLLDLDMATQNLMEEKQLLQQVVTRLNDRLKLQLELLTNVPSNESRNSMTKHEWAEA QAQWQRLQGQCDDADWQLLHMKERLDEMESLMKGGPSSSKHAAAAKKRPAMAHRKQSP FSDAIAAGQRTVAVADKLHQHQSPEIESDSYAAALAKEMKAMKDTYEAKLQDLHAELK RVQNMRCEHTARLQRDLADDKAKYTSMRGTQSFVDEPARGKV H257_06050 MPFLDALSVCHQPPRPMEISLVKQRSRSADHPQLDPLDAAAMGV RPYGTVVAVAMTLNYMIGTGCFGLPFAFASAGLGLTTIFLVLGFVGALITMNYTLETM ARAEGVVSAPKGTLPDNRLTYRKIDFSVIGDIFAGSMGYSIVQTVLVLYCLGSLWSYA SIFASSIASMVFTYAWDDSCDAYALDATVACVDMYYVSMVLFSVVAISMVLMDLGDQA TIQKFLSVYRIVALFVMLVTLLIKLTYDVVADRVASREAWAFNWHNFSAGFGPTLLAL NCQYNMPDALQPLHATEKSKARLITFGAMTISAVFYLLLGVLGAISFDTVNPLASLMW SDFTGCGNGWTACPSGKPTWIGSIVHIVVLMFPVVNVTSTYPMVGLTVGGNILTSLPK AWTAPLGPSRARTLSRLIAAVPPLVLAAIFKKLDAIFTFAGFFGFALGLIIPCWFQVI GIKYCERTFHSDLAVLTPYGLPLVSSTTFSTVFLYLTFVVTIAALVSLAF H257_06051 MTDVEMQSCLLKEGTSVQSIDYDNATVMGVRPYGRVIALAMTLN CMIGTGCFGLPFAFASAGISLTSLLLLLGTVGSLVTMNYTLEAMARAEGLSSTHHPTH HRLTYRRHNFSCIGHLFAGQTGYTIVQIPLVLYSFGCLWSFASIFASSCASMFYTYVV VGDTCNAYAFDATSGCTAAYLASMFVFSILVVGLVLMEMGDQARIQKFLTIYRIVALS LMVGTMSFKLYVDGWGAIQARLLARDVRTTSSFAHFSLAFGSTILALNCHHNMPDIMQ PLSSKQHARQVAFVAIVIGCVFYFLVGILGALAFDHVNPLASLMWSDFTGCGNGWHPC SSSSVPGKPPTSTWLGSAVHIIVIMFPVVNILAPLGPSSAVQVARMLAVLPTLVLAAV FKNLDDIFTVTGLFGFVIGLVVPCWFQVVGISACRRFAHDQPSHTPYTISCLSSVATA TVVLSVMLLATAVATVSILVQR H257_06052 MQVDEVWEIVLGRKVGPLQLGATLSEVITVLKQRSPVRAFEIEY NEDEPYASDIVINSPDDGFKLQFHSVTQLLTVIDVYQVNNLALRYQSNILCGKDATPT FLSVYQLVGPTYPGTYDPATKLYTLHYVGGSFRFPIPKEFEKLYRNKDVLPLELPNGS TPAAMGLSIYGGNGVPSTNQAIPVPLKQHYYEPVVVDMANMHKLVVTFPTSRREIRIG DTPQEVISALGPPSSTYFKPSLDASSLSTGGEYFHNFADLGIDVMYSAWHAVSKIILR TNLPGHGEFNSYFKCNYQLQHFGATVAATTSSHMTVTPETTWSSMVKALDVSSEALRR PIVYDNGSAKDPFHASRFYAPFDGCLVEVLHNDCAASITLSCPQH H257_06052 MQVDEVWEIVLGRKVGPLQLGATLSEVITVLKQRSPVRAFEIEY NEDEPYASDIVINSPDDGFKLQFHSVTQLLTVIDVYQVNNLALRYQSNILCGKDATPT FLSVYQLVGPTYPGTYDPATKLYTLHYVGGSFRFPIPKEFEKLYRNKDVLPLELPNGS TPAAMGLSIYGGNGVPSTNQAIPVPLKQHYYEPVVVDMANMHKLVVTFPTSRREIRIG DTPQEVISALGPPSSTYFKPSLDASSLSTGGEYFHNFADLGIDVMYSAWHAVSKIILR TNLPGHGEFNSYFKCNYQLQHFGATVAATTSSHMTVTPETTWSSMVKALDVSSEALRR PIVYDNGSAKDPFHASRFYAPFDGCLVEVLHNDCAASITLSCPQH H257_06052 MQVDEVWEIVLGRKVGPLQLGATLSEVITVLKQRSPVRAFEIEY NEDEPYASDIVINSPDDGFKLQFHSVTQLLTVIDVYQVNNLALRYQSNILCGKDATPT FLSVYQLVGPTYPGTYDPATKLYTLHYVGGSFRFPIPKEFEKLYRNKDVLPLELPNGS TPAAMGLSIYGGNGVPSTNQAIPVPLKQHYYEPVVVDMANMHKLVVTFPTSRREIRIG DTPQEVISALGPPSSTYFKPSLDASSLSTGGEYFHNFADLGIDVMYSAWHAVSKIILR TNLPGHGEFNSYFKCNYQLQHFGATVAATTSSHMTVTPETTWSSMVKALDVSSEALRR PIVYDNGSAKDPFHASRFYAPFDGCLVEVRMMCA H257_06052 MQVDEVWEIVLGRKVGPLQLGATLSEVITVLKQRSPVRAFEIEY NEDEPYASDIVINSPDDGFKLQFHSVTQLLTVIDVYQVNNLALRYQSNILCGKDATPT FLSVYQLVGPTYPGTYDPATKLYTLHYVGGSFRFPIPKEFEKLYRNKDVLPLELPNGS TPAAMGLSIYGGNGVPSTNQAIPVPLKQHYYEPVVVDMANMHKLVVTFPTSRREIRIG DTPQEVISALGPPSSTYFKPSLDASSLSTGGEYFHNFADLGIDVMYSAWHAVSKIILR TNLPGHGEFNSYFKCNYQLQHFGATVAATTSSHMTVTPETTWSSMVKALDVSSEALRR PIVYDNGSAKDPFHASRFYAPFDGCLVEVRMMCA H257_06052 MQVDEVWEIVLGRKVGPLQLGATLSEVITVLKQRSPVRAFEIEY NEDEPYASDIVINSPDDGFKLQFHSVTQLLTVIDVYQVNNLALRYQSNILCGKDATPT FLSVYQLVGPTYPGTYDPATKLYTLHYVGGSFRFPIPKEFEKLYRNKDVLPLELPNGS TPAAMGLSIYGGNGVPSTNQAIPVPLKQHYYEPVVVDMANMHKLVVTFPTSRREIRIG DTPQEVISALGPPSSTYFKPSLDASSLSTGGEYFHNFADLGIDVMYSAWHAVSKIILR TNLPGHGEFNSYFKCNYQLQHFGATVAATTSSHMTVTPETTV H257_06052 MQVDEVWEIVLGRKVGPLQLGATLSEVITVLKQRSPVRAFEIEY NEDEPYASDIVINSPDDGFKLQFHSVTQLLTVIDVYQVNNLALRYQSNILCGKDATPT FLSVYQLVGPTYPGTYDPATKLYTLHYVGGSFRFPIPKEFEKLYRNKDVLPLELPNGS TPAAMGLSIYGGNGVPSTNQAIPVPLKQHYYEPVVVDMANMHKLVVTFPTSRREIRIG DTPQEVISALGPPSSTYFKPSLDASSLSTGGEYFHNFADLGIDVMYSAWHAVSKIILR TNLPGHGEFNSYFKCNYQLQHFGATVAATTSSHMTVTPETTV H257_06053 MKAEPSSPIRPSSMDTSSVAALQYSPPRGSSVNVTKPLGYHNDE NPALAKRKRVHHMASIDAMPRITPKTTAHNHVEGRSIAVRILVQPPRVWYRDQKGRRT VFCIKVGVVDQDDKLLSADHTPGGYFTATLLYENGNAVADKRIVELRKGAFFDSHAST ASMEIRIADISKNHQNQKFRVRVDFLWPHVTVAAAVSDPIHVLSKHVKKSLPVEMHLI KSDHSHSSSDDGTPIFRTPPINSPTTSPVVEGPRRPPTPPPTKMEEGDNISIGHGMSM TRWCSAAHRVLTQVEWSPFQDARSAIQFKCQWCFAVQPTLATAQHAAGCLLKSLLTMI VTDSTDDRSEAPPPLPSSPAPLATKFEMAERLRTATNIYRPVPHYATTTTLKRPNAVP VVDDDDDEHGKDLTLLSIGDLSAFAKSSTFDDALMLKSLSQVSVADDWRCANSPSSSS SPPRQFLMDDDSSLLSRFTDSTICASNTEAAVVAIGVFPLEGCDTGMPAFDGDWTLLG VYHTVPPRLMPPRSANIVDRSSSLRFVAISIDDVQRVWDANAQVAADVAQIKKGRMPR GGSSCKYLSLGDAECETLDKLKACVVRRAGGRDLS H257_06053 MKAEPSSPIRPSSMDTSSVAALQYSPPRGSSVNVTKPLGYHNDE NPALAKRKRVHHMASIDAMPRITPKTTAHNHVEGRSIAVRILVQPPRVWYRDQKGRRT VFCIKVGVVDQDDKLLSADHTPGGYFTATLLYENGNAVADKRIVELRKGAFFDSHAST ASMEIRIADISKNHQNQKFRVRVDFLWPHVTVAAAVSDPIHVLSKHVKKSLPVEMHLI KSDHSHSSSDDGTPIFRTPPINSPTTSPVVEGPRRPPTPPPTKMEEGDNISIGHGMSM TRWCSAAHRVLTQVEWSPFQDARSAIQFKCQWCFAVQPTLATAQHAAGCLLKSLLTMI VTDSTDDRSEAPPPLPSSPAPLATKFEMAERLRTATNIYRPVPHYATTTTLKRPNAVP VVDDDDDEHGKDLTLLSIGDLSAFAKSSTFDDALMLKSLSQVSVADDWRCANSPSSSS SPPRQFLMDDDSSLLSRFTDSTICASNTEAAVVAIGVFPLEGCDTGMPAFDGDWTLLG VYHTVPPRLMVVFPSVCGHFN H257_06053 MKAEPSSPIRPSSMDTSSVAALQYSPPRGSSVNVTKPLGYHNDE NPALAKRKRVHHMASIDAMPRITPKTTAHNHVEGRSIAVRILVQPPRVWYRDQKGRRT VFCIKVGVVDQDDKLLSADHTPGGYFTATLLYENGNAVADKRIVELRKGAFFDSHAST ASMEIRIADISKNHQNQKFRVRVDFLWPHVTVAAAVSDPIHVLSKHVKKSLPVEMHLI KSDHSHSSSDDGTPIFRTPPINSPTTSPVVEGPRRPPTPPPTKMEEGDNISIGHGMSM TRWCSAAHRVLTQVEWSPFQDARSAIQFKCQWCFAVQPTLATAQHAAGCLLKSLLTMI VTDSTDDRSEAPPPLPSSPAPLATKFEMAERLRTATNIYRPVPHYATTTTLKRPNAVP VVDDDDDEHGKDLTLLSIGDLSAFAKSSTFDDALMLKSLSQVSVADDWRCANSPSSSS SPPRQFLMDDDSSLLSRFTDSTICASNTEAAVVAIGVFPLEGCDTGMPAFDGDWTLLG VYHTVPPRLMVSIGHPTCIF H257_06053 MRIQRWRSVSECTTWPPSMQCPASLPKRPPTIMSKAVPSPFGSS SSPLGSGTATRKADGRCSASRSESWTKTISCCPLITRLVGTSLRRCCTRTVADKRIVE LRKGAFFDSHASTASMEIRIADISKNHQNQKFRVRVDFLWPHVTVAAAVSDPIHVLSK HVKKSLPVEMHLIKSDHSHSSSDDGTPIFRTPPINSPTTSPVVEGPRRPPTPPPTKME EGDNISIGHGMSMTRWCSAAHRVLTQVEWSPFQDARSAIQFKCQWCFAVQPTLATAQH AAGCLLKSLLTMIDSTDDRSEAPPPLPSSPAPLATKFEMAERLRTATNIYRPVPHYAT TTTLKRPNAVPVVDDDDDEHGKDLTLLSIGDLSAFAKSSTFDDALMLKSLSQVSVADD WRCANSPSSSSSPPRQFLMDDDSSLLSRFTDSTICASNTEAAVVAIGVFPLEGCDTGM PAFDGDWTLLGVYHTVPPRLMPPRSANIVDRSSSLRFVAISIDDVQRVWDANAQVAAD VAQIKKGRMPRGGSSCKYLSLGDAECETLDKLKACVVRRAGGRDLS H257_06053 MRIQRWRSVSECTTWPPSMQCPASLPKRPPTIMSKAVPSPFGSS SSPLGSGTATRKADGRCSASRSESWTKTISCCPLITRLVGTSLRRCCTRTVADKRIVE LRKGAFFDSHASTASMEIRIADISKNHQNQKFRVRVDFLWPHVTVAAAVSDPIHVLSK HVKKSLPVEMHLIKSDHSHSSSDDGTPIFRTPPINSPTTSPVVEGPRRPPTPPPTKME EGDNISIGHGMSMTRWCSAAHRVLTQVEWSPFQDARSAIQFKCQWCFAVQPTLATAQH AAGCLLKSLLTMIDSTDDRSEAPPPLPSSPAPLATKFEMAERLRTATNIYRPVPHYAT TTTLKRPNAVPVVDDDDDEHGKDLTLLSIGDLSAFAKSSTFDDALMLKSLSQVSVADD WRCANSPSSSSSPPRQFLMDDDSSLLSRFTDSTICASNTEAAVVAIGVFPLEGCDTGM PAFDGDWTLLGVYHTVPPRLMVVFPSVCGHFN H257_06053 MRIQRWRSVSECTTWPPSMQCPASLPKRPPTIMSKAVPSPFGSS SSPLGSGTATRKADGRCSASRSESWTKTISCCPLITRLVGTSLRRCCTRTVADKRIVE LRKGAFFDSHASTASMEIRIADISKNHQNQKFRVRVDFLWPHVTVAAAVSDPIHVLSK HVKKSLPVEMHLIKSDHSHSSSDDGTPIFRTPPINSPTTSPVVEGPRRPPTPPPTKME EGDNISIGHGMSMTRWCSAAHRVLTQVEWSPFQDARSAIQFKCQWCFAVQPTLATAQH AAGCLLKSLLTMIDSTDDRSEAPPPLPSSPAPLATKFEMAERLRTATNIYRPVPHYAT TTTLKRPNAVPVVDDDDDEHGKDLTLLSIGDLSAFAKSSTFDDALMLKSLSQVSVADD WRCANSPSSSSSPPRQFLMDDDSSLLSRFTDSTICASNTEAAVVAIGVFPLEGCDTGM PAFDGDWTLLGVYHTVPPRLMVSIGHPTCIF H257_06054 MDAPWLGDPRGSREHVTRVSSRGLVDQQRRSIFILPEMRTQHQA DGSTVKRQTISRRNSFLGHTIPFNKVAPVASLLSPTKLRKSKKSAKQRRKIPQDYDKH YRHSKITEGTAKFEDHANEWENYQLGGFIGVVNEVIATRKKEKALVTQWVIMPNSSFR KVWDIVLITCLCYVAVFLPMQLSFYANLMNIDNVQPWLGVYIVDRVTDCIFLVDIVIN FRSPEVSRKGDVTTFNANQVASAYLHSWFSLDLVSIIPFDYISLSFVTSTTTPSGVHL SRLPRLLRMFRLTKILKVVNASRIFQRYESHISIKYGYLRLMKFSLAIVLMIHWLACA AFMATIFSKEQDDNVNTWLDQIYRNHLLGGTTATSSMDEYIASIYWATMTITTIGYGD ITAMNTVERGFFIVMMLIGAGMYAYVVGTMCQLVEGLNVDSLDFQRQMDRVNDFLDMN DIPLSLRLRIRKYLLYKRDARISNISELLSSVSPTIRDEVALFKFEKILGSVAQFRGA PPDVLAALALKLTMMVFAPNEMITVFGRVGTSMYIINRGRVQIERMASDGRIVVVSVL EEGSYFGERGLLFSSKRRASVRALCFVEASCLTRKDLDDVTTDFPNVKKVIRKSMVKD VIARSLQTGEIVALAQDKVFVRKQMLLHNKVHSRRASTQDPKDMIRAARGLRGSNASS SGGSSSEGGLNADDDEPEPPAAPLQPRPKSIPCTGSVAPELTSASSPFVSAEAAATAP EVWMKGGAPPMCRPSSATKLASVPPEEAQTAPVCLAPPPSVGVRDEPPAPSISSVLTR EQSNERSTQRALWRVRTKSSKKFAKPAKKLFRVEPGWADDGGNGRGLQRRSRSMTRLP TLKDVVGRHSRSLTDLDVVKSKSAPGQPQLEGHNGDTNDGDNDDDDDDVSTDEEDEVD PVIGILTGHQHMLDVMSNALSDLKRKSDTTQLTLQLLIAKLNKLEMLATTAQQQQLSQ QSALKDNPI H257_06055 MRSAAVHAVVVGECGVGKTSLVHAIGGELIRKEATAVYYQVAPS NRSSQPICVVECASLAHCKELNPQVIVLAFDLSRPDSFAGIIAKWATFGEQPHTTKTI LVGCKSNLATNDMLVSSEAKHYAETEFDAYFETSDTVDHSIDQIRLLLLPRATSESRP IETNGGRTMDKNVVVRETIAVDKATRDIWLYDKSLFVQQSVDIHGSSSASKARIFLSG LAISKRHDIAANERGLALSSRFTMQRRKSPVEIPQGSSSNAPRKQKRIHLTNTDGTSR SYSFMQPTRASIHRAEALSRKNENIDEFTTDAPTSVRTKPCTKQPSPILKVLDGLAKR TSLQSVCDTVDTNTNMIATIPTADKVEVDGGIEIDVEASPVVDQVEISLLAVESNDPP RPLESQVAIEGWMEAPEVTPHAEPLVDHDQLIEPPATTDVVMPPPDDALSSIGDDDDI TFDDDDVLDALESFQLSI H257_06055 MRSAAVHAVVVGECGVGKTSLVHAIEATAVYYQVAPSNRSSQPI CVVECASLAHCKELNPQVIVLAFDLSRPDSFAGIIAKWATFGEQPHTTKTILVGCKSN LATNDMLVSSEAKHYAETEFDAYFETSDTVDHSIDQIRLLLLPRATSESRPIETNGGR TMDKNVVVRETIAVDKATRDIWLYDKSLFVQQSVDIHGSSSASKARIFLSGLAISKRH DIAANERGLALSSRFTMQRRKSPVEIPQGSSSNAPRKQKRIHLTNTDGTSRSYSFMQP TRASIHRAEALSRKNENIDEFTTDAPTSVRTKPCTKQPSPILKVLDGLAKRTSLQSVC DTVDTNTNMIATIPTADKVEVDGGIEIDVEASPVVDQVEISLLAVESNDPPRPLESQV AIEGWMEAPEVTPHAEPLVDHDQLIEPPATTDVVMPPPDDALSSIGDDDDITFDDDDV LDALESFQLSI H257_06055 MLVSSEAKHYAETEFDAYFETSDTVDHSIDQIRLLLLPRATSES RPIETNGGRTMDKNVVVRETIAVDKATRDIWLYDKSLFVQQSVDIHGSSSASKARIFL SGLAISKRHDIAANERGLALSSRFTMQRRKSPVEIPQGSSSNAPRKQKRIHLTNTDGT SRSYSFMQPTRASIHRAEALSRKNENIDEFTTDAPTSVRTKPCTKQPSPILKVLDGLA KRTSLQSVCDTVDTNTNMIATIPTADKVEVDGGIEIDVEASPVVDQVEISLLAVESND PPRPLESQVAIEGWMEAPEVTPHAEPLVDHDQLIEPPATTDVVMPPPDDALSSIGDDD DITFDDDDVLDALESFQLSI H257_06055 MLVSSEAKHYAETEFDAYFETSDTVDHSIDQIRLLLLPRATSES RPIETNGGRTMDKNVVVRETIAVDKATRDIWLYDKSLFVQQSVDIHGSSSASKARIFL SGLAISKRHDIAANERGLALSSRFTMQRRKSPVEIPQGSSSNAPRKQKRIHLTNTDGT SRSYSFMQPTRASIHRAEALSRKNENIDEFTTDAPTSVRTKPCTKQPSPILKVLDGLA KRTSLQSVCDTVDTNTNMIATIPTADKVEVDGGIEIDVEASPVVDQVEISLLAVESND PPRPLESQVAIEGWMEAPEVTPHAEPLVDHDQLIEPPATTDVVMPPPDDALSSIGDDD DITFDDDDVLDALESFQLSI H257_06055 MLVSSEAKHYAETEFDAYFETSDTVDHSIDQIRLLLLPRATSES RPIETNGGRTMDKNVVVRETIAVDKATRDIWLYDKSLFVQQSVDIHGSSSASKARIFL SGLAISKRHDIAANERGLALSSRFTMQRRKSPVEIPQGSSSNAPRKQKRIHLTNTDGT SRSYSFMQPTRASIHRAEALSRKNENIDEFTTDAPTSVRTKPCTKQPSPILKVLDGLA KRTSLQSVCDTVDTNTNMIATIPTADKVEVDGGIEIDVEASPVVDQVEISLLAVESND PPRPLESQVAIEGWMEAPEVTPHAEPLVDHDQLIEPPATTDVVMPPPDDALSSIGDDD DITFDDDDVLDALESFQLSI H257_06055 MLVSSEAKHYAETEFDAYFETSDTVDHSIDQIRLLLLPRATSES RPIETNGGRTMDKNVVVRETIAVDKATRDIWLYDKSLFVQQSVDIHGSSSASKARIFL SGLAISKRHDIAANERGLALSSRFTMQRRKSPVEIPQGSSSNAPRKQKRIHLTNTDGT SRSYSFMQPTRASIHRAEALSRKNENIDEFTTDAPTSVRTKPCTKQPSPILKVLDGLA KRTSLQSVCDTVDTNTNMIATIPTADKVEVDGGIEIDVEASPVVDQVEISLLAVESND PPRPLESQVAIEGWMEAPEVTPHAEPLVDHDQLIEPPATTDVVMPPPDDALSSIGDDD DITFDDDDVLDALESFQLSI H257_06056 MNSPDLSDDTLLDVDLSLLLSSEDEAKFHHVVYFLQLHPTLINQ LSRQQVNAFRAAGLLEIELSASHRSASITREMLTTGPMALMFPHYKTFFGVNHVTFRW QATTSGVDVTMANTSRRPVFVGLRVLAPQGIMALHLHDLVRLLETPSKVLLLGYVVTK RAIPLSVHPPAHNLLGLLYSQPILQYTRLGLTLNNRDVHNMRTALATGLVNFVQMSEL LVNEAGQSVPFVSQSVGWEVECASWKVLEDLVSDGCQMLHLSCATTSKALILEDGRGG AFPVGVDALKRLFQGSAVQLVQLSECPSPTGGTASQLLLDAGVPYVVAAAPHIRQVTS SQLLRFSTHFYGALMGGKSIDASFCFAQNSPKLPADLFCLYGQSNGHHGSEVLFPITD APETIFESLPTHRMTVNVCKGFIGRLRKAHDICFWLLDPTHDIRFVNIHGPSGIGKTQ LALAATQYATDRGAFDGGIRLLHVKDMVQRKGAEHAVVWLQRIFINIKTNSGRAKSWL VVLDGAEVFFRTAYHAVVLLDFVPAILKDMPNMTVVATTLETVELPPPLKQFHVGLDS NPGGPLRFRALSTDAVWEQQTRLPQNDLDVESPRPTHAVAAAAPANNCSLM H257_06056 MNSPDLSDDTLLDVDLSLLLSSEDEAKFHHVVYFLQLHPTLINQ LSRQQVNAFRAAGLLEIELSASHRSASITREMLTTGPMALMFPHYKTFFGVNHVTFRW QATTSGVDVTMANTSRRPVFVGLRVLAPQGIMALHLHDLVRLLETPSKVLLLGYVVTK RAIPLSVHPPAHNLLGLLYSQPILQYTRLGLTLNNRDVHNMRTALATGLVNFVQMSEL LVNEAGQSVPFVSQSVGWEVECASWKVLEDLVSDGCQMLHLSCATTSKALILEDGRGG AFPVGVDALKRLFQGSAVQLVQLSECPSPTGGTASQLLLDAGVPYVVAAAPHIRQVTS SQLLRFSTHFYGALMGGKSIDASFCFAQNSPKLPADLFCLYGQSNGHHGSEVLFPITD APETIFESLPTHRMTVNVCKGFIGRLRKAHDICFWLLDPTHDIRFVNIHGPSGIGKTQ LALAATQYATDRGAFDGGIRLLHVKDMVQRKGAEHAVVWLQRIFINIKTNRSNDI H257_06057 MAPKSALEDVVETLEKQLLNAASAATNNDEQDAVSTAVGRLHDV ELHAYQMDGLKWLVHREQAHVNVILGDEMGLGKTLQTIAFISYLNAAYPSSFQSCLIV APLSVLPNWSEQLGRFAPHLSVLSYSGDKEQRRVLQAQFALNPTAVLLTSYELAALDT SFLKAGPSWSLGVFDEGHRLKNAKTQIHQTLRDDIQFDRKVILTGTPVQNNLVELAAL LSFLNPTLFTPTACQSLASSMSPSLLRSILAPVMLLRTVRDVQDSLKLPPLTKVVIHT QLSPMQRAYYKQIVSKGTAFDTTLSLMNILAQLRKACNHPYLFPNAEPEPFQEGAHLW QTIDRFRSEDETFLFLLSTRAGGVGLNLQRADTLRKACNHPYLFPNAEPEPFQEGAHL YMNSGKLFVLHTLLHELKATNHVVLLFSTSTAFLDIIQDYCTWQKLSYERLDGSVRGE ERYVRECAKAGASGLDDDNKSELFTQDMLHYGLQHLMAQTDDDELTPLTADHVAALLN RQSSPPPATKQAPLVEVKDETTNMYVFEGHDYSVATATADVACLKKLQLQAATSSRRP RTKLATYDYDDDDDDKDETEQPVNLDTIEALKRQKLARKLALWAKNHYTSYAIDTINS ETPRSDDVQDTEQGGHGITYKAGNAAAVTSSDGRPAIIVHCVDTSGAWTSRGFFGALS RRSLSVEEAYGCMRPNQDLKLGQAHCIPIDSNTFVCLLVVQSYLHQRQKRTHKTLSLR LNALQVALKVVAAHARRLNATIHMPRLGAGTPGFNWYAVERLIRKHLTDGATVRECAK AGASGLDDDNKSELFTQDMLHYGLQHLMAQTDDDELTPLTADHVAALLNRQSSPPPAT KQAPLVEVKDETTNMYVFEGHDYSVATATADVACLKKLQLQAATSSRRPPP H257_06058 MSTQFMDDVLVAAAYAGAEVPETVIPPSIDVLHLDTPGAVAQVE EGEIQADDAIEPVDDADMGDADESSEDDESDPDSDDEDQSKLRLEIESALKKEEQGSL TSAPVVTAHEVFQLPVKKPSMEQLTEECPISKMGRILNVNLAERAITIQSLPHQMPLD EGSVLCLPNRVVLGLVDEVFGPVSLPLYLIRFETADEIPAGAILHADVLYASEHATYV QADKCRIKGSDASNLYDEEPAADEMEYSDDEAEQSAKKSKRKRTPASIGPPQQQRDHS HHPSSSRQPHQRPPPSYPQHASFARPHQGHHYQEHDRQPHQHFHPPQPQQHNHHHGAP YPPPQPSHPHGRGGGYYEQPPPPGQYYPPPHQPSYPPPPPYYNQQHPNGYHDPPYHPR GDYPPPPHQHHPDHHHQPPPPGWHHQQQHPPPPSYNRPSY H257_06059 MTEPALPPPTPQAATLVTLEALADKHTFIEYPLATPFTCASFQV DELVYVSTSTGKVKKSHPADDTSNGTSTGRLFARGKVVDVAPPQYPGRVKIEYKDGSM YHANPSRLTPRLFRPNAGSAQVGVIVTAKTDHYRRLARTQITSTDIVLEIGCDLGITV DSIADIVGPSNVVGVDKSHDSIQIAKDSYPRCRFVEMDIFHSRDDLIALAADCTKVLI DINGNRLLPAVVEALRLVLEGCHKVDLVIVKSVEMHRERCKK H257_06060 MASHVDDTCDAAMPPKDLTNLDEDESKTMHDASPSLADASAVLE EPVVGTDSTSEQVHALELEKEALGIELSRSHAREARLRATAADLTERLEAATAAKVRP ENAESKQVDLLHQHIRQLQETLDEVERGRQHAVFKISELAARVHGTADNHAVEAEWIQ KTKHDFELLNQRTRLLLAQQEERHAAALDVAMRRLSLEHAAAMDKLRLDNDIRWTEWR HEESRRMKEVEAAMEADKSSVRLAMKHNIESTRIHQRVTVPSTTTHSASSSPPSSLGM TTVDADTLVRMQLDTLRTRRMDALKKLCLVRISIGRTRLYAAWMRWNVHGSSIHARKR LGAHSMATALTRCRQQAIRRRFQGWCLNTRLYHWQTIHNSVLNQILAVQRLHHVVYLR MRTNVLRAFGRWRGDGRSAVGDGREICQLRKEVTMLHDELAKTKAETWRCKRQMLQQF KQSAM H257_06060 MASHVDDTCDAAMPPKDLTNLDEDESKTMHDASPSLADASAVLE EPVVGTDSTSEQVHALELEKEALGIELSRSHAREARLRATAADLTERLEAATAAKVRP ENAESKQVDLLHQHIRQLQETLDEVERGRQHAVFKISELAARVHGTADNHAVEAEWIQ KTKHDFELLNQRTRLLLAQQEERHAAALDVAMRRLSLEHAAAMDKLRLDNDIRWTEWR HEESRRMKEVEAAMEADKSSVRLAMKHNIESTRIHQRVTVPSTTTHSASSSPPSSLGM TTVDADTLVRMQLDTLRTRRMDALKKLCLVRISIGRTRLYAAWMRWNVHGSSIHARKR LGAHSMATALTRCRQQAIRRRFQGWCLNTRLYHWQTIHNSVLNQILAVQRLHHVVYLR MVCSPPMTHRIHGIVV H257_06061 MGVVATAIGTHLTTIFTTPVGQAIAFASVAGYLTYYYVGDITNW SFQDFGFVVAVTAVVLLHRYRLKSYIEHDPTQRILDDVVPAYSLKDVEYVQGSPVLLG ESRVVAILFFATWCKGSRVALNEFQKVYDTYGHDVAFIAVTQESREDLDAYEVHGRQA SNFKSLNTFGFAIAIEDGTLTKEYQLKHNVNTLPHVYLVGRDDTIFWHGHPLGHFDDA TRRTLAYDFSAAAAPNKMD H257_06061 MGVVATAIGTHLTTIFTTPVGQAIAFASVAGYLTYYYVGDITNW SFQDFGFVVAVTAVVLLHRYRLKSYIEHDPTQRILDDVVPAYSLKDVEYVQGSPVLLG ESRVVAILFFATWCKGSRVALNEFQKVYDTYGHDVAFIAVTQESREDLDAYEVHGRQA SNFKSLNTFGFAIAIEDGTLTKEYASTSSLSSSGVRMRLWHV H257_06062 MTQTSAGRTLVAQSPAAYGSENEECSLIFAQPPLRPQKQAPQSR WKSAVGLIVAVTLVGAGVVGTSVAVAKKNHTPPTLARMFTNKASFGNAVLQRHDQLNV CAHAK H257_06063 MDTDENGFVDLGEVVEYYRVEKEAKIAQIRHAADEAVTAVNAKY SRYFDCVTQGYQAVVQSRDISSADELQQVLQWADNECSKTTPQPTSPSDTYTRQEIVQ YVQNITHSQQYIQCTEAVLDKFPEGYKFTKKDVDSIALTVQTNCLVATTPTPASPSPT RQAPTKAEILTYAKAVLEVLNNETEPVSNVQLHAFVKAHENVMTMKITKVWYNSDTDR QQALDFVTKFYQRVDKCLDAAVVVFGDNGTIAVATLPSVYAWASGICVSDSSKDFVDA DLNKNNVVDEFEVAQVIATARNQQLSQLKRTTNSSEYLRSFFSIRETYDHTLECAHEG ISQVGNAVDRTLTREQFYGYEAWMTRSCSNVVPDVSLHGVPSAVDIQGAWNLTTAHAI MGQLKAKDLELALASGTGVALHTQFIEDHYGLLRTCFDASFDESGGTPYNTTLERTRL CLDATVPSTMPIEVVVSRADFQALLQQLFAPTLAELDDEIAKAQAVVDGLRAKKAALQ VCIRKAVDAVANGQATVRQRDLNTAQQWTNQCVASSPPKQ H257_06063 MDTDENGFVDLGEVVEYYRVEKEAKIAQIRHAADEAVTAVNAKY SRYFDCVTQGYQAVVQSRDISSADELQQVLQWADNECSKTTPQPTSPSDTYTRQEIVQ YVQNITHSQQYIQCTEAVLDKFPEGYKFTKKDVDSIALTVQTNCLVATTPTPASPSPT RQAPTKAEILTYAKAVLEVLNNETEPVSNVQLHAFVKAHENVMTMKITKVWYNSDTDR QQALDFVTKFYQRVDKCLDAAVVVFGDNGTIAVATLPSVYAWASGICVSDSSKDFVDA DLNKNNVVDEFEVAQVIATARNQQLSQLKRTTNSSEYLRSFFSIRETYDHTLECAHEG ISQVGNAVDRTLTREQFYGYEAWMTRSCSNVVPDVSLHGVPSAVDIQGAWNLTTAHAI MGQLKAKDLELALASGTGVALHTQFIEDHYGLLRTCFDASFDEVPYPIYPLKNRRPMN ALDVERWNAVQHDVGTYALVPGCHGAVHDAHRSGRLQSGLPSAAAAVVCADIG H257_06064 MESKRKVYEPTSAAQYYESISDCLSFNSAIEQQRTYARKRRTLV QTDGYEAVLNRSKRAQLTFSPYSNMPTSTSGMMQSTSSAMHAAKPPVAGQTVGPPPKL QQNILPMNDAMSKQLAPKLTKSTTQPPTQPVHLKSLSDGQAIFSVGSDVIVHHTEKLA AYGLGHLLGRKGTVISVPVAKGQFLYGVMFDNTVHHVPLEALVSSDAAAMLSTPSQVK LPASSQLKLEQSFHMHRLMQDQFKEIQALQKQHAEFRVANNTPAMAEVQKSLAVLRNL HLSQIRALKTKHDEELRQKELT H257_06065 MDGTKLVGVIGDEDTVTGFILAGVGHRSPEGTNFLVVKQNTPIS VIEDAFKRLSTRDDIAIILINQHIAEEIRHLLNAYDKTIPTVLEIPSKDMPYDPAKDY IMKRVNLMLGEGQ H257_06066 MGMAFSRVFERLFGKKEMRILMVGLDAAGKTTILYKLKLGEVVT TIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYYQNTQGLIFVVDSNDRDRVDA ARDELHRMLNEDELRDSILLVFANKQDLPNAMSAAEMTDKLGLHGLRHRQWFIQACCA TTGDGLYEGLDWLSATLQKRK H257_06067 MNFLKNTVMGLGSAFTSGGVGSLPFTVTDAASDESPVLPEFTLL KAKSKQDGSTVSVFKSQGPPCTLTQNCLRRIKTLRHPNVLAYIDGTEVVNGNVFIVTE EVTPLKSFLDDIRARNGPNSEEESLAVSWGLRSILSALKFINMDCKMVHGRVHPESVF VTKGGDWRLGGFQLTGELTMDGPFLLHHRSSGPKSLIDQELRYKTPEHARSDWSSIVS SSPHAIDMYAFACTAIHTFHPSFSSPSDLSRNVPSGLAGTIKRAADATASRRITPDHA LQIAYFDSSWFIRQMLFLEQLPIKSSDEKADFYKELVASVDSLPKHTAVFKVLPALKA VVEFGMATGVGGKAATYKLDPSESQMLPAMVKIGHHLPVDEFKAQVLPTLVKLFGCND RAVRVQLLQMMDSFAVHFDAKLVNSAVIFDNICTGFNDTMPLLRELTMKSVLHIADKL SDANLNQKLMKYFAKLQVDPEPAIRTNTTICLGKVATYMNATTRSKVLLPAFSRALKD PFPHARMAGLRTLVACDEYFTLQDVAASIIPSISPLMLDISPSVRDEAIGSMQQYMVQ IQQESANMKARELEQEKESRLANTPGDAPVETAAPPPAASGNPSTVTSFDEIDDFADD GWGHDDLDGLTSPLAAAPSLAPPPPSTGSYPSRASLGGVSSVTPPKPTESPASYFDDW STSQPAVSAPRLGGLSLNKQPPPSTTTVVSDAAFISNKPRKTIHERKVEAKAKKEPLG ASKLASKPKGGDNWDWDM H257_06067 MNFLKNTVMGLGSAFTSGGVGSLPFTVTDAASDESPVLPEFTLL KAKSKQDGSTVSVFKSQGPPCTLTQNCLRRIKTLRHPNVLAYIDGTEVVNGNVFIVTE EVTPLKSFLDDIRARNGPNSEEESLAVSWGLRSILSALKFINMDCKMVHGRVHPESVF VTKGGDWRLGGFQLTGELTMDGPFLLHHRSSGPKSLIDQELRYKTPEHARSDWSSIVS SSPHAIDMYAFACTAIHTFHPSFSSPSDLSRNVPSGLAGTIKRAADATASRRITPDHA LQIAYFDSSWFIRQMLFLEQLPIKSSDEKADFYKELVASVDSLPKHTAVFKVLPALKA VVEFGMATGVGGKAATYKLDPSESQMLPAMVKIGHHLPVDEFKAQVLPTLVKLFGCND RAVRVQLLQMMDSFAVHFDAKLVNSAVIFDNICTGFNDTMPLLRELTMKSVLHIADKL SDANLNQKLMKYFAKLQVDPEPAIRTNTTICLGKVATYMNATTRSKVLLPAFSRALKD PFPHARMAGLRTLVACDEYFTLQDVAASIIPSISPLMLDISPSVRDEVSTLSSSCLHI KSAYTIV H257_06067 MNFLKNTVMGLGSAFTSGGVGSLPFTVTDAASDESPVLPEFTLL KAKSKQDGSTVSVFKSQGPPCTLTQNCLRRIKTLRHPNVLAYIDGTEVVNGNVFIVTE EVTPLKSFLDDIRARNGPNSEEESLAVSWGLRSILSALKFINMDCKMVHGRVHPESVF VTKGGDWRLGGFQLTGELTMDGPFLLHHRSSGPKSLIDQELRYKTPEHARSDWSSIVS SSPHAIDMYAFACTAIHTFHPSFSSPSDLSRNVPSGLAGTIKRAADATASRRITPDHA LQIAYFDSSWFIRQMLFLEQLPIKSSDEKADFYKELVASVDSLPKHTAVFKVLPALKA VVEFGMATGVGGKAATYKLDPSESQMLPAMVKIGHHLPVDEFKAQVLPTLVKLFGCND RAVRVQLLQMMDSFAVHFDAKLVNSAVIFDNICTGFNDTMPLLRELTMKSVLHIADKL SDANLNQKLMKYFAKLQVDPEPAIRTNTTICLGKVATYMNATTRSKVLLPAFSRALKD PFPHARMAGLRTLVACDVRPCRRNKLFMTGGVRRSTLRFKTWQPRSSRPSLRSCWTSP PVSATK H257_06068 MSRSVMDGNHSHSDEQSNDVARRDGRWRDSHGEAEATARGHTVL AKLTTHLVDTYSKCGLPRHEQPKTARRLLTKNSQVVANNGWDNCDNNIVLRVRDLLDV HTRSGVAKTFVVLDLLGQGTFGQVFRCQDVATKAVVAIKIIRNHPSYYKQALVEVQVS QLLTSAVGMEGQEHVVELLDSFMFQNHLCLVFELLSVNLFELLSQNNFRGLPLTIVRG FLHQMLRSLVLLNNAQVIHCDLKPENILLTGQDKLHSMAHPSQVVPSIKLVDFGSACY ENETVFSYIQSRFYRSPEVLLGLPYCGAIDMWSLGCVSAEMYLGLPLFPGASDHDQLK VIVDTLGWVLPISRISRICYVPKSDGVFIPLAFRYPPLHMVQQGSNLRKFFNITSHSG IVLKTAEEYARDCHTTVASSKRYFKHSALPDIINAYPIRRGASADEVTKERHSRAAFC DFLRGLLQLDPQNRWTPQQALDHPFLSGRPYIQPYEPATHRRRNIRFRSPIMPMHQPY STTPPLSTSAPLPPYFQYHPPMYSHSCPFPQRELPQWRVPRPHSRLYHQHHQHQQHPH HTMTSHASCCPPPFDHWDPFFMHELDDALAAPRPPSPLYSSDHVKKERVAPRLAADPT TDTCDFERNDGNTSNNRQPTHRKWRRRRKKKLADYL H257_06068 MSRSVMDGNHSHSDEQSNDVARRDGRWRDSHGEAEATARGHTVL AKLTTHLVDTYSKCGLPRHEQPKTARRLLTKNSQVVANNGWDNCDNNIVLRVRDLLDV HTRSGVAKTFVVLDLLGQGTFGQVFRCQDVATKAVVAIKIIRNHPSYYKQALVEVQVS QLLTSAVGMEGQEHVVELLDSFMFQNHLCLVFELLSVNLFELLSQNNFRGLPLTIVRG FLHQMLRSLVLLNNAQVIHCDLKPENILLTGQDKLHSMAHPSQVVPSIKLVDFGSACY ENETVFSYIQSRFYRSPEVLLGLPYCGAIDMWSLGCVSAEMYLGLPLFPGASDHDQLK VIVDTLGYPPLHMVQQGSNLRKFFNITSHSGIVLKTAEEYARDCHTTVASSKRYFKHS ALPDIINAYPIRRGASADEVTKERHSRAAFCDFLRGLLQLDPQNRWTPQQALDHPFLS GRPYIQPYEPATHRRRNIRFRSPIMPMHQPYSTTPPLSTSAPLPPYFQYHPPMYSHSC PFPQRELPQWRVPRPHSRLYHQHHQHQQHPHHTMTSHASCCPPPFDHWDPFFMHELDD ALAAPRPPSPLYSSDHVKKERVAPRLAADPTTDTCDFERNDGNTSNNRQPTHRKWRRR RKKKLADYL H257_06069 MLSRRVHASCMMRVASVASISTWKFAAGRIKRKWAGMKQRTSWR FRQRRNGVVFQVDGEDTEDEMYLRASNVPLTDDKYCHNILAEAPPDVLNGILGATSPE GSDSFCSTMTGFMLHTCSSVVRSRVLDVITHERLDDINLTNRGIIIRAIQQHLASPLN RQKPDFQKAAFNVIKGTYGSDLTRLKEVINSDFLLPSHDHGGFHGGDLHQLIYGCRDL TQVVSVAQHIAVEALKVVQSPPLIKILSDIDDTLFAGWVDARYPGHTLYPGVTTLFQC MSRGVDCSNPSVTFLTARPRGWFSFGRNLTADHLVSLGLANPTVLNGSVRTGVNPKKI AGLKLDNFVRYTSLFPEYKFVFFGDSGQGDALLASQMRALYPDKVLGTFIHDINPSSP LTGDGGAKADYVNRGVHLYENYAAAGMIAFELGLISKEDLALVVQSCRDELDMMTFTG WNSTQKQADRKQELFNDCDRFDSKHSIPSTSK H257_06069 MTGFMLHTCSSVVRSRVLDVITHERLDDINLTNRGIIIRAIQQH LASPLNRQKPDFQKAAFNVIKGTYGSDLTRLKEVINSDFLLPSHDHGGFHGGDLHQLI YGCRDLTQVVSVAQHIAVEALKVVQSPPLIKILSDIDDTLFAGWVDARYPGHTLYPGV TTLFQCMSRGVDCSNPSVTFLTARPRGWFSFGRNLTADHLVSLGLANPTVLNGSVRTG VNPKKIAGLKLDNFVRYTSLFPEYKFVFFGDSGQGDALLASQMRALYPDKVLGTFIHD INPSSPLTGDGGAKADYVNRGVHLYENYAAAGMIAFELGLISKEDLALVVQSCRDELD MMTFTGWNSTQKQADRKQELFNDCDRFDSKHSIPSTSK H257_06069 MLSRRVHASCMMRVASVASISTWKFAAGRIKRKWAGMKQRTSWR FRQRRNGVVFQVDGEDTEDEMYLRASNVPLTDDKYCHNILAEAPPDVLNGILGATSPE GSDSFCSTMTGFMLHTCSSVVRSRVLDVITHERLDDINLTNRGIIIRAIQQHLASPLN RQKPDFQKAAFNVIKGTYGSDLTRLKEVINSDFLLPSHDHGGFHGGDLHQLIYGCRDL TQVVSVAQHIAVEALKVVQSPPLIKILSDIDDTLFAGWVDARYPGHTLYPGVTTLFQC MSRGVDCSNPSVTFLTARPRGWFSFGRNLTADHLVSLGLANPTVLNGSVRTGVNPKKI AGLKLDNFVRYTSLFPEYKFVFFGDSGQGDALLASQMRALYPDKVLGTFIHDINPSSP LTGDGGAKADYVKYVHCLCNIVDDSVQISRPRRTESRIDAIIFEWL H257_06070 MARLWLDYEKEIMEAMLMERDVMVILAKGIGLQSIVGKCMELYS QPTNLTLCLNASHDAERYVEALRAQGLSNERLPQVITNKCTVHERKLMYKSGGCIIIT SRILVVDMLNHSIDISMISGLLMCHAHKVSDSSVEAFILRLFREVNRNGFVKAFSDDA ISFSSGFNKVEQAMKLMYLRKVLLYPRFHMAVGTCLEQHQPDVYEIQVAMTPLMIEMQ QALLVATEATLNELKRSCKDLDETDLTIQNALTKALDVILKRQLTSIWHQLPAKTKQL SADLTHLRQLLSYLTRYDAITFYSYLLTQKKLSGQQRIPSPWLFSEAADRLFTAAKKR LYLLHKTKKANEYDLQLALELNPKWASLLELLGDFEPRPASSSGRVSGAETLVMLRDD RTASQLREILYMGGLAMMKKRFGRYLKHKNESMVNNLSSFSVEQKLLRDLAATLLIPD VGSHHTTTTSQGKRKAAAESTESFVHDVASYGMPLDELIYLSSQQDAKKPKPSGHLGT LPPQDQLVLCTYAEADKLPNLLDDLHPSHIVLYDPDVGFIRQLEVFNACNSAHDSRGQ QHPVQVYFMVYENSAEQQTYLTQVKREHDAFERLIQQKEHLVIPLNVFDIPAHVKRKK QSVQYSLDTRTGGRATSAISSQVVVVDVREFRSALPSMLHKEGLVLHPVTIEVGDYIL SPRICVERKSISDLFGSLANGRLFNQAEMMLRHYQVPVLLIEFTPEKPFSLQEPSDIP SDIKHSNICSKLSLLVLHFPTLRLLWSRSPQGTVDLFKVVKKGQDDPTVEAAMAAGAA TPGDNANAIDVLRKLPGVNDHNFRKLTAHCRNLADLSSKSVAELTVWLGGASAKKLHA FFNHVVD H257_06070 MARLWLDYEKEIMEAMLMERDVMVILAKGIGLQSIVGKCMELYS QPTNLTLCLNASHDAERYVEALRAQGLSNERLPQVITNKCTVHERKLMYKSGGCIIIT SRILVVDMLNHSIDISMISGLLMCHAHKVSDSSVEAFILRLFREVNRNGFVKAFSDDA ISFSSGFNKVEQAMKLMYLRKVLLYPRFHMAVGTCLEQHQPDVYEIQVAMTPLMIEMQ QALLVATEATLNELKRSCKDLDETDLTIQNALTKALDVILKRQLTSIWHQLPAKTKQL SADLTHLRQLLSYLTRYDAITFYSYLLTQKKLSGQQRIPSPWLFSEAADRLFTAAKKR LYLLHKTKKANEYDLQLALELNPKWASLLELLGDFEPRPASSSGRVSGAETLVMLRDD RTASQLREILYMGGLAMMKKRFGRYLKHKNESMVNNLSSFSVEQKLLRDLAATLLIPD VGSHHTTTTSQGKRKAAAESTESFVHDVASYGMPLDELIYLSSQQDAKKPKPSGHLGT LPPQDQLVLCTYAEADKLPNLLDDLHPSHIVLYDPDVGFIRQLEVFNACNSAHDSRGQ QHPVQVYFMVYENSAEQQTYLTQVKREHDAFERLIQQKEHLVIPLNVFDIPAHVKRKK QSVQYSLDTRTGGRATSAISSQVVVVDVREFRSALPSMLHKEGLVLHPVTIEVGDYIL SPRICVERKSISDLFGSLANGRLFNQAEMMLRHYQVPVLLIEFTPEKPFSLQVRGGDV MYV H257_06070 MARLWLDYEKEIMEAMLMERDVMVILAKGIGLQSIVGKCMELYS QPTNLTLCLNASHDAERYVEALRAQGLSNERLPQVITNKCTVHERKLMYKSGGCIIIT SRILVVDMLNHSIDISMISGLLMCHAHKVSDSSVEAFILRLFREVNRNGFVKAFSDDA ISFSSGFNKVEQAMKLMYLRKVLLYPRFHMAVGTCLEQHQPDVYEIQVAMTPLMIEMQ QALLVATEATLNELKRSCKDLDETDLTIQNALTKALDVILKRQLTSIWHQLPAKTKQL SADLTHLRQLLSYLTRYDAITFYSYLLTQKKLSGQQRIPSPWLFSEAADRLFTAAKKR LYLLHKTKKANEYDLQLALELNPKWASLLELLGDFEPRPASSSGRVSGAETLVMLRDD RTASQLREILYMGGLAMMKKRFGRYLKHKNESMVNNLSSFSVEQKLLRDLAATLLIPD VGSHHTTTTSQGKRKAAAESTESFVHDVASYGMPLDELIYLSSQQDAKKPKPSGHLGT LPPQDQLVLCTYAEADKLPNLLDDLHPSHIVLYDPDVGFIRQLEVFNACNSAHDSRGQ QHPVQVYFMVYENSAEQQTYLTQVKREHVLPCPLYIYLENYDRRWMTSITTRTHLSD H257_06070 MARLWLDYEKEIMEAMLMERDVMVILAKGIGLQSIVGKCMELYS QPTNLTLCLNASHDAERYVEALRAQGLSNERLPQVITNKCTVHERKLMYKSGGCIIIT SRILVVDMLNHSIDISMISGLLMCHAHKVSDSSVEAFILRLFREVNRNGFVKAFSDDA ISFSSGFNKVEQAMKLMYLRKVLLYPRFHMAVGTCLEQHQPDVYEIQVAMTPLMIEMQ QALLVATEATLNELKRSCKDLDETDLTIQNALTKALDVILKRQLTSIWHQLPAKTKQL SADLTHLRQLLSYLTRYDAITFYSYLLTQKKLSGQQRIPSPWLFSEAADRLFTAAKKR LYLLHKTKKANEYDLQLALELNPKWASLLELLGDFEPRPASSSGRVSGAETLVMLRDD RTASQLREILYMGGLAMMKKRFGRYLKHKNESMVNNLSSFSVEQKLLRDLAATLLIPD VGSHHTTTTSQGKRKAAAESTESFVHDVASYGMPLDELIYLSSQQDAKKPKPSGHLGT LPPQDQLVLCTYAEADKLPNLLDDLHPSHIVLYDPDVGFIRQLEVFNACNSAHDSRGQ QHPVQVYFMVYENSAEQQTYLTQVKREHVLPCPLYIYLENYDRRWMTSITTRTHLSD H257_06071 MRTSLAEHKRAFRKTANASSSSEIRESASSKAPYRQARKSGVLT LPAKGLTTFPDEALHLMDFLQPDEKGWECVDLIKVDLSHNDIAAIPPDIQGLSGLLSF KMCQNKLVDVPVELFSLTSLAYLDLSNNCLGGAFPDPLGRLNNLKELVLSGNKLTSVP ASIGDLSKLEVLRLEDNLLVGFPDTIGGLQKLQTLTAQNNEIEGIPPSFKDLRHIATL DLSKNKLTSLIGCLKHNERLKFLDLRQNRLATFPELPFECTLDTLFLGFNSLTSINGA SLVRAKDHLTVLDLRDNKLPLLPDDVCQLHRLKTLDVSNNDLSDLPPGLGYLTHLHHI LTDGNSMRAIRRTVLTSGCEPLKKYLRTRGKPPSGVNALDEEFDEFNKEPTATDVEVG YLLRDASASGTLDLSDKKFSKVPLEFWPRDSMVDKLQVLDLAKNGLALIPFDIGLCVN LHTLVLDDNLLETLPSSIASLGLLHTLRLRKNNLAEAAFDHVLSQGTPLSCRVKELDV RNNALRFVPSGVVHLTSLQTLLLSYNAIAQLENIDWSRLQSLYVLSISDNKLVSLGNL YQSPNLTSLSVENNNLNQIPGEFGLMKLKTLAMNGNPQRTVRLATINKGIDEVLLFLR NKLTPSEIEAFSNKPTQLSPASPMEVEAKVPLVKTSTRPVVGATSFTSRPPVAPTGAP PLPSQQRESAVDEVVATTITASSVDLRIATLSEQLEDHGLSAAKRYALKKDLAKARAE KIRESRSKTTN H257_06071 MRTSLAEHKRAFRKTANASSSSEIRESASSKAPYRQARKSGVLT LPAKGLTTFPDEALHLMDFLQPDEKGWECVDLIKVDLSHNDIAAIPPDIQGLSGLLSF KMCQNKLVDVPVELFSLTSLAYLDLSNNCLGGAFPDPLGRLNNLKELVLSGNKLTSVP ASIGDLSKLEVLRLEDNLLVGFPDTIGGLQKLQTLTAQNNEIEGIPPSFKDLRHIATL DLSKNKLTSLIGCLKHNERLKFLDLRQNRLATFPELPFECTLDTLFLGFNSLTSINGA SLVRAKDHLTVLDLRDNKLPLLPDDVCQLHRLKTLDVSNNDLSDLPPGLGYLTHLHHI LTDGNSMRAIRRTVLTSGCEPLKKYLRTRGKPPSGVNALDEEFDEFNKEPTATDVEVG YLLRDASASGTLDLSDKKFSKVPLEFWPRDSMVDKLQVLDLAKNGLALIPFDIGLCVN LHTLVLDDNLLETLPSSIASLGLLHTLRLRKNNLAEAAFDHVLSQGTPLSCRVKELDV RNNALRFVPSGVVHLTSLQTLLLSYNAIAQLENIDWSRLQSLYVLSISDNKLVSLGNL YQSPNLTSLSVENNNLNQVRE H257_06071 MRTSLAEHKRAFRKTANASSSSEIRESASSKAPYRQARKSGVLT LPAKGLTTFPDEALHLMDFLQPDEKGWECVDLIKVDLSHNDIAAIPPDIQGLSGLLSF KMCQNKLVDVPVELFSLTSLAYLDLSNNCLGGAFPDPLGRLNNLKELVLSGNKLTSVP ASIGDLSKLEVLRLEDNLLVGFPDTIGGLQKLQTLTAQNNEIEGIPPSFKDLRHIATL DLSKNKLTSLIGCLKHNERLKFLDLRQNRLATFPELPFECTLDTLFLGFNSLTSINGA SLVRAKDHLTVLDLRDNKLPLLPDDVCQLHRLKTLDVSNNDLSDLPPGLGYLTHLHHI LTDGNSMRAIRRTVLTSGCEPLKKYLRTRGKPPSGVNALDEEFDEFNKEPTATDVEVG YLLRDASASGTLDLSDKKFSKVPLEFWPRDSMVDKLQVLDLAKNGLALIPFDIGLCVN LHTLVLDDNLLETLPSSIASLGLLHTLRLRKNNLAEAAFDHVLSQGTPLSCRVKELDV RNNALRFVPSGVVHLTSLQTLLLSYNAIAQLENIDWSRLQSLYVLSISDNKVRNSYCF LHSNSS H257_06072 MPPSYAASSYVLLVATMASIHSVATATTNSSLLFTNATAPVVTL PVSETTSAGLPGVVYAGVAIGVLAIAGFFAECCLHKRSYWAKRHEINAGLNTNLPSNR VV H257_06073 MRQRKNTSVRASMDFSGPLNAVSVSHAQKLIAVGGRDVLKIVAL ETTGFVEKKNLRSSKSNLNFSTNDIRWHPQSDSMLATAATNGFLVLWDVQHKVQKREV KAHDRAVNRICWHPTDPNSLLSASQDGLIKLWDQRHKGVCTAVFQQQKSESVRDVKFN LFEDTRFAAAFENGSVEIWDVRNNKQPETKFTAHQGHILSIDWHPSKARVIATGSRDR SVKIWDLSDPAKPATSQTIALIANAGRIQWRPDCEDHIATSSSITDSRINVWDIQRPF VPLACLQGHADIVSDFEWFDTPLVQSFGGATDTSLDYDGFRYWQHVVACSKDKTLKLH SLAEAVKPHQTMHAVALAMNISGHVVSSHDEIDRSCTSLLIHQHESSVNPIFSVSNNS LNMQHPPRKVKSAANLAPSMPRIPSFKAAATKRNVSSGSLPNSNTYDMVHTLSHHDTT SQTGTMVPQAMINASSFHSSMSADQMKAMLVQKDAHPPAAAALDDRPQSFGFNQQVFS FLAQTYELHGPSFQGICAHNARVAAVAGCMHLSKTWTILEMLFEKRINPHSPKDVAVD DPHQRLGNQTSTLLAQLDEVNPMHGVEAYPYDEHKEVAVPSPQTYGKSADVSRIQDTL LKELLEFYSEAGDVQSCTTISAAMSYVTSIEALMGKGWLQQVYMHYIDLLHQLQLYSV ANHMVKNCPDVGIKQMNMKATTIYTSCAKCNKTLDVLPPKIAKVAKNALCTHCFNVTN CSICELPVSGLFVWCPVCSHGGHLQHMQDWFATEQSCPTGCAHVCAPYMFVRSSS H257_06073 MRQRKNTSVRASMDFSGPLNAVSVSHAQKLIAVGGRDVLKIVAL ETTGFVEKKNLRSSKSNLNFSTNDIRWHPQSDSMLATAATNGFLVLWDVQHKVQKREV KAHDRAVNRICWHPTDPNSLLSASQDGLIKLWDQRHKGVCTAVFQQQKSESVRDVKFN LFEDTRFAAAFENGSVEIWDVRNNKQPETKFTAHQGHILSIDWHPSKARVIATGSRDR SVKIWDLSDPAKPATSQTIALIANAGRIQWRPDCEDHIATSSSITDSRINVWDIQRPF VPLACLQGHADIVSDFEWFDTPLVQSFGGATDTSLDYDGFRYWQHVVACSKDKTLKLH SLAEAVKPHQTMHAVALAMNISGHVVSSHDEIDRSCTSLLIHQHESSVNPIFSVSNNS LNMQHPPRKVKSAANLAPSMPRIPSFKAAATKRNVSSGSLPNSNTYDMVHTLSHHDTT SQTGTMVPQAMINASSFHSSMSADQMKAMLVQKDAHPPAAAALDDRPQSFGFNQQVFS FLAQTYELHGPSFQGICAHNARVAAVAGCMHLSKTWTILEMLFEKRINPHSPKDVAVD DPHQRLGNQTSTLLAQLDEVNPMHGVEAYPYDEHKEVAVPSPQTYGKSADVSRIQDTL LKELLEFYSEAGDVQSCTTISAAMSYVTSIEALMGKGWLQQVYMHYIDLLHQLQLYSV ANHMVKNCPDVGIKQMNMYTCA H257_06073 MRQRKNTSVRASMDFSGPLNAVSVSHAQKLIAVGGRDVLKIVAL ETTGFVEKKNLRSSKSNLNFSTNDIRWHPQSDSMLATAATNGFLVLWDVQHKVQKREV KAHDRAVNRICWHPTDPNSLLSASQDGLIKLWDQRHKGVCTAVFQQQKSESVRDVKFN LFEDTRFAAAFENGSVEIWDVRNNKQPETKFTAHQGHILSIDWHPSKARVIATGSRDR SVKIWDLSDPAKPATSQTIALIANAGRIQWRPDCEDHIATSSSITDSRINVWDIQRPF VPLACLQGHADIVSDFEWFDTPLVQSFGGATDTSLDYDGFRYWQHVVACSKDKTLKLH SLAEAVKPHQTMHAVALAMNISGHVVSSHDEIDRSCTSLLIHQHESSVNPIFSVSNNS LNMQHPPRKVKSAANLAPSMPRIPSFKAAATKRNVSSGSLPNSNTYDMVHTLSHHDTT SQTGTMVPQAMINASSFHSSMSADQMKAMLVQKDAHPPAAAALDDRPQSFGFNQQVFS FLAQTYELHGPSFQGICAHNARVAAVAGCMHLSKTWTILEMLFEKRINPHSPKDVAVD DPHQRLGNQTSTLLAQLDEVNPMHVYSV H257_06074 MDESAAATTGDKRTRLEIEAVIRKAKDERNRLEAQREAHKRANR DYARSVIKLIALEATDLDALTEFAERQHMTTEYTNITDALDTFEAEYQRVRYSAGEIK DKQQKAKIIDAAKSKRDASSGVLKARLSELSHVGQLIAPAARVPRKQISTAEYKTTME AIHRIWGERKAMVDPLTADLEVGKLCKRIGEDAKALKHFLNAVQPYKAQKNLVVELTD DDWTRIKHSEQARMREAHSLLFHIYLKTTDRESAEAHMRSYLELSTVGSQRTNALKYL EKLCIEIDGILVDSAWRGRLLSKYCGVMEVEPSKKLNVSDSILVTNSLGRLPDLRLAI LKELNLLFPNDPYLLESLGLMHVRLRDYVTASQTMVQYVALPQVSTLPLFAQVLEYTP PPHFRSLSQCNLSHSE H257_06074 MDESAAATTGDKRTRLEIEAVIRKAKDERNRLEAQREAHKRANR DYARSVIKLIALEATDLDALTEFAERQHMTTEYTNITDALDTFEAEYQRVRYSAGEIK DKQQKAKIIDAAKSKRDASSGVLKARLSELSHVGQLIAPAARVPRKQISTAEYKTTME AIHRIWGERKAMVDPLTADLEVGKLCKRIGEDAKALKHFLNAVQPYKAQKNLVVELTD DDWTRIKHSEQARMREAHSLLFHIYLKTTDRESAEAHMRSYLELSTVGSQRTNALKYL EKLLSKYCGVMEVEPSKKLNVSDSILVTNSLGRLPDLRLAILKELNLLFPNDPYLLES LGLMHVRLRDYVTASQTMVQYVALPQVSTLPLFAQVLEYTPPPHFRSLSQCNLSHSE H257_06074 MDESAAATTGDKRTRLEIEAVIRKAKDERNRLEAQREAHKRANR DYARSVIKLIALEATDLDALTEFAERQHMTTEYTNITDALDTFEAEYQRVRYSAGEIK DKQQKAKIIDAAKSKRDASSGVLKARLSELSHVGQLIAPAARVPRKQISTAEYKTTME AIHRIWGERKAMVDPLTADLEVGKLCKRIGEDAKALKHFLNAVQPYKAQKNLVVELTD DDWTRIKHSEQARMREAHSLLFHIYLKTTDRESAEAHMRSYLELSTVGSQRTNALKYL EKLLSKYCGVMEVEPSKKLNVSDSILVTNSLGRS H257_06074 MTVERQHMTTEYTNITDALDTFEAEYQRVRYSAGEIKDKQQKAK IIDAAKSKRDASSGVLKARLSELSHVGQLIAPAARVPRKQISTAEYKTTMEAIHRIWG ERKAMVDPLTADLEVGKLCKRIGEDAKALKHFLNAVQPYKAQKNLVVELTDDDWTRIK HSEQARMREAHSLLFHIYLKTTDRESAEAHMRSYLELSTVGSQRTNALKYLEKLCIEI DGILVDSAWRGRLLSKYCGVMEVEPSKKLNVSDSILVTNSLGRLPDLRLAILKELNLL FPNDPYLLESLGLMHVRLRDYVTASQTMVQYVALPQVSTLPLFAQVLEYTPPPHFRSL SQCNLSHSE H257_06074 MTVERQHMTTEYTNITDALDTFEAEYQRVRYSAGEIKDKQQKAK IIDAAKSKRDASSGVLKARLSELSHVGQLIAPAARVPRKQISTAEYKTTMEAIHRIWG ERKAMVDPLTADLEVGKLCKRIGEDAKALKHFLNAVQPYKAQKNLVVELTDDDWTRIK HSEQARMREAHSLLFHIYLKTTDRESAEAHMRSYLELSTVGSQRTNALKYLEKLLSKY CGVMEVEPSKKLNVSDSILVTNSLGRLPDLRLAILKELNLLFPNDPYLLESLGLMHVR LRDYVTASQTMVQYVALPQVSTLPLFAQVLEYTPPPHFRSLSQCNLSHSE H257_06075 MGILSQLVPHARSLEQWLTSTIGFEPWMEGDNNIQAHLQQTFVA RRHTATDVAATRYCREVSMTQSDVVESAINTLLQRKRYGKDTSTNLLTLGYGMASPGA NNHFLANDLSCYYPNTLVAMLKSNEWVQLHLHIGDDLMKHILLNHNVFVLMANTTDCY IQLAGDNIRFAKTSTGPMESSVSIRRVMYARGHSSHPPSVQLKHSILSPLNPSKEAAR RVVATILRLNNAKRLDKRGQNLVPIVQDMMNRFKACDVGGSVRRMCALPGACVAILKM CRLHHQTARVTVDMELEDDGFASQDESTSSHRKKRSNLASENASPAKRRKRQSNQETI ADLLQFHTPKEQVYECVRYILDTIVPKEVWGSSAKNHRRFLQLLRWYLFSHMHDAFAL KKTVDTMSVHTIAWMQQHAGSTCVPSEVHKRRRILTLLLSWLWTDVIEPILRLHFYIT TSEGQFNRVSFYRHCVWNTVVTLGTLDLAQDHLTRVPSAVPPTTPFPISTIRFEPKSH GVRPIMNLSKKPVGGGVSVNRTLRLAHHVLRHESQWGLGASVANMHEIGRRFGSFRQE WMAGNDVRRPLYFVTVDIERCFDTINSRRLLKMLPRVLTQDRYMLRRHYVVQSTKAGI KYKSCTPVLLPSDLRRFEHLASSTASKHSVHVDGVVYDYIQRRDLIKLLQSHLLSNYV TMESELFLQTQGIPQGSVLSSLLCSIYYADFEKNVLLPRIPMQVGQDLLLRYTDDFLF LTTNKAHAETFQAIMHRGSKRYGCRVNPRKTQTNLLVRHQLLRWCGLVIDPTTLAVYS NYAKYTALPRSLKNTLYLDTSRPVSAWFFKRLLALVQFRCHHIFFSPKFPVACQTNMY HIIAVVAVKYVYMMLGLPRPTMYWNMAFFHRGLVMLWQKLYGQIRKHCPNALTWSKV H257_06076 MANMAKVVQGNVVLDPFAGSCGVLLACAYFGMGMGLGQDICEAT LRGEGPGRTVHSNFEAFGFAVPELSLCDVRASSWRKTWTVDAIVSDPPYGIRTFGATE SEDGSRFDDQYVAHLVRVWSPHLVAGGRMVFYVCGPSKHKQSLVSYLTDLLLLGSNLS IVDVIETGVHFMDNNSSIDTAAWTRSLVVLEKRSDSSYHLPLLALQLANKPQTSLSSR NLPWTVSRNMEPLDIWRAAWLGDISSLEKFVADKGGDINAPDDHGKTALYFASGYNQL RVVAFLAAIALDVDAQDVDEKTALVQAARHGHAQVVRLLLKAGADPCKLSSKRWSPAY FAATYGHLEVLLALYEASPASLMLPGPGHATAIHRAAERGHATVVERLISLHPPLATS RDWLGRTYIAYAARHGHVNVLELFPLQSSDPAVVDHDGNTPLHEAVRYGRLECAQWLL DHHEGLKSVKNKAGNEPKDVSKAPDMQRLFTTR H257_06077 MRRQALLQKRLNLSDKYRLLGKIGEGTYGIVYKAESLAPSPRDH NSRERTPDDEEQTFAVKVIKTQKEGKNEVVLSIATVREIKILREMHHDNVVHLHDVHV DPKATSLALVFDYADHDLHDIIKQSRQKPLTEYTKKSFMHQILKGVKYMHDNWVMHRD MKPQNILVVGHGRRRGQVKLADFGLARIYKDPIKALTEVERVVVTLWYRAPELLLGAK HYTKAVDMWAVGCIFAEILNTRELFMGQEVNDNNAPFQKDQCDKIFKILGLPTPQTWT GMDNLPEYSNVQAMTKEREYPTTSKLHEAVKFGTGHSSVLLRDLIARLLYYDPENRIT ATEALAHDYFKCEPYPRDYAFDEPNKEPIHFQKQKVKPLEEPVKPASSPADAGGKDND DDGRGRNSHVNRANPGNVPMKRGSIGDAPPPANRPAQWSSGGQPPPGNQPNMQRSSSN NSNLKRPLDQTTAKRPSNPPTMPSNAVKQRSPKQPRRR H257_06077 MRRQALLQKRLNLSDKYRLLGKIGEGTYGIVYKAESLAPSPRDH NSRERTPDDEEQTFAVKVIKTQKEGKNEVVLSIATVREIKILREMHHDNVVHLHDVHV DPKATSLALVFDYADHDLHDIIKQSRQKPLTEYTKKSFMHQILKGVKYMHDNWVMHRD MKPQNILVVGHGRRRGQVKLADFGLARIYKDPIKALTEVERVVVTLWYRAPELLLGAK HYTKAVDMWAVGCIFAEILNTRELFMGQEVNDNNAPFQKDQCDKIFKILGLPTPQTWT GMDNLPEYSNVQAMTKEREYPTTSKLHEAVKFGTGHSSVLLRDLIARLLYYDPENRIT ATEALAHDYFKCEPYPRDYAFDEPNKEPIHFQKQKVKPLEEPVKPASSPADAGGKDND DDGTVVVKYPKCGLFTKSL H257_06078 MDNDELFGYGSTDHRTNFDKRDAADSKDALLEFYRARCDAFHQE RKDLLERFHELDVSRESWHRTQWQNQVHKQEIVDLKVELARVKDSLHAAEETIAVLRA EQDSFKVQEAEDRKRIQHLLHLTHPTSEEVTFFKDCRPGHSLRSPVGAQPHDAVGTHH RSNVSLSEESGFHRAMHQNDKMKRLKRHAATCSTNGGGKVGRVIRTVYLPSEQADSLL LRVQVLTKQLDVNRKLSDDRIQSLLNDLEMVSVESTRQRDAHHLELQTAQTDFAKMQR LLNKSTKDFLVARHDALAAQRSAEEEMARLHSLLRAAEADKEAVQVQAKAETQSIRET IRAEGNLCAEEFRKQAVSRERDIHILKEQYAAVQESYSARISDLQARLAKLRSRYKAL EGRRNLEMEGFSRDIATLKRHVVKLENLCYGTKLTNDDIRLLRMDEWHTLNAPDLEAE ILHLQDRYRELATNVMQAKR H257_06078 MDNDELFGYGSTDHRTNFDKRDAADSKDALLEFYRARCDAFHQE RKDLLERFHELDVSRESWHRTQWQNQVHKQEIVDLKVELARVKDSLHAAEETIAVLRA EQDSFKVQEAEDRKRIQHLLHLTHPTSEEVTFFKDCRPGHSLRSPVGAQPHDAVGTHH RSNVSLSEESGFHRAMHQNDKMKRLKRHAATCSTNGGGKVGRVIRTVYLPSEQADSLL LRVQVLTKQLDVNRKLSDDRIQSLLNDLEMVSVESTRQRDAHHLELQTAQTDFAKMQR LLNKSTKDFLVARHDALAAQRSAEEEMARLHSLLRAAEADKEAVQVQAKAETQSIRET IRAEGNLCAEEFRKQAVSRERDIHILKEQYAAVQESYSARISDLQARLAKLRSRYKAL EGRRNLEMEGFSRDIATLKRHVVKLENLCYGTKLTNDDIRLLRMDEWHTLNAPDLEAE ILHLQVI H257_06079 MDSASGATCTRSFADSRGPITLRRVAARSRSRKVTNSKRRRDGV NTKGSADEAQNDDVVSMHFCALDAIQHRRGGRRRTSDAKHGGSLSDRSARCYLVGEHG MRQTVEVDEGIKAKWRKRGSQRRVVEASWPFDAMT H257_06080 MHDCPKDDSLRPAAASPPPPPPPSPPKWFGFCKQGCEAFTDGEF AQCIERFTSALDILGEELERGLCGPALQSEKAKLLVNRAAALVMVGKFQEALQDGIAA VELDRTFLRAHLRVAKCCLLLGKADDAKEAYRHVDLILRGLNRRLYPRLDDYRAQLHE GLLAVESLESLLREINRCTVANDNKGALRATEDAMAFAIGSQELRLQKVTLLLTLCEF DKVEAYCTYLVRKSASGGIISLGIKMAVLYCRALHYHDKVDDSLRPAAASPPPPPPPS PPKWFGFCKQGCEAFTDGEFAQCIERFTSALDILGEELERGLCGPALQSEKAKLLVNR AAALVMVGKFQEALQDGIAAVELDRTFLRAHLRVAKCCLLLGKADDAKEAYRHVDLIL RGLNRRLYPRLDDYRAQLHEGLLAVESLESLLREINRCTVANDNKGALRATEDAMAFA IGSQELRLQKVTLLLTLCEFDKVEAYCTYLVRKSASGGIISLGIKMAVLYCRALHYHD KTEQAFEVLEQLLRVAPTSVDLLHVKRLWELMEDVSLAAYEASTRGDYNKAVLLYTKA LTLDKHHRTYNASVLGSRATTYMALAQYDKAVQDCNAALKYRPVYFKVLLRRARCYDI MNLYKESVADYDEYMAKAKVTPDDLRHVRRERDQVQEDWDRSKYRRDGWDNDFPYSSH QRVPNEQHRNKAHEHAAGANQNGPLRPPYVPPSPTHYQVLRVPSTATQDEIKKSYRKL ALVYHPDKAKTAQDGELFKYMSAAYGVLSDKQLKAAYDMELRYRGH H257_06081 MLDNCLNKLGTGVGRVESKRIDGFEHSWGSTRGFEGGRVDGVTE RGGTCLGVNWLRENEMKSGNSRFFGRPLRCCCFPTRAHPAISRCRHPRGHGGCSAYNT II H257_06082 MEGAVVVVGTDRSGRGGVRPELPSSVPMWLVGSVAMKLVDSLSI IDVERMVAKFEDSRLILCGGMERDITIWTRIGAVATLRGHPASVLYLACDDDNFQIAA PTSASHHSSLTQKLWAEPAEPTE H257_06083 MNKDDFDLHALLLAAEVSRDVEIAAISSSIESLCLANNCDDDEG DDDQEFSWTDRTLEAEDFRQDPTTGNP H257_06084 MQREATVRTPTRDDETFIADTSFVIMEPDRVHTVPSSTIRLSMG QGNTVAFNSEHSSWANVTSHRTSSKRPSITRCSIDVKPPLSNGFKRSRARGESLLLDA RFLSDEDASRLILQANRPPCEDGSTLEEMMQSIKARQYYQQQFAKIQEQAGMNPRTLR FSPVYEDAYATYCQKSSLLRVRFCFLLGMCSLGFFLWYDTTQPSYKATATMPFWTFSF ATVSRKDILDVLSIVGPVAFGAGVLLTYFKRFQRGVALEMMSFVVFGVVALSLIVRKP VGRYRGPVLPLVILLIPVFGITKMRFQWSCLLGWATFALYLTIQLASKKFLDDQTSEK WDSVSDLVYQSINYGISIIGGMVSHYRQELLRRRNFALQLPFAGLMVDDSVELKDDKF KKRALLRRVTMAFRHPDVEAMFYKHWYLIDPFPFKNPNEAGLHAGEFRVLRFPIYGLL VDQVILGIQDYKLLWLPGHPVKSTSPFTVSESAWAYYASLVCRYAVIVPCYLSIVGLL YCMGRAFYTKWLAQTNQDDLTHAALLQVEQGTPSDVQQVSTLALNVARWRQWRDERWT DMLTAKGGYARFGQMYASMVVALHVGCIAMLLLWVTRTTHKKQNVYFMGLLNALLFPH RSGFRIRFIYATVTTVTLAMLFIVTSALVLDSAASSSVLGVVLTGDKDLRNLWIEYVT YIVVVVLLGMFVSREEESLRRSFFILKSLRTLEFEEWFHTLLKIQGWMRIKLKAKLHH IRIQRTEDMARPTDDKRHANVEVVADTSPYMGMASKYGIYAQSFNLAAVLVDLILSLG SA H257_06084 MQREATVRTPTRDDETFIADTSFVIMEPDRVHTVPSSTIRLSMG QGNTVAFNSEHSSWANVTSHRTSSKRPSITRCSIDVKPPLSNGFKRSRARGESLLLDA RFLSDEDASRLILQANRPPCEDGSTLEEMMQSIKARQYYQQQFAKIQEQAGMNPRTLR FSPVYEDAYATYCQKSSLLRVRFCFLLGMCSLGFFLWYDTTQPSYKATATMPFWTFSF ATVSRKDILDVLSIVGPVAFGAGVLLTYFKRFQRGVALEMMSFVVFGVVALSLIVRKP VGRYRGPVLPLVILLIPVFGITKMRFQWSCLLGWATFALYLTIQLASKKFLDDQTSEK WDSVSDLVYQSINYGISIIGGMVSHYRQELLRRRNFALQLPFAGLMVDDSVELKDDKF KKRALLRRVTMAFRHPDVEAMFYKHWYLIDPFPFKNPNEAGLHAGEFRVLRFPIYGLL VDQVILGIQDYKLLWLPGHPVKSTSPFTVSESAWAYYASLVCRYAVIVPCYLSIVGLL YCMGRAFYTKWLAQTNQDDLTHAALLQVEQGTPSDVQQVSTLALNVARWRQWRDERWT DMLTAKGGFVRTNDACCCFTQIMA H257_06084 MQREATVRTPTRDDETFIADTSFVIMEPDRVHTVPSSTIRLSMG QGNTVAFNSEHSSWANVTSHRTSSKRPSITRCSIDVKPPLSNGFKRSRARGESLLLDA RFLSDEDASRLILQANRPPCEDGSTLEEMMQSIKARQYYQQQFAKIQEQAGMNPRTLR FSPVYEDAYATYCQKSSLLRVRFCFLLGMCSLGFFLWYDTTQPSYKATATMPFWTFSF ATVSRKDILDVLSIVGPVAFGAGVLLTYFKRFQRGVALEMMSFVVFGVVALSLIVRKP VGRYRGPVLPLVILLIPVFGITKMRFQWSCLLGWATFALYLTIQLASKKFLDDQTSEK WDSVSDLVYQSINYGISIIGGMVSHYRQELLRRRNFALQLPFAGLMVDDSVELKDDKF KKRALLRRVTMAFRHPDVEAMFYKHWYLIDPFPFKNPNEAGLHAGEFRVLRFPIYGLL VDQVILGIQDYKLLWLPGHPVKSTSPFTVSESAWAYYASLVCRYAVIVPCYLSIVGLL YCMGRAFYTKWLAQTNQDDLTHAALLQVEQGTPSDVQQVSTLALNVARWRQWRDERWT DMLTAKGGFVRTNDACCCFTQIMA H257_06085 MRHQAITEATCHHPILVMKWGLMMTIAILATALEGAPVRARTLE ESQDVAQQIRDKNQRHLDGKARIREAQEGRFWRNHL H257_06086 MSLAFFRSLSLASPFMPTQFVRHASKKSGGSTKNGRDSVSKRLG VKKFGGEAVISGNIIIRQRGTKVHAGKGVGIGRDHTLFATRDGFVRFWWNEPKKCQEV SVNQNRERQRRVVASAPAIISTPAEPLVQSA H257_06087 MSTTSLLSTLPEPFINGSITYLVLTIVTIVVGFFARVTGKVDKE HASIFILFSAMTGFCLWIFWACCWLHQWHVLIVPTAINE H257_06088 MPDLARSNNAAVGVKADGLPRWGDVPSQQNNDIIEDSPSSKLKS SANASTMPPFCMNRSLNAKVALWKGAIWTLEVDAIVISTNESLTDDTDVSGGILKAAG NEIYTEIRSAGTCRTGDAVATRACQLLAKRLIHTVGPRYNDKYKNAAENALHSSYRNC LRVAKEERASTVAFPCIYRRKKNYPRDEATHVALRTIRRFLEHFGDSFDLIVLCVEDT GDLQLYQEWLPVYFPRSTDDEAAGKLALDALPHINLGDEFGEPVIEERKIRISSVPFV HDNNHADDYPHDEIALGRRTTTLCALDRMSPDAMVTKSFCEMTPSPDKDRLELLKTRR RTSTTEVPPVVSYQAYVHQAKQEDFQDIAALGLIYRAGVDHAGGPVLMVVGKHLPSSS VDLDRVLLYVIHVMDAVVEQKYSVVYAHGGVTDQNQPTSTWLHQLFNTFSAKYRDNLK AFYIVEASMWLRMTLWMAKAFVHNSFYAKVAYVDTTDALHQVAPSLRLPGDK H257_06088 MPDLARSNNAAVGVKADGLPRWGDVPSQQNNDIIEDSPSSKLKS SANASTMPPFCMNRSLNAKVALWKGAIWTLEVDAIVISTNESLTDDTDVSGGILKAAG NEIYTEIRSAGTCRTGDAVATRACQLLAKRLIHTVGPRYNDKYKNAAENALHSSYRNC LRVAKEERASTVAFPCIYRRKKNYPRDEATHVALRTIRRFLEHFGDSFDLIVLCVEDT GDLQLYQEWLPVYFPRSTDDEAAGKLALDALPHINLGDEFGEPVIEERKIRISSVPFV HDNNHADDYPHDEIALGRRTTTLCALDRMSPDAMVTKSFCEMTPSPDKDRLELLKTRR RTSTTEVPPVVSYQAYVHQAKQEDFQDIAALGLIYRAGVDHAGGPVLMVVGKHLPSSS VDLDRVLLYVIHVMDAVVEQKYSVRLVGLLELHIN H257_06089 MGKQVTSYVGQKVRALKFLHGAKGAACLEGDECAVPLLVAGGYG SVDNSISLLFPQMPTVDHMDLADEFEEEANTEIGELSSVAHAGDVTSLGFLSVANNDF VVSGSSNGSLYVSSIVKSDSSLALKSVAIPQWEHLSMGSLAGIDADSHSASIVAACES GQVAWTNLDRPQDVRVLDVDHWAIHDVKMLGVDTHIALACANPRRPLQLWDLTASQDR PVASTGPTGAAFTSLAAHPTRPELLLAGTTDGSLTLWDRRMLGNSALRTERRHRKAVR SVTWHDTKPGFVFTASDDSTVLSWDFYAGATSSTSDKVDYERHSSDGGVTVTTVASGI LPWNALDVDGVSDTLVAGSDNHSIVLVDGVTDAATSSS H257_06090 MDRELDEPTSAEEPLLTDEERTVDGGDQRIPWEPPRSGYLGSKV KYFRRLQKDWVSLSPPTKAAKPPSVYPPPHVLPPAAFVRGASEENLAPLDNLTSSKRA FQSTWSTVFSIWNTMIGSTLVALPYGFSCSGVLLGIGIVILVGVICCYTCNLVVRYGK DFSDFGDLMQYHFGKRAQAVTVSVSVFVLMGACIAYHVLMKQCAFTAFHAAFDWLGVH VHWTPSAAALFVCLLFPLTNVKEFATLVRFNSLGIPFLLFTIVFITYHGVHAVATHAP MDDIAFGAKSTFGVLGGIVTLSFFIHNAIQPIIRHSNPANYARDVTAAYVLVGMSYIT VGVLGYIGFPTGVSIQQNFLDAFPAKDVFAFAARMSLLLQLATVYPLFFVIIRTQVFG LVFQNTWPSAWRVVLLNLGIMATTTAFAVYYPHVGDILRFTGAAGGLVLIFVAPIGLH WKQQRAQRLWTRGSMLVHVVIVLVGVTLLVLQPTTADCEATDGPRHQNAFDALPDDAK DHAIRGIKLLKQGDFHSGIAALEAAIDVEGDSWILWKHVAIAHYDLWKHVASAKATLA STSFLTKHSGGTADPLERFLRTAYDVFVVAMEYRQNKHHPAMLLKLAVLYVELEAWQG ALALCTLVLETTKWNTFSQFNEAVFLSGAVAIALHHATQSGEYFAYLAENPPHNLRSY RVLLLAGMQLDSQPDSPDAVERGRVLYVEAYNRLTGGVRIPSPTPSEAAAMELYHTSH KSESERIQLWLADPNVWDSFGMDLFDTNHPFLASLTFEYALRRGGCRSVETLVTMGRV HHRLKRTDKAELVLEQALRLDYYAHPTRFWLGVVSFRWSQHFKREVRGAVAFQRVYRG HCGRCRAHVLRHRRACVWLEKVRSVSRIYLVGRTAKRVVAIRKAAELTREAVARDAMH DEDWFLVFLRWNAAARSIQSLLPIARAKRDKIARRAWLHKHKALLRRVVSHSNDRLVQ TCFAAIVEFVVVARQVQHDAAVLLQRAARKWLGRRHLARLAAKHAEQQKLLVVFLGKA NKRWKADCFFAWRTCRERTKVLRRASALRIQCAYRSFKARQVLRANMARQVRVRQFMA QLVVSRDGLCMRKTFHALAVSALAARLHKHACATRIQKIMRGRFARALVVRVRKRHRH CEGLVATALVQRAGKFVAQLWTTWQLFVQLAQLEKQLAATHIQRMERGRAARRRVRIM REYLHVYFGQSGSRLLPLPGNRQLRLVFVGLWKHRKFRTEREDKATRTIQRAVRRCRH RRQARHLREKLKRKMQVATMFQKTFHAAATAFFHVLKGLCDAKHDKLDVAARVLQRNL RGWLARRVMARLAKQHRAATALLDRVIHREARAGMGMVLRLWKAGLVVCREVNRGACV TIQRRFRARRAIKEARVKMDKRRRQRQLVERGTGKPLVRCFHMWQSRVIESAASTFNA SLTAKHTKQFTAWSSTISSSASGGDSQPSSVPSMLFYHVLTRTRHTGLCQLPFCHGFD RAQLRQLLQLATSVLSDGRASSSSDLPRGSSDVLDTLAWMPQSPVQKLILFNEPAIDG SRLAACLDRPKTHPLVSVVVGGSVLPSRHVMAIALSLAQPHAKLQQLVLDSCRIGNTG TVLLAMGLAHNKSVWKVDLSGNGIGDVACQALGELLLANDTLQILALNQNHLSDNAVL SYLEPALFSLPAASALAAVYLHGNPTLTRRGLDALQSAAAFVNHHAHRSASNTLVIEG G H257_06091 MGGDDSGETTQQLQAHDGLFLTHQEIQLHQLYQELAKIHHQTTK SSDSNVHASSSSHDAHVHSHAPAALARSSSLSTIGSSAANSSLSAIDRVKAVMAAKAK QQSSNPLTKSTVKQAAPNKRANPLADLLKPGASPATPSTIKRPRLHSSTSDPLPSSSS SHGPSTVNTVTGEMRLSNGRGIQLPPESFLRTDEWSSEKDDPLSVTLPAVVFRTNNTL VVNGLIPTHSCRFVLNIATSDGTIVCHLNPRKMRGGQILLNSFVDQRWGTPQIVHRCP LVFGSAAPCAFMLRITITSSGFLLYLDEMFVEELKHRMPLREGDNLLLQVPVKDEYGN PEVVRIHNVWWGHTDMTSTHQHPSLTHAPSAHSMTPTAPPSRSSTSSFGSSSTAAAAA PRYPSDGRNQFDVYVGNLPSDATRGDLARLFADFSYDYIRITPRGFGFVALKNQADVD RAVRELDGAMLPGFTVSLKISVALSKRTA H257_06092 MQLTVGYEDTFIQVEVDGQDQVENIKALIEAQLGIPLSTQVLVL NGRPINPNSTVSQAGIQNHDLLLCTTQQPQRPQVATAATSPFLAPASTQARAGMFMHD IPPNCSAELLIEIMRLNPQLLRQIEEGNPSLAQSLKAHDVAGVRMALMKQHMDIAARK YKQDQEALELERNPFSEEAQAKIEEAIRLGNVQHNMEIAMEEMPEAFGRVHMLYISTV VNNMPVKAFVDSGAQSTIMSSSCAERCGIMRLVDRRYAGQAVGVGTAKIIGRVHMAPL KIGREFYNCSFTILDQQGVDFLFGLDMLKRHQCSIDLHRNALVLRDGSGEHQVPFLPE HEIPITERSELPPPPPSSPASAPAPPPAAALPPAVVAPASPPSTTLSPDQAAKVQQLV DMGFPTPLAQEVLASCNWNVNVAAGLLFES H257_06093 MSSDDLELCHVMLVFRHGDRSPVLPRMGKNIVMDDKEKAFWADR LVTSEHEAVLDKMAKTAGLTRDAPPPTNGLIIGGGWPSGYLTQLGSEQMIAHGKAMRA KYATFLADATPDDLYVRSTNVPRTIRSAQSVLYGMFPEHLLSDDIFIHLDENCRLSMG KQMDYFNMSTKLKSRRHESPVKDIDDLERLVQDAAGLDEGEDVKWSFLREILVCRKAH AFPFPAGLTDTVLDKTIEHNAWEWHATLGNKPFLKDAFGGGVHEVMGYLHTAKQGASS HKLTVLSGHDDTLTALLVALQLRKPGVAHLMPSYGSMIVFELWRSKKTPADEWYVAAT FDEEPIFFGDHTESVLCPFGHVETLVAAFHEG H257_06093 MSSDDLELCHVMLVFRHGDRSPVLPRMGKNIVMDDKEKAFWADR LVTSEHEAVLDKMAKTAGLTRDAPPPTNGLIIGGGWPSGYLTQLGSEQMIAHGKAMRA KYATFLADATPDDLYVRSTNVPRTIRSAQSVLYGMFPEHLLSDDIFIHLDENCRLSMG KQMDYFNMSTKLKSRRHESPVKDIDDLERLVQDAAGLDEGEDVKWSFLREILVCRKAH AFPFPAGLTDTVLDKTIEHNAWEWHATLGNKPFLKDAFGGGVHEVMGYLHTAKQVGCT LQ H257_06094 MGGGLKFLNLKGWHPSNKQNQKKIWIAEQRHKAKEESEKDAARE VLKDNELLRFQQVAATRGDVDASRRIDSQKVSFLYQPPPGLKKVDDKRGGDTDELENE DDAVKAFRLKMEKKNAGKDHQSYQRPLEKLIGRRANDPVTIKDQVERFPMLKDAPVEG EYTQNIKVNFQPVGMKLRNVRCARCQVWGHQSGDRECEMRDQNPNDAFRQNIEDPMSS INQMRQSNLTLKKSAMPLEMQSRDATEYAMVPTDEDESDDPERKYLASLSTKEKKQLL KKLKRESNEASSPKASKKRKHHENKKKSSKKKSRKHDKDE H257_06094 MGGGLKFLNLKGWHPSNKQNQKKIWIAEQRHKAKEESEKDAARE VLKDNELLRFQQVAATRGDVDASRRIDSQKVSFLYQPPPGLKKVDDKRGGDTDELENE DDAVKAFRLKMEKKNAGKDHQSYQRPLEKLIGRRANDPVTIKDQVERFPMLKDAPVEG EYTQNIKVNFQPVGMKLRNVRCARCQVWGHQSGDRECEMRDQNPNDAFRQNIEDPMSS INQVRRFA H257_06095 MWRKAVPSRRRWSALSILERRCMSKDAAPLNAWNFPCPVCGQMK AGHVLTCSATCESTLATDHADTHARLLTLKDDLECARSPDVRALFHQLETQALPSSTT SWADMLADAGVSSHLAHDPAVTRLISAAYSYPMTLRHYLPALLPSISSSIDAAHRVYI LGARAEATMPRHLWTPLHPWRLDISLIGNHVPVMRSTPPSPPSSSLNLSFHNGLYHDL DLPSPHAFVLFNPGLGHPALRSQWRPTLARVLESHRPILLTSFSDEDLQRDVRVLETA GRRIDIAENPFGSTKASIDPMHLVASPVHSNRFVCVVH H257_06096 MEIASDDVIRLMQQFLREHKLFDTLHSLQQESQVTLQAVDSVDA LAHDISLGKWDQVLTQTQHLHLSTSFMIDLFEQVVLELTEANEGSIALELLRQAPPLL ALQERDITRYGHLERITRLPSFDARFAYSGIPKEKRREELAKKVRKYVTSVPPSRLLT LLGQALKYQQLTGVLPDELDLFQNAPKRLKVDRTEESISKPSGKIKFGKASTPQTAQF SVDGRMLVSGTKDGFVEAWDIDKCALRKDLEYQAREEFMMHDDSVTAQAFSRDGELLA TATAEGKVKVWKLATGQCLRRFEHAHSHSIHSICFSKDGSQLLTASFDQLVRLHGLKS GQMLKEFRGHDNYVNFATFSPDMTRVLSTSADGTIKIWDVKSAECLSTLRPPHAPPGM EIDVLAAVPLPHKPTHVVVVTRSKVMHVLSWQGEFTDTTYTDPYGDVEAKGEFAAAVT SPQGKFLYALTDKGYVVCFKTDTGVLDHAMQVCTGTVHGIAHHPHRNVLATYGSDGYV RVWKA H257_06096 MEIASDDVIRLMQQFLREHKLFDTLHSLQQESQVTLQAVDSVDA LAHDISLGKWDQVLTQTQHLHLSTSFMIDLFEQVVLELTEANEGSIALELLRQAPPLL ALQERDITRYGHLERITRLPSFDARFAYSGIPKEKRREELAKKVRKYVTSVPPSRLLT LLGQALKYQQLTGVLPDELDLFQNAPKRLKVDRTEESISKPSGKIKFGKASTPQTAQF SVDGRMLVSGTKDGFVEAWDIDKCALRKDLEYQAREEFMMHDDSVTAQAFSRDGELLA TATAEGKVKVWKLATGQCLRRFEHAHSHSIHSICFSKDGSQLLTASFDQLVRLHGLKS GQMLKEFRGHDNYVNFATFSPDMTRVLSTSADGTIKVRTYTASPH H257_06097 MDGTLSLSDLKDALKDTLEARGSMGQIRARIRAEIFQALDEGAP KAKLSNENLIINELIREYMEYNGYRHALSVFVPESGQPVEKPFHRRFLAHELNITEDP RYTQVPLLYSILTALQDSKHPTEEQHQPPRPPLLDRNDDDAQSEHSLVGLPLDPSTPR PSHLSVNRVPSPLNHHLPSQPPQHPSPGPPQPLDRQPPSNPLVVISN H257_06097 MDGTLSLSDLKDALKDTLEARGSMGQIRARIRAEIFQALDEGAP KAKLSNENLIINELIREYMEYNGYRHALSVFVPESGQPVEKPFHRRFLAHELNITEDP RYTQVPLLYSILTALQDSKHPTEEQHQPPRPPLRTRSFSHLTNSHSIVRTVDRNDDDA QSEHSLVGLPLDPSTPRPSHLSVNRVPSPLNHHLPSQPPQHPSPGPPQPLDRQPPSNP LVVISN H257_06098 MGKKLANRKEANPTKRMTSGGGGGAEMQLDQRGDGLYSGTFRWT DSKAFPSLPPRPLHGSGIPTEPLESGWQGYLTKCHTAHNLVPMATQDPSFLDALSFPM TFVYAAKTLGLIARSTSNDQERRHVVVVGATVKAEQRIFHITSYWDEVAAFFPEFQIT LWFVGPEVDSTRDPTSKASPLNVHFVQGTAGAFLAGHPALTAHNSVLVGYNTGFGNFV ESNRYDLLWSWLPDLVAIADSGIPSIFACANDYADLNGEFAIQSRVVGANMVLLPKEN PFSAASHLHEEGKKESAWSRANSFLYVIQGADSSRRHNLKHGDVMGLNARLDQVLNLH YEDRLGRHFFLGMILSKDQAARSKLLQKLHGPDNQAMPSPAKQAVVGLARTSDKDLST VTQAMSKVVVSDAAGNQFNHDITQPEYTIMSNAAKTDMRITVSMPRMESAQDLQLDLS KRTLRVIVPSMYLLELHLPWDVATSRDSMQAVYVKKSCTLCIELRSEFL H257_06099 MKEVVVLVFVAIPQRKRQTRGAAGGAFGIIVIVVVHEHVVLAAL VEGRKGQTHASEGALGLHGGDLDLGHVAVFLNRVDGRVCKRLLFLERSRDRRCKRREL LVHRARATITAAAICARGTGGAHGGHTTLVVEELGLPAPAYEAVVVAVVPRCL H257_06100 MVVAPRLSDEQAIVVLARVYHSTPKLRHFLRTVQFLHEHNYLRL RLQHPVINHANAFSDTMDDATSRFLYRFTVPQLRVLCVALHLPSTVMTSSRDYVPCIE ALAMTCRRLAEPCRMLFCVNAINVTLASSMLLEHPLLRALDLLMAPDITLAVPARGSA VSHTKTSNALVTMATRVAIV H257_06101 MDNTKTSSLEGSDKKPSQSFMSASDDIALLNEVSFTRPWESRRS AARLAWEEIAKKLTTDASLSAFKSGPARRKRTEFLLKKHVANEHASLRKSGSTEDISS SDNDNNTPHTGTNKKRKASTGKLRYKKTRTKRKSLDLLMSGVSEGVSKLSKADDELKC ILNFMPSPSPSIGRKQYYSVKAKIAIVAENTKANESAYATAKRHGKGLGVSKPLVEAE VLTYCKSLRDEDIAVSTKMLIVKALSIDPSFHQKRVVFHKDGFNNTSVSFGALPLVFA RGGAVVLYNDGNLVRKVFLLLQQVQREEADVACLLAGNKAEQLENLFQVPTFASYLKA ANNQVVDCKAIPTNVMADGYSLVGIL H257_06107 MSLNRSGRSSSQPSLHAGMMDAGHYQTWDKHALDFGKKAPTLEK MVMRVIETVQPVLYDHFVTMPTMTDLWDKGTVFRTYPYAKDATDVKFQPANRPTGRFG EQKHYFSGKHKLYGLKIEASVSPEGLLVAMIAHEPGSVSDLTVFATATTSTCLPYANF PESWAVLVDKGYVGLTGMTRAIHPKKRPVHGVLYRADVECNTNVSSDRVIVENFFGRY RTSTIVWGTKCYDSIQRLTFALTNFHLALMPLRQDDCHQYRAVLARYRRMAEENNAKR AAIQRRYVAK H257_06109 MKWVQEQLSQCVVGQNGNAKWNKRAATYWSEDTATAKALAEELG QGSDDADDLVRCSKKNLATELGPKIALPIPTKARARTASVEPTMSLDEVVVKDCKIQS LAPGLISVASCDTDQEESLALKLMRFDPTKPKSPLLPPQQASTNMRFLSLLRNVRRLE SQYAETGKHGRMLASTIVELPAFTYTPVSTNTTAPHGAERCAICLCDFTDSQELRVLP CFHTYHSWCIDKWLLGHAKCPVCILTP H257_06109 MKWVQEQLSQCVVGQNGNVSAAAFTLWWVTPIVQAKWNKRAATY WSEDTATAKALAEELGQGSDDADDLVRCSKKNLATELGPKIALPIPTKARARTASVEP TMSLDEVVVKDCKIQSLAPGLISVASCDTDQEESLALKLMRFDPTKPKSPLLPPQQAS TNMRFLSLLRNVRRLESQYAETGKHGRMLASTIVELPAFTYTPVSTNTTAPHGAERCA ICLCDFTDSQELRVLPCFHTYHSWCIDKWLLGHAKCPVCILTP H257_06109 MKWVQEQLSQCVVGQNGNVSAAAFTLWWVTPIVQAKWNKRAATY WSEDTATAKALAEELGQGSDDADDLVRCSKKNLATELGPKIALPIPTKARARTASVEP TMSLDEVVVKDCKIQSLAPGLISVASCDTDQEESLALKLMRFDPTKPKSPLLPPQQAS TNMVPLPLPNALIRAT H257_06110 MLVAMISLRTMPPATMEETQRLLPHSEPEAADPQHHRTIITNRP HALHGMISIAICLVVICLVGGVHWGAASALVNVATLAQSSFINDLTTQLDAYDHVTFP LDCSFHHYTLSADDDGLAYVSGDGRSFRSDHTNLFGRGVTGKIVSVDANAFLIRSNGE CCRFMDSGDEILLRPKSFYNYRAVGNATFDTTMATLWVDPEQASTFWLNADGLPLRWD YIPKSPPGRRITFGKMISMVFANFTLGPQDRTLFDVPAICTTSQRCRFD H257_06111 MATQPPLPPPPLPPLPLDLVQNTEFPLVPPDESSSSQALAAMLT SIGRPAVVVGVIGDHVDAATQFANRLVGHQAFTTFSTAVTSIRVRMFYDESRNMILLL GTHAPPPDSTWSTASSVHEASVRSRRNHMQMQLLLYSSSHVLFVVHDHARVSTSQTQH FRSLSSAKHRLVHLLKQPKSSKASAAFPLAPGRSVPVTSFVFPVDTGRKLSRPQLATF CKAMESRLQVLLKPLRGGTVATVRAKDASNNNKERRLFVMDPSHVVVAVPRKLATADG DLLARMAAVLDSVSVKSPPCPLDLKHMLKPLDDEDTIGMPHAIQFATKWVDHILHDTV AIKELLPVGQWIRQFQALATLVVADNAMLFSAPTAPSSHLTNPPNDDDFVVVHGEVPY DSAMQALDVFGAFAEDQCARELAAAIKRYKLEKPDKINRSLHDARVQRTVDAFHRRLG PSNPFLAKYSRALVDACNAIWHH H257_06112 MGDSSRGGGRKHSSQNLRPARGGSGHHIPNAAPNAGIKSGMTVE DLKRLTQKRMQDSAIAAAAATAPIHVEVLTPKARPTISVPLGTPQRVVPPPPAAFTPK TGMSVQELKQLTTLRLASQHTPTHQQQQHPLPSTPTAAPSAINPARSSDYKSMLQQYY SRVNSPTSSPGTTPKRLGGRSFTTDHPPPTSQQSPKVVHLSGSSKPGQATTRAAAVSA DSRHRAQSIDIHGYMQDFIPPRTTHQQQHEQPIQSFSPRHLGNSQRHPYDAIPDPTPS LGRQGIHFEAESFPPPPPVDDTGAFYAPTALPSWSPPPMRTKTLHDSRPTLSLYQPQS GFYAAPAADDYDQQVPSSFPPPPPGLTRRPSMTVPWQVAESVLHTPQQPSGRKQIVSV PETPFHQFAQAYPQAKGPNGAPSPPRKPLHRGISGTSAAAAAAAAELNAAAPLVRQSS KELTQPQLARRGSFGNAAQMFRFRRRSKSRLDVARDLALLELNGDDVRHMSADERELL LQGSGDEYYGQERYSTSDLDDMKGSDDFVPYFNHRGGPKLSIPPPPPPMDDDSDNDEA GLPMMSPNGAKLRKVAQLARSGSLSSEDKTRVKDEIIQNSLGMMSLLPDDLASAPPGF APQSWSKPKKSPIRPPGIVRSQSRAMSESSMSLEDRLALAAQRVAECVAKADMVEFQK AMDVLDKLRHEANQLMQQ H257_06113 MANGGSIEGYLIKLDDEYGSIVYCILEEGTLQYYNGKGGVLLGE LQLSGNKVNANLIRNDSSSLPNRFVISSRKRSTEAKKEAMTKVFTPELEHDQRLLFAA STPETQEEWATVVLNWNKHCWDETSSIFSYKDESHALRQLLRSHNIKEKPRKINQPGS GHAVPVR H257_06114 MTDERKHLVVAQPPRTPSRKPPVREGHYGVKCLLVGLSVVSVVV ICIAVRFLPLPASPGQPGVPSFHRLITANPIVGILSQPSTTHPEYEFIASSYVKWVES AGGRAVRIPFNASNDTIADLLGSVNGVLFPSGDADPNRVAAFIYRHAKELNRNGTHFP LWGTGLGMQWLFQLESPTTTLLDDLDADKMSSTLEFIHGSRSSSRLFGFSAVFDVMAG QPIADYVDTLGMLVDHLYATPSLASFFRPLATSVDRQGREFVAAVEAVEFPFFGVQFH PERNAYEFGQEAVDHTYNAIVTSQALSHVFVNEARRNDHRFATSLVERTALLYNRKAS DVAYPMFEEVLLFNATLD H257_06115 MDNDDDGDDTLADTVAGTDAGSQNTPSQWKKHFAEQVPPLQVAS PPRALPLCTYDEDETQILFCSNVISQEVGSPGHQPNKNQMQLAEVELPLVPHENYVGD GDSSNESEDLWTIGDSTLDQPHPTFDGPVDGAIPNAASTTEASAHVPEHPVALSTSTD TADDDTELEDNDIPTYVVTHAVDTPVHIERYFDNVYNTTMTTLKPVRSPSPRKRLRLE HSHLTEPPQPPITEPSDPFPPPPPISNDIVVSRRRNKRNASPSSTADHEPHVPSPPRR RRRQVQTGAPSTVGRARAGKSRRAATLSSSIPCLDTATSLEANKDPPPSISGVRIVLT GLDPAPLMHQIHAIQGAAFEQDVTRGTHLVAPSNQLKRTVKMLCGISTCLHIVDEKWL AASAKLGRPAPEVPYCLLDLAKQAQWSFELKATMYDHTNRHLLLRGRVFYIVRHKSIL PAPSDLAKIIECAGGEVLSTSTKPVDNVIVIASAEALAVKSVQKQLGPLANATKYTTE LVLSGVLQQSLDLTTTHVVVEHTSSQQQPTIQRGKR H257_06116 MTTTTPPAPPAAIQFIVRKGKWSFCCAIQSDAQALADLASHAFV SQLTSGDIQVTKRVSLSELQGIVATSVSNIPEFFVSPAPGATLEDAAGFAQFRHYLAS HDRAGVATLTGDFWLIFLPDVDDRRLKCLVVQRASSPPPSTDPPPSLTSPGVETSPPQ LESPSSATCTSHDETTEPTGEVSTDSLKEEVGAVSVLTDASLVDEGTPPQEDKSNPAA PSPQAIDTTEWHDLLRIHHSFHAALYIIHGRRVDIKMPRPSKNRKRVKLR H257_06117 MPATPTASHEDTRSVLQHVDALEIREEAVVKGLELACNRAFREE TRLHKAMSSPTSNELVEGVYLACWSFFPLLLETHRSLLRRIRFVRSVDPTLRPHRVLT ILVEAVPHIAAIHQAYAMQFQTAARATAQHTTPSDLVDVLKSPLTALDACTRCLHGLH SISSDVAAVSAALALLDSGMHQAFESMHLRLVQRLDIENKVPHVEWTNRVLVDVAAPV QVANAQGQFVDATMFLFEGVLVAQSVHEGSILLTLLADTSPISIVHATDSAMCVVLGA TTACHVTFASTDACRAWLVSLASCFTARFQSVFSFDLHALLASMQQFPKSWLVADTDT ANHDLVASAAMWLMDLSAPSAAVPPRLHQVYLMRDMVLYGRITSLSTCEFGGYILGEH VTVHDTPSSSSAAAVLHVHAASPFEVEITPDDGGDDGQPSMSQRRLLLAPVDPSVRQE WVELLQQLKADVVGASDESQDLYYSPSHPSSMVTSSHTTMATSSEGGKHESKSTSEIT SNDQANKPTPVPETTGLKPAARMLEIDDMDRLPTSDTEIESGDDDGDSASLDSMLCAK NPRKRSFAQIHSPSPTRQGDERLSGGVDDTSICAETPDAVDHKSRLVNCLAQEASPPS KRAIAFACDSVDDTTSQVSIPQDKEGGKAIPCLATRHHTAIASSVITPEVPAPPFAAA EVEGTISHVPATRRPSKPHKKTSRRTTKSPTNACTALGLQHTAKVATSSNATDLSDGV PSELPPSTQEMEGGALQFLRDVPFASKPSDDDDATSIRIALSGFDDPTSLFSKIAAIR HAEYEDDVGRATHIVAPSGVLKRTVKILCGISSCDHILDEEWLHASAKLGRAAPEVPF CLSDPAKEARWGCSLQATMYDHSRVQRQRLLAGRAFFVTRHKTVLPPPQDLERIIVCA GGQMQAAADVGPDTIVITSVEAAAAPSIQKILKRVDRTQCYTPELLLRCILTQKLSLN EYHVGLPDRHEKKATRKKYH H257_06118 MLSASLGAKSILRLLPFVDVTIPQGCDEPVVDATHAKKKQLSFA DEHGIALVQRHVFDVSHPWKDASSSLHSRL H257_06119 MRQWLLLLVPSVGVVSSMRVHRTWTTQPWCDSVVSPVWPFAYQK DPAQTVEYAGRVNSNAQDMRHVCSSFGVQSKCHMCQNDAFQIQGVDWSVLPVNIPTVT DTPFVVSTAVPTHRYDYGHPNVSHVCVLLSGADCLTPSSTTSYATCTTRCWGYQAGPL TRQPDVDDATDRWDFASQPSTAQGQVTTVAGSGQPGFQDGPVASAQFSFPRGVAVDSQ RNVYVADTNNHRIRLIRSATQDVVTIAGDGVRGSIDGPIGAARFSFPTGIAVLESGGS VVKIYVADTGNHRIRVIDLQSQRVLCLGGRCGNGTETATLAQSIASPQPGLADGSPNN STFDSPMGIAVTPQGIVFVADTGNHVIRRVGVDGTTTTLAGNVILQSNPMLGCAPPCV MGVAGFRDGNLTYAQFNHPTDITLGPTNTLIIADDHRVRRIVYGSATVQGIASSDRVV TVAGVGPFVAGETDGKADEASLHVTGVAMATDERVYVTSSISSHIRTVTPSALVMERI TCSTRGFEILSPSGCGSYDPPLGAMDQKVSPAVGNVYYNAVRRNVSSYEGGKNILGRT VQSCTGSPPIDPLVTGSTSVTMYDSTGRVIRSVWQDVDVGTSITVQCPANCNSGTPQV FGTSMYTDSSQICYAAIHAGLMTSSASALLTLTLEANTLYDSLAIRTGSTANGVTSAT MPSAQAAARLFSLEITPLTRRFVQTVAGAPVSLLESPRGYRDGAPPLFAKFQGPTGID VFETPSSTNLVIVADTTNHRIRGLTAACSKICENQGQCISAETCACAPGWRGDDCTIP VCTPGLCGDRQLCVAPDTCACVPGYMSFPACDVPLCVQACVHGSCGFPDTCVCASGWF DANCTTPVCSQTCGNSGNCTGPNTCTCTPEWQGTDCRTPVCHQICANGGVCVAPNTCL CPTGWSGHDCAIPVCIQGSFVAHPSGYQYGLFRPFSWDVYAPCEFDAWCQATMGFDCA KRPSTLLQPKCKLLELRATALSHYSYAVEHAIGSTPFRRYSTATPYGQDRVNLTYPGA PVLIPGLFTTPPYTATVDRILASVERRRMTQGVYVCANQGNCTAPDICVCAQGWSGFD CRTPVCTQGYYTPTQPTFVSAEPLESKHFNHPPSNGNPAYPQTVETLSWDHYTVATVS QGNTRFLSQGFEAQGGYACSSRSLTQFEKPATLDSPAHYWNFANYFSQYMNDSMYWPP LYAKSPPLWDNTQDGYRRAGIWSYQSPAQWQKGTCLVEFVRTCPLPSSPTVSVDPDST YRPIVRYSAVNASSIQSFDGCVDYVRRGCFNNGTCVAPDTCLCATGWTGTDCSVPICV VPCVHGACTLPNRCTCDLGWTGVVCSVAICAQDCRNGGRCVAPDTCECPTWASAWRNG RRNGGTPIFLLPDGSPQLTGWTGYDCNTPICTQAQRFLLNAVRDTPTFVAMRGDLHKT PCVHLRCPQYDIELTSNDGTSFQSGCAPGIPYPNPVFTGPSTLNQANWDAYNDTATTG RQSDAALCRVLRWQQGEFSNRVVRLNHPGVARGEGVYECYNKGSCVRPDVCSCADGYT GFDCQLPLCRYTTPAGDVTGCQHHGICISKDTCECIQTQSVLYQKYPLAPRGNTGWAG SSCSMAICVQGFYDAQCHGQAPVGHEGCYRCANGGMCVAPDLCQCADGWTGYDCNQPV CKVKVTPEIRAQLFTVDETKVLAFENDPCGTQGGRWGKEVVNGAEMGQGNCTLPYLCT CLCRVRYDYAECKKSGEFCLKPWYDVFGRAIPPGYIFGTKHCSSGFQGLEDSKGRFQS CHLQIYEPTGFQRYTASFVAFMTIACILFMVSFYCIRRNLKRRNLRLKAERRRSRRES EENPVNPEFAFGHQ H257_06119 MLGCAPPCVMGVAGFRDGNLTYAQFNHPTDITLGPTNTLIIADD HRVRRIVYGSATVQGIASSDRVVTVAGVGPFVAGETDGKADEASLHVTGVAMATDERV YVTSSISSHIRTVTPSALVMERITCSTRGFEILSPSGCGSYDPPLGAMDQKVSPAVGN VYYNAVRRNVSSYEGGKNILGRTVQSCTGSPPIDPLVTGSTSVTMYDSTGRVIRSVWQ DVDVGTSITVQCPANCNSGTPQVFGTSMYTDSSQICYAAIHAGLMTSSASALLTLTLE ANTLYDSLAIRTGSTANGVTSATMPSAQAAARLFSLEITPLTRRFVQTVAGAPVSLLE SPRGYRDGAPPLFAKFQGPTGIDVFETPSSTNLVIVADTTNHRIRGLTAACSKICENQ GQCISAETCACAPGWRGDDCTIPVCTPGLCGDRQLCVAPDTCACVPGYMSFPACDVPL CVQACVHGSCGFPDTCVCASGWFDANCTTPVCSQTCGNSGNCTGPNTCTCTPEWQGTD CRTPVCHQICANGGVCVAPNTCLCPTGWSGHDCAIPVCIQGSFVAHPSGYQYGLFRPF SWDVYAPCEFDAWCQATMGFDCAKRPSTLLQPKCKLLELRATALSHYSYAVEHAIGST PFRRYSTATPYGQDRVNLTYPGAPVLIPGLFTTPPYTATVDRILASVERRRMTQGVYV CANQGNCTAPDICVCAQGWSGFDCRTPVCTQGYYTPTQPTFVSAEPLESKHFNHPPSN GNPAYPQTVETLSWDHYTVATVSQGNTRFLSQGFEAQGGYACSSRSLTQFEKPATLDS PAHYWNFANYFSQYMNDSMYWPPLYAKSPPLWDNTQDGYRRAGIWSYQSPAQWQKGTC LVEFVRTCPLPSSPTVSVDPDSTYRPIVRYSAVNASSIQSFDGCVDYVRRGCFNNGTC VAPDTCLCATGWTGTDCSVPICVVPCVHGACTLPNRCTCDLGWTGVVCSVAICAQDCR NGGRCVAPDTCECPTWASAWRNGRRNGGTPIFLLPDGSPQLTGWTGYDCNTPICTQAQ RFLLNAVRDTPTFVAMRGDLHKTPCVHLRCPQYDIELTSNDGTSFQSGCAPGIPYPNP VFTGPSTLNQANWDAYNDTATTGRQSDAALCRVLRWQQGEFSNRVVRLNHPGVARGEG VYECYNKGSCVRPDVCSCADGYTGFDCQLPLCRYTTPAGDVTGCQHHGICISKDTCEC IQTQSVLYQKYPLAPRGNTGWAGSSCSMAICVQGFYDAQCHGQAPVGHEGCYRCANGG MCVAPDLCQCADGWTGYDCNQPVCKVKVTPEIRAQLFTVDETKVLAFENDPCGTQGGR WGKEVVNGAEMGQGNCTLPYLCTCLCRVRYDYAECKKSGEFCLKPWYDVFGRAIPPGY IFGTKHCSSGFQGLEDSKGRFQSCHLQIYEPTGFQRYTASFVAFMTIACILFMVSFYC IRRNLKRRNLRLKAERRRSRRESEENPVNPEFAFGHQ H257_06120 MEVAIVNMVGSGRLGNKIVLQDVVNKTNKRAEMRKNCVLLKLTH PKSSAMLFPSGKVVCTGQSTEEGLKAAAQKVAQLLKHIDFHVSLSDFQLENVIGRADA GFRILVEELALRYPDVTTYEPELYPALVFRMAKPKVHILIFASGKVVFTGSKETRHLR DACANISIILNEFRDMKAQVET H257_06121 MSRKRRIPLSKAPESHLFEADYDCWVNVVRLRQWVSSVDEYQQP RHQTPTLLLVLSPISIILKVEPIIHSGQVVASDFEVLRTSLAPNSRVFCPLKYEGLLC EGLGAAAGSLNLTIVGRPDSETPRAFAEIADQFATNIPSRCALCDGTEMNTPFSGEAA RPCVCAGMEAIPGVTDAILHSAFDTASRFWAATPWLHMRINHVLRVEVAGSPFRFVQI LGGTGCCDVAVMVHTHWDDVQNENWSVGTMHMNSQGCYSVVKAEFQPPDQCCRSWKDL DYIDAQARLGRPLALPDPTNSDPVTQPVLPLFTRISIKRKPGTSDLDVRQVSATLEEI AYLQVAMWTVVTLLTDRLLLAVPHSPVGDYRKFYAFETTLSLPETLSLPLTMREVSVT FPAVTDVSALSDLQAVGVERVQKPSDAMAKQKKKKKKKPAKTDTGHGCSPAETNAFDG VLNNHDHMDVAALGRMRDIMTKKATANGFYQRGLYREAYAHYSTVLDEYHSFRASLAP PLQRDPQIVLNGDNLYSNRAQAALKLEWFHKVVDDCNHVIPFMVSQCSNTYVVRCLHA RARAYAALHLYDSSFDDWHVLAIEAQKQPIKAGRAASSSWSDVPPKAYLADEGQKIQA KLAACTVDGRWRIMGTSGTHLTRFFHSTVLHPDGTGLLVFGGRSTTMFGTEDNDVQIH VYDFKTQGWKDVAGVGQVPPCLAGHSAVVYDRCMYVFGGTPLNDDLVDDHERHGSLYQ LNVDTFEWKRWRMPDEPASRNEHTASVHQHQMILVGGLTCSSATAVGRIDVFNFQTKT WSVLAQRGTDLAPPPSLSLHTSWIHGHKLFVFGGKADTADFYEYQRTLYTLDLASHTW LPSPPPSSSSMLPPILPGPRSESQAIVMDNTMYQFGGYAELPVGSKYYGDGYRLTHHA DGTASWAKLNASSIAVPWPSSRAACTLTFDPHRKRAILYGGYETMRNDIVYGDMWELN LCDDKQPNRKNDEGTPLNATVCGSCGAQGKWKKCARCNAMAYCSQACQKRHWPVHKTS CRRKSS H257_06122 MAPTVDSLGRQFTRVQVAPGKLGIGLVENAAAGGVYVGQFEAVR GTMHVHQDLVRTGDRLIELNGQTLEHQSLSEVISLLLATHDKPRDLVFSRTPSSLVRG PYDVGKIVQVEVSPGPLGLHLHQSIGYCAIVDTVLDTSPLKGHAGVHCGSRVIRINDQ DVSTKSREAVVALLGQLKDQKKSIEFYSMAPPTCTALVQVEVPTGSSVQLEHLTVTRV ASVDELRVGDVVVAVNEVDLTTLDATKALAVWNATPFPKRVVSYRTQSPLLATTPSQQ ASPYSPTSIEVIIADSSLGLNVDSSEGKHVLITGFATPADAQRSYYQPHRDTLPGRFV ASVNGIDVSSFPRDDVLGLLGKLTLVPKTIALVTSDDMAALHAKAFRVMVDVAPGSLG VDFDGNCTNSTVLSGFRPVNGSPGALERSGVVGPGSVLVAVNHLNVSRLPLHQTIDLL KKLTDVPKRLTFVRGGTSSSVLTQPFIDVSVPPGSIGVALNSSIASSTVVQGVTPGSA VDEFSGIRPGSVLVAVDGFDVTTLPLAKTTELLRALSGHRKVLSFTTSEPIGWPTSCV AVAVPPGPLGLEFDSAVAEAAIVQGFAPVPTSPDRVGVIQLHGGVALGSRLVAVDGVD VRSKSLMDITAFLRDVGKAAKTLRFILPHGAPSSPKSSPPMAPLPRQHSLEILQKQIS LTDDPVQAMHQRRVSDTTDSPPLLRRVSSLSSDASAEGAPGSPTRLTREYQVRTFSWL GKLKAHVLVLDKDQKNMLVHEWDGKLKSINYTHQAVSSFTRVTKGKAGFSKKHAASDI DDNLCLSIGADKSKSYDYIATSTEDRDALAGLVESLIRTKAT H257_06123 MGRKAATTGAEATIECRKCRAACPPSFKFCDQCGQKLHVKKSTK RTKPHLAQHKLDLQTTLNAPESSNGTQAWFWGSQALPSVKVPAKPIHEHELNENQQED INNPPPRARNHRKSYKRPREATLLPLLQSSAASVDAWSAPKVTLSTAPQVNLIWGQTF EKASAELEAKEAMLAAEIAANAQILAHMEAQAQAHAFQDDDVFKFACKSKRDLERALA LDKAALSRVRGQLVQLGLNETAVNHTKLHIQRLEGIEELARARRLAAASRVQAWYRRQ RQRQMMRGRLRMKICRGYLRYRYVKRAHDVFPGQFFYFYDRKVIDSHACLEFVGDFSR GVYSQPFPVHFSPHPVHHLGACATCIQRWYRGIKAKWRRVLEAIQRMVLDKARAVALQ RNAAATKLQGLFRSRQAKRRLQLLVSSTFKECVDPATNMTFYYNAKTQVSQWTRPKLW KPAAAANTTKPRRPKLNLNDMDTPRRVQLCAVKIQGLFRTNLATKKLKLLVAQTYQKV LDPDTNQWYYYNAKTNASQWAKPALLGTGDMIHHASSSTLKEPRRLATKTSPYDGLLP TARRKDVAAVKIQGLFRTRNARRHLRHLIAQTYRKVFDADANMCYYYNAKTGESHWTK PTLLGAADVPEPIASVSNVTTALPSTALNTLPTPRRIEVAATKIQGLFRTRNARRRLR HLIAQTYQKVLDVDSQQFYYFNTKTGESQWGKPILLGTGDMEELVPPPQNTPRPRVSQ QRSPKLTTLSTPRRVEVAATKIQGLYRTRNARCRLRHLIAQTYQRVLDTDSQQFYYYN TKTGESQWVKPVLLGTSDLVDETQVRYPTNPRKRGGDPRAFLVGLNPVQQLQAAATKL QGLFRARLARRRLVGLIASTYHKFVDEESQASYYYNVKTGESSWVKPALLRSDTLPRQ H257_06124 MLSKSIQRLSRSYASKAAPSSSFPAYVLNAPATEVTTLANGLRV ASEGGHGETATVGVWIGAGSRYETEANNGAAHFLEHMAFKGTAKRTQQQLEVEIENMG GHLNAYTSREQTVYYAKVFKKDVPQALDILSDILQNSKLDEAAIERERDVILREMEEV NKQQEEVIFDRLHETAFLGNGLGRTILGSEANVRSLSKGDLQDYIATHYTAPRMVIAG AGAVDHQQLVDLAQHSFGNLPTEPRVPVPAFEPVKFVGSDIRIRDDDLPLAHVAIGFE SYGWTSENSFPLLVMQTLLGSWDRTSSAGLNASSKLSQVVAEQELAHSFMTFNTSYQD TGIFGVYAVADKYKLNDLTWYVMEALVRLVHKTTDDEVERAKTQLKASILMQLDGSSP VCEDIGRQLLVYGRRLTPAEIFARIDAVDAAAVRKTADDVINDKEHALAAVGPIHELP DYNFIRRRSYWVSR H257_06125 MPISYAMIARDLAVLCEYTDEPVDTEPAKFAHAVLRDIVDKAHP TETRNVFTMGKRVYYYYLFDGLTYICMCDETFQREAAYAMLEETKNEFLSRSGHRSKT PKDFASYLHAIMTKYENVKIRTKIDVVTDQVKEVKNKMADSLHRLLERGVKMNDLVTK TEKLHHDALVFQQSSTKLKNVLWWKNIKYQLLALVLFAVLMWIGASWICGWDMSSCSS GSNTRNIPMIRDISHSVSSGIDSAQHGIESTVDSAQQQQVGSTIDKAKGVAADALHR H257_06126 MSRRYDGRTTTFSPEGRLFQVEYAMEAINNAGSAVGILAKDGIV IAAEKKTVSKLLAPVKTSEKTMKLDDHIICAVAGLTSDANILVNYARLTAQRYQLSYQ EHQPCEQLVQTICNYKQAYTQFGGQRPFGVSFLYAGWDRHFGFQLYHSDPSGNYGGWK ATAIGANNRAAKNLLKSDYQEDLSVEEALKFSVKVMAKTMDTTTPTAEKLEFSTLTRN EQGKLVHRLLTAAETEALLKEVGAQTADSGDI H257_06127 MPQHEHMEMHKKRHGVRYDHHERARKKEAREVHRRSAFAQKVHG LRAKLYNQKRFKEKAAMKKTLAMHNERTNKHANDDNIPDGAVPSYLLDREGVSRAKVL SNTVKQKRKEKAGKWDVPIPKVKPIADDEMFKVLRSGKRRNKAWKRMITKATFVGENF TRKPPKYERFIRPAAMRFKKAHVTHPELKTTFQLDILGVKKNPSSPLYTQLGVMTKGT IIEVNVSELGLVTTTGKVVWGKFAQVTNNPENDGCINAVLLV H257_06128 MMKREMMDAILMPLWATGVLAAVRAAYESAWFHNNVVAVYGTDA IYPSSLALAFVVFWTHSAIMMVIDFTKPAWAQKYKVQADKFVSGEMTWKAVKVALFNL LVVSLPMSIILFKLVLPWRGVSPQSPLPSWGAVVVEFCTYIVVEEVLFYYSHRLFHTK PFYAAYHKKHHEFTAPVGVAAIYCTPMEMALSNVLPLMAGPAMMGSHVTTSTAWFCVA LINTVQTHSGYDFPFMACPKAHDFHHETFSENFGVLGVLDAVHHTNTKYMARMAADDQ AQRLKMQRKEA H257_06128 MMKREMMDAILMPLWATGVLAAVRAAYESAWFHNNVVAVYGTDA IYPSSLALAFVVFWTHSAIMMVIDFTKPAWAQKYKVQADKFVSGEMTWKAVKVALFNL LVVSLPMSIILFKLVLPWRGVSPQSPLPSWGAVVVEFCTYIVVEEVLFYYSHRLFHTK PFYAAYHKKHHEFTAPVGVAAIYCTPMEMALSNVLPLMAGPAMMGSHVTTSTAWFCVA LINTVQTHSGYDFPFMACPKAHDFHHETFSENFGCFFFMTRFILC H257_06129 MYRSITRGFSSRRVVVVDGIRLPFHKSGTVYSDLMAYDLMRDAI KGLLVKTAIDPAKIDYVLCGTVIQEVRTSNIAREAALGAGIPKNIPAHTVTQACISSS QAIATGAEKILAGNADIVLAGGVETFSDVPIRFSRPIRKRLINSAKALKAGPLGILKL LSGLKLSDLAPEAPAIKNFHTNEVMGNSSDRLSARFGVTRKEMDEFSIKSHKNAAKAH AEGKYDGEIIPYKGTTLENGINPDSNYEKVSSLKPAFIKPHGTHTAANSSFLTDGSAA TLLMSESKALELGYTPKSVLVDSVFVGVDPFELLLLGPAYGIAQILKKNNLTLNDIDH FDIHEAFAGQVLANLNALADADFCKQEFGWSDAVGKVNHDKLNTWGGSLALGHPFGAT GSRLVNTGSNKLVKEGGKYALLAACADSGLAYVGLLQKYEKK H257_06130 MHRLTTIGLRKLTHGAALSRGLPPTRMRQYTTPHNPFVLKANEL VPGIQASEFQQRREQAVKLLPANSILILNAAEEKYSSHDIPYDFRQDSHFLYLTGLDE PEAVAVLKKNADATSEYILFVRPRDAHSEQWDGPRTDIHTAKSQFLADAAYTLDEFES KLLSMIAPDTHLCLTAPVQGKYPPRFLQATRSIAQTHQFQMADAHLDSLRVIKSPAEV VKIREATAIGSDAFRSVMASCAPGQLELALAGHFEAKCRARGAPRNSFPCVVGAGANG SVIHYLSKRSMLQKEELVLMDSGCEITGNYVSDITRTWPIDGQFTGPQKALYTLVLDV QLRCLERLHVAMECKTSLSLDELHHYSVGLLGQGMKDVGIIPATVGLNTPEFSRLFRM YNPTHLSHYLGMDVHDTPRFPRSSPLRPGMVVTVEPGIYLPKNDMTVPVEFRGIGIRI EDDVLITDTGIEILTSRVPKSIEDLEAFIGSSAA H257_06130 MHRLTTIGLRKLTHGAALSRGLPPTRMRQYTTPHNPFVLKANEL VPGIQASEFQQRREQAVKLLPANSILILNAAEEKYSSHDIPYDFRQDSHFLYLTGLDE PEAVAVLKKNADATSEYILFVRPRDAHSEQWDGPRTDIHTAKSQFLADAAYTLDEFES KLLSMIAPDTHLCLTAPVQGKYPPRFLQATRSIAQTHQFQMADAHLDSLRVIKSPAEV VKIREATAIGSDAFRSVMASCAPGQLELALAGHFEAKCRARGAPRNSFPCVVGAGANG SVIHYLSKRSMLQKEELVLMDSGCEITGNYVSDITRTWPIDGQFTGPQKALYTLVLDV QLRCLERLHVAMECKTSLSLDELHHYSVGLLGQGMKDVGIIPATVGLNTPEFSRLFRM YNPTHLSHYLGMDVHDTPRFPRSSPLRPGMVVTVEPGIYLPKNDMTVPVEFRGIGIRI EDDVLVWTDYCRVVYIYLMDAIV H257_06130 MPQRRSTRLTTFRTTSDKTRTSCTSRGSTSRRPWPCSRRTPTPR PSTFCSFVLAMPIGRINFHGSFPHVACSEQWDGPRTDIHTAKSQFLADAAYTLDEFES KLLSMIAPDTHLCLTAPVQGKYPPRFLQATRSIAQTHQFQMADAHLDSLRVIKSPAEV VKIREATAIGSDAFRSVMASCAPGQLELALAGHFEAKCRARGAPRNSFPCVVGAGANG SVIHYLSKRSMLQKEELVLMDSGCEITGNYVSDITRTWPIDGQFTGPQKALYTLVLDV QLRCLERLHVAMECKTSLSLDELHHYSVGLLGQGMKDVGIIPATVGLNTPEFSRLFRM YNPTHLSHYLGMDVHDTPRFPRSSPLRPGMVVTVEPGIYLPKNDMTVPVEFRGIGIRI EDDVLVWTDYCRVVYIYLMDAIV H257_06130 MPQRRSTRLTTFRTTSDKTRTSCTSRGSTSRRPWPCSRRTPTPR PSTFCSFVLAMPIGRINFHGSFPHVACSEQWDGPRTDIHTAKSQFLADAAYTLDEFES KLLSMIAPDTHLCLTAPVQGKYPPRFLQATRSIAQTHQFQMADAHLDSLRVIKSPAEV VKIREATAIGSDAFRSVMASCAPGQLELALAGHFEAKCRARGAPRNSFPCVVGAGANG SVIHYLSKRSMLQKEELVLMDSGCEITGNYVSDITRTWPIDGQFTGPQKALYTLVLDV QLRCLERLHVAMECKTSLSLDELHHYSVGLLGQGMKDVGIIPATVGLNTPEFSRLFRM YNPTHLSHYLGMDVHDTPRFPRSSPLRPGMVVTVEPGIYLPKNDMTVPVEFRGIGIRI EDDVLVWTDYCRVVYIYLMDAIV H257_06130 MHRLTTIGLRKLTHGAALSRGLPPTRMRQYTTPHNPFVLKANEL VPGIQASEFQQRREQAVKLLPANSILILNAAEEKYSSHDIPYDFRQDSHFLYLTGLDE PEAVAVLKKNADATSEYILFVRPRDAHSEQWDGPRTDIHTAKSQFLADAAYTLDEFES KLLSMIAPDTHLCLTAPVQGKYPPRFLQATRSIAQTHQFQMADAHLDSLRVIKSPAEV VKIREATAIGSDAFRSVMASCAPGQLELALAGHFEAKCRARGAPRNSFPCVVGAGANG SVIHYLSKRSMLQKEELVLMDSGCEITGNYVSDITRTWPIDGQFTGPQKALYTLVLDV QLRCLEVR H257_06130 MHRLTTIGLRKLTHGAALSRGLPPTRMRQYTTPHNPFVLKANEL VPGIQASEFQQRREQAVKLLPANSILILNAAEEKYSSHDIPYDFRQDSHFLYLTGLDE PEAVAVLKKNADATSEYILFVRPRDAHSEQWDGPRTDIHTAKSQFLADAAYTLDEFES KLLSMIAPDTHLCLTAPVQGKYPPRFLQATRSIAQTHQFQMADAHLDSLRVIKSPAEV VKIREATAIGSDAFRSVMASCAPGQLELALAGHFEAKCRARGAPRNSFPCVVGAGANG SVIHYLSKRSMLQKEELVLMDSGCEITGNYVSDITRTWPIDGQFTGPQKALYTLVLDV QLRCLEVR H257_06131 MQKQRLRRSISARERPPMIDVRVASPFLAVSKSSRSAKALVQHA QALQRVSQDSDARHESTDGGAAYISQNPVPPPEQPAGAMVDLVVDEICSTEATYVKNL GILVESFVKPLQDDKHDMMANTAVAVFFSTLEELVKLNSTFLEELMQVTIQEEPGHDA TERIGVLFNQFIPLFTSFYSDYAKFFDDFTPLLRDFKTQSKRLSTFLDQCQRKCNSSQ SFESLLILPIQRIPRYNLLLKRVVEHTQSGHPYLASLRQTVHAMGEAAQRMDETLRQK EQTEIVLSIQAQFAGQVSLFALNRRFVRSGMLVKMSTKRKDKVMVHMFNDMLLYSDVV SADTFHARRVVDFRSSACRVDETVPSSYVNLFGADALECGFMMISAEKSFVLFAATVQ DKQAWVSAIGSLIAHTQATTTSPAVDNAAAVVWIPDSVAEECSQCGKPFRLLWRRHHC RRCGFVVCGHCSEHRSILFDNDPRPVRVCTTCHNVLTKVKECALLWLGCVIEFKKRKL LRRDRKNKWSDYEFDIKGGILRQYHGGMVVKTLNLSGAIVVRRRDQYASFQGISSISV CCRRSLYCFQISTTFDVITTNVPPPPASSGFKMSPLKPKRFDKAGMGTSTSTTSTSTS SRWDDQEWLLCAYSNDELVEWTHAIEESANKALKRTSTVRSRSVDGDDPDAGRGLEHQ SGSIDLDQLDYLVYDDEKAERYRHQILTEIVRSEQSYVECLGTCIRMFVQPLMLREVE RKRSVEHNSKLSLQRRFSQMSLKKHEQIKKTFTLMGLNRRANVPNGLLSTVIQDSCTK KTLLDADMSIFFTSMGQIYTLNQQFLEHLTRHLKETEIGGTTTIHRVGAIFNAYAPLF QMYSSYARYHETALAAIESERFMVMLSEIQMPMDETTMHQLRTFLNMPIERIPQYSLY LHDLKRWTTDGHMDHAPLLSSITAVNRVVLMLQDTIDARDSLRNLRQVELKYGLPPDK DRQFVKEGRLQKVCRHAVKVYHVVLFNNALLYAPTGWKAMYVRKHKIIDLKGCSVSAV DSLTDSVRNALSPNITHNNALQFLSSQKSFLLIADSPTDQIEWLAAIHDAIVRVEMSA RLSISSVDDEDAHNNDAEFVIKSGWLPVKRGHKWKRMWVTVDYQHVSLATSFQAPPDV QLLIAGCDVEYIADSASCFQVTGEDMLEDNDVGALTKEFTLDALHDRDEWIRAIDHCV RCMHSGGDMMSTSAVSEPNSGYAPIYMFGAAKNCTLCFEKFALIRTRHHCKRCGNQVC GKCSKSKMVLATGSTKPERVCDKCMNVQRNCVLPPNL H257_06131 MQKQRLRRSISARERPPMIDVRVASPFLAVSKSSRSAKALVQHA QALQRVSQDSDARHESTDGGAAYISQNPVPPPEQPAGAMVDLVVDEICSTEATYVKNL GILVESFVKPLQDDKHDMMANTAVAVFFSTLEELVKLNSTFLEELMQVTIQEEPGHDA TERIGVLFNQFIPLFTSFYSDYAKFFDDFTPLLRDFKTQSKRLSTFLDQCQRKCNSSQ SFESLLILPIQRIPRYNLLLKRVVEHTQSGHPYLASLRQTVHAMGEAAQRMDETLRQK EQTEIVLSIQAQFAGQVSLFALNRRFVRSGMLVKMSTKRKDKVMVHMFNDMLLYSDVV SADTFHARRVVDFRSSACRVDETVPSSYVNLFGADALECGFMMISAEKSFVLFAATVQ DKQAWVSAIGSLIAHTQATTTSPAVDNAAAVVWIPDSVAEECSQCGKPFRLLWRRHHC RRCGFVVCGHCSEHRSILFDNDPRPVRVCTTCHNVLTKVKECALLWLGCVIEFKKRKL LRRDRKNKWSDYEFDIKGGILRQYHGGMVVKTLNLSGAIVVRRRDQRSLYCFQISTTF DVITTNVPPPPASSGFKMSPLKPKRFDKAGMGTSTSTTSTSTSSRWDDQEWLLCAYSN DELVEWTHAIEESANKALKRTSTVRSRSVDGDDPDAGRGLEHQSGSIDLDQLDYLVYD DEKAERYRHQILTEIVRSEQSYVECLGTCIRMFVQPLMLREVERKRSVEHNSKLSLQR RFSQMSLKKHEQIKKTFTLMGLNRRANVPNGLLSTVIQDSCTKKTLLDADMSIFFTSM GQIYTLNQQFLEHLTRHLKETEIGGTTTIHRVGAIFNAYAPLFQMYSSYARYHETALA AIESERFMVMLSEIQMPMDETTMHQLRTFLNMPIERIPQYSLYLHDLKRWTTDGHMDH APLLSSITAVNRVVLMLQDTIDARDSLRNLRQVELKYGLPPDKDRQFVKEGRLQKVCR HAVKVYHVVLFNNALLYAPTGWKAMYVRKHKIIDLKGCSVSAVDSLTDSVRNALSPNI THNNALQFLSSQKSFLLIADSPTDQIEWLAAIHDAIVRVEMSARLSISSVDDEDAHNN DAEFVIKSGWLPVKRGHKWKRMWVTVDYQHVSLATSFQAPPDVQLLIAGCDVEYIADS ASCFQVTGEDMLEDNDVGALTKEFTLDALHDRDEWIRAIDHCVRCMHSGGDMMSTSAV SEPNSGYAPIYMFGAAKNCTLCFEKFALIRTRHHCKRCGNQVCGKCSKSKMVLATGST KPERVCDKCMNVQRNCVLPPNL H257_06131 MQKQRLRRSISARERPPMIDVRVASPFLAVSKSSRSAKALVQHA QALQRVSQDSDARHESTDGGAAYISQNPVPPPEQPAGAMVDLVVDEICSTEATYVKNL GILVESFVKPLQDDKHDMMANTAVAVFFSTLEELVKLNSTFLEELMQVTIQEEPGHDA TERIGVLFNQFIPLFTSFYSDYAKFFDDFTPLLRDFKTQSKRLSTFLDQCQRKCNSSQ SFESLLILPIQRIPRYNLLLKRVVEHTQSGHPYLASLRQTVHAMGEAAQRMDETLRQK EQTEIVLSIQAQFAGQVSLFALNRRFVRSGMLVKMSTKRKDKVMVHMFNDMLLYSDVV SADTFHARRVVDFRSSACRVDETVPSSYVNLFGADALECGFMMISAEKSFVLFAATVQ DKQAWVSAIGSLIAHTQATTTSPAVDNAAAVVWIPDSVAEECSQCGKPFRLLWRRHHC RRCGFVVCGHCSEHRSILFDNDPRPVRVCTTCHNVLTKVKECALLWLGCVIEFKKRKL LRRDRKNKWSDYEFDIKGGILRQYHGGMVVKTLNLSGAIVVRRRDQRSLYCFQISTTF DVITTNVPPPPASSGFKMSPLKPKRFDKAGMGTSTSTTSTSTSSRWDDQEWLLCAYSN DELVEWTHAIEESANKALKRTSTVRSRSVDGDDPDAGRGLEHQSGSIDLDQLDYLVYD DEKAERYRHQILTEIVRSEQSYVECLGTCIRMFVQPLMLREVERKRSVEHNSKLSLQR RFSQMSLKKHEQIKKTFTLMGLNRRANVPNGLLSTVIQDSCTKKTLLDADMSIFFTSM GQIYTLNQQFLEHLTRHLKETEIGGTTTIHRVGAIFNAYAPLFQMYSSYARYHETALA AIESERFMVMLSEIQMPMDETTMHQLRTFLNMPIERIPQYSLYLHDLKRWTTDGHMDH APLLSSITAVNRVVLMLQDTIDARDSLRNLRQVELKYGLPPDKDRQFVKEGRLQKVCR HAVKVYHVVLFNNALLYAPTGWKAMYVRKHKIIDLKGCSVSAVDSLTDSVRNALSPNI THNNALQFLSSQKSFLLIADSPTDQIEWLAAIHDAIVRVEMSARLSISSVDDEDAHNN DAEFVIKSGWLPVKRGHKWKRMWVTVDYQHVSLATSFQAPPDVQLLIAGCDVEYIADS ASCFQVTGEDMLEDNDVGALTKEFTLDALHDRDEWIRAIDHCVRCMHSGGDMMSTSAV SEPNSGYAPIYMFGAAKVGRVNIHSSHS H257_06132 MAHFFAHGKHSMWLLVLSAIGIPWLTSAALQTVPTSPTNVQLSV VDQHDLFVSFSPPLSDGGSTVSAYEVDWDPNPGVQEVQTVTTSTYTGANEVQTVTTSA ADVNEIQIVTTSATMIREVQVVTTTAAPGQTLGGGFTLQLDTTSTGGSVRLSGVIGFT AGASGDRSCMKEILNAMANIGPTGVFAVSRSGPDTQGGYAWSITFDASMGNVPQLSLQ SSTLIGAGAAVAITTATPGNVISDGYFTLTFGGSTTSSIPRDASNAVVQAALEALPTV DSLAVSRTGPDFQGGYVWTITFLSSFNAGDVPLLGIANTLVATGANAVVTVGTQGNQL GGFFTLIYNTVPTTQLASSATDTAVKAALELIPGIGTVKVVRSGPDYQLGYTWTISFL TLKGPVSALGFTTGTLTETRSDSVVSKAITVVRTRPGTTQAVQSIATTTTLTAVPVAS TFQLQFTNNGVTTTTGNIPANPMGDGTCMSTLTEIQRIKTSTVDTTNMGGDNLVSPLT FFQLVFTSRGVTQTTNRILANPSAGNCTVGAASIKAELEKLPGVVVPVTVSNVATTST QSCQWDVTFTNNAGNLNQMTAVSGTNGPASAITVGDDTVTITTVQDGTVQIIKSELEK LANVASVTVTAVAGLKQTCTWSVTFDNNAGNLALLQVAMGGVFGSAATSSGTTVTAAL VTTGTSAPLGGFFSLQFRGQTTGYLPYNIAAADMKNALELLSTVDGVSVVRSNVDPNG GYSWAITFLNLGNLPPLTADFAALVGTVPTVTITETIPGIPPPFNSRDVANGVPMGRS FITDLSTLSATMPILDTNIPYYIRVTALNAIGRSVPQMSTPPFAIPVAQPPRAPQNLT MSVLGHTSLQVSLGFPTSDGGLPVDAYRVEWDTQQIVDEVQSVVLTVPVTNEVQTITT AAAIVSEVQLVRLVSTYVAPAAIEVQRVNCDASGGSFTLTFVGATTAPILASETSVAV IKATLQELAGVTVSVAFVGGATQACAPCLVSGCAGGIAVTFTSVVGYAGSLPSLTANT TLLTGNRRIDVVKTTSGQAAPGGMFSLVYNRGSDTVTVPIQATATAAAVQTALQALEA GLTITVTDGTSALPAANIAAGERLWRVTFVNSGDVPTLRLANNQLTGNGATIQVYADG ATLGSVVASVRGNQVSGQFTLNVMGHVTDWIPYDASDTTVKQRLESLPNLGTVTVVRT GPTLQLGYVWTVTFSSNPGNFPYGAGTISLMTPTNTTFLTGTSTTATVTPARVTTGST SVGGTFSLCYSSLCTSALSPYISATALKAALETVNSIGRVGVSRAQAASGFTWLVSFV GCRLLADQVTNVCNTGNLSPLVPQFIGTLTGGVANNAVVAVTEVTPGAGPANVRDLTD LSGGAPLLTNLLGLTTGRPYYARANFHNALGHGIRVYSTPPSVIPQATAPGKPEPVLL VSTTATSMQVSWALPTVNGGSVVTGYQLWLSDWNDNYRMVYDGANSVVTTYTLVTTND NEISTGQQYALKVRAITYCQPANPSVACFGSFSDPAKYTVRSPVIPSAPAAPTRDSKT NVGLPLVLGDGVIFLNWKPPLDNGGSPITDYQVYMDSGSGFLLQTLTGVFPYGYTTSV GSLTEGNMYRFYVRALNAVGYSANSPILTVAMANSPNAPAAPIVTDVSPSSISLTWSP PLLCTSSQTSCNGSPLTGYFLWQFSGVNSALLSSPTPVLNEVQQIAITVQTPTTEVQS FTITGATGKFILNMNGKDTPLLDVAISNSLLATQIATTGLTVTVSQVAITNGITWTVS YTGTTGPVPLLVVEPEQLTSTNPLVAYSYSVTRVALGTTVAGGDFTLSFLGYETPHLA YNTPWVEMKRQLENLPSITHVAGAYTAGANGAGVWVVTFLTELGNVPLIGITSGRLTG GGATGVVTSIQDGTPGRIVYDGSNSPDVLSYQATNLTSDTWYSYAMVAINTAGVGIAS PTTPSIDARGGASSAMTTASGTALHVGIAGVVYEVQTVTTNGLGAGTFTLKFGSFVPT APVSVATSADTLTSLLQATNLGTVSITKMAVNGAADSMWYITFRDYVGNAPLLVTSSA AVTAAEFIQGRANQFTIEPKKASGNVVTDLDAASGFVGSDTFFTELWSSPANVVDGTH AWVADGGVATYNPATFEIQSITITASTGLFTVKLDTSVARLGGVLSTSTVPLNAATLA TASDLNAAFSFKGCVEALTNAGTVLVTRTTNSASSWTYAVTFVTSLGDIPPLMLVSTD ATFTTPQAGVLTFTEVARGATEIQTVTAAGDTAFVQEVQSVSTWLDATGGVTTIGGTF AVSFAGAPPVTLSVSATTNQVQLALQSLTNLGTVTVTSQSANYGASTGLTTWFITFTT LVGDVPSLQITTKSLTGTSANVYVNEVIKGQSPLAGTFVLSFVDQTTLNLPYDISALQ LKAELEKMPLISQVDVARTNLNVGFKWTISFTKNVGNLPLLQASPYQYQVQSVTTSGG SPTPLYGTFTLSFNGQESAPISYDANAKDVKAALESLTSIGRVDVTRSNLLSSGGQFQ WLVTFRTELGPNALLVANFQRLSGSFPGVSVAEVQSGLLKALTGNNPVLTVEKKLRGT PSYTANYVPALPGSYSLAVTQLTPGGLNALYFDNFWLQDAPVQSQVDPRLQFQWGQSP ITTFGQDYVSIRWWGKLKASFTDEYVFYLDASHGVRLWLDHSLVVDTWDDDNPSSTTV RFNLIANRYHDVRLEFHKATGSAYLSLQWSSTFVPIQVIPSTAFYRPSHIVGSPYLLN VIPGATDFPYTTATGDGLRSSTAGNVATFIIQSKDQRGNNKTVDGDVFDVQLTGTVGT TAKLTPFKTPEYLGQGQYLVSYKATVSGTYALSIQIGGSPIYCGLGAALKCSPFTVVV TSGKTVPYTSTATGANPPNMDSLVEMIAGQASNFTIQAKDTYANKREVGGDVFDTRIV LVADPTVRYRSSVLEYQATGLYNVQLSVPKAGVYTMATYFQNSPVLMCPGQVCSAIGS TSSLTVVHNVLHPPTSYATDTGTQGLSLATSNVPNSFSIYALDSFGNLRVGATTLRST STGDGRSDVFLVTIASPLDTVTTSSAVQVLTSPNSAQVGTFKLTYGSFSTLLCAACAQ SLAGNVITVNVNLVGLIAVNTKFTVNACVLTPTAVTTTTITVNANHGCAGFTGAATSL YISATTPRLTALLPQNVNAAGIKYALELLDPLNRVQVSRTVNGAGNYVWSITFLSRLS SWSTAKLAVEYPANTASLYGFPLAVTTPASAGIYPVGYTMTVAGVYTMNITANDIHIL GSPFTMTVQNAVVDGFSSVAAGGNWYSSALPTDYTQINAVETLPSTAGQPFSFLLQAK DARRNEVQVLRTRAAIVANVNTVQQILPQASSFTLSFRGSAPITVTTGTTYTALATAL GAVATIGAGGVTVTAATGTTVTNGQAFVVTFTGLAGPLPYFVANANAIVSQSTPGVTS FRQEIQTFTCVAATPATAGAFDVSYGSVANTVNVLATSTVSAFASQIQTLVGSPVTVT TESATTALCTAAGIRVFIQFTTAQGNLPALTYSPSTGATMTLTSEKDVGGALGGIAPL WGSFTLSYSGETTVALPFDVSATALASALNNLLSIDGVVVTKDVLDVSTEGGNSIPQI TSLWAITFTQNSGNLALLAVDSSLLVASNSGQLQPSMDVVELVAGTIGNNRSDVSDLA AVAVTVQQNYVNPGLQEIQTLTCVGTSSFTLTYGGTTITVAPSLTLVQFKALLTPLVT GGVIVASPGATAVCHPFIRFPITITFFTPLSVNLLAWGASTNEISIVRTQTGIPTVSS TKTISNYEQQTLVCTGTSATFDLVYGSNSVNVDAAMTIAQLSTLINGMPLIVALGGVT VTSSQATVCAATTPANVVIAFNVLGNPDSFTAQNFRAGIASVSIVESIRGLTSLTGLS KGQYQIVLTPTVSGLYTFSVTIGGRACMNPSRILVAPGRSSGPHSTHNANAVATQGTP ESLVIQARDEYLNPLSGSVELNSAGFIATLSLAGQKFPVSVSEASPNTDGTYTVAYLP RVFGNHTLSIRHRLSGGLLATYYSNDDYTLPEIYCVTQRLSTTPLCDGTRVEGPLTFA WGETQPPKLLNPSFPSKWFSVTWLGDVAVPVTDDYTFVVSADGDVMVQVDSTVLIQHI GNTTATTSGTLHLTAGAFYKLWIKYSAGALPKFALSWSTPSVPLAPIPASQLFFHRQI DRSPFSVSVYPGDMMATTSTSSYIANSTFFALSPVSFVVTSRDVESNVRVNYGRDLLD ITVTGSGGWAGIGRVNDVTTNTPITIQPSLLCAACVTSLASNVVTVNVDVLDQLLPGM RFRVINANAGTTTPTQFRDCYFTVVSTTAFSTGSATATATVQAPHGCSAFATQALGLS LFLPLDWRYLGTVSVTRGSTMLSATSMDFRNVPSDNPLVRGDTIVVGQEIHTVDTVLG TFDNLQVPMSTSYTGVDAQFVRVFKAGVNTGKYLVNFVPQVKGTYVLDVAIPKIREVQ TVTTAASTALGGSFTLTFKGWQSTGQQATTTTAAIAFNANAAAVKAALEGCANIPVGS TTVAPAVCTGNDPTLGCTWTITFDRSPDEGSLDLLSPQYTKSLTGNSATVTVARLRAG AARQHLSGFPSTLVVRPAATNPAVTTAFGQGLVYAIAGNTSSFSIQAKDTHGNNKEDS DPKDYFDVRVVPSGTQYTDTAIVQGQVTYAGNGLYNVSYAPYLSGANTMVVAMAMLPE IQTLTLDFTSSTQQAGTFSLTIAAANTTIPLAWDSTSDEVASALLAIHSNPVTVSRTA VNNGFVHRITFVSDVGDVPPLVVNNDLVFSSACAVATIQDGTKSHIKTSSNLGQAMVN EVQVLRVESGGGTAVTAGSFQVTWNGLTTGLLPYNANTGVVQIALQQLLGDASIVVSV TSSTTPFGLEWVVTFMGSLAGVSQTKFWSSARYLEAQYIQSTRMLGNLPAMTVTAVGL TGGLSPRALVYADGAVSPNGITTIAGSSPFVAFVDPGTLVANQTTAVDSAVQFWPGSM STVGKNGLSTGAYNSMSTFVIQPRDIHGNLINIRTPHPEVQIVETYIQSGNGLGVLGG TFTISYEGVSTPALAWNAGINVVQSALDKLSSIGDVVVSTNSVQTLVNTVSATYLSDT LTTPDLTASFQVGDWIRLGSTSGPVFTVVSISTTNILLSSPYLGATSTSTSVYKQSAV GFMYIVTFDSNVGDLPSLGVDTSQLTVTGGTGTATATVTSCQVHQTQIISTTARSAIG GTFTLTFHNAKTPDLPFGITSTALTAALQQLPDIYAVNVATPVAGPNNAFSWTVTLLS VDGSVDMLYAEGQLLTGAQVAITVTETCPVAMAGGVSSQYGLLGDFFVPRISGASTVT GYASYSTPGQYVGSYLTPRSGTYHLDVVHAFPNGLVGTYFNNRWLLGDPVVTRVDAVV NFMWAEFITPTGKDFISIRWEGYVQPAFTEVYTFAMTVDDGARLWIDHTLVLDQYDET TNAAVQYSATPISLVGGRLYDITIEYRENTDVATCVLLWSSPSQDLSVVPTQRLFHPD SAIQSSPFTVVPFGVHPTAPVLPSLAILDYQSLRLSFSQPADDGGAIVDSYRVEWWEP LLYGVPAQQTLKIATSVTGGSFTLTLGGSTTGALAYNILYSDLEKAIEALPDAGDCTV TMTSTGATRNYLVVFTTKVAPVPILTVDGTLLTGTTVIATCANALTTVNGSPSTVASG VITCAVGDSVLGTAVVAGSRTDVSLVIAPGARYTYDITGLTQAALATPPSSPGDIGVN GGYAVRVTAHTSAGYGLPSVVTQLKPMAVPSAATSVVLNLVAGKSTSLRVYWAPPATN NGAVVTNYMVEWDTVPSFINGSLQQYTNTPVYFAAQVPASTKMKYTIQGLTPGVVYYV RVRAKNIMGLSPPPSVPVSETPRLYAEAIDVASGVTLSSIEADGFHTVLDASTSLMLS WLPSVNPQGSSVSKYLLEWYEASSPGTQAVQVLKIFDTLNAQAVTGSFKLTYSQCTTD FLAVDVSAADLTDALNSMPCLRSVQVVRSGLTSGGYQWSITFLTESPNGVVLAVGAND LASPNLAFDVGASLDPAHVGASSVTAGVTLASTTVTSVGIQTSAVPGMFLQIAGVVYK VATVATNQVTLSTPYVGATSASVTAVLGTTVPGVTSPTLQSVEIPTDTNATAPFYYHI SNLRPGVPYIARVSCYNSRGYNVPTASQPTAPPQQPPSRPLQVKVVTGSASTLSMYWS HPQSDGGNAISKYRVEWDVSAAFNSGVDGGSLGSDIVSVVVPGVDCVLTPCQSIVGSL VKGTPYFVRIYAYNTYGYSSDAGVPSPLSTIPQTFPMPPTLVQLAPGDNASLSVAFST QHDNGGAPVTKYKIEWDCMDQHAIAGTPNLVTTDLLYVRQAVQRILVSATAFDLGGTF RLGFAGAVTPWLNYDTSATLMQQTLEALDTIGAVRVQRNTVGNGFEWLVTFLTNRENL NHNGDIPVLVASILPTEHYATFDVAKSTGAGATLTGTTAAIQISTNVGGYNGFEEQSV VVATNAGSLQGTFTLTFDGQTTLPLASDAAALSVKSALEALGNSGTVWVTRFASGLGI SWNIMFLTRLGSVPLVVVGSNGLYSSDPTATVSVNILEVPGRLPAMSSALYGSQIISV SPSAASQTQIQYNIPSLVVGAYYFVRVSAWNGFQNAFGSAQYSTPPIEAPQLPPGPPQ NLVVEANSTSSLAVSWTPPLFDGGSIVQGYTVEWDATPGTYEVQVVVVNATAPITGYF AMTFRNYRSTNLQWDASADMVADALNMLPSVAGVTVSRSALVSFGYSWTVTFQANPGN LPLLGVQTSNLVGSGVAALVVETVTGSNPPFNPLTKGSMVVGSQSEVQEVFAYAGAAD LGGTFNLVFSSESSVDIPFDASADVMTKALQGVSTISSVTVTTSNEVKSSILARQEYG RKWRITFTSSDGNVPLLLATTMAGAIPRRISCGGSLTGTTPCVSIRQVTAGGIPLEFI IPQLRTGTPYFVRVQTQTRFGSSAFQLNAFYVAPALVAPLPVRRARVSILSNTQLGVV WDAPPDATVANYKLQWDVSPSFGMTTTTSGSATIQVVDQQTRYTYVIPDLLAANAYFV RVLTYNAGGFSDPTTAVPFYANQRVTRVVVSHANIDGILAAALQTFTLTFANFAPATV SIPLNARADQVQAALQALVHVGTVFVTRSDHSTGPLLAMVDSSGVDTNGFRIEWFITF AASSVDNIAPTSLGALSVTVSAGIVAAGDFNIADVVAGIDPLPLSITPRATAPDVPLA VTVTVVSSTTLGVQWQAPQYATGITKYLIEWDLDYQIMSPIQIGNSFTNAHLFSAVVT GATTSFLIPGLTTGSTYYIRVSAYNGQIAASAKGYGSAVVATGSPIVPLPQIPYKPTS VAVTVSPLNIATQLDVSWKEPTLNALGFSQGNGGSPITSYTIDVSTQAQFATFQSYPV STYDAAGVVQSCATTACHFPLGIEVQTVVVAATAGTFQLVHTSTYPTVLCASCTVTMN PVLNQITYNGPDLRLQLGTNVKFVVDKAGAACAFTVAAVATSAANVVTVLPGYTCALT AGTFTMHAQPTTTCLATASLTSTALQTALTVLTNIDAVVVTMEPGPAVGATLYRITFT GALVTGNVPQLQVASIDGSGGCAAITGAVWTGTSIEGGYLSPGGLYFVRVSAGNAVGT GLPQVASPTLCPGGCASDNTIFPVARPPAPVSVLVFAKPTDRSILRLTWNPPATTNGA AVLSYLIEYSVDSFATTDVCGACVTALASNVLTINTQVTTLQVGDLFRLERPVPCIMV VAGAPTWTGTAGTVPVQPHHGCLGFTSQTVFMTDLHGPGSGFVQVQVNSLTSPYSVEV TVVPNTAYTVQMRAANIIGVGVAAAATPTCDNTLVACVASPGLNVIITRQLPSAPSVV LPMQLVSGTGNANGFTQNTLDVLVRDGAYWHGLEAVDSFLVEWDTASTFDSANKGSVT LLSTGTTSSYSLTPICPTCVTSLHTNTLTVSTSTAGLFVGRRYTIEAGTLNCVVQVAT ITSATQVAVQPGYTCLDFTSQTFSLGENDWISTTLPALLMGSQYFIRATAHNSLGMGT VSAFQTITPAVTSDPPTQVQLAALDSSALPIDRTTSLVVSWMPPNTALSTDLNGNGGV PITGYLVEWSTVDWSSFTSTVQTITTTSSGGVLSGSFQLQLDTTACALCKVKSLAVSS AIAADVSVAALTQILQNMPNIGQVSVTTTGLSGNNEQSWSVTFGSDVGTVPTLTVATN ALTALNGVGAIAIVKTNGNLNGNSYCNANVCNSVAVSASAVYPIRNTIAGLVAGTAYF VRVSAFTALGYGLLRLTAPNNLMVPFGLPASPASFFNLAAPPVLYVTGPTSLLVSFQP AAFTGGAPIISCTVEWDSTSAFNNGPSGVPLGTTTLYGTNANGAEYSITSLTAGLTYF TRVYCSNNQLGAGPPVATVPPSAIPRQKPPAVDTITLSAGTDTTGKSMLVTWNTPTSP GSSVQGYAVEWYTKSVAVPYFGVQTQQLLTSTGTITGGTFTVAFGATDVPLPGVANAV NGQSYITTSVDLTGILQRGDLLSISGLTYLVATTGVLSASSIPLADATAWLNQAPAAL AITPAPYTGNTVQGAVVYRQHRTPRLSVNVLPTDLQVALENLPSIGRVRVARTGSTNN FVWTVTFLSQFGPLASPNVLRVNSFNIAGTAPNLVSSIGVVGVSPQNYKIQLVPSTST SVVLSGLKPGTAYFVTVKAANDRGYGMFGSPAPPSYTPIGIPDALSNVWLRSLSQTQV ELMYDEVDMSGGAAVDQYLIEYGNDASFQVATALPPVLPQNKYVRVTTSADLGPIDPT SVFTLSLSNYFGVYNVDLGGYFNLVANSQFITQVTPGGGVAVDLTILVARGEFVKIAN QEYRVCLDPTTSFAMAGVSVTQFNFATNVVTVSPALNNHPILVVGTTIVVRDCLMVIT GLPSTTTLSVSHQCFASVGPGATDPVYVRWGPSAIPICDKSNPWTPATYTGFSILEAP VFKLDTAMGPFTNLDVTAASGNSVTGQWNDAANTANSISSLGCEDYFRLGDPYSGQIA RVLTPCIGATCGCAGLTPTVLPLGDIASPNLETSLSYDTLQYATQEIQTIRISTATGD FNSGVGGFRLQFGADVTATTLAGGNGGINVNAQYGCLLWASGVTALADAADSAALKAE LETLPSIDQVQVSRKLYLNPTIAVYSITFTGFRVRGKLPTMQVVDQGSNGCGAFTGAT PAAAVVTKVQNAYVNAYRLEKTSDIPVTATAEDVKAAIEQLSLSCKVDVVRRVAKNGF NWFVTFSRGVPVNLLTFNANDYKLRAALAPLATVTRVNIVKLNVLNNAQSVYARVAAH NVAGYGVKAVSTPTSVQATNHVPGVVSALRVDVVSDTQLLVQWQDPLENGGQNVTEFN VEWYTGAAKWNQIVKNADFSDGVNDVEVISVSAPSVGGFPYLDGTFQLMFDNQVTDEL PFDISAVKLQQVLQALCTIDAVLVTRELGPNGYTWLVTFAQVMYAGNQFTQYASLLQN QIGHRLSVLGNNLLVCSDIARTNCERYTVNGGETISPTAVTGSLPEVQTLLCTAGAGH MFQLNYMGYVTSPISSTATLAQVTAALASLPYVKTTVGFSIGQVSVCDAATPLPVTVQ FNSEMGDVPLLTASGADVGVTVTVAIAETTKGRFHHRIGKAPRSYLISGLTPSVAYNV RVAAYNNVGYGTFQPALSTYIPVPKGPMAPASVQVVPQTATSFGVVWEEPVSYGGSNV ASYVVEWDNTRTFRSRCGDNAEVQYLSVQGVPPLVGTQQFQLAIGTTTVGCVNWGITA ANLQTLIRGVAGYGAVTVVQHGDDSAAWDYGHMYEVTFYAPLTAAPLGDVPTMVASLV AGCNSFATGTLTVTTKTFGPGLDEIQGVGRQTSTDNECNALYLRPVGRKTTSDSSAKS AALALNVLPGTNSLKPFCVSCAQALTGTTVAVTTDMSASLFVGDVFSIGQTKCVYTVQ AITASSITVGPGQLCPSFSGQALPVYRYAMRAYVVQDVEAGTPFYVRVAAQNALATGP PNYSY H257_06133 MAASKEDTLPILAKPEAMVPRRTKVIYAVAAVVALIVVFVVISL VPAAPHSKTLPLHGNLPILTKNPIIGIHSHESSTYHDENIAASYVKWVESAGGRAVRI PYNASKSELRALLQSVNGVLFPGGDGDPNEAAEIIYKYAIELNDHGTYFPVWGTCLGF EWLVQLQAKNNSILDTVDAANISSTLTFQRNANAPSRIFAFSPVFDVLEAAPLTDNFH DFGIFDTHFAATESLTSFYNPVATSVDRQGRTYVSVIEAYQYPFYGTQFHPEKNAFEF GEYPDGNLWKWIDHSYEAILTSQAFGHFFIQEARRNNHTFADPIAKHNSLLYNVPTSN RSYPTFVEVLVFP H257_06134 MTTKFNALELQPMLQRSTRFRWGHVSLPLLALVALMMVCPCHCV DPSIAIDQTSSEVLVQVRTQSPIIGVHSHQDQTSGDEFIAASYINCIESAGGRAADLI RLVASVNGILFPGGDPEPSPTAAFLYARALDLNRNGTYISLWGACLAFEWLVQLHTGD LRILDNTQKLSLTLNL H257_06135 MAYQTDRVPILFKTSEPRRGRLAPLLAVGVLAVFALAIYVPSTV FRTTTANVTSLRAPLPILTRNPIIGIHSHRDEEHGDDFIVASYLKWVESAGGRGVRIP YNATKEELDVLLKSVNGVLFPGGSGDPNAAAEYIYSQAIEFNNNGDHFPVWGTCLGFE WLVVLQAGNHSILDHVDADNVSSTLQFHHNFQGESRLFGFSHHFDVLATKPITANFHF NGILKSHFDATDKLTSFYNVLATSDDQQGQTYVAAFEAKDYPIYAVQFHPEKNPYEIG DDKTGGTMNLVDHSQEAIVISQTFGHFFIGEARRNGHAFADPAAERDALLLNPTLSSR SYPYFESTLTFPVNN H257_06136 MELMVEPAETAVASPSCLQTLLAPPNHLIMADAAIVIAVVALVL PLGVVGLVYCVDPNKNGVLSSLRRFVLDTLPSWSSDVLLKVCGPSIHSDVVDAIDYVL NRPNPLMQVVYLHLVIGGYALFIVFGQPLLPNVYLSYNHVYYAGGAALAALVTFLQVC TTSAGVVNARDSLVQYHAYEFDQVMYIRGNACKTCHLNKPARSKHCTVCNVCVPRFDH HCAWLNGCVGEQNYRYFVLFIVSNSLLCVYGGIILLYTLLGEVVTLHLFESKYINYDT GAPTDATVWIVARYIIYIHPVVCMLFFMCLVMGAALVAFAGFHLYLVASNRTTNEFFK QWAMAPQVRASAATFYSRSVVDNMVEVFFPKATAVMRPWTKKTN H257_06137 MATAVLFHDAQLYDRDLRLFTTNAWLNDAAIHFYFTVVFHTLCG APGDVLLMDPAVVSCMMLQCDDDEDLMDLAQGLQLKEKSLIVLPVNDRRSFDSQGSHW ALLVYSTAHGFQFYDSSADHNFDSAMEVAGVLGRALGLPTDSVVRSVPCPQQHNAYDC GMYVCMVAEWLCTSHCSKQSLPLAEFVTPTAIQSKRRNMPSIVDQLK H257_06138 MTSLQAIAQSIGNAKNTEIDEIEDETHADVGPKQVTLKQVAHDI DADGGVVGEDIKSPPDSDSQPPTSLKSVASAQRMSLADFAKQQQQNVSTPNAGKPSNE AALDRPPSLVDVAKSTVNEQSSSRVSLMDVANSKSSSLLEVATSRPRTSLLESVQKQQ VADGASLVEIAKANKAKQTSTVAKVLGSIAREESQVIGNHIEATMKQVRDEVRREQNQ DAHDALQSAVRDVQYEDEFDYESSADKINRAVDILVQKAQQPADLPLRPTMSVDRDVL RSRQGRGLQHTGPTVKSRQNKLSSHQLNQLTETQKRLLYATLVEHKSPNNRQRDNQAH MEYINRIAKPVKPKNRRGKEQYARESDRRHCKFKPRVGRGSAESGRRSDGDDDDDNAK DNQDFIRRMEAAEKAKLDGIQRQRAERVYLAKLDKKECPKCGNPQSYSEVQQKRKQCP NCGVTYRSRLAWGDISSEFFERVDLSEEQRVRHLEQLSADRTPCFRVSDKRVFDKRHN RMVRAKPLTWEEVELDFLTRVQLDATNRITNRQELEQKFYGSFTYHPHITALAKRMDY IRFEDRMQQDIDQRVVRKEQNQITAESRALYRSTTTM H257_06139 MIQYKTHAPGRLSVEDQMSEALSASELLGTRQRKGFMKLFRKYC SSKKSPIDWKVVHPPPPGMVINSNSVEACPNDAGLKHELLDKLVILKLNGGLGTTMGC QGPKSAIEVRQDLSFLDLTVRQVEYLNSLYGVDVPLVLMNSFNTHEETVRIIRKYRMH NLSIHTFNQSCYPFVVKESAVPLPNAKYDKLTREKWYPPGHGDVYNALFESGLLENLI NQGKEYIFISNVDNLGATVNLDILYHMINVDSEFMMEVTDKTRADVQGGTLVTYRDKP HLLEVNQVPQEHLEDFRALNKFTMFNTNNLWVNLRAIQRLVAQDLIDIHPIVSYRSVK NAKVVQLETAAGGAIQFFKNFVGIKVTRLRFLPVKSSSDLFLVQSNLYQIKHGSLLMN PDRGVTTIPIVKLGREFQTAQQYSERFEKGIPNILELDHLTVAGDVKFGSGITLKGTV ILVANEGAKIELPDGTILEDKVVTGDLRILDH H257_06140 MLAKVSTLVAASLALCNAFDPVDGRPNVDFDYEIDEDKLKSRSV IMMIPDGTGPNIWVLARNMVDPTHKKMLHIDPLFRGSVQTFSNTSLITDSASSATAYA TGFKTYDAGIGVDMEKRPLGTILEAAQARGMVVGMIVTSRVTHATPASFAAHIHSRSL ENDIADQYCANANLDFLLGGGKRHFSDACLAKLKAAGYTITHDKDELAAYRKTNEANG TLRMFGLYQKSHMSYEVDRIRGETNEPSLSEMTEHIFAILKNNKRAQKHGFFVMIEGS RVDHAGHSNDAGTMGKEAIEFDNTVSVVKQFVYSNPNVAMISAADHGTGGITLGRDGV YKWKPEPLVKQVMSTEGMKALFSNDRATYTEATALQKVKDLIIQNSATKKISKEWDAI FAAEVKKIVANAKYSTSKLVVYMGQCISQTAHIAWTTVGHVGTDVNLYCAGPSVFTRR CNGNHDNTHLNRIMTNYLNLDLQPITLKLRGYKPNGNQTAAPASTPIVSSSTPATTST PESTPVITSTTPATTSATPVTTSATPLAIPSSSYPTTSPGVSKPSTTVWAKPTLKPGC INVSVVGDATYCVSGPICGDEGSNCPKKGAVASQDCSRHLASFNGDWCVAKTDAVCER IHSGARGCVFKA H257_06141 MKQTIQDSFHGASTRRTYSTYQRQFEAFCAHHKNGLNPNAATPE DCTDFLHHLYSKGRKARTVDSAKTALVSFFKESSISPNPAQDMHAKRYVIGLQKYNRQ NNVDDEQKAHPLTVREMSTLINLFANHNPFVASMFQLLLLRWHKKASVEKECQVYHLV DEVSYPCLRICTLYEGYLDKVRQTQVNVSQVACVFPSLSNQYDSVRVDWFKPLDQNYV RRLLQDLVHASPSLPIGISLHSVRRGGCFYKVFESPERKFNFRELMAWCRWTDAKTCC EYLVTRSISDGIDPRNMLRKVNSTGHGVVHAGIGTSGLTFDSIVEAVKKGMGIEQPKP VLPPARQRQLSLRECVVTKSIPTARSGRDAWDQWFTACPKSGLFCAIKDFTKDMIKCD RRKIHAQ H257_06142 MLTKITTLLSASFAITAAFSFDDSRPEVDYDYEIDEDHRLPRSV VMMIPDGTGPNIWALARNILDPTHKKMLHIDPLFRGSVQTFSNTSLVTDSASSATAYS TGFKTYDSAIGVDMEMRPLGTILEAAQARGMVVGMIVTSRVTHATPASFAAHIHDRSL ENDIADQYCDNANLDFLLGGGKRHFSDACLAKLEAAGYTITHDKDELAAYRKTNEANG TLRMFGLYQKSHISYEVDRIRGETNEPSLSEMTEHIFAILKNNKRAQKHGFFVMIEGS RVDHAGHSNDAGTMGKEAIEFDNTVSVVKQFVYSNPNVAMISAADHGTGGITLGHDGV YKWEPEPLVKQVMSTEGMEALFSSDRATYTEATALQKVKDLITQYSATKEITKEWDAI FAAEVKQIVANATYSTSKLVIYMGQCISQTAYIAWTTIGHVGTDVNLYCAGPSVFTRR CNGNHDNTHLNHIMTNYLNLDLQSITLKLRGYKPNDIQTAIPTVTPNATNATNVTNAT NATNVTNATNTTTTPTITSASPATTKA H257_06143 MRDRPERIDPVNPRPSKETSYLGGAMMTPERKRDAESSPNVSPP TDRHDSRPPLKKLALAEENELAVTCPPLSVKSGLEEWDSDNATSARLLNPVMAPGYSS LVQEESVGQDLLQERLASEWESQKALILREKDSQVAMLTRQSTEKLRSIRAEAAHAME VRHMEEAIHHESALNQLREDHRSAKRAHVEDVQLLAGESRKDIATLESTYEARDE H257_06144 MKSAELERTNTALHEIQAAGLVRSECGSCPVLQEEIQVLQRRIR ALETTVSNEVNRTEGLEASLAEAGNSLRVSEQCTREAVEQLDHERVQFQLALQEQQKS RLDLERTTEDRVRVMITERESKGAEEVLLERTRVEAERGQLTLERAANEEVLARIFEG LANQDAIMRLLEDAEEQLRSDRENHEAMLAQAYAQLGRQ H257_06145 MKTNKTLKDKSSCSKPYLREGNAVHSLQYALSFLLHADEKWFFF TTVKKRVYVYEDEGVALRALKSKRFITKGSMFNGKIGIWRVVENVVAKRNSRSHPKGT VLLAPQSVTAAVYRAMILDKSSVLLEAMEMVKTKRMSSRIAAKHYGIGRMMLQRCLKN HVRREQA H257_06147 MQSRSQFAAVTHTVAVPTPPPQAMSQPGGSYHVLNAFTTPAYAY HDCVKTPGKSCFYGGVASHTLPMCPTLNRANLSATQCVLTLTAHALPIAVATTAMTVE GLVARVVSVAVVAQTAGRIMLVVMTVALVVTTVTTAALLMMQSTVTLDAVVLSLLPTT PSYHPPTTLRPPLASTPAPASALTLAPVTDEPALLPAKCRSPGDAGDLDFAEVPSNEV LVTDRLHLYPSHSLFQIPSNPTKVDLPLFPPSAFLAACSDVITAASETPIKSGQRTWD VTREIIPSAKVPVVGYGTISMLENMSSRDQVDDIRPCTLRLNFGLHRPDIQFSLFSVR QASDDDITVRLPASVMCETTTFIGDVLNAPNNAMDLYSFISKPKFVPTHPHHWESLHI SDLTRFRAMMA H257_06148 MLAKVTTLLSASFAINAAFSFDDSRPDVDYEIDEDHRLPRSVIM MIPDGTGPNIWALARNMLDPTHKKMLNIDPLFRGSVQTFSNTSLVTDSAASATAYSTG FKTYDSAIGVDTEKRPLGTILEAAQARGMVVGMIVTARVTHATPASFAAHIHNRRLEN DIADQYCDNANLDFLLGGGKRHFSDACLAKLEAAGYTITLDKDELAAYRKTNEVNGTL RMFGLYEKSHIAYEVDRIRGETKAPSLSEMTEHIFAILKNNKRAQKNGFFVMIEGSRV DHAGHSNDAGTMGKEAIEFDNTVSVIKKFVYSNPNVAMISAADHGTGGITLGRDGVYK WEPDPLVKQVMSTEGMQALFSSDRATYTEATALQKVKDLITQNSATKEITKEWDAVFA AEVKQIVANATYSTSKLVVYMGQCISQTANIAWTTIGHVGTDVNLYCAGPSVFTRRCN GNHDNTHLNHIMADYLNLDLHSITLKLRGDKPSCNQTATPTVIPNATNATATPTITSA TPITTKP H257_06149 MKTSSLVAHVHHHPGTDSVTLKFSLNGIDRQLVRQVDEELSRVL VRIEHLVNPMQKKRGFKSSTKQPKPSATAVSKAAAVIQFKSADQVVLPTTLRVLDTFL AASYLDINSDTYHIVHNKPRVNSVAVVALHFNSIPIVPSVDADFCTPEQCTWRWHRGE VLVGSNHVFTPSLCDVGHALTITCIPPPPATASTNASPPASAIIVHTAAVQACPDRSV FHSRQVLGRTTPSDHIVRLMTYNILYAKYARADRDFNRMYPYAAPGVLQEAYRMPLVA LEILESQPHVVCMQEMGETICQTYFEPMLRGYVGLYAGKVGSTPEGCAIFVRTDTFDV VESDSVAFGAAVDLLPPASPVATFLRRHPQVATATRQVPSIGQLVTLRDKRQGHVVLV ANTHMFYRADANIVRLVQTVLFTAAIQAKVAKWTNAEATKVRVIVAGDLNARPTTSSI SFLLDGQVGSEHEDVKLARAFRWRAEGATVDESDGITSTTAVNEDEAAIVFTHGLTLT RAIETEFTTYLKNHEFTFQDTLDYILVDPHLFNVVQTFPLFTHEQVAVEQSLPSTVFP SDHVSLICDVQYT H257_06150 MDESFIHQHYKRHHDSLYDTSDSADVQTNEKYKGRFKHNGLPSA RAGHLSRWQAKETKDYHGMFNHDYFVKWFKQLLDELDAFSVTNAYIVMDNAKYHKGLP IGTPTSRQSKKVLLDACRTYGIAVDEKAFKSILWQHFSDHKATIKPAIVQMATEKGHT VVYTPPHHSDLQPIELVSAIVKGHLGHKYTDGTGLLEVKARLQEAFDVLKASSFSGCI KVSEEKLQKLHDHLKEIDAFESDEDSSARSSSDSDN H257_06151 MVAKANEVKAEEVRAQHENNMLAQQMLELDEKRYELDKAEREAR FALERREREAQLEFLHGTLEYRERFSAAAKEQVHGPEAVRPRQTVEQNAESLHSTGII ALGCDHRVGYFKQRHKQFNLSFAEPEMELTRGISLSH H257_06152 MEEDTESEVQASQRHKTEFRFKGCTDVELLKEIIHVRPYEAPHG EVHKGWTEVTEHLQRLCGDGITVNATRKRFDDLMTAFHADTMAALRASGTDEEYEERE QLLQDIHDLVDAASIRKQTDKEEKAKQNERRETNGEKIRDAAMSTMKR H257_06153 MLYALPAHTSHILQPLDVSVFCHFKRLLDVGLWFEMTGIYPLSL DVMTSKIPGDKPGTKSSRTKMQATPDPRIHRANKCSNSDDVWVHGGCLMTSEEIATKW PKKTKKVEREAELKVKKKVLVQK H257_06154 MAPSETLQNRLKVEHHKYATFGLRVLQRHPAVSASLFSKLFLSW CMPLVRRTHQLQMDDIWSLQDINTCEFNTDRFAAEFDKNHSVVWSALRVYGNSFAVTG IWTASTRLLELVGPMVLGQVVASTGDMTHLYQWLFILLVAKLSKAFLASHTILLEETM GIRFVGALKGLLFRKLLSKGTNCRPDGVVELANAYSSDMDALLLGCKAFHNLWIMPIQ IAIASAMLYREIGAASFAGMGVIALVMGFSAIVSRRQSSAYRDVATARDDRMQVVKET FGSILIVKLHAWEDRCREKILQRRTTELEHIWTFMVIAASSIFSFWAGPLFVSTASFA TYTVVLELPLTASKVFTSMALFRLLQIPLSDLPMHVTSVLQAQVSLQRILSFLQQPDK PTRPQPPKHHDGPTMVVIENGTFAWDQGEGVAPTLKNVSLTVSRGDLVVVHGKVGSGK SSLCMAILGEMHQTEGTTGVYGSTAYCSQEPWIQQMSVRDNILFGSPFDSRKYTRVVE ACGLLPDFGLMAFGDLTEVGSKGRNLSGGQKARISLARACYSDADIVILDAPLAAIDA VVQKQIMTKCVETLLKTRTVILVTHNGDIISSPNVNRLVRLEDGSMEHSHVKPSEPVV AAKVADVVVKIPCVVAKPVMDNRSMPSPLWSPRTMEAGDKWNEHFDHAISETVGEEER AVGRVGLDVYASYIAAFGGWRTIGLLFVFQTATQVLQVGSDVWLGVWTSSDDAVVQTK WYLGIYTSLCLGMMVAVLCRTILVAVSGVRASRVMFDKMMSALIGTPMSFFDDTPLGR VVNRFAEDMSLIDIWLPFSYAGVAGWFFSVASSLLTAMFVVRWCGLLFLPMIYVYIRV AQLYLRPSRELTRLWNVTNSPVLSFLDEIEHGFMLVRIYGATYMERAVSRHAHHVNAN HRVRFARCSVNAWFELCIQLQGTAIVMIVASGLVFFRSVLSAGLVGLAFNYILMADAN IGYLVSNFSWLEINMVGPERVLEYCNLPAEELDTPMSAALTLTSGAISFNKVQFRYKP SGQMILQDLTCDIAGGEKIGIVGRTGAGKSSLTMVLFRMYPLVSGSILLDGRDIATVA KQDLRRHLSIIPQSPVIATEFANATVLTIAHRLHTIMHSDRIMVMDAGRVVEMDTPAA LIANQGVFYRLAKDGGVLEP H257_06154 MAPSETLQNRLKVEHHKYATFGLRVLQRHPAVSASLFSKLFLSW CMPLVRRTHQLQMDDIWSLQDINTCEFNTDRFAAEFDKNHSVVWSALRVYGNSFAVTG IWTASTRLLELVGPMVLGQVVASTGDMTHLYQWLFILLVAKLSKAFLASHTILLEETM GIRFVGALKGLLFRKLLSKGTNCRPDGVVELANAYSSDMDALLLGCKAFHNLWIMPIQ IAIASAMLYREIGAASFAGMGVIALVMGFSAIVSRRQSSAYRDVATARDDRMQVVKET FGSILIVKLHAWEDRCREKILQRRTTELEHIWTFMVIAASSIFSFWAGPLFVSTASFA TYTVVLELPLTASKVFTSMALFRLLQIPLSDLPMHVTSVLQAQVSLQRILSFLQQPDK PTRPQPPKHHDGPTMVVIENGTFAWDQGEGVAPTLKNVSLTVSRGDLVVVHGKVGSGK SSLCMAILGEMHQTEGTTGVYGSTAYCSQEPWIQQMSVRDNILFGSPFDSRKYTRVVE ACGLLPDFGLMAFGDLTEVGSKGRNLSGGQKARISLARACYSDADIVILDAPLAAIDA VVQKQIMTKCVETLLKTRTVILVTHNGDIISSPNVNRLVRLEDGSMEHSHVKPSEPVV AAKVADVVVKIPCVVAKPVMDNRSMPSPLWSPRTMEAGDKWNEHFDHAISETVGEEER AVGRVGLDVYASYIAAFGGWRTIGLLFVFQTATQVLQVGSDVWLGVWTSSDDAVVQTK WYLGIYTSLCLGMMVAVLCRTILVAVSGVRASRVMFDKMMSALIGTPMSFFDDTPLGR VVNRFAEDMSLIDIWLPFSYAGVAGWFFSVASSLLTAMFVVRWCGLLFLPMIYVYIRV AQLYLRPSRELTRLWNVTNSPVLSFLDEIEHGPSIHLCWCGGIVHFTQTWT H257_06154 MAPSETLQNRLKVEHHKYATFGLRVLQRHPAVSASLFSKLFLSW CMPLVRRTHQLQMDDIWSLQDINTCEFNTDRFAAEFDKNHSVVWSALRVYGNSFAVTG IWTASTRLLELVGPMVLGQVVASTGDMTHLYQWLFILLVAKLSKAFLASHTILLEETM GIRFVGALKGLLFRKLLSKGTNCRPDGVVELANAYSSDMDALLLGCKAFHNLWIMPIQ IAIASAMLYREIGAASFAGMGVIALVMGFSAIVSRRQSSAYRDVATARDDRMQVVKET FGSILIVKLHAWEDRCREKILQRRTTELEHIWTFMVIAASSIFSFWAGPLFVSTASFA TYTVVLELPLTASKVFTSMALFRLLQIPLSDLPMHVTSVLQAQVSLQRILSFLQQPDK PTRPQPPKHHDGPTMVVIENGTFAWDQGEGVAPTLKNVSLTVSRGDLVVVHGKVGSGK SSLCMAILGEMHQTEGTTGVYGSTAYCSQEPWIQQMSVRDNILFGSPFDSRKYTRVVE ACGLLPDFGLMAFGDLTEVGSKGRNLSGGQKARISLARACYSDADIVILDAPLAAIDA VVQKQIMTKCVETLLKTRTVILVTHNGDIISSPNVNRLVRLEDGSMEHSHVKPSEPVV AAKVADVVVKIPCVVAKPVMDNRSMPSPLWSPRTMEAGDKWNEHFDHAISETVGEEER AVGRVGLDVYASYIAAFGGWRTIGLLFVFQTATQVLQVGSDVWLGVWTSSDDAVVQTK WYLGIYTSLCLGMMVAVLCRTILVAVSGVRASRVMFDKMMSALIGTPMSFFDDTPLGR VVNRFAEDMSLIDIWLPFSYAGVAGWFFSVASSLLTAMFVVTTDTVVFNQPKASIMTL IGGCVHT H257_06155 MPTSTNRTTSSLALIHGNILIYAFAFWLTQPVLPFLLQELSADK LLFSRFQTFCSFLQLLGGPLAGRICDTFGFSITLGASQAAASLSYALLAASTSVPTLF LSQLPTMFMHAMHAAQSAMTILAPDNMRATAMGRLSLSYGVGMVLGSYVGGVVSVAYS TKHSAILAAVLSAAVLPVNWVVFHGISTATPSSTTNTLKTTTTSLDVFKIVQVLRQPQ VWGTLTTQVLLGLALSLHRSTFSDILRQNLSLDPQGVGLVMSVSGVVSILANGVLLQL FLSRWSERSVALVASGLIALSFGAYANLELGPSVLQPLMALTVLLSGASAIAYTVLSS QMSKGASKDDRATAIGLSHASRSACGLVAPTLGTMLLHAYGFQALGYFCSAMASCSVV YSARQSPSLGKTKKDD H257_06156 MHGFGRELRTTQDRTPCAKTSPLARRVELVESSSTATYPNQDYF KRGFLVRHSISIKPQLTEANKAARLKWAFERLGPDLMVHDMMDYVHVDEKWFYMTRVK KTLYLLPGEEPPHRSTKSKRFITKVMFLSAVARPRWNYNTNEWFDGRIGTWHFTQTVP AQRSSRNRPSGTMVTVPCTVTRDTYRDMLIDNVIPAIKAKWPNDKAARILLQQDNARP HVPLSDERVAAACASDGWAIEVVCQPPNSPDMNVLDLGFFRAIQTLQERTRCKTIDEL IDATLSAWTTVDAMTLNSNFLTLQTCLIEVVRAGGGNNYKIPPHGQEEVGQARAVTGV CGVPAGCVQLRSCGYRGY H257_06157 MESVPTKQTIQDSFRGASTRRTYLTYQTQFQEFCVSHKHGLDPA AATTEDCTDFFHHLYSLGRKPRTVDSAKTSLVAYFKDQHIEPNPAQASLSKQYVVGLQ KYNRQNNVYDEKKAHPLTIDELSTLINGFARLNPFVGTMFRCLFSCC H257_06158 MLGLKWGDVSLGKSAHGPYVSVRLRWHKKASVEKECQVYHLVNE DSYPCLRVCGLYEDYVDKIAATLMQTSKDAFVFPHVSMLPSGNVKVDWFKAMEQNFVR RQLNDIVESTDNIAAAVMKCLRVESVLSQHVGLPVAKRQQTMQEFVLNKFQRGQIVVW KADGETVVFMSKSLGVSRTAI H257_06159 MLSSNQPKYSTFGGAASIVHPREAASWFSQVFLTWCTPLVHLQY ALNIEDIWQLEAANTAESNTKRFLTAFGRSKSVFRACANVYGAWFAVAGVLGLVLRLL ELVGPIVLQKIVGATNDRASSHLYYWLAVLLVSKVARAILWSHMVMLEDILGIQFVGG LKGALFQRLVSKASVQPGEVPDLANVYSADMDNLLWASISFNNLWIMPTQILVISYLL YQELGVAAFAGLGMLVLSLCLGAFISTIQSKAFDRVSTARDDRMQAVKETFGSILIVK LHAWEQRCRAKIQSLRDIEMGHVWTLMFSGAISIFVLWASPLFVSMTSFAMYTMVLGQ PLTASKVFTALALFRLLQNPMSEIPDNITAVVEANVSLDRIQEYLDQADQPTRPAPAV APESQDTVIAIENGTFTWGDDGGAPILKNVSLTVSRGDLVVVHGKVGSGKSSLCMAIL GEMHQTEGTTGVYGSTAYCSQEPWIQQMSVRDNILFGSPFDSRKYTRVVEACGLLPDF GLMAFGDLTEVGSKGRNLSGGQKARISLARACYSDADIVILDAPLAAIDAVVQKQIMT KCVETLLKTRTVILVTHNGDIISSPNVNRLVELDDGGMDFVQVKPSGQLPQVVTEPVG SSSTHYETDDKTRTRTFSASFVSPRLLATHNKWREHFELALSEDTNDEVRAEGHVDTS VYGAFISACGGTSTVVLICVIQSFWQGFQIASDVWLGQWTASSDAAERTTWYMGVYAA CCFGSVVMVLCRSIAIATSGLKASRHLFDSMTTSLLGTTMSFYDANPIGRVINRYAQD TASIDTRLPYSFGGLTAMFFAVVGSLLTSLAVVQWFGLLFLPILHLYVRLSQMYLRPS RELSRLKNITNSPVLTYLDEIEHGFSLLRAFGTTYLQQAIDRHAHHVNVNHQMWYAKV AVDMWFETCISLQGTAIVMIVATGLVVFRSALSAGLVGLAFNYVLMADACIVDLVKTY SSLEVRMVAPERVLQYCGLENEDPSAHSTASLILTKGAISFNKVQFRYKPTSELVLRD LSCDIAGGEKIGIVGRTGAGKSSLTMVLFRMYPLVSGSILLDGRDIATVAKQDLRRHL SIIPQSPVLFKGTLRQYLDPFGSFDDAALWSVVSKAGLYPLVSDMPDKLSTEVADKGA NLSVGERQMLCLARALLVQAKIVVLDEATAAMDHDTDVRLQQVIATEFANATVLTIAH RLHTIMHSDRIMVMDAGRVVEMDTPAALIANQGVFYRLAKDGGVLEP H257_06160 MSLPYCWLPSRHVQSVLSAKGEPLVDPRVCRSARQARRINQAIQ SKGESSDEEEEVTQKSSSAGFQYLLDDSDDSDVSSNDDKDSDEEVVIAKPKVVAQAPP PALSKKSKKKQAKKKNNAHAEVDDDVDDLLHALASQANLDDEEKPAFLPPPAMERNAL LAVNAGALNADKEMKRLFGVKDARESLKSAKGQPRNTARRTTKKVVLVTPDDTWPRPP TFVGGGIRWTRVDKPPCPSWEYGADYFQIDWSIEYKKMQEQFRVLQMTHDPQSIVHFL HKHPYHVDALLQMAEVFQHHGQMDHSTDCIKKCVYFMELAWGEQFHVTSGLCRMDIAS GDNKSFYRALFFLMRHVGRRGCVRSAFEIAKLIWSLDPKGDPLHVLLCLDYYALSARQ CQYVVDLFESNTEIVFRTDKAVAVPSLAPVTVAALPGLQMSVALARYLLGDVARATAD LASTLSKFPQVLVPLTEKCGISTTSKSWQDVICSAVFANAPHLDDHGVVSHLLHIYVT RHASLWKVNDIQSFLLQAAVQASASYNRGTFVTELPPLVHKYKRAISPDFSDEVTTLP PDHPMMMQPGAGGGDADGMLDLDNMDPAMIAQLQAQLEAEQARNGGNLPADAHPLLLF LQTLLPWNRLQQPGAQRPANFDENPVYQPADE H257_06161 MLQSRAPTDTAAAVVSDSLGPRPSTKSITSRSTNGDSRSSTSSN SPDIGSWKATLASLVEQHPHVTSNWSEPRTADFKVRSKRYLTTSLKEQVDEAKCELIW VDVFQGDRSKFFHISQRPESVVRHFTELYPHRELFVLNILLPGTPDVTYAQYFALRPD GVTDAFSKLWRAFMDGTDDFRNARLKLIPRVVEGPWMIRKAVGAKPFILANALEVQWF RGKNYLEAVVDVSSDSIAKKVTSMCRMCVASLVVDMALVVEGKSEDELPEAILGCVRY DRLDMKFATSI H257_06162 MQRQERIYDRTQSVRAALAFDTSPRTPPPSTPDRTYTWRRQVAV AAYTWQVVKEMGRRGYVWCTRTMSSCFVAIDHHYIRCFNSQFSSTSQQQRRRITPVLA LLLLLVAATVVRFVVSIPYQYYNLHYVRPHKPTDDTIQAHILVDVAPGQKAKPPPHLR GDTFYIEPWTGQCKNTVHGLVYATDARGITCKRRSLMPTGCCGDDVRFSCSTCDVEAP HCCLAYERCVSCCMGPTNTALVHAFLAHADPKHPVYGQPPSDLTLFGFCTFRCRTSSA GVQHQNSYRSAKKHCYGVHRPLKELDVVNSDESALHNATTSPPRHDELREQIQVATTT PPVLEYDPFYIGKS H257_06162 MQRQERIYDRTQSVRAALAFDTSPRTPPPSTPDRTYTWRRQVAV AAYTWQVVKEMGRRGYVWCTRTMSSCFVAIDHHYIRCFNSQFSSTSQQQRRRITPVLA LLLLLVAATVVRFVVSIPYQYYNLHYVRPHKPTDDTIQAHILVDVAPGQKAKPPPHLR GDTFYIEPWTGQCKNTVHGLVYATDARGITCKRRSLMPTGCCGDDVRFSCSTCDVEAP HCCLAYERCVSCCMGPTNTALVHAFLAHADPKHPVYGQPPSDLTLFGFCTFRCRTSSA GVQHQNSYRSAKKHCYGVHRPLKELDVVNSDESALHNATTSPPRHDEYEPPMDGGRSH HE H257_06163 MVVESQALLKQTNASYQTLKETLPAVDGTWKRTEPRQKPDAATY LFKYIIFAQIFVYMEAGVVPALLLEFTEAFELTPQDQGLLGAIVYIALSLGSPLCGYL FRHYSPRIVLGSSLVLNNLFVLAFAFTPVGAWYSRALLIIFRGAIGFTQACLCVYAPL WVHDYAPKSQKASWMSYLQGAVPIGVTLGYLMGSVIVWVGQSYASCFSLFCWRWPFLA QVVIVMPLAVGVFFVPEAHIRMRVPRRQSIPFVAEALDEIDRKAEGDSDDSDNDNDTN SNWHNVGILLRTPVFTSVVLGLSALFFVVTGVQYWTTLFLTTNTSDSAYIIHLNYLIV SGSGPILGVFFGGKLVDYFGGYTGPRQEAKALKICMIMGFLGVVASLPISFLSNTYLI AILLWIMLFCGASLLPSCSGIVIACAPQPLRPLASSVANTSYNFLGYAASNYIPGLVM DFILSNTPAEFGWSCDEACTYRIGFRIVTWWTVFAFLCLTVGYVVAARKAKLATAPSS ISP H257_06164 MQLHRVTKLAASRPSRLLRRLKTTLATDTNLQEKVVTLCRHRGF VFPGSDIYGGLANSFDYGPLGVQMKKNIQDAWWKHFVQSRTDCVGLDSSVILNSKVWE ASGHIGNFTDPMTVCKSCNSRSRADKLIENKSDVTGIPDAGGLTCEEIDALLAQHKIS CPTCGSDQLQKTRQFNLLFRTNMGSTDDTSEWVYLRPETAQGAYINFQHVVTTMRRRL PFGVGQMGKSFRNEISPGHFLFRTREFEQLELQYFCHPTDSDTWFSYWVDECFNWLVQ HGVKPSNLKKRVHDQAELAHYARATTDIEFLYPFGWSELWGIANRTDFDLKKHMEASS KDLQYVDPTTRETLLPHVVEPALGTGRVMLAMLLDAYDEEEVNGRQRTVLRLHPDIAP YKFAVLPLQSKGVLAEKANEIYVQLAKLASCDFDVTQSIGKRYRRQDEIGTPFCVTVD FDTLEDGSVTVRNRDSMDQVRVPVATLLSGGWTWQP H257_06165 MGLADDQLWPAKAMYGINNFALSTVLNFLPVFFNTYFDKLQIGI LAAIPCVCSVVAPPLWGAMADVLHQQRWVHIFCILSGTLLMFLIEFSISNFYLTCVVV FVANFQTNPTGSLLDQAVMALLHRVGGEYGKQRLFGAVGWGVGAFLTGLVVNTYGISW AFNLHLIFCVPTLCVLNLIPPADPKDSTSQAPTLSFWEGMRRIGQKADVVLLLVVVFL IGLMFGVVSSFLTLNLYELSNHSTAVVGTAIWFETLSELPAFYFADAVLKRLGILNVL LLSILGYGVRISYYAVMTNAWSALPFELLHGATFSLAWAACTQYIYDAAPPGTEGTMM GLLNAVLNGLGRGTGTLLGGYLYEHYGASFMWFAADLGVPVALVGLFLFSRTMPNQQP LPPTLHSTTSIDVKAE H257_06166 MLEDILGIQFVGGLKGALFQRLVSKASVQPGEVPDLANVYSTDI DNLLWASVSFNNLWIMPTQILVISYLLYQELGVAAFAGFGMLLLSLCLGTFISTIQSK AFDRVSTARDDRMQAVKETFGSILIVKLHAWEQKCRAKIQSLRDIEMDHVWNFMLSGA ISFFVLWASPLFVSMTSFAMYTMVLGQPLTASKVFTALALFRLLQNPMSEIPDDITVI VEAKVSLDRIQEYLDQANQPTRPAPAVAPESQDTVIAIENGTFTWGDDGGAPILKNVS LTVSRGDLVVVHGKVGSGKSSLCMAILGEMRQTEGTTGVYGSTAYCSQEPWIQQMSVR DNILFGSPFDSRKYTRVVEACGLLPDFGLMAFGDLTEVGSKGRNLSGGQKARISLARA CYSDADIVILDAPLAAIDAVVQKQIMTKCVETLLKTRTVILVTHNGDIISSPNVNRLV ELDDGGMDFVQVKPSGQLPQVVTEPVGSSSTHYETDDKTRTRTFSASFVSPRLLATHN KWREHFELALSEDTNDEVRAEGHVDTSVYGAFISACGGTSTVVLICVIQSFWQGFQIA SDVWLGQWTASSDAAERTTWYMGVYAACCFGSVVMVLCRSIAIATSGLKASRHLFDSM TTSLLGTTMSFYDANPIGRVINRYAQDTASIDTRLPYSFGGLTAMFFAVVGSLLTSLA VVQWFGLLFLPILYLYVRLSQMYLRPSRELSRLKNITNSPVLTYLDEIEHGFSLLRAF GTTYLQQAIDRHAHHVNVNHQMWHGDRDDCGHGAGGVSVGAVGGAGWARV H257_06167 MVMGFGGWKETQRIEIGDEEDQVEVEGPGDAIGIHDQARAECTN APSYGPKEPLLTVFPSHALNEGHHCLIHERGRGVHLKIGQEHNQASDGEAAACELNHV HQPRAGNQANDVHPALAADQVRHSTPERRGKHRTHAWNGGKNADLKLVEVRIVEDG H257_06167 MVMGFGGWKETQRIEIGDEEDQVEVEGPGDAIGIHDQARAECTN APSYGPKEPLLTVFPSHALNEGHHCLIHERGRGVHLKIGQEHNQASDGEAAACELNHV HQPRAGNQANDVHPALAADQVRHSTPERRGKHRTHAWNGGKNADLKLVEVRIVEDG H257_06168 MHEDLKAHLAELKSRQKTLTARRIALEKKQNLRDSTMAEFSAAY ADMLRRKLRACKQHQLESKKRNHRLVSDLLEYQKQHFGSSSSSSPMFVGRASAMALVK AKQSFADQVEVVYPAWQEQVQHTKLQRLRQLEQEKLEIEHRRVLAKQSFDKEQALEML LQQAAQDISFAATIERNEVYQRQLFRQQKVKEAEDMDYIIQARADQERRRLEDEHLKA LESSQLVDQYASLAQKYTPFQLEIPPPSALPAYSALSRQSSCPDDVNQPFRAFAYDTA PAVPVAALESPPHRPEPSDKASQDEPSPLLTPQFAYVPIPQTSQMPIVCPPQACQNRV APSWELEQSVAHPMEAVPRRSITPPLCYDTPPPVSTPIPITSPRAQAAEVAAPIDSPH VTSVVAPPLPPSVAPNATTKMTAALCARPTQEVAPPPKIQPTQDIPPTTTGASRHNGE QTLSSVTSTKEEGDSRQGEDDTNDSILADYYHMTTDALDTRMAHDALTITQTPSMPPV VASPLPSGKDVEPSPRVKTWSAAPLEQAIPSTPQVTATSMSSVDGAAFVPTSMSESIE KPTMIETPMLPSPQFVHGEENEVLSIEVVEVVNTSLDGFGGTSPVEEKRPVPEDTAPP PPTLADPSIIGVAVTVIDDLSKQIFISGAEDSNESSSLLDTTRSLSTNVMAQEVDTMT LGMRLSIDESFEMSESMISAHSPHAPNVVGESATSADIMSPPQNSTGEPPLAAAVDIL MLDGMSLSPEKPIPRMTSAFPSQPNNLNESTEGPTIDDDGEYDDGSYVTATFKLTVNE RLTVLQTLILRIEETTKEGEQVSAAIEASIEVKAKLDLLKVAMGGRKAQIGFFGGDVC FALLVDICRDVAPYLFPERIFEGKMTEQKLLKEYKACREKELEYWKLLSSHFKQLVAH GAMTAPDLVDMFVDIFLIHLTHDSRSTRKLRDFLSGYVGSAGNPQATALKPSPQESAK PVETPKESKLNQAKSVLDLAFMHQTDASPPTKPSAFDKGLKLSKSPTIAGRVSGGLGQ RVLRGVSLDEISEFDDDDDVDLNKFMGTAVKAKPALTKAYSTTKEKPPKDDDFDQDF H257_06168 MHEDLKAHLAELKSRQKTLTARRIALEKKQNLRDSTMAEFSAAY ADMLRRKLRACKQHQLESKKRNHRLVSDLLEYQKQHFGSSSSSSPMFVGRASAMALVK AKQSFADQVEVVYPAWQEQVQHTKLQRLRQLEQEKLEIEHRRVLAKQSFDKEQALEML LQQAAQDISFAATIERNEVYQRQLFRQQKVKEAEDMDYIIQARADQERRRLEDEHLKA LESSQLVDQYASLAQKYTPFQLEIPPPSALPAYSALSRQSSCPDDVNQPFRAFAYDTA PAVPVAALESPPHRPEPSDKASQDEPSPLLTPQFAYVPIPQTSQMPIVCPPQACQNRV APSWELEQSVAHPMEAVPRRSITPPLCYDTPPPVSTPIPITSPRAQAAEVAAPIDSPH VTSVVAPPLPPSVAPNATTKMTAALCARPTQEVAPPPKIQPTQDIPPTTTGASRHNGE QTLSSVTSTKEEGDSRQGEDDTNDSILADYYHMTTDALDTRMAHDALTITQTPSMPPV VASPLPSGKDVEPSPRVKTWSAAPLEQAIPSTPQVTATSMSSVDGAAFVPTSMSESIE KPTMIETPMLPSPQFVHGEENEVLSIEVVEVVNTSLDGFGGTSPVEEKRPVPEDTAPP PPTLADPSIIGVAVTVIDDLSKQIFISGAEDSNESSSLLDTTRSLSTNVMAQEVDTMT LGMRLSIDESFEMSESMISAHSPHAPNVVGESATSADIMSPPQNSTGEPPLAAAVDIL MLDGMSLSPEKPIPRMTSAFPSQPNNLNESTEGPTIDDDGEYDDGSYVTATFKLTVNE RLTVLQTLILRIEETTKEGEQVSAAIEASIEVKAKLDLLKVAMGGRKAQIGFFGGDVC FALLVDICRDVAPYLFPERIFEGKMTEQKLLKEYKACREKELEYWKLLSSHFKQLVAH GAMTAPDLVDMFVDIFLIHLTHDSRSTRKLRDFLSGYVGSAGNPQATALKPSPQESAK PVETPKESKLNQAKSVLDLAFMHQTDASPPCVLVYDNCVFHVNDP H257_06168 MHEDLKAHLAELKSRQKTLTARRIALEKKQNLRDSTMAEFSAAY ADMLRRKLRACKQHQLESKKRNHRLVSDLLEYQKQHFGSSSSSSPMFVGRASAMALVK AKQSFADQVEVVYPAWQEQVQHTKLQRLRQLEQEKLEIEHRRVLAKQSFDKEQALEML LQQAAQDISFAATIERNEVYQRQLFRQQKVKEAEDMDYIIQARADQERRRLEDEHLKA LESSQLVDQYASLAQKYTPFQLEIPPPSALPAYSALSRQSSCPDDVNQPFRAFAYDTA PAVPVAALESPPHRPEPSDKASQDEPSPLLTPQFAYVPIPQTSQMPIVCPPQACQNRV APSWELEQSVAHPMEAVPRRSITPPLCYDTPPPVSTPIPITSPRAQAAEVAAPIDSPH VTSVVAPPLPPSVAPNATTKMTAALCARPTQEVAPPPKIQPTQDIPPTTTGASRHNGE QTLSSVTSTKEEGDSRQGEDDTNDSILADYYHMTTDALDTRMAHDALTITQTPSMPPV VASPLPSGKDVEPSPRVKTWSAAPLEQAIPSTPQVTATSMSSVDGAAFVPTSMSESIE KPTMIETPMLPSPQFVHGEENEVLSIEVVEVVNTSLDGFGGTSPVEEKRPVPEDTAPP PPTLADPSIIGVAVTVIDDLSKQIFISGAEDSNESSSLLDTTRSLSTNVMAQEVDTMT LGMRLSIDESFEMSESMISAHSPHAPNVVGESATSADIMSPPQNSTGEPPLAAAVDIL MLDGMSLSPEKPIPRMTSAFPSQPNNLNESTEGPTIDDDGEYDDGSYVTATFKLTVNE RLTVLQTLILRIEETTKEGEQVSAAIEASIEVKAKLDLLKVAMGGRKAQIGFFGGDVC FALLVDICRDVAPYLFPERIFEGKMTEQKLLKEYKACREKELGGGASDILIHFEPM H257_06168 MHEDLKAHLAELKSRQKTLTARRIALEKKQNLRDSTMAEFSAAY ADMLRRKLRACKQHQLESKKRNHRLVSDLLEYQKQHFGSSSSSSPMFVGRASAMALVK AKQSFADQVEVVYPAWQEQVQHTKLQRLRQLEQEKLEIEHRRVLAKQSFDKEQALEML LQQAAQDISFAATIERNEVYQRQLFRQQKVKEAEDMDYIIQARADQERRRLEDEHLKA LESSQLVDQYASLAQKYTPFQLEIPPPSALPAYSALSRQSSCPDDVNQPFRAFAYDTA PAVPVAALESPPHRPEPSDKASQDEPSPLLTPQFAYVPIPQTSQMPIVCPPQACQNRV APSWELEQSVAHPMEAVPRRSITPPLCYDTPPPVSTPIPITSPRAQAAEVAAPIDSPH VTSVVAPPLPPSVAPNATTKMTAALCARPTQEVAPPPKIQPTQDIPPTTTGASRHNGE QTLSSVTSTKEEGDSRQGEDDTNDSILADYYHMTTDALDTRMAHDALTITQTPSMPPV VASPLPSGKDVEPSPRVKTWSAAPLEQAIPSTPQVTATSMSSVDGAAFVPTSMSESIE KPTMIETPMLPSPQFVHGEENEVLSIEVVEVVNTSLDGFGGTSPVEEKRPVPEDTAPP PPTLADPSIIGVAVTVIDDLSKQIFISGAEDSNESSSLLDTTRSLSTNVMAQEVDTMT LGMRLSIDESFEMSESMISAHSPHAPNVVGESATSADIMSPPQNSTGEPPLAAAVDIL MLDGMSLSPEKPIPRMTSAFPSQPNNLNESTEGPTIDDDGEYDDGSYVTATFKLTVNE RLTVLQTLILRIEETTKEGEQVSAAIEASIEVKAKLDLLKVAMGGRKAQIGFFGGDVC FALLVDICRDVAPCTINHQ H257_06169 MMVPWIFALNCVIFLASVFAGCYSFTSHADNVFNSPAQIVTIRF AFIFILTAVSFFFQFLSTELTLPSSPQAIVHDMVEDVITGVVEAIMLLSFFFMLVVHV GGTDRAVHLFAESHETRGRASTMTMFTPPSSSPVMKDKAVDEDIAAKPGLHEYMRCRN VILAFVYIRPVVSIVMVVNRWLDNWELRMAMASVNILITLAAVAAILVTIRRILPAMQ PQFQVLGKFFVIKGLLLVRSFQWAVYCLLVTDHNGLPALRKYFTMCSIECLFFCLIFT VAFRPATFRRVDGFPGMSFMGVWDVAMHPIPPCHDSKYASPADSKVHHMHV H257_06170 MPLFLDTASLMEHVEHPPALPDMAIEGQLARKRILSKALTFADV VLSDKSTIQVMFRAGEAEWTKERLTQLNWDIHIGDIVVISGTAKLEDGGRILVVASSC IVKESWKTSHPDQGFEPLADEPTVRASKQAYSHLIQLRGFNACKFHFSNGSCDRGQLC HFWHGPLEDYKLLRDEWLQKRAEQKRELALLVDDPLDPHSKELKSQRARIFTDWLVEQ YGVARLQEGSGVIDVAGGKGDVSFELWLRRDIPTTLIDPRQVKTRRTHLKYMAQHGKP KWTHMMAELNDDLIAAHDPLFRSCAIVVGMHPDEATEAIVDAALAMNKPFAIVPCCVM SRLFPTRACNKMKVATYETFVTYLKAKHPGIQSAFLPFGGRNQVLYLL H257_06170 MPLFLDTASLMEHVEHPPALPDMAIEGQLARKRILSKALTFADV VLSDKSTIQVMFRAGEAEWTKERLTQLNWDIHIGDIVVISGTAKLEDGGRILVVASSC IVKESWKTSHPDQGFEPLADEPTVRASKQAYSHLIQLRGFNACKFHFSNGSCDRGQLC HFWHGPLEDYKLLRDEWLQKRAEQKRELALLVDDPLDPHSKELKSQRARIFTDWLVEQ YGVARLQEGSGVIDVAGGKGDVSFELWLRRDIPTTLIDPRQVKTRRTHLKYMAQHGKP KWTHVRHPLFRD H257_06171 MAGGGNKAKGGKSSSTAAKVANKKRKAPQSNQGNVHQKRAKAAD KAAPVKKKFSAAKKAKKAAAAPKVEEDEDVEIDDEDVEFFESHGQFSSFLSTMDRNAI SKSTKKTALNKPVKPKTLEQIEAAPRAAPAEAKSSIIDISTKLPVKSFDGALQPNKLM QEIVEEVHEEEVEGDDDDEDSDDEGSDVGSGDENDLSDLEVEEVPDDIQRPSTTSSQA EPAAISPDELRAMQARRLHSKKEELALLCESILESPEEAVKKTKDHPDQLSKFQQLHA MCEDTDVTLVKLAMLSELSVLLDVLPDYRIRLGSDGDSSGPQKKKVKEMKDFETNLLN QYQKYLKYLSATATAKLSTLNPNQSSRTQTQHLDFGVAETAVKCLAELLKVKYAFNFH LNLINAMVPFADSPFPTIQRPACDAFEVVFKVDKSGLAPLEIVKDMANYVKKRHHRVS ERMIKTLLVMPLDTTMEAGEIARRVAKSSRKKRRRQQKDGDGIATGLKEAEAVVDKSE RDKTQGDILHELVLIYFRVLKNSTYSPAMPAVLEGLTKFAYLINLEIMIDLMKVLKAL LKEDILPLAAALQAILTGIKTLQGPGQELKVDEKDFVDHLYRLLLRFAQGENVACFNT ALHCVEAVFIKRKEIVVDRVAAFIKRLLVVSMYLYPHQMLAVSALIRSLFHRYSKLHQ LLENDQDRVASGIYRADVDDPDFCNPFASACWELSFLGKHYHPVVASFSTGTANLEPS LPNEHPKAMLERYDTVTSGEFVPRVSIPKANPLHAKMLKQKKAKPVFVSQSYAAPSPF LEACLALDEPRDHDVNCFFVSVE H257_06172 MESAPLMSPLMSSRQSSSKKSTKAIAAVLATVAGALVYVGSTAR PAAVVTETFSGETMSMSHKQKLPKESFDGDLRLRCNETYITQTLDHFTAHFGTYEQRY FTCGEHWKSPDGPIFFYTGHESDAENFLKATGLMWENAAEFGALLVFAEHRYFGKSFP KIANASYIESLRFLSSEQALADYAVLIKHLKTTLHAEKSPVISFGGSYAGMLAAWFRM KYPFVVDGAISSSAPLLAFHGQDPPVDPEAFSRITTFVGTAAAGSAANCVPNIRKAQQ VISDWGATAQGRQNLTTALGLCTVPPSQEVALEIADSLVGTYTELAEGNYPWPSDYFS DLPAFPYRAACDHLKDEFESDAALLAGFRASVGVATNSSGKVTCVDWNSTSPIESENL WSYLACSELYMVISDQDGVRDFFRPSKQNQTADAERCLAKWGVELRPLWANTVYGGWA GIRAASNIVFSNGNFDPWGGYGVWESQSDSVIAIPIDQGGHHLDLMFSNEADPQSVRD AREQEKHEIRKWIRNKHKAQH H257_06173 MQKESKLRYDPSEHAKKQQAAKDRAKELRDARSRGVVNDTCTFT PKVNPRKAAEDNNDEPQQHAAPPGSPPIRSKFQATAAPPSPPIKSKFQNQESPRVESP PPASPPKRRAPVSNLKKPTPPPKMQANDSSDSLDRLSGSYSRQTNSKPSKESSIQQPY ETEEPEHDSLSNELKTRTGKVIARESKPKASAGGGACSRDSSCRCRQCDPSNVVEAAP APLARQRQMKPSPSAAVADTSTVDQNSLSLLKSKMSRRKSRSAPTKPASMFVDEDKPR QVVHSAREPPAVAQQAKPAVLEKRRPPPPVAAFEKPPANVFDGVPDGESEVQDDGANH ECPDCHRKFNATAILKHQKICKKVFQGPKKVFNMAAARLKGTDVEKLLNEKGISIKAA TTAVSQAKAKADDAAAKKADWKKKSNAFRDAIKGSRDYEIAKKEGRELPPPKPAEIDP SLVQCAHCSRRFNEKAAERHIPHCKEKADRAALSSGPPKKAGGKAAPAAKLAPPKPVA KAPAKKK H257_06173 MQKESKLRYDPSEHAKKQQAAKDRAKELRDARSRGVVNDTCTFT PKVNPRKAAEDNNDEPQQHAAPPGSPPIRSKFQATAAPPSPPIKSKFQNQESPRVESP PPASPPKRRAPVSNLKKPTPPPKMQANDSSDSLDRLSGSYSRQTNSKPSKESSIQQPY ETEEPEHDSLSNELKTRTGKVIARESKPKASAGGGACSRDSSCRCRQCDPSNVVEAAP APLARQRQMKPSPSAAVADTSTVDQNSLSLLKSKMSRRKSRSAPTKPASMFVDEDKPR QVVHSAREPPAVAQQAKPAVLEKRRPPPPVAAFEKPPANVFDGVPDGVTNPFFDIMQY QLGKAKCKTMVLTTNAPIATESSTLQPFSSIKKSAKRCFKGQRKCSTWRLPASKAQMS KNC H257_06174 MESPTAIAFIAASTPSTTKKKGSDLMAMVGAKGNTYEELSSSDG SMHARGEASPRAALGGGQRLHLLSSGGINTTALDLKISSPDVVTPSTHHGKRLATLHR STGGRDMLYVPTCRDTLRKYVNSWIGVYLEVINTTLSVMCCAFYLIQLYNPTLYADLH FQLIEVGATIFFALHYVLHVFSEDDVWAFILSFNGIIDLTIAPSLIMYFVSDPSSREL TLFRVFRVFRALRVLRLHNLIRSRKHGYNYEWGVFVFSLSAIIFVAAGIFHALEDYPS RDRRLQFHDSLYYILVTLSTIGYGDIVPTRPLTEFFVMGLIIVVVTTVPAQIARLHAL HVSIHAYDGAYVCRPGRGHVIVCGHVVHDSFADFLTEFYHPSRGVISFDIVVLSPDPP SPAMTRLLSNVVYATKTTFLKGSLVHDVDRKRTQLASAEAVFVLADKDARATEAQDAT TLLQALSIRNYADSTGCHIRMYLQMLSDDHQELSHIIGANQTIHSNRVKADLVCRGVV CPGSCALILNLMQSVDQAKMHKHISHPTPWMHEYIGGMSQQVYAVLLSDAFDGHLYED VARRFFTGFEVLLLAVYRRDKDEDVPRVMLSPFGKSMRPGDIGFILATSASVVHAIQS EYASVVEGEESAPSPRHSSLRSIPIVSKSNLLQRKYIRKITLAPTNQPSSTPPIQDEV STAPRSPSHDPKAELDTRLGAATFKAMTDMQGHVVVCGATRHILPLIDRLRAMYAATA SPAPPLVLLVNHVPTANDFELDYTLPPDVFFVRGVSTQCPDLLRVSIQRAKAVLLFPL PSSVQNQPTDDAYLLDYGVVTSLLCLETTCDNAKVLSTGRSAVTVARDEVGFYVNCNV PLQAVQSRMLEHFPTLNPDVFRAPSIENMSRRLSTCPAEASASSSSVAISSSFLSDLP SMAVLQRGSNIKFCRPRDVLFSCDSLPYLSPAFAAGRVFVDSRLDLVLCQAFYNPYIT EILHLLTGNPLDPDVQGPTLTQTDIPVDFIGGCYGDVVLAMLRAGKLVLGLYRYPNMR LGNVVPYVLTSPPSDTQVFPNDKVFVVIDTHHSGVE H257_06175 MLRFWCVSWRRQYPRPRSRKLHPLNRQLCTAQYRVTLIRLQCNA AHRNTCPSPDSPSDSMQSGQYHEPSSGGPVRSRHAAWYSLPQLLSQAINTSVDDASSP HTLHPEARALGTRFTGRSSTGRGDDGGDGPSWQI H257_06176 MPVKEYTNRSNDEPGERPSDLNRLADISVDMRPYANDSSSDSET DLFAAADARKLRMLASVDQTEELKDYVLTAMRQLSRMSSGLAMLLPTSSLHQGSHSSL DDTTPRGADLMGRVWHSLHKVSAAVNSLARHSTAGLDPYFDALDLTLLPPDPTMALEA IHAKLLSLRHKLETPLAKMDAAARPLLMSAWEQGHADAHEHTATAVACWTSRAAELHS RVEAAMATTTGADTSSPVLAEVLQFLGSMHLIHTPAAVTASAARQVQAVDGLVTALQS ALPPPSSHESSFHAPGEDGGGRVMTDQVPPQHPHARRLPPQSTRPKQPPEASSPHQPF IDVHKKRPSKDYPKPHLPLEKKVRRPSTSPYATVRHNEDDAMPPHEVALPPTDVSPAS SRRSRLITLDLPVPSTPPPVQSVDEKLVASPVVPHSRVVGCEKCFMNNNHDKMLLCDN NCGREYHMYCLQPQLDVVPEDDWFCPECVKVACLAMRCSRFCVFNQKYCPRHLCKFQG CPFRCKKQGYCGKHSKLHAHEGGGSARGSTRPLHPEDDGTSAGPPDPYVDDDDNDKLL KYK H257_06176 MLASVDQTEELKDYVLTAMRQLSRMSSGLAMLLPTSSLHQGSHS SLDDTTPRGADLMGRVWHSLHKVSAAVNSLARHSTAGLDPYFDALDLTLLPPDPTMAL EAIHAKLLSLRHKLETPLAKMDAAARPLLMSAWEQGHADAHEHTATAVACWTSRAAEL HSRVEAAMATTTGADTSSPVLAEVLQFLGSMHLIHTPAAVTASAARQVQAVDGLVTAL QSALPPPSSHESSFHAPGEDGGGRVMTDQVPPQHPHARRLPPQSTRPKQPPEASSPHQ PFIDVHKKRPSKDYPKPHLPLEKKVRRPSTSPYATVRHNEDDAMPPHEVALPPTDVSP ASSRRSRLITLDLPVPSTPPPVQSVDEKLVASPVVPHSRVVGCEKCFMNNNHDKMLLC DNNCGREYHMYCLQPQLDVVPEDDWFCPECVKVACLAMRCSRFCVFNQKYCPRHLCKF QGCPFRCKKQGYCGKHSKLHAHEGGGSARGSTRPLHPEDDGTSAGPPDPYVDDDDNDK LLKYK H257_06177 MLRGNIPDTTALLTPTLPSFDLDYDDDDESTDEDVATASNPSHS RRHVNRPVTLTLDDDPPLQVRPARSSTIDSGTRPHMSSLLLPHHLRGGDDKEHNRMIR MLYSRSIVGQVHVDAHSRRLHDLVQSMTCFQTKKDRVFEHFVVTGLLTSSSSGLERAT AADGNDDSSCGYKPKVLFQYPPPSIHPINEQAVSGFCFPVGVPAFTCSGKDAVAIQGH LVSQWTLDGPSTLRQLLDPHNAQCYTFRLTGSKGEALYGFCAAILMDAAEPPILIDQS NGTAHVATQEQRVDAPLTRPVHPSTPPASPTKKKPIPTSLSATTFHSLAGILASPVTA KSDDDRGTTLITPRCYCITSKYPLYKLHFQILRLVIEADLKSRQAARTSPAYHRAQDI EVVLTQRVLGLTLRERDESVHHPSRGIKPRDAALLNAIATKQKTSGVVAIAETRDGAR TPPKTPPSPPTTAQSPPRRRLVRSHSWTANFTRPKQKQPLGTPSGCRPTTSIVVHACS AAASAAGIEPDDIVRAVNGFPLDHMTFVDVVHLLESSKRPLKLGLRRRLPATKPPSAP PTSPGAKDSSSSSSAVLDLLRRFRGMQVGSPGAWSSIHLPLTEFKYRFPVDQAATDNW TVAVLLRLVGAPTVVKLVSCLLLEKQVAIVSDSTAKLSVVSTALLVLLRPFQWQSTFI PILPANLLEFLHSPVPYLVGVHSVVVTMEDWPDVCFVHVDADSMQCPYSSLLLSFPRA NDLTKLLHDASAALRAMSSRPGQPWHDLTEAEQNVLGLLLPQASAVLTAICGDLAALN MPPPPPVHAAHMSTYDVLQQQFHALVVHKSLHPHFLKEFAQTQLFCQYCELSMNLSM H257_06177 MLRGNIPDTTALLTPTLPSFDLDYDDDDESTDEDVATASNPSHS RRHVNRPVTLTLDDDPPLQVRPARSSTIDSGTRPHMSSLLLPHHLRGGDDKEHNRMIR MLYSRSIVGQVHVDAHSRRLHDLVQSMTCFQTKKDRVFEHFVVTGLLTSSSSGLERAT AADGNDDSSCGYKPKVLFQYPPPSIHPINEQAVSGFCFPVGVPAFTCSGKDAVAIQGH LVSQWTLDGPSTLRQLLDPHNAQCYTFRLTGSKGEALYGFCAAILMDAAEPPILIDQS NGTAHVATQEQRVDAPLTRPVHPSTPPASPTKKKPIPTSLSATTFHSLAGILASPVTA KSDDDRGTTLITPRCYCITSKYPLYKLHFQILRLVIEADLKSRQAARTSPAYHRAQDI EVVLTQRVLGLTLRERDESVHHPSRGIKPRDAALLNAIATKQKTSGVVAIAETRDGAR TPPKTPPSPPTTAQSPPRRRLVRSHSWTANFTRPKQKQPLGTPSGCRPTTSIVVHACS AAASAAGIEPDDIVRAVNGFPLDHMTFVDVVHLLESSKRPLKLGLRRRLPATKPPSAP PTSPGAKDSSSSSSAVLDLLRRFRGMQVGSPGAWSSIHLPLTEFKYRFPVDQAATDNW TVAVLLRLVGAPTVVKLVSCLLLEKQVAIVSDSTAKLSVVSTALLVLLRPFQWQSTFI PILPANLLEFLHSPVPYLVGVHSVVVTMEDWPDVCFVHVDADSMQCPYSSLLLSFPRA NDLTKLLHDASAALRAMSSRPGQPWHDLTGGWMRLSCEYKLILVDM H257_06177 MLRGNIPDTTALLTPTLPSFDLDYDDDDESTDEDVATASNPSHS RRHVNRPVTLTLDDDPPLQVRPARSSTIDSGTRPHMSSLLLPHHLRGGDDKEHNRMIR MLYSRSIVGQVHVDAHSRRLHDLVQSMTCFQTKKDRVFEHFVVTGLLTSSSSGLERAT AADGNDDSSCGYKPKVLFQYPPPSIHPINEQAVSGFCFPVGVPAFTCSGKDAVAIQGH LVSQWTLDGPSTLRQLLDPHNAQCYTFRLTGSKGEALYGFCAAILMDAAEPPILIDQS NGTAHVATQEQRVDAPLTRPVHPSTPPASPTKKKPIPTSLSATTFHSLAGILASPVTA KSDDDRGTTLITPRCYCITSKYPLYKLHFQILRLVIEADLKSRQAARTSPAYHRAQDI EVVLTQRVLGLTLRERDESVHHPSRGIKPRDAALLNAIATKQKTSGVVAIAETRDGAR TPPKTPPSPPTTAQSPPRRRLVRSHSWTANFTRPKQKQPLGTPSGCRPTTSIVVHACS AAASAAGIEPDDIVRAVNGFPLDHMTFVDVVHLLESSKRPLKLGLRRRLPATKPPSAP PTSPGAKDSSSSSSAVLDVRRTPLSYMQVDPKWMMYVAPAEVPRHASGESWRVVVDSF ASHRVQVSVSRGSSSDGQLDSGGAAPTRGRPHRRQARELPAPREAGGDRERQHRQVVS S H257_06177 MLRGNIPDTTALLTPTLPSFDLDYDDDDESTDEDVATASNPSHS RRHVNRPVTLTLDDDPPLQVRPARSSTIDSGTRPHMSSLLLPHHLRGGDDKEHNRMIR MLYSRSIVGQVHVDAHSRRLHDLVQSMTCFQTKKDRVFEHFVVTGLLTSSSSGLERAT AADGNDDSSCGYKPKVLFQYPPPSIHPINEQAVSGFCFPVGVPAFTCSGKDAVAIQGH LVSQWTLDGPSTLRQLLDPHNAQCYTFRLTGSKGEALYGFCAAILMDAAEPPILIDQS NGTAHVATQEQRVDAPLTRPVHPSTPPASPTKKKPIPTSLSATTFHSLAGILASPVTA KSDDDRGTTLITPRCYCITSKYPLYKLHFQILRLVIEADLKSRQAARTSPAYHRAQDI EVVLTQRVLGLTLRERDESVHHPSRGIKPRDAALLNAIATKQKTSGVVAIAETRDGAR TPPKTPPSPPTTAQSPPRRRLVRSHSWTANFTRPKQKQPLGTPSGCRPTTSIVVHACS AAASAAGIEPDDIVRAVNGFPLDHMTFVDVVHLLESSKRPLKLGLRRRLPATKPPSAP PTSPGAKDSSSSSSAVLDVRRTPLSYMQVDPKWMMYVAPAEVPRHASGESWRVVVDSF ASHRVQVSGMEPKEHSIMTPYRMSVHPSVVTVSAHCHSLCTL H257_06177 MLRGNIPDTTALLTPTLPSFDLDYDDDDESTDEDVATASNPSHS RRHVNRPVTLTLDDDPPLQVRPARSSTIDSGTRPHMSSLLLPHHLRGGDDKEHNRMIR MLYSRSIVGQVHVDAHSRRLHDLVQSMTCFQTKKDRVFEHFVVTGLLTSSSSGLERAT AADGNDDSSCGYKPKVLFQYPPPSIHPINEQAVSGFCFPVGVPAFTCSGKDAVAIQGH LVSQWTLDGPSTLRQLLDPHNAQCYTFRLTGSKGEALYGFCAAILMDAAEPPILIDQS NGTAHVATQEQRVDAPLTRPVHPSTPPASPTKKKPIPTSLSATTFHSLAGILASPVTA KSDDDRGTTLITPRCYCITSKYPLYKLHFQILRLVIEADLKSRQAARTSPAYHRAQDI EVVLTQRVLGLTLRERDESVHHPSRGIKPRDAALLNAIATKQKTSGVVAIAETRDGAR TPPKTPPSPPTTAQSPPRRRLVRSHSWTANFTRPKQKQPLGTPSGCRPTTSIVVHACS AAASAAGIEPDDIVRAVNGFPLDHMTFVDVVHLLESSKRPLKLGLRRRLPATKPPSAP PTSPGAKDSSSSSSAVLDVRRTPLSYMQVDPKWMMYVAPAEVPRHASGESWRVVVDSF ASHRVQVSGMEPKEHSIMTPYRMSVHPSVVTVSAHCHSLCTL H257_06177 MLRGNIPDTTALLTPTLPSFDLDYDDDDESTDEDVATASNPSHS RRHVNRPVTLTLDDDPPLQVRPARSSTIDSGTRPHMSSLLLPHHLRGGDDKEHNRMIR MLYSRSIVGQVHVDAHSRRLHDLVQSMTCFQTKKDRVFEHFVVTGLLTSSSSGLERAT AADGNDDSSCGYKPKVLFQYPPPSIHPINEQAVSGFCFPVGVPAFTCSGKDAVAIQGH LVSQWTLDGPSTLRQLLDPHNAQCYTFRLTGSKGEALYGFCAAILMDAAEPPILIDQS NGTAHVATQEQRVDAPLTRPVHPSTPPASPTKKKPIPTSLSATTFHSLAGILASPVTA KSDDDRGTTLITPRCYCITSKYPLYKLHFQILRLVIEADLKSRQAARTSPAYHRAQDI EVVLTQRVLGLTLRERDESVHHPSRGIKPRDAALLNAIATKQKTSGVVAIAETRDGAR TPPKTPPSPPTTAQSPPRRRLVRSHSWTANFTRPKQKQPLGTPSGCRPTTSIVVHACS AAASAAGIEPDDIVRAVNGFPLDHMTFVDVVHLLESSKRPLKLGLRRRLPATKPPSAP PTSPGAKDSSSSSSAVLDLLRRFRGMQVGSPGAWSSIHLPLTEFKYRVWNPKNIRS H257_06177 MLRGNIPDTTALLTPTLPSFDLDYDDDDESTDEDVATASNPSHS RRHVNRPVTLTLDDDPPLQVRPARSSTIDSGTRPHMSSLLLPHHLRGGDDKEHNRMIR MLYSRSIVGQVHVDAHSRRLHDLVQSMTCFQTKKDRVFEHFVVTGLLTSSSSGLERAT AADGNDDSSCGYKPKVLFQYPPPSIHPINEQAVSGFCFPVGVPAFTCSGKDAVAIQGH LVSQWTLDGPSTLRQLLDPHNAQCYTFRLTGSKGEALYGFCAAILMDAAEPPILIDQS NGTAHVATQEQRVDAPLTRPVHPSTPPASPTKKKPIPTSLSATTFHSLAGILASPVTA KSDDDRGTTLITPRCYCITSKYPLYKLHFQILRLVIEADLKSRQAARTSPAYHRAQDI EVVLTQRVLGLTLRERDESVHHPSRGIKPRDAALLNAIATKQKTSGVVAIAETRDGAR TPPKTPPSPPTTAQSPPRRRLVRSHSWTANFTRPKQKQPLGTPSGCRPTTSIVVHACS AAASAAGIEPDDIVRAVNGFPLGARIYLSHIHLMNESALCRSHDVRGRGAPARVVQAT AQAGAATTAARHQAPVSSPDVTGRQGQLVVVLCGLGCTTDAALVHASGS H257_06178 MLAKSVRRFSSAAVPLKTERMNMFTALNDAMRVAMETDPTAAVF GEDVAFGGVFRCTVDLREQFGEDRVFNSPLCEQGIAGFAIGYASVGRTAIAEIQFADY IFPAFDQIVNEAAKFRYRSGNQWDCGKLTFRAPYGAVGHGAHYHSQSPEAYFAHTPGL KVVIPRNPVQAKGLLLASIRDPNPVVFFEPKALYRASVAEVPVGDFTVPLGQADIVRS GSDVTIVGWGAQMRVLEETCDMAEEAGVSCELIDLQTILPWDVDTIEQSVRKTGRLIV SHEAPRTGGFAGEIASTIQERCFLSLEAPILRVTGYDTPFPLAHEKFYLPDALKNFEA IKKIVNY H257_06179 MFQLRRGLLHATTGRSSFRAFHASRGVMMPQISFNLADIGEGIA EVEVLQWFVKEGDKISQFQNLVEVQSDKATVEITSRFDGVVTKLHYDVGGMAKVGHPL VDLDVSDEVAAAHNAGSGPKKSAAPGAKKVEQLKKAVSPTPIKSSPAPVAAIPQATTS AVSLSSIVRTKSGKVLTTPSVRRLAREHNIDLEDIPVEGDRILKGDILNYIRELSEAK YQPAESHASDVDVAAAPPSSKASVEFLTESQVVPVNPIQKMMVKAMNASLKVPHFGYA DEIEMDALDELRQRLKVVASERGIKVTYLPLMIKAASLALKYYPVLNASVSDCEGFIT LHAQHNISVAMDTPKGLLVPNIKNVESKSILDIAQELGRLQALASAGKLGPNDLTGGT FSLSNIGSIGGTYMGPVIMVPQVAIGAIGKIQTLPRFNAAGAVVPVKVMNVSWSGDHR IIDGATMARFSTKWKHFLENPTAMLSELK H257_06180 MAHDLALVEETEVSVYSFGQNSYGELGHGDTAPRYAPVRVEFCQ GRNVVDVACGNENTVVLCENGEVFVCGYNDSGQCGMGTTQRVNSFKLIMGLMDKHVVK LSAGNGCEHVAAITDDGDMYTFGYNARGQLGLGTTSACAFPTRVDAIAVVKVASSYFH TLVATEDNEVYGCGRNDFGQLGIPDGLDKHVLHRVPFFSGRRVLAMACGQYHSLVSVA EGGLFAFGKNDHGQLGVDPPGVKPTPVPVYLGPDCQVVVQVACGYYHSVALTQAGHVY TFGRNDNGQLGLGHQHTVATPTLVSHLTAFTIVDVACGCYHTLTLSDAGRVYPFGRNN HGQLGTNTNLDRLLPICIESLGHVRVLKIAAGFYHSVCITGTSSFGPTRGAAAAAPSL GRDLASLVDNPVRSDVRFVVDGRVVYAHRCILMARCEPLEIMLGGPMRESLQAEIDLP HLSHAVFVAMLHYIYTDTVPELEHQGADDVEFVLDLIALADQFLLDNLKRKCERAIQK SITTDNFALVIVTAHARQAHSLKRSCIDFILGHFGAIIGMPEFMELPPEVMQDILSTA SRRGVIIKSG H257_06180 MAHDLALVEETEVSVYSFGQNSYGELGHGDTAPRYAPVRVEFCQ GRNVVDVACGNENTVVLCENGEVFVCGYNDSGQCGMGTTQRVNSFKLIMGLMDKHVVK LSAGNGCEHVAAITDDGDMYTFGYNARGQLGLGTTSACAFPTRVDAIAVVKVASSYFH TLVATEDNEVYGCGRNDFGQLGIPDGLDKHVLHRVPFFSGRRVLAMACGQYHSLVSVA EGGLFAFGKNDHGQLGVDPPGVKPTPVPVYLGPDCQVVVQVACGYYHSVALTQAGHVY TFGRNDNGQLGLGHQHTVATPTLVSHLTAFTIVDVACGCYHTLTLSDAGRVYPFGRNN HGQLGTNTNLDRLLPICIESLGHVRVLKIAAGFYHSVCITGTSSFGPTRGAAAAAPSL GRDLASLVDNPVRSDVRFVVDGRVVYAHRCILMARCEPLEIMLGGPMRESLQAEIDLP HLSVQTNMRYRPDDYADEHGCVYLVACCIRCDAPLYLHRHCPRAGASRGGRRRVCLGL DSPGRPVPPRQLEAEMRTCDTKEHHNRQLCTCHCDGTRPPSPQSQAELH H257_06181 MYRQGIVKAFSSVPLPQGYSIYHKARPDYPFHAFDKLDEVLHLQ DRHPSAVYDIVEIGAGQGKLTKALKRVLPGGTRFAAVEPNNDRRTEFRHFVSDVPVFD GTASATTLPNESVGNIAIGHAFHCMAKANALDEFHRILVPNGRLGIMLNTGDFNSCPF MQDVERVVKSFNAAYVPSQPNHDQLQQIFHDRPHLFSPMQSQRIDTVFSASVDGIVDY LMSTCVMANLSHRRQTDVRLSIARLIEMNPDVTEDEHGEHKLELPCLVELHWVQKAQA LQDTPAQHHPDDVVMQSC H257_06182 MTAASTTDQDQDLKHVLNLLLIANGALSTFIFLNSIFVLNDAGG LNEFLSSATYMTYIFAAIIMLNRNPSAFSIGMLLGSSVVLAVLAFMNTLYWVVASSNI DDHPVAAGFAAAFNFIFFGVEASFIVLLYRSKHTMIETYSAYDYIPDTHAAAAVLSCS HCEGDDTFSYQSTAAAPTADI H257_06183 MTEPAASKRKAVELLHAEVETIDPDQYASHKQLKALEAKLDSTI ERHVRQLDMLIQAPPKMIRKTLKVSFYYSFVPSVKLEGEVHAAPSEGHADQVHRSELL DDGEWTFRVEGTIIDGNKNAACQSTAKKFSHFFRKAILDLDDQLYPGSNPIEWSSFRS GDDSDGFSISRPGKKGFQTHRLRLQLLRNQSPERFALSPDLFNAISPYLTPNCGPDDT FVKADISFALWQYIKEKDLMKTDDCRVISHDEVLQRVFECHEQPIHAIMGSLQTKLTP VGPTVIDFTLQLEDTTQVCLDTKYFSIEVQAQETLLHAQKRALAGLAAHRKRTKPELD LLEHQMADVVHRINGHVATREWMHQFATDPSGFMTKVVESQDTDNQILSMATQATPVP AHLHDSNLYAQPWVHSTIDMVLARDGGGGH H257_06184 MTRVECFGGYGSFGEMEHFEADDREDIVAIAGGHVATYAATKTM LLGWGTGLHGESLSPLTSRWSLSVRSIACGGSHVLLCTTTGECLWWGDQFNTNATQPK PVVFPSPDVFVTQVAAGEAHSLALDAATGRVFSWGSNAFGQCSVTKDEAIVTGVPVPQ SRFIAAGAHHSAVISCDGHLYTFGWGLYHQLGHGTTNNVFTPTRVRSLEGVGQLQRNH FTGLARVACGAWHTAALTTTGDVYTWGWGQHGQLGHATLASQAFPTLLDVDYTVADVS CGTRHTTVRTVDGAVHRWGFTGPSRRIVAGQSANANSTHVQVKAEPCHRIASGAYHDV LVIT H257_06184 MTRVECFGGYGSFGEMEHFEADDREDIVAIAGGHVATYAATKTM LLGWGTGLHGESLSPLTSRWSLSVRSIACGGSHVLLCTTTGECLWWGDQFNTNATQPK PVVFPSPDVFVTQVAAGEAHSLALDAATGRVFSWGSNAFGQCSVTKDEAIVTGVPVPQ SRFIAAGAHHSAVISCDGHLYTFGWGLYHQLGHGTTNNVFTPTRVRSLEGVGQLQRNH FTGLARVACGAWHTAALTTTGDVYTWGWGQHGQLVRDLFFPFSVLRSNWHVLFAGPCD TG H257_06185 MGKRNFRKRKGQKGENTWNKKSRNERDGDREAGFGEWVYKNEHF EKYYAEQEIVGTEEWDAFLKHLSLPLPTTFRINNSCAYEDKIKERIATDFKYEDLEID GEKVAPISTMPWYPEGKGFQWSVERRKIKKLALLSEFQKWLVNLSDSGDITRQEAVSM IPPLVLGVESHHKVLDMCAAPGSKTSQLLESLHDDESKTGKMPTGLIVANDIDIKRAY MLTHQTKRVGSPALVITCHEAQKFPLLSSSDNKQGFFDRILCDAPCSGDGTMRKNPMI WQKWHVRNGLSLHPLQLSIVKHGATLLKVGGRMCYSTCSFNPVENEAVVAELLRWSNG SLRLLDVSAILPKLKRRPGISTWKVYDYDMVETPVFDEAAEAAKGKYKTLPGSVFPPS AAEAEAFHLDRCMRCVPHDENTGGFFIVLVEKVGETPPEDANARGQQPKGKKHGEEYL PFTEFKSIQSTYELDAGFEASQLFTRSDTGRTVNFVTSSVATELLPAMKALDMKVVYT GIKVFERHDVGIGESTYRLTQSGAHIALPHMNVRKLTVGNRDFQYFLDRRGDLLQFDD LSADLAAVFKAAPLGSYACTLTRDDGVALTIPEQLLLNLVVWRGRNTINVMAAKPDGF ALTSTLKALGLYDDEFARETLAKFPKTDAKGDQNKATSNEEEEDEAAAAEDVDESTD H257_06185 MGKRNFRKRKGQKGENTWNKKSRNERDGDREAGFGEWVYKNEHF EKYYAEQEIVGTEEWDAFLKHLSLPLPTTFRINNSCAYEDKIKERIATDFKYEDLEID GEKVAPISTMPWYPEGKGFQWSVERRKIKKLALLSEFQKWLVNLSDSGDITRQEAVSM IPPLVLGVESHHKVLDMCAAPGSKTSQLLESLHDDESKTGKMPTGLIVANDIDIKRAY MLTHQTKRVGSPALVITCHEAQKFPLLSSSDNKQGFFDRILCDAPCSGDGTMRKNPMI WQKWHVRNGLSLHPLQLSIVKHGATLLKVGGRMCYSTCSFNPVENEAVVAELLRWSNG SLRLLDVSAILPKLKRRPGISTWKVYDYDMVETPVFDEAAEAAKGKYKTLPGSVFPPS AAEAEAFHLDRCMRCVPHDENTGGFFIVLVEKVGETPPEDANARGQQPKGKKHGEEYL PFTEFKSIQSTYELDAGFEASQLFTRSDTGRTVNFVTSSVATELLPAMKALDMKVVYT GIKVFERHDVGIGESTYRLTQSGAHIALPHMNVRKLTVGNRDFQYFLDRRGDLLQFDD LSADLAAVFKAAPLGSYACTLTRDDGVALTIPE H257_06185 MGKRNFRKRKGQKGENTWNKKSRNERDGDREAGFGEWVYKNEHF EKYYAEQEIVGTEEWDAFLKHLSLPLPTTFRINNSCAYEDKIKERIATDFKYEDLEID GEKVAPISTMPWYPEGKGFQWSVERRKIKKLALLSEFQKWLVNLSDSGDITRQEAVSM IPPLVLGVESHHKVLDMCAAPGSKTSQLLESLHDDESKTGKMPTGLIVANDIDIKRAY MLTHQTKRVGSPALVITCHEAQKFPLLSSSDNKQGFFDRILCDAPCSGDGTMRKNPMI WQKWHVRNGLSLHPLQLSIVKHGATLLKVGGRMCYSTCSFNPVENEAVVAELLRWSNG SLRLLDVSAILPKLKRRPGISTWKVYDYDMVETPVFDEAAEAAKGKYKTLPGSVFPPS AAEAEAFHLDRCMRCVPHDEVRPRQGICSDLGVEHGRLLHRTGRKGGGDPARRRQRPG PAAQGKETRRRVPPVHRIQVDSVDVRAGRGL H257_06186 MHRPHGTLRYSNTPLLDVHSATIVYAPVWLAMELVCSSTIRTWS AAKFTASHWTCAGWPRSHSASLAGDVTANWDLDRSCVRNWNCGAMSTIGVGTWLKNVK H257_06187 MLARQVSRALLRPQAARCASSASLSLNGVSSELRTDWSREEVKR IYEQPLLELVYQAATVHRIHFDPREVQQCTLLSIKTGGCTEDCKYCSQSVKHKTFVKP EPRKKVDEVLEMAARAKKAGSTRFCMGSAWREVGKKNAFNDVLTMVREVNSMGMEVCC TLGMLTEEQAVQLKEAGLAAYNHNLDTSREHYPNVISTRTYDDRLNTIANVRKAGISV CCGGILGIGEKEHDRVALLHTLATMEEHPESVPVNALVSVVGTPLASEDLPPVTAFDM ARTIATARILMPKTMVRLSAGRMSFSDAEQGLLFMAGANSIFNGDTLLTTANPAFEKD NALFTDLGLVGKPSHVASKKTPYVVKVTHSRSDDAAAEPQVASM H257_06188 MTSNGMAADSVGIEWFCSQHTDGFSGVIKSTPEDFQVREVSMDG EIVDLPSDRRQEGHIAPSPPLSQPKPTIYVAVHEPSEGWEAFLASKLSPDVIQTIANI MLETVEEVDVPAPADLSDKVHLLHAIQHRFPGVQSNNAKDAATGQVSIKLSLDPVYRK LRRGGVDVDDCSVILSFLLRGPMDAKAEAGAALGGGKSKDQRTLVHRAVSSASNCLVS KTSDTGGVHVYFSPKTLLRRKRKLDTYLLFTLKKTNMDHFTALDVLARACHASVSDFS IAGTKDKRAVTYQHVVPKNVSHEVLTSVQDKLEVQGLQVGALRYVERPLALGQSRGNR FTIRIRQAHVSRQEVDKAIASVRSHGCINYFGHQRVGDPAAVPIRSHHIGRAMLQQDW PEALRLLFSQTQAQDLNLAAKQAFLDTRNIAQALKDLPPKCVNERTVLMGLRRHGHSD VKKAMLQIPYHRRVLYLHAYQSVLFNLMATYRVQTHGAAVMRGDLVLDSTTGAVRVVG DHPDVEALTDVVLPLVGTKVQFPANDVGAKYMELLRDERVDPATWSQDATVKGAYRHL ICRPSDLAWDMDEATANVVAVSMQLPPGSFATIVLREIMKQHPHETPNTAPPNVDMTD VLLDLERALVRHQDESTYERRPHLVVSLENQCVEYTPRTLAKTRNELVALRQRVVALE LLLAAHPPDLDDRVLLKQPAKLLPPLAEPTTTHLAQSSSLTQLPPPVQAQPAFTLSRQ ASAPALLPLQPLIPVSVRPVDKASELAAKSVETLREVRMSTKFIADQDGSLVVAVSKR SRLYEIIQRVTNRVQINDEQILRLKKMVDAEFGDVIAVLEAVDAEVNAHVVTEKLHHL IQTGSPSKFYAQGSPPKFKPSDVPYPLTKIAHCLSSLPVSQEVVVLVSSGAYNPVHML HIRAFYVARQHIEANYKYPVVGGIISPCHDTYVRTKNRRTPREMIPKAHRLAMLEAAT ASSTWIEVDKWEITRRRVLDYLSTLTHVREICEHQFPHFKFRVVYVCGVNTIVKLSHT ALKDEGFGVLAICRPNQTDMLHKHLGAKWTKTAIVVEDVGVLACELERATSFRVRQAL IQRQGSDIAPMVGKCVDEYMARHRIGDKIAGREPWTSGDRLWRTQDLPHVEYIESEAI QSRHADSLVDRID H257_06189 MGSNSSRSRSSSGGSSSSSNNNGHTRRPVPKKAPFSKSKGSPTY DGERFTRPTGLYKSCSWEHKTVRKLVAERKIAPRYPGSETASADSYECPICFLYYPST LNQSSCCKEAICTECLLQTKPPAKSVCCPFCHSDNFRTNFTVGSAGTLKKPIMRSDSD CTELSSPEASMLHDDDIEVHFASVADRMKLQEEVSAQVGIPRPASLPNHRSTTYEAFL AGDDMDNSTSCLEEMMLLEAIRRSMADCGVQPKHCERHTIAHRSDDLTPSAAPSAAS H257_06190 MSLARKLIAPKAVRTSHALSAFPVAYLRPTPPRIEEAIEADDVV LTSDLEVEYDDDDSDTGVLNTVDEVLAFQEDEEDLQDESSPTNSHRGVPPEPVPNTAM LPDELRELSRSGWNVLTGMVPPDTPVDQSEWFRGYCGPSHQAALLWDQPMDLFHFFLP KSMWQHIATETNNYRRDNIQTIVTEMHRTQRRLAKSKQSHKAKPVAVLMAKVLNVKPI APAEIHVWFGLLIGHMLCKRKHIKDQWSRSEIGAIPAGTFGRYMSRTRFEQISKYLHF SDNKDPRAKTDKAWKVRPIVETLERTFKEGYFMGQTLAFDEGIIPSRSRLNKLKQYVK DKPHKWGTKLFMLNCSRTGYCGRIEIYCGKQTSSEEQCALDAKTGPSAVVRNIKRYFD QTLDEGRRTIVTDRFYTSVALSQQLRGMNFNSVGTIMTNRLGWCKDINWTFARRPKSI NRGAFKMAIAKCNPEMAAISWVDNRPVSFLSNGLPTDPTTCRRREKATATTPYESKTV PTLQCIKTYLDGMNGCDRHDQLRLHSYSVQLSRKFVKYYKSLFLGLVDMAIVNAYIVH KDTAARLNMPIMTHREFMLALHELLLQATAADFTQEKKKSTGPNSVRSATQSTTFNEK RHVLQEITKWKPTKAGRSRARQRYGCKVCQISRTAEQTRGRSTVFICAQCTEDANGGQ LFLCPQERTQWNGQHMSCFEVWHSQWQCGLRLPPAFRCRFIRTFKPSTRSFETSQRDE DESKDLHEDGGEDDEGNDGGDEGAKTQGRDSCDDVYRQSEILAYGAGIQGSDGESDAN FNNAATNQINLHDETIKDRHARTDVSEGDAKAYTVNEESRFILVRNIPALGATDELLR RLAEFGTVERHRLEDDHDDASEYVDVMWVQFDTVTAARRAKALAVKNPFYGSVLQISY LPQDERSSDTRAKLDERRELLQTRFHAHQRRHVPPDIIGPLLPQAPPRAPLPLCPPPA VSVEGLPPPTAPSSDSVASQPTSMPPPPPQKRRRI H257_06191 MADSLETHLEATARALSTWVENEKATLEETKQIFSEEMEKDRQQ LQNLMAKKEQLATAASTREETRSEKLRTIQGSQSEVRLLQAEMSTSEPVVLGLRAQKA LHDSKLSNFTHDVSQASQAHALSVAELTKCIDMYRKLGLVINATGDKNLSFVFTQIDR DVPSREFTFSLRIRSDSDLFVVDSCAPAIPALNKLVDQLNATGNLSSFVRSMRQEFQH TVVLS H257_06191 MADSLETHLEATARALSTWVENEKATLEETKQIFSEEMEKDRQQ LQNLMAKKEQLATAASTREETRSEKLRTIQGSQSEVRLLQAEMSTSEPVVLGLRAQKA LHDSKLSNFTHDVSQASQAHALSVAELTKCIDMYRKLGLVINATGDKNLSFVFTQIDR DVPSREFTFSLRIRSDSDLFVGKPPFAVIMMDTFLT H257_06192 MPSLLQLATHAENEFRSLIRSKDPLARLKVLHADLQAKCDKEQL LTLMEVATQKLVEKLSWELHHNKGMTPASVGIPELLDVCIAGATDNLLTNHAPYKILE DLMDGQTIVTCEKLWELLETRKGRLTTANFIPPYDGKMPKTTKASLCLLRLSNTLLRR LSKTHNTVFCGRILAFLSFAFALSERSAVNLTGRANVNNVTVFDDEATFVDNTRTDDG GISNPHDTATEYRVYQSFWAIQRYFRQPSVAIDSATDWSSFTTELTAVLDAFDSHSFN PKDLDHDVVMFDDDNSPDLAFFQTKYLTNSRLFRLQLRDPVLRECMLTQFWILLRYFE TAKLPPSATETQVSDLSARVLSLLKKTPSESKGLTDMLMQVLDRETNWTLWKQDKCKP YERFPTAPDSSPPLQHDNDATRANEPPLKKPRVADPLLDALVQEDTQSLLSKIQGPTR KTAVPVEEFVQRFEEARDPENGIEREYWPDTDKMVCWRTMRGCMRTKITFMDKMIQGT GAMVEAILHVQHGDVVAPSTSPASDDVPPAGDNPPAETSKVGLQS H257_06192 MPKTTKASLCLLRLSNTLLRRLSKTHNTVFCGRILAFLSFAFAL SERSAVNLTGRANVNNVTVFDDEATFVDNTRTDDGGISNPHDTATEYRVYQSFWAIQR YFRQPSVAIDSATDWSSFTTELTAVLDAFDSHSFNPKDLDHDVVMFDDDNSPDLAFFQ TKYLTNSRLFRLQLRDPVLRECMLTQFWILLRYFETAKLPPSATETQVSDLSARVLSL LKKTPSESKGLTDMLMQVLDRETNWTLWKQDKCKPYERFPTAPDSSPPLQHDNDATRA NEPPLKKPRVADPLLDALVQEDTQSLLSKIQGPTRKTAVPVEEFVQRFEEARDPENGI EREYWPDTDKMVCWRTMRGCMRTKITFMDKMIQGTGAMVEAILHVQHGDVVAPSTSPA SDDVPPAGDNPPAETSKVGLQS H257_06193 MSSVGNGHGGCAPSTCDDILLQSQILLDLDPDVNLHVAVSRDYA QSSLGSTLQCMDPISPNEWVCLGCFATGTIVPLQQHAQSRLARDLLAHHAILPERDSH RSVQLVMTHMVAWEHLDAFKVLLRDATTEVHTVHGGYTRVHLNESTAARNANSHALCA VVVHVDRVQSLISWMCCGRNAQIKLGCPALCVQKSAFSVVLAPFAPPSSPVRPEAAAT SSVSCDDLLLEECADDDVMWVDFDNPLSIMDKVAMAPPANRTQSPTAVEFADDELWTM SDEFAVLPSIACLVPTPADQQLPQPQVHLPASPSQQRLYYDHVDHHDNLDSLAVATFV DDDGMPLEFKTLYYRNNKKGGVRNLRCFPHCKRGHHSTTSFCGTNLKVQFHRRHHPAI TVMPPLSTESTAVVVAFGRFRNFVEPVEEFSAGMWVPQADIYHAVRSKDHPKAMWLQT KCVEPLLFEIRPSGRSLSWHYGFHGAALHKAMVHCIEVVFFETRAAAATTFQCVGVVQ SPRFRIVSSRSRES H257_06194 MRGDHRTNSISMDRSSDDSGERSPLLRAMRNSYHEETMYQSIHP ANASFSSPRETETNDLRSMRPFRPIVYAEQSLAVLLNGVMIYVMCVFVGFGLIALNAD NVLGPARHWNWWVVFLPFWVANLVMLGAHVLSIRAATELRQWAETDMMSNEPLLPLLR KILLLYAVSMPLCFLLFWAEVAFCAILSDSSDISVYVAYAPLMIIEVGYVVRYLLCKS RTTLPGVSWILLFTFTLLLAHNSDIAAKPTSANSAGLPWVAVFAPLFVLQLVLFGSLA VVLYMQAAGLYRLNSTQVRATALYSIALVAATTGQIMLVQHMELQQGADRATATEMDV PSLLLFTAWIVASAGLYIVCRQEVAKLMASRGGAVPVPLTRTADGWVTNHAVMDRWML LGDVSLTGVGLARRSAGVRKRTASDIEGEMNSEGYSWLRHVFTSTWLRCCCCLGSTTR DLVPDDQIPEGRLNTSGTKARRNSGSYSNVLVEADVSQS H257_06195 MLKEVVLVLATAATTCVAYVILREILVPGTYEPKKSKQERRQER NERRRTRIDERRKQKQMQAKAERQHRWEIEAALHPIDQEEKQRLYDAKMVVKKQQQAQ LEERLNMAMAIGLRVVVDLDFLNVQTIRERNSVFKQIATIYGTMKKSSFSNLLSLHLA SYGGDVATFCDQKGAQSWKVTRHDQPVHDLFQNDNVVFLSPDAPTALTAVDPDTVYVV GGIVDRTVRKSQSLAKAHGSAIRTARLPVQEHLRVKSHVLNIDTVVLALLEVHNHGDW KRAFESVLPKRLLRPDESQ H257_06196 MLTTGVPTPFSILLSSDLLPCMLAYQCGIPHKLLRIVAAARVLQ SANCPAPTARTAAAFTRHEWFQTHGVTGLRQLYNASPDIAAGGTFLEYASSIGNVAAV RYLLETHHLIGHGPAVLQATLHGHLDVLRAFHDVNTPDGFTSYVLTLAAAHGHGHLVS FIHHHRPALGCPKLAMDNAAKHGHLDIVTFLHHHRHEGCTTSAMDGAAENGYLEVVKF LHAHRSEGCTKRAMDAAVAGGHMDIVRFLHENRREGCHASAMDAAAERGDFVMLQFLH WQRTEGCTVRALTLAAARGYLKIVDFLLMFRTEGCLKEATAAAAAAGHEDVVRCIINH NVHKCVHLCECPVEWPNRRLLYIGIEEAKAHGHWALYKQLKKKRKWNDRRPKWSQAMA RAWHERQSQGSLLLQATVFFVGNSKGRSVIPFQKAAL H257_06197 MQLPPLTRALLPVQSRVKESVVKQPVVKEPVEMASVVKELVVMA WVVIPESASFSRLSQSRIEFG H257_06198 MSAAMMLSVLLAVADVATSAPTTALPPRVSVQISTTVTEFSTKI PVNIPQCQSKVWTLDGRAFDSIASCSSAVSTKVAVNAFRCKTYREYTFHNVRGCGQCY YGWSYSPSNNRQVLPWIDAAQAAAAGVAVKGYFLPQVVFSPFYMESCIMVYDKTMLSR CDYINRTAVGGLYPASCVKAATPTLAFAADLKDAASCKEYAVVGGKLACKVNI H257_06199 MPMTMAFLLVIMLGMCACTSSSPLHHNRCDGKDILSTCDGTPDN SGSGNQFQSPGLAAMPNHSLLLSTPLHVAAYRGRSDVIQWLLEEGHDINARNLNGATP LHVAALADEGEAVMSLLVSNGANVNAINSTGSTPLHVCARLNRVHGAAILLTQGDANI HAVTAQLDTALHLTPSTSAMATLLLGCGADPTVRNALDRLAWTPLGNTGGIGP H257_06199 MPMTMAFLLVIMLGMCACTSSSPLHHNRCDGKDILSTLGDGTPD NSGSGNQFQSPGLAAMPNHSLLLSTPLHVAAYRGRSDVIQWLLEEGHDINARNLNGAT PLHVAALADEGEAVMSLLVSNGANVNAINSTGSTPLHVCARLNRVHGAAILLTQGDAN IHAVTAQLDTALHLTPSTSAMATLLLGCGADPTVRNALDRLAWTPLGNTGGIGP H257_06200 MLTIARSRRAAESLSSPVSFENDLRRRCQELSILQPLNQLRYFG LAMSPERANQPRHQYARIARLNNAMADYVMVFGGSYGSLDAPKVPHLVQGYIVSSAPV LSFLEFDPSGSSPRCAINIVWPVLIGFGATASRRTA H257_06201 MASVFLGDLDDFIAPSQACVNPLFLTGKDKSKEPTQVNLDSDIY SGIHQVAIEPNLIRSSSQDTAMVSLNDCLACSGCVTSAESILISQQSAAEFLAALQTH RHAMQFVVTLSPQARASIAAHFNLPIAVCHRKLVTYFRQIGVHVVLDSACAADLALLE ARAEFIARYRHRQTLPWSRPPSSRPVSSTQTDYYDAAATDEPPAHVALPMLTSSCPGW VCYAEKSNANAIPYISTTKSPQQINGTLVKRLLATGQPVYHCTVMPCYDKKLEASRND FLDAATDTRDVDCVLAASELLDMLHGVDLGSLDEATLTSDEVLWSGLASGGTHVLSSS TTSGEIGSGGYLEHIFRYAAKELFNVDCPDDLPYVMGRNADFKEVSLNVGGVEVLRFA LAYGFRNIQTVLMKVKRHKCPYHFVEIMACPGGCLNGGGQIKPETPAGNKALVEKVNH VFRDAEFRDVAANPAVAHVYNTYLDGQVFSAKAQSLLHTRYHAVPKMEQANPFGIKW H257_06201 MASVFLGDLDDFIAPSQACVNPLFLTGKDKSKEPTQVNLDSDIY SGIHQVAIEPNLIRSSSQDTAMVSLNDCLACSGCVTSAESILISQQSAAEFLAALQTH RHAMQFVVTLSPQARASIAAHFNLPIAVCHRKLVTYFRQIGVHVVLDSACAADLALLE ARAEFIARYRHRQTLPWSRPPSSRPVSSTQTDYYDAAATDEPPAHVALPMLTSSCPGW VCYAEKSNANAIPYISTTKSPQQINGTLVKRLLATGQPVYHCTVMPCYDKKLEASRND FLDAATDTRDVDCVLAASELLDMLHGVDLGSLDEATLTSDEVLWSGLASGGTHVLSSS TTSGEIGSGGYLEHIFRYAAKELFNVDCPDDLPYVMGRNADFKEVSLNVGGVEVLRFA LAYGFRNIQTVLMKVKRHKCPYHFVEIMACPGMLLVNS H257_06202 MAQQERDDNEKGMLGKLKDTVRKHSFVQDPNHPSGPMQSGYETH TAKTPGSKQSGYEGHSDRTSPFAAKSEFTSQDPKWLADASKSDLERQDEKLRDKTSEY YDSAKQKATSARDTIKDKAADAVDKIKQHASVANEKGQEVYQRHVG H257_06203 MAKVAETASNGMEESVVEVLQELLLEFQDELENADLVYRNTRLR WAAALRMVPKKYPSDLRMTIDSIPTNTCTERMPNLDVAMGVLKDSRVYFTLDWMKG H257_06204 MARSATGRELTDDQRTALYHRLLQLKKNGRVGSGDMKELMRTFN VSRQTVSRIWLRGCHTAAETGCAKVASKKRGRCGATRKHDGNSVRDVVTSKPSYRRSN FRSLAAATGIPKISLWNLLQANKLRRRTSRVKPMLSVKQKSDRFNYVQKLVRSGHVGW QDWTVPIVETKVTARRSKNCDRGTPGTVAMTVTKPIYRRLLVDKVIPAIQAKWPGRRG GTIYLQQDNARPHVAVDDAEVVAASRKNGWNIQLVAQPAMSPDFNVHDLGFFNAIQSL QHQTAVRTIGTEEV H257_06205 MPQFNIGDFVLYMDVLSISNSKLSVTWRGPAQVVKKTSDLIFKF KNLVTGEVGKAYCSRLKFYADDTLNVTEELLRHIAHNADGHVVHQFLDCPYNDRLTSF KVCLRCRGLKEIEDAWERANLFEDIPTEFKSYVRSN H257_06206 MGQQGSKTDITTKVGEGSQVVNNKATSAKDAVSHSYESANETVD AQNAIQEKASDAQDAIKDKYTSAKDTVSEYYETAKKNVGDAKDTIKDKASSSKNYASH TYESAKEKAAEAQEAVKDKAGSAEVESVVAAKDTVAEVYGSAKAKAGAAKSTVSEHAD SVKGAAAAAQDKASHLLNVAKEDVAIAQDIASRYYKPAKDKTEAVKVTASHYYDVAKG KASGAQSMVTDEAAMVHGKASSAYGATKDTAVAVKDKAIGAKDLVKDKAEYLQDRVKN NVL H257_06207 MAQQGSKTDIKTKLGEGSKVVKDKATSAKDIASHSYESAQEKAS DAQGVMKDKSASAKDTVSEYYETAKKNVGDAKDTIKDKASSAKNYAVVAAKDTVAEVY GSAKAKAGAAKSTVSEYADSVKGAAVAAKDKASHLLNVAKEDVAIAQDNASRYYQSAK DKAEAVKDTTSHVYEAAREKVYGATTTVMDATATVQEKASKGYDAIKDAASTVKEKAI GAKDVVKEKADHLQGKTTSYLGEGEETDDRKLSRVENPEKVVKSRSADTIAAVKERGH VTRVKKDVP H257_06208 MADQHEGSDKSMLEKIKDQFKSSSKDKDDDDSPKNTKSSSEGKC ESVEFYAKPTGYGSHPTYAAATKGGLKDQEPVLMTDEGQAKHPSAQFQSVKGNPGGIP ATGFGETSQGGDFYERNLGETEAHKSRVPAKQRIYGYGNNA H257_06209 MPTHTSKGTYQGQASPADTIKEKGRHAEDKGSEYYESSKDKASD AREKMKEKSEQAQDKGSQYYQSAKDKAADAREKMKEKGEQAQDKGSQYYQSAKDKAAD AREKMKEKGEQAQDKGSEYYQSAKEKATDARDTMKDKLHQAKETLKEKAADVQESLKE SGDAAKETGKEYREDDRTQSTQVSKDKETAQRGSYA H257_06210 MQSMACQQRHGWRRLVGSCNPLRHSGRPMLCMQSGSPSRFQGLL KFSTSADKKSDKRNGNDHDDDKSMMEKVKETVHASVDKSYEQLQKLKSGLESIDEKLL GDDGVVDKAKEKLHAGIDKSHEQFDKLKKDLESQNDKVRAMASKYFDSVKDNATNVQD MLKDYGDNTTEMGMDYYERVRDSLDKWNESLLDLETKASEFSGSFGKDVSDYVKQQRK EHAELTRKAKGRKQKKRD H257_06211 MAQQGEDKSTMEKMKDKVKSAFGQDDKESSSPYATKTQGQSGQC GQTSQTGATGPYGQATSSQQGQSGQQALPGQYGQSTGGQRGQSTQSGQCGHCTGGQQG QSARHGHSQQPACTGQSGHSGQSGRGQP H257_06212 MAQHQGDEKSMMDKVKDSLHAGYESTSDQFQKFKSSFEGQDEKT PSKATQFFESVKGHPPSEAQDTLEQVGTEAQDRKGHDEGCKAGADCACPSAPAEIRMQ STDQQKGSSTA H257_06213 AEFKSIPNAASANPLTMLALRRNHLLSAHLRRHNAPIFRILSLS TRTDAQLSSTISEVSAASVAVPLSLNERLTIYSQLSKARLSSLVVLTAGAGFFMMGGP ISWSSFAALSVGTTLAAASANTFNQAWEVKTDAMMKRTMMRPLPRGAITTNHAVAFGA ATAVTSTALLAAACNPLTASLSLFNIGLYSLVYTPMKQTSEWNTWVGALVGAIPPVMG VTAANGMLFSPEAMLTGAALFMWQMPHFFALSWRLRHDYARGGYKMIPCADPSGARTA GVIMRYAVGLTALPLAAAASGATSYMFALEGLAINAYAVNLARQFYATPSTANAQKVF MCSLWYLPAVLGLMVFHSKNWDESAATQPQHEPLLQLVEGGDEPEQSTAVVATDEWTR KVNEVKAYLKEMCVHEYLWKASGDVKTDESHLFCPVVVTESAVDTVQTTRAITKDLPR AIQ H257_06214 MGDNVQVAVRVRPFNDREKSMNSVCCIRMIKETQQTIITDPDTA VEKSFTFDFSYDSFSPPGDPKHASQDIVWDDLGIKVLEHAWTGFNVSLFAYGQTGAGK SFSMVGYGSDKGIIPRASEVIFQRIGGAASDGTIFKVEASMMEIYNEKVKDLFNPSSD NLKVRDHPSQGPYADGLTRSAVSSYDEITALMDAGIMARTTASTNMNATSSRAHTIFQ IILTQSQVDPTTAKMMDKVSRINLIDLAGSERAASTGATGARLKEGAAINQSLSALGN CISALADNANGKKKTLVPYRNSKLTHLLKDSLGGNAKTIMIAALSPASVNFQETLGTL RYADRAKQIKNQAVVNEDPNQMLIRQLKEELEQLRRAMIESGGMDPGARPGSRMTSRE DAALANRPETRMLSREEADERRQKEAEMAAIREQLEENQRLLRESEKSWTDRLKETEE LAKKREDQLKSIGLSTNLHDIRAKAKTDPHLLNLNEDQQMSEKLFYFFQNGPNRIGRS DADQPQTIILGGLGILKEHCVVERLEAQLTITGMPGASVVVNGDFVQCGNSRVLQHSD RLILGNVNVLRVVIPAQRVDDTASIHDWQFAMKEMNCKQMDFKEDDGGQTDKEVAEME SRIQEMEARMKEAQESANVKMDKQREEWEAHVRGMQEEMKVKEMELKSQLQRTEEGGQ VDKKKLAVQLADQETKLAEEMAKAEVVYERKQSELMQKQRDLEQSLQQQMRETKRLAE KKEREQLERSLLAQDLLRTIPLVKEANSICEELHKQVTFSVKLMPTKPVLAQLGHVAD ITDAIGTELKVLVTFQDAGTYRSVLWDIDKFDNQIYEMRDLYQLFLENGRNHDAIEVP EGSIDPFYEPPTPQLIGRAYVFLSSLEFGLKIVDHVPILDNRGTVCGTLSCEITPTVL SHQWHDQQRSLIEDTSAPIIAVPTLATFLDTPLRLHFAVSALRGIPGKLCRDVFVAFK WFQDDSTNHVSQVAATASVDPVMDFAVSVECPISAALLAYFRSSPVEFSVFGNVPSSS LKTLPHEVVSLDDKAATTTTTTASSSLHSMEWMRDALAAAEAKLQMQETLLVDNSVEV ESLHAELDAVRAMLLKTQVERDQLGEVVAKLHKTNRNLKDKLEASYIHTESDDASVAV QHTGTQTDEERGGPPSPSSTPKASKKHRTRKRPGTHRSHAEKIEDRRDDSEAMEGRQE EALLLASPDVAPPLVTKPPPTTYNVPLDVDQLATTALNTVVTFPDTLPIAAMNDASDG STDAGMLVKSDKAKTYSFAQRSTPAKVHIADQHDAIVRSSLPPIKPGAKPPPLQSQKT TKDKCVVS H257_06214 MGDNVQVAVRVRPFNDREKSMNSVCCIRMIKETQQTIITDPDTA VEKSFTFDFSYDSFSPPGDPKHASQDIVWDDLGIKVLEHAWTGFNVSLFAYGQTGAGK SFSMVGYGSDKGIIPRASEVIFQRIGGAASDGTIFKVEASMMEIYNEKVKDLFNPSSD NLKVRDHPSQGPYADGLTRSAVSSYDEITALMDAGIMARTTASTNMNATSSRAHTIFQ IILTQSQVDPTTAKMMDKVSRINLIDLAGSERAASTGATGARLKEGAAINQSLSALGN CISALADNANGKKKTLVPYRNSKLTHLLKDSLGGNAKTIMIAALSPASVNFQETLGTL RYADRAKQIKNQAVVNEDPNQMLIRQLKEELEQLRRAMIESGGMDPGARPGSRMTSRE DAALANRPETRMLSREEADERRQKEAEMAAIREQLEENQRLLRESEKSWTDRLKETEE LAKKREDQLKSIGLSTNLHDIRAKAKTDPHLLNLNEDQQMSEKLFYFFQNGPNRIGRS DADQPQTIILGGLGILKEHCVVERLEAQLTITGMPGASVVVNGDFVQCGNSRVLQHSD RLILGNVNVLRVVIPAQRVDDTASIHDWQFAMKEMNCKQMDFKEDDGGQTDKEVAEME SRIQEMEARMKEAQESANVKMDKQREEWEAHVRGMQEEMKVKEMELKSQLQRTEEGGQ VDKKKLAVQLADQETKLAEEMAKAEVVYERKQSELMQKQRDLEQSLQQQMRETKRLAE KKEREQLERSLLAQDLLRTIPLVKEANSICEELHKQVTFSVKLMPTKPVLAQLGHVAD ITDAIGTELKVLVTFQDAGTYRSVLWDIDKFDNQIYEMRDLYQLFLENGRNHDAIEVP EGSIDPFYEPPTPQLIGRAYVFLSSLEFGLKIVDHVPILDNRGTVCGTLSCEITPTVL SHQWHDQQRSLIEDTSAPIIAVPTLATFLDTPLRLHFAVSALRGIPGKLCRDVFVAFK YEIGIYPVVGWGGGYNYILFTLHYFVISSGRLCGAATTLIVSVDGFRTTRRITCRRWR RRHRWIPSWTLP H257_06215 MLPWASMLEAAAEHAEGDVLASRTPLRKGRGGIAALAVPPDVKP RPNAKRRRQQVDKTASARRPRVKANELPNMDEVVLSLLSLRQSQNPPMAIIPMAGGDA TVQPLHNSLQQRFLSPHASQIKHANHSMSFILNGGASLEDKGGLIRLPKLPPFQAPHA SHRSTSSPNQQGSTTDERTRRVLQDQLDTLHQLRYEYHDNRPSHLHRSEDMVDEDDDR WDSDDSYDDVASTSSLDPNHRSSLGFSDTSGVFRRLLPSSIHDYDDAAHPSSSKHQAP FSTSWAQRKRNFELLQVTARLEDARQDYLGHIHSSLEEDMRVQRHYQHLHPHAPLPTD AQVMAMRRQRAREVSMMYLFETNCRNAYEIGAFAFNHTTTSNVFKDSFSDVRGLLAAL EAVLSLRKCWIVHVETIQNNELGDDEDVMTFHVGFQVYVRDNVGACKALLRPELHWLL PHLMHARERGFVSVQAALQCRYRTFDVKSMYIHVDVAALVHSFNIPTSSNPDNMDRMP STRTLNQAR H257_06216 MNPQFNQQPPGSQGAPGFRPFNPAQGQQPGPGGVPPPGSGGFPP NSNGPLGGFNQQQLPPGGFQQPPRSFGGGAPPPNQQQPGIAPQQFGAPRGPPTSQPGP FLGAPRGPPQVGGPPLSGPPGGGGTQFNGAPRGPPSQGSIPGQFGAPTGTFPAPGLAQ QFGRMSVGGPPPSGPPPQGPPAGGFQKGPPPPQGGGFQGPPGGQFQQGPPPPGQFQQG PPQFQQGPPPPPGAGYPPQAPPQFQQGPPPPPGAGYPPQQQQQQQQPPQQGGVPSGDF NQAGYTSNAPMPSTGQLSEAVLAAQCDPRYMRLTVNAIPHSQEHATKSALPLGVIIQP LAKPDKPLDVVNFGASGVVRCKACRTYINPFVQWVDNGRRWRCNLCGVSNDVAGNYFC HLGPDGLRQDKDERPELRSGAVEIVASSEYMMRPPTPPVYVFVIDVSAQAVASGMLAV CADTIKRELDNLPGAPRTRVGFITFDNAVHFYNLKAGLTSPQMMVVPDINELFIPIPD ELLVNLSDSRELVEALLDMLPRAHQSTRNPDTCLGPAIRAAFRLMTSVGGKMLVFQSS LPTAGQGSLKHREDSRVLGTNKEHDLLNPVDTFYRKNAIEFCRQQVSVDTFLFSPQYT DYASLGAMSKYSAGQVFHYPGFVAAKDGDKFSAELAHTLTRETGWESVMRVRCTKGMR LVNFYGNSFLRGPDLLALPNCHADAAFAIEIEHQDALLTASVISIQAALLYTTSCGER RIRVLTVAIPVTKLFAEVFRSVDVDAICNMIAKNALEVALKSGLETARNKMQTVCVDI ARAYRTSGAYGHNNPTNNTGYQLQLPESLQLLPLYTMALMKSPAFRGGTDIGSDERSH VQYTLYNMPVSLSRSFIYPRLFSLLDLAPEAGLPSDDPRAVTAGADKIVLPHVLNLSV ERLNTEGAFLLEDTLSLYLWVGRALHPRFVSSLFGADSIEGIDCRELSLAPPTDDVGT RVHNIVQALREDRTAHMKLHVIREGHPVEGKFFWKLVEDRASFAGGQASYAEYLGQIN RLSHGGK H257_06217 METSRRRLVFDSLASSGDCCVAQEDDMQARQTAASLADGANGKK RRLIEPPSLATDKRAARRQSPVVVLDSDEEDQTVYLSPEPTTSTSNAHVAVSPRRGRS QGLMRSMPSRTIPFEPKVIDLTLDDDDDDDDGEEADSASEGEDDAEDKVHRQDLIDAD LFFDLTDESPTPMLGRSEALRHLGLAYAEVDEDDRDVYKVSDEIVRNEMAACADVSDV VRTASQADLIFMTTYGEIQEQDFSTTIIPLLQLRESDVFYDLGCGTGKPVLQVALETT CRVSKGMELFANRVEIGQRALGRLRANCPDVLEHKRVVIVQGDIVRPPDEANLVDATV VFINNLVFTDDLMLAVMDKMRHMRHLRRLIVSKKLCGRHSAKQCKRSACTLFDHPPRE AKVNGTWAAKEITVYVYVRTYTA H257_06218 MQASTPLLTGRPRAKKMLRMSSSDKAAITIGLLSALCIGSVLYV ARTIALPYAAPIATSRSNLSSTILSELPALNFTGDLRARCTESYFVQTLNHFEAIPDT YSQRYFVCDEFWQQSSAGPIFFYVGNEADVELYLNHTGLMWEHAREFGALLVFAEHRY FGKSVPADAARHLQHLSSEQALADYAVLLGHIKWSLNATSSAVVAFGGSYGGMLAAWF RIKYPHVIDGAVAASAPVLSFVGESPPANLTAFSQLVTYDASPAAGSAANCAANVKRV WDVLFDAATTAAGRASIQDGLGLCSALLSEDDALSVPEWAKTSFVYLAMGNFPYPSSY IMNGGSVLPAFPVREACKPFAPTFPLTEAGNFSLLVALRASVGVYYNSTHDQPCYTRS APSNESQVDADFWDYLFCSELYQPQDQGIGDMFWLDVHDQTADAARCLAKWGVTLRPE WATTVYGGRKALRASSNIVFSNGNLDPWAGMGVLEDLNPSVVAVRVEGGAHHLDLMFS HPLDPPGVKRARKDELKHVAKWIQQAKATAA H257_06219 MSLLDWSKVQHRAPVADMHNTGLTARPSIVSRQMQRRRSVIGGP SSTLTTSSHISPSRHSMQADHYLSRPSEASFPQSPPRPDYEIVWDTSSLGIAFRCNSK NLAVIRRIEQHAAAQVIQLARVGDVLLATNGEPSFNFDATMDRLRALDYPIVLTFGSS SRSVVAPPARSVEGSTLSEHALPQQNPRRFSAAPSLVSTDMNESIASRDLDDPNNVHH MVFSIEWQEGLKLGVSIIKLGNIPVVKERTVPAAMAPPSLAQIQALDQLVSIQGHRTI DLGYQASIVLLRDAKKPVLLEFRRKLPPAASRRGLDPPLTPTVSSRESDYSLVWESGP LGLTLKKDNARRTMVVSRVNGDDGLAARCKLISVGDRLVSVSNVNVHELGLRGTMEFL KAVPKPATLVFHRPAADDVEASASSMRTSSVASSDEVLCVSCSPTKPFGTAVDEATAE ETESDAPEADDHVAPLAPHEPLMLSSSDSSKELVPRSTMSTDSGLDDSGLSATRLHAA PASSDLSAGPPMLEIVWESGPLGMTLKQSGSSVVVSRLTGKGEAENLRVLQMGDILVG VNNMDTSSLDLEGATTLLKSLVKPARLRFILQKTEADL H257_06220 MSAWLPLLASLVTCTATIYYVYQLRAADSESTQKNDRPASREVR CTTQRRVKVSSLAQADVPEVLRPSYYFRHIRDFKHYDLFQNSTNVFDVLDRLHGYVER WLDGYTPDKSMTTVVTPCKVGEVSLTCSDVSVTNACTILNYSTTSRRRLCLDEGVRIM GGIFDVTDGSIFLGKNVVVEPNVYIKGPAIIGDDTVLRFGAYLRGDVVTGNNCVIRCE IKHAVIMDKAELGHPGYCGDSLIGYKGHFANQVSTANLALLASSSQVVTVNGVAYDTG RRKIGVVLGDESQLGCNVATDPCTLIGVHTAVYPLTRLNKGVYGPNEIVKNKPLEHGI VERCQVRPAVE H257_06220 MSAWLPLLASLVTCTATIYYVYQLRAADSESTQKNDRPASREVR CTTQRRVKVSSLAQADVPEVLRPSYYFRHIRDFKHYDLFQNSTNVFDVLDRLHGYVER WLDGYTPDKSMTTVVTPCKVGEVSLTCSDVSVTNACTILNYSTTSRRRLCLDEGVRIM GGIFDVTDGSIFLGKNVVVEPNVYIKGPAIIGDDTVLRFGAYLRGDVVTGNNCVIRCE IKHAVIMDKAELGHPGPPHICPAKSSLRYGQGTAATV H257_06221 MSMKTGVSAWFQKEIVLTAPSRGFHLVTDEVYKQVPEIRNFTVG MATLFVKHTSASLSLNENCDPDVRTDMEGAINRIVPESWNRDLFRHTMEGDDDMPAHV KSTLIGASIQVPLTNGKFNLGTWQGVYLCEHRDVGGWGHGHNRKIVVTLTGLTS H257_06222 MIHIGARAIARQTVQWRGLGAKTSSHVRRYFSTTVDERDKSTTK PKEAVATAPDPPLTDMPDHQLQRIVTNVYTSDESTGNEPPREDRNEPDHTPDDRTNLA DLPGQQLQRLVSNVYTVVEGDLKSSWSRVLAVQTVTDSRLRQNAYVLAQLGTGIRVAP NPNAIEADELLDDGSSRLETEFPIVGGQAKAKVCVTAVIDAGNCMHYSRFLYVNDETG ETIDFMCPMGQLTGIRLDSDYKEIKTPPPSVF H257_06223 MLARTVARRTPAMASLTRSRMVPPTSMMNAVLAPQQYMLRSPTI PQLLKPLAIVPSRRYYSSNNFDDWRRNTSLADKIKTGAVVVAGLGVFTLVSSAAFGLF ITGALGLGAYSLYQSFRHRGAFRALQQQHPFSSPDSPFGSIFHQLSKRQRGASRGMPL VATGLMTGLLRVFGGIFKQSWNRVVAVQTHVEATLQKHPSIRSQLGSNMSVSSPDQVT EQTVNGVGRLDARFPIVGGRHRAYVVVSASIDATSNRLTYHKLTYVNASTGETRDLLQ EGGKASVVLDAEYREIH H257_06223 MLARTVARRTPAMASLTRSRMVPPTSMMNAVLAPQQYMLRSPTI PQLLKPLAIVPSRRYYSSNNFDDWRRNTSLADKIKTGAVVVAGLGVFTLVSSAAFGLF ITGALGLGAYSLYQSFRHRGAFRALQQQHPFSSPDSPFGSIFHQLSKRQRGASRGMPL VATGLMTGLLRVFGGIFKQSWNRVVAVQTHVEATLQKHPSIRSQLGSNMSVSSPDQVT EQSMR H257_06224 MTATPKKVLLDDYRNVLIRQEETIIFSLIERAQFLRNAPIYRKR ADATASLLSFKGKYNGFEGSFLEFMLSETERLHALNRRYTSPDEHAFFPSFLPDPILP PLDYQSVLIPNTININDQIMSVYLEKLLPHITHDSDDHTTFGSSANADIAVLQALSKR IHFGKFIAEAKFQAETKRYTALILANDAEGIMDALTNLAVEDKVVMRVRFKASTYGQD IVDDTTTTIHDNSNSIEHCKVDPQVIADLYRNFVMPLTKQVQVAYLLQRLHHPSVSFV GPVGSFAHSAAVAHFANQRNFYPVGTLTDVFASVVAHQTAFGLVAFEDSQVGISKDAQ LLLIASGLVVTAETVLQRPFVLATSSASVPPADVTAVYMPASAEAGFGLIVDRIWSGA KVVQVASVDEAARCAQRLRGAVAVTTADAAKAADLHVLDTPVDLSAISKPPPALSVRF LVVGRSVQPPTGNDKTCLCVNVKHEVGSLLSALQVFKTHGVNMTCLESLQRSAAAGEF GFYMELDGHRDDRHVSDALAALRSTTQDVRCLGSFPVHHHRRS H257_06224 MTATPKKVLLDDYRNVLIRQEETIIFSLIERAQFLRNAPIYRKR ADATASLLSFKGKYNGFEGSFLEFMLSETERLHALNRRYTSPDEHAFFPSFLPDPILP PLDYQSVLIPNTININDQIMSVYLEKLLPHITHDSDDHTTFGSSANADIAVLQALSKR IHFGKFIAEAKFQAETKRYTALILANDAEGIMDALTNLAVEDKVVMRVRFKASTYGQD IVDDTTTTIHDNSNSIEHCKVDPQVIADLYRNFVMPLTKQVQVAYLLQRLHHPSVSFV GPVGSFAHSAAVAHFANQRNFYPVGTLTDVFASVVAHQTAFGLVAFEDSQVGISKDAQ LLLIASGLVVTAETVLQRPFVLATSSASVPPADVTAVYMPASAEAGFGLIVDRIWSGA KVVQVASVDEAARCAQRLRGAVAVTTADAAKAADLHVLDTPVDLSAISKPPPALSVRF LVVGRSVQPPTGNDKTCLCVNVKHEVGSLLSALQVFKVQCRM H257_06225 MPSKPSAKRAAAKSRGHSASGASAGSSEILKIMCSKDALSKALM ASQSLQLKDPKIVQFINKPSQLPSCQARLLAAVVVGLDTETKPEFRKTSTPNATSLLQ VAVRDVHGREDVFLFDLLALSPSHYDHMLADLFQNPAILKLGQGLLNDLKELTGAYPS ASCFTKMQGVVEVNALFRELEGPTQPMMSLQKLVYYSLKRKLVKTHQKSNWNRRPLAP GQVTYAALDALVLMWIFDDMCARICQLRPAFTAAGIATDLDVLVPERYVCPTCRSVFK SDHAFYNHSSKCRDLGQKEDRPCKCHTCPRRFVSESALAQHAAHCATKANVSAVVVED VAPCYCGRTSGGAQVHRMCEAYRMFALADHDNVHVWSCVDCEKAFDAPELLLYHHGLC RREMESNHAKHVRFDKSDSDDGPSIKRPKYRRTASDDFTDSAEEEELWSQVGKCGYDI DNEGT H257_06225 MLADLFQNPAILKLGQGLLNDLKELTGAYPSASCFTKMQGVVEV NALFRELEGPTQPMMSLQKLVYYSLKRKLVKTHQKSNWNRRPLAPGQVTYAALDALVL MWIFDDMCARICQLRPAFTAAGIATDLDVLVPERYVCPTCRSVFKSDHAFYNHSSKCR DLGQKEDRPCKCHTCPRRFVSESALAQHAAHCATKANVSAVVVEDVAPCYCGRTSGGA QVHRMCEAYRMFALADHDNVHVWSCVDCEKAFDAPELLLYHHGLCRREMESNHAKHVR FDKSDSDDGPSIKRPKYRRTASDDFTDSAEEEELWSQVGKCGYDIDNEGT H257_06226 MMQAPVMVLNTNTQRETGRTAQLGNIQAAKAVSEIVRTTLGPRS MLKMLLDPMGGIVMTSDGNAILREVDVSHPAAKSMIELSRAQDEEVGDGTTSVIILAG EMLVVAEPFLSRQVHPTVIIRGYHQALQVCLETAQRMAKQIDIHDKPSMRNLIMSSVG TKYSPRVGNIVSDLALEAVLTVMRTNSVTGKKEVDVKRYAKVEKIPGGELEESRVLSG VMFNKDVTHSKMRRRIENPRVLLLDCPLEYKKGESQTNVELTNEQDWNTLLRLEEEYI ENLCAQIVAMKPDIVITEKGVSDLAQHYFVKANITCFRRLRKTDNNRVARATGATVVS RADEIQDSDIGTKCGVFEVRKIADEYFAFFEQCQDPGACTILLRGGSKDVLNEIERNL QDALQVARNVVFEPLLLPGGGATEMRLAHELRQRAHEVVGVEQWPFKAVADALEVIPR TLLQNCGADVVRVMTALRAKVAASNGGWAVDGVKGVVVSSEELAVWEPFQVKTQTIKT AVEAACMLLRIDDIVSGLKKKN H257_06227 MAKIPKRMAKPPGPPSKKKSAAAPAKGKKERPIIKAKRQKARVV RAVKNKEAKLVENTKQLLVLRGNKTNEAVNQLLRDLKMIKAPDAKVLSKKNELHPFDD PNSIEFLTHKNDTSLFVLGSSTKKRPNNIIFGRTFDGHIMDMLEMGFDNFKGIDDFKC KSKMAPGSKPCFLFSGAEWDSSEPYQKLKNVLVDMFRGTIVSAINLKGLDHVIVCSAA ANRVLFRHYSIAFQLTTDTHPRVELDEMGPRFDLSFRRHKFGSADMMKVASKKPKELA PKKLKNIKRDELTGDKIGRIHLDKQDIYSMQVRRVKALRKSPGDLKKDDTTAE H257_06228 MKARTAGIFAIGLIIRLACVLWAEYQDRTMPVKYTDVDYDVYTD ASREILQGNSPFDRTTYRYTPILAYMLLPNVYLHEAWGKLLFVASDMIVGYLLYAILM MRAMPEASAINYTMWWLYHPFSINISTRGNADTVVVLLCLLTIYFLMKKHLVVAAVFY GLAVHFKIYPIVYALSFLVFLDEDYDSSIKPIQTTAGVAAKAWHWLNRTRLAFGLISG GVFVVLTAGFYLIYGYTFVFEAYLYHFTRTDNRHNFSMYFYDLYLRYGTNSGFVVGLV AFLPQFLTLFNISLRCGKDIMHAQFLLTMAFVVFNKVCTAQYFLWYSAYLALVLPTTD LKGWQGAAIIGAWFGGELHWLYWAYELEMMGRNTFFPIWVAGLGFFAVNIGIMALFIQ SHHHHPLFARGMIVPLTKG H257_06229 MMLLRHGGRKAMPLGIGRRSISLLHLNASISEDSATSVVSMGLM ACVHQQSLRAAWTQKKNIVVNSSSRQQTPFRVIERDLWSPDLPKFCKDTMRSTYRVLQ GEGTSDDLATISPIQHLAQELLEADCVVVSTPVWNHSVPYVLKQYMDCVVQPDLTYSQ ATQEPFVTGRTFVLISSAGGDIREQDTTFALVESVFQSVGFNHGHVISLQGLKESGTR AAQLDAALYEAERIAQRVVEHSLV H257_06230 MSSDLFADWHEDRKEREVTASRAPAPARGEYQSPYVNASSNSNP YATASSDDDEPIRLAASKAGGPRNVGGRIGNQKPMFELRQHGLKVSRRKNFTPRCISV GNGVMAMGSAEGTVLRCTVESTDSTPQSIEEIIVEPKVAIVSVFLDPSGGHMLFSLEN GSNYYLHTSTNRPRKITKAQGRITCVAWDRQHGSPANSESILIGTDAGAIYEAEFDGK EKKMNCVYAITKQGAIAGLEFEHVDATQIYVLMTTSGAIQRPTRMYQFLGGPTFQALF ASYQSSDSLRFQEIQGDVTTAQLSTFSKHERERAKCFGVLTAQGVFNGEFAISAKSSI DNIWINCDILSFPHQGEKSPVLPVAMAMTEYHVILLYSKFVQVLCKLDGSIVLEESFD PRVGTVTGLAYDPTFNTFWILSERRILEVLVLDEDRNMWALYLNKALHGVHDKGRADV ARDFNRAIAVCRDASTRQKVWTAHADAMFDRDEFEIAAKLYVKSSRSFEEVALRFMEA GATAGLHLFLLKKLALTPVEDKTQATVLCTWLVELYLDKFNDLTALSEQDVDRTIDLH ANLLFEFKQFLQDQKRHLDPTTTFNLIASHGRTDELVFFASLIEDYEKMVTYHIHRGE YGAAIELLRGAPTPKVEELYYKYSPELIVAKPKEVFDAWRAAESLDPTRLIPSIVRHV HHHKDGNARSVLELAINFLKFVIDQGHRDETIHNYIVFLLAKHPDERQLIKFLRRPSS SASAAPLYDPAFALRLCTQHEKNRACIYIYSSMGLYQEAVEKALQVDVKIAKEMASMP DDADVKKTLWTLIAKHTIDAGGDIKEAMGILKESELLLIEDMLPFFPDFVVINDFKKE ICQSLQAYNDRIEQLKGEMREYTDSAELIREDMHKLRKRSAFVSGNQRCDLTGDNILG KEFYLFPCGHAFHAVALRLEMQKHLNSFQRQTVKQLIQKLNELSADDATNQPSSAYRR AWNALTNNNNDKTAEATMAAMKGNTNERDVVQLKLDEIVAAECIFCGEVMIKSIHTPF ITDEDEAREGAEWRI H257_06231 MPSKPGTGGESSFAHGVHQRLQRLGSTKVVDVSKPPDLASRSKE NRSTSVSRSGSLHSVLKSTKHSVSNVGRKPLKGHTDVKLLMADVFAEMDRQRLRGAVK FPLKFADFFDHEMMFDFVKACMQLADSFVLVQQLERTAPPRSPPLPQQPPHHHAKPPA VVLAATSSLPDAERTLAYHKAVSSHSDSVANLAKSYSRLVLHCSNFERHEEDRTFFEA VYYFVCAIVKTNHPQDSWPLLEEELGYAFRGEVFNANGKSNLRPPVVPEPAKAPAMRF GQPTIATDSAEPAGGDLKFLGGAPTVYGSYGQNVALTSIVSRVDATKSRAEHNIKASQ AMRGRIHVQRNDNHKARLQLEREYIQRSDRRAAARLDHLLVDSPTKYPSPPFAPQPQQ PLLQHQPPASSPPPNSIPSPRASMRHTLDARSPIIAHIFPSAQDQVLLAQKLLEDQQH ERASDNTTSPRRLALPKPTNPPSTMPWFKDIHGLTEN H257_06231 MPSKPGTGGESSFAHGVHQRLQRLGSTKVVDVSKPPDLASRSKE NRSTSVSRSGSLHSVLKSTKHSVSNVGRKPLKGHTDVKLLMADVFAEMDRQRLRGAVK FPLKFADFFDHEMMFDFVKACMQLADSFVLVQQLERTAPPRSPPLPQQPPHHHAKPPA VVLAATSSLPDAERTLAYHKAVSSHSDSVANLAKSYSRLVLHCSNFERHEEDRTFFEA VYYFVCAIVKTNHPQDSWPLLEEELGYAFRGEVFNANGKSNLRPPVVPEPAKAPAMRF GQPTIATDSAEPAGGDLKFLGGAPTVYGSYGQNVALTSIVSRVDATKSRAEHNIKASQ AMRGRIHVQRNDNHKARLQLGTLSQDTPYPRAGLCRTRVHSTQRPPRRRKARPPSRRQ PHKVPVAPVRPPTAAAVAPASAPSVVPTPQ H257_06231 MADVFAEMDRQRLRGAVKFPLKFADFFDHEMMFDFVKACMQLAD SFVLVQQLERTAPPRSPPLPQQPPHHHAKPPAVVLAATSSLPDAERTLAYHKAVSSHS DSVANLAKSYSRLVLHCSNFERHEEDRTFFEAVYYFVCAIVKTNHPQDSWPLLEEELG YAFRGEVFNANGKSNLRPPVVPEPAKAPAMRFGQPTIATDSAEPAGGDLKFLGGAPTV YGSYGQNVALTSIVSRVDATKSRAEHNIKASQAMRGRIHVQRNDNHKARLQLEREYIQ RSDRRAAARLDHLLVDSPTKYPSPPFAPQPQQPLLQHQPPASSPPPNSIPSPRASMRH TLDARSPIIAHIFPSAQDQVLLAQKLLEDQQHERASDNTTSPRRLALPKPTNPPSTMP WFKDIHGLTEN H257_06231 MADVFAEMDRQRLRGAVKFPLKFADFFDHEMMFDFVKACMQLAD SFVLVQQLERTAPPRSPPLPQQPPHHHAKPPAVVLAATSSLPDAERTLAYHKAVSSHS DSVANLAKSYSRLVLHCSNFERHEEDRTFFEAVYYFVCAIVKTNHPQDSWPLLEEELG YAFRGEVFNANGKSNLRPPVVPEPAKAPAMRFGQPTIATDSAEPAGGDLKFLGGAPTV YGSYGQNVALTSIVSRVDATKSRAEHNIKASQAMRGRIHVQRNDNHKARLQLGTLSQD TPYPRAGLCRTRVHSTQRPPRRRKARPPSRRQPHKVPVAPVRPPTAAAVAPASAPSVV PTPQ H257_06232 MTSKNYLQVPAVSPPPPPVFDGGRSHHDIMTTPQQQTTPMHPLL YEKYQQQGPVAPNELVNAGSSHDVIVVTCKGGSRLIVSKKELTALKLFLPKYEDIDMH RLVNTLPLLLQEMDASSKAVPPTSTAASSAAATAATPSKAAQSIPIPSQVKVNKLIRN TSNSSLFADMAKEYEAKLAEVDAQLATEKMTVERLDLENLTLKESLLQAAQLQHDTET RATDLTALVESLQHDLTDMKAKSNALVVTCQSKDDEIDAHVATISTLHDRLAALQQDV ASASHAGEDKDARLAALSSSLQATEDALAASQADVVTQKNTIARLNDQVASARHQSAT LRQSTASLAGDLQALKAHVTMEAAQTEALIQSSVMEMQAIVKVRELALFSQLDREILE RKRMTEKYHEVSGKIRVFCRVRPLKDAAADGGAFMYPKSQTLLVASKRQEFAFDQVYG PDSTQEDVYEHIDPLIGSVMDGYNACVMAYGQTGAGKTFSMVGEDAAPGIIPRALHQL FAMSGARAALFQDTISLSMQEIYNDQPRDLLSKDILTPKDSAMDTRTVASWADVQAVL AEGYANRSVAATKMNFESSRSHAIVFVYVTSANKQTLERKSSTLCLVDLAGSERISRT QVTGDRLKEAQHINKSLSTLGDVVHALQHKAKHVPYRNSKLTFTLRDMLSGGAKALLV LQVSPDLADEGESVCSLQFGARVSQVELGAVKQRSVESGELLALKDELAKVRADHDAV VAKLQRELDALKEDGNQNQGGSARSASDDNDSLDDEWKKLNDESPETNHVTPPTGGGD VRKKVLLSKRTVTMPSSSAGGRLSLGRSGQPPTVPLPKPVRQTSLDRRMSLPVKAQPS DVPRPAAASPKPLTRTSVRATSPAATSTSRVARHSLGVAEGSALREKSVRPKVPIAAR SAVTAAAAPPAGSTSPPSGRKWV H257_06233 MSSAVAPLPLPPPVISGPVYSIVTPKPKWMAQIKKSIYLAISAV GVFLVAYDVFANNWALNDYIGNAMHCRTPVMDMASFSDIHDHYVFSTRDNWGTISPIP RQLLATHIDQLIIADETVYFLAAGMHEVGPATPDLCRDLERSYPITFPTNSTETVVRL AVAMDFVTYIRGDALSHVFGSTATDPVPGPDALREELLEMGYEAGVFTADLRMTLEVP VSSLNPGTTMQHNTLVYRIFAKTFNTGGTPMAELGVDNCNMTYVWNATTNMVDVVSSR VMLGEVHILAIIVDRSSTTVAAFYIKVFALLMVLGGYSASAKTVIWVDTDKQILWPWF KRLYQKFAPDLYRHPSHALTMQYICYNSDLFVLLYVVTVLLDENIAMKFTREMNEWNQ WNPDFWVSVQIYSMSFRWLWINCCFVKMLKLLCHNVSIVQYNGHNWLVGFFNFSSVVP IYLSSIALMARHQFINYSNSVRHDLSSHVQSLDGTDLDLFASWYVRCFQPMALVIFIN LVAILTLDRIVNYKWWSRIAQNSLGRQYMFNSTSILCGIDDVQDKPDGVGGQMIMLPA RKLCTLRWFLSSHLLCFGLPEDPKCVRVWASTVLQTKSAVSKGSTSTNGSPTKAPLKD AKATTTEDSARSMLLIGQDQDGYVHLMDENKRDVKALAIEVKILRDSMFYIT H257_06234 MKLNIANPHTGTQKVLEIDDEHKLRAFYEKRMTQEVDGEHLGEE FKGFVFKISGGNDKQGFPMKQGVLTNGRARLLLSKGKSCYRPRRKGERKRKSVRGCIV GPDLSVLNLVVVKTGDNEVAGLTDGHIPRRLGPKRASNIRKLFNLTKEDDVRKYVIRR KFDSKTGKKNDKAPKIQRLVTPRTLHHKAQIAAKIVTQREKVKRDAAEYSRLYTQRVK EQKERRASEVAKRRSSRKASEVKAAKN H257_06235 MGNTSSTPAVATPLKPTAQEGCPVKHSNVAPEGCPVKHSGNTTS VVGEGCPVVKKQKVYNVYSQEIDPTNNMPSNPNQEPNDGQKYPLNTTRVTSTIPKGGT DGTWTYPSEQMFFNALRRKGKGEDVHEGHVQTIVSIHNNMNERAWNQVAAWEEALHPG SDSKLLRFCGRPDDLTPIARLKTLFGYDKPFDRHDWVVVRNDNTEQRYVIDYYFDDDK AAEDAVPRLHDVTSVKSITMYARPAVDSLSAVVDRIKFPLLNLLGTAPAVSFPPPPPS DEADASANNDDLLTVEQVNATFGQIQANCSKCFTNVQTCADEVACTQAATALQFCMAN ILCKPDAVQFTAALASGDEGRIEEAYAAMGNCVDRFEVRSRAALQQQALEAARKVSAA SQSA H257_06236 MAKGSTKGGGNGGANNAKEMKMFLKNRMWREHWQVIAGSLVIIV ALFGWFFLQAATSTRSVHFIQLSEPADVHRVFRSGEPWLVLCSRPDAILPEVFEKATK RLEGKIHVGVVDCHDKLPSGKSVFKKFLLRDDIVPTIFTIANGGKPKQLFLNYLHKPK ALAAQTLVQVQKSLHEVQSTKQLDDKCLKASTACVLIHRAGKQKFDEYQKQWLQALMH EHRLVKFVWMDASILKLSVDSLFKKPITDSSHHRLVLFKKATNRGASSQWTAKAYTSY FDQLPVQAFLNEYATAPVPSESFRTLTKQVTVTRRAKKVQPAPPSRTPQQVAELERLR RERMEEESKEHFPQGVDEDGSDAHPTADDNDEEEEEVLDLDEL H257_06237 MSTSVPPHILADDSNSGCHYMGESLIVFVIGASGDLAKKKTYPS LFELYTHGYLPEHTTICGYARSHKTDEEFRAFIGGYLKTTDMEKKEAFLQKCLYRHGD YDSVEAVGKVSAEMYLKESATGLKTKNRLFYFAIPPNVFVPIGTSIKAAALSAEGWNR LIVEKPFGHDLTSFNELSAAMGALYSEDEIYRIDHYLGKEMVQNLMLLRFANITFEPL WNRNYISSVMITFKEDIGTQGRGGYFDSYGIIRDVMQNHLLQVLSLVAMEPPVRASGA DYANFIRDEKVKVLECIEPIKLQDTVMGQYVVDPENPKSEVGYLDDPTVPKGSVTPTF ASFVMNIKNPRWDGVPFIMKAGKALNERKAEVRITFKAAPGAEHMFPGTNIPQNELVL RLQPNETVYMKTNVKSPGLSTKAVASELELSYSTRYADTHLPDAYTRLVLDVLRGKQA TFVRDDELRAAWKIFTPLLDEIDDKKIPPIPYKFGSRGPAESDELVRKQGYVYHSGAY QYSRRGSL H257_06238 MRKVHEPPSPRRRHGTLAERLDAQRARYLALKRRAVEHGDDGMP QSLPRGLPSKEPLPTCHLRLELPGDVQDYLRSIGLHFDAISTDESLGRAVSALAVRLY KTDLALQHALEETSFPHTPHAF H257_06239 MTKMEASHARCACPCVALLEEEVESLQSQLLRYRRKYGNLDVLE QRQSLKETTTATAPALSKSDPIPQLTLDDDSNTLISSTVGKHVVLDYVADSPMFRKNL DGLEESTSGLRGFMKELMARTKDFVAAGKVLGIKEIALAEMYSTKYSRSLFTSCYAEL GDLSTLLNDFHDTLTQIQSSRESMLLSIEALLYQPLEAFIDMELKHAADLRKDVSKSS DEYDALLGKFLSKPVSTAPLGITRSGSSSVLADDTAASFSRQPSSSTMSPPSTSSSTT TVDKALTAARCKFELARFDCVRYFNALDAKKKFVLVDAFNSTLYSYLGHFHACHELVH AIEPTLRARQRALQDARAQYAADDAMFGTQRDLLQSRLSAYPSHVGLPVEILSRATAA SRVRTNTNDVAGPQTSSSLVDKQGYLLVRTGSSFSAKAYKRVWYQIHRGKLYAMQKNS MELSIVCDLIMSKVRVCGKTKDLALPYTFEVLDNNQTKHVLQAASDADMHAWIDAATL STERLLGEQPLHDKDVHPGHADAVYGLMEANPSCADCDQRPAEWVSINIGVFLCIECS GIHRSLGSHVSKVRSLALDSWDMSLLTLLAHLGNAPVNAIWEAELAPGWTRPVATSPR PDKEKWIKAKYEFRGFSTHTTDTASVVFSRFLHAAATGDVRHLVQGIAHGVDVAQKDG ATGRTALHVAAAAGHELACEYLLQNGASLGVTDKLGQLPSDAAKGGGFDALKLVLLQR MQ H257_06240 MHSLVQRVRKQRQKKYEKGGLPPMKKDQVVMRLQELHDRQLSSS GFQANHLVMLDHMEQSQSISNYLEGRFHDMYAATPVHGGGDDDDDGDEWTQSAHLKSS LKSATVGMLEPLKTLKAARTTKELVRRTLAIAPTLKATHSTVNLTENIAPVERKLPPL FYRMRQVWATAFTSPVSEEERLGLPTVENARMLFGIDIYEAKGIVKMFGVGSVESLYQ LYTKERIYGREGQMRENMTKAVFSIRTSTRIMAILKQIDSFSIFLDSKPKKDLPPASP AAGPAASDVPLDEVDENSDDDGESSGPGSGDGATPPKPTTRSPTKPPVATVGSTDIAA ASPSKPNAPAVESVLPLIGPHTPGGPTVDLVGVYLQQCAVQQVLPSKRVISQLPALVV DCAHFNLGHNGIIAMAHALQHNTALVELNLTDNWSLEPGGHQLAQVVASCPHLTTLDL TNNRIGTRSAMLLFGAVTSSSTLSRLVLKGNDLYDRIHTAVGALLATNSSLTFLDLSD NRVRNKTGTAIGHALLANTHLQALHLTWNTMSTTGCLPILTALATTNQSLKHLSLGWN RLGDETGCLVATMLLRNQTLESLDLTSAQLSCASVGFLADAVTFNEGLARLNLDQNPI DQTGVSLMLQAAKQRERSKKHPLRLSLEHMVFKHRATDAPPPLPYDPRDPSGYYRLSL KVPAERTAFELLKRRHELHLGTFHHVVANKFKVDANHLGRIPPNATVRFEYVATAAAA DATDDMIHFRLDLAKPSDRAMARALMERAEAESGENWINETLDGVPFDFDELAQSGIR WLDGHPTGVLELDYITTTLFCESHYKLKLQDKSDRAMAWKLLERVQRSQNNSHDVGDE WRHLTLDGAPLSLEAWAVAQSVAVAALSTATKWKWRVPMQGTIEFDYFTPHPHHVLAH HYRLDLANDADRVLAHELRVRSLDGIGECWWNEEIDGYPFRLTESLDVDFDFPTRGLL EFDFILLRPAHFVTTMTIDECQRFDLGAFDDFLCAEFLRRVAVADSYHYVWTNAAING APYVVADTMLPPTGWLSFTGLVFLGKDPSPVEMISFLIEQLAQQQDNPDRQIYLLEIA CGVHEHDGFQHHDTPPMFFISAIVPDVLRTIASEKHQADAIQILWPTILDKPRLALKV LEVKCDGPPRWFGADSFAAFEAAVLDRFLRHRLHL H257_06240 MHSLVQRVRKQRQKKYEKGGLPPMKKDQVVMRLQELHDRQLSSS GFQANHLVMLDHMEQSQSISNYLEGRFHDMYAATPVHGGGDDDDDGDEWTQSAHLKSS LKSATVGMLEPLKTLKAARTTKELVRRTLAIAPTLKATHSTVNLTENIAPVERKLPPL FYRMRQVWATAFTSPVSEEERLGLPTVENARMLFGIDIYEAKGIVKMFGVGSVESLYQ LYTKERIYGREGQMRENMTKAVFSIRTSTRIMAILKQIDSFSIFLDSKPKKDLPPASP AAGPAASDVPLDEVDENSDDDGESSGPGSGDGATPPKPTTRSPTKPPVATVGSTDIAA ASPSKPNAPAVESVLPLIGPHTPGGPTVDLVGVYLQQCAVQQVLPSKRVISQLPALVV DCAHFNLGHNGIIAMAHALQHNTALVELNLTDNWSLEPGGHQLAQVVASCPHLTTLDL TNNRIGTRSAMLLFGAVTSSSTLSRLVLKGNDLYDRIHTAVGALLATNSSLTFLDLSD NRVRNKTGTAIGHALLANTHLQALHLTWNTMSTTGCLPILTALATTNQSLKHLSLGWN RLGDETGCLVATMLLRNQTLESLDLTSAQLSCASVGFLADAVTFNEGLARLNLDQNPI DQTGVSLMLQAAKQRERSKKHPLRLSLEHMVFKHRATDAPPPLPYDPRDPSGYYRLSL KVPAERTAFELLKRRHELHLGTFHHVVANKFKVDANHLGRIPPNATVRFEYVATAAAA DATDDMIHFRLDLAKPSDRAMARALMERAEAESGENWINETLDGVPFDFDELAQSGIR WLDGHPTGVLELDYITTTLFCESHYKLKLQDKSDRAMAWKLLERVQRSQNNSHDVGDE WRHLTLDGAPLSLEAWAVAQSVAVAALSTATKWKWRVPMQGTIEFDYFTPHPHHVLAH HYRLDLANDADRVLAHELRVRSLDGIGECWWNEEIDGYPFRLTESLDVDFDFPTRGLL EFDFILLRPAHFVTTMTIDECQRFDLGAFDDFLCAEFLRRVAVADSYHYVWTNAAING APYVVADTMLPPTGWLSFTGLVFLGKDPSPVEMISFLIEQLAQQQDNPDRQIYLLEIA CGYVT H257_06241 MNEGPVWGRNKTQKDGATYSWVRGTLCGDEVDFEDGRTVPWSTI DTSMEILRGNVTCPMDLDDLGMLTHLNEPSMVHCLEERFLGDKVYCHTGDMLLAMNPF KVIPKLYDVSAFQSTIASSSNRHRHPHVFTTAHETYRALTVDKHKNQTVLVSGESGSG KTETTKFIMQYLAAVSHTPHSTAPSHECGTANISDQVLQSNPILESFGNARTLRNDNS SRFGKFVKIWFATDSHHAQLRLVGTSIETYLLEKVRLVHQATGERNFHIFYEVLAGAA QDRTLQTRLHLADPMDVSKFAYLNQSGCVTRRDQVSDADTFHKTMRAMSIVGFQAHVI DSILGVVGGLLHLGNMTFGSVTTGDAPSSEIRSPQPLAYVSELLAVDVNRLREALTSR QIKAKDEWYQVHLTPQQADDARDALARSIYGYLFEWVVAKVNRCIGSSSPLESSKFIG VLDIFGFESFDVNSFEQLCINYANERLQHHFIDFVMTQEQGRYQSEGIPWTLLELPMN DGCLEVLEARPTGVLALLDEECNVPKGSDAGFVRKLYQIYHSHAHFQASKRDQVNRAF VVVHYAGAVRYTADGFCEKNRDKPHQAMLDLLASSENPFVRVMCRLSGGDDGDEENDD PRGGPSPPIVMRRKSSIVSTGLGAQFRRQLQHLLDMITHTTPHYIRCLKPNDANVKHT FDRQRMADQLRYGGVLEAVTISRLGYPVHMAHPLFVGRYGAVLLPPPLSTSSHNRASR HSAIDAVLTQLVAAWGAAGWPRRDSVTDGVVPSKWFAFGIERGKTLVFLRQSTYDFLE STRAAALHKHAVTLQAFAKMAFCRHKYWTIQAATATLQRLIRGHLARQHGRRIRATRL VAVWLQARYRGRIGRQIATDLRRQLGVVCLQSCWRGAKQRRAFLATLAATRTIQCAWR CRLAKLHVKQLRKDALSLQHTIVERNQLRQELHQLKTQATMANQRAEEAETELRRLQQ MLVEMQQTTMSSSPTMIAVSDAALRVSRPLKSEMLDEVSITTPTKSVQGRPIVPAATC MPTEYSLKQVGAQEVGQLPTSLFTAADEEPPVAILSEVTPRSSDELDQETTELASLDL SVENSSSDTVSSVVATEQKRERPSATQTPPEVGPSQPERVHVLEPKRSPPVLLVESTQ PMSHVLPPPTANTPAWTHPVIPSKVASTIATNSTAAQGVPRHHLAPSDLDPDKETVAP VEGSVSVRPSQAPGEHTLPDAVGPPTPPSHALRSSGYAPPLAPIHEVGINFQAPPSIH HAPQSTGGLPTLAKPTSSLSDLLAHRVIDFGLVEAALRRGDCNPDVQDPSGRTMLHLA TEMGDAALVLLLLQHHASVTITDFVSHATAFHVAAQMANMEISGIFCRPDVFPSLDVN MPDKDGNTVLHLACASCRPKAAYVMELYLMLGADANAQNVLGRSPLHICTLLRRDDEL VGRLLACGADPNLYSIDRKTPLHIAVKRGNLEQAVALVKSGASMTLPDAHHKRVLHAS AMAHQLLPYMTTSPQWIPDDDVADCMVCQYTFNFFVRRHHCRRCGAVCCSDCLDQNTC QLCVTAQRAML H257_06241 MNEGPVWGRNKTQKDGATYSWVRGTLCGDEVDFEDGRTVPWSTI DTSMEILRGNVTCPMDLDDLGMLTHLNEPSMVHCLEERFLGDKVYCHTGDMLLAMNPF KVIPKLYDVSAFQSTIASSSNRHRHPHVFTTAHETYRALTVDKHKNQTVLVSGESGSG KTETTKFIMQYLAAVSHTPHSTAPSHECGTANISDQVLQSNPILESFGNARTLRNDNS SRFGKFVKIWFATDSHHAQLRLVGTSIETYLLEKVRLVHQATGERNFHIFYEVLAGAA QDRTLQTRLHLADPMDVSKFAYLNQSGCVTRRDQVSDADTFHKTMRAMSIVGFQAHVI DSILGVVGGLLHLGNMTFGSVTTGDAPSSEIRSPQPLAYVSELLAVDVNRLREALTSR QIKAKDEWYQVHLTPQQADDARDALARSIYGYLFEWVVAKVNRCIGSSSPLESSKFIG VLDIFGFESFDVNSFEQLCINYANERLQHHFIDFVMTQEQGRYQSEGIPWTLLELPMN DGCLEVLEARPTGVLALLDEECNVPKGSDAGFVRKLYQIYHSHAHFQASKRDQVNRAF VVVHYAGAVRYTADGFCEKNRDKPHQAMLDLLASSENPFVRVMCRLSGGDDGDEENDD PRGGPSPPIVMRRKSSIVSTGLGAQFRRQLQHLLDMITHTTPHYIRCLKPNDANVKHT FDRQRMADQLRYGGVLEAVTISRLGYPVHMAHPLFVGRYGAVLLPPPLSTSSHNRASR HSAIDAVLTQLVAAWGAAGWPRRDSVTDGVVPSKWFAFGIERGKTLVFLRQSTYDFLE STRAAALHKHAVTLQAFAKMAFCRHKYWTIQAATATLQRLIRGHLARQHGRRIRATRL VAVWLQARYRGRIGRQIATDLRRQLGVVCLQSCWRGAKQRRAFLATLAATRTIQCAWR CRLAKLHVKQLRKDALSLQHTIVERNQLRQELHQLKTQATMANQRAEEAETELRRLQQ MLVEMQQTTMSSSPTMIAVSDAALRVSRPLKSEMLDEVSITTPTKSVQGRPIVPAATC MPTEYSLKQVGAQEVGQLPTSLFTAADEEPPVAILSEVTPRSSDELDQETTELASLDL SVENSSSDTVSSVVATEQKRERPSATQTPPEVGPSQPERVHVLEPKRSPPVLLVESTQ PMSHVLPPPTANTPAWTHPVIPSKVASTIATNSTAAQGVPRHHLAPSDLDPDKETVAP VEGSVSVRPSQAPGEHTLPDAVGPPTPPSHALRSSGYAPPLAPIHEVGINFQAPPSIH HAPQSTGGLPTLAKPTSSLSDLLAHRVIDFGLVEAALRRGDCNPDVQDPSGRTMLHLA TEMGDAALVLLLLQHHASVTITDFVSHATAFHVAAQMANMEISGYTQHRHRSRSLSSY SIFCRPDVFPSLDVNMPDKDGNTVLHLACASCRPKAAYVMELYLMLGADANAQNVLGR SPLHICTLLRRDDELVGRLLACGADPNLYSIDRKTPLHIAVKRGNLEQAVALVKSGAS MTLPDAHHKRVLHASAMAHQLLPYMTTSPQWIPDDDVADCMVCQYTFNFFVRRHHCRR CGAVCCSDCLDQNTCQLCVTAQRAML H257_06241 MNEGPVWGRNKTQKDGATYSWVRGTLCGDEVDFEDGRTVPWSTI DTSMEILRGNVTCPMDLDDLGMLTHLNEPSMVHCLEERFLGDKVYCHTGDMLLAMNPF KVIPKLYDVSAFQSTIASSSNRHRHPHVFTTAHETYRALTVDKHKNQTVLVSGESGSG KTETTKFIMQYLAAVSHTPHSTAPSHECGTANISDQVLQSNPILESFGNARTLRNDNS SRFGKFVKIWFATDSHHAQLRLVGTSIETYLLEKVRLVHQATGERNFHIFYEVLAGAA QDRTLQTRLHLADPMDVSKFAYLNQSGCVTRRDQVSDADTFHKTMRAMSIVGFQAHVI DSILGVVGGLLHLGNMTFGSVTTGDAPSSEIRSPQPLAYVSELLAVDVNRLREALTSR QIKAKDEWYQVHLTPQQADDARDALARSIYGYLFEWVVAKVNRCIGSSSPLESSKFIG VLDIFGFESFDVNSFEQLCINYANERLQHHFIDFVMTQEQGRYQSEGIPWTLLELPMN DGCLEVLEARPTGVLALLDEECNVPKGSDAGFVRKLYQIYHSHAHFQASKRDQVNRAF VVVHYAGAVRYTADGFCEKNRDKPHQAMLDLLASSENPFVRVMCRLSGGDDGDEENDD PRGGPSPPIVMRRKSSIVSTGLGAQFRRQLQHLLDMITHTTPHYIRCLKPNDANVKHT FDRQRMADQLRYGGVLEAVTISRLGYPVHMAHPLFVGRYGAVLLPPPLSTSSHNRASR HSAIDAVLTQLVAAWGAAGWPRRDSVTDGVVPSKWFAFGIERGKTLVFLRQSTYDFLE STRAAALHKHAVTLQAFAKMAFCRHKYWTIQAATATLQRLIRGHLARQHGRRIRATRL VAVWLQARYRGRIGRQIATDLRRQLGVVCLQSCWRGAKQRRAFLATLAATRTIQCAWR CRLAKLHVKQLRKDALSLQHTIVERNQLRQELHQLKTQATMANQRAEEAETELRRLQQ MLVEMQQTTMSSSPTMIAVSDAALRVSRPLKSEMLDEVSITTPTKSVQGRPIVPAATC MPTEYSLKQVGAQEVGQLPTSLFTAADEEPPVAILSEVTPRSSDELDQETTELASLDL SVENSSSDTVSSVVATEQKRERPSATQTPPEVGPSQPERVHVLEPKRSPPVLLVESTQ PMSHVLPPPTANTPAWTHPVIPSKVASTIATNSTAAQGVPRHHLAPSDLDPDKETVAP VEGSVSVRPSQAPGEHTLPDAVGPPTPPSHALRSSGYAPPLAPIHEVGINFQAPPSIH HAPQSTGGLPTLAKPTSSLSDLLAHRVIDFGLVEAALRRGDCNPDVQDPSGRTMLHLA TEMGDAALVLLLLQHHASVTITDFVSHATAFHVAAQMANMEISGIFCRPDVFPSLDVN MPDKDGNTVLHLACASCRPKAAYVMELYLMLGADANAQNVLGRSPLHICTLLRRDDEL VGRLLACGADPNLYSIDRKTPLHIAVKRGNLEQAVALVKSGASMTLPDAHHKRVLHAS AMAHQVCPFCLVSSVGNTPDTMIIWRSCSRT H257_06241 MNEGPVWGRNKTQKDGATYSWVRGTLCGDEVDFEDGRTVPWSTI DTSMEILRGNVTCPMDLDDLGMLTHLNEPSMVHCLEERFLGDKVYCHTGDMLLAMNPF KVIPKLYDVSAFQSTIASSSNRHRHPHVFTTAHETYRALTVDKHKNQTVLVSGESGSG KTETTKFIMQYLAAVSHTPHSTAPSHECGTANISDQVLQSNPILESFGNARTLRNDNS SRFGKFVKIWFATDSHHAQLRLVGTSIETYLLEKVRLVHQATGERNFHIFYEVLAGAA QDRTLQTRLHLADPMDVSKFAYLNQSGCVTRRDQVSDADTFHKTMRAMSIVGFQAHVI DSILGVVGGLLHLGNMTFGSVTTGDAPSSEIRSPQPLAYVSELLAVDVNRLREALTSR QIKAKDEWYQVHLTPQQADDARDALARSIYGYLFEWVVAKVNRCIGSSSPLESSKFIG VLDIFGFESFDVNSFEQLCINYANERLQHHFIDFVMTQEQGRYQSEGIPWTLLELPMN DGCLEVLEARPTGVLALLDEECNVPKGSDAGFVRKLYQIYHSHAHFQASKRDQVNRAF VVVHYAGAVRYTADGFCEKNRDKPHQAMLDLLASSENPFVRVMCRLSGGDDGDEENDD PRGGPSPPIVMRRKSSIVSTGLGAQFRRQLQHLLDMITHTTPHYIRCLKPNDANVKHT FDRQRMADQLRYGGVLEAVTISRLGYPVHMAHPLFVGRYGAVLLPPPLSTSSHNRASR HSAIDAVLTQLVAAWGAAGWPRRDSVTDGVVPSKWFAFGIERGKTLVFLRQSTYDFLE STRAAALHKHAVTLQAFAKMAFCRHKYWTIQAATATLQRLIRGHLARQHGRRIRATRL VAVWLQARYRGRIGRQIATDLRRQLGVVCLQSCWRGAKQRRAFLATLAATRTIQCAWR CRLAKLHVKQLRKDALSLQHTIVERNQLRQELHQLKTQATMANQRAEEAETELRRLQQ MLVEMQQTTMSSSPTMIAVSDAALRVSRPLKSEMLDEVSITTPTKSVQGRPIVPAATC MPTEYSLKQVGAQEVGQLPTSLFTAADEEPPVAILSEVTPRSSDELDQETTELASLDL SVENSSSDTVSSVVATEQKRERPSATQTPPEVGPSQPERVHVLEPKRSPPVLLVESTQ PMSHVLPPPTANTPAWTHPVIPSKVASTIATNSTAAQGVPRHHLAPSDLDPDKETVAP VEGSVSVRPSQAPGEHTLPDAVGPPTPPSHALRSSGYAPPLAPIHEVGINFQAPPSIH HAPQSTGGLPTLAKPTSSLSDLLAHRVIDFGLVEAALRRGDCNPDVQDPSGRTMLHLA TEMGDAALVLLLLQHHASVTITDFVSHATAFHVAAQMANMEISGIFCRPDVFPSLDVN MPDKDGNTVLHLACASCRPKAAYVMELYLMLGADANAQNVLGRSPLHICTLLRRDDEL VGRLLACGADPNLYSIDRKTPLHIAVKREVVA H257_06241 MNEGPVWGRNKTQKDGATYSWVRGTLCGDEVDFEDGRTVPWSTI DTSMEILRGNVTCPMDLDDLGMLTHLNEPSMVHCLEERFLGDKVYCHTGDMLLAMNPF KVIPKLYDVSAFQSTIASSSNRHRHPHVFTTAHETYRALTVDKHKNQTVLVSGESGSG KTETTKFIMQYLAAVSHTPHSTAPSHECGTANISDQVLQSNPILESFGNARTLRNDNS SRFGKFVKIWFATDSHHAQLRLVGTSIETYLLEKVRLVHQATGERNFHIFYEVLAGAA QDRTLQTRLHLADPMDVSKFAYLNQSGCVTRRDQVSDADTFHKTMRAMSIVGFQAHVI DSILGVVGGLLHLGNMTFGSVTTGDAPSSEIRSPQPLAYVSELLAVDVNRLREALTSR QIKAKDEWYQVHLTPQQADDARDALARSIYGYLFEWVVAKVNRCIGSSSPLESSKFIG VLDIFGFESFDVNSFEQLCINYANERLQHHFIDFVMTQEQGRYQSEGIPWTLLELPMN DGCLEVLEARPTGVLALLDEECNVPKGSDAGFVRKLYQIYHSHAHFQASKRDQVNRAF VVVHYAGAVRYTADGFCEKNRDKPHQAMLDLLASSENPFVRVMCRLSGGDDGDEENDD PRGGPSPPIVMRRKSSIVSTGLGAQFRRQLQHLLDMITHTTPHYIRCLKPNDANVKHT FDRQRMADQLRYGGVLEAVTISRLGYPVHMAHPLFVGRYGAVLLPPPLSTSSHNRASR HSAIDAVLTQLVAAWGAAGWPRRDSVTDGVVPSKWFAFGIERGKTLVFLRQSTYDFLE STRAAALHKHAVTLQAFAKMAFCRHKYWTIQAATATLQRLIRGHLARQHGRRIRATRL VAVWLQARYRGRIGRQIATDLRRQLGVVCLQSCWRGAKQRRAFLATLAATRTIQCAWR CRLAKLHVKQLRKDALSLQHTIVERNQLRQELHQLKTQATMANQRAEEAETELRRLQQ MLVEMQQTTMSSSPTMIAVSDAALRVSRPLKSEMLDEVSITTPTKSVQGRPIVPAATC MPTEYSLKQVGAQEVGQLPTSLFTAADEEPPVAILSEVTPRSSDELDQETTELASLDL SVENSSSDTVSSVVATEQKRERPSATQTPPEVGPSQPERVHVLEPKRSPPVLLVESTQ PMSHVLPPPTANTPAWTHPVIPSKVASTIATNSTAAQGVPRHHLAPSDLDPDKETVAP VEGSVSVRPSQAPGEHTLPDAVGPPTPPSHALRSSGYAPPLAPIHEVGINFQAPPSIH HAPQSTGGLPTLAKPTSSLSDLLAHRVIDFGLVEAALRRGDCNPDVQDPSGRTMLHLA TEMGDAALVLLLLQHHASVTITDFVSHATAFHVAAQMANMEISGIFCRPDVFPSLDVN MPDKDGNTVLHLACASCRPKAAYVMELYLMLGADANAQNVLGRSPLHICTLLRRDDEL VGRLLACGADPNLYSIDRKTPLHIAVKREVVA H257_06242 MQRERLTVAFPEYFRCHITTKVGKPLGKSRTSVGKPTELTVASD TTCGVASALGVNSVSTTITDYHADASNARLLWDPEGPNEVYVKVAANTTKDKYVKLTL LNYNNVVRQVWDNASKIRNAQASLTLLLFIYVEKDTSTAIRRATSNNLVTAATRVAEY IEDQSLLLGPLQIDYATVVRAILPPTAPVEIPSNSTIRQLDHIDVDERRREINARKRT VGFEFGLARWPVPQWIVFCPLRISAAF H257_06243 MFVCDGAQKERPPSDKWRHRSPSRRHVLSVMTQHSNEWELAVAP LSTESKANSQNLCETGSALQNVSMRWCVVYL H257_06244 MDDELAAVRRELDEVKEDLLLKSDEYNELVTASSVIEAELEKDL QEVEQKAEKWRQQAQRFEDEVRDAREKMATHFRDSATLQRELDRTKEKLQTLTQDRAR WETEVDHLTTQVRILEASNEDLKHQLERAQEDKVFLQHDYAEIEKEHELSSERYRSEI LDLKSELFAVKVQVSAPLPRSTDVSDFSFRDSLSALIEDNPFRPSMSLERDMEENEKH IQILQDELRELGNRLQDEEDRRGHLEAQLVEMQDRQAHMEAMEAEINDMSDELIEKAE HVKRAENEVTCLQTNLTFTLASVEAMLSSTKEQHEMELDALRLELDASKSLASSQESS FHELSVLRAEYSQLEALHAQAKVQLDMERAKFAQLSAELDQSKGATEAVQEELDALRQ QYDDLQSEHSASFLQLRSQSTPPPVTRPARSQSMDAHDVAQKYLLERKRNAMLLSKLQ NVTGNMQVLCRVRPLLAHDPAHKGPEVAVDVLNLTDVAAMEMKADHVDVDAPWKVFTF DRVLSPHCTQTEVFREVEPMAQAVIDGFKACIFAYGQTGSGKTYTMEGTPSHPGLNYR LLSHMFESVALRGSVVDRPEAVASFDVASDRPVYHLQLGVFEIYNDTIRDLLKTNNVL DIRTDEFGDVGVPALHMETIFHPTHALEVLSQAQKNRASCATNVHGNSSRSHSVVLVQ MKSNAGQRGTLYLVDLAGSERVKVSGDHALKETAAINKSLSALGDVMEALDKKQAHVP YRNSKLTYALQDVLGSSQCKTVMILNVAPGFTTASETYRSMQFAERARRIVVAPHGIK PRQRGLLTGKQAFTEIKSLKSQVAAANAKLMQVNQTIVTMKRDHKLEQDKLTTLLEQK TKAADEAKSAVQTMRAAQSDLQDKLKQERELRQQEVAHADQELKQRRQLQSKTKVSAA HKESLEKLLFERESEVLKLRQSLNDARRRSQNSLIPRLSLEAASTTTTTPLDTFKRMT VEDPVSSSSASETELESESSTDKPVPSRIPQRVLRRTTFPQSNDDPRHMEDDAPSKRK AVSALRVPVAIAAIEGALRKPVTSNVESRSKIPRRAFGTTKNAPREDNQATNKPPPPP VSSSSSPAYRRMWK H257_06244 MDDELAAVRRELDEVKEDLLLKSDEYNELVTASSVIEAELEKDL QEVEQKAEKWRQQAQRFEDEVRDAREKMATHFRDSATLQRELDRTKEKLQTLTQDRAR WETEVDHLTTQVRILEASNEDLKHQLERAQEDKVFLQHDYAEIEKEHELSSERYRSEI LDLKSELFAVKVQVSAPLPRSTDVSDFSFRDSLSALIEDNPFRPSMSLERDMEENEKH IQILQDELRELGNRLQDEEDRRGHLEAQLVEMQDRQAHMEAMEAEINDMSDELIEKAE HVKRAENEVTCLQTNLTFTLASVEAMLSSTKEQHEMELDALRLELDASKSLASSQESS FHELSVLRAEYSQLEALHAQAKVQLDMERAKFAQLSAELDQSKGATEAVQEELDALRQ QYDDLQSEHSASFLQLRSQSTPPPVTRPARSQSMDAHDVAQKYLLERKRNAMLLSKLQ NVTGNMQVLCRVRPLLAHDPAHKGPEVAVDVLNLTDVAAMEMKADHVDVDAPWKVFTF DRVLSPHCTQTEVFREVEPMAQAVIDGFKACIFAYGQTGSGKTYTMEGTPSHPGLNYR LLSHMFESVALRGSVVDRPEAVASFDVASDRPVYHLQLGVFEIYNDTIRDLLKTNNVL DIRTDEFGDVGVPALHMETIFHPTHALEVLSQAQKNRASCATNVHGNSSRSHSVVLVQ MKSNAGQRGTLYLVDLAGSERVKVSGDHALKETAAINKSLSALGDVMEALDKKQAHVP YRNSKLTYALQDVLGSSQCKTVMILNVAPGFTTASETYRSMQFAERARRIVVAPHGIK PRQRGLLTGKQAFTEIKSLKSQVAAANAKLMQVNQTIVTMKRDHKLEQDKLTTLLEQK TKAADEAKSAVQTMRAAQSDLQDKLKQERELRQQEVAHADQELKQRRQLQSKTKVSAA HKESLEKLLFERESEVLKLRQSLNDARRRSQNSLIPRLSLEAASTTTTTPLDTFKQSE SSTDKPVPSRIPQRVLRRTTFPQSNDDPRHMEDDAPSKRKAVSALRVPVAIAAIEGAL RKPVTSNVESRSKIPRRAFGTTKNAPREDNQATNKPPPPPVSSSSSPAYRRMWK H257_06245 MQHQTGLMESSFDILMKVGDGAFGEVFKGIDRRTNEICAIKIVD LEAAEDEIDDVQKEIAVLSQCSCAQLTKYMGSFIVGTKLWIIMEYLAGGSVLDLMAPG PLNEVYIAIILHELLKGLAYLHSEKKIHRDVKAANILLASDGRVKLADFGVTGQLTDT MTKRNTVVGTPFWMAPEVIQQNNYDFKADIWSVGITAIEMALGEPPHASLHPMKVLFV IPKNPPPTLEGDFSPKFKDFVSCCLVKDAAARPTALELLQHPFIKAKSDKDVSYLTEL IERAQLGTSDLPVEPTSTITTTSTTSLLPVDSTTSDLADAVDSGWDFGATVRLSKDAM SPKKSTVQSVFQDDTAFSDDFGESLFDDVLKPAVFETVQGTDDGNAQDLLLELLHTLE SLSFDQPHVLGQVLERINGALAKHPDPRLRQFHHE H257_06246 MNSYLALRCRSEQQVMNEFTKSIAAKDYTKRTAVLLHELTFFYV STNTDTDGSSAHIHDSVLKDLTTLLTAIKDKREDQRIIKTVMTLLQRIAEQMLFSQEL KQTLSMSPAPSPASIAPPTSPRGDKTEAIMHALKSLTDLVAKAEVGNAFPPRRVAAYK LFVVLCAATQQPERPFSITSTIQSSSVWTMLKLTAAAKKKGADKDLPTQLGLLSGAFQ VARHTPSPASLESVVPQLVQGAFLPNRHAAATCLRLFDVMPLKVVQAVYAHVSATPSF SLNTTDPLTTIYVLKLCGKITRLPVTSSSSSSSSSSAQNLLDFSFDTTAKPVKRREKA PSGPSIDGSVSSRLSDLLVDICMQKHTFALAAAALTPHASSVSVAAATLAPCTVLLTA IQELALGQVAPKCFEKVRGGLSPFEIASNGVHLILQTHADNPLVLHRVARVVQVVAEC LDLTLVEFTAGGGHLDFFSHVTDRMWELATSPTSYQSSCVVRESLIALVWLLPRTPRR SSLSPKTQTQSAVWTRFLVHLRTLSTVPELDRRDIALAMFRRATLFDVDAPLLHRTIA VLVHWYHLHPCQWHSDVALQLWTTLLSDGQPSSDMFASVLAMMDHHVAPSSSSHPAQA QAVQAMKCVALRFLSSPPATTLLLGHPTLAAACQDIVLRLTKFVMLDELIIRRLSVDA MVAMASGGGTPQQQHVHDVLAALDMPSHHHLGIQDIVVPFLRRTSSSTSSSVGPSQKW MMM H257_06247 MDQLIPIINKLQDVFSAIGQSPINLPQIVVVGSQSSGKSSVLEN IVGKDFLPRGSGIVTRRPLVLQLYNTDGGVNKDEEWGEFNHLPNQKFNDFKKIREEIE KETDRMTGKNKGISNKPITLKIFSPFVLNLTLVDLPGITKVPVGDQPANIEEQIRDMC LEFIGNPNSIILAVTAANTDLANSDSLKMARAIDPEGLRTIGVLTKLDLMDNGTDAME MLQGRIIPLKKGYVGIVNRSQADINNGVGIRDSVSKETTFFKAHPAYRGIASRMGTAF LSKSLNTILMHHIRDCLPDIKSRISSMLTELDLEMDGMGGPTDTMSKTALGATLLQLI SHFSSSFINSLDGRQPNANDMTELYGGARISYIFNELFTKSVQAVDPFDQLSDNDIRT TIRNANGPRQSLFVPEVSFELLAKRQIARLEAPGLQCVDFVFDELQRVTSQCETVELT RFADLRDRVVEVVNSMLRTSMGPTQAMISNLIKTELSYINTNHPDFIGGSRAVAQLMD KLQQDGHAAGAQSPDQLQARRASAAKPPPQSEDKAESSSGFMSMFKKPSASETSKSSK DPVVKLPQVPSTMRQGDAPTDRERIETEIIKSLLASYFDIVRKNFLDLVPKSIMCFMV NHAKDQVQNQLVSTLYKEEKLGELLRESGDIASRRANCSEMRTLLSKALDIVNEVRDF NTFK H257_06248 MKRKHWTTMDKLGSISGSWNGKDVVSTEQFDATNVRFLFQVADR MKEMVARQGTDDTLRGKVLANVFFEPSTRTSCSFQAAMVRLGGAVVCVNESSSSSKKG ETLSDTIKCLECYTDLLVLRHPVLGAAATAAAASSKPIINAGDGVGEHPTQALLDLYT IYQEAKLADLDLSGKVITMVGDLKNGRTVHSLAKLLAHFNAKLNYVAPESLKMPKYVV DALAAQGVVQRETTDLDSVLRESDVLYITRIQKERFDTVEEYDAVKDSFRITRATLEN AKATAILMHPLPRVNEIEVDVDSDPRAAYFRQMEYGMYVRMAILALVVGRA H257_06249 MSAEEVNEVQVEVAELGELEALQEVLKKALVHDGLKRGLHESAK ALDSRRARLCVLAQDCDEPSYQKLVRALCEEHGVNLIMVPSGKQLGEWSGLCKIDDKG EARKVVSTSVAVVTDFGEEHRALDVLLNFLKNRVEA H257_06250 MDSKEHGAVRPCLQLTVQLMSTYKRINSVYYKSLREKQAEKTMV SKDPTDGLTKHVDTSKKVDKIMAGHYVPAENEVLHGRYRITGKKLGMGTFGQVLEAYD ETSSQGVAVKIIKNHSHFSVQAQTEIKILQYMHTSTHGDRCHIVRMVDHFTHKGHECL VFPLCSYNLYELLRSSQFKGVGLKLIRKFAKQIVESLEFLASINVIHCDLKPENIVIA RPNRSNIKIIDFGSSCLSDKRVHTYIQSRFYRAPEILLGMKYTTAIDMWSVGCILVEL HTGSPLFAGQDLPDQLRKIVQVLGMVPGHVVEQANPSVRCEYFAREQQHPGCTPTRNY TLRKKCPANDAPMSLESICTLGGRRLNKEPDHSSDDYAQFVDLVTRMLEIDPARRITP AMALRHPFFQDRPLPHTRGGGDKKRATKPADVSMTDDIHPTTTTEDDPCQEYTHRAKQ RKLLVPPPHRDG H257_06250 MVSKDPTDGLTKHVDTSKKVDKIMAGHYVPAENEVLHGRYRITG KKLGMGTFGQVLEAYDETSSQGVAVKIIKNHSHFSVQAQTEIKILQYMHTSTHGDRCH IVRMVDHFTHKGHECLVFPLCSYNLYELLRSSQFKGVGLKLIRKFAKQIVESLEFLAS INVIHCDLKPENIVIARPNRSNIKIIDFGSSCLSDKRVHTYIQSRFYRAPEILLGMKY TTAIDMWSVGCILVELHTGSPLFAGQDLPDQLRKIVQVLGMVPGHVVEQANPSVRCEY FAREQQHPGCTPTRNYTLRKKCPANDAPMSLESICTLGGRRLNKEPDHSSDDYAQFVD LVTRMLEIDPARRITPAMALRHPFFQDRPLPHTRGGGDKKRATKPADVSMTDDIHPTT TTEDDPCQEYTHRAKQRKLLVPPPHRDG H257_06250 MDSKEHGAVRPCLQLTVQLMSTYKRINSVYYKSLREKQAEKTMV SKDPTDGLTKHVDTSKKVDKIMAGHYVPAENEVLHGRYRITGKKLGMGTFGQVLEAYD ETSSQGVAVKIIKNHSHFSVQAQTEIKILQYMHTSTHGDRCHIVRMVDHFTHKGHECL VFPLCSYNLYELLRSSQFKGVGLKLIRKFAKQIVESLEFLASINVIHCDLKPENIVIA RPNRSNIKIIDFGSSCLSDKRVHTYIQSRFYRAPEILLGMKYTTAIDMWSVGCILVEL HTGSPLFAGQDLPDQLRKIVQVLGMVPGHVVEQANPSVRCEYFAREQQHPGCTPTRNY TLRKKCPANDAPMSLESICTLGGRRLNKEPDHSSDDYAQFVDLVTRMLEIEYVCDVAY LFIFE H257_06250 MVSKDPTDGLTKHVDTSKKVDKIMAGHYVPAENEVLHGRYRITG KKLGMGTFGQVLEAYDETSSQGVAVKIIKNHSHFSVQAQTEIKILQYMHTSTHGDRCH IVRMVDHFTHKGHECLVFPLCSYNLYELLRSSQFKGVGLKLIRKFAKQIVESLEFLAS INVIHCDLKPENIVIARPNRSNIKIIDFGSSCLSDKRVHTYIQSRFYRAPEILLGMKY TTAIDMWSVGCILVELHTGSPLFAGQDLPDQLRKIVQVLGMVPGHVVEQANPSVRCEY FAREQQHPGCTPTRNYTLRKKCPANDAPMSLESICTLGGRRLNKEPDHSSDDYAQFVD LVTRMLEIEYVCDVAYLFIFE H257_06251 MRLPPVLRNRDVVLLLTALLEQCHGDFDTFLDTLEVLIGVNVLR LRAPRPLINYNNNFDRHMDAATARTKFRFSIDQLAVLCVKLRLPEFTVTPWHDKVDTV EAVAIVCRRMAETCRLYTIASEFGRSLEACSRIINAVVNAIYRKWHDVMYFHERLTAL RADSYAEAIETKSGLRGMKTCIAFIDGTKQFISRPTPRPTASEPENLQRVFPSPTASS SACTGPLKADATTRRCFQ H257_06252 MRLPPVLRNRDVVLLLTALLEQCHGDFDTFLDTLEVLIGVNVLR LRAPRPLINYNNNFDRHMDAATARTKFRFSIDQLAVLCVKLRLPEFTVTPWHDKVDTV EAVAIVCRRMAETCRLYTIASEFGRSLEACSRIINAVVNAIYRKWHDVMYFHERLTAL RADSYAEAIETKSGLRGMKTCIAFIDGTKQFISRPTPRPTASEPENLQRVVYNGHPRR HCLNWQGVSVPDGIIVGMYGPVEGRRHDSTMLSMSCLLDRFKANPVLARFCLYGDPAY GCRQCLSCPFANAVPGTFEAVFNSSMSSVRESVEWSFHLVKGLWPYVSYDKKMKVRGS PIGLLWLTATLLTNCHTCLKPDGNQISMYFDVKPPSLDVYLSSALP H257_06253 MSTIDSRANPVVQVDDAQSRPGKFDCFHDIQLLKQVNLSKPWEA GYGKVMAAWVEVCREVIRIPGFKINKKPEGLKTRFDLLIKTHCEGEMASMRKSGTSED YTERDLLLTDIKARMDDFDETAAARKDSVKRKIDSIENSGTLMRRMAMGNLDGQGDEK DETPRKKKKNQAPSLDISCLMDTIKKGIDEKVKREAKHAELLEERLAFDRAQAQRQEK QHQDHQLIMQQLLASLIKK H257_06254 MARTKPKPRGGNVVKAEPQVKIEPAIVQAAAPADDHAFPADAAL SPEDQAKEDEFVKNKWQLLPYFLQLRGLVKQHIDSFDYFTEVDMKKIVRAQANCTIRS DHDPKFFLTYTDINIGTPSIDEDAYVTTAVTPNQCRLRDRTYSAPIYVSVKYRLGPKV VTKNKVLIGRIPIMLRSAKCVLREKDEAALAALKECPYDPGGYFVVKGSEKVVLIHEQ LSKNRVILEEDPKKNVCASITSSTHERKSRTNIFLNKGRVYLKSNSFLDDLPIVVVLR GMGLVSDQEIVSLIGCEPDVTDHFAASLEEASDLKVFTQTQALMYIGNKLKNRGGGGG GQKVADAALDALVMVLNHIPCEDFNFRMKCIYVAHIVRRVLLTDKDRSRLDDKDYYGN KRLELAGQLLSLLFEDLFKRFNSDLKRQADLVLNKPNRAAVFDIIKCIRTDTITYGFI HCLSTGNWTLKRFRMDRSGVTHVLSRLSFMSALGMMTRISSQFEKTRKVSGPRSLQPS QWGMLCPADTPEGEACGLVKNLALLCHVTSDEEPEPIRRLCFDLGVNDVCLSSGEEIN HPTTYLVMLNGIIIGTHVNPKGFVSRLRRIRRAGLLGEFVSVMLHDVQRVVYIASDGG RVCRPLLLVDAATQKPRLTQRQITELQTGVRTISSLIQEGVVEYVDVNEENNCLVALH ERDIDVKTTHLEIDPVTILGVVSGLIPYPHHNQSPRNTYQCAMGKQAIGTIGMNQYER IDTLLYTMVYPQAPMVKTRVLDLVNFDQVPAGQNATVAVMSYSGYDIEDAIVLNKASL DRGFGRCMVFKKYQTVVKRYPNNTFDRIVGPPDFEGTGFASSFRNQRYASLDADGICR VGGQVVSGGVMVNKESPANVDINITSDVQDVSYSPSPVSYKNSTPGYIDKVMLTSSES DQFLIKVLVRQTRRPEIGDKFSSRHGQKGVCGTIRNQEDMPFNDIGMCPDLIMNPHGF PSRMTVGKMIELVAGKAGVLSGRRAFGTAFGEDYGTADRVLDCSKELVSHGFNYAGKD YLTSGVTGEPILCYIFMGPIYYQKLKHMVMDKMHARARGPRAVLTRQPTEGRARDGGL RLGEMERDCLIGYGASMLLLERLMLSSDAFTANVCQGCQMLGYEGWCQYCKSEEKVVD IRIPYACKLLFQELQAMNIAPRLTLQEY H257_06255 MADDEPVAKKVKLDEGTAANDAVSIRIVKDAKDWSGQPTFHPAF TYHAFGKDEVIRGYQGLCIMLTFNANTFDCFVEVTFDHRDTDADDVMAMMEHSLPKGF TQDKEAFLHALEHSAAKPPGALVNSYTKDDKEFATYFAVLSEDAAAAAYLDRMQKLSL WFIEGADDIDVHDARWSLYVVFDVSAGYLHPAGYITMFTFHAPMTMMQNVRICQVLVL PPYQRQGHGERLVEYIMHQARSRANVHEVTVEDPVPGFSTLRDVVDVKTCLSHGFFGL PPSEATASAGHGTRALTPDDVAAVKKSLKVTKTQVHRCYEILKLRFVDRTNEPEYKAF RLEVKRRLHSLHMEDLDAMGSADRRKGLLATLYEALEADYDRVLGRCGLLARPE H257_06255 MADDEPVAKKVKLDEGTAANDAVSIRIVKDAKDWSGQPTFHPAF TYHAFGKDEVIRGYQGLCIMLTFNANTFDCFVEVTFDHRDTDADDVMAMMEHSLPKGF TQDKEAFLHALEHSAAKPPGALVNSYTKDDKEFATYFAVLSEDAAAAAYLDRMQKLSL WFIEGADDIDVHDARWSLYVVFDVSAGYLHPAGYITMFTFHAPMTMMQNVRICQVLVL PPYQRQGHGERLVEYIMHQARSRANVHEVTVEDPVPGFSTLRDVVDVKTCLSHGFFGL PPSEATASAGHGTRALTPDDVAAVKKVSTHATRWIQS H257_06256 MTPSLRNKKAAVDATTDDWNNLAYQVGWGNHFASEVLPGALPQH MNNPQKCNYGLYCEQLSGTAFTLPRHSNQRTWLYRILPPVVHAEYVNVTSGDVVTDFS KEHTTPQQLRWGPMALPASSESVDFVQGLKTIGGAGDPTMKTGMAVHMYAANASMVDK CFYNSDGDFLVVPQEGSLRILTEMGKLLVSPHEIVVLPRGIRFSIDVDGPVRGYVLEV YNRHFVIPDLGPIGANGLANPRDFEHPTAWYEDRDCNYTVVTKFAGNMFAAHMTFSPF NVVAWHGNYVPYKYNLDHFCTMNSVNYDHPDPSIYTVLTCQTDEPGCAVADFVIFPPR WMVQEKTFRPPYYHRNHMTEFMGMVYGHYDAKTDDGFVPGGASLHSCHTAHGPDTETF LAASSADLKPVKFDGGLAFMFESTYIMKVTKYAMTCPQMDHDYYKCWQPLPKLFNPNK L H257_06257 MADDEARNVVSTRQQATTVQDLRDNRLADGSKKGYRSGLRQIVA WLRAAGRTGSINPDGSIDLDVFTYEDFTEFVLHKYKNDGVSLSTLSGYRSAIKDYYNR QNVAIPSGFVSDATTIYQGDQYVGRVVAGLPRGSGDFAVLPPHFIAGSDDAVSASGQL VFPRLWRHEELRGVLKSCLVSLLYHKTFLENALPSKHPLLSSVLFGDPLMVTRLAPKV TLASTMMQPTGIPPHVSLHSQLETNLAAIRDLPGEIRTVIEGILDEKGITSGNITHSL LERLLNNAVARVTCTSSRAVVTTAVDDNAPVHPVHFWGGRWHFLPEDFELPSVDVATA WHYWWCGSPARNIPPLHKIDTQDLTKKQGKIYCEWKFAVNGLHDIYRSATGGPLSRPY IASSVIAAFTTITESLSSSWGLTEQGRQRRLSQVKMVTFARLARKRSHV H257_06258 MSEEVVLRKSDGLLYCPRCTVHYVNERAFRAHCKTKHGLKVTLF KKKSIEEKKAKARQRKPQRKATREALQAMAGKTFRLKQRALFTFAVTRIDDSTVLGAG RGLFANVDLSAGDICTVYDGEKVYEEPTDHEYACQLGVVTTKSWLMGLKDPVAGRGLG KSVQMVPPIPVHLRHILWHDDAEVEETPPSPPLLDYFMANTGGSPCEVDVIRTPNPSH AIPTHLRHILWPDTGEAAAPLSPPLHPLTDLVENVWEANHVGIDW H257_06259 MPPRSPRRTADASVAVQDLADVCKHLSFAHRRTAYETLLSVAVD GVLPRGALTELAQKFRCHPRTISRLWTQARLSLHDVHHAADVASKTKGNSGRHALRTS DEIEAAIRNVPQMQRQTFRSLSAACGIPMTTIFSHMKKNPRFKARSNYVKPHLTPANV EERLKFAMSFVWPLPGGRHLFNDMHDYVHVDDNWFYLTKVERRYYVYDDEEVTAQAVK S H257_06260 MEYLTKSGKRFKHFPSARYAVDVTFQQTHAPVVSFGEKRVFFSK KHALHGMKVEFNIIHDLPVVLHDSTWRVSDVEGLSPRAVHSVVLHDATMSDVPSCSCL VIFLVQEFLRYQAIQVHTKESVRILRLRNMFTRVEQAVTNNGHLYRLFVLNMTAFENA IKSGTSDGGLRSFTLPINDVNSGAFMPPDTVPARPPAKRKSKDDVNSCVVQQAITLMS TVLALCLANKGSEKQPKVLTPPMINLK H257_06261 MGYICVVPQFVENSKKLTSNEVLSDKATFDTITKTENYLPCFHD PAFVQQQLYVSFIIWASFLFFSILWVVASNQQNRSLKNDEVGFSLALRQWARKDRIAI IINRPVQLVASLGIFVILVNRCNYLSVDVAEYYIGLALYLAAFVDTLVRFCAAKQKIS YAFSPYTILDIFSLASYFCVGFAPSLLINGTAARTWLDFSIMRSIFIYRSFMEMDSHF DTSTRGIMLFRLAVRCFLLLMWAASVMFFVEMTGEINAFVDNGFAHLYSCPNGTISSA SSDGACGSETWSMMFALYFTVVTLGTVGYGDNSAHYLPSRLLVMVFILAGIILFSMEI QNMVNLYQLRKIGNPPYRPKSRTTQHVVIMGNPTYPQLSATLRELFHPDHFQGIDRTF LHAVVLGDSSSKYVKGLIQRLESEPKFASTVTFVAGDPTDDADLDRVRLKDAIGAFFI PDKLASDAVKEDARNIMHILSAKQYAGYDFPCWAIALRNDNIRHMLAAGVDRDGIVCE ETMKMGVMARSCACPGYATFLSNLASCLSLAKQPDSPFALRSKAALGGDLNAAPCVAA AAAQPWMNHYYAGASREFYVVSLSKEFADMTFRDVAAQIFTRSKGSVVLIAVEVVVDD PSESDAMAARIHGVRVMLNPGHAMRLKEGSSVYVIADDLASVQAFHITNDDVADDEDD VVHSPRPSTGRQSTLRTPLLPSSSSMLPSTTHPAKAPVPPPRRFRTLLSGESMHLDST LDRVVSSIRLPTRRPDQTVDPRRASLMDVFSSGSPVHEQPRELTPPPMSVLEDPRHIV VCSFLGDESLAALQWFISPLRGVYSLNMPPITILDASPPSGDWVSAIAKFSDVYYVCG SPLVYRELQRAGAKSAHSVLVLAKKSKATSTDGGAGPMQTSLMDADAIFTTLLVDLKM NPSRMFTLTELTDESNSKLLNKRFLVQQPGAPHNDGSTDKLHSMWDIALSASAGVHNG STSSSSAPSIYSMPLYMSGRLMHPQFCENLLVQSYYDPSIHRILRQLVGGPRSTGIIC AVPLPRAYHNGNVEYGLLFHAYADRHFPGILLGVYRKPTPATDASAASSILPVVLTCP ATSLTVNHTFVHTVSHGDALDGRRRRLVRLVRVERARRRRQSDSSGVSGVERRPPVAV APPAFPHAPSNDQVVIL H257_06261 MGYICVVPQFVENSKKLTSNEVLSDKATFDTITKTENYLPCFHD PAFVQQQLYVSFIIWASFLFFSILWVVASNQQNRSLKNDEVGFSLALRQWARKDRIAI IINRPVQLVASLGIFVILVNRCNYLSVDVAEYYIGLALYLAAFVDTLVRFCAAKQKIS YAFSPYTILDIFSLASYFCVGFAPSLLINGTAARTWLDFSIMRSIFIYRSFMEMDSHF DTSTRGIMLFRLAVRCFLLLMWAASVMFFVEMTGEINAFVDNGFAHLYSCPNGTISSA SSDGACGSETWSMMFALYFTVVTLGTVGYGDNSAHYLPSRLLVMVFILAGIILFSMEI QNMVNLYQLRKIGNPPYRPKSRTTQHVVIMGNPTYPQLSATLRELFHPDHFQGIDRTF LHAVVLGDSSSKYVKGLIQRLESEPKFASTVTFVAGDPTDDADLDRVRLKDAIGAFFI PDKLASDAVKEDARNIMHILSAKQYAGYDFPCWAIALRNDNIRHMLAAGVDRDGIVCE ETMKMGVMARSCACPGYATFLSNLASCLSLAKQPDSPFALRSKAALGGDLNAAPCVAA AAAQPWMNHYYAGASREFYVVSLSKEFADMTFRDVAAQIFTRSKGSVVLIAVEVVVDD PSESDAMAARIHGVRVMLNPGHAMRLKEGSSVYVIADDLASVQAFHITNDDVADDEDD VVHSPRPSTGRQSTLRTPLLPSSSSMLPSTTHPAKAPVPPPRRFRTLLSGESMHLDST LDRVVSSIRLPTRRPDQTVDPRRASLMDVFSSGSPVHEQPRELTPPPMSVLEDPRHIV VCSFLGDESLAALQWFISPLRGVYSLNMPPITILDASPPSGDWVSAIAKFSDVYYVCG SPLVYRELQRAGAKSAHSVLVLAKKSKATSTDGGAGPMQTSLMDADAIFTTLLVDLKM NPSRMFTLTELTDESNSKLLNKRFLVQQPGAPHNDGSTDKLHSMWDIALSASAGVHNG STSSSSAPSIYSMPLYMSGRLMHPQFCENLLVQSYYDPSIHRILRQLVGGPRSTGIIC AVPLPRAYHNGNVEYGLLFHAYADRHFPGILLGVYRKPTPATDASAASSILPVVLTCP ATSLTMADGDVLYVLYGWNVLEDAAKAIQVAFRAWKGVRPWLSHPPRSLMRRRTTKL H257_06261 MGYICVVPQFVENSKKLTSNEVLSDKATFDTITKTENYLPCFHD PAFVQQQLYVSFIIWASFLFFSILWVVASNQQNRSLKNDEVGFSLALRQWARKDRIAI IINRPVQLVASLGIFVILVNRCNYLSVDVAEYYIGLALYLAAFVDTLVRFCAAKQKIS YAFSPYTILDIFSLASYFCVGFAPSLLINGTAARTWLDFSIMRSIFIYRSFMEMDSHF DTSTRGIMLFRLAVRCFLLLMWAASVMFFVEMTGEINAFVDNGFAHLYSCPNGTISSA SSDGACGSETWSMMFALYFTVVTLGTVGYGDNSAHYLPSRLLVMVFILAGIILFSMEI QNMVNLYQLRKIGNPPYRPKSRTTQHVVIMGNPTYPQLSATLRELFHPDHFQGIDRTF LHAVVLGDSSSKYVKGLIQRLESEPKFASTVTFVAGDPTDDADLDRVRLKDAIGAFFI PDKLASDAVKEDARNIMHILSAKQYAGYDFPCWAIALRNDNIRHMLAAGVDRDGIVCE ETMKMGVMARSCACPGYATFLSNLASCLSLAKQPDSPFALRSKAALGGDLNAAPCVAA AAAQPWMNHYYAGASREFYVVSLSKEFADMTFRDVAAQIFTRSKGSVVLIAVEVVVDD PSESDAMAARIHGVRVMLNPGHAMRLKEGSSVYVIADDLASVQAFHITNDDVADDEDD VVHSPRPSTGRQSTLRTPLLPSSSSMLPSTTHPAKAPVPPPRRFRTLLSGESMHLDST LDRVVSSIRLPTRRPDQTVDPRRASLMDVFSSGSPVHEQPRELTPPPMSVLEDPRHIV VCSFLGDESLAALQWFISPLRGVYSLNMPPITILDASPPSGDWVSAIAKFSDVYYVCG SPLVYRELQRAGAKSAHSVLVLAKKSKATSTDGGAGPMQTSLMDADAIFTTLLVDLKM NPSRMFTLTELTDESNSKLLNKRFLVQQPGAPHNDGSTDKLHSMWDIALSASAGVHNG STSSSSAPSIYSMPLYMSGRLMHPQFCENLLVQVISLHVHLKYPKK H257_06261 MGYICVVPQFVENSKKLTSNEVLSDKATFDTITKTENYLPCFHD PAFVQQQLYVSFIIWASFLFFSILWVVASNQQNRSLKNDEVGFSLALRQWARKDRIAI IINRPVQLVASLGIFVILVNRCNYLSVDVAEYYIGLALYLAAFVDTLVRFCAAKQKIS YAFSPYTILDIFSLASYFCVGFAPSLLINGTAARTWLDFSIMRSIFIYRSFMEMDSHF DTSTRGIMLFRLAVRCFLLLMWAASVMFFVEMTGEINAFVDNGFAHLYSCPNGTISSA SSDGACGSETWSMMFALYFTVVTLGTVGYGDNSAHYLPSRLLVMVFILAGIILFSMEI QNMVNLYQLRKIGNPPYRPKSRTTQHVVIMGNPTYPQLSATLRELFHPDHFQGIDRTF LHAVVLGDSSSKYVKGLIQRLESEPKFASTVTFVAGDPTDDADLDRVRLKDAIGAFFI PDKLASDAVKEDARNIMHILSAKQYAGYDFPCWAIALRNDNIRHMLAAGVDRDGIVCE ETMKMGVMARSCACPGYATFLSNLASCLSLAKQPDSPFALRSKAALGGDLNAAPCVAA AAAQPWMNHYYAGASREFYVVSLSKEFADMTFRDVAAQIFTRSKGSVVLIAVEVVVDD PSESDAMAARIHGVRVMLNPGHAMRLKEGSSVYVIADDLASVQAFHITNDDVADDEDD VVHSPRPSTGRQSTLRTPLLPSSSSMLPSTTHPAKAPVPPPRRFRTLLSGESMHLDST LDRVVSSIRLPTRRPDQTVDPRRASLMDVFSSGSPVHEQPRELTPPPMSVLEDPRHIV VCSFLGDESLAALQWFISPLRGVYSLNMPPITILDASPPSGDWVSAIAKFSDVYYVCG SPLVYRELQRAGAKSAHSVLVLAKKSKATSTDGGAGPMQTSLMDADAIFTTLLVDLKM NPSRMFTLTELTDESNSKLLNKRFLVQQPGAPHNDGSTDKLHSMWDIALSASAGVHNG STSSSSAPSIYSMPLYMSGRLMHPQFCENLLVQVISLHVHLKYPKK H257_06261 MGYICVVPQFVENSKKLTSNEVLSDKATFDTITKTENYLPCFHD PAFVQQQLYVSFIIWASFLFFSILWVVASNQQNRSLKNDEVGFSLALRQWARKDRIAI IINRPVQLVASLGIFVILVNRCNYLSVDVAEYYIGLALYLAAFVDTLVRFCAAKQKIS YAFSPYTILDIFSLASYFCVGFAPSLLINGTAARTWLDFSIMRSIFIYRSFMEMDSHF DTSTRGIMLFRLAVRCFLLLMWAASVMFFVEMTGEINAFVDNGFAHLYSCPNGTISSA SSDGACGSETWSMMFALYFTVVTLGTVGYGDNSAHYLPSRLLVMVFILAGIILFSMEI QNMVNLYQLRKIGNPPYRPKSRTTQHVVIMGNPTYPQLSATLRELFHPDHFQGIDRTF LHAVVLGDSSSKYVKGLIQRLESEPKFASTVTFVAGDPTDDADLDRVRLKDAIGAFFI PDKLASDAVKEDARNIMHILSAKQYAGYDFPCWAIALRNDNIRHMLAAGVDRDGIVCE ETMKMGVMARSCACPGYATFLSNLASCLSLAKQPDSPFALRSKAALGGDLNAAPCVAA AAAQPWMNHYYAGASREFYVVSLSKEFADMTFRDVAAQIFTRSKGSVVLIAVEVVVDD PSESDAMAARIHGVRVMLNPGHAMRLKEGSSVYVIADDLASVQAFHITNDDVADDEDD VVHSPRPSTGRQSTLRTPLLPSSSSMLPSTTHPAKAPVPPPRRFRVSSTSPAGFYSTS HSLSLSLDFAQWRKHALGFHVGSGGVVDSIADATPGPDRGPSSGVVDGRVFIRVARPR AAAGADAAAHVRVGRPAAHRRVQFLGGRVVGGAPVVHLAPSRGVFAQHAADHHLGRVA AVGGLGVGHRQVFRCLLCMRKSVGVPRAAACRRQVGAFGAGAGQEVQGHQYRRRGRTD ANQLDGRRRHLHDPAGGPQDEPESHVHAHGTHRRIQFQTPQQAVPRPATWRTPQRWLD G H257_06261 MGYICVVPQFVENSKKLTSNEVLSDKATFDTITKTENYLPCFHD PAFVQQQLYVSFIIWASFLFFSILWVVASNQQNRSLKNDEVGFSLALRQWARKDRIAI IINRPVQLVASLGIFVILVNRCNYLSVDVAEYYIGLALYLAAFVDTLVRFCAAKQKIS YAFSPYTILDIFSLASYFCVGFAPSLLINGTAARTWLDFSIMRSIFIYRSFMEMDSHF DTSTRGIMLFRLAVRCFLLLMWAASVMFFVEMTGEINAFVDNGFAHLYSCPNGTISSA SSDGACGSETWSMMFALYFTVVTLGTVGYGDNSAHYLPSRLLVMVFILAGIILFSMEI QNMVNLYQLRKIGNPPYRPKSRTTQHVVIMGNPTYPQLSATLRELFHPDHFQGIDRTF LHAVVLGDSSSKYVKGLIQRLESEPKFASTVTFVAGDPTDDADLDRVRLKDAIGAFFI PDKLASDAVKEDARNIMHILSAKQYAGYDFPCWAIALRNDNIRHMLAAGVDRDGIVCE ETMKMGVMARSCACPGYATFLSNLASCLSLAKQPDSPFALRSKAALGGDLNAAPCVAA AAAQPWMNHYYAGASREFYVVSLSKEFADMTFRDVAAQIFTRSKGSVVLIAVEVVVDD PSESDAMAARIHGVRVMLNPGHAMRLKEGSSVYVIADDLASVQAFHITNDDVADDEDD VVHSPRPSTGRQSTLRTPLLPSSSSMLPSTTHPAKAPVPPPRRFRVSSTSPAGFYSTS HSLSLSLDFAQWRKHALGFHVGSGGVVDSIADATPGPDRGPSSGVVDGRVFIRVARPR AAAGADAAAHVRVGRPAAHRRVQFLGGRVVGGAPVVHLAPSRGVFAQHAADHHLGRVA AVGGLGVGHRQVFRCLLCMRKSVGVPRAAACRRQVGAFGAGAGQEVQGHQYRRRGRTD ANQLDGRRRHLHDPAGGPQDEPESHVHAHGTHRRIQFQTPQQAVPRPATWRTPQRWLD G H257_06261 MGYICVVPQFVENSKKLTSNEVLSDKATFDTITKTENYLPCFHD PAFVQQQLYVSFIIWASFLFFSILWVVASNQQNRSLKNDEVGFSLALRQWARKDRIAI IINRPVQLVASLGIFVILVNRCNYLSVDVAEYYIGLALYLAAFVDTLVRFCAAKQKIS YAFSPYTILDIFSLASYFCVGFAPSLLINGTAARTWLDFSIMRSIFIYRSFMEMDSHF DTSTRGIMLFRLAVRCFLLLMWAASVMFFVEMTGEINAFVDNGFAHLYSCPNGTISSA SSDGACGSETWSMMFALYFTVVTLGTVGYGDNSAHYLPSRLLVMVFILAGIILFSMEI QNMVNLYQLRKIGNPPYRPKSRTTQHVVIMGNPTYPQLSATLRELFHPDHFQGIDRTF LHAVVLGDSSSKYVKGLIQRLESEPKFASTVTFVAGDPTDDADLDRVRLKDAIGAFFI PDKLASDAVKEDARNIMHILSAKQYAGYDFPCWAIALRNDNIRHMLAAGVDRDGIVCE ETMKMGVMARSCACPGYATFLSNLASCLSLAKQPDSPFALRSKAALGGDLNAAPCVAA AAAQPWMNHYYAGASREFYVVSLSKEFADMTFRDVAAQIFTRSKGSVVLIAVEVVVDD PSESDAMAARIHGVRVMLNPGHAMRLKEGSSVYVIADDLASVQAFHITNDDVADDEDD VVHSPRPSTGRQSTLRTPLLPSSSSMLPSTTHPAKAPVPPPRRFRVSSTSPAGFYSTS HSLSLSLDFAQWRKHALGFHVGSGGVVDSIADATPGPDRGPSSGVVDGRVFIRVARPR AAAGADAAAHVRVGRPAAHRRVQFLGGRVVGGAPVVHLAPSRGVFAQHAADHHLGRVA AVGGLGVGHRQVFRCLLCMRKSVGVPRAAACRRQVGAFGAGAGQEVQGHQYRRRGRTD ANQLDGRRRHLHDPAGGPQDEPESHVHAHGTHRRIQFQTPQQAVPRPATWRTPQRWLD G H257_06262 MSEATATDRATDKLYVQANTIQCAINLCRPCCYKLFIYGGKDVM PNVRPEQCPRFNDPYAAREDDGDNAEEAELEIVPRNVLLQPSHKVLTLGDGNFSFSRA LLGYLGEASQLTATSHEAKDTVVETYPDSSAILDTLTTAGASVLHEVDATSAKSLKAA AGATKFDRVIWNFPCVRMPDGADGQNNEMDDNKQLLQGFFTAVVGVLAPHGEVHVTHK TKPPFGQWGVVALAEANGLRHTGSVVFDRCLYPGYSNKKVLSKGSFPIWDSETFVFVR ATDKEGSSAGTIPAASTETDGVQLFVGWDAAKWRHADALYPVRPALLRDLRVLLGPAN QKQVEAKERARKRRKHVTLPADLLEKTKGKKRKRDAKAADKKKGGDKKGKKIVMQPTK RNKKAKRHS H257_06263 MAHSHDDMSKLHESKAAILAAPDTSSAQAQLAQHDDEIKARAKA YFALSQQHASEAEAKLKECASHEGGKCVDNMRGVLDKWARSVNDFEMIANEYGASFHD QVSGYAKQQRESIAEMTRLIKEHK H257_06264 MASSDKGDKSMMDALTDKLHKGLDITNEQLHKAGDCLMKLLHMT SNGIYDSQDEKVRETTKACYDSLHQHASKAQEMFKEYAYGTKDKGHEYYESMNDSIDK WRKSLDDLESKASEHAGLYRDQVTDYVKHQRQHIADMTDYAKNKMKKLSGMDKSDKSV AEQASEKAGEAKEKVGEKAHEGKEKASEVAGKASEKAHEGKEKASEMAGDAKEKGSEM GEKASDKAGDAKEKASETAGDAKEKGSEMGEKASEKAGEAKEKASEKAGEAKDKASEK SGEAKDKGSEMAGKASEKAGQAKEKGSEMGSDFRSKLEEGWKATSDQFQKLKSGIEDE SKHVREKASGYFDSVESSATEAQDMLKECAEGAKDKGGDYYDRMKKSMDKWRSSLDDL ESQGKDYSDSYRKEVSDYVKKQRDHIDEMARRAHEQSKQEADK H257_06265 MCNVCSRPVDSGNATFSFKLVLRCSIGLTLLTVILFNEVVESFL GCSATIYFELTEKSSSFSSRVAALLEGMHVTMTLRTPPTSSSIDSTFKKDPKVVAIYP LFRPHGNSPLVNDAIELAGPTTPLATPAPL H257_06266 MAIRRALLTRRSAVLGHWSNSVASVAVPTSQAAFSFSNDHRIKQ QQRHAAAVHGQRRLLSTDVDLGYFGSYSERTGEWEPDQSHLDEVVRSDSLDAHPTLQS LIRDDIEEARRFFRNVLQVHYHNDMDMWNKWATMEWKDGKIVLARKIYAKASKIRFSP VLYQSWGTMESELQNYMEARRLFSVILATDSSNSLQAAMASLGLALVEDRCGNTAKAR RMLLQAKKRFPDDLHVAEAYALFEGRHGNVKLARQMLSAIAERGDCTPQVFHAWAYLE FRRGSYNETLSIIDRGLVTDPYDKPLLMLRALALAKSGDNESAREAFIKHTKFAKGDP RAFNAFAQFEEENGNFEEAQRIYHSILQQQPTSASNITGLAYLQVKMDPEGGVESGRD AFATGVNIAVDNAELWHNWGIFEERHGTPELAKRLYTEAVEKAPWAADYWCSLARVES KLGDTKAMRVTLDKATMMCTNKLPLLVALAKLELKNRNFKQAREACVAALKVDKNRPS TWNLRAMVELVHNPERAKGIVESALKIIPTHDHVSWGILLCTYARCYAAMGDYDNAAT SFREAIRLDAGNYHTHMYLANFMEKMGELEEAKKRYETASLLCPSNKVHLMERHIADV IAKAESERGV H257_06266 MAIRRALLTRRSAVLGHWSNSVASVAVPTSQAAFSFSNDHRIKQ QQRHAAAVHGQRRLLSTDVDLGYFGSYSERTGEWEPDQSHLDEVVRSDSLDAHPTLQS LIRDDIEEARRFFRNVLQVHYHNDMDMWNKWATMEWKDGKIVLARKIYAKASKIRFSP VLYQSWGTMESELQNYMEARRLFSVILATDSSNSLQAAMASLGLALVEDRCGNTAKAR RMLLQAKKRFPDDLHVAEAYALFEGRHGNVKLARQMLSAIAERGDCTPQVFHAWAYLE FRRGSYNETLSIIDRGLVTDPYDKPLLMLRALALAKSGDNESAREAFIKHTKFAKGDP RAFNAFAQFEEENGNFEEAQRIYHSILQQQPTSASNITGLAYLQVKMDPEGGVESGRD AFATGVNIAVDNAELWHNWGIFEERHGTPELAKRLYTEAVEKAPWAADYWCSLARVES KLGDTKAMRVTLDKATMMCTNKLPLLVALAKLELKNRNFKQAREACVAALKVDKNRPS TWNLRQVEGW H257_06267 MSNDKKANKQGGFQSLGLSPPIFKAVMAMGYKVPTPIQRKSLPH VLSGKDVVAMARTGSGKTAAFLIPMLEKLKEHSKKVGVRALVLSPTRELAVQTIKFAK SLAKYTDIKCGLIVGGDSMEQQFELIASNPDVIVATPGRLMHLLQEIPEFNLQAIEYV CFDEADRIFEMGFAEQLHEILTNMPASRQTLLFSATLPRALVQFARAGLTEPELIRLD VENQISDQLKVSFFTMRTEDKNAALVYLLRDMIPSTDQTIVFAATRHHVEFLHELLKT VHLDSSCVYGDMDQTSRKINIGMFRAKKTPILIVTDVAARGIDIPLLNNVINYAFPAT PKLFVHRVGRAARAGRSGVAFNFVDPDEMPFMVDLHLYLGRRLEDSTPTDVPGYSLTS MTVDQVHYGTLPQNILDTENEGLRETLSRHSTISPLVHVCANAYKMYCRSRAEPSKQS IKRSKELPMKKVHPLFLDAMDDTQVNKEAYLDKLKGFRPPATIFEIAVGTHSLKKTSP GVIMMKTKRRLHNLIIDKNQKTKAAAATAARVEPEDVAEELDEGQVTEFRAKLKEQET LLQAEDQAIEAAVAGQKRYLSAADRRKVKKLKTQGETVDIDELWKEKEAAKAMTAAAA VSNAEVDEDNHKQFKDDDNYIAYMKEQDLATEEALGRGGEGGRSNAFAQARLEDAMLD VNPDEAVALNNKRRLLHWDVRKKKFIKTTVGDLKNGTLKRQNNAGGPPRKQKIGETYK KWQQKQHKRANVVGADEGEDSAPKGDYRNGRKPPPTQRVNKFAKSELREEGAIRKEEK RKARSSGDKSKFAKKKPQTSRGKGNVKGKTHGAPTKSKMFIRR H257_06268 MENDLEKVLRLMLVHQEQFHPAQALVPSVSPTKGPDIDEDPTRC LTVLRKARKMLPKVMKEISSSVPNPKVLDTLCTLLSLFLSSDVCPFSPSVFSSNAGVI LFYMVRFLATPEYASLHQKVFDVCTTLALLLLESDFNGYVHIYCDLVDLLEDLQVLER HTSWRPSEAITVHCFLVSGAHLGRDTAHMQSGLRLCCQREELDPHHAQLVFDMGKKTV PPLTFTAAATVRLFSVWMWRFLNKVVGYAATFLSHHPTMAQLCVLVGSLLDAATKPAT DVDGAVLELLKLLFTQVALTDFSLIHLSTSFFAYATLPHHSTESTSNLLESIAATAVS RSRALSTALWPSFQTFATSKRTDVSLCGVICNTLRTFLKHHENNLHRCVTLLLDLVLH CDLHHVHVQRAVGTAIQSALDAHINNMWRHARAAIGQFHSEYVAVPPTHKRQKVVAEY ASSISTISFEEIAKVMLATPPVDSFQSLVLLVLAMAYDNTLAATGLGILLPLRRTTPS HPRTQDEGDVSRMLLDRLRTLLQQTPSSSSSSTNPSSSVRLIGLALRYATDDELTALP VMSTLLHVQKYADVANVPSRGWSCPDTTDHHAVELWMATAPPLDQFLMVLCWVYTRHD PLALVRDSPWRTSLSGRVFVDLVAKQQPTAVRFAPIWMSSVVRAGAATALDMWNTLAD LLLPMATSARPAIACAVVATLGHLCCTLSQLSDEEPIPSSSSYVALGVGGGDRYECLC RKPNRLNPPLPRTHDVAAVLESLIGSSSTDATVVHQTLLTMAAWIKHSTDLPPSVVWR FAEAVGHPDPICQRAVETHIGVIAPLVPSSSQLLSLFDVKLGSTPRLAAAAVRAMATL GRHCDLQSKGELDLFFALVFRLVGVWKDSKTTTSAGLHQIMTHLTWKQLCVQYPDRVH VPLVESLVVPGANSHLLQAFLAAFIGPDVTVALYLKENAAHILPHLIVTKNSALLQTV AAACGATVASLVGEHILAIVKEMLLQKVTSFNNVAEWEFFFGFIPHDVGIRDVIQHKP LTIIYALAWELAGDRPKVAKKSFIEVCKQFLDDEDHHMDTFHISQQFFLAVMTFLGQK LLSKNVRISIQAIQCTEVLLSLFDTVGALDNFVPKIMATLKLASVEAHADKILAACRA WRTFVRLLSPDAIKANVLSIVVSLLPCVGPLTELFQRHVVEGPETTIHTNKMTRTPPL SEAQQVALDVLRSIFTSEMIDDDPSVTFVLALTPMANDFPVQTIKHLPIHEVLALVLP LLQHWDGAVREVGLLHLIHILNSRSREVLDLVLSLEEGVVHTCIMGILKQLLRLSRLE TQENMQILVAQALGALGAIDMARITPQALRPITTNELSTKNLACHLIQTLLVNELRAA PQNTDVIALSIQQLLQFLAQLNAPDSSSSSTAASSSGVLFVPSSSSMPEWMQRQFHSK GVDKIIAPYWSTKYQAPNFKPTTMPPSSTFYEALGSVAFDQWLVSWCKFLIDHSTFPE RHIFLACRRALTISLEIARFLLPYLVQNVLKQSASYHLVKQEILSVLQEDSSVDSEAS TVSSHHHQCAQTVFSMLDELNDWVWASQRKKIALSQQPHMAKHMDELFDQEKEVVEEF LKDIPLSLLSSAAFKIKAYARAIQYFESHLRQQGNNVQMTSTDITKMQKMYGSLDEPD ALLGLATQRRWLHPHPTGSFQELQHLIAEHKHLARWEDALACYEQAIHHMHGSDVEVE IRSELYAGVIQCMIQLGRLEGALQHVRGIVNQYPEVIPAVYPCALECAWRLSRWDLLT ELTTDAMKVKLDKSEDMMGIKFAKTILCFHQNQLNMHVHLREARASIMGPLAAASQES YQRVYPLLHQLHFLHELEQGYLVSKASANKTAIWNAQCPWNRRDAMMAPALKFQEPIL ALRRVMMEDLHLDRSIVSANWLQYGKMARKEGLLRTAESAVMHAQALGNRHAIIEQAK LLVERGNMYEALHVLEPIPIDVSTIMDPRSSDNHFDAKMLLLATNYMQQSSQKQGQHV IDRYKAVIAFDKDYAKGYFCLAKYFEVLLANDRREGEDASGEPYAYLPHVLHNYVLSL KGTDKYLFQSLPRLLTLWYEFGEILQASQSTKRSYRLDIPSSSEHHYGLMQDISKIIL DALHSLPESMWLVCFPQVTSRICHPNVAVVDGVKAIMVRVLMAFPQRAMWYVLGLAQS LNMQRKTRAIEILKVAQKQLTNSNQVDMANALSEGMRLVEELIKLAEFDPGNQKKMPV RLSRVRAKVLLPIQSSINRRDLPESDVYIKSFGDKADVMLTKEKPKRIQVQGTDGKTY SFLCKREKHGDLRKDARMMEFNALMNKLLQRETDGRKRKLRLRTYAVICLNEESGLME WVPNTRAMRHLISQIYKTEQGFLQPVRLTTDIKDTFLNMQKQYAHDIPHMTLVYRTKI LTHLAFTPRFHQWFLNNFSDPTAWYEARDLFVRSAAVWSMVGHIVGLGDRHGENILID CTTGECVHVDFDCLFDKGLKLARPEIVPFRLTPNMIDAFGLSGVEGVYRHTCEVTLTL LRQNRETLRSILESFVHDPLVEWGRSKSKQSTTGAATLKAVPANHEQVNSEAKIMLKT IDERLRGIWNLGKKQQHETLPLSVKGQVDRLISEATSDENLAQMYIGWMPFL H257_06269 MLGTPATRHVSVSLGGGIVSVQLVPHTTATVVCQLLNDWVVVVD VLRPQVLQLHTVLRGDPRLNTNVPRFHADPTMYSNGEEGPWTSSHVLSARTEASYLKL HRCMGSFVFDGAVFATGFASDNGLYAIDMQSPPSAHPAAKDKDKTEPPTFHMLRRLRI PMDQMVTAVAAHPVSHVIVCGMQTNALAVLGPVASDESTTMT H257_06270 MADPSSLYYAALPFFIGGTSGMVATACVQPIDMVKVQIQSRTAV ASPFAIVRELVGSQGVTALYTGLGAGLLRQATYTTARLGIFDVLSRRLKSSDEAKLPF YKRAIAGLVAGGLGSIVGNPCDLVLVRMQTDAHLPVDQQKNYRGVGDAFRRIVADDGV VSLWKGSFPTVLRAMALNMGMLAMYDQAKDVLTPLYGPGTATVFMSSATAGFFASAFS LPFDFIKTRLQSTSTYTGLSDCVVQVIKKEGPATFYRGFGTYYIRIAPHVMITLTVAE SLRQLFHE H257_06271 MSPHPKSAKNVGFRDLVNGGVLQCLEAATLGMPFEVWKTRMGSY RTETTLQAFGNIYKQGGASAFWAGTGAKMVESASKGAILLFSKEAISDSLLASGVSET VTGFAAGAGGGVCQVVVMGPCTFLVTAAVNGDKSISTTQRIKSVYAAKGVKGFYPGGS AIAFRQATNWASRQGFTEIVRGQFKVIFHGDEHAKLTVAQEAGSGIIGGALACWNHPF EVARIQMQTAAERGEPKQSMIQVFKTVSKENGIGGLFKGIIPRIGLGIWQTLFMVTGA KLVKQALDGNKH H257_06272 MSTSWVDTPAVVVDEARLQRNIVLMQERASKHNVNLRPHAKTHK SIDIAKMVLAAGAVGVTVSKPLEARKFIEAGFRDITLAYPVVVEEKLNLTIRAAKEYG TTFNLTVESVVGVKVAASSAAKNDYVLNVLIHVDVGYHRVGVEENDPRLAELVALIQG SPSLKLIGILSHAGHSYGAKSREQCEEIGEVERQIMLRVKATIEKLGSPISVVSVGST PTELCRTNYEGITELRPGNYVFQDRTPVRAGVSTVQNVSLTVVATVVSTNAYYFIIDA GSKVLSADTARGSGDFGSNCYGLVFREHEFDEVTTEPTDFEWTLPSGDRSVCFEVKKL SEEHGWVAHAPAGPATSPQVGDRVTILVNHSCPVVNLCNRLSVKTKDGSFPKYIDLIS RGCCQ H257_06273 MIACVAIVGANNNPLFVRAYAEDDDLSFHYVAHIALDIVEERVK QSKDDMYLGFLGPVEDFRVYGYVTNTLVKLLAIVQDTPMKESDMRAFFSVMHNLYVNA MSNPFAVLGERIASAKFDRQVTSLVLQHNQTQEAR H257_06274 MSLLRMRPKAFPSDRNKQFVSPNGAPPSSSSRRSDNYTSGDMVI KNLPFLSFLNPLRDLECLFKPFKSPCESLRTQESVRLLSVKTLGSRRRWGNVPTSMTQ VQPAVDVVMDYVPEVVPQEDKVEEKKKDDEPVAEPLVLWSGVVDGKDVEVVVPEVIGK FLRPHQREGVQFVFDCVSGNRGFAGNGCILADDMGLGKTLQSIAIMYTLLCTSMEHPD KPTLNRAVVVCPTSLVKNWDDEIIKWLKGKVKTIALFESGRENVVSGIMSFINGSKRT NNSTAPKVLIISYETFRMHAAKFVNEPQACELLICDEAHRLKNAQSQINQALAALPCK RRILLSGTPMQNDLEEFYAMVDFTNPNILGDTREFRRRYLGPILVGREPDCSDHDRKH AQRCSGEMCEVVNQFILRRTNALNAKFLPPKLTQVVCCNLSYIQQQIYSHFLHSNAYR NMMKKNSTHVLSSITALKKLCNHPSLIFEQSANGQTKIAAGFEDCMAYLPEQGHRQRS CHPEWSGKFQVLDRLMQVMRRKTDDRIVIVSNYTQTLDVVSALCRERNFPAVRLDGST SAKKRKNLVDVFNDPKSNSFAFLLSSKAGGCGINLIGANRLVLFDPDWNPATDKQAAA RIWREGQKKQCFIYRFVATGTLEEKIFQRQLSKEGLQSIVDDKEEVNSLSTKDLKRLF VFMEGTPSDTHDQLKCLRCNISNESCDDHSMVVQPQLGMPAEEDLNNWSHHYSYATVD DEIMQEAQANQSLVSFVFSCRVDWELFQVRAEKERQDQLAVDQENLLKAATRRNADND EEEEDESGVSDEEEEVELPRVRPSKRRPTPPAPSGRIKRSPHKALDSSDDEVEESESD HDAYLYEKPTLKRPKVSDLSDVEFQAAPRRQHQQPSTHMDVLSGTDDDDAHQEEPSME AAAASILELQSMLGQECYADDDEEETKEEVIWACNRCTMHNPLEADSCEGCGMPQPRK AKKLLSTSIYVD H257_06274 MSLLRMRPKAFPSDRNKQFVSPNGAPPSSSSRRSDNYTSGDMVI KNLPFLSFLNPLRDLECLFKPFKSPCESLRTQESVRLLSVKTLGSRRRWGNVPTSMTQ VQPAVDVVMDYVPEVVPQEDKVEEKKKDDEPVAEPLVLWSGVVDGKDVEVVVPEVIGK FLRPHQREGVQFVFDCVSGNRGFAGNGCILADDMGLGKTLQSIAIMYTLLCTSMEHPD KPTLNRAVVVCPTSLVKNWDDEIIKWLKGKVKTIALFESGRENVVSGIMSFINGSKRT NNSTAPKVLIISYETFRMHAAKFVNEPQACELLICDEAHRLKNAQSQINQALAALPCK RRILLSGTPMQNDLEEFYAMVDFTNPNILGDTREFRRRYLGPILVGREPDCSDHDRKH AQRCSGEMCEVVNQFILRRTNALNAKFLPPKLTQVVCCNLSYIQQQIYSHFLHSNAYR NMMKKNSTHVLSSITALKKLCNHPSLIFEQSANGQTKIAAGFEDCMAYLPEQGHRQRS CHPEWSGKFQVLDRLMQVMRRKTDDRIVIVSNYTQTLDVVSALCRERNFPAVRLDGST SAKKRKNLVDVFNDPKSNSFAFLLSSKAGGCGINLIGANRLVLFDPDWNPATDKQAAA RIWREGQKKQCFIYRFVATGTLEEKIFQRQLSKEGLQSIVDDKEEVNSLSTKDLKRLF VFMEGTPSDTHDQLKCLRCNISNESCDDHSMVVQPQLGMPAEEDLNNWSHHYSYATVD DEIMQEAQANQSLFCV H257_06274 MSLLRMRPKAFPSDRNKQFVSPNGAPPSSSSRRSDNYTSGDMVI KNLPFLSFLNPLRDLECLFKPFKSPCESLRTQESVRLLSVKTLGSRRRWGNVPTSMTQ VQPAVDVVMDYVPEVVPQEDKVEEKKKDDEPVAEPLVLWSGVVDGKDVEVVVPEVIGK FLRPHQREGVQFVFDCVSGNRGFAGNGCILADDMGLGKTLQSIAIMYTLLCTSMEHPD KPTLNRAVVVCPTSLVKNWDDEIIKWLKGKVKTIALFESGRENVVSGIMSFINGSKRT NNSTAPKVLIISYETFRMHAAKFVNEPQACELLICDEAHRLKNAQSQINQALAALPCK RRILLSGTPMQNDLEEFYAMVDFTNPNILGDTREFRRRYLGPILVGREPDCSDHDRKH AQRCSGEMCEVVNQFILRRTNALNAKFLPPKLTQVVCCNLSYIQQQIYSHFLHSNAYR NMMKKNSTHVLSSITALKKLCNHPSLIFEQSANGQTKIAAGFEDCMAYLPEQGHRQRS CHPEWSGKFQVLDRLMQVMRRKTDDRIVIVSNYTQTLDVVSALCRERNFPAVRLDGST SAKKRKNLVDVFNDPKSNSFAFLLSSKAGGCGINLIGANRLVLFDPDWNPATDKQAAA RIWREGQKKQCFIYRFVATGTLEEKIFQRQLSKEGLQSIVDDKEEVNSLSTKDLKRLF VFMEGTPSDTHDQLKCLRCNISNESCDDHSMVVQPQLGMPAEEDLNNWSHHYSYATVD DEIMQEAQANQSLVLSIWSPHAET H257_06275 MLHRLCSFSTTRKMEETLKDLWAASYDGWINVPGVDGVLYSRPL LDGESQDADRHPAYPPSVLHSHLFAFGAWNPMGELCSREHNNAAHDKLKARMKSVVFP DTCWVRHSFGFSKEWREPGFVIACPPQEAYNTRQTVLDLASEFKQGAIYEYEPRADNP SVLLRKTAHCLMTSTVDADVLVVRSDRPPIGNAEPFGM H257_06276 MSVLDPSKDKLAYFKDNIQTAEDQEDFDASQYYYNRQEGFFKAA QSGLAGNDGLSPGAGLTMPSMPPGAFGSFGSSGSSSQSSMNSFLAATTTGLGMGSIGM SSMGMGGGVGMGMGMATSNTASNINVLRPTPAAPTSALPAHEMNYMARTLSTEAIPTA PKAPRQIFSDKGPDMSEFPALTSRSLSLDVDTGASYRQNSEFVIQKEDFPALSAFGSQ PLTSNEKAMSTTNDAMLQRHQPTTTNNNASIGSRSSGGSLTNFSQGNFQANNLNSFPT LSEAKQGNGASDRQDEHPKFGLIGMLQFMRPNDSERTLVHGYDLTSLGMNLNSSESLH QTFASPWADGPSTKEPQFNLPACYYNQPPVLKTTHLSKFQLETLFFVFYAMPKDVVQA YAAQELYIREWRYHVELKLWFKRQPNEGSGVAQFIYFDINTWERRLFGGNTSGVSAGF MGEDDIRVKFSNSA H257_06276 MSVLDPSKDKLAYFKDNIQTAEDQEDFDASQYYYNRQEGFFKAA QSGLAGNDGLSPGAGLTMPSMPPGAFGSFGSSGSSSQSSMNSFLAATTTGLGMGSIGM SSMGMGGGVGMGMGMATSNTASNINVLRPTPAAPTSALPAHEMNYMARTLSTEAIPTA PKAPRQIFSDKGPDMSEFPALTSRSLSLDVDTGASYRQNSEFVIQKEDFPALSAFGSQ PLTSNEKAMSTTNDAMLQRHQPTTTNNNASIGSRSSGGSLTNFSQGNFQANNLNSFPT LSEAKQGNGASDRQDEHPKFGLIGMLQFMRPNDSERTLVHGYDLTSLGMNLNSSECVA LLLLVYDCPFTLTLVFTLISFSHTNSCFLESDGLDSSADASVLYITFVVIDPPVCIKY RQYIQCPPTNELVWL H257_06276 MPSMPPGAFGSFGSSGSSSQSSMNSFLAATTTGLGMGSIGMSSM GMGGGVGMGMGMATSNTASNINVLRPTPAAPTSALPAHEMNYMARTLSTEAIPTAPKA PRQIFSDKGPDMSEFPALTSRSLSLDVDTGASYRQNSEFVIQKEDFPALSAFGSQPLT SNEKAMSTTNDAMLQRHQPTTTNNNASIGSRSSGGSLTNFSQGNFQANNLNSFPTLSE AKQGNGASDRQDEHPKFGLIGMLQFMRPNDSERTLVHGYDLTSLGMNLNSSESLHQTF ASPWADGPSTKEPQFNLPACYYNQPPVLKTTHLSKFQLETLFFVFYAMPKDVVQAYAA QELYIREWRYHVELKLWFKRQPNEGSGVAQFIYFDINTWERRLFGGNTSGVSAGFMGE DDIRVKFSNSA H257_06276 MPSMPPGAFGSFGSSGSSSQSSMNSFLAATTTGLGMGSIGMSSM GMGGGVGMGMGMATSNTASNINVLRPTPAAPTSALPAHEMNYMARTLSTEAIPTAPKA PRQIFSDKGPDMSEFPALTSRSLSLDVDTGASYRQNSEFVIQKEDFPALSAFGSQPLT SNEKAMSTTNDAMLQRHQPTTTNNNASIGSRSSGGSLTNFSQGNFQANNLNSFPTLSE AKQGNGASDRQDEHPKFGLIGMLQFMRPNDSERTLVHGYDLTSLGMNLNSSECVALLL LVYDCPFTLTLVFTLISFSHTNSCFLESDGLDSSADASVLYITFVVIDPPVCIKYRQY IQCPPTNELVWL H257_06276 MGSIGMSSMGMGGGVGMGMGMATSNTASNINVLRPTPAAPTSAL PAHEMNYMARTLSTEAIPTAPKAPRQIFSDKGPDMSEFPALTSRSLSLDVDTGASYRQ NSEFVIQKEDFPALSAFGSQPLTSNEKAMSTTNDAMLQRHQPTTTNNNASIGSRSSGG SLTNFSQGNFQANNLNSFPTLSEAKQGNGASDRQDEHPKFGLIGMLQFMRPNDSERTL VHGYDLTSLGMNLNSSESLHQTFASPWADGPSTKEPQFNLPACYYNQPPVLKTTHLSK FQLETLFFVFYAMPKDVVQAYAAQELYIREWRYHVELKLWFKRQPNEGSGVAQFIYFD INTWERRLFGGNTSGVSAGFMGEDDIRVKFSNSA H257_06276 MGSIGMSSMGMGGGVGMGMGMATSNTASNINVLRPTPAAPTSAL PAHEMNYMARTLSTEAIPTAPKAPRQIFSDKGPDMSEFPALTSRSLSLDVDTGASYRQ NSEFVIQKEDFPALSAFGSQPLTSNEKAMSTTNDAMLQRHQPTTTNNNASIGSRSSGG SLTNFSQGNFQANNLNSFPTLSEAKQGNGASDRQDEHPKFGLIGMLQFMRPNDSERTL VHGYDLTSLGMNLNSSESLHQTFASPWADGPSTKEPQFNLPACYYNQPPVLKTTHLSK FQLETLFFVFYAMPKDVVQAYAAQELYIREWRYHVELKLWFKRQPNEGSGVAQFIYFD INTWERRLFGGNTSGVSAGFMGEDDIRVKFSNSA H257_06277 MSSRWASENEEPTLDDLVSYANNEAYALNLIDEPIPTSKYTLRT PQKARAGDIPPPPSSVRPPNARRLSTRSILNLQSDMLGGDDGAMSETASTEGDTEMCT SPFDLNVLEQLTLTNLRGSFSSSNQSPLPTSSSSQAFHMKSILNQVLPDERDDEDDAN NQESSTRRPCMSAWSESVTPYNRTHEDQRMKLRLTRMRAQMQLTISRLQRQNEDLRAA LALSKATSAKREKQLAADHAFKLSSKERQISHLKKQLADATATVKSAQTHFDMELSSL STQVADLKFENKLMQTAYENGRSTWRATNHT H257_06277 MSSRWASENEEPTLDDLVSYANNEAYALNLIDEPIPTSKYTLRT PQKARAGDIPPPPSSVRPPNARRLSTRSILNLQSDMLGGDDGAMSETASTEGDTEMCT SPFDLNVLEQLTLTNLRGSFSSSNQSPLPTSSSSQAFHMKSILNQVLPDERDDEDDAN NQESSTRRPCMSAWSESVTPYNRTHEDQRMKLRLTRMRAQMQLTISRLQRQNEDLRAA LALSKATSAKREKQLAADHAFKLSSKEVSKQNTTTYMCIVTALETVAPNQSLEKATGR RHRHGEKCSNPL H257_06278 MGRGPAKAKKETTSSKRGAASAAAAGKQTRKPRKKKDPNAPKRA LTPFMFFGKECREILKVEMPKLGFLEVSSEIGRRWAQIDEQGKKKYEKLSQSDKDRYL DEKEQYIPDPEYENSGKGKGARGKTKDPNAPKRPLSAYFFFCQEIRPAIREKNPTKSI TEIAPLLSEQWRAVPEKKRAKYHTLAAEAKAKYQVALATYKNGGVAVDDDEGAEDGDE DEVEDEEVDEEVHDDDDEEGDDDEE H257_06279 MGTVFGRIHEETPKYEKLGCVGPNDNIEIRRYDPVYVASVSSKD IPGVTTNVQFARMAFGALARYFGVFSAPENRPHSGESDPGETIPMTAPVVVTTAENAE AEGGEQIAMTVPVVMSTSNDSVDMSMSFILPSKFENQVPPTPLDPKVHVKKLESRLMA VKKFSGELTKSTAEAVASEVIGVLELEGKFKIKRNEHGRPAWEYMGYNAPYTLPWFKT NEVAVLLDDVILTSSSSSADE H257_06281 MGAVFGKISEETPHFVSLGRIGPNGDIEIRRYDPLYTASVSSED IPTTTTRAEFTRAAFGALARYIGVFAEPQNKPRSGETGPGEAISMTAPVILASAENAE ADGGEPIAMTAPVVLSADRADDTMSFILPSKFIKEGHEPPIPLDSKVRIAKLPSRVLA VKVFSGELTKDIAQVVASEVVGALELEGKYTIKRNKHGLPAWEYMGYNAPFTLPWCRT NEAAVLLDDVAELPTN H257_06280 MEVEEDSYEAAPPAHEDNNTSKLDAKFEAEQALLAALKKPRDFH KKEAKAGVIKQLNISASDMLSYRQRHAATTDGSQDSVAVTEVRELHHQKVTTTTTTHQ TNKVDKIAANAKRRRDRHTAAQSQYQLRLKAISDQLETDILRISDAIKEELAGVAAAT ANHFALLTDEPWLIQASHAMVVDQWTHLNELWSGRTCTIRHFGDGLEAIEQTRSALAG AELQLLTETCVAAAYVLPPEVERAIEDEAHELNVVLITNRRSHCHLVSRMLKEDVHKF VNVRTTWEDCERHWRVLNHDHAIHMFKATLESDLYTHPPRLHAILEKLRADQVAVHTN ERLALLSQLDGLVTGGLSTEKVQRMVSALADMYKKEEERNAAYFDQLFTCQRDIVAEA AAMRELLRATCHRVGAKAKEGALATIATQLTTLLADKNLDEFFRISGGLRAELAAIED RLASPDMIYQENVTALVPRVAMLVAALPMEAILDAQGKSSERKLIQATLERLRKAPKN EIVPLLPTLLAQTTILAGIQGIDDTLRLELDDIGRKLDSLIQDNEAQSSAAAAVKSKG IGLDAFQIADMQGIRKAQRRLGTLVYTTDLPPPFQALLHVILKALEVQTHANTVVDAI VALECNSLMAQREREMDALVAVVGSGLEAHTTTLHVTCDRIARFFYQLVACVENYEDK TRVVNLTVMDLLDTLKDTHDGNVAACEAEFAVKRSALRHAPDEATLEREFTGCLGLLE GLEDEYRKYNKKVSLASTNHPIAISRQNNAFQNELCAFFGLLSPSLGVSTAINDLLSA ERIEAAIDSPPPSTPTSPPSTTSLHHHDPYDTAHDPPATSMKASPSTSTVAAMPTDAS HASATALDHSHSRPDSRTTIVPGEGDVDVAAFCSQNGCRYKECESVTSILGRILQRKI LLPGEEPSDDDTPPQDAEPPSPDPTPHSVEPPPPTTTKPTKGTPRGASAAVLQDHSPD VPSPDAASPVETPPEPVDEIHIPLESVIAVLDVPKSTLLSMLLRLRDATMTLFESRSA AHLDEANAEATARLDAYTFLLEECLRMHWPRKGRTDVQIYQPRAGELVSHRQRHGRHV KNVLKKLAVQEARFVQLHDQALGCLRGQENAQLGLQSQLLMQTSLAALQGLESRSKKV HVEFKAAWGDLLRVKMAVYLTDEPLALIATCRELVTTCAHQIFPDLVSCDVISGCDYH PDEVKLVQVLVTEAETQIQAAVAARKATIDALEGQEGRVGALLATFKTRYHSCLQNLS MKEGLGQKYGMPRRNAQERLRSEMTRSDNMASSIDDLLHVLTQAATTATVPSGPKGPS NVARHVRKVVLELRHLVYSRGLYLGVLTNKMQLAPQPVKDDMEASTKYDADAPVDAAS AAVSKSFLDITKQFEVQCVADTRALFAAEGKPLDDHSIPDTLQAYLHEQHEKSVAYVR HQVAAYRAQVHAFEAALATAPRVAMEDIVRRAKSAVQSRVGAVEAAFQKQFLAWEGLK DQHRAKLTPDLCSPNQVAVVEGLCVKEATRTAAVQEAIRAVRWQVLVEYVVNARGFHR RLVAVFRAMMSILDTCTMTADIQPPAASGGAEGSNSHEDTEPTHKRKSLKRLRKALRK LEQGDPLAVELSEDERDALDEANETQRFPKRAWPGLPAVPAFDVAASIKQDTTDGVVP PVDPADVDTAVCVAYLTDAHRAAVKSRNETHAAYCGWLDETMDAMGRKYTTLLREEEL WFLNWGKLTQSMRQDS H257_06282 MEVSGSDTTAPTLVSVDEAKEVGHAEQNESIEKTDVFEKPLGKK RRRERRLALKKTQLCRHFDKPDGCPFPECVYAHGLDELTDGGTFTVTAQRKLIRDQGE KRQADKFVHKDDATVVDYVARTGTMVDRYYTRMYAADIMGEPSEDQYVNMHSNRLCVV GIAPGHCIFTRGLTVKAVDFEPSMVESKVTGKKKKGGVWLNPDTVVCRVTCTNGETFN FRSCIRGALIEINELLTPALLTTKPFTQGYIAIVRPKPVEIVEIQASLLPQQDYSELR HLTAASFR H257_06282 MEVSGSDTTAPTLVSVDEAKEVGHAEQNESIEKTDVFEKPLGKK RRRERRLALKKTQLCRHFDKPDGCPFPECVYAHGLDELTDGGTFTVTAQRKLIRDQGE KRQADKFVHKDDATVVDYVARTGTMVDRYYTRMYAADIMGEPSEDQYVNMHSNRLCVV GIAPGHCIFTRGLTVKAVDFEPSMVESKVTGKKKKGGVWLNPDTVVCRVTCTNGETFN FRRYVRTHDA H257_06283 MNILIVEADAWFEGHRRVRVAKGASLDDIHSALFDQLRLSSNVI SPNQATHIDMSISMFNPSTQCMVPLRHGDQIQSHTRVFVAPSQSTPPPVLAIASRSFG LAFEKGEFLIHGTPLYIGEVGNTGKGTGLTIWDGSVVLAKYLEHATSSFVQNEDSVRG KRVLELGAGTGLVGLAAAVCGASHVTLTDLAYTLDNLSANVRANASSIAATSVDVAEL DWFKAAPLSLTDNVDVVVGSDIVWVESLIDALVATIASLLTCDHVAGRKKSMLLAHQT RSAASDTRFFRLLDAHHLVVTMIHPPASILPLDKVIRLFRIQPPLPHELITL H257_06284 MLTRVVRASVTRGVAGRRAISSSVPRFLEVKEATETQKKIIENE LALTPRVQKVLDLICELNLIEISELSTAVQVKFDIPEMGFAMGGGGGGAAPVEEEKKE EKTAFDVKLVSYDAKNKIKVIKEVRAITGLGLKEAKELVESVPAVLKKELKKAEAEEL LAKITEMGGVGELE H257_06285 MMHIKPKNEHDIWEEHYPLLQPNSTNNTLPIHNFFSPEVVNAYT YGVHAFVVTLVTLSILSLTGLVLCQLFSLSSLFCALFRLLWLVSPAVAALSLRHIAGT HLNFRYNVMAFPMWEIPPLADLFRCYPKSSLARVLSMSFFIPLLCQVAAYTLVAATRM VNPFNRPFVERAESVFSFDNQDAKDGGLVFYVFYLVVAGIVWDPVPPPRFDFGVALNS RPIGCSWLLLSLFQEIGWSGALYPALDIIFGHSAFMASVVTGVIWAVWHWPFIIAEKY KIIPPGSGYSVVDVEDFQVLSVLSIFTILLVGSRIIMCWIQGKSSYVIWSSVLYHASH GLFIVSVFGQLTGPLYEKADDYPYFSSEGSICLVVTTWFSTCILSQLFRGNYFKLFAR WRKQRY H257_06285 MMHIKPKNEHDIWEEHYPLLQPNSTNNTLPIHNFFSPEVVNAYT YGVHAFVVTLVTLSILSLTGLVLCQLFSLSSLFCALFRLLWLVSPAVAALSLRHIAGT HLNFRYNVMAFPMWEIPPLADLFRCYPKSSLARVLSMSFFIPLLCQVAAYTLVAATRM VNPFNRPFVERAESVFSFDNQDAKDGGLVFYVFYLVVAGIVWDPVPPPRFDFGVALNS RPIGCSWLLLSLFQEIGWSGALYPALDIIFGHSAFMASVVTGVIWAVWHWPFIIAEKY KIIPPGSGYSVVDVEDFQVLSVLSIFTILLVGSRIIMCWIQGKSSYVIWSSVLYHASH GLYVLLMYKYYVYQINFIGRVYFQYYVIVGYNIYIHIGMYVPTFENILK H257_06286 MENVLKDMTSAGPATADTAAQHENCNSMVDHAMNKCSKVTFLVQ AMEKMGCKVRNIPDFFTSEHCEGNINGGFKLNEDGQPGVVLCQNHIKDQEWMDRTLAH ELIHAYDHCRAQVDWKSDCEAHACSEIRAAALSGDCDWHLEVFRGHFNIAKQHQVCVR RRAELSLQFNPMCMGKEALCVDKVFETCYKDYLPYPDIPK H257_06287 MMRVDAAAVTQGIAIASFALLLPEAILGRPPTRSFRLQQLFFVL PQFASIVLVMMHSRLKWQHFRPQTKHNLFVVHIAILVFACIAMEQSGFRMGLRWCCTF RSSCPPTPLVQPARCTDAFSSIGRLVLVSMHFIGTVGCFVAQGALLTSNSLQTTLLTT H257_06288 MKKLFKLASTYHGVGPVTFAWQPAGNFLATAGKNGLVHIFDRQG EQYDEIGLDMGTPVLALEWDHDGNTLAILQTGNGIVPLWDLSARTTQNLDTNLKDPSF IRWSNSGTQLAIGTVKGNLVLYSKSSRKIVPVLGKHSKKITCGAWNNSDQLVLGSDDR MITVSNAGGDTLQQRELKLVPTDCKFGHRKGDSTRGPETCVAINVVKSLILLDTTDPD NPIELTFQAHYGTIKVFEWFNGGYLMISFSEGFVICISTQMDEIGEEMFSGRFFSERL YAVCYSPILNRIAISGESGIKVVDMSNYTEIKADAIKLTDAEDNEANLMAYTNDGQIL TVATQGGIIQTFLARMPNIFDHVGNYVAFLSSLREITVVDTGGRDAPIHIQVSIEPSF IALGPRHVAVGMNNRVWFYRCDGSNRDALVNEQQYLGRVTCVKLNRDYAAVLSDGKVL VHLIEPAPSAQQHGGRANHEQSKTFPDTQGRDDREISSIALTKDFFIYATSGGGVHFF YLHEWKMLEGCSYRHEDGVGIVHIAPNNLGTKVVLIDSRRRGYILNATNREALYIPSV PNSTSAILWDTADPTVFVAVEPTEFTTFLYTELTINGPEVTQLGTMDIDLNGDFSFAP QSTKIPPGHSAVLFADGVLTTQQPGGTLTTIVSCTHEALQKSVRPESDKVVFKQCLGL LRMDAAWKQAVVIDAKEYWLALAGRAMHTLDIALSKRVYRQLGDAGMVMGLNRIEHVE DKNLLAGHVSMLFGQYDQAQKLYLNSTEPMAALTMQRYLLQWDQALLLADSLAVHLVP ELSASYAAQLEFKGDVEGALKMYEHACNAVDPLGNPVVASEKTQTQSMAGIARCTLRT GDLRRGIRLVTELNDIGLCKECGLILEGMKQLSDAAQLYERGEVYEKAAQIYIQMKQL HKAAPLMAKVHMPKVHMQFAKAKEAAGEFAAASDAYEAAMDLDSVVRIQLEHLNNAEK AFSIVKQTKSSEGASAVAKYCIESANYAAAIDFLLMANREDDAFQLAQAHNEIDAFTK TIGDGISVERALKIAQHYEQTQAHARAGEFYQVCGNFHKALRLFLQCGETELGRAIDV VGKARNDMLTHTLIDYLMGDTDGIPKDPNYIFRLYMALGNYAQAAKTAIIIARQEQEL GNYKVAHDVLVETHRQLQLHKIHVNQDLRNSLTLLHSYVVVKKLVKRGDHMSAAKMLV RVAKNISKFPTHVSNILISAVIECQRAGLKGSSYDFATQLMRPEHRNGIDKEIKRKIE AIVRRPNKEQPPDTMTPCPFCDHEVVDVDLDCGQCKNWIPYCAVTGYHMVKTDWSQCP HCQFPALYSHLVSHLEAESICPMCDKELKPDDVQKVSENDVKLATIELQQPEQAPLPA GTATKDGHNNQATGKQQPPKQGELFA H257_06289 MTKEAVQVQVPSEDPKKKESLEPVHAPQKDNGADTKEPEDLSDE DKQLKEDLELSVTRIVDIHEQIGVKKLALETLRELIRTATSSMTSVPKPLKFLRPHYN ALKDAFTTLQPANQTELADILAVLAMTMAPEGSRESLHFKLKGNSTELGLWGHEFVRS LAGEVGEEYTARVTTTIDANVDDLLAIVDAIVPFHMKHNAEPEAIDILIEVQKLDRLL ASPEIDDKNYQRVCLYLLACADFMSDPDDLQTLLNTAYAIYIRVDKLPDALRVALRLD SEPLVADVFSKANDPAVRKQLGYILGRQRFCYDDEHPEHAAVDQIGNSELSDKFLALA RDLDVLEPKTPEDIYKSHLSETGSVTRGRDGGAPTDSARANLASTFVNAFVNAGYGTD KLMTVEGNGWLYKNKEHGMTSAAASLGLLMLWNVEEGITAIDKFLYSGDPHIKAGAIL GVGIVSSGIRNECDPALALLSEHVDSGNCSIRCASVLGLGIAYAGSSRDDVSELLIPV VSHADENADIQEVSYAALALGMVEVGTCDEEAGSVLMQRLMESTDAELDSSCARFLCL GLGLLYLGRQERVDAMLEAAKTIQHRISKYLAITLETCAYAGTGNVLKVQSLLRVCAT HVVDDPNEGAHQLAAVLGIALVTVGESVGSEMAVRTFDHLLQYGEVNVRRAVPLALAL QSVSNPEYSLIDTLSRLTHDADAGVAQSAILALGLVSAGTNNSRVAGLLRQLSEFYSR EANHLFVVRIAQGFLHMGKGLITLHPFHSDRLILSRVALSGLLAVLHAALDMEKTIFD NSHYFLYCIVTAMQPRMLITVDEQGNPLPVSVRVGQAVEVVGQAGRPKSITGFQTHNT PVLLNVKDRAELATDEYIALTNVLEGIVILRKNPDFQPDA H257_06290 MSTKINNGLFMGDIDAAQDAEFLSLNGIEFIVNCVPREVPNAFE PEGIRYFACDMAEQPEGILFDLRNEDYVELIAFIDHAMQSSLSLLVHSLDGMSRSPCV MMAYLMSKYQWSLDKAYEFVKMKRPDIAPHNAYLDQLAMLDAQLQKNHRASEKKRNEW DPLHTDPKTDELVLVNTFLNVTNGIALPHDSAPRKLKKKQLVWIDLCPRMRKLNPHYD FTKLERPPSASYSSLSAGNGWVDTEASTTVHRPSSSPAASRVVVMESRAVHDVDLSLS DDEQERVTKDEDSWDMSTSDPGLSHDADFDRPLSSWVTARQGPPRQPETRHKRPQVQP QPQQQKPPQNIQPPPLQHRSSFPSQHIKPSHTSKGSTVMPQTLHPPFPSDLSQNQHSP TDKTDEFKLSNNNHPRYLQHTKSSRNAKTIKAAQGRYAMPVVDDKPPVVMPVTNNVAA AVPMPATRGVPPKRVSKSSFVMEKEPADSRESTTRLPTTASKHVRQAAPPPPSGATAA VRPKTAPQANHRTTAPKPSTNNQPFKKKQPSAPPTKQPRPPAAPKGLSANNATDVLFK KPPADIKPTTIPPATANITGSMMPPPRRASSAKWR H257_06292 MTSAQPPSAKSWQYASTCYSCRRPFSLLFSRHHCRNCGYSVCGA HSKYKAELVHLQGPQRVCNSCHRVLLASKPSPQQKSFVKRKVPSRRLLTRSMRLHPWE VAREPKKRFQWDRDLSLDPAASLKQRRRAPTEITTINSSFPRSSTDSMAWGQSMHFHS TPKAKHHKVPPSSVDDTEDDAFVWRLPSPPHHRNNLSKSQLNGPVPVEHITLMIKTQE SPRRHHNSYLSSDSTAQSSQYPMDPPTCVPVSEGRPSLSVQKASSGDMDLAGLTSVMA LSKQKQTLQEDIQHLHAQLAQCKADTKRMYRRKVRTRRHIVSAMAYVTEGEYFLAQLD LKRALALNDQCIMTWHVLAECLLRCHWPDEAALACSVGLELVRAPGTVALLGRIRLAQ RRLDDAIACFHEALK H257_06291 MEWVDVVKDLNAGTIGGVSGIIAGHPLDTIKVRLQTQAQSSSIV NTFRSIVQSEGVTGLYKGILSPILSNAPINAVVFAVYGQVSRVIATSTNEPLTPAQQC VAGSFAGLFQVIFAAPAELVKITMQVNTTASKQSALACARHLARQHGIYRGLYRGWQL TMLRDVPAFGSYFFAYDVMKQALTGGDEANETTFNLLLAGGIAGSLSWMTTHPIDVVK SLVQSRPRGSTSDLVRAQLKMEGPRFLLKGFGATVARAFPVSAVTFLVYERTMQWMNN VDNQRE H257_06293 MEKSVRTAYKKLIKLAQSLPADQKPTALDKIRHDFRSRGVISTA EELDKLVMKAQSKISYLKIVTPKRTPQSGPQRFIYKDGKRLDSQSLDDGGNRTIKTTD VNAMMERHVKLIRRQHFMDRK H257_06294 MHVNLSKIHQTSCEGYVTKRGHLMKSWKRRYMVLNGDTLLVSYY DSKEIYTSKGHPKGSFVLSECEKQDLSDEGASVKPFGFKFIGHCPGQGYKEYSVYVES QIDQTKWLNVAHNALGKNSSPQKSMSQRIEEITGHKESAGLMQSLEAQMKNVKKTSQE LLQQAINDAKEADRIGDATVNEMVYQEDVLNDAEGTVDTMGRQMDHAEDLGRSLKHPI LYKLTHLFTRKKSYKKGGRGSKTRKGGAKASRSSRVSRVKLQPPQQQHAAAQPEDDQL DQLSKILAKLGQTADTISDITARTTEQVDRIDQKVTSVDDRVKKEAKLVQAVLKAEMT H257_06294 MHVNLSKIHQTSCEGYVTKRGHLMKSWKRRYMVLNGDTLLVSYY DSKEIYTSKGHPKGSFVLSECEKQDLSDEGASVKPFGFKFIGHCPGQGYKEYSVYVES QIDQTKWLNVAHNALGKNSSPQKSMSQRIEEITGPLLPCASVQLNCPRRDMIHITTLG HKESAGLMQSLEAQMKNVKKTSQELLQQAINDAKEADRIGDATVNEMVYQEDVLNDAE GTVDTMGRQMDHAEDLGRSLKHPILYKLTHLFTRKKSYKKGGRGSKTRKGGAKASRSS RVSRVKLQPPQQQHAAAQPEDDQLDQLSKILAKLGQTADTISDITARTTEQVDRIDQK VTSVDDRVKKEAKLVQAVLKAEMT H257_06295 MSSKRTTTAAFIRALYNLTRKGTPFVDWSADGTTFRILDIKRFA SEVLPHFFKHSNMASFQRQLNYFSFKKWTKNNRTLEAISKKGSIAEFHHPYFTRDMDE SLLSCFRRKVASRSFEPLMDVYSQDYDTFGDASYEHRIVQSAGREKSSTVKVKKEPLR APCRLPKPHVVNDASPRKTQQFPSELLTPPPVLQFEPIRFAPGPHHPHFSATGPSTLR QGTCIDHTIDISWGHCDSWQSDAPHSGSDCDWLTNFDWETHGDLIPTAGIVYQTIDGA GADTSDFVVAL H257_06296 MCIAFFHLSTYADPSGCAHVKFIVVDNRDEFYARATSPMHWWSK SHPDIFAPRDLERGGTWLGLRRSQGGGGVVRVAFLTNIRVAVQPVDKESRGNVVTDFL QSDLNVSDFVKQLQPTGCNYAGFNLVMFDGESLGYYCNLNPMGPTPDFTLLERDVLYG ISNSVLGTPWIKVERGKAALADVLAMHKDQDDLALCRKLILPMVDTTRIEAPELLPQT GCPEAMEYQLSSIFVEPVGNPKYPKYGTRTTIAMVVDGGHATILEKDLDPTTLQWNEH THSF H257_06296 MCIAFFHLSTYADPSGCAHVKFIVVDNRDEFYARATSPMHWWSK SHPDIFAPRDLERGGTWLGLRRSQGGGGVVRVAFLTNIRVAVQPVDKESRGNVVTDFL QSDLNVSDFVKQLQPTGCNYAGFNLVMFDGESLGYYCNLNPMGPTPDFTLLERDVLYG ISNSVLGTPWIKVERGKAALADVLAMHKDQDDLALCRKLILPMVDTTRIEAPELLPQT GTTKVETNGVS H257_06296 MPCCLTHLYVAARDLERGGTWLGLRRSQGGGGVVRVAFLTNIRV AVQPVDKESRGNVVTDFLQSDLNVSDFVKQLQPTGCNYAGFNLVMFDGESLGYYCNLN PMGPTPDFTLLERDVLYGISNSVLGTPWIKVERGKAALADVLAMHKDQDDLALCRKLI LPMVDTTRIEAPELLPQTGCPEAMEYQLSSIFVEPVGNPKYPKYGTRTTIAMVVDGGH ATILEKDLDPTTLQWNEHTHSF H257_06297 MSYAAPPLPAPTSTNVHGDDDDWKRSLKAPEKDTRFQTEDVTNV KGNDFEDYFLKRELLMGIFEKGFERPSPIQEEAVPIILAGRNVMARAKNGTGKTAAFI IPCLEKTDTTKNHIQVMILVPTRELALQTSAIVKEIGKHMGVECMVSTGGTSLKDDIM RLYNTVHILVGTPGRIMDLANKGVADLSQCSTVIMDEADKLLSPEFQPLLEQLVNHTA PGRQICLFSATFPVTVKSFKDKYIDNPYEINLMDELTLKGVSQFYAFVEERQKVHCLN TLFSKLDINQSIIFCNSVNRVELLAKKVTELGFSCFYIHAKMNQAHRNRVFHEFRNGA TRHLVCSDLFTRGIDIQTVNVVINFDFPKNSETYLHRIGRSGRFGHLGLAINMITYED RFNLYRIEQELGTEIRPIPPVIDRNLYCK H257_06298 MPTSQTVPRPPHLRSGSHVNLSPLPSNHNSNNLREAFVVDSTAA ASPKQRSTGQPSPKTNHRGHTMPLSIQGGDHPFGPPRDSMTNNHIHPETNADDTEEDT DAFKRAMWAGQANILVAVRLRPQLKHDRDRGEIVKVLGNKVVVVLDPGNHKDVVGKLR HRSREKRYAFDYVFSPSDGQATVYNNTTKFLIHGILQGFNATVFAYGCTGAGKTYTML GTPDEPGIMALTLDDLFGHIEASQCKVPNLVQYRVTVSFLEVYNENIRDLLRSDHDQD TYLDLREDPVKGPVVADLSEIVASNAEEVMRLLRRGNKNRSQEATAANAVSSRSHAVL QVSVEQTEATPDTVTVLKTGKLSMIDLAGSERAAVTQNRGLRLLEGANINRSLLALGN CINALGEKANRGAFVPYRDSKLTRLLKDSLGGNCRTVMIANISMSVLSFEETVNTLKY ANRAKNIKTTVTRNVLNVNHHISEYVSLIANLRQEITNLKAQMTQQGIPSPSSHDLKG KGFKKDLDESNNSAGEGGGTATMREARVQIMKYFHERMLLRRQLLELENKNVAKSIEI GQHQLVVAEHERQREEKDEDKAAAVDARVEFEEFDDEADMVVAKMEIGRLQDDIKDLS TEKGELTRKLRLTERVAEEFRAALEHTITNDEKRELLLMEYRIGKLELENMELEQTRT VQETISRGKDLTVEKLKLQLRLRDKIIQKQQAILDKHDIGHEVRFGILDTLEDATLTD GFDTLRLSMERISSPVALARPAEADRGGVVLPDIFKQPSFVMEEDPVKSPVGRMSLLQ WQNQLDKAVPLKDSTMKGPAVDTSLLPSIISRHKKKSAPGLSAPYLKVLKHRRKRKSS VKPIASSQPSPHPQPPCQPNF H257_06298 MPTSQTVPRPPHLRSGSHVNLSPLPSNHNSNNLREAFVVDSTAA ASPKQRSTGQPSPKTNHRGHTMPLSIQGGDHPFGPPRDSMTNNHIHPETNADDTEEDT DAFKRAMWAGQANILVAVRLRPQLKHDRDRGEIVKVLGNKVVVVLDPGNHKDVVGKLR HRSREKRYAFDYVFSPSDGQATVYNNTTKFLIHGILQGFNATVFAYGCTGAGKTYTML GTPDEPGIMALTLDDLFGHIEASQCKVPNLYRVTVSFLEVYNENIRDLLRSDHDQDTY LDLREDPVKGPVVADLSEIVASNAEEVMRLLRRGNKNRSQEATAANAVSSRSHAVLQV SVEQTEATPDTVTVLKTGKLSMIDLAGSERAAVTQNRGLRLLEGANINRSLLALGNCI NALGEKANRGAFVPYRDSKLTRLLKDSLGGNCRTVMIANISMSVLSFEETVNTLKYAN RAKNIKTTVTRNVLNVNHHISEYVSLIANLRQEITNLKAQMTQQGIPSPSSHDLKGKG FKKDLDESNNSAGEGGGTATMREARVQIMKYFHERMLLRRQLLELENKNVAKSIEIGQ HQLVVAEHERQREEKDEDKAAAVDARVEFEEFDDEADMVVAKMEIGRLQDDIKDLSTE KGELTRKLRLTERVAEEFRAALEHTITNDEKRELLLMEYRIGKLELENMELEQTRTVQ ETISRGKDLTVEKLKLQLRLRDKIIQKQQAILDKHDIGHEVRFGILDTLEDATLTDGF DTLRLSMERISSPVALARPAEADRGGVVLPDIFKQPSFVMEEDPVKSPVGRMSLLQWQ NQLDKAVPLKDSTMKGPAVDTSLLPSIISRHKKKSAPGLSAPYLKVLKHRRKRKSSVK PIASSQPSPHPQPPCQPNF H257_06298 MPTSQTVPRPPHLRSGSHVNLSPLPSNHNSNNLREAFVVDSTAA ASPKQRSTGQPSPKTNHRGHTMPLSIQGGDHPFGPPRDSMTNNHIHPETNADDTEEDT DAFKRAMWAGQANILVAVRLRPQLKHDRDRGEIVKVLGNKVVVVLDPGNHKDVVGKLR HRSREKRYAFDYVFSPSDGQATVYNNTTKFLIHGILQGFNATVFAYGCTGAGKTYTML GTPDEPGIMALTLDDLFGHIEASQCKVPNLVQYRVTVSFLEVYNENIRDLLRSDHDQD TYLDLREDPVKGPVVADLSEIVASNAEEVMRLLRRGNKNRSQEATAANAVSSRSHAVL QVSVEQTEATPDTVTVLKTGKLSMIDLAGSERAAVTQNRGLRLLEGANINRSLLALGN CINALGEKANRGAFVPYRDSKLTRLLKDSLGGNCRTVMIANISMSVLSFEETVNTLKY ANRAKNIKTTVTRNVLNVNHHISEYVSLIANLRQEITNLKAQMTQQGIPSPSSHDLKG KGFKKDLDESNNSAGEGGGTATMREARVQIMKYFHERMLLRRQLLELENKNVAKSIEI GQHQLVVAEHERQREEKDEDKAAAVDARVEFEEFDDEADMVVAKMEIGRLQDDIKDLS TEKGELTRKLRLTERVAEEFRMRR H257_06298 MPTSQTVPRPPHLRSGSHVNLSPLPSNHNSNNLREAFVVDSTAA ASPKQRSTGQPSPKTNHRGHTMPLSIQGGDHPFGPPRDSMTNNHIHPETNADDTEEDT DAFKRAMWAGQANILVAVRLRPQLKHDRDRGEIVKVLGNKVVVVLDPGNHKDVVGKLR HRSREKRYAFDYVFSPSDGQATVYNNTTKFLIHGILQGFNATVFAYGCTGAGKTYTML GTPDEPGIMALTLDDLFGHIEASQCKVPNLYRVTVSFLEVYNENIRDLLRSDHDQDTY LDLREDPVKGPVVADLSEIVASNAEEVMRLLRRGNKNRSQEATAANAVSSRSHAVLQV SVEQTEATPDTVTVLKTGKLSMIDLAGSERAAVTQNRGLRLLEGANINRSLLALGNCI NALGEKANRGAFVPYRDSKLTRLLKDSLGGNCRTVMIANISMSVLSFEETVNTLKYAN RAKNIKTTVTRNVLNVNHHISEYVSLIANLRQEITNLKAQMTQQGIPSPSSHDLKGKG FKKDLDESNNSAGEGGGTATMREARVQIMKYFHERMLLRRQLLELENKNVAKSIEIGQ HQLVVAEHERQREEKDEDKAAAVDARVEFEEFDDEADMVVAKMEIGRLQDDIKDLSTE KGELTRKLRLTERVAEEFRMRR H257_06299 MTHFMKQTKLVNAAGAIQEPVAKTLALYFAADWCPDCRDFQPKL NDFYSKVNAQTHQLDIVFVSSDATEDDQLAHFRDKQGPWLAIPFHDTLRDELKRKYQV CAKKEMETVGVTDRVGGIPTVVIVNSSGDVVDIDAASKIESSGVDALAAWL H257_06300 MSDHRRRKLLLAQKVDRYDGQSIFVNGELRYELGGYLGGGTAGV VYEAFCPSTKQHVALKILNPIGYKLMPTSLLARCLVAIKGRQMEPEVATGLQPMRTEH VWWLVHQSSKQAIAAYEDPRSGAVKELTLPRCIEVWGTSFDAGDDDDASPTVRDVAVK GQVFKIPVVPKKFVKFARNRCSIYREISNMSELDAHVNVLRLDDTLELQQDSKCTIFL VLELAAGGELFDRIKLDCGTDEATARGYFKQLVSGVAFCHDSGVCHRDLKPENLLLAD NEELSTLKIADFGLSAIFSITEYTNGDAATAIRRLRSVVGSPHYVAPEVLQDASGQGY DGAKADAWSIGVILYAMLAGKLPFGKDLLKCIRFDRFKKWSLQTKYDDDVEDPAQDVA ATAVDFPEWFFPAHFSYDVKALLAQLMYPDPCLRLSVDEASKHRWVLDRPRRGGVERP GGEGLQHGTSSFVGEGNEKGVVKAPTGDLNNPFQDKAEDAMHRWPHQAQHHHGTGHIV RNMHNLHVSLTSPPPPVATSGVRKTTSSLFQQVVSPPSLTCSNSNSSHRGLGLHRPGY MPSVDESSPLHTPKHQQDRQDQAAECKTCKRTNCSCDEAEVAAVVKSDPFLSPPMAPL EDPVSGSNLSPTSFSLSTW H257_06301 MGRGKKNGTSLGKTLMKTGAKPVKKEIQSSAGKHVAVADGGDAT AQLASYLEGSSLDDFLANATLANREFVAEKERIVVLESHGGPTLATADLATLPSMDFA EMKVPRRPKWDATTTAAELNLHERESFLSWRRDIALLEAAAEAREVTPFEKNLEVWRQ LWRVIERSDIVVQIVDARNPLFYRSMDLERYVKEVDENKQVMLIVNKSDFLTPAQRVA WADYFKDQAVDFVFFSAKEAQELLDAEYKQANVALGSSSDDEEDEEDSEEEVEGGEVE EVVAVPNAPVAALEREDCKVLTREDLLEYMETRAKAVFAKVGLRSEDRGLVKFGMVGF PNVGKSSAINALLGASNFAHHAQRVAVGATPGKTKHFQTLALSDTIMLCDCPGLVFPS FVNSKAEMLCCGVLPIAQLRDHVPPCELVARRIPRVVFEKTYGIKVPVPNTGKYNDPV NVYSVLEVYARARGFTTTGKSGPDTSRAARVLLRDYVMGKLLYCHPPPTVDVADAAFN PLELAKDFIGGAAEVLLAHEDEEGKPTGFDLAGAESKRVLSKRLKRHGKKGRKGRDKN PYEDMDNAANAVTAHVSRGKKIDRREKAFTRVTLPHHVTYNPTTAQ H257_06301 MGRGKKNGTSLGKTLMKTGAKPVKKEIQSSAGKHVAVADGGDAT AQLASYLEGSSLDDFLANATLANREFVAEKERIVVLESHGGPTLATADLATLPSMDFA EMKVPRRPKWDATTTAAELNLHERESFLSWRRDIALLEAAAEAREVTPFEKNLEVWRQ LWRVIERSDIVVQIVDARNPLFYRSMDLERYVKEVDENKQVMLIVNKSDFLTPAQRVA WADYFKDQAVDFVFFSAKEAQELLDAEYKQANVALGSSSDDEEDEEDSEEEVEGGEVE EVVAVPNAPVAALEREDCKVLTREDLLEYMETRAKAVFAKVGLRSEDRGLVKFGMVGF PNVGKSSAINALLGASNFAHHAQRVAVGATPGKTKHFQTLALSDTIMLCDCPGLVFPS FVNSKAEMLCCGVLPIAQLRDHVPPCELVARRIPRVVFEKTYGIKVPVPNTGKYNDPV NVYSVLEVYARARGFTTTGKSGPDTSRAARVLLRDYVMGKLLYCHPPPTVDVADAAFN PLELAKDFIGGAAEVLLAHEDEEGKPTGFDLAGAESKRVLSKRCGLNRG H257_06302 MGTLLPPLPHRSPLSNLLSTCLPMVSATTPSRTASSSTPNSSSS SSAPNSSSSSSQARAAMTPGDFVFGSVLGQGSFAKVYHAQFKKTKANFAVKVMDQEFI KKHKKVPFVVMERQVMSKLSHPNIVKFYCSFKDDESLYMVMELCRGGELLSHICKERD AAKAQGAVDTACSVAVVQFYMAQLIVALRYIHSNHIIHRDLKPDNILFTEHGHLKVTD FGTATIADSNEHATNFCGTAEYLSPEVLNDLPACEGSDLWAVGCILFQMLTGRPPFRG ENDFLTFQQILSHDSDAMEFPAHVPEAARDLIRRLLVLDLDARLTDYDTIQAHPFFEG VDWTGISTATPPVVPDKTHLPTPTMDGASPNWSLANVLNDVFGATPLLADDPRHHPIH HDQPQRDPSPPTSARRHSTTTSSSSILENNEGILLQADVKLHGSLFTKKARGLMFTTH GRLIVSDAKNQPPQVFQWGTNAIHIVPKNTSTFDIRYTSGSFRITDTVHGAQAWCRLL NAFKP H257_06303 MDQFVAAVFADRDVVSYIGHSTALEWMVWFRPSASLDSMHLSVY VRRKVDQVDYQALELTVTLDTLQAHMNDLGVTSDLSSFALPFKTAALSSARNLDVDID DNGTVLVEITYVFGHSLTRKGSFALPTISLPSTPSPFAYVGVFSMPPSTPSATVPSHV VDLLRSLRSILVETTEEQTSRRARRSTLLLSTAAMPIQLATTSSPPPTVVKRKPALPL GARRKVARGAKFADDDE H257_06303 MDQFVAAVFADRDVVSYIGHSTALEWMVWFRPSASLDSMHLSVY VRRKVDQVDYQALELTVTLDTLQAHMNDLGVTSDLSSFALPFKTAALSSARNLDVDID DNGTVLVEITYVFGHSLTRKGVFSMPPSTPSATVPSHVVDLLRSLRSILVETTEEQTS RRARRSTLLLSTAAMPIQLATTSSPPPTVVKRKPALPLGARRKVARGAKFADDDE H257_06303 MNDLGVTSDLSSFALPFKTAALSSARNLDVDIDDNGTVLVEITY VFGHSLTRKGSFALPTISLPSTPSPFAYVGVFSMPPSTPSATVPSHVVDLLRSLRSIL VETTEEQTSRRARRSTLLLSTAAMPIQLATTSSPPPTVVKRKPALPLGARRKVARGAK FADDDE H257_06304 MSANRIVTKQTEWLYEAAAEGATERVKKLLQEKDADVNFHQRAQ YGSTPLIAAIVGGHIDTVEALLEGGADISALRTPDANSPLHEASFRKNPDIVRVLLRF KDQHMEEDMNEKSKDPQRKGGQSTWSMINAKNQFGNTPLHAAAMAGCAATVQVLLDAG ASVDELNNQLSTPLHHACYCTHDNTDVVAALVRANANVNVQDKNHSTPLIVAAKKNQV GACSLLLNAGADPAAKDDSNRNAYASAVLRNNDRVMAVLEGLAPQDEPLESPRTSRRP LSTEVQV H257_06305 MDKLCQQYHAEDVGRILLQAQDELRSMREKVNEKNNVDVNEINA ILERAEADLRAKAEIVLNGVVNNSMKMLPAIEAPGGKTSLSKFSSKLAQKRELAATMT RDSSYEPASPTYRQEDREPRFGGPIEPMERDRAPGRRPVGRIIKAGSLVKKKTTKPHR LLPKMNRTDPTAPSPDLVEEDARGGVHNLVTRGFLPPSVDVTPAFTHGTSVIQNSRVK IYDRASQPVKSMPYTNPSGFNMASLKFDMSTTPATSTPSPVAAVVSGGDVSKMVVTPI DISFDAPSSSHPPVEQPKGGATGGDDTNGGDSSTIHNLRRNVEKIRGYNELLDTYSLH QFIIRKGKTLSDTPEFISFQRTTEDLWGSVSTSIQELETMLTSYSVPLAYVDGQKLMK IAAMDATTRGTTELLSCILNMDEVSSLMRRPGQRYKGSQGPDLAVVLIQSVWRMFLTK KRLKNHHGNEDAAVIQRIYRSYRCFSQLQQRLKLVREADLRIWDAQMQRFRANWDTMK MQRRVVVHVPSFASDDRTRLKMDNFSIRQNLQMARMCAIADPNVDIIYISPFELSPDI QRYQVRLLQLGGITDPQTRIRMLHPENVDRFPEHFSLTTLLLYSPHCLKKIKRFVRGK NAYIVTGNVGPEDKRLAIALQIPLLGMDPDKALLYGTRSGGKRIFMAADVNIPMGAHD IYDEDELIQSLSKLIAADVDQNEWLVKIDADQSDTGIASINVQQMQSVAKVRAEKRDM KHMAAEYFQQPDVRDAVLRSIFNELTESYFAANITPCFPDVYASWAELRPVALRVGVV IEAYPSKVLSQVRANVFIEPSGGVHITSAHDLFMSPANKHLPQCALFPQTSVPYQAIR GASLAIASSMFIKGIIGYASIDYMSFADPKTLVGGGRPRQRLWAMQVVPGLTNTAVSF VMFAFLSCSQFNPITGKCHLQVAAPPPIAASATVAPITQAQKAVETILSARPPNGAVV CGPERTYMVLDYIYHPNMATLHFSTFFNTCRLNGVSFDLQRAIGAAFILADSLTAGVM GLMCIGENDKEAFRIARQAVELIGDQVGVQALPDSLSGERLGNFPHLLAIVRNKSDDP ADRRKRRGALK H257_06306 MGIIGAALSAGLLLWSLQADAVVVNTAVKRTFDLTRHIVRQVDD ISFRDDDASVATYTVAIPFDFHERLSHMSAKTDKNAVCEVVQGEKTSHTQLFHVQLPS PVLKGADGSIKVTAYFTRILTPLPASIKQSEDQLVVFHAPHVLPSPYATVTQTTRIKL PSTHIERHSTVDPVSVKGSVVTYGPYTAVPGGAPPSSANELITVHFQHNEPFLTMTSL VKEVDVSMWGRVSTEEVVDVEHTGAALVGGFSRFEYSEHDAVSASFRSFVAVLPKHAV NVYYRDQIGNITTSALSVLSNGHTELVLEARYPLFGGWKTQYYLGYSEPTASVLVHAN DRFRLEGHLSTCVEHAAVDDLTLKVILPQGATNVQVTAPWLHVEITHTTRRQSFLDAG RPVVILKKTNVVPAHNDVPLTVSFDYPGHYYVYREPALVVGACFGLFVVYMALTRLLN QARQSQTKQD H257_06307 MSSVLNSSSVATPASVAPKGLTLRELVDVIESEFERQERLPLNK KDDIKNALAAFNVDSNELKRYAHFDPSRNYTRNLIATDNATYALMLLCWNKGKYSPIH DHPSDGCWVRHIQGTVHEVRYWNNGTSLEQTSELTISEGVTYMDDSLGLHKIGNPSDS TDAITLHLYAPPYDKCRLWFNPTDATKSSTAIATFYSEFGDVNQL H257_06308 MASSSTASAPTPVTEGEFLLRFWDAHGSTFMKWFLGLPYAGQLS MLRNASPDLPLSPPTSELKASDFLAPELTLSALLADEGKPLVRLLCNRARFDCAAEDL AYLKALRAKNRMPTFSGTTFDTVALAFIDPKDPEQQIQSLLPSVSAHVLDEMKAKIQR NVLIEADVWLTLQMRQQIILTFLANIARTFEQVFFERQGPAEAKMGCRTCGASTQPTE KALLKCPCDAALYCSKEHQTADWPHHKAACKLIRQRRAELDSADVPTRS H257_06309 MPISYDRCLTRTGSIRIMDTECIMNKPTAKLSKYPTYKSAPDVI AAFRQHNRSEITVRPVQLTSPHINVQRLTNDNRVTTTPSPCSTHVEEMSGEHVAVQDD WHDVVDVHVEASTTTAPGLLTREMLQTSLTLLFPALDAEVVASIHALLLHPDHGFGTY DFDVLALRAFLPSSSLVLVSHAIFSVMSFDGVDMPVLLSWVGAVEAVYDPAIPYHNAD HAADVVHSLFCALMHTPLRSILSVPMQIAGLLAAITHDAAHFGRTNLFLKKSHHALAT TYPVCCPLEEMHAAVGLNLLSEHNVLAHLPTSTQTELRHVMHSAIFTTSLCEQKNLLA SLRAVNTSSSNFDLVVLQAALHAADLGQTTKPFAVHRVWVDRLGEELFRQGDAEKVLG WPEPSAMCDRLAGFSVGGQLFFMQNLVLPVYTALNQRLDGGLEQVLRQIAANIDQWTQ QLLASPS H257_06310 MPVKTRVPRQRHAEMSTTYACSAIHFCFPATFRTTLNGMSAVHE DASVVEEVPDSTPTAARCQVLSKGLAWNFCSIALNPFLQCYARSAKASNPFSVCFKEF KGLGRAICNFTLQHSVLEKAPPTYIFNIVLPACRRSS H257_06311 MDDHYDAAECRVCREEAEPRRPLFAPCKCNGSIRHVHSDCLIHW LTFSKRDACDLCSHTFLFRQVYAHGCPDVIPFHEVALSSIWLVSRRTSEYLRLVAILM LWVVVTPYCLNWFYRLRSPSLAVVRFMDRIQHMDTVVDDIFHGLVLMVTVVLSGFYLV CIAEDIQLESNRFHRDDLIASGWHIDEHEPEGESDDEGDDHDDHIGLAAPPGPPLRRI PLREADHMPADAVPPARNPPAEPPVLHHHHHHPHDHAADDADWLWDVMGFQAHSTVAL RNVLFFLVTSSAFLVLFVNVPHTFGSLYLSATAATTLGGGIFPSSVLPHAIRTIMDAA KEAARTRGDCLQLVDFIQCWTSYVVWGFGLFLWRYLRAWIPKRRDPHIGSVFGPLMWA LSCLCAAAKLTTHLVLKVFVLPVVIGIAVDVATLPLFHATVMHRLSFAVHHMLAAYAA HWVLGMSFIHFISVAVLQLREVLHPDILTGVLYPHDPHQYKFKAILAGNAPTQYLSMA VATFKYAMFVPILVSAPVYLATWWLPAWVFPLDVHLSYLFAIGQVPLELAIAHINVQD SLDHFAPTIGHLQTKWMAVVCRWLGLVEFLLPRVPALVPGDRDVILTLPDLVFDPLDD PHHPQQRGEQPAPYGFRRREWPKAGALDTARMEYALLPRTSPSFVLARLVLLCLLWWG CMVAALVAVTLGPLVVGRRATALVDRFLGGGHDPISLAMGVVVLFMWMYAAEVCRVLM LPQQHVHPALRQAGYGVHGLTILGLIKVAAVYLVGLPYLIGAIVSLLLPTSTWPSFVE LTCFGFVVLQYGLYWACCLIPWDQGSVLDSLCVAMNQIQFHVIASDEGVVVEGDDVAH KCLDFAAFEANVVWPLARVLVAGLALPLACSVVYQLWFQGVLHLTHVHVFRAAFLTQV VGLLVVGLQSEAAGRWFQDLRDAIRDELYLVGRELRDYDPTKQQRAK H257_06312 MKCIVLGIENILDDDWATMQRVNWGTVETVGDESAYVLAIADKL RPYVPTLRSMLSSLYFTNFCDKFAASVVPKVLQSIVKCKRVNHVGTQQLLLDVYALKT LFLNLPVMGKEGEVGATTVPARYTKFVSNEMAHVEAVLKLIGTPNEMLVDSFKIMWPE GTAENFQSILNMKGLKRQEQLALLEALGLQQRKAPPAAAKQMIEGKMTDMTESLKSNM QKMAKASNPFNYINTTN H257_06313 MTTPTTMHALVYSTYGDASALSMKQIPVPVPTANDVLVKVAATS VNKGDQVILGGTPFMVRLATGHVFKPAPDTVIGSDFAGTVHAVGAAVTKFHVGDEVYG QVNFTTGRGSFAEFVCMSESDTLWLKPTNLTFAEAATMPCAGQTALQTVRDDGGVTAG SKVVINGASGGVGSFAVQIAKALGAHVTAVCSQTNAGAARQLGADDVVDYQSQDFVQK CQGQADVHVDYAGSRTMRDNCKVLTQHGTYVTAGGPPETFMGRMACFLALKPFVSQRL VLGMLKPSQALLESLKELAEAGHVRPRVTAQFDLGHAADAFRLFEQGHVQGKIVVVVG P H257_06314 MAHPVDAAPAQPHHFYLEVFLGYGYVLSSLCLSFYYLHLLAPYL ANDARLTNFNQSGAQSFLIDAINRELEHPRLDVSIWNITSTSIAKDYSTPNTPLLIPA GYARRALLDDTRIEAVIRRSLQASANTLSRLPTQQERCVERDSDNAAVYLESTLRLAN WDGWVAFHGGGFVPAIVSGMTPRENGQLWLGQVAATIVTDADMESAVWRLHNLTRYVL QWQNYQQDGLVEYVAVSNGYVSVVLAGLALILAVYSVMNRWSVIGRNLLRFNRVAGCV WIGRPLLLARGISAILLLSRDTLDL H257_06315 MVVVGESSLAVFVVHDLMLLGSSHVYAYGPLGNMVAWAVLVCTD IVAPYKVSADISLECVATVEGQACTVGTIHLGSQERAWAVVATFVSCTIASVCVVNVW NRLRQNPVAPRPTATLLLPSSVEAFLDVPLQMKAFIQTMDTMTCVLAGLFPLAKEGHT FLFDVKSWRFVPCEMIAHLIHVHSPTFTPVNATHADHTSTNAINNVHAVPDVVAHERG PTTKQRLRTFKVGVSVLYMIGTVATSMTFVQYANESTGTVEV H257_06316 MLKPSQALLESLKELAEAGHVRPRVTAQFDLGHAADAFRLFEQG HVQGKIVVVVGP H257_06317 MHLPQGVEAAISRVLPSDDVLDRPEFDCVEFINRNFPDEQSLAD IEPFVSRLNGRMKELDENLSHASQEQSLAAYQALADLKEAQQAVTQLYTKIHDIRGKA EQSEVMVQEICRDIKQLDYAKRHLQTTITALKRLHMLVTAVDQLEYMTSQRLYKEAAS LLEAVNSLFTHFEGFTKVGKILDLQRTVNAIRADLEKQIFGDFQVVGPLATLDSIAKD DDEMQRMFANLATACLIVSALGNDTRKKLVHTWCVDQLAPYEKQFGGSAASSLADMDA RFAWFFQLVSTIEGRMEAIFPTHWQMGRRLCLFFCDRTRTHLLGHLGAVASDELDVTS LLKALQKALLFEREIVTRYEGHGPDDGRSELNDKGQVIDPASAEGIKRRLLRQKRLAE HKAIADELSKKRMMEGEMGVVHEPEDPLPSLSGILSRTFDPFMTAYVALERKNMESMV NDVMASEIVDRNGALPVFSSSVNMFAYIRNSVKRCTALTVGQTFFDLQLEFKYCLGLY ANRLVAKLPGFITDSNTPPTHAAAAKWRLADKQEEELCFVINTAEYCADTLPSLEDVI RLKIDKAFTDAIELSKEIDTYHDVAAAAMKCIVLGIENILDDDWATMQRVNWGTVETV GDESAYVLAIADKLRPYVPTLRSMLSSLYFTNFCDKFAASVVPKVLQSIVKCKRVNHV GTQQLLLDVYALKTLFLNLPVMGKEGEVGATTVPARYTKFVSNEMAHVEAVLKLIGTP NEMLVDSFKIMWPEGTAENFQSILNMKGLKRQEQLALLEALGLQQRKAPPAAAKQMIE GKMTDMTESLKSNMQKMAKASNPFNYINTTN H257_06317 MHLPQGVEAAISRVLPSDDVLDRPEFDCVEFINRNFPDEQSLAD IEPFVSRLNGRMKELDENLSHASQEQSLAAYQALADLKEAQQAVTQLYTKIHDIRGKA EQSEVMVQEICRDIKQLDYAKRHLQTTITALKRLHMLVTAVDQLEYMTSQRLYKEAAS LLEAVNSLFTHFEGFTKVGKILDLQRTVNAIRADLEKQIFGDFQVVGPLATLDSIAKD DDEMQRMFANLATACLIVSALGNDTRKKLVHTWCVDQLAPYEKQFGGSAASSLADMDA RFAWFFQLVSTIEGRMEAIFPTHWQMGRRLCLFFCDRTRTHLLGHLGAVASDELDVTS LLKALQKALLFEREIVTRYEGHGPDDGRSELNDKGQVIDPASAEGIKRRLLRQKRLAE HKAIADELSKKRMMEGEMGVVHEPEDPLPSLSGILSRTFDPFMTAYVALERKNMESMV NDVMASEIVDRNGALPVFSSSVNMFAYIRNSVKRCTALTVGQTFFDLQLEFKYCLGLY ANRLVAKLPGFITDSNTPPTHAAAAKWRLADKQEEELCFVINTAEYCADTLPSLEDVI RLKIDKAFTDAIELSKEIDTYHDVAAAAMKCIVLGIENILDDDWATMQRVNWGTVETV GDESAYVLAIADKLRPYVPTLRSMLSSLYFTNFCDKFAASVVPKVLQSIVKCKRVNHV GTQQLLLDVYALKTLFLNLPVMGKEGEVQIVRDTVSKAHEIERSSWTEECLGWCDDGT CAVHQVCQQRNGARRSRAQVDWHAE H257_06317 MHLPQGVEAAISRVLPSDDVLDRPEFDCVEFINRNFPDEQSLAD IEPFVSRLNGRMKELDENLSHASQEQSLAAYQALADLKEAQQAVTQLYTKIHDIRGKA EQSEVMVQEICRDIKQLDYAKRHLQTTITALKRLHMLVTAVDQLEYMTSQRLYKEAAS LLEAVNSLFTHFEGFTKVGKILDLQRTVNAIRADLEKQIFGDFQVVGPLATLDSIAKD DDEMQRMFANLATACLIVSALGNDTRKKLVHTWCVDQLAPYEKQFGGSAASSLADMDA RFAWFFQLVSTIEGRMEAIFPTHWQMGRRLCLFFCDRTRTHLLGHLGAVASDELDVTS LLKALQKALLFEREIVTRYEGHGPDDGRSELNDKGQVIDPASAEGIKRRLLRQKRLAE HKAIADELSKKRMMEGEMGVVHEPEDPLPSLSGILSRTFDPFMTAYVALERKNMESMV NDVMASEIVDRNGALPVFSSSVNMFAYIRNSVKRCTALTVGQTFFDLQLEFKYCLGLY ANRLVAKLPGFITDSNTPPTHAAAAKWRLADKQEEELCFVINTAEYCADTLPSLEDVI RLKIDKAFTDAIELSKEIDTYHDVAAAAMKCIVLGIENILDDDWATMQRVNWGTVETV GDESAYVLAIADKLRPYVPTLRSMLSSLYFTNFCDKFAASVVPKVLQSIVKCKRVNHV GTQQLLLDVYALKTLFLNLPVMGKEGEVQIVRDTVSKAHEIERSSWTEECLGWCDDGT CAVHQVCQQRNGARRSRAQVDWHAE H257_06319 MSTEEEKVVVPAEEENDNEEGGVETGASEEPQAYFEPVVKLNEV EVVSGEEDEDVLFTIRAKLFDYKETLLNKGTGAKSWCERGVGNVRFLKHKEAGKVRLL MRQEKTLKILINHLVESRATLTANMGSDRAWVWTCYDFSEGVVEPKTFALRFANAENA QAFKDAHEDAKKSNKALEAGADAADTTAGDEAAKALEGLAVKKD H257_06320 MQEQHRVLCLGQVISLFITITSICTQVLTQNYNVHLATTQSVGN YFLLMGYLVHRWWTREDGSSDTPWWKYTLLAFADVEANFLVVLAYKYTTISSAMLLDC FTLPVVMFLSITFLHAKYATQHYAGVGLCLFGICFLIVSDIMNYKDSSFASDSFNTTA LVGDALSLLGATIYGVSNVAQEYFVKTKSRSEFLGNLGLHGFVICGIQAYVVEHDAFV AITWSTGSAAFLALYVAALFLMYSVTAIMLRDGDSAIFNMSLLTSDFFGVLAGIYLFH ESLSWLYCVGFVCILAGLAVYTRAPSPTATSKVALHDACLLLDHSAAASSPQRGGDAM STPTA H257_06321 MQRLECVVQQYAWGKKGTSSIVANLKAASDKTFVVNDKEPYAEL WMGTHPNGPSSIADTSQLLSEWIKENPWALGNTAKDIPYLFKVLSVNQALSIQAHPDK SAAKRLHKDFPHIYKDDNHKPEMAIALTHFEALCQFRPMDQIINHITHVEEFRLLVDS SVAMALVDFKDLPSLRAFFRAMIYCDPDKATSALESLRSRLGAQRESLSALDALVLRL NEQYPNDIGAFSPYLLNYVVLEPGDAVFLGANEPHAYLSGECVECMAGSDNVVRAGLT PKFIDKATLVDMLTYTVGSPPVQRGKQVDAHLTQYSSPVPEFQVQRMNLPPSTTYDLA VATGPSILLVLEGQGTANVHGATTHDVGTGQVFFVPAGHAITFKSRQDERLVVYRSSP NENVQE H257_06322 MTSKGKKGKKGGAKQQSDEPLPLHPLRLEVGRLHHGTADPRAAQ VISRINMRVQDMKPRGLSSGDLVVVSAETVPPTPVMCGTVWPSDKTKPGMVVLGSVWK EVAERAQSQSVTVSTLSSAALTRAVASNVTLQSLATVPISEKEQSLLGQYVACMMNGM YVLDQAILVLPVHGVSRRFQVTQCSTKSNATASSPFAIYSVESFTSIQVQWTPSSPTV ATTARQSTPAFHAIGGLQTQIEAVRQLIEQPLINPSLFASFGLPPPKGVLLYGPPGTG KTLIARAVAAAARAAVFTINGPELLSKFVGESEANIRAVFAAAAAQSPALVFIDEIDS LCPKRDSHVGDMEKRVVATLLTCMDGLNASAGVVVLAATNRPNALDPALRRPGRLDRE IEIPIPSAQDRLAILKVTLAKIPHALAPSLMDTIASQLHGYVGADISALCKEAALLAL QRNRHEMQVTEADVKAATKLVSPSALREISLDIPRVLWSEIGGQGLIKQQLKEAVEWP LKHPDAFVRMGIRPPKGILLYGPPGCSKTLTAKALATEGGMNFIAIKGPELYSKWVGE SEKAIQSIFRKAKAAAPSVVFFDEIDAVASSRGSGSSGVADRVLSQLLNELDGIEPLK QVILVAATNRPDLIDSALMRPGRIDRVLYVGPPDQVARAEILRIHTRKMPLASDVSME ELAQKTPRYSGAELASLCREAALCAMQEDVQALNIAKRHFDLALAQIVPQINDAMLGF FDAYRESHAGA H257_06323 MAIALDIDTGSLGFPRMGPNRELKFALEKFWRGAVDEAQLRSVA EKVETQNWTTQQARGIDRVAVGMFSFYDHVLDWTSYLGTEPQRFQKINNGLDRYFAMA RGVDGIPALDMTKWFDSNYHYEVPELNVHTSPKANFGAFLATVKRAQGVLGVDKAVPV ILGPLTYLALAKYDQTTTLAQVLVKILPLYTQLLAELAALGVKEVQVHEPSLVGAHAH ALLPHLVSVYGSKDASGALTHDTVSLHLVTYFEAIDEDVYKWFIDSKIAALSLDFTRG DNLSVLEKYGFPSGKRLGAGVVDGRGIWKISPALIPVIKSIVAVVSKNSNAALTIQPS SSLQHVPYTTKAEVNFQKDLSALFGVLSYAFEKLDEVALIAKAVQTNTLDAAFESVAS AWATYYKENPAKATVQSRVANVTEADLKRPSEFAVRRPLQMTTCPLLPTTTIGSFPQT PQIRALRSQLKTGKITQAYYEAQIDAQIAYNVGVQDALGLDILVHGEPERTDMVEFFA EKLNGFAFSQNGWVQSYGSRCVRPPVIYADLERPVDMTVREFLVAQGFSVNKPVKGML TGPVTILNWSFPRLDISRKDQAYQLALCLRDEVAALEAAGCSIIQVDEPALREGMPLK PSKKDAYLRWAVDAFRLSTAVAANPTSIHTHMCYCEFNDCMPSIDEIDADVNSIENAR SGDETIRAFKSIGYKKDLGPGVYDIHSPVVPPKQEIVDKLHSFLALLPATQLVVNPDC GLKTRRWPETIAALKNMVEATAEVRAALQ H257_06324 MDETKIVRTGVLYKKGKKVGVFGRDNWNPRYCVLTWSKLQYYTC EGGTLKGEVDLTRCSQDAIAVMPNDCQKTGRSASSIWRVAITTPQRRFLIAAPTEFDM RDWIRDLVKVTTRHEQSPAGTETQVFRLEQPHLRPLLLESYDVLAKTNRRNISLVPGQ LHLRRELRMAHHQDDKSTHDII H257_06325 MTTLSSSNQATPPLSTCSSSESTTAEDNLVRTGILYKKGRKTGF FSRQNWKPRFFMLTWEKLSYYEFEGGELKGEVDLRSCTNKDIQVMPDDCKKTGQSASS IWRIAISTPARRLFIAATSEFEMIEWLEDLRDVTSRHERMGGGASDMVRPSVVRPSVV RPSLMDSVDLLALSNPRRFAAMPGKVHLRRKVLAHQHNERFSHDGFGVVA H257_06326 MNRVFATPSNFVFGVQYLAKSVAPLAPWAAPGAVVVGWLAWPAL TPEYKEETFGVKAPSAAGVAPAAGTSNFRTTKYVKNEIGERPSVDDE H257_06327 MTLPNLPNYSPRPSSHRRPDPVPSGSLLDLVHSHPLSPMIRRAM MALSSPSGTVFGSGFVFPTKRELAKLIAQAQAPAFAKVTPTLLVLLTLHFKLLRYVRD LSHRIGEALARGCSAPTSSSSTCSSSSPISIKSCDSDDDDRKVKRARLARQSNEFMTA WFLAHKANPYPTAKERAEIASMTHLSDTQVKNWFANMRKRHWKPPQPEKLPRCLMDVM LRRDPTNQG H257_06328 MTLPNLPNYSPRPSSGPADDPVDCAKPICSSSKLYEDTTADIIL SSAGVQHDVAAAAANLKTDPLWLAIADMFDLSELEYIEERPPGRLLSESMAQSKMAFV AEATTMLGYAVGGASTQLRARYAEAINHVFAELPSSQPPPSSLSLQPLQLPSTSKPKK RTNLSTDAKRLLRTWFDANFHHPYPTEEEKDRFRREGGITMDQVNNWFINTRVREWKP KLHKILTDSNTAVLDEMLVKVKAPYQTHEFI H257_06329 MVEIILDPSIRDWVVLPMILLFVCSAMARNYVSVLLKTEEVGAL EEVRKIQALRRAGQLRMNCQYIQPSSFAMRKFYFTSSEKKDGVQGLLREKVKNDAMNQ MMNPAGMMKMMKGNMTFMVSNFVMMGLVGYFFGGFVLLKVPFSLSQRFKAMLQRGIDM ATLDVSYVSSLSLYFLIMFGMSGFMSLLLGKGNLNEDAKAMQLQMGMGAGAGMGFDAP RMYKQERVSLRLHVHEFALEHAEKKLLGDPIPEKVEGVAASSAATTTTSSAGTSSVPR AKHAKRARA H257_06330 MDTHFAVDAPANATLVWQLPPPFSYVDMLDDNLIPTLTVDGHQN TVFITTKNQSTRLIHFSLVNNAPITAGSVVHLGLSCFVPPASGIPDTYSVQLLGDSNS LLDTVTAQPVTATQPGVLHVGYVGMQSHRAAQDGGLLVSFSTGLAIPANGEYLLQLHA SFNLTSSVELHMLTSSGGYTTSQANNAVKIKRTGDGAIIPVGSTIAFWLRNVWNPASE GVLNSVGVLKTTTAEAFVLEQVALDTTTVYSAVVNCLARCAPGFSTASFTLTDDTCVQ CPAGAFCSGGCAAPTPCPVGTASSGLQSTSVAACQPCPPGSVATSLGNAICTPCPAGM SCSSPSSPPTNCPPNTFSLGGAASCSPCPLGYGCLQASVAPVACAVGTYSLTGSSTCT PCPAGASCPNTTTLPQSCPTGTYALGSAVACSPCMPGQACSVTTAAPLTCVNGTYAVG LSTACTICPAGSSCPTTTNLPVPCALGTYSLAGAMACTACPPGRSCVSVSIMPVACPA GAYSVEGNASCTPCPPGYSCVNNDLQPCSPGQYSLNGEIQCTTCPAGSSCAVTTQAPS TCSSGTTSAAGQRQCDPCVAGFQCPNPTTPPQPCGAGYYSATGSSNCTACPAGSYCTS TSMPPVPCPLGSTSSIGSTSCAPCPPGMKCTTPSGVPTVCAPGTYSSGSQNSCITCPA GFACFSTTSSTPVACLPGTFALAGATNCTTCSVGKYCPNVDQSVQLPCPAGSFSQGGA ANCTLCPSGSACPTADGSGNVACAAGYYSTGSATVCTKCPAGFSCPFTYANTLIPCPP GRYSTGGLTECVPCPAGYACADPTTATKTPCSLGTFSDIGQSSCTQCPGGYQCLSPSS PNITRCLLGMYSKEGQFDCTVCPAGSSCGDLSSTPTSCTPGLYSLAGQPSCTACPPGY ECPQPTQPPQPCPIGYWSPGAAVNCLQCNPGFRCSLASTSPTPPEDACPAGGFCNPPT TFFMCPAGTYGNTTAGQSVDQACAPCPEGHFCPSGSTVVSMQPCPPGFYCPLGTAIST AFPCPAGQYNPDSSTTSSAVCRSCPAGSYCPLGSANPIVCPSGSYCPANTQSATQFPC PAGTYGDSHTGSTTSAQCIPCTLGNYCPQGSVSPTPCPAGHYNPFSSGSSIQECIPCP AGWSCPHVGQIAYTDLCTSGHYCPVGTVSALSNECPAGTFTDNNTLTRVDDCSICPQR FACYSGTGSNALQKLSCAAGFYCPNGTAFPNQFPCPPGFWSTQTNLASASECSTCPPG SYCLGGGATVDGPCAPGHFCPERTPARDRFPCPAGTYTAATNLTDPTQCTNCPPGSYC PQGSVVPVPCPAGSYTSDMNTTTAGPGIWPLCTFCPAGNYCPAGSVSPNPCGVGFHAP LGSAACRTCNAGYFCGSNTTPTELLPTSAGLWTSRGSLFGRCYNGTYCPSGTSFEPTL QTNACPQGYYCPIATPAPVYCPAGTYNNVTGQDSLAGCIPTPAGYYSLQASIVPTGLC SPGYYCPPMSTSTTQVACPPRYYLDRAQGRSQDECIVCPAGKYCTIASIRPIDCPQGY YCITGTSDPEPCPLGTFGNATNLKMMENCNTCLPGMYCDGTALTNPSGPCDAGFYCTG GSYTSAPSGSAGTAVYSTGGLIGGLCSTGGYCPLGSYTSIPCPTGTFNNATGAQSFSD CSPCPPGAYCQSSGLALPTGGCAAGYFCTLGATTPTQNESPIGYYSSAGSYGPSACAP GTFNNQVRQAVCTNCPERFYCNGTATVTPVACPQGYFCPQSTSLPQKCPAGTFSNGTG LALVSECLQCPPGYYCASSGLTQPSGPCMAGYTCTGGAPFQNPNNQVFGTVCPTGAYC PQGSAIPILCPLGTYRPNILGQTLADCSLCPGGTYCNGTGLIAQSGLCAPSYFCTLAA STPTPTDGVTGNICPLGFFCPAGVNQPLKCAEGTYSATLGQSACTPCPAGSYCDGVQT ASKLTCPAGYYCPPGTNANPYPCPVGTFSNSTGLSNVTQCSLCTGGSFCNMTGQAHPT GLCAAGYVCVTGAINARGQGTTNGTVGNCPAGNYCPEGSFASQPCPLGTYLPSTGGKV IEDCLLCPVGSYCNVSGATAPSGLCAAGYFCHQRNVSPQPTGVSVNSNGVPVGGDICP TAHYCPVGSITPLQCAEGTYAITPGMMACTSCPAGYYCPTGIDAYSSYICPAGYYCPG GTTRGNQFPCPQGSYGSQTGLTSVGQCVLAPGGTFVNVVAATSPVGNCSSGYYCIGGA WNATPSNGPLGGQCPLGASCPSGSSQPTPCKPGYYCPDISTTLPCSAGFYCLQGSYTN TPTGQTNSFGVIGNECPLGSYCPEGSSNPTPCPAGTYGKVKQLRNVTGCTPCDPGYIC PTTGLTNATMPCTAGSFCPGGQQSASEHVCPLGSFCPQGSIAPQLCLPGKYANQTAQP TCQTCPAGFFCVNGCITPEPCPQGFYCPTGTQNGTNYRCLPGTYGGSSQLHSPANCSS CPSGKFCSGLANTDAPTGDCAPSYYCSGRASTPQPNDNFTTGGPNDHVTGYVCPPGAF CVQGSSFEVGCSPGFYSPFPGAWSCLDCPIGAYCPQNTSIPVPCPTGSYCPVRSSLPF PCPTGTFNNNSMLVNASQCVACTPGSYCNSTGLTQPSGPCLPGYVCSGGSPVANPVNK TYGSICPPGSYCPIGSATGTLCPLGTYRPNAQGQAVSDCSPCPGGTHCNGTGLVTLAG LCSAGYYCSLGALTPTPVDGVTGNICPMGFRCPTGSVQPIRCVEGSYADSIGQTVCTT CPAGSYCDGLASDRHFACPVGRYCPQGTNANPPLCPPGTFSNVTGLATSNQCTPCTPG DYCSSAGLSAPTGPCRAGYKCQSGCVNQFGQFSNLVAVNATLSANVSECWAGYYCPER TYQPTACPFGTYLPRTTAESLGDCLLCPPRYYCNVSAAVAPTGLCDAGYYCTLNNILA QPTGLQTLPNGTVAGGAICPTAHFCPRGSSTPRVCDEGTYGPTEGLALCLTCPAVGYF CPAGIDSYSNFTCRPGYYCPPGTPRSDAFPCPRGTFSAQSGLQNITQCTLAPGGMYVN TIAATAPSGNCSTGYYCLKGAVSATPDDSVEMFAGQCPFGTFCPESTSLPTPCEAGKY CSTSNLQAASPCLPGFYCIQGSYTATPTGQLNAKGKIGDECPPGSYCPAGTSNPIPCP TGTFSNTKQLANASQCQLCTAGFVCPATGTLVPTELCPASKYCPGANVQLDCTMGHYC PSGTSIPVPCPGGTFANITGLAECLPCPRRYFCDIGTVNPLPCPAGHYCLPTTAAATQ FPCLPGTYSNDTSLGYPTECRSCPPGLFCSGDDPTSSPTGRCARGYYCSSGAAMATPD GVTGGVCKDGYVCLGGASIPDPVDGATGRLCSPGSFCVNGTEQACPMHTYTAIAGQTL CSPCPLGRFCPGNTSIPSPCPLRFYCPVGPARLCPNGTYGAQMGLESPDQCSQCPAGR YCTNGNITGSCVSGFFCKYGNALPNPMSFNQSLLPWQQTSGGACPYGYFCPEGTSDPV PCPTNSSRMLSFGTESYDCGACPAGKSCFDGVTTIDCPAGFYCPYAQLPIPCPYGTYN PSLGAKSMESCVSCRAGKWCNRTGIIDDTTYDCPPGHYCTRGTPDAVECPAGTFLPTA GATKLTDCLTCPGGSSCMAASLAPLVCDAGTYCPRGAGAPTRCLGGYFCQYNSTIGLA CPPGYYCPVGSSVPIQCQFGSYCPGYSTSPTPCPLGSLSIQKPVGGNYSSLREACRLC PRGTYGDGATCTMCPEGFVCLEGATSPAPQNVTTDNGYPCPAGHYCVNGTYLEVPCPV GTFNQQMGGTSINSCQSCPANTYQYARGQATCFPCSKSASSTVGSTQCNCVGNHRAFQ LSDGFCICEPGYEYYDANGLLRSDQDDTVDCQPMVYTRCSSGQVRTLAGSCQDSSKVS CNSSCNGGTGEFLPTSGVCQCTNVPDLDTICNAACRATSAQLRFNAQTNALESYDPTT RVSTPVAAADQTDVFGTLSCMANGTSSCNVVSVQTTGSSFSGTYDAALPPTVSSKRRR RLAETKPGIVNPMICLQKGDSIMFSVTNQSYPVYAKDSLMNTNPAFDYGAFRTLDEKM KSNVVAISAFAFSFVQSGIYVLVLSNNADALTIVTVMDTGVKCPTDGPIVPLNQANLI KLNAKPSNDIILAPDWGLIAGLLAALFGVVAGLIFGLYYFRRKSWVGKQVGAGGYKEK ARGFNLSNLTSKGSVVKKNAKPIQDDVVPSDDLEAKAKTTNAGEYQPELNRWDDDDLG VRELVDRLQFHHDSVEKAFSDQEAGAVKMMKLLQNEADELKRLLASIVVAQSKEKEPE GCDAELVLVESLEKSAVARAEFEAQQTATEGALLVSARTLAATVQDKGVVSAIIDEMM RGHGTSRLLQAITSELGSLVQTISSTAEPSVGVWPTLQSEQNRRKVDAAVWNAFGKTT RDLLPPKLLELKQSCSRYASECDEKAKEVCDGLLKFSNVAPSYVKKLEAFQEVCAVEI KQALEQQNPALLKPLRQKHEKVLQGLLKELQGGASKLVARVESDQVQLHAIRSKCQPE ALHMQKELDALKHDLTLAKAETNPANDVAELVAQLRTLLSNPGQFQLMPPAVVAPTLN LDAIFAESDTIAEPAFEDDSGDMAELQQLMDEGDLSQDEVKVSKMRQEFVSDLDANTS LTDDERQSLLDEFNDDMAQLELSLGLERHKQEEHLRNRLAIRKLNKSKEANALLQDQA LEAAMREKQELELIELERVFAEEQAKIEQEFNSKFDGVKKKSSQLLVRGNTGKRMSDV KANDENVAKAVQDEFNAKWNERQQLLDDDARRAKAKLNARKKALSAVQADPTKAADGT AAVLNTLNNIEANQLKRAVEMKNDRLQNQRMADAVKLETATPADTALLQKVLAENATK WSDRLQAVVADELQWSHQWSELKPAAMTSELLQMNTKMQLLFQTERDAVECAQVQEKT QLGSVLTDDDAVLNQMHMDFNVKWNARNQALLDDEQRQKQKLNERRAKRKTKAGALQE DVQEADLLLGLVTERQSLDEIGSTIKAHAFDSLSENDNEDAIAEIQRKFALEWARQKE LQAAEATVKRAQLKQRLKRQREDMLPEEKDLVQALEVLETQQVEQEIQIDLDAVASGI LADKALLEVLSSHDQQAIDEILNSHEIKWTERQNRLNDDAAAARANLNERLKKKRHVV LESVLEADLLAKAEALMTAKLVEKAQLGNVTVGEKQSVQTLLAEHDAKWTTRQKELDE LEQKWVQELTDQNASKEELDELELNVSLQRNKMAMNEAKEKAQLVALQAIDFGGLEDC NDSTIQKLHDDLESSLGKERSAVDDEAARVRRRLQDRLARNAKSDEDAAILENQVQLY DEYARKCKERQALLQDEESRLRNRLQDRLARRKKQDGEPAVTPAEVSQLEAQLQDDMD ARRKQVELENLLDKAKIQVLTADDEDTIRRIQEEHLRTSADKQRSLDDEESILKHKLA ERLAKKRDKLKQATTPLLADQLILQLEREGDAEMREIENAVDEKRRVLETIDKLVKGW TPTPAPTDNESEIDLINAELAKHTKDRQRMLDEEEQLKKARLQERMDKKRKLREDAKQ LSDRPTTADQTAEMNREVGKIEAEMAAKRVELEAAADKERELLASAVQQAKSDVDAMV DKVKRDHQANLETLKLSLDAERAKEELALKERIASRRKQKDKGKMDEAALAAQDAAEK TALAAKLDQDAKDALEKEKLRAEEEMAALQREIANKAELQALQAASEKKMAEDEWTRL KDIHEVELKQLQSTLDSEQQRQESKLKDRIRLRREQKERELALCKDQEEVVKARAALE EQERAEKVKLAAQLAKQADEAMHDELLRQEEAKNAAELKLNQAAIESAAAAAAMDAFR ASELDRVSMEYSNKMNQLHQDTANEAQTQKAKLEARMAAKKNKKAMELQAKKDKEKEA LLEKQRAEANALQARLADAERLAQVDAILHEQAKQTPRVEETAEGNAMTAAHEAERKE LDAKQAMEVKRLEAEALNEKVNTGQRLDDDKLSASQEQLQVKQELDRVTTEFQEKLTA HTDSLHQESSQKKKDLMRRLEEKKKKKKDELMAQQAVERAAAVQAQKSDQEKLANKLE MEREVVMIQKLLAQNSIVVSQLTPIIERVVEKRHKREQSLLFAKQYRERAAVLRDALQ TLMQQKAKEKTSLVESTTDVADRDRQLDELEAMYRVKQQDIEASGTHDVEAAQTKEQA SLKERHVADVAYLYQQFVGQCKPDVVVVAPVTTATTTTVAAVDDGTAGLRGQLELEKQ ARIDSILQAGATAMQKLRDGLAAEWNELDEAYIAQLAVERQDADREWHVQKQKVMAQP VSEKRRTALVAALEVERKKQEAALASMLKARFQHKKERKERVCKRRLKRTDDDTKRRV EIVHAQFLVSLADELESRKHKDEMVKTPSLALLGLGKERLQGAMNRTKTLVRLGSVAD TTSRTDDDHDVQASTSLLSSITLAHVNESHPQQPPHHPMELIGQKLDSIERLIQRLTS SERPVTLPAGPNSIKSALLSAYPGLEQDAKASTGALKPLDASMLTKRQSARLEFGQKL VSTLDPTLQIAVASVLTPAPGVFGHSMTYEPKSHTLYVRQSRLESVPELSLLLVHSVA HLKAHKSAFDDDNDPAFVTEFYRLLGRCYQDFFAKSDDLGLIPAASMESLVALGRSKD AVGVTPASYFLPGQIESRLADMQAFLDQMQNDAAEGDDHVTLLDHQSTSKLLLPSSKP TQSPQSIRKERTTSPRSFKKIGSSRALFMANAEQQVTSLQECLDVAEKSYMETLKRYT EASDAVELLEDALSEAEAENVEHEVGLLSKKLSEAKEDLARVKADRDDVARRCEKLRL EIKAKVDAV H257_06331 MVFSTPAPSMLPIHVHWAHAVNSQASLASALAQDQAIEADILLN SDNIPVMAHPPAIDSDLTLRAFLAQVEPGVGVVKLDFKSDAAFQQALPLLRDLSLPLK SRLWINADILLGSNAEEPKFSAPHFVAEAIRLRVGKLSLGWTTSKHSTEYTPAMVREM LHLLSEYDSSIAATFPIKASLVRGSWEALKALYANPAFGMTLWLNEALSEGDLVWLYE TLETSSLQGRTYYDLHGWNALVRHKGW H257_06333 MRILIKGGVWKNTEDEILKAAVMKYGKNQWARVASLLARKSAKQ CKARWYEWLDPSIKKTEWSREEEEKLLHLAKLMPSQWRTIAPIVGRTAAQCMEHYEKL LDQAQSKGADGDEVAVEDPRRLRPGEIDPNPENKPARPDPIDMDEDEKEMLSEARARL ANTKGKKAKRKAREKQLQEAKRLASLQKRRELKAAGITADKSKQMGNLRKKRKFIDYV KEIPFEKKAPAGFYDTSAERTSAVALDPKQTALYLNQLDGVHRDAEEKRARRDDAKRQ KKLLSSALPQQIAAINKLNDPSSFIKRKALDLPAPLVTNDELGLLAKQGATDAAQAQL LALEHSAQTSTALVAVYDPLATPGGPSATPARAAYTPQMSVRETIMQEAANLAAMTRA PTPLLGGDNVELQQGTGYGGITPSRTPGPPSSVLRTPNSSLRTPMRDMLGINPEGGEG VDESKRAEKARLRAKAAALQGGFASLPAAEHEYEINLPDVAAADDDDDTTRVEDAGER DARMAREAAADADKARLRRSSVFQKQLPKPSMATSTVLNDDPAIQKALAALLVSDVGD VKKKRKRGQTATSSDAFTNDELTVARNLVALDAKLYGGAVLDVNEVWQTAQKHTKELA PGQLVDWDDISVDLRIQVLANEFAVLKDVEAKLAKKADKLEHKAGLLHGGYENVCAGY GTSLESTHQAWLHKSRELHAFSQIRLVEQLALPRRLESLGREVDLLQLREVELQKRYA LLLEETEAATAET H257_06332 MHARRKRPRAPCNPKALELAEKANDNNQATHECPSLLHRITASH PSQRPRDVVHHPSTATMEMSPATASMQATQSSVSDTPDKLLAYCLHVIAAKERVVPSH IRLKDIRTVADAVFAPTRKPLEIHYLDYNPSPPCHFTNVYWLSPVPVSKLDLKRHYFT LTSYGLTHVAGADTEVYDVATWLTEKAIFDQIVQMPCIQLMRKMTTFHTWKQNSIGIR FGRARKHLTQKLIWCHAGVVPYALQIRHMTLQITQLATSSIVQKAAPVAFEETRQLDY TCQVTDVLAAVDKVEAFIYEALAPLLQDHARYGSFAASSDVIPIFVRVVDYMVVEALF DAVTTSMDQLVQAITGVTSNSTEYDVVARTAADEALDMSSQVDSLLARPFEHTGDEST TSSASPLQPQIYDFMDPNHRTGTVAYKRLFQLQSASRAPDPLFFVHITIHDTTHCAIA SPSKEAWLGKLHASLSQYVAAVDSIGRVGAIPRVRQFVHDHCRPYFRHYFANNLHRFS AMVHNHAHVANVMTALRTAMDIYFAEIEHLASTCLPLKAEFRQLQSIAVPMASTTTPS PNVDAVAHVAEVCRVMQSYVAFRRELRVVDSVLQVGCFLVDRSNFVGNMLHECNATLL TMYEALPHLCKRFMAAVSNELAHKASTLASIPESVDECTAWLECFGSLLRKRSSNTHI EPQLNTLGCLVDSCQENCDLIPHELRVSLVHSFESSNHTYRFKMKALVETSSRIVDAN QPFYVDLFSRTMSTRRDILALEFDTVATKLDHALTTFCMFDAFPHSGGFGGADVQALL QVVRHLVNQSARLLTLEAHLEAFRGSSNSYRLQRRRHSFRQMSIDHVYKQHDRLDAVV VLLGLVQSYVELRAPTNDVPLVGIDLPALLAKIATLKRQSQPYCAMLGGVAHPLVAQF YPSIQSLHDAVEIAVTMSHVQFTASRWCRLATFFEHAGAATCTLRHIEHALKSPDAAL ILTELTDAVRVEARIKDTIGRVKAIVADLTFEFKPDARHDLHVTNCLTLTSSLEDAHL SLMSIRHHQNPWLRDVERLEIRILDAIALLEKVHDMEQHWSKVSSAVAVPEVLAHLND ASLQTAVEAMGTTWRDCLLAVYRWDDHGKKTNVMIKLETVFNEVDFPQWLGLCERIRA SMESYMEALRASFARLHLLSNDDLLTLLQTPTPVDLAVQWCYPGVQTVHVANTTQLQS SAHIAGLMDADFMSWLLGDHAISYLHAVSFHCHTDMTQSWVLNLYRPVKILGSLSFWL GQLDIILTHSLASNVMRAKATTYDPVSSMVSDQEALPPQLALSLLHVQFCTFVGRVIE PSPKRLSSARTVLATTATAHRTSLTKLIGAMRSANECNVAVEDAIVLMAYEDGVVRRL TTLAGTHDWAHVVHEWEVSFQLAEVPSSADSTCTSSVLQAQMGHVVVPCGLDIQPKTP WIVILPETERCMFALFHAMRLSVCPMLFGAASTGKRTLIQGVGYLLMRCQWHVHCDAS TPLRQLTGFVAGMSAVHGWGFLDNVFQLPVTLLGVLMAEMNKLQHSHVLQVASSGAMT CAIIMSYKGSTLTDSAAVKWLGAPLRPVAVPPPNLALAFHVLLASKGFSNEVAIRNAY SILATLFASSPQGNNNTSVLFLSLRFLRRVASMAGAITKQVAYVIHSQSSSKGSHVRH DASPLNTARYQDSNVFRFALLLVLEPLGLFPRGHVETVVDQFAPSTMVLTQENRMVAS AFKVVLERSHFVPIPSLVQKGVELHQALESGGTPAIVVGSTATGKSTCLKAALRSMQL LESLLGTTSNHNISESATMHLTVVPLDELTTPSTALSILSDVVHAKRDVKFNCVCFDG MLPTSGVVFDYMSSILDSNRAAATRRLPHGRQDGMNKGNPMVVLEVVSLASMSPAMLT DCTVVCMDTVVITWQHLLEKWVHQQPSKDHPAFALIPCMQDNVHRFVIEFALPFQATM SKYPMTLLMANILHLVAAIYDAMLPSVTELFLEQCTFLAVMWGLGSYLSTAHRGRLQA FVQELVNEQRQTTPWPSVDALFLFCLEHNCSFFDMTVDLDENRIARVSSPLASPHWGP GPPQSTMNYVPTPPLQFASGLFSMLQSRGVSMVVTGPRGSGKSTALHHLASLVAEPRV FLHSPSQSQHALLKRWMLTANAGAAFVDNVGLDDPRVFRLCRNAVGSQRLFDSNRGSW VHVKATVCGSVGDAQLDQLSDHERLRFLRHFYVVRLTEPSPDELVSMFAHSSQRTLGR SLHPDELGIVQRTISTLQRVRQHLPLRPQYHFGECLIQHILQATLIPSRQPEDLTWTW LLNVKAYVWDALATDAHRQIVQNALRTVGGSGDDSRAAAVDFDDMVWSSPWVASVISR PPAAKSHDNMHVSVRAFMEAEYLRQSCVYGKVDLGWLRAMNAASIVHWIQLNDAFHSH KQVVVVGHDRHCHDNTTKLIAHLAHVKYVTCDDVTSQAGLVKLLDTLCHVTVMADEKV IFCLHDDAFTKWPDLWSFALDLFRGHITTVAQTFVAHHPSFVPSSEDSSGHHLVQDFM QRMRANLCCLVHFQADQTSSPHHHHHLDALLTHRNIPTTVISLPPPSTPSSSQDHADS TQGFIRHVVDQHKQLALSDSDCATLAHACADIHATVCAAHFFTSSSTTSCPGIVNLLQ TFSHLLDLRAGATFGNSENGGVREAVAVLEDVRRSFRQAQADSAHWDAAHESCRARQA AWKKLSFAVESKASTNTTMIQDVEHRALPLLQHQLDDVLAALEGAERQRRIDRKALEH AVMGSMTAAEKQSLLDKSFQPLVRMTTALCVAFETPGITAEAACKLMEDPAFETKLIA LDIPGHVVATGELQHAMAFALTDVAVMHTKQPMFAAVVRWLHFKLTNAIALDAIAGLR VKHAQITGEMDTLNEMLAQLRMDAADLATHKDTLRILREELSMEATEAKLNSNVAKRD TARLSPLQSFIDFYLTWLRAKYWVHAPTSLTSLLYLAGVVTYAGTIPPMAHQELTAVL AATLTRHGFVLPLDVAWISDTTASLVSTLEADVCFANHRGEDKSILRDLVLLADWSHQ TPLFVDPLGVVEPALVEFLNRLKVPLPDARHIVVSCLDPNMLDKLQSALLTNKLVIVR HFSMAKFDLLRPFLHRPRVPLMHQLLVKHSKRPAILPRHHSTVTSLAPLASDSNFLPP KTTNSKGTFQLYLISDEAIVLSAHERSFVNVLDCSTFNVQPVLCDQLKLLLNSTTTEN NQAIDLARLQTFVDMHYHLGKLLIEIQHTPTQAQAIQSILQYDHHRQPLETNLAPLID KTVSSSNVEVGGHEESQDDLVASSAAFIGHMLHALHLLGPAATNSMHSIPRFRKLLIA IHHARRHEKADPPAKRMARLIECLHLMVVCSLPPQCRRLFDCLVAVQQEANAKAPALD RVLTFLAGSDVRVPSPTSKLRTQRTTRASVQSPSQGHIHRLRRIHSVIATVTSSTESA DSSTTNEDETRQGNVPHRQWMAHWNSVEMYAQLQTLEALVSEWEGISQHIRLHTSLWQ TYIVQALQASPPHFVVPHSWPTPMSTFDRMLLLRCICPDMLAFHMDLFIEDVLSPHLN VSRTPPHLVLSPIVVVVADNQSDLMSSALGDTINLNFQQRYIFGATSTPLFTAALDSV LAKGGSLVVDLLRASDFGEFYRIFHFRSTKSHTSGFSHVIVLVCAPDVAAALPIGFVQ TTQTITLPLDLPGTGHDQVASGHMHAHSVVAAFGRSLLDVQHELAAWNAWHAVGWRDF DFRPSHLDDMMATLKHLGELKGACEPTTALALTKQITAAIQDGVLGAGMAHDHDRHHL MTRFVTKWITKSSDHRRHSGIAPATSKKQQYAQTDDAIEYGVAPRMATFVRNVLVRAE STSVINLCRSISPPSPPNDSDEHAVAVCRHMFRSFLHTHHVHHRNNCQHTHDQHDDAT VLGAMLVTVAALKFRRSVTKIHHHPHVGTKDTSIALALLQDDLAQRNTLWLHCLEQLD LPEPSPDALRLLLSYHLPPDWMGLPTSATPVPLAALAIHLNRTSDFFRGLKGNIPSVV PLDCLHCPDQFLSGLMLHNAKCLGCDINQLHLELEDGGSPTGGSLVSDTDGQLRAVVV SGLWLFDGHDNRPLFDKLPPLTLRVSLKAPSHQPASERGAVQLPWYALWSLEHVGVWR FGDSRVFRPLGPRLWYTSSDPLPRPETAVLLCPAIDALPSSVSLLLSSIQPNVHA H257_06334 MERRSSLRKRSGSLPQRRRWLHHGFAILGLLILAVVSCACLTVS LHPMFTDPLVHPAISSATLSCPVETRYYLVASGGLTATWFVVGLVAYVSHTQPPAMPV LAILHCFATIVVGIVGAILGAHKGCAQANPTLFALTIATSCLFVTVCVPLMGILVHYV VRNVSAPGSKTSGVLAALRCANVSHSTRRALATLPFGALVVVAVILAVVYSNRDQSSG CREPFVLFLIVSSGLFGFILGLALWCYLHPHSTASYLACLLLGHGIASVAWATVGTIW IRTDAASPAQCSPGLVSAVHHLRMMLFVLGILVTTLTCCCKLERVCLPLVVPTESQLS TVQIV H257_06335 MTSREESGPGSLGFFTGVSVDDKKYESLLEDLRAHDLLGPADDI AYDAYGSGLSGRTSSSLLLHDNLSAIFSGNVPKDCKSPTYPPPRHVVPTSTAARAPPP GFASAPVGNAPTQADLEWARSQQEFALLRGDFSRKATPNAVDTLNTQQAYLYSEEDED DDALLDNLTIQDLGLDDDDDKQRGGAPPLPSSLPPPPSIPPGLHPPSPPQLPPQSAPY PPQQPHPSYAGVPPPGNYPPPPPPPGHYYVQPPPHLQHHHHPQGPPGGFHGPPHLLYH GPSPHAIMNEKIFKVMNPRDVQFVVQQQLKQIRSSDPFSDDYYFHNYHVKRERSGSVP PVAGAALPLPSWKLEHVKAFDPRDVSRATKSREWESDNHVLGRTAKSSLYRPREMLHL DDSKDGVCDVALSRSTTPATSVFLNESWSKRQRINEGLVHLLALQDARHILDARRINV AQFHQLDPAQMDPSLVDLRNKTTTLLLELASVLGVTNPQQPADGFDRPALDGILAVSK GNKLVCRALPLLHPSARFVLFPQLVHYLLVQSIHSTEDQDRLAQTLVVALLYQQPSPP ADVLAESIQLALATQTLQSLSLVLHNRARAELLQALLQKGGAVCATAADDVKAKWLKY QDVFVALASRIKETTQP H257_06336 MATVEEKAVHSHGAKVDKESASDDADSYSESGSGDDDDASSADG DENTETSLPSTSKVKDEDDEVLSAEDKAAREALIREEEEALKKQKESQAKALEALREQ EQESPAKAAKATPDRRLQFLMAQSDVFTSFLMGGSSAVGKAMNKKNARDVKSEGDNNR RSIKKGGDDDDQAAILAEDESRFTRLDKQPSNIAFGTMKPYQLEGLNWMIRLHDCGVN GILADEMGLGKTLQSISLLAYLRESRALKGPHMIIVPKSTVGNWMRELGRWCPSIRAF KFMGDKEQRNVLRESIKTVKWDVCVLSYEVAIMEKPTLKKFKWKYMLIDEAHRIKNEN SKLSQVVREFDVEHRLLITGTPLQNNLHELWALLNFLLPDVFTASEDFDSWFNVDGEA GHENVIKKLHTVLRPFLLRRLKTDVEHSLPPKTETKLYVGLSEMQREWYTRVLHRDAA HLNSIGGSDRVRLLNILMQLRKVCNHPYLFDGAEPGPPFVEGAHLWENCGKLVLLHKL LPRLKAQGSRVLIFCQMTSMLNILEDYLRFHHHEYCRLDGSTQGELRDEYMDVFNAPN STKFAFLLSTRAGGLGINLATADIVILYDSDWNPQVDLQAMDRAHRIGQTKPVRVFRF ITDGTVEEKIVERAERKLYLDAAIIQQGRLAQQNRKLGKDELMTMVRFGADEIFNAKG SMITEDDIDAILAKGEERTEGMKAKINDDMQHNLKNFSLSSVSSLYEFEGEKFAKDAA EAGGVMPPTFIALPARQRKTNYDVDEYYRQQTGQVKPKKAKKSDDDLRPKVAVVHDFQ FYDKDKMVPLLQAQLDVEFQRKEQVKLIKEAKGGKVDGDDATLQRLEAELDAMDMTAA DRAALDALERDGFGDWSRRDLKQFVASCEKFGRQDKDQICADTAAAVGKDVARVAEYY DVFWARGPDELDEWAKFVDRIEKGEKRLTRNQAVKEALAAKCALYRNPWRDMKLQYPG GYKSKGFTHEEDVFLVCMMHQHGFEWNAIKDDIRKAWQFRFDWFFKSRTIVELQKRGE FLTKLIEKENEETASKAATEDETLSAKATKKHKSSSSGGGGSSSKKRSRPSSSSSSSS KRRKSKG H257_06337 MSNNRQQPPLAKRKPSAINMEAGGDGKAKGRQSKRRNERIRTFY KINNNSIMSREELSHEEIAAYVGHIDNKCLARFGVMKLSKYNLNVPCGVSMDNATSMS LLGYAAVHSPQVCLSLLYAGADPSYDCQSGLVIHPESRAMLLRYPIQYIVWIISLLAL SISQRHGSACVHCSDDSNHVVQLSPCRHPICQGCFWAHFSLLRIDQDLTCPHCHVDIE NAASTCPPRPPPTADAPSTIAQASLDAYLRLSHIPPKGHKNVKPTVEPLPLWRALQLY VGLTPKQRDAEMFKAVVARNAGRLRALVHVGVDVNCRNEYGQTCLFMAAWTGDLGCVE ALLASGAAADLADNAGTLPSQCALRHGHHAIASILYQANMILPCQDHHEGQEGVVANG LEHLHPPTHHDSRFVELIPSASAHPGAGSGYFDNAFPDDFLARLDALHGTLPVAPKEK ESCSNRSYFCDSLGWVLDGVRRACGRAVFPNMRFLHYTDVGGSLPAHVDLSRTDLQGV TSTKTFIIYLTTCASGGQTNLLTSTNAHDHNVLAAVQPRRGRLLIFPHLCPHEGAVVQ HVPKLLLRGEMY H257_06338 MTSFSLMRECKGISASNVYCCPTMDIVAIITTEHHVLVYQSVEW KKLLHIQPSDTASQVTHVAWRPCGRTLAIGRRDGQVHLHDIEAESDDSTWQSFENVSS YHHVHPIAALAWTNITDCNSSKMKDRTPSYVMNPPSSFSDTSTTYTPISPIPDEHHHV LASADTHGTILVHLWGNVCLARVDTSVTNIQHLYVLQDLSRVHVATITGQVVSYRLPT STSKEILFVVAHHIREIQSLWAMITSALKQLKTEWGNGVRLFEAKFRLLPGEYAKYGC HQVPQMHMYTLLCSGLCYPALELFLSQYLQAQSIQKMQKTFAHGCDAVDTLLHEHAVT GASAVLFRLTELRGLARSYQSLESIGMSTSALSDFIEATEGFLLQLNRMQRAVRDTAV NMGLFLDWIAHTSASVTNKTRLGSSNPVDTQRLARFLDHAYHVAVAHRKAHPNDMELT FGNPMPSLIDGIGDANTRVVMQWTRLLAKSTSSPPICTLVRQASLPHSSVLFGPQWTL CFQKHLADVHVYDTTTWDMWTTPSIAPPFHVVQASMYIRESCPPRVAVVVSNGDQAYL QLVPMEDSSKHQRPPPHEDAAPTDEAVRTREVGSTVVALAANGMRGVLCVLSHTSFAM YDGECDDEDIDQQDE H257_06339 MPRDQGHDDKPSSSLNHSITRGTEMTDKYTALIDQASFQHMSAE MNAFDDKREVIIRQSRDILKASKQAIFSMHRGALDDATAKLAEADRVISQLAPLIEVD RSLRTGSFAAAMEEYAEAQCFLHFLEHGTLMSIDKLHSVEREEYLSGVVDFTGEVGRY AVAQATKRDVAQVEACRDIVEAISGEFSFRNGPLRKKYDSLKYNLKKLENTLYELSLV PSGGRPFELKADEPLPPGANDDLIQ H257_06339 MPRDQGHDDKPSSSLNHSITRGTEMTDKYTALIDQASFQHMSAE MNAFDDKREVIIRQSRDILKASKQAIFSMHRGALDDATAKLAEADRVISQLAPLIEVD RSLRTGSFAAAMEEYAEAQCFLHFLEHGTLMSIDKLHSVEREEYLSGVVDFTGEVGRY AVAQATKRDVAQVEACRDIVEAISGELIQFSFRNGPLRKKYDSLKYNLKKLENTLYEL SLVPSGGRPFELKADEPLPPGANDDLIQ H257_06339 MPRDQGHDDKPSSSLNHSITRGTEMTDKYTALIDQASFQHMSAE MNAFDDKREVIIRQSRDILKASKQAIFSMHRGALDDATAKLAEADRVISQLAPLIEVD RSLRTGSFAAAMEEYAEAQCFLHFLEHGTLMSIDKLHSVEREEYLSGVVDFTGEVGRY AVAQATKRDVAQVEACRDIVEAISGEVLL H257_06340 MDEDTTDHLYFKTIASCVIWDLSVLGCVAPMYMPVLRDAYNGVS TIVVGGIFLVGSVAHVVPALVETARVTLAGVDFMIFPTAYSLYALGYLFVLGLEVVAH WVQVHVHSPVHKVHPSITLISTSTAVSKSKQSAPSSPHPSMSVVVLFGVLSLHALLEG VGVGIAAEPAWTTLSGILAHKTVSSLVLSIEIHHHYSDPSMKTRRVGSLVLFSAMTPT GVLLGSSIKDPALLILGLIVSLGNGIGLHRVVMGAFRPPPRHES H257_06341 MEEEKQDTTVEVVAAAAVDEQKRFEIKKWNAVALWSWDIVVDNC AICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNRE WEFQKYGR H257_06342 MCGGKTSIVNLALALVAVAAITTTSHCIACDDDISLGYNIDDVY ELSNEILGEGGYCVVRKARHRGTNEVVAVKELAKKDISSKAFWTEVEMLHIAGSHPNI MSLRGVFETDTTWYIVQELANGGELFDHLVANGAYSEKQASVGMRDLCHAMVHLHRKG IVHGDVKPENIMLCEDRMCLVDFGVSFRQGERPLDTPLQATTAYCAPETLAASARNEF SSGPEADMFALGVVLYILLCGCHPFDTHNTLTDDEIGRRILKGSFNTKIRPWKYLTRD AQDLLRRLLDADPQKRLTADQALAHPWLSPSNNRISTLKRPATALKRFQRGRKRLRAS ILAVLLQRDDSSTSTRDPTELRELARGLSSKPEAAAPKAAMVASALEVFDRDSKGYIS GSDLKRVTTALGHDLSDGEIRDMIGSAGGDPDEVHIKELIQYQDIQRMISSLRSALYA QGDVVSEEGAIDPHFYVLMEGRVDVVCKNAIAPDSNSNVDTDDGRVIWLRTLAAGDYF GVMELLAPDGTIHPRVSSYWCMSPTCKVLKLLVDDFSTVSAIYKSMDDRFQEYTLHHA HTQIIKCLEDTHGHVQRQAYAEGEVVYKQGDHWDSYFIVTSGAVEVVMDDVVVEHLGA GDYFPLGVVSRLASPPIRHASVRATEPTTVVEIQGHTFRSFLRSYRFMTAYFEDQKLQ RQAQRRVARKQHSGATLPTASHKPSSPIITFAGYMASKTNHCHLCTVQFTFLRRRHYC GSCFHDYCKKCTDVYTSLHQKSTRLCTPCVIRMNPTRAFHSDRPHPSSRKLDNDKLRP VQFLSSRSISDRGSIMELTKGRASSDTCVTTSLDDEDQPCHQVTPENQVLSQVAPSHH APRQSFPPRSSRLLLTPRQRVDVRAKRATAAKP H257_06343 MTLLSWKEFAQAFQTDRNMVEHQHRCLQKQVAALESPQHMLLLW FTSTHSPVHAPLLTYKLTSLLGDTTARSLGLDWISKHLYHNLDPDFLERCRDADHGDG LRYLRYASLNSQARNDSFHEMMLARLDGLVKFELQGNTQVLRYRRGGSSVVDAYDHLA WLPYVTHSLRRASGENSPWKSSVRPVKFAHLQRRTDAVATHVT H257_06344 MNAVLRTAGGVDVGAKKRARQAAHAENQRAHVQRRKMLVKTLEV DVGALTVETVYLERQLLRASSMLNETRPEKLVKEYVKMFHFGYQSSNPTQLSFIRSFM REDICAHGIIGLPFFIDNFRRYCSVNLNFQMDYVHSHFVYNDECDGLVLGRCVLQLMV KQRISRGLLELYYPGVLNNEPLVQSLIGQTIRIPSTSDFTFDTNGLISVYASSMELVR AFVDLVHDVEVAAMIVHGNRLHPRNFVRA H257_06345 MLAKASSMGAQAMEMAKPMANAAKEKAIATASVVQIKATEAKAA ALQAVNKSEAKDPAAVSDVDSGDETGAESESEGLLTDMQDQCNCFPNLSKKQRIQAAV GCYASGALFSFLATLLMFGGPRHVKGFAFFYTLGNICSISSSMFLTGFKKQLTVMCLP IRRVACCIWISTMILTLVVAVTMSKPGLLVLLLVFIQYCAMLWYGASYVPYGRALLKK MCAKAASSASNAV H257_06346 MPRHSTHLSLVEAASNNALLSPQSRPACVAASPPPTVIRLDDDE PEKRKHIPTGATPVRAKKRTEPRRSSRLLLPLEIDVTGSAAATSSPAPSVISLVTSSS DDSDKSISPKTRKGSSHAAKHKTRSSSTVIRIHSDDSEPDVVDLTSHPRTPNCVPLCQ SDALKMLNLAFAEVERDDRAMYKVTDDVIRDEISSIAKSSLVESTDPSDLRHMCTYGE IDARDFSAVIMPFLDLRPADVFYDLGCGTGKIVLHVALETLCAVSKGMELMLNRVVEG HRALARAERLCPSIVPHKTIRIVHGDLCCPPDEVNMADATVVFINNVVFPPALMSKVL EILGDMQHLRRVVSMRKLCERHRPERCRRHGSPCVGFHHPPVEAKVGVSWANYAPFYV YERVVAACASKQ H257_06347 MQQMGRGVQCVEEVRNVGVDLLVETAGGAHDGPDVAVNEWLATA RATEAKVPEAVVAVVERPPVRLRHVLQDGQHDRMPRVDVSTGPGGGGRMGRPECREPR RVAQVASKRFPRRELNKVVPRGLLARVEHVHVMAGFQSGGDVGKVVQRGGAPRPHRVW IEEAAEDDHAAVPQDLNRPSMRLHGQDAVLALAVRATDGDGVDPLTLDVQREGRGRLH PVMQGVGDTRASSECEMLATGCADDRSGTGEGLGCAP H257_06348 MEPSPAKPTPAPKEQPSAMAASTLRAIASSANPTVAFTRSPPRT SGGRGGVKRTRRKEEKLADEVMLVQRDIHRLEGKRDCMLDYPMQTTALNDRKSTKIMY EYCTLFEFGFDHKNKQEGLKQEQFIRSIMREDLQITGVTFTSKGVDKLIGAHRMYSSV HMNYKMQFVTCENVLDDSGAVIVTELKTIISQRITRKMLQLYYPMVFQHEALVQRLLG QMIDMAVTQLYEYDNLGRIEGYVPRIGVLEAFADLLENADLAALCALEHKLRKIFE H257_06349 MSPQLVRLQKRHRAALETNTGPKAKVSGAHTSDDDLDTELDRLE HAVLALEAETGRLEGKVMVLEQIPWESIRLHDQRSSRVIDAYLRVFRHGFKVRRTEEA NHQERFLRSICREDMVFCGDKWSTHGVDKLIHAFRMYSSSHTNYSMQATCMEVVRNDE DSCDVVCHVLCSVSQRLTRSMLSAYYPHIMNQETLVQTLIGQDIHVDTKVTFGFNPCG LVDVYGAHMNREKAFAQLVGVDVAAMLADGNQYTTLVNLP H257_06350 MGRSAASIADIVDAHHHFYDTNEKHYAFLKSLGASPYLPEQYAS AVGDLPISRSVHIDAMPDSGLAEVEWIESLVAAGRAPTVAAIVAACNLADDDVDAQLT ALVAASSKVRGIRFMVDYEGPFDGGKNATHIAVSRHGNDYIRDTTGPAQSFERGFALL ASHSLSFDLQCSPLQLDAAAALIARHPEVPVVVDHLGKVRHLAVDGSAAEAAKLTVWR AGLAKLAALPNVFVKLSMLGYVVPGWHEDAKKEAFVRDLVREVIGLFGVHRSMFASNW HQNGAAANADGADSTGPTMPQLYASFHDWVSDFSEADRHALFAGTAATFYRI H257_06351 MPPKLLPRRPSAHRMSIKRQNAAYKLMFHGPEYGNGEELVVNPE SFPDLKLHDIVEIVQPDRTHLRLIMQVRTLAPVRGKLQVSILKDLALQFQLDPFHEVT VQRIEPSDATVDFIELSFKDQFMSRADLWRYKVAMFGKCLFAGKVYETLGTRAQVEML LAKDDNVLCGVMGTDTKLILRSRSSRIFWLVHMSPEMWDFADDGEMYFEKLIHRFVRS LVAKWQEASVGHSVTVLMFSRSFYDKTQFPCDYDPTSALFADEYAVGSDHLPSAAALG SPAIHVDSRSGRFYEDFYKVLIRDYTGPDWSLLVRLLQAEFASYAERHRWRLPTDSRP ATYAVSTSSGGVQWLALPHGVPARASEGNVLEAINVTLNLLDKHYMDRDLNRAGQGIV MLTAGSAVFQVSKRLAQITKQRMMDTGVGMDMVSVSMPPLHPVPLFRWSHAAKTDAPT EFSVPHWISVSFLDFDCTCGAAKKSLMRCHCNVADDGSECRRGAFAPLPRCRMFDRAL IPVPLVNILTNANPRLFSSLESSAHHPPPVALHRTADQPAHQDLQTYDDTVFIGPRPE RWGADAGDDPWFSTPLKESKYMSFSEKHDDNQLGLHRKRVLSMQNLQRLHKFKPQPSP HPPNSNSFNDDTNHELRDGRSPLQAAVSMHQLHQSSPIVYNPSMVSSLESPSFVLKNR IDLTSPRSSRHPSSTETNFGSPITTAWNASMPYYHHHQYRSANKPPKPACDPFQSPAD DGKLTSNRRRWSHIFPWTPECNAVAAGPNWKSLVNPAVLPLTTDFYPKDLHVNYTESF YSLMLLETTGKPITHQLLVEMICQRLSSDFQLVEHPPKGVVDDTGGSGGPSTALRVGN VPHRTTYHLSMGHRVHKLIYDHLQQTIDVKIFHKRVKSHDTLPFVYEYSLYDSLTQSF HKQLQTFHEFPCPEDNWNTTDNLLCGYVDSMHDGTKCRRIRFVVVPPPPPSSSSLPTP PLDTSESTRAQKLLEFLQSKVDGGDVLHVTVHADPLERPHCAATNTARQFVRVSCNTT ARLEVDCRNEWLMLLVDAHWYPNATFHVDVRWLACSGTVVDDFVTTFKRKCKHAHLDL RRVPEFTQVHQLHIHPFLSSVLLPFVGPQYPIDRRGGDKQEDDGADVQVETIELHQQC LSWGFVLDGAHVADSGGIGHGLMPKQQLSPGAQRHGSGQRRPPPETWKARGYVQYMHR HAPVFIRVLHHGIVWIPSYAYDNQATADLVRPLYDTLCRWIQQGGRAQTMLDC H257_06352 MFGRRPFANMPRHPRGRGQRNDGMLLMLAMQLLGQIQQLERKPP VTLALMGGMAALFLYKGQPGVPTARRYALCPDLIVEQFEWPRLIVSAFLHADEWHLYN NMASFLWKGVHLEFRVGSEQFATMVALLLVLSHMLAVGAAYVLAYALDDSSFIHQCSI GFSAVLFALKVRRTAKKPATRCETHSLSLWTHSQVVLNQSSPAYTNILGIPVHTKYAA WVELAYLHYFVPGSSFVGHLAGICAGYLYVAAQPAFARRWTMPSSHRTRYTYASGHAH NNNNAAAPRPGESDEAYARRLQEDEYRRR H257_06352 MFGRRPFANMPRHPRGRGQRNDGMLLMLAMQLLGQIQQLERKPP VTLALMGGMAALFLYKGQPGVPTARRYALCPDLIVEQFEWPRLIVSAFLHADEWHLYN NMASFLWKGVHLEFRVGSEQFATMVALLLVLSHMLAVGAAYVLAYALDDSSFIHQCSI GFSAVLFALKVVLNQSSPAYTNILGIPVHTKYAAWVELAYLHYFVPGSSFVGHLAGIC AGYLYVAAQPAFARRWTMPSSHRTRYTYASGHAHNNNNAAAPRPGESDEAYARRLQED EYRRR H257_06352 MFGRRPFANMPRHPRGRGQRNDGMLLMLAMQLLGQIQQLERKPP VTLALMGGMAALFLYKGQPGVPTARRYALCPDLIVEQFEWPRLIVSAFLHADEWHLAS FLWKGVHLEFRVGSEQFATMVALLLVLSHMLAVGAAYVLAYALDDSSFIHQCSIGFSA VLFALKVVLNQSSPAYTNILGIPVHTKYAAWVELAYLHYFVPGSSFVGHLAGICAGYL YVAAQPAFARRWTMPSSHRTRYTYASGHAHNNNNAAAPRPGESDEAYARRLQEDEYRR R H257_06352 MRTNGTCTTTCTILPRIVHPVSMITMVLCRASFLWKGVHLEFRV GSEQFATMVALLLVLSHMLAVGAAYVLAYALDDSSFIHQCSIGFSAVLFALKVVLNQS SPAYTNILGIPVHTKYAAWVELAYLHYFVPGSSFVGHLAGICAGYLYVAAQPAFARRW TMPSSHRTRYTYASGHAHNNNNAAAPRPGESDEAYARRLQEDEYRRR H257_06353 MKSFHRFASQHGDFIHDMSFDFYGKRLATCSSDRKIKIWEIIDG QWQLQYEWTAHQASVWKVAWGHPEFGQIVASCSFDRTVIVWEESLSKPPSAAPLPPHG SMSLSHHPSDSGPSQSQPWTLQAQLTDSRESVHDVKFAPRHLGLRLATASADGYIRMY EAANPMNLSEWTLPENFLADAEGATCLSWNSSRFDIPMLLVGGNSKTAKVWGFTVEKQ WHVIAELAGHSDVIHDVAWAPNLGRSCHWLATASKDRTIRIWKLKINPNRQEQSEVVQ VTTKDHGAFEVWRVQWNVTGTMLASTGDDGTVRMWQQDYKHQWQCVHAVSGDLA H257_06354 MAERRILEETEVYETPEVEVYPSGGSSTLHPYAHIDDTTSGSDL NEIDRAPLRPADAFHAFLGASVPSNSEELKVVPRGELETPTMRYHRLQQEMGELETDL ELLQNLSKDSTDPPTYRTMLQGLKSLQANLSQLQLDPTTSAQQNTPSTVQAQQHHLST QLWRDIQSFREQHVATTASSDSNAAGLIYEVYAVHEEDQSARLKLAAVEQRVAQLERL VGPASSSAEAASLLRHVQELETRVSLLTSSEVSAISSRVGTLLNQLTSISKLQDTSPI VHQTIATAQETTQVAAMYDKLQSVGATAAAIPALVDKLTSVQALHDAASTFSERIATL ELNTTQLQAILATDATLLENMEANLTRNLSTFQANLEHLDARLQKLSAP H257_06355 MSARRQPLPANAGLKQTPSANDSSAACLNFPARPGTPEAVRKFR KSYFAEPGTRIVHPGLIDDVKHIDATRKFGITSKNSDHVSDIMPAKVPTEHALITQQK LEALYMSSKREPLGTTYSRGHHFDPTATFGAPSEPSDVAKDVLYGIPFNETAETKALY KRSHGSCDPGEQKNRQYANVDLAKARFGMHKRKDEGGVEAILNPEMDDHVSKVVIAKK NVEDMKNTMDMLGKPRNLGFNHATSPDHVFGVKHAKGCADAALTIHGSYSFEEQQPDA DLGKPVNRGWMNATDEHRSFGVPSIRSDVAPPSKRSIADAQNYGDDVNAHELLYPNQY SALGVEDAEFTTVRTKAFLTNLFSKMGYDEIPPDVLDQVYVRATLRTEYTPKGVASIQ DFREVLNDYLDARDAGPAAVGQWKAQL H257_06356 MTIPEECWCPCANVSIFAHSLGSVIAYDLLTHGPGVVSSTGMRF PGLDSPSKTSLPLVPRSDHTLGARRRRIGSPERCYSLFHFGETRPKCNYYLNFIHPSD PVAYRVEPLLCHSPTASSVSLSTLFDPKDLHGMPFIDLYTRFRSSVSKPTSTMAAP H257_06357 MNYQPSLVQQQLPLLRLAKICDWGGLRKAVDKASQDQIEATDDY GMHVLHWAVTEANIPLGLLEYLIRAFPHGVRTFSNGGFLPLHLALMAGASVIRVQRLV ESFPQSTILPIPSGHTPIMIFDEHLPMDTQALKRADGQQGLAAAKIHVWGNILVGHVL RSSSYRPDSNRPIKPRRHSTSMSGLSTTSSSAATSSSSSTASSLRQSSEECPSFDWRE LSRRMSANDPTLDDIVDDRAKLLLMAVMKAPFDLFQWILSLCPECTRIPTSSGWLPLH VAVAHQVSTRRLQKLLEVYPESIHALTRQGESVWSLAQKAPLDATSLELLRQSATSVA SIRYVMGSDGCRTHKSVVGSCRGEPPFHTDVASAEEHRGDEGSGGEEVIE H257_06358 MKLPQQVYLDFAYSEALIRLEPPSFSFLLKRERTYLPSTMHHCV LEYQRPHFQFVIQLAMFSPASDAAPLVPSATPLQVSRN H257_06359 MKLPQQVYLDFAYSEALIRLEPPSFSFLLKRERTYLPSTMHHCV LEYQRPHFQFVIQLAMFSPASDAAPLVPSATPLQVSRN H257_06360 MKLPQQVYLDFAYSEALIRLEPPSFSFLLKRERTYLPSTMHHCV LEYQRPHFQFVIQLAMFSPASDAAPLVPSATPLQVSRN H257_06361 MKLPQQVYLDFAYSEALIRLEPPSFSFLLKRERTYLPSTMHHCV LEYQRPHFQFVIQLAMFSPASDAAPLVPSATPLQVSRN H257_06362 MLLATPTAPLHELGAWLHQARSDLDAALNVVLPQPSPDEVPLDI AAVSAAAQSIEECTAVLSKALRFLHNLLDTADSKSSDGDALAENESSLSTGIYAEPPH GSPDDSEEYITEGDDDGDGDDDDEDDGDDGDEMDDDEIDLPEIDPVYGDDAAELNGVV IARIQAALGDDASFDAFKDQAMEFGTGKQPAESFYTYLETHMTDSMIAEFILDFARLL ANVDQRTTLLAAHCRAVRRKAKDAAAAATAASSSKPPSPPPPLRRNLSMASSINDDTS PRDDDSFEYPSELHLDTVNHLMFVIHGIGCHGDFKEGDEEDVGASTAGSNRAFRELFR TMLDTHFKEVPVALEIQSIEWHEELHEPTGVDAVFDIICPEGSQGIRQFNKDTFMDIL YYLSPGYGQIVVNSVTDQLNSKYKVFMDEHPGWNGQISIFAHSLGTVITYDILTHPAH SVAKNGVKFSGLDFSIENFFAAGSPVPVMILSRGGLDLKDGKFTPGITMPSCNHYFNI FHPIDPIAYRVEPLIHPDMHDKPPVPLIQAQQCKHMPFSKMQEMWERITAPVPGFTLP RIDYVMRRRGREGVIEMAYAAASHSACWDSDDVVMFTLMQICRPVVDKLRRYMSAQRP LPSLYPRGLVPITPHSKIRIATTVLARDRATGAWGTRTAVMDHKRIYFASNADDIGCR KKWSIPLSAKLGVQLGDDAFTLKVTPDEATPGLAGGSGRSLRFGIGGSAPHTPSSTTS AAAAATCTQILRASTTELRNEWLEALTHAIHLLTLPQPPPIPAGTSSSSSSICTVGLD LPSGACVDYFGAVKTSLLQFKTLKAKSWYESQGWTSRWFVLTKTSLDCYDTCPNLVGL AQFPVHKSTVLAYPKRCLLRIVTKTGTTLDFKVKDSRTFDVWTHGLEAVDRCHVRLHE DVVDHSALGVSLDSQLPSVHRLSVDKYFVLNDDKGPYAAFQIRIIHTGGQTILRRFSA FREVNRQLRLIFPHEQMPPLPSTRLWGKFDPAYLAAKSTHLNTYLGKVEAMCTNNPAA NTILQQFLNVDTASPSYGDE H257_06363 MQRFATLSVLAACASVAPAADVNEWPSIENDHTKLQIVLPESLQ KKDGYVHKDALFGYPGYNVGSLQTQLIYVNGTGCEDFPKGANWEPPYALMIDRGDCVF VDKVRRAQHAGARAVIIADNKCLCSDLDCMRRTGDSFCEVVLPYMNDDESGGDITIPS MMIRKADADDIKKSISQAKGVSNVMVKFDWGIPSPDGRVEWTMWHSAWDEQSTALLKA AETIVDALGDRAFFTPRFVMYNGSKVGCDIHDGVDPCGNMCINKGRYCLMDPSPFHDR TEGASGADVVIENLRRKCIWDITSKEDPGVGKKWWRYVNIFADECNTREETFMSKRCA LDVMKKVKIDVGAVEECMQPFGPNLDEVNPWLEADLKEQTALQLLRLPALFVDGVHAR GRIDASGIMNMVCAGYGIHDPPAICSCSDQNNMALINCIKQGGAVNGAALGGGVSFTT LVFILALAGVAVASAGFVYWKRSQRQMRDQVRSILAEYMPLEDQSDEMDSMIGGTKLH PAAMAAAKSPRGYMPTNGFVMTDDDDRA H257_06364 MQSATGFASSLNTYTHGTTAITWRPSKSTRGQLESTPPLASRRH GGPTRLPGTKVAFKRVDPPAFAGRRGLVASQRAVGRRDSVRHPGVFDPTEPWSKHEHA ARMYNHIVDTCPHVEEALTKAYRSRLSESDNAGGTTSDMNEEGNEPSVSGSADKSAVG QVQAAETTVVHVVQKEVKGDTTHLLERCTEVDASTKAKYTVKRRNLDDDTSDDHVLVA SAPSTNVTMTSPVVSPSREAASDVAALPAISTSLSPIPRILCLTKFNRQKSSSTLRC H257_06365 MADLVDDDLDELEAKLDEALRQNEQVAHENELLESFLKRNAQPN VVVDEEEKKWDKGGAANANAKKRANNLAATVNNNRGGMGRPPATITIEQKNDICSAEL EDAQKEVEETKRTSERLIDTLRAVLEETDIRIAELKMDAYEFKRDIVVGAENFRTGKT IAEKMVRYMEEKLRAKDAIVEKLRLKNATLKSQAQKIDAQLRQKEEMGDALHYIDFHQ LQIENKQYVAKIEERNDELLKLKQTTGNTVQLLNTLKQKLSDLIDESAWLRAEIKTRH ELNERVHAELSGVADDIAKDAKGLHSLGAHKAAVDDLTDMPQILDFVAQKAEMYDLAQ EVANYERKVEIAEMAAKKKARDQRLQQLQTQLHMTLG H257_06366 MSTEDTVTHQPSLHCATSTTAEEPVEKTSIEKQSRTAPTGWRVP SGNVLLAFTVGIAAAALFYIVPQSSPSNFASSSKSHPRGLTMDNTSVPLPPKYQSDIV AMTPRTLLFPDASCDPPSVAYPSGHNYGTDSFVSIEYALDQDLRLSGHVLLLLNGDNH GLLFEWFPSDGGSSCLFELAEAAASMLGADSALFPNGLRLYNLDGHPIASAADLDQGG RVAYILTDFQLWVWPGIAVGYSRVVDSSVTLTTLSLSPLVFDVEHFFQSNEADVIIAD GGRHLERSPVVGAEDGSDYDDDRTSFTGFLNDSALTRDFQRRTARLARLPSPSFVERL QLVRYEQGQWFRRHEDYYDSKDFANRKRTAAALYADWLEFLRRQGGDDQEDGEENGLA KVPPVTELAAWELTLLAAFLEDAAATDLFARMGATDWKEYLYAAANENNHKAVQEFIQ EKGMVGLHLVIQSYERRHPHGEPYNVPPQGVSGVTQFHRWLRWAKERVAVLGPTTVSP AFQPSGEHYPKFTRDFQTKLLKWMIAAYSRHDLLVALNESEDWVDWLLENENANETLL HVLAEFPDLFPLIAKVFATHAGPAFDYDTPQQLKYQEANRFVTLFLYLNDVDEGGETV FPYSPERLVTNIDRGAMSECSEGLAVPPTRLHAALFYAQTGANDLDPKSLHGGCPPAK GVKCKQPFQHPIDII H257_06367 MNMHPHAPIAAAYTCPWTVYGLSWSNRLDEKLLAVTSFTEEYDN HLQILKVVDATPDIPATGNPSSVASDGTDMDVDESSSKEKVELQSQAVSVHPYPATKV LWCPDGTSAKLATTADYLRLWHTQGDSIQLSATLSKNRVHRNSSPLTSADWSVADPNI LGACSLDTTICIWDLNNTKVPKREIVAHDAEVYDMAFSTGTNVFASVGGDGTLRVFDL RNLDSCTLLYETADMRPLVRLAWNALDTNYIATMLADGPAALVLDLRLPSIPVMELPA SPTDGRGAINAFAWSPSSAHHIVTASDHHDACLWDIAQSSQQRRPLVDYSGESRHINQ LQWSSRHPQLLAVGVDDSVQVVHV H257_06368 MAETSNNVSSRASDHDANADDYNNIAHQISDSVDTLTLDVNGER LDSLYGPAEPSSLSPPSQPQEIEPAGFSWDVVREWPDRPRHARRLAIDRFVSKPRPLQ HDFAFLCRQETTNGIFEGDRCDVTTMGVTTTTSGKSIALQSFDPDHTFGIVQDGVDLI YEGELRVNMPHGYGVGSYARGERFVGVWAHGQPSGLGQLTSAATPSLCHYGWIFGTTV VHAWEKGKPVDVPLPPPPRDQPLSGLYVMCKRLEIARLYRFRHVMDQWLAAICTQTVT TSIHSRTIAFGAWTQACIAQQASIRREGLQLHSQDTDDQLFQKVKALVAHDATVRDKN ELRKERLRHATLTNTTTVACLGIERRSTELVQADLDAIEAELQDAKAAEQRCIAIQQE SADIRRRIERGKVQLNYMKQARRPVAYVRRPSLLRGRRRSAKVYVNDRSDEDAGIAFV CGVDGCDCQVDKTVFHPLGDPTFDD H257_06368 MAETSNNVSSRASDHDANADDYNNIAHQISDSVDTLTLDVNGER LDSLYGPAEPSSLSPPSQPQEIEPAGFSWDVVREWPDRPRHARRLAIDRFVSKPRPLQ HDFAFLCRQETTNGIFEGDRCDVTTMGVTTTTSGKSIALQSFDPDHTFGIVQDGVDLI YEGELRVNMPHGYGVGSYARGERFVGVWAHGQPSGLGQLTSAATPSLCHYGWIFGTTV VHAWEKGKPVDVPLPPPPRDQPLSGLYVMCKRLEIARLYRFRHVMDQWLAAICTQTVT TSIHSRTIAFGAWTQACIAQQASIRREGLQLHSQDTDDQLFQKVKALVAHDATVRDKN ELRKERLRHATLTNTTTVACLGIERRSTELVQADLDAIEAELQDAKAVGEGLTLGARF G H257_06369 MPPTLLRIRAIGGRNVTAKSGLAGMFEAKLNPFVVLVVGNDEKH LAVVKNVGAKEFSWGDDAVVDFVWPDASPPEIKLHVKDKDTNKDRYLGGAKLSFPVDL SSNAPQTKQIDLEFSDEKLKTKKGRGQVTLSFERVVSDPGALPSTDDLPMKPSVPSVQ TTGKRMLRIQAIGGANLAPKTGLEKMMDADPDPYLVITVAGVTKSFPELNNVKTKEFV WGHDAVQEYEVANDAIDVVLHCKDKDTLKDHYIGGTQWSWNMADMLVTPTWKQTVDLD FATSDFKTKAKESRGRIYLSCTLIDPNPPAKPTEPLKESTIAHSNQSNAEGKTATDTP SGQAAPTFVGINQTPAQATSSTELSSPVAGTDRPESKATTSSTAKVPGQQVNAASKRI LRVQAVGGANLTPKTGLQHLMDADADPYLVITVAGVTKSFPELNNVKTKEFVWGQDAV EEFEVANDLVDMVLHCKDKDMLKDHYIGGTQWVLNMADMLATPTWKQTVDLAFATPDF KTKAKESRGKIHLSFTLIEPGAPPVKKTTEPLNASMSENSKQSPVPASEAGSKTSTES STAQRTQDCPPSDPPSAIITPMTTKTAATVQSTTDDKPTNLSISEPPVQMTLPLNIDA TTRKFKRTLKVQAVGGSNLAPKSGIERLMDSEPDPYLIVVLGKTTKLYPVVDNVKTNE FVWGADAVHDFDVPCNDQDIALSIHCKDKDLLLDHYIGGAHVTLPITLFGSSKSLLRH RISLDFSDASFKTKAPKSRGDLVLSFFIVDEPLPEPTKVPPLRTPPSPRRATLSARLY KGNLRLIAHGAKGLTLPPRQVGVVDKRADPYVVFQLGTERIACPEAKDGHTAPSWADA THTFAIDTGQHSYVDILVLDKKQDRFMAATRLRLVEYLAPLTNQIKATVVVKLDCDQS MHSSVGRSGELQLSLLFTPWGPNLVTWKGATVGHIQLSHLSVSNYADVLSSDQEIQLE ISLRRHVSAVQGYVTCQTTTIQPISSKPNTPMAWPDQLLSIPYAIFLHENMTKDKCPV VVFALHDKHAIAQDKPLVQNALSLLDLLGLNGTKQLPLLHPKLPGRVSLAFTVATTTS LTSNPGTAFASTPGTLRLFVLGAHSLSNVLTWQKVTAVVTAKSCLWGRGDCVLDEVDA TPERLVVWNSTYEAQYLPAQVKSADTYTLQMDILVGAKGLGPLDLPVLALLHKHGTRE FTMDVVQDNLLVCRVVLALGFDAMVPKPDIVSPRTSCVDFGPGILHLVIYKAEGLVPD GNIAVDDIDPEVRVALKPRPTIKKKDGRSSAKTRPLENAGGDPVWNEYLKLDYSPNKD PTAPQVSPMVVFSINDIQMPGETAEMNVVGVAEVPLAAFVVANSECKLFSSKLLLERN GQRTGTLVIAGIYESKTAPEAVRNGCHNQGQKLRLATPSSLTNFVESSYCAGRLDIHV ALAKDLNQNPQLPYRCELCLSSHVDDRVSLLSRHTDTTDIVWDNQLTLYTQTAKADFL RVDLFQSHTLIGWSKIPLSTYSQEPTKAFREYHDVVLTSKDKSTVLKPRIMLELSFFT DQSLQTFVHRTASTSGVLYVTLDSFDSRHVAQLMNKKLSLRVTLLNATATESDPDSSK QVAIFPFRVITESKVLWHYTLALVCPPDLTKQTAAQGTCPLLRYELLDGSSAFGKDAI ARDDLSIQALLLTPNAVLTKRLPNSTIAAEREPLGFTKLRLVYVPGSSTPPTMDMNKT DESRRAFHAQFTDVLPPNRGVLSLRIISGRNLADVDALGDQDPYVQLGIDPPSYQLPP GSIIHAQSGVCVNGGRHPQWNSPVYTLTIHDSNVEAVTIRVLDSGEEDNVPDAVIGSC QLSVYSLIHMAENNDRNPPTQRWNEGWFTLYQDQEPAGDLRLEYRFVPENATRVRLEP PTKYINCLGGRGKMAVKVISGANLPCVSGMIPAVRIFVESTRFEHVTQPEKRNLVNPQ WNETILFDVEWTPELTTPHTVRVEVVDLGGGTSNHLPILAHCTINVAAFAIHPLETHY GRYELTTFQLQKRSREPSSLHLAVQFLPLDTTAVPLDDPFVPPEAGQIHVNVVSAAFR TNDAFRPFVRCSLRHDVAFCQTKSTPSCVSDLDEYTFCEWTSPLLFDFTPSDKANVLP SLDVQMLCQPEAIAGGDADKSSTCLVLGELANIPLFPFVLHKGHVNVVWYPVYCKSTL VAQLKLEIQFLKTSPVPKATFTDVLTVQVLEGRALWSAQDASKDADGDNDAQDPFVEL EFLGHRVKTKAHVDGGTDPSWQETFELPLLGLDPSILPVLTLKVCNQDIKRLGDGVIG TCRYVVPTEVVRDGKLRDVWLTLSSSGSNMGSKDSDLDLGKIHVRLKRGHLDTCGLFV DTVNVSSAGEDTKEAPNLAGVLYIFGPTSLDVRAALRRPTSSSGEATTSFCLADMGVL PVPWQDEPLKRHFPHTDLTLTVDVPKTGQQLTMTADEVQAVFRTPRREFLRSSDDDST GKTNAASVKAVEFSVLYMAPTQGLVQVSIAQIDGVAVRHGNAYYVEFRVLRNSPWVKS PITKATSEDKSEDPSLVVWKSHVCRELQYSNFREHMPPTLQLVVYTQDNPSSTWTKLA FGQLNLLQYIASPSQYPTETIPLTCVGKNGSNAKAIAAIGFIPVVVTSQDDAEAKALQ LAAGTAAMKKSFQSLGGDEATPLDIATLQAAATNDEKCMHMLAKAAALVGGMDALFTA MDTNKDGKISWEEYLDRMQIVHALADEASTKPATLQPSNPGNDDESQDESDEQLDEEP EAKQTATTLSYQPWRPPIPQQPGRVHDSDNDDDEEIEAMMSLKAAAKTPSPNSAISPM VALPPNEIVTKLTGPRTIAPLKPRAKGPDEAAWTTWKVDDVSTWLEVELELPQYVGAF ADASVDGNLLLSLTPDDIDQHFNIQQPLHRRKLIARIQQLQQKFGGLAVTTASATICD DELPNPTHRLPQGDPNPVQLGAESDRQTIKRPTKAAPRVVVDLNAKGQHEVERSKLAF KAQRKKKQQRHDQVQTDHATKRWTFEYTGEAKPTRVQTAIEKVASVLAKDEQAISGYE GAMQDALKQVVPSRLHIPLVANTDEIVEVVKQAIWRHAETLSVAATHQAAIDKDASSD FGDDDDEATEDMKHPTSSLELVFLELCALKNNGARWLHENATLSRLKFEGGLLAMLGI HMSWHQFDLLFRRLDTANQGEISWTAFSAAFGDPIPGNAMSNDMLAVKEGLVLMLERL EEHELTLHQAWQAFDRDNSGAISVAEFSTLIKFLTHKEGVGSLSKHQIYLMMATLDVS YDRCIQYPEFMKFIYIVWSHRLLQLQQYLSHKDENPTESHHRDVVNRKVQLRKALRTN FSRPFRDAMRCSPVAIPGPFQGLLAKFHLQPPNADGHMQIWQVLKGEVSSRSNAPAQV APKPAKHKRGTTAHVLPTISCDACSHWPKYAGLDQTYTAATSETRQLCAASPRSSQLG WRSRTHLRQQVAKAQPRQCSPSQERNINFFRAIQPNFDRQLPTQY H257_06369 MPPTLLRIRAIGGRNVTAKSGLAGMFEAKLNPFVVLVVGNDEKH LAVVKNVGAKEFSWGDDAVVDFVWPDASPPEIKLHVKDKDTNKDRYLGGAKLSFPVDL SSNAPQTKQIDLEFSDEKLKTKKGRGQVTLSFERVVSDPGALPSTDDLPMKPSVPSVQ TTGKRMLRIQAIGGANLAPKTGLEKMMDADPDPYLVITVAGVTKSFPELNNVKTKEFV WGHDAVQEYEVANDAIDVVLHCKDKDTLKDHYIGGTQWSWNMADMLVTPTWKQTVDLD FATSDFKTKAKESRGRIYLSCTLIDPNPPAKPTEPLKESTIAHSNQSNAEGKTATDTP SGQAAPTFVGINQTPAQATSSTELSSPVAGTDRPESKATTSSTAKVPGQQVNAASKRI LRVQAVGGANLTPKTGLQHLMDADADPYLVITVAGVTKSFPELNNVKTKEFVWGQDAV EEFEVANDLVDMVLHCKDKDMLKDHYIGGTQWVLNMADMLATPTWKQTVDLAFATPDF KTKAKESRGKIHLSFTLIEPGAPPVKKTTEPLNASMSENSKQSPVPASEAGSKTSTES STAQRTQDCPPSDPPSAIITPMTTKTAATVQSTTDDKPTNLSISEPPVQMTLPLNIDA TTRKFKRTLKVQAVGGSNLAPKSGIERLMDSEPDPYLIVVLGKTTKLYPVVDNVKTNE FVWGADAVHDFDVPCNDQDIALSIHCKDKDLLLDHYIGGAHVTLPITLFGSSKSLLRH RISLDFSDASFKTKAPKSRGDLVLSFFIVDEPLPEPTKVPPLRTPPSPRRATLSARLY KGNLRLIAHGAKGLTLPPRQVGVVDKRADPYVVFQLGTERIACPEAKDGHTAPSWADA THTFAIDTGQHSYVDILVLDKKQDRFMAATRLRLVEYLAPLTNQIKATVVVKLDCDQS MHSSVGRSGELQLSLLFTPWGPNLVTWKGATVGHIQLSHLSVSNYADVLSSDQEIQLE ISLRRHVSAVQGYVTCQTTTIQPISSKPNTPMAWPDQLLSIPYAIFLHENMTKDKCPV VVFALHDKHAIAQDKPLVQNALSLLDLLGLNGTKQLPLLHPKLPGRVSLAFTVATTTS LTSNPGTAFASTPGTLRLFVLGAHSLSNVLTWQKVTAVVTAKSCLWGRGDCVLDEVDA TPERLVVWNSTYEAQYLPAQVKSADTYTLQMDILVGAKGLGPLDLPVLALLHKHGTRE FTMDVVQDNLLVCRVVLALGFDAMVPKPDIVSPRTSCVDFGPGILHLVIYKAEGLVPD GNIAVDDIDPEVRVALKPRPTIKKKDGRSSAKTRPLENAGGDPVWNEYLKLDYSPNKD PTAPQVSPMVVFSINDIQMPGETAEMNVVGVAEVPLAAFVVANSECKLFSSKLLLERN GQRTGTLVIAGIYESKTAPEAVRNGCHNQGQKLRLATPSSLTNFVESSYCAGRLDIHV ALAKDLNQNPQLPYRCELCLSSHVDDRVSLLSRHTDTTDIVWDNQLTLYTQTAKADFL RVDLFQSHTLIGWSKIPLSTYSQEPTKAFREYHDVVLTSKDKSTVLKPRIMLELSFFT DQSLQTFVHRTASTSGVLYVTLDSFDSRHVAQLMNKKLSLRVTLLNATATESDPDSSK QVAIFPFRVITESKVLWHYTLALVCPPDLTKQTAAQGTCPLLRYELLDGSSAFGKDAI ARDDLSIQALLLTPNAVLTKRLPNSTIAAEREPLGFTKLRLVYVPGSSTPPTMDMNKT DESRRAFHAQFTDVLPPNRGVLSLRIISGRNLADVDALGDQDPYVQLGIDPPSYQLPP GSIIHAQSGVCVNGGRHPQWNSPVYTLTIHDSNVEAVTIRVLDSGEEDNVPDAVIGSC QLSVYSLIHMAENNDRNPPTQRWNEGWFTLYQDQEPAGDLRLEYRFVPENATRVRLEP PTKYINCLGGRGKMAVKVISGANLPCVSGMIPAVRIFVESTRFEHVTQPEKRNLVNPQ WNETILFDVEWTPELTTPHTVRVEVVDLGGGTSNHLPILAHCTINVAAFAIHPLETHY GRYELTTFQLQKRSREPSSLHLAVQFLPLDTTAVPLDDPFVPPEAGQIHVNVVSAAFR TNDAFRPFVRCSLRHDVAFCQTKSTPSCVSDLDEYTFCEWTSPLLFDFTPSDKANVLP SLDVQMLCQPEAIAGGDADKSSTCLVLGELANIPLFPFVLHKGHVNVVWYPVYCKSTL VAQLKLEIQFLKTSPVPKATFTDVLTVQVLEGRALWSAQDASKDADGDNDAQDPFVEL EFLGHRVKTKAHVDGGTDPSWQETFELPLLGLDPSILPVLTLKVCNQDIKRLGDGVIG TCRYVVPTEVVRDGKLRDVWLTLSSSGSNMGSKDSDLDLGKIHVRLKRGHLDTCGLFV DTVNVSSAGEDTKEAPNLAGVLYIFGPTSLDVRAALRRPTSSSGEATTSFCLADMGVL PVPWQDEPLKRHFPHTDLTLTVDVPKTGQQLTMTADEVQAVFRTPRREFLRSSDDDST GKTNAASVKAVEFSVLYMAPTQGLVQVSIAQIDGVAVRHGNAYYVEFRVLRNSPWVKS PITKATSEDKSEDPSLVVWKSHVCRELQYSNFREHMPPTLQLVVYTQDNPSSTWTKLA FGQLNLLQYIASPSQYPTETIPLTCVGKNGSNAKAIAAIGFIPVVVTSQDDAEAKALQ LAAGTAAMKKSFQSLGGDEATPLDIATLQAAATNDEKCMHMLAKAAALVGGMDALFTA MDTNKDGKISWEEYLDRMQIVHALADEASTKPATLQPSNPGNDDESQDESDEQLDEEP EAKQTATTLSYQPWRPPIPQQPGRVHDSDNDDDEEIEAMMSLKAAAKTPSPNSAISPM VALPPNEIVTKLTGPRTIAPLKPRAKGPDEAAWTTWKVDDVSTWLEVELELPQYVGAF ADASVDGNLLLSLTPDDIDQHFNIQQPLHRRKLIARIQQLQQKFGGLAVTTASATICD DELPNPTHRLPQGDPNPVQLGAESDRQTIKRPTKAAPRVVVDLNAKGQHEVERSKLAF KAQRKKKQQRHDQVQTDHATKRWTFEYTGEAKPTRVQTAIEKVASVLAKDEQAISGYE GAMQDALKQVVPSRLHIPLVANTDEIVEVVKQAIWRHAETLSVAATHQAAIDKDASSD FGDDDDEATEDMKHPTSSLELVFLELCALKNNGARWLHENATLSRLKFEGGLLAMLGI HMSWHQFDLLFRRLDTANQGEISWTAFSAAFGDPIPGNAMSNDMLAVKEGLVLMLERL EEHELTLHQAWQAFDRDNSGAISVAEFSTLIKFLTHKEGVGSLSKHQIYLMMATLDVS YDRCIQYPEFMKFIYIVWSHRLLQLQQYLSHKDENPTESHHRDVVNRKVQLRKALRTN FSRPFRDAMRCSPVAIPGPFQGLLAKFHLQPPNADGHMQIWQVLKGEVSSRSNAPAQV APKPAKHKRATGQNTLALTKLTRQQPPKRGNCVLRAPAQVSLDGDQELIYDNKSQKLS QGNVRRVKSEI H257_06370 MDNDDFFHERIDPKLDMNSTEFNAKDYLASKHAATKYSVLLAEL QSLKRSTSDKTEQLKSLVASHFDEYLSCHEAIREVAEEIRAHETDSELLTTAYQKLKH STDTTLSLMLQRCEEQRKIQHAMAVLHRFRPMLEVPARLRSHLQNRDYLHLVEEYLQL KANVTKANVATLLKPVFDAAHATAVQANAALLQVIESPTASLSTHREAIQALDWLNMV PKPALVCVKHQLAAVDKQLLLCMAAGPATDTTSSKQPPKLPAKLKASTSAVTQQPPRS PVEIVAICRGVVATMSHSVWGLVCDTMKAATLSAGEQEALHASVVVILTTTVKHLEDH LVGQCTIPEVTMSMQSLFVQFDSLKPCPDSVLNAKLAALKQRCCVQLRQDTLLACFAS HTERTENEWQAYVTQNEWTAAIDVLAKRSIGRPDSSGIITAAAAAWHALLVKWWKGLH PLLVASIDTNTSPSDEGHRTVFCPALYDGMAAALRALVTGFTDSIHGMLESTTCAPLV GLANAVEVHGLLSPLLAHWLALQPQHIADIVQPVDSTKEACFQAFLAPRLDALDAALQ GEEMLAANTSSIGNDDDTDNPSEPHGDESRQYLFSVLLLLVTWRHEIDRCIPVGAYGD SMLRQCLAKIANHLDGRIRTEVAADGGGDGASTWRLTHLHVELAFVCTHFKQWIPQQA ASLESTVLKAALKTKRDVVQALASQLDMQTHMYRLCLSS H257_06371 MWDAVCRARKRVGQWAGQHQTLLVGTGVVVACGAGAYYMVRRVV NEAENMTKDIKRQIAEQQRLSVHLRRTEEECKAAFLRFLPSMKSRIYKQLDLEHVVES LKLLDKNEKDTRDMLWDDAKLIGFTRLYTSVYAYSLLQVLLHCELLIMGRETFDKVAS AHAKVDGDDDSKDTLTKQHQFLSSTMDYFFTTGLPKLIEAIEVHVHGHAELHAWRVHE KRNVLPTDLRSLLDELHVAPQWSIEQWQSFLIFPETTSIDDVQLLASAMPWLNELRDI LDSPFLLLALQDATNTLVTTLQDQLLASLFDNKQDVPLAKIIPQLKAEAAKYFKPQHQ DQLWDDLTKRESLQHLTTSIFAPFAPSIPSTWV H257_06371 MWDAVCRARKRVGQWAGQHQTLLVGTGVVVACGAGAYYMVRRVV NEAENMTKDIKRQIAEQQRLSVHLRRTEEECKAAFLRFLPSMKSRIYKQLDLEHVVES LKLLDKNEKDTRDMLWDDAKLIGFTRLYTSVYAYSLLQVLLHCELLIMGRETFDKVAS AHAKVDGDDDSKDTLTKQHQFLSSTMDYFFTTGLPKLIEAIEVHVHGHAELHAWRVHE KRNVLPTDLRSLLDELHVAPQWSIEQWQSFLIFPETTSIDDVQLLASAMPWLNELRDI LDSPFLLLALQDATNTLVTTLQDQLLASLFDNKQDVPLAKIIPQLKAEAAKYFKPQHQ DQLWDDLTKRESLQHLTTSIFAPFAPSIPSYECVYIYWFRL H257_06371 MWDAVCRARKRVGQWAGQHQTLLVGTGVVVACGAGAYYMVRRVV NEAENMTKDIKRQIAEQQRLSVHLRRTEEECKAAFLRFLPSMKSRIYKQLDLEHVVES LKLLDKNEKDTRDMLWDDAKLIGFTRLYTSVYAYSLLQVLLHCELLIMGRETFDKVAS AHAKVDGDDDSKDTLTKQHQFLSSTMDYFFTTGLPKLIEAIEVHVHGHAELHAWRVHE KRNVLPTDLRSLLDELHVAPQWSIEQWQSFLIFPETTSIDDVQLLASAMPWLNELRDI LDRYATPCIINAS H257_06371 MWDAVCRARKRVGQWAGQHQTLLVGTGVVVACGAGAYYMVRRVV NEAENMTKDIKRQIAEQQRLSVHLRRTEEECKAAFLRFLPSMKSRIYKQLDLEHVVES LKLLDKNEKDTRDMLWDDAKLIGFTRLYTSVYAYSLLQVLLHCELLIMGRETFDKVAS AHAKVDGDDDSKDTLTKQHQFLSSTMDYFFTTGLPKLIEAIEVHVHGHAELHAWRVHE KRNVLPTDLRSLLDELHVAPQWSIEQWQSFLICTTSSLLRSFTFMHMGSSGDYVHR H257_06372 MGHSNSGTIVTPRVVQSGSADLNTMVLAGQDAWRSHPFLTGCIK KPIPGFGIAAGIFAVYLTVDTISNLGNKNSHSSHGHSAPAVYVKEEIGERPRYEG H257_06373 MPKLNEAIRLAEASNWQGLLTLVTASPLCARDCDDYGMLPIHWA ATENTAPLTLLECLVEAYPEGVQIPNKAQLLPLHIAIRAGAGVPTLQLLLTAYPDSIW VETPSGTPPTLLGVQSNLSSDCLDLLRATERLVPFDVQWTNQKAIKSPSSVTSPTHQN SNRPHSWHTISRQASTPAIMTPPPHTAPLELSYERSDGNSSEDNNSPAQATSLPPAWM LIEECFICRVPFNMFKHRHHCRNCGMSICSAHSSETKMTMPGFTNPQRACLSCAAVLR RPPPPPHTTLPDNQQRRRTSKLFTSMVSPKTRDKQGGRDLRLRVAELTKQVDQLAQTN MGMQQQLLEQEELKAETMLLITQVMTRVSVLELQNEKYRQSDDFDM H257_06374 MKLSLTTTFAAGLGTIAVDVSETLVISRGSPRSTAAMHPCFSLT LVNDRDDLFSMHLHGINQVGSPSPTVPPISRQRPCPPYSTATHSSIMTDYGAFFYHSH IKLLDLHFVYGALVVKAPSATDPYAAYEDRVLMLSDYWASDLETLHAGLVDIEDTYVT PKEPDYIKVLPGQRLSFVVTADHPVDNYFWCKPPANGGSVPPPTASPFLHYTDAPPPS TTPSLMLLPPIPETVGGQFPHSICPSFPPRLLRPSCSTKPKSRGGSTVPSGAPCGRAP SALGPFTTGQVVGIVLQNNVALNRVFWVVNSGAGDFDASSSAAASDDRTRAVKRDVES VYPHHSAYNQPPATPASGCGWAKILFVANNVGALALHWHIASHFAMGMAASLSLGGTP SMTLLLSNTCDASRLWKNVPMLIWLPASTS H257_06375 MSYSDQEKQAAEAQLLQFLQASTTVDDTFTYASKQNVNHELVVG VVKSLLVDAFVTAADLSTSFWVLSEEAESFLAHGSPEVQLFHAIPATDGADKATLEGA VGAALFKVAQGACMKNKWIRMDKATGLIYRNVASVEDTATEQLKQVAAVQGNVDHVSD NVSKDLKRRKLISLQVRKSFRIGKGPNFAVQRKRQAAGLNKDMLDSEEWKTEKFKPYN FNTMGLAVGGGHLHPLMKVRAEFRRVLMDMGFDEMPTNRWVESSFWNFDALFQPQSHP ARDAHDTFFLTTPAKAVSVPEDYYERVRDMHEHGGHGSIGHGRGAFTRDESFKNLLRT HTTAVSARMLYKLANQPGGFTPQKYFSIDRVFRNESMDATHLAEFHQVEGVIADYDLS LGDLIGVISGFFTKIGITQMRFKPAYNPYTEPSMEIFAYHPDLKKWTEIGNSGVFRPE MLRPMGLPENVRVIAWGLSLERPTMIKYRLSNIRDLFGHKVDLEQTKSAKLYRM H257_06376 MAVEKTTQGKKATAPPRVNQPEAEETLDASNDNSAKKKPTLQSS GGGGSSWGLRFVGLAAVGAAVAFGTSNVTVDDLPVTLRSLLGQNTALPSSIPPSLFDP VGNKYASELVKISTRKLLVPDASCTAAPKVLSDLVHVDAALGHADDLRNENKVFLLLN GQNHGIFLDWSKDSGCLHALATTAATSLGADPDFFPNGLRLYNSMGHPITTAADLDID RLAYILTDFQIWVWPGVRVGYVRRVDNVTMTTISLSPLVFDCQGFFNLDEANAIIQHG SDKLMRSPVDSPDAVDGYHADRTSHTAFLDDSQFTRDFRRRSASLARLPSPSFVERMQ LVRYEAGQFFRKHEDYFTSKSFLGKTDKAVTDFQAWSAWAAAAITALVDADVSSLPEG FRPGERLFPQPQDTATWQLALLDGFLQDTIDTSFYSDRADQAWATWLTENVQNQAHGI INTLLKDKGYMLEHIIASWEKRVNVDLHPSLHYIKPKDAPSAVSQYFRWIRWAKERID DLGDRAPVHVRPDGKDYPSFRLTFQNKLVEYMLKDRTEAELGAVLGPEWATWIITNKD AVDVLLDSLRLQPRVFGLAVEAWTKRAGPGLFDYDVPVQLHHFEPNRYVTLFLYLNDV DEGGETVFPHSKERLVTNIERRGMDECSEGLAVPPIKLHASLFYAQTPQNDLDPLSLH GGCPPSKGVKFGANSFTWNADADEGTNAWGF H257_06377 MYPTRSLVIMHLHRRKVQYLSRVPMSAVEEKAAALKAKEASHAA ALSGQDGNDQVDSTSTDETRSANKTPQPEPEAPLKLSQKLLARNELSKKVQTNFSLRM VLLPIFAALAMAVYKVKVEGVRLNPRALLSAVNPSSYFAPGVFDPAGNKYASDVVSIT TRKLLPLDDAATCDGWTPRLISHERVHVDTALLHADTLREENKVMFLLNGQDTGLIYH WSPLDSTCLHALTTAAATSLGADPDFFPNGLRLYNSMGHPITTAADLDIDRLAYILTD FQIWVWPGVRVGYVRRVDNVTMTTISLSPLVFDCQGFFNLDEANAIIQHGSDKLMRSP VDSPDAVDGYHADRTSHTAFLDDSQFTRDFRRRSASLARLPSPSFVERMQLVRYEAGQ FFRKHEDYFESKDFLGKKDDAIREYHTWAAWAASQIDALMEDQRRREAGEDHVDDTSG IVPDAFQPGGDLYPNPQDTVTWQLGWLNVFLDECALNNFFEDKADVEWGKWIAENTEN RAFGVVESLLESRGYMLPYMIQAWERKIGLPQLKYSPPKAPVSGVTHYFRWIRWVKER IQDLGDVAPAHVRPDGPDYPTFRTTFQTKLAGYILEDYSVDELTELWGGSEWAEWLAK HESDTDVILDGARQFVPLFHAAVAAWTRRVGPDDVAALFAYTVPTHVQHFEPNRYVTL FLYLNDVDEGGETVFPYSKERLVTGIERQGMDECSEGLAVPPTKLHMSLFYGQTGDNK LDPKSLHGGCPPAKGVKFGANSFTWNADADEGSQAWGFGG H257_06377 MYPTRSLVIMHLHRRKVQYLSRVPMSAVEEKAAALKAKEASHAA ALSGQDGNDQVDSTSTDETRSANKTPQPEPEAPLKLSQKLLARNELSKKVQTNFSLRM VLLPIFAALAMAVYKVKVEGVRLNPRALLSAVNPSSYFAPGVFDPAGNKYASDVVSIT TRKLLPLDDAATCDGWTPRLISHERVHVDTALLHADTLREENKVMFLLNGQDTGLIYH WSPLDSTCLHALTTAAATSLGADPDFFPNGLRLYNSMGHPITTAADLDIDRLAYILTD FQIWVWPGVRVGYVRRVDNVTMTTISLSPLVFDCQGFFNLDEANAIIQHGSDKLMRSP VDSPDAVDGYHADRTSHTAFLDDSQFTRDFRRRSASLARLPSPSFVERMQLVRYEAGQ FFRKHEDYFESKDFLGKKDDAIREYHTWAAWAASQIDALMEDQRRREAGEDHVDDTSG IVPDAFQPGGDLYPNPQDTVTWQLGWLNVFLDECALNNFFEDKADVEWGKWIAENTEN RAFGVVESLLESRGYMLPYMIQAWERKIGLPQLKYSPPKAPVSGVTHYFRWIRWVKER IQDLGDVAPAHVRPDGPDYPTFRTTFQTKLAGYILEDYSVDELTELWGGSEWAEWLAK HESDTDVILDGARQFVPLFHAAVAAWTRRVGPDDVAALFAYTVPTHVQHFEPNRYVTL FLYLNDVDEGGETVFPYSKERLVTGIERQGMDECSEGLAVPPTKLHMSLFYGQTGDNK LDPKSLHGGCPPAKGVKCTYSVKWLIHH H257_06378 MNHLARSILPMSRAHLTTAIVSLGTIVDGAAAFALMWTVLPPPL RRVGTQASRSPLLLSVLERAYVWFLVHIRPSIQRWAKTTIPAVVKHGREVCLVTAHDH LLPHRYTHNPWLTLYQS H257_06379 MSQWLDRADFVARLKELASKQGATASPSVLLPPPEPILARLKDE FQLYWDDLPPLAHQALLWDSGYIIATDGASSADQWVQVRLPCDSSRQMQDIALSPNTF PGTTQLCASSIGSSYLRSTENAVADAKLFASRAKCGVFIPKGLNVASSTASMYSQDAL AAAVVPEPRIFMHAIVPVIHTTPDASKAESPAGTCPRSGGGMTIPCRPILQENDPMFC FPLQSQAMKVWLKDLQQTTPSIRPSTSPPSSTSSPSAPLPASPLPRLSDLSPSLPPPI NPQQNQSSNLLGYLGGGGGGLVLVVLLFVVVRYRSKQSRQLEWDRAQFHQPPPTARFS HHVNPGEPSAVKTKPRGVYDLVLSPLQTTLRPPRHSASPTYSITQDINDNIHNKLASM ENEDIIETDPMRRLFQLPRHFKLPIEALAWEVILDKTATAEIYYGQYQQYHAIALKIL RVDAAQDAAVVRSFVQDVVCVATCWHAFLVPFVGFGFDDVTHSPSSVVAVTEYCSQGT LRSFLRADLVNLHWSLKATLALQVAQGLQYLHARNVVHGNVTAQSVWIDWPTAKLSPL HIDSSSTGCLVDDIHVAPELRRQDDGATAASDVYAFGALLCELDMQMPLQGQPSIGRM CPEQILAIVQHCMDTLPRKRPTMAQVVDALDATSANLDGDCSGFV H257_06380 MFGAYRVVLLAAATIVAITTAIDVKNKRYCQVLFVRNLNGSTVA DVYNTFGLNDCPTPIWSTITPANAKDNSSLAVVLNGPRYWLMDSIENNAPAGGAKAIK NVGGINMTLVGRVPVAVPITPTKLYAPILVTCNANFIWKAGSRVFILTREQEVKRGES GDAGQRVKEQYIMQSYSQQVDNSLNLTCLPSLASRFGKLPPGWSYEAKQLNKDVNVTT PILVGGKATVSVVIQDEFQNTYTYLGNVDRYLLRLNQTKPN H257_06381 MRWPSINKVNLLSTRLPSNPGGAKSSFIDTASATAFHTSMTDPR FAVIGSLALISRKIIMSSASEKPVVTGVDMTARERAFIDALRGEGLTVKAIAGRLGRS RGTSSSTLNQASKTGCSSRMLKAAIDLSVRTYQRILRKCQHLKYVKRKHFPCLLPRHK VARMEYATRNVLKPPKWSRIIWSDEKKFNLDGPDGFQYYWHDLRREKDTYFTRNSGGA SVMGTPMPTKTHLGHQHYGPSFTFMQDGASIHTAASTKAFLAEQSVTLFNHPALSPDL NPIENVWGWLAREVYPNGKQYQSVSKLQSAISAAWDSIDRRYLKKLIKSMPKRCLQVV AAKGGKTKY H257_06382 MSIIALGPLVRTPATVTMNSKQPPQVTPATDFNADAMAELKQLN YVLPDDAAEVESVDYEDKTNLAKSQHPSENLEYAGVDYETKKWSNTINVRFRFCSLRY DLKTDMLKHITRVRATIRELVDMSKEMTESEVVEWLVIALPDSGSDNTTLSSTIPSKP MAMQSNTKLLPVRSSTKKKSALKGSEALPDPPTPGHADKHKALTSALLNEEEKRRERK RGNRHDERHDRPRDAERKRTYEAMGIEDMTLEINALQTRLTELSDKPRKTGDSLL H257_06383 MFGARRLVLLAAATIVAFTTAIDVKNKRYCEVLFVRNLNGSTVA DVYNTFGLNDCPAPIWSTITPANAKDNSSLAVVLNGPRYWLMDSIGNNTPAGGAKAIK NVGGINMTLVGRVPVAVPITPTKLYAPNLVTRNVNFIWKAGSRVFILTREQEGKRYES GDAGRRVKEQYIMQSYSQQVDNSLNLTCLPSLASRLGKLPPGWSYEAKRLKKDLNVTT PILVGGKATVGVVIQDEFQNTYTYLGNVDRYLVALNQTKPN H257_06384 MDMQDAMHCPFSPPSTMQINVGMLVAATAVSAVNVVVKDKRYCE LLFVHTPINGSTVADVYNTFGLNTCPAPVWNSITPANIKDNSTIAVVLNGPRYWLMDE FGPLSAPIVRDRVLKSVQGLNLTLLGRVPLPWPLLNGADGLYKPSQVTRSADFRWRAG STAFYLTNKATGDMFIMQSYSQQVNSNVTLAALPMLGSKLRLLPPQWSYDAVVLQSDV NVTTPILVGGKATVGLVLQDELSNSYTFAGDLDRYLADQKPCTEVSVAGDATYCIADP RVCSGSGPSPVGTACPSKGDVAVKDCWPHLPSFVSVNCVAPRDATCRLLSSNAWGCA H257_06385 MWTGVPGPFLGVFAFLNTVAIWNHSSAALPFGMIMILTCLFLLV NVPLTIIGGIARRNTTTFMTIAITYFQLVGEDHRWWWRSFWSGGVTGVFVFAYSVWYF YTSTDMDEFFQTVFYFGYSAVMSYCSLVMLTFIGSSPHHCPVSVLGSRPDLAYAFNNS RSFCTTWVMPIGVLLNALCATFKAPPILDSC H257_06386 VHLDILTMLKWSESSDYVRRYRALESDGAAAPSTWSMYPSALPR AATSRLTMYNLTITDLSSFAVQALAWDAGLVAINRSGVFAWTQVYVKRQSDSMADIAA TFDSFVTSPSQTTRECIGGPNGKFLRQERTDYSTFSAKVTQCAVELVSDVPDGASAMF AQDALSSTAVPVLLLRRHVGPNINETNMAIHTSPNFGRWPWGECPSPSQPSGLIIPCD FDLKGVYLPVPSPAMNVWLNGISTLKQSMASTTTHTPSSSIANTPSTLSPTPATRPIE DSNATNRSAATLTPVVAYIGGALGLLALILLLWCCRRRHRRAHPPPLYFEPFVELHAT PKGLHAPPRPSSARPAYSFRCAPPSAHPFPALDASNLVLHLNPSKRLDFDTIERVEVL ACASLTSIYFGYYCDRPVAIKGFATSKLHEINQRHLDAFTDQIRLMASFNHPNIVALV GFAWHNHPQSLVAVTEFVSQGNLLEFLVAHPGLEWTNKARLALHVARALQYLHVMLCP SVIHRDLTTKHVLISWPYAKLSGFSVSRHTHEEDSADTMTAGVGSGMYMAPEVLCESG HYSVAADMYSFGCVLVELDTQTPLFATANSTSVLLELLNGTRKPQVSSDCPDPIRDLA VQCLEADPSLRPSAFDVARALEAFTTSIQQP H257_06387 MRLCRDQFDESDSDEDDLNHELLHILRTIKHQRFSVVRSCDPFT LFAVVRGKVAKSPVSHHLLVFLNYMGANGNAVWNEHMASFFGIGAGTGRFQHLKRIRK IMDRKAAMTSIIKTIIAASILHNILVTENDIVPASWIELQVNCNCNADLRGCRVCFPI ITVEHGDAIESDE H257_06388 MGDIKSSMDAVIAWMSLETNYVRWKGGDKHSGSTKASLASEIVQ CNSAKKRTAAAAKMDDWSEISARAYALKKEQLEFTHDAEKQKLEVVKRREARLTEETK LNVQLLTIQAEEAHWKFELARETEEVDARIRKIQTRKDLKERGWIDVDINLACPM H257_06389 MSTTWLESSDYVGRFKALEAANVSVIAPSIPPPVHVHQRLEALD LRWSQLTPHAKHALLWDSGAVSASEDSYGQVYVSCGMSMSDIAISKNSLGTVLPTTDC LGPHGVTYVRQVGAVASFGALDRLTRCTISDAPWPNSNATTSVVYSQDALLPSDIPSL RVVLHRDETGAARPAIHSFIDAATSTTLSCPLVSQSVGLVILCGSRSANTTSTCLPTA SPAMASWLSSMRTSLATTATLLSSSQDAGRVVSAPLIGSIAGGCVVIVLVALGWCLYR RWKQRQALNGFTSALRPFTRPPPLPAHSISNVQNGAAIQPYEQPPSTPSTVPPSGPYY PQHHNRPSTDHPRPSHLSSLARNPFQPPSHSNLLDQVNPSAALAKLDQVPSHLRLPFD SITTTQLVATGIRCKVYFGRYNHKLVVAVKTITLDHVHDDEIVDAFAEEIRLMASFHH PNVVALLGFAWDSVSLGSLMAVTEYLSQGNLSRFLTDNPSLHWDLKASLALDVARALQ YLHSLLQPKVIHRDLKPKNILLDWPSAKLSGFGVSREAMDDETLTAGVGSVFYMAPEA LRSGYYSVSADMYSFGCVLCELDTQRPLYADIGVPAKRIMHLILEEGLVPAVTAACPP AIRALAHQCFHPDASLRPTAFDVARDLDLFVHGDVGGGLV H257_06390 MQGKGYKGRGRTAAVAAIANKQDVGEFNNAAATVLVEDMESIAD ECADAMILQYFMDFTDDNKVEEAVVAEVPEERSPPKEAASNEEDSLRRLKEKQNKHRL NNIRHRQRKQSENDHLRTEVVELEDKLNALKASSARRGDHRGKRDESAIRQLKEQSQA EKRKRTDTEDEHGILLRTVHSQRDLIQMYFKRITATHCMHSSHVILENEPFLMYTLMG CRDVRANGYDWIMGQMKRKMDVALTYLHSYVGNHIEEDTKIYLGHNTVDLVRNHIWTF PAQQVAQSSWDSFTRIQSDPTAARKTLRLEEIDANTFYTRIIIDDGIVRGKPLTLNML QSRFMEGNRSVIAFRTISEDNLYPIESNGMCDLSIAGWLSFEPNPDGTTHARVFVKFR TDHSNGQQTGELLSNADDDATNHDLTASRFKNRKTQANQWIMSVISKMFTAVNGTTRQ SLRAPPPATTSLTVLKKHDALRDVSTIGA H257_06391 MIDLDNEYALLRATADRAWEIEKRLGMSMPLGTLHSDDPAEWRV GNISRSVATRIAHVATWMKDPKERTKRLLAAEAKRAEASNDDTHENGGDILPRRVAAL ATPKPQQASSSRRLSDVSATIRPSTTQTTPSTSVRPPSKTWTRPKPAPPVPVDLPWEA ANNLPPAKTTNRLPLTNSIPLITPSQPQSQSTKHSSPRLSPLPKSLPVEHTESPHVEP KPLEPPPPTTNTSSSSNPRSASPPPDESKHPRPPTPPTMPRPVQAAAPTNLPPPPSSI GPQVLSSHRSMPSAAFGYGTDVNAMWSLPFLRRLFHDLDGDRDGFVSKLETSIGLHRL HVYVPPHRIATFFNQAATLSQRSVVNFDQFRAFVLAAKEANLQPPQPKQTRTTSLPQP RAPLVVNDGGGGGGSLDIEQVLPDVMAARIMQRMDETTDIDPEVVRELARELMREHLL LDSPEDATTGLDGMQAALATQSSAVEMHPVTTEAHVVDLVKAFMLQHMREHQPTPSPA APLPVEPLDSSHDNVDASQVAEVPPSVPLEAVDIVPVSKMEQATDTSDLTDVFAPVLT VKEMAEDKLIRNLADLPGKTLLGKLLHCDAIGECNVQVDDTPPTSLAATLRRMRAIRL RNTVPPPPPPPPMSPRPPQHHDHLPPPPPPPVSPVGRHPTNPWQPCTPLAQEQQPTPS PAHQRDEPPGTKMYALGPKPTLPVVPRSVRSSSCSIASSSVDHDDLIDEDLMSEGEVM HVDALSDGEVDGGPAHTTYYHLHNPRRHGQRPSPQSSQSSLEEGQLQTLFVPAPPDDN ASSSGSIESGEYYIASEQPSSSIYTLN H257_06392 MATPGLISKQQSTQENVENMPINVGDSVWVPGADQVWELGSVMA IGGLKVTVRNSHNKVAQVDRGLSLPQNPRVTDDMTALYFIHEPGVLHNLSERSKLDGQ RPYTFMANVLIAVNPLRPLADPRISEIVNNSNCPPHPYAIAEMAYQQMVYNSTREMPT NQSVVISGESGAGKTESSKIVLKHLTTRGVFGKKATPAQVDEFAAARHDNSNSLDNRL IEQNPILEAFGNAKTLRNHNSSRFGKFMKLQFTSDGTFKLAGAFVETYLLEKSRLVYQ VDGERNFHIFYQLLQGGSVQSKAEFKLTRPQDYHYLNQSNCYVAEDTNDKANYNAVVH GLSCVGMDNAKQHTIFSIVAGLLHMGNIEFDEEDTAEGEAAIVQTTAAVHALTTAAAL LGLDAKAMLKVITEREIVTRGELFVVRRNAQNAVYARDAIAKSIYGRLFDWIISQVNI SLGQDPQPLPYIGVLDIFGFESFQRNDFEQLLINYTNEVLQRTFNNQVFIAEMELYKR EGITVGRIDWPDNRECVELIASKPTGILQLLDNEAANPKPTDAKFLALLHRTHDKNPF FPRPHPKDMQEMFIVRHFAGCVSYTVGAFIDKNNDTIPKDMADLFLSSSFPVVRDLFA EEANEKAVAPGKKKTLKSVAAKFTKQMQELVDTLDGTRCNFIRCIKPNALMKVGYFDP RYVVGQLRCQGIMQTAQVLKVGLPTRVAYSELVGAYKKYMPPDAQRLFSQQSDATLIT AILWAFQVPNDSFKLGISKLFFKAGKISLLDAILKLNWNTDGPRIVGRMKLWLARRRW RVALAKVQSQLELKSMLRRIQYRKDAVRRIQIWWRGFSVRHQFLKQKAAAVTIQSVFR GHKGRQAFKVKKVEMIAAANARAEESRRQAEEAKRAQEAAQRAAEEARRQADQALREA QLRAVADEERRRMEEDAKRAEAEAIALARAAEVAVREAELAATEAAAAVEHERQAERK AMQEAHDLEMRMIREREEAQKRKEQALLDAAALSAGLLGGLATVNAMNSTQGRNVSVV EIPENISAENKEQLKQLNELLLSGAIDQAEYEELIPFLLEAPQDHAPAAGPVLTAEEQ ESLNRLYNTQIYGIQIRCPACGVSNNTANGNNCTECGSMLTAAAGEHGSAADHRYYGA RTRSMSTLALSTPPGTFTMDGARVSIHGGFFQCGMHGTQMLQDENYAEYTVYVLRCGW QPKESSAPTYWLISHRFSVFEKLHKDLKHKIPPTVAALPSFPRKHRLGGMFAGRTGNS NEIVEERKQGLERYMNEVIDICARLPSTLAVPELDRFLNLSRQVQQIQRQLGMLAGIE GGVQPSNVHLEGAEALAAREQARLPTELPTPLDEEELGQTEEAVHVLLSAIRNAQGDL RRNQEVQTLLKVCVQLQPRLQMSANLDNPFANVELIPRAMQCQEDLETTIGLYNDSLL AVTETYTISGANQPPAPFVPGQTNSYQRSYGY H257_06393 MSLLKEVNASATVAWSPIKHRSNLIALGSKDGVGVGFESYGGEL QLMSMDFADVSPAPIQLGSIKTSSRFLSLSWADVVRHQSSMELGVLAGGMADGTVNIW DPSKIAANAPAEVGKVSRHKGPVNAVQFNPHPEMSHLLASGGGDGEVYVMSLDKLNAP QVYTPGGPNYTATPGNEITCLAWNTQVAHILASGSQNGSTVVWDMKSKKPFCELKDMS RSPVSCVAWNPNEGLHIITASSDDQRPVLRLWDLRSSTSTPLAELHGHTAGILSMSWC PNDAGFVLSSAKDNRTLLWDLFTGQPFFELPSNVPTQPVGGSFFSGGGGGQRRFNVQW SPKIPAVASACTFDGKVQVWGLTGSGTGATRAPKWSTRPVSASFGFGGKLVVTNARSS TPQQQQQYGQPKEFRPVHIHKISSDPSIVAGADLLEQALAQKDFQRHCDAKVASAKTP ADKATWSFMKILFDRASARTQLLLHLGYDSNQIKADARTYLGKDEPLEAADTPAPLPP PQAQPTVSAADVFGAAPPPPDLTPPHTPPKKAGAIPIDTSERIQGAQGPTYTEASESI IKRLLLVGDFDAAVDVCLANFQLADALLLACQGGEELWVKTQEACLAAGKARPFMKIV KAMMKRDLAALVADSDGHQWTETLAILTTYATGDEFGVLVDQLAAKLEALGDVASATL CYMCSFNVEKTVTAWVKEAAIESKTRDSVLVLQDLIEKVSIFAQASDNPDQELNPQVA SQFAAYASLMAGQGRLDIAGRYAKYADVSCAIIRDRIYHAAPNPQVRPPPSPFTEQAA APANPRGQYQAPATAAYGQQAPAATGASRGGYGAAPQPAAYGATPQYQQPQQQQYANS GYNAQATAYPTPATPAYNTQTTPSAYPSQYGPNAPAAVGGYGAPSAYGSSPAPTAAYG GTPTPAYGTTPPAPQGYGAAPTQGAGYGAPAPTYGAPTPAYGAGAPGAPYRAPSAVPT PGYGGPASSSGGFQAQQPQGYAAAAPPPPAAVPAYNAPGVGFGAPQQGSAPPAHGGFA PPAAAALPNPSSRISTIPVDISKGKADGFVSSVGNKDLIRKYGNSTNAILSPTEKEKA AGFHNQPSPAPVAAAPAVVLGATDNVSAEDLPIVRGFNDLVALLEGSQLSPIEAKQLP EIQKSKDLLFTKLNTGELAPNVVKSLHDMVLAFARQDFRAAGQIHTSLTTTDWAQHKE WLRGVKTLINLGVKRFR H257_06394 MAIDAAFLDVSADSAPLHPCTLSWDNLNFKVTAGKVNKRILRDI SGRSAPGQVTAILGPSGSGKTTLLDILADRVSSGKISGDIDVNGKPRDKHFRLLASYV AQEDSLLGSFTVLETLRYAAKLSVASTVSAMECESRVQQAISDMGLRSCEDTIVGDIF RKGLSGGQKRRLSIAIELLSHPTILLLDEPTSGLDSASTFALVQYIAKLAKQNRTIVC TIHQPSSAVFNLFDNVMILSQGRTAYFGSPQLSIAHFANLGHPCPPFTNPGEFFLQLV NTDFDGHEHPAVIAKGFAASDMHKMLRAAIQKDRECLGSLAFPKAIFSTDLRPSALDQ LKMLLHRNSVNNVRNPGVYGVRLVMYIMLSLMVGSVYVDNTSEQDLTALLFYVQAFLV FMSVAVLPFFIEQRVVFNRERANNSLSVGSYVVANFLAALPGILVIAVVSSAIVVGLA GLNSFGYFVLNLFLSLVVAESLMHVLGATAPHYIIGIALGAGVFGMFMLVEGFMVPYQ SIPAGWRWVHYVAFHSYSFKAFMFKQFKPMNTTASRAILKRFDVEDVNVDGYMLVLVA YALVLQALFTFILWKWHTGRR H257_06395 MYQQPPPYPGQQYPPQPGQYPPGQYPPGQYPPGQYPPAQQGVPA QYPPQQYPPQRIPPQAYPPPQPTQYAQQQYYAQQGRALFQSATAPAPVQYIPAPHPGY VQPGYAQAQPVYVQQPGVYYGAPHGHVKMGKHGKIKYKHKRKGFKLKGFKRKGFKWFK H257_06396 MQDPNRPGQYLPGQYPPQQQYPPQQYPPQQYPPQQYPPQQYPPQ QYPPQQYAPQQQPYYAQPPPIQYAQAPPVMMQPPTVIMQQPGFIQQPMYVQQPGVIYG GPGYVGGGMMGGGMYGGGMYRGGYKNKGFGFGFFK H257_06397 MHQQPPFAGQQYPQQPGQQPGQQPPPGQYPPGQQPPPGQYPPGQ QPPPGQYPPGQQHPPGQYPPGQQPPPGQYPPGQHPPPGQYPPQQAAQPSYPPQSYPQQ GYPQPGYPQQGYPPQQGYPPHQGYQQPGYPPQQPGYAQPGYPPQQGYAQPQYPPQQPY YGQQPGVAYVQPGQYGQQPVYVQQPGVFHGGQGGHMKVGKHGKVKYKGHKGFKGFKGY KNRGRKHKGFFK H257_06398 MSQQQPPFQGGQHTVLQPNTHLNIKGTPHKAILRSKGIPSHPPT QLQYPPQQQSGVAYAQPGQYGQQPMYIQQPGVYVGGQGGHIKVNKHGKVKYKGQSYKY H257_06399 MEDAPSGSSLPSEKSLARHVVHVERNMLSRLLYPNPVCLLSVAD PSSYTRNVMTVTWLTPINNHGKFICSINCNRHTATFINEVGRHFVLNIPTAQQQALVL AIGGCSGATTDKFSSLNIETCDFACPRPLKRKLHGMSKKDLAAVDIADSAASCVALKD CIAHVLCRVHKVDVDDGHYIITGVQLAANADARYWNGKTFGSTSPLDPPYLTFLGSKV FGQVTVLDDDTASAMT H257_06400 MGHGSSVHSMKFNSIRSTSRRRSISGRRPSITHPPTKKSHDTED SVLGPTYDKSDGGTTLVEVPSDFATSVLPTHTKLLLPLVQMGVDIQSHKMTGHGVFRG DAYRWLLVQLELKVSVAQDCIHSYVGHSQRLDLRGHPHLFIAADYVDFVCSRSVPALL DCVGHATWNMLTDVPTHAVRTTKVLDTAGKDICYVQVRIENGHRRNVPVVVNVLYKRT VTPHQVMVVFRTIHEDDLFPMPTQPLHIGLSGWMIFKQGVDSCSEQTLVRVQLQDAIA GPDRSAWLASVVQKWFQAQESSLRVQLLAK H257_06401 MGHVFSTSRDSFNSLRSTGRQSSSARSAMSSRFTSQKSTRNISI REARPTIAGDGMKPAPAVCQPSLIPTSPSEPPADSTSPLVQISVDIRRHSITGHGAFR GDTYEFLLRQLQPKLALAVHCIHSYVDDKVSDQPHLFVAPDYVDYVCHRAWPVPLNRL ANVLWTVMTDMPSHAVRKTKVLDTKGHDISYVEVRIESGHRRNVPVVVNVLYQRKVSA DGVTIVYRTINEDDQFPVPVQTVHVGLSGWMVLKRGDDNDATCVEQTFVRFRLPNVIG LNRNQWLAGIMQKWFRAEATTLKIQLQLDV H257_06401 MGHVFSTSRDSFNSLRSTGRQSSSARSAMSSRFTSQKSTRNISI REARPTIAGDGMKPAPAVCQPSLIPTSPSEPPADSTSPLVQISVDIRRHSITGHGAFR GDTYEFLLRQLQPKLALAVHCIHSYVDDKVSDQPHLFVAPDYVDYVCHRAWPVPLNRL ANVLWTVMTDMPSHAVRKTKVLDTKGHDISYVEVRIESGHRRNVPVVVNVLYQRKVSA DGVTIVYRTINEDDQFPVPVQTVHVGLSGWYVVTTVTISW H257_06402 MMAKSAEVAARSVETSKTLSTLKENDEITLSYQEALMAVKARKN LRYHFLYLPFPIAFFLVFAASALTHVPVQVMFPTENGLATTLVSTGTDALTTDTTMKF KNIQTQADVFPWLSKTLFPALFVTTDYNGNNVTNDRRSRVTNVHKLLGAVEITTSSAP DQSCGLEGPLSLIYKPCHDFDNPVENIPFYIDSNADAASAVAMIAAKQASGTWISPST TLLQINVATYNGEVDLMCVTALKIAFQRGGFVETTYKMASIPADPYHHAKSVLALDIV VGIFFLGTLGVEGRQLWLQRHGVYWGVWRVVEWGSLVAVLAYYVFWVILCGGIYDDTL SSQLTTLEVSGTIDFSKAQDTTDQGKLLLTNVMQQYRSMGDLMVAVRLAAMVALFLLT IRILGSFRFHPRLNMVTATLGASLNSLGPFFFVFVVCLAAFVTSGCLLFGEKLLSFST IGHSTVTVVNMLFGQFDLAAIFAVDYHIALVWYWSAMIVLFLVLFNMLLAIVLAAFDH VREEKAGPLRSVTEEFSIIAKELIGVEHFWHKDAPKRFRQSVRDGNLQRFNSNTLSEH LNIGKDEAMGLIHNMKVLSVAGADLTKAKPKDDKSVERLHDRLETLERTIAMLVSQMQ PLAPTKED H257_06403 MFRAVFAAATRPVALHRPLSRYVHQKQSYEELKADFARWARKEV VLVGLFLATGLGAVAAYTYRSDAPLHQIVYCINQATIAAEKGNALEARQLTQRAYAIA QSVSPHERHLHELAFSIAAQYEAAEQFHLAKKYYIDSLEHIPYIRNAAEKAELTRMIT LDRIAQCCHNLSDVKDAVRYYEKALELHVQYKISTCTPMDVEGCGIWFNYGRLCLDMD QPEKAKPLFDTAKRVAIKCNLPQDKIDLIDDQLAVASRHENGPVDITQQHPHEVM H257_06403 MFRAVFAAATRPVALHRPLSRYVHQKQSYEELKADFARWARKEV VLVGLFLATGLGAVAAYTYRSDAPLHQIVYCINQATIAAEKGNALEARQLTQRAYAIA QSVSPHERHLHELAFSIAAQYEAAEQFHLAKKYYIDSLEHIPYIRNAAEKAELTRMIT LDRIAQCCHNLYKQHISPSLYEPYLIRSDVKDAVRYYEKALELHVQYKISTCTPMDVE GCGIWFNYGRLCLDMDQPEKAKPLFDTAKRVAIKCNLPQDKIDLIDDQLAVASRHENG PVDITQQHPHEVM H257_06404 MVKKVGKYEIGKTLGEGTFGKVKYAVNTETDERVAIKVLDKDKI QKQNMGAQIKKEISIMKMVRNRHVVVLKEVLASRTKIFIVLELITGGELFDKIVSEGR FNEETARFYFRQLVNGVEYCHSQGVCHRDLKPENLLLDENGDLKISDFGLSALYEGGG PDGAEGSRASLLHTTCGTPNYVAPEVLADKGYDGRAADVWSIGVILYVLLAGFLPFDE PTMSALFRKIQKAEFSYPSWFTPRVKTLLNKILVPDPETRSSLADIQQDEWYMDDTNK LESAPLRGNPLPPMVVSIAGVGDGEDDVSSAPLKTKTTLEKFKLKPSQADLDAAIQEH IGDLEITKSAKETGPKVMNAFDLINMCGGMALNRMFQSVDDKRVKRSSQFTSSLSAST ILSAISDHLQAFPGCEVVADSSNFKLKATLPTPKGAVGCTVHMYVLAESLHLVEIRRG KGDIFEYHKFHTALTEQMADLINPN H257_06406 MLSVVVLLKLVSYTHAQLFDPLPMPPILPDLASCSYVRMSDDAP ALVLCCGQRHGNLVAPPECLTQCVLEYEEPDTTVKRWTCPGGANVTTADYAVPLPTNP PPSSTAPFKLVPPSTPAMIIVSPTLPQTTSGLTSPPPLQSTITPRPGTTTTRIVISTT VSPTSPKFQSPATTLQSSALTTSSPVTTAGAASQLGNGSNSQNTAAGATATTDQVTAT SESDKVPSTIDGQSGATITTETSSCSPSKNVAGGIREIMVMLLASLAMVVVV H257_06405 MSFLRAAFACTTGLLSRGSPFAFAHPPAMMSMQVRDMGYKLKTK SAVKKRFFVNANGHIKRGQAGKRHLATDKSRQRIRRLGKAATVTGQIRKNILAMLRR H257_06407 MPPVCIILVVLWAGAVLGQFDGTTSSPVLPPVEFGECAFMGTDT SGLNSLCCQLHNNVPLDVPACFGDTCYESSFDPILQIRSMCCLDSGCYTTRESAITLA PETSEPTTQPVTVSPVSTEVPTPVDPSTEVPVTALPTTLAPNEPPLTEPPTTEPQTTS IPPTEPPLPPPTETPVTNAPITPAPQTEPPVSQAPPTEPPTTYPPPTSPLTTAPLTSP AHSTEPSITDSPITQAPPTEPPVTNPPATQTPQTEPTSAPTEPPVTSPSATPSPPTDP PATATPTQPPPTSSPTQPPVTASPTEPPATQSPSTEYPTTSTPTEPPATSLPRTEPPT AATPLPPTEPPMTATPTEPPTTQSPSTEYPTTATPPAPPLPLTEPPMTATPATPSPPT EFPTTATPTESPATPLPPTEPPTPQTEPTSAPTEPPVTSPSATPSPPTDPPATATPTQ PPPTSSPTQPPVTASPTEPPATQSPSTEYPTTSTPTEPPATSLPRTEPPTAATPLPPT EPPMTATPTEPPTTQSPSTEYPTTATPPAPPLPPTEPPMTATPATPSPPTEFPTTATP TESPATPLPPTEPPVTATPTEPLTTKSPTIEPPMTATPTEPPATALPPTEPPATDAPA TPFPPTEPTEAPISQPPQSQVPPQTEAPPTSTPTLSTEVPPSEGPITPPTAAPDVPSS QVPSPFTQAPSTEVPLPEAPTSPAPSTDAPTTKLPATQAPLTDVPITLSPPTQQVTLA PVSEAPITQAPPTQAPTTGPSSPPKPTAGPVTSITPTELPATQPPITTSTSVTNGPAT STLPPPSPSSSISSPPPVSTASTSNSPMTSQSPLVPTTASVTPLTSIPPTTMTSPSTQ SLSSPTPTSAATTDVLATIPPISTSSPLLNSTPRSTVESASSIIPQPWSTPPSQASSN SPFPSSTLLSATILTTSTPLTSNPSPTSATSNPPTTSRVESTSIPQVDIIMSNVSVSS PDDLAPNATSAAVVLEDGGPASHILSQLQYLASQSTSFVVGTAVATSLLTVLTAATST SAAVTSTSSFVGAASTAVVAAAATSSSSVLSMPPPDLFSMGLLFDYLQFLASTGHMEM PGAPPFYHEFTDSLAWTTFNLPPPSRSAIPHRGIHLEDGDIVAGVLAYAERLHIAPDT LFLTTATGLACVVVGVVVLVSVAYGLVSACAAQKFDLVKRQLAAELPTSHLFLRCVVQ SALGVALLSEYALSMTSSFQLRYGGSSATTSSATGSLYGATASLCLVCGGLLVLGVCL LRGKSEAQTNDPTFKFTFGAYYKYYTFENRYFFVAKMGAEIASGVIVGRVEDVPTQLT LLLTLQFSMFLYTTHCSPYVLEFQSIAAATAFVLKMVTYVLLSSFVTTSVSQDVQEVA GTVSLVLQIALLLLFNSRQLHILYKQIKCLVIRRRRRKLVVKTKAAEAAMLAAELERH SSLGLSQWSLDERYRPAA H257_06408 MFLRQLGRSKAIAAASLGLACGMAASSPASYSKDSLTTKRWHFY KGKMHPNIGNTVKLFKCDDTPIADEIAEYLGIKLSDMSVSRFADGETSIQVNENVRGQ RVYIVSSTITVDRIMELLLSIAAMRRASAKTIVAVIPFYGYARQDMVSKGRGPISAAD LARMLEEMGVDHVVTVDLHSSQIEGFFKPEVPVDNLNAAPVGSVFFSEQNIKHPCVVA PHASAVRRSLLFRDTLQRTYDTPLPMAIVVKKHILDRSTPGELVGDVEGKDCIVVDNL VDTGTSWCRMMMRDGRDDLVALGSTMIEVAKLLKSHGAATVSAFCVHGRFSSNAIDAL NECKELDLIVTTDTIPCPSNVEAQKTSKIVTLSVAPFLAEAISCIHAKGSMSQKFALS AS H257_06408 MFLRQLGRSKAIAAASLGLACGMAASSPASYSKDSLTTKRWHFY KGKMHPNIGNTVKLFKCDDTPIADEIAEYLGIKLSDMSVSRFADGETSIQVNENVRGQ RVYIVSSTITVDRIMELLLSIAAMRRASAKTIVAVIPFYGYARQDMVSKGRGPISAAD LARMLEEMGVDHVVTVDLHSSQIEGFFKPEVPVDNLNAAPVGSVFFSEQNIKHPCVVA PHASAVRRSLLFRDTLQRTYDTPLPMAIVVKKHILDRSTPGELVGDVEGKDCIVVDNL VDTGSTMIEVAKLLKSHGAATVSAFCVHGRFSSNAIDALNECKELDLIVTTDTIPCPS NVEAQKTSKIVTLSVAPFLAEAISCIHAKGSMSQKFALSAS H257_06409 MAVAAAELSVHVRGIAEKLRKRADDASKKGGAEASAGLLLSVEH LLQSADLMERQHKELLATSAAEPASTTLRNLQLVGAMLEKKSEEMRSKQAVGPANSLA QSASHVRTGLQRLEAVHTWLSSTLGDAYSPDMDWTDALSQVSSNDRTSHGDESALKAA EANVASLEAELAQWKHHHEATQAQQMQLLEQLERAESDLSAALKSQRDIAATNEVIRV DLASERERNERLEAHVAALKSENAKAEQEMQDEWERVVKQNQVLTATVKEFKANVAGR EECVDALQKEGQEVRNQLREMELELEAKTVQVEQLAVQLDAVREKLTTAEQTIATFRD KGATITASEEEAAASTIHRLEGELAAATSRHQAALDSHQEEWTAAEAQRLATIHSLQD ELETVRGLLSTTTDANTDVVERLNGEVEDLRQQLATASSDLNGSKAAQQIELLERELE VLQDQVRALEATSDGAKNVIETLEVQLSSVRDQWTAAQLAVETLTSQLVAAKALAETR QDTIDALEDELVVVRRQATEAVETARSWEDELVAVRDGISRLEAQLAMPPPDIIDELA DRGSTGGGVESVSSVGDKLTQLATAIRLLHEEKGLFQSEIERLQATVADEQATVHLHH EQVRALEVHVDKTHQMHQEMRTTHEEASMAMQEHAAKLKDELGGLRTENARWADRTQA LELEVLQLTQAVADLSNAVPNELATETETNLVELTNQIHSLELFKQELLEKLATATAT ATALETEVEQAKQNVAELLKNVVELTGEKAQVVEQLNAHKLAMEAFDAEKFELHAQHK GMEDQFVKSVTDLENQVAAKDAELLALQEQSETLLAQEQSKAAALQTAEVEVAKEALI SAEGMLRELQATHSSMVNDFQQRQAQLEQLHSQEIATYSNQSDELASHVRQLETQLAG LKEADESQTAQWESQVAWLEQQLAAVRDELAQAQQVKAELLGKQNELEVQTAEKIQSF VDKINDLVAEKATLQASASASDQQWQRQIDALELQVHTLTDETQRLADDHRRHVVQLQ HETKDKAVCVTEDKACATECELDDAKAKTEDLTNRIKHLEDEIERHLKVQEGHADALV DLTARLNAAHDVAVRELEAEWTNQHAAKIQRLMEKVNALTEDKHHLQTEHEVLTGQLA ALGSDKARLTQDITQLRTALDEQSAQVQTLAGQVEDVRADKAEALADVATWQGKVDAL QETHNAEVAKWKQTIEALEAREAAHASSGNEQQATIGQERDQALVRLNQVQSAFEEYK ARAAAALKKAEKRTALLNPMLAEKQLLESQVATLQDAAHHAQEKLENQLLAKEETISR LTAAIEDAAAKVQEKSAYQEELQAKQTLEWQAHLTHIQAMEASMVEKEGLLAASASKL DQFQLELTALHETVELKAKLVAKVQLELESVEAAKELLQTQLDDAHKRLESLLGKPSQ LEQLGRTLEATTAELEAQRHTSAELEQTLGHLTNEMKTTAEASANQLAAVKAELAELA ASKRELEAKVVSDKSSDASNVDAQVAALQSRIGQLEADKAALDESWRVKMADGERLQH DLVVQITAEKAALAASLEAANTELKRSDTGADQVRELQRLVQQKDAAVAELSRRLEVE QANEKMAFEATKLLKSQLDEKDSYVQLVKQNAELQLRQAGLDADRKLAEQRRAQLLEH EAAIAAMKASLLPPNSESNVTSAIHDENCTTTNNLRVREVDFQAKVDALTEDNESLRR QLSTAVANNYPEQLQKLQQDNADLVQSMHSLRETLNEKLQQLATLKAPAHTKMLDELE RTIDHEKRALFERKERHGHHMSKLRRDADAWLDEAQRKLDQHAALHEMLPKEDVVMMM EAQDVVVNPLEVTLVLKSGVSIKAGSSFELPVVVSAGQTIQWSFRIEELETDVNFALT FNGDVDVVPVDRVERLEGTYQAKADGVLQFAWDNAFSWLNPKTLDYHVSVFEPLTEAA LQTRKAQEIVKGQHKMWSDKLDVMHKEEALLASFEHVMQTKLPGAIELYLEECMAHRE MVVCPKLSQVQDKMHALKALIGLYVQEQQELTEATASLTMLLEEIHQEQRDLHNTCHL HKLRDETTESVQAKLRALEAKLEI H257_06409 MAVAAAELSVHVRGIAEKLRKRADDASKKGGAEASAGLLLSVEH LLQSADLMERQHKELLATSAAEPASTTLRNLQLVGAMLEKKSEEMRSKQAVGPANSLA QSASHVRTGLQRLEAVHTWLSSTLGDAYSPDMDWTDALSQVSSNDRTSHGDESALKAA EANVASLEAELAQWKHHHEATQAQQMQLLEQLERAESDLSAALKSQRDIAATNEVIRV DLASERERNERLEAHVAALKSENAKAEQEMQDEWERVVKQNQVLTATVKEFKANVAGR EECVDALQKEGQEVRNQLREMELELEAKTVQVEQLAVQLDAVREKLTTAEQTIATFRD KGATITASEEEAAASTIHRLEGELAAATSRHQAALDSHQEEWTAAEAQRLATIHSLQD ELETVRGLLSTTTDANTDVVERLNGEVEDLRQQLATASSDLNGSKAAQQIELLERELE VLQDQVRALEATSDGAKNVIETLEVQLSSVRDQWTAAQLAVETLTSQLVAAKALAETR QDTIDALEDELVVVRRQATEAVETARSWEDELVAVRDGISRLEAQLAMPPPDIIDELA DRGSTGGGVESVSSVGDKLTQLATAIRLLHEEKGLFQSEIERLQATVADEQATVHLHH EQVRALEVHVDKTHQMHQEMRTTHEEASMAMQEHAAKLKDELGGLRTENARWADRTQA LELEVLQLTQAVADLSNAVPNELATETETNLVELTNQIHSLELFKQELLEKLATATAT ATALETEVEQAKQNVAELLKNVVELTGEKAQVVEQLNAHKLAMEAFDAEKFELHAQHK GMEDQFVKSVTDLENQVAAKDAELLALQEQSETLLAQEQSKAAALQTAEVEVAKEALI SAEGMLRELQATHSSMVNDFQQRQAQLEQLHSQEIATYSNQSDELASHVRQLETQLAG LKEADESQTAQWESQVAWLEQQLAAVRDELAQAQQVKAELLGKQNELEVQTAEKIQSF VDKINDLVAEKATLQASASASDQQWQRQIDALELQVHTLTDETQRLADDHRRHVVQLQ HETKDKAVCVTEDKACATECELDDAKAKTEDLTNRIKHLEDEIERHLKVQEGHADALV DLTARLNAAHDVAVRELEAEWTNQHAAKIQRLMEKVNALTEDKHHLQTEHEVLTGQLA ALGSDKARLTQDITQLRTALDEQSAQVQTLAGQVEDVRADKAEALADVATWQGKVDAL QETHNAEVAKWKQTIEALEAREAAHASSGNEQQATIGQERDQALVRLNQVQSAFEEYK ARAAAALKKAEKRTALLNPMLAEKQLLESQVATLQDAAHHAQEKLENQLLAKEETISR LTAAIEDAAAKVQEKSAYQEELQAKQTLEWQAHLTHIQAMEASMVEKEGLLAASASKL DQFQLELTALHETVELKAKLVAKVQLELESVEAAKELLQTQLDDAHKRLESLLGKPSQ LEQLGRTLEATTAELEAQRHTSAELEQTLGHLTNEMKTTAEASANQLAAVKAELAELA ASKRELEAKVVSDKSSDASNVDAQVAALQSRIGQLEADKAALDESWRVKMADGERLQH DLVVQITAEKAALAASLEAANTELKRSDTGADQVRELQRLVQQKDAAVAELSRRLEVE QANEKMAFEATKLLKSQLDEKDSYVQLVKQNAELQLRQAGLDADRKLAEQRRAQLLEH EAAIAAMKASLLPPNSESNVTSAIHDENCTTTNNLRVREVDFQAKVDALTEDNESLRR QLSTAVANNYPEQLQKLQQDNADLVQSMHSLRETLNEKLQQLATLKAPAHTKMLDELE RTIDHEKRALFERKERHGHHMSKLRRDADAWLDEAQRKLDQHAALHEMLPKEDVVMMM EAQDVVVNPLEVTLVLKSGVSIKAGSSFELPVVVSAGQTIQWSFRIEELETDVNFALT FNGDVDVVPVDRVERLEGTYQAKADGVLQFAWDNAFSWLNPKTLDYHVSVFEPLTEAA LQTRYV H257_06410 MEKRRASDESIRRYRSSSSGSSLGTPGTSNPQPTGHCFVAPTGE RHGLRSLDPDKACFVSQADEIIILFEAVPPTPLPPPAPPSSRSRRLFQAVQRRLLAVP HVHTPSSIHSCASCFAPTMVSRPTSSFFASKVSPHRLWQCQTCPDLFVCDACYMQGAH GFESQAPDLAEAKLDLQLVQLCKAFTLPFLRLLRTRICHSTANPHRRLGRYVNLVYWF GQVVGSTPLAEIPQRGLEFTDLDVDVRQEFVELLRPLLGQRSDLTMNLEWNDGSIKDR GSLRLWVTDTSETSSPFQGILLQDVILPRAVDEKTLAPASAT H257_06411 MSLNPEASKLFRVRKTVVKMLHNRGYIVSEEELHRTPEGFIGQF GENPTRDTMTILVEKVDDPSDNLFVFYPEDAKVGVKPIRNYCNRMKDENVTKAILIVQ DGITPFAKQALNEMAPRYKIDHFKENELLVDITEHTLVPVHKVLTKEEKLALLKRYKL KDTQLPRMQASDPIARYYGMSRGQVVKIIRPSETAGRYVTYRAVM H257_06412 MRAMFGTVAATNSRGSRYNVDVDTRGSRPHLDLDPGAVIRRSTT INTARAEVTTNPLQSERVQSPATSSGQLDCVVIEMGTAELTIDDVRLAYASRGDFVMI FLSPLFNMFFFLVFAACALDHTPIQAMFPSENGLANIVAKSGTDAVTTVTTTKFSNMA THHDVFPWLTNTFVPYTFVKTDYNGRNLSTPDLRRVNYVHRILGAVEFKTYSAPPRPC DVVDGPLGAMYAQCHDFDSPVLNSTFYIDAGADPETATAVISAKQASGAWLDASTANL HINLATFNGELNLLCLISLRVTFQPGGFLQPIYQTKCMPSDPYGTSYLAAPLDACVLV LWITTIWMELREWCRGHRQRTGQPPKPRFCTLWRAVTWLSVVSVAVYFAIWTALCMSV YSPTFAADLTALETGASSSQPQLLLVDIMTRLKAMGYLTLSVRIAGMVSLGLLMFRTL SSLRFHPHLNMVTATLTASLHSLVPFCCIFVICLTAFVTSGCLLFGERLLQFSTISRS VITVVNMLFGQFDLAAIFAVDYNIAFTWYWCAMVILFLVLFNMLLAIVLAAFDHVRTV TATKIAPYMAAITELRRLAGPMLWPWNHPHMMQLGSFAESANTGLSTAVTSALIAKQL CVTDVDADIVLRKTRAFLAIMHILRDSNLNDDDVNGEDFVGEGEVAPTSVDVSELNER LDMMEARLEAKLAAMSVNMAALIARIDAKL H257_06412 MATHHDVFPWLTNTFVPYTFVKTDYNGRNLSTPDLRRVNYVHRI LGAVEFKTYSAPPRPCDVVDGPLGAMYAQCHDFDSPVLNSTFYIDAGADPETATAVIS AKQASGAWLDASTANLHINLATFNGELNLLCLISLRVTFQPGGFLQPIYQTKCMPSDP YGTSYLAAPLDACVLVLWITTIWMELREWCRGHRQRTGQPPKPRFCTLWRAVTWLSVV SVAVYFAIWTALCMSVYSPTFAADLTALETGASSSQPQLLLVDIMTRLKAMGYLTLSV RIAGMVSLGLLMFRTLSSLRFHPHLNMVTATLTASLHSLVPFCCIFVICLTAFVTSGC LLFGERLLQFSTISRSVITVVNMLFGQFDLAAIFAVDYNIAFTWYWCAMVILFLVLFN MLLAIVLAAFDHVRTVTATKIAPYMAAITELRRLAGPMLWPWNHPHMMQLGSFAESAN TGLSTAVTSALIAKQLCVTDVDADIVLRKTRAFLAIMHILRDSNLNDDDVNGEDFVGE GEVAPTSVDVSELNERLDMMEARLEAKLAAMSVNMAALIARIDAKL H257_06412 MRAMFGTVAATNSRGSRYNVDVDTRGSRPHLDLDPGAVIRRSTT INTARAEVTTNPLQSERVQSPATSSGQLDCVVIEMGTAELTIDDVRLAYASRGDFVMI FLSPLFNMFFFLVFAACALDHTPIQAMFPSENGLANIVAKSGTDAVTTVTTTKFSNMA THHDVFPWLTNTFVPYTFVKTDYNGRNLSTPDLRRVNYVHRILGAVEFKTYSAPPRPC DVVDGPLGAMYAQCHDFDSPVLNSTFYIDAGADPETATAVISAKQASGAWLDASTANL HINLATFNGELNLLCLISLRVTFQPGGFLQPIYQTKCMPSDPYGTSYLAAPLDACVLV LWITTIWMELREWCRGHRQRTGQPPKPRFCTLWRAVTWLSVVSVAVYFAIWTALCMSV YSPTFAADLTALETGASSSQPQLLLVDIMTRLKAMGYLTLSVRIAGMVSLGLLMFRTL SSLRFHPHLNMVTATLTASLHSLVPFCCIFVICLTAFVTSGCLLFGERLLQFSTISRS VITVVNMLFGQFDLAAIFAVDYNIAFTWYWCAMVILFLVLFNMLLAIVLAAFDHVRTV TATKIAPYMAAITELRRLAGPMLWPWNHPHMMQLGSFAESANTGLSTAVTSALIAKQL CVTDVDADIVLRKTRAFLAVRWTRDTVVEFRLFLHL H257_06412 MATHHDVFPWLTNTFVPYTFVKTDYNGRNLSTPDLRRVNYVHRI LGAVEFKTYSAPPRPCDVVDGPLGAMYAQCHDFDSPVLNSTFYIDAGADPETATAVIS AKQASGAWLDASTANLHINLATFNGELNLLCLISLRVTFQPGGFLQPIYQTKCMPSDP YGTSYLAAPLDACVLVLWITTIWMELREWCRGHRQRTGQPPKPRFCTLWRAVTWLSVV SVAVYFAIWTALCMSVYSPTFAADLTALETGASSSQPQLLLVDIMTRLKAMGYLTLSV RIAGMVSLGLLMFRTLSSLRFHPHLNMVTATLTASLHSLVPFCCIFVICLTAFVTSGC LLFGERLLQFSTISRSVITVVNMLFGQFDLAAIFAVDYNIAFTWYWCAMVILFLVLFN MLLAIVLAAFDHVRTVTATKIAPYMAAITELRRLAGPMLWPWNHPHMMQLGSFAESAN TGLSTAVTSALIAKQLCVTDVDADIVLRKTRAFLAVRWTRDTVVEFRLFLHL H257_06413 MTLPRPSMLRRSLSTYDILTVKDVVEAYESRGEFQSVFLTPLVY VMFFTFFASAALTHVPIETMFPSQNGLMAALVTSGSDTLTADSKMKFKNIQSQADVFP WLIDTFVPSVFVTTDYNNVSIPVDQVGRIAAFQKVVGAVEIKAYVAPKIPCDVSASLS PIYKSCHDWANAEQETPWYLNPKSPAQEIIDWFQEVKANHTLVSDANTALHINVATYN GELNLLCITALQIKFQPGGYVDTRSKMTSMPLDPYGNDPSGGLMDLITGMFFLTTVGM DYRKIARHRKQKKAVVWTKWRTVTWMSLASVLTFYVFWIILSVLVAQAQLKNEIIAME NPAFDFEHNTALAIVYFIDIMDRMKTMGTIMIILRLSAMAAMCLLMFRILGSLRFHPG LNVVMATLTKSLRSLAPFFFVFVVCLSAFVMSGCLLFGDSTKAFSTIGMSYVTVVNML FGQFNPDMVLDVNYYTAVVWYWSAMVVLSLVLFNMLLAIVIESFQKVHNSSDKRTAPY MAAISELARVEGLWLWPWSRRDMIRLGRAVHADELRDVSVAAIAKHLALSDDQATLLL AKVRAFKRVMDVLRESHGDDDDADDPSTTDLSNQLVAMQAQIAALVTRLDSPV H257_06414 MDGSSASVDVAAAVDEDVIPDDNEPESGTSGRTRHVDGIITLDQ LESAFNNRGDFVLIFLSPVFFTFFFAAFASAALTHVPINDMFPSHRGLAMAILTIGGE DTKDDTTIKFKSIHTPDDAYVWLATTFLTTIFTATDSKSLAVVPSTLRSRVATYQKIV GAIELRVFSAPPVACSSYNKLTRIYSPCHDFLSAPIEEPWYLPPNSSRDDILDWLSTV QANGSLITPSTTSLHITIPTYNGELDLLCIMSLRINFQAGGFVDTKYKLTSIPLDPYG DEHAGIGTDALVLLFVLVTLVTEFRKFRQVRKQRLRRFWSPWSIVTWLLLVAVATFYV CWGVLCWLVYDSTLQTKIIALDDPTLDVDANASLVETYVADIVSTVTTMGYAMIALRL EAMAACCLLMLRILGSLRFHPRLNVVTATLVKSLQELLPFCFVFAVCLSAFVVSGCLL FGDNVKHFSTASMAYVTVVNMLFGQFDLAQIFDVNYYFAVVWYWSAMVVLFLVLFNLL LAVVLEAFYREHKQAEAQSAPYINAIANLQRVEGPWLWPWAHNDMIALGRAVKRKHLH EATAPAIAKLLNLPLGQAQKVLAKAIAFTKIMDILRQPNNTHGDATGDETDVDRVNHQ LGEMEVRIATMVARLDTQV H257_06415 MTGNEGGEATTAEEVLSYWFDGDMQELHRTKWFPVSGSDVQAAT DADITSRFGTLLATAETRALHASWTSRPHSFVALIVLLDQFCRHVYRHDADKRKAIDV LALELATQFVDDGLHLHVSVPHFVFALMPFRHSPTPDRLEHVLAHIDGRETTMLHDTD LLSKFRRTTVQRQSHLRVPNHREPGEEFDILERAAFLHPNEALLLPKHPLVPIMHAFL TSMRAGSDACPAIGISLSGGVDSMVIAYLLVTLAPRYNNFKVVAVHIDYLNRPESSAE AAYVRAWAHDHNIECIVRPVEECQRATTKREDYEKLSRDIRYTTYQDVMARFTIPGMC VGHHRGDVQENVISNMMKGQSLLGLNGMTPSSMVNGVRIWRPLLAVNKDAIFDFAHTF GVPYFKDTTPQWSTRGKLRRQLMPLLQNIYGDGYLNNLSNLGQEATECADVLETALLG PVLNTVQSSGLAVWIDLTLLCAQPMFLWKEILRRVCHERMGERMIRDKPMGELRVKVL KPNFKASWITLKKQTKSFVTTQKHLVLFREPVFQTVFPPDLYFVAETTPLSTVGPWRI QLRLVASPHTDAQVLEIQSKRWDLWGVIHASGVTYVLPHAHRYILDTEDRPAALRGID KCLTDAMPLVKNHGVLQDSTHVVVVTLEYVSTSV H257_06415 MTGNEGGEATTAEEVLSYWFDGDMQELHRTKWFPVSGSDVQAAT DADITSRFGTLLATAETRALHASWTSRPHSFVALIVLLDQFCRHVYRHDADKRKAIDV LALELATQFVDDGLHLHVSVPHFVFALMPFRHSPTPDRLEHVLAHIDGRETTMLHDTD LLSKFRRTTVQRQSHLRVPNHREPGEEFDILERAAFLHPNEALLLPKHPLVPIMHAFL TSMRAGSDACPAIGISLSGGVDSMVIAYLLVTLAPRYNNFKVVAVHIDYLNRPESSAE AAYVRAWAHDHNIECIVRPVEECQRATTKREDYEKLSRDIRYTTYQDVMARFTIPGMC VGHHRGDVQENVISNMMKGQSLLGLNGMTPSSMVNGVRIWRPLLAVNKDAIFDFAHTF GVPYFKDTTPQWSTRGKLRRQLMPLLQNIYGDGYLNNLSNLGQEATECADVLETALLG PVLNTVQSSGLAVWIDLTLLCAQPMFLWKEILRRVCHERMGERMIRDKPMGELRVKVL KPNFKASWITLKKQTKSFVTTQKHLVLFREPVFQTVFPPDLYFVAETTPLSTVGPWRI QLRLVASPHTDAQVLEVSYIYTSMD H257_06416 MAMTSSKAHGTLVGMELYVEKLLDSARHLNQQRTHPVRSSLALS KWKCHHALGNMNRESYDDETSEAGGGAPVRPVAAADMRFHSKLLSKDKEVDDDDPSIR QHLQRYSQRYTGLEAYGKTGMSKLQQIIDTATAVQHACRSANDLGVTIGVAVLSSSGR VYTSSSNDLCLDTCPERLAFMKLASDECDYVVEGAAISSSDGVFTPYPCGSCREFLSQ FGDFPLYLIRATMEFEQTTAYALFPRGSLSALPGATNKNDSSSSISLRVKHNETLQPR HLLHPKDWAVAHAVNWLIEDVGLPEYAAIFESHQVNGATLSYLEESDLQFLLQIQHPL HRRRIALCLHRLRDQDGMHDGVEYGQLKDYLAVLDKDRIEVVVKLKQAFDAVDTNHNG MLDFSEIKQALSAMQYDASASAVEAWIQSRSSQASVSFPEFALAFCHTWTANTRSLPV PKVDLATVRGAFDRMDTNGNGSLDKAEVAQALAALGQPNPEDEAAKWFAQVDTDGSNS LSFPEFLVRYAELQWNLAPLHACFDAMAGSGSHVVDVAALPQLFRSLQVLYDRSKLDK WTDARLSSEMSFADFCLAYFLFVQAPAPMDSVEDQHRHRIVQLQQSGHVRLCTRPPSP TRQTPQQTTVSSPPKRHQGHHRKGDGDEGKCHDSDDDNSKHDDDDEFAAVHAMFRRFH SSSLTTLEAMQAITELGVVVPRAHMLEYFTSRGFGTKRTIDYDDLVRAYRKLQVALLE GQFGIPYTQRDFSASECKVEEDPSSLMSQREWTQEMQTWVSKRRRRRRRQAPRHDESK DDDDDDDGSSRSPSKPAYPATVGGRFQIGDRVVDKTRSMGAGTIVRLSGPYQVCTVHF DSGIKRHNVAMASLRRFKPAPLAAFRVKAEPFQVDACVQVLFKGTNAIRRGRVKRVRS NDEYDVVYSDGEVEKHVPVKHMSVLSGGTKLEAWEEGMQVEARGSDSPTYYRGEIVLC RTNDTFDIKFRNGAEEDKVPRKWIRVYSGKDTSSKSDKKPTHHKNSKDNDETYADEGD FEKDDGNSETFEVLDVVEAKFGGKGAYFRGHVTKCRSGGTYDIQYDDGDVEKDVGATH MRFVSLENVAKGQAVEARFGGKEAFYPGTISRVHGDGSVDVEYADGDRETRVPSRYVR LTSSGTKKKANPSLAIGDAVEARFGGQDKYFPGKVARVHSDGSFDIHYADGDKESHVE PSLVRPAKKKAEATTYDDDFE H257_06417 MMLLRRSTQRVVARAGHFRPQSTNALLQCVAERTLPPFNQIRVD EMVPAVRHAIQELDRDIVAVETELRAPGRTLSWENVMDKLEVSADPLERLWGIVGHLT SVKNSPELRAAHAELQAEVVTIFTRFAQSQDIFHAYKALREDAATWNSFDLAQQRILE ASIRSATLSGVGLTGPSKDRFNAIQLRSAELKTKFSNNVLDATKAFSKIVTDKQDLAG LPASVLQLLAQNAAADGHPGATADAGPWKLSLDMPCLLPVLKYAANRDLRESLYRANV AKAGLAPFDNLEIVRETLQLRQERAKMLGFSSYAELSLASKMAPSVAGVEDMTESLRL KCIDLARQEFKDLHEYATQHGHTDELKHWDVGYWAEKRRADLFDLDDEAVKPYFPLPK VLDGLFQLTERLFGVSIQAANGEADTWHPDVQFFHVKEHGKVVASFFLDPYARPSEKN GGAWMNTCVNRSRLLGRSASEPRLPVAYLICNQSPPVGETPSLMTFREVETLFHEFGH GLQHMLTTVEYGSAAGINGVEWDAVELPSQFMENFCYHKETLLSISGHHETGAPLPED LYNKIKDARTYMAASGMLRQLNFGALDLYLHHHYDPHATDESIFDVQQRLAQRYSVLA PLAEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSCDAFEKFVEAGLDNDAALRATGRLF RDTVLALGGSEHPLTVFERFRGRPQRVDALLKHYGLSQAQ H257_06418 MSLAVETARHLAAGRWTEKNSLFRVGDNISEWLVMLLMLLVVVG VILFEQVWHWLGHKLHPYPKYHEMMHKITSELMILGMLGLFVHLFSEAYWIDFYSKPV KAFKIADQTIFFVACFLIAQAVLIFYVMRQKNIMTDQIELVSSHYIYRKAKAALDKAN YANWFQYEAKKRRALRVMRHKILRRFFLRTYNLPEMFQFSWYLRMQQDSQIQHLIDIE LTQWLLLLLVWCGFIAAHNSLERSLVVHDDDEQPKYIRVYVFMAFEFALSIVMALMWL YIRQCMNTVYKYLGIYNNKDVLAKMRECAEEERHTRESQAASIAHLERLHYNMDMRAH GHRFFLATDQGVQLLSLAVRKCFRHEAKSASHVQLAHGGESPRDHHPRHGGSQAKTLS ELTSIKLPLFSRKLLHYFLKTFLMLNGLFAAIAMNSVVYILPDVPDMDYTVLVVLMAI PLLLNAFYVGPRLMLQFMTLSTLWQLNADNVSLMVSQYLSTIEKKTHLVDSIRAFLDK THQTVDDLRGDLERNGGTRDYIDMETFRVVLAHYGCHVSLFKFNAMIRLVFKTKGAMV NYEQLLGLLAGEVDVCIDQTVDMMDNLDDFDFTLDEHDKKAKVLGSVAVDIGARQPLQ PIGIVATHDEDFATPAADGDLIHDAYSEQR H257_06419 MLLSWGSMGRVGAAVLVLIMTTLLQSVVVVSGTLVRIPLYRRPR PNIDATFPSLVDPSFIMNAAARGHVALTNFVEFQFYGDMTVGTPPQQLVVTFDTGSSD LWVPGSACVHCAGTHRFLPTSSSTFHLSSNPNFTIAYGSGQARGLSGIDSISVAGFTA TGVPLGVVEQEEDSLSNMKPDGLMGLAFDGLATFSHPPPFMLLVHQNSPALAPRFSFY LTPEPNTNGSEVIFGGYDEDRMQGQVWQTMDVVPQYGYWTFWRVRLHSMHIGSTLNAC DDGCIAFVDTGTSLLGVPEAQYPAVMDAISQYATKAGCYCGFTTYGFQCYMCSRSNFP LLRFGLGGHSFFTLTGADYTMCIGATCLVLIQESGQDMWTLGDVFLKKYQSLYDIQAK QVSFACPVQALHCGNESAADAVARPILDNVSLSLLDPHTILILFLSGFSILGCLFIIG TYWLYPTLQTKRVLSLLFWLSWCNLVFNALVWIGSVWQYSATSLGCSVQLVVQQFAGV GILLLSAVISIELLRAVSGWQTQTSDLSSWYHSIVWTACTACACVTIWTGVLGYVPDT NYGPGMACWVGHTPIWGRIAFFYVPVLLILVLSLHALQVAIYRLHSTNLIQTESGRRS SQLLVSYVIVFGITSVVPALVGLTALYVNLPELVLYTSEMCFYAQGLLHCFVWACSPS FQQAYTQRYYALGNHEVEGLVAE H257_06419 MLLSWGSMGRVGAAVLVLIMTTLLQSVVVVSGTLVRIPLYRRPR PNIDATFPSLVDPSFIMNAAARGHVALTNFVEFQFYGDMTVGTPPQQLVVTFDTGSSD LWVPGSACVHCAGTHRFLPTSSSTFHLSSNPNFTIAYGSGQARGLSGIDSISVAGFTA TGVPLGVVEQEEDSLSNMKPDGLMGLAFDGLATFSHPPPFMLLVHQNSPALAPRFSFY LTPEPNTNGSEVIFGGYDEDRMQGQVWQTMDVVPQYGYWTFWRVRLHSMHIGSTLNAC DDGCIAFVDTGTSLLGVPEAQYPAVMDAISQYATKAGCYCGFTTYGFQCYMCSRSNFP LLRFGLGGHSFFTLTGADYTMCIGATCLVLIQESGQDMWTLGDVFLKKYQSLYDIQAK QVSFACPVQALHCGNESAADAVARPILDNVSLSLLDPHTILILFLSGFSILGCLFIIG TYWLYPTLQTKRVLSLLFWLSWCNLVFNALVWIGSVWQYSATSLGCSVQLVVQQFAGV GILLLSAVISIELLRAVSGWQTQTSDLSSWYHSIVWTACTACACVTIWTGVLGYVPDT NYGPGMACWVGHTPIWGRIAFFYVPVLLILVLSLHALQVAIYRLHSTNLIQTESGRRS SQLLVSYVIVFGITSVVPACTCLYWLTLFATGDWIIVYV H257_06420 MSDCDEAKKKLQAIWDDPPANAPNIDDDGDASWGPVVLCAAITW DDFQGWLNRNEGRVRRWVFEPLADGTGKGRVVLYSISSVVHSKTAGRIATAIRDQVAR TGNDFDLLDTVDLATDPTCRTGNHGQEPDMCIYPAGLAVGGAVLEAADNHAYPNVIVE IAYKNDDLDRLRAKLGRWMHNTSVQVAIGIKINAVNANRTAILCQRGQPNQEVAFGHP RLPPLAISFPLALIYTGVAMPPALAALANPQISIDLIALRTFIDGILLEEAAAH H257_06421 MHCDENNSSTCQIVTRQRRNCKPSGTTHPPTRQTSMTMAMLLGD LSFCVLPSRGTISKDGSTATKGE H257_06422 MLTFKEKLAHNLVPEWEEHYVNYSELKHHVKQIQRRASFLAMSS GDYTESNEPERARLIKTSKPTHFEEEFLDECEKVERWYCTQLEEFHKQFALLQDQYAQ ARTSQAPLVPPTTDLDDAPVESDAFTLERDSIKQSLVELYKLLRLLQNFALLNYTALR KVLKKHKKKCGARFEKAHRSLNDSLHDYAFSHALPVKESILHLEHYFTATFHDNDRVL ALAELDDWKDSTLNWQNVYTGLKMGMCMVLATWLLWDDVALVALSQSQGNHPIRLVQT KAYPFYRGVALFLFFLWLWGATLYAWQAARINYRYICELDPHATQDFAHVFDDASHLS MLYLINFIVYVQVENHSLPEYISKGYIPLVLALYFIFFFLSKDWGHQRRLVRVLRDIV LLPLFPVTFMHTFVCNYLTSAAKMNQDFAWSVCFFTTGEFLEPSTSTSACSSNFYYAR VAVPLISALPLWWRCVQSLRRVYEMKGWFPGLLNAIKYALAQLVVLFGLFHSFYSPVE PSNVVQVLWVVLFVVSSVYSWLWDVVMDWGLGRPQNHFLGDGHMYSRRWVYYAAMAVD FVLCFSWTLALIPPTDEYSVVVGVLLYLQPVTMFMEPIRRSMWSCFAMENEHLRNTLG FRKERFIPLHFERKPHKKATDKRDDSQYAVKVVALAVVVVALSASAIFLGN H257_06423 MLTFKDKLAHNIVPEWEEHYVNYSDLKHLVKQIQSRMSFEELDD PLAYCDSSTSERVRLLKTSSTQVHFDQEFLNECEKVDAWYSLQLSACQTKFVRLKDEY ADARAAAGPSIEISSSNAMTPTCKDSHSSLPSIVPTEHFNSTCHSIKQSFVALYKSLR MLQNYALLNYTALNKILKKHKRICGHDRFEPEHRVLNFSLHEFAFSHALPVKSCIVEL EAFVTAAFFTGDRVLALAELDDGKDTNAVNWQHVVMGVKMGVCMVLALWLLWDDVVVV LLCDTGDKTIAKLQRTKAFPFYRGMAQGLFFLWLWGATLYVWQAARINYRYILELDPH VTPAFSQVFDDASHLSTVYFVSFLLYMQLEHKSLPLYQFVPSGYMPLLLAIYVVVFFA MKEWSQQKRLTGVLRDIVLVPLFHVSYFHTFVTNYMTSSTKLNQDVVWSLCYFTTGEF LDPTSDSCSTKSNTMHVLVPLISALPFWWRFLQCLRRVYDMKNWFPGVLNALKYALSL LVILFGLVHSFYSPLEPSNTLQLIWVVLAVISSLYTWSWDVLMDWGLGRPAFNFLGDG RMYSRTWVYYAAIVADLVLCISWTLALVPATDSVPFVELLTVIQPVTTFMEPIRRSMW SCFAMENEHLRNTLGFRKELFIPLHFEKQRAKLEDSTDYAYKLVLLAVVVVALSALTI FVGA H257_06423 MLTFKDKLAHNIVPEWEEHYVNYSDLKHLVKQIQSRMSFEELDD PLAYCDSSTSERVRLLKTSSTQVHFDQEFLNECEKVDAWYSLQLSACQTKFVRLKDEY ADARAAAGPSIEISSSNAMTPTCKDSHSSLPSIVPTEHFNSTCHSIKQSFVALYKSLR MLQNYALLNYTALNKILKKHKRICGHDRFEPEHRVLNFSLHEFAFSHALPVKSCIVEL EAFVTAAFFTGDRVLALAELDDGKDTNAVNWQHVVMGVKMGVCMVLALWLLWDDVVVV LLCDTGDKTIAKLQRTKAFPFYRGMAQGLFFLWLWGATLYVWQAARINYRYILELDPH VTPAFSQVFDDASHLSTVYFVSFLLYMQLEHKSLPLYQFVPSGYMPLLLAIYVVVFFA MKEWSQQKRLTGVLRDIVLVPLFHVSYFHTFVTNYMTSSTKLNQDVVWSLCYFTTGEF LDPTSDSCSTKSNTMHVLVPLISALPFWWRFLQCLRRVYDMKNWFPGVLNALKYALSL LVILFGLVHSFYSPLEPSNTLQLIWVVLAVISSLYTWSWDVLMDWGLGRPAFNFLGDG RMYSRTWVYYEKY H257_06424 MIKFKAKLQANTFTEWREFYIDYDALKFAVNAIREDSTGFDLEQ QLEKVVELQFLVGANSATSQAFEKAFIKEYDKVEKFYVAHADEYKQQLDILQKQYHAD MNESTQMSMTSACMELHRLLNMLQNYALLNYTGLTKILKSHAKKCKSQESIRYVFAEK LETCAFSKAIQAKDELIRLETWFCRTFYDNNRPIAMAALMARKDEHVDFSQAYIGMKF GMLLMLGLWVLWDVGIIPSIQRDENHLRLLLTKGFPVYRGLGCVIFFNWLMGISMYVW RSARINYMYIMDLEPRNTKDYDQVFHDAGHISIVYLINMLVYYKVCNGEFPEDRVAHR GYVLLFLFVYMVYFYVFRQWRRKIGFVKAIGKIMGAPFFPVTFFHTFLGNYMLSMQRM NQDIAWCFCFFFSGEFLETDDMDALKATDGMTGYLHNHTMQSIIPSKCHSNFYYAKVV VPLLCTLPLWFRFLQSLRRIYDMKLWWPGVGNVIKFALAQIVVLFGIFHPFHNPTKPT EDITPLQQFKFLAERHMYRRRSLYYVAIVINFFLCFAWVLTLIPPSAEQALNHSFFVY IHPFSMLLEPMRRTMWSFFTVENEHLRNTMGFRKEQFIPLHYERGVGVADPNEHTDES RRKKRKNKVLILAIIALVVSLSFGAVTVIEDAPAR H257_06424 MIKFKAKLQANTFTEWREFYIDYDALKFAVNAIREDSTGFDLEQ QLEKVVELQFLVGANSATSQAFEKAFIKEYDKVEKFYVAHADEYKQQLDILQKQYHAD MNESTQMSMTSACMELHRLLNMLQNYALLNYTGLTKILKSHAKKCKSQESIRYVFAEK LETCAFSKAIQAKDELIRLETWFCRTFYDNNRPIAMAALMARKDEHVDFSQAYIGMKF GMLLMLGLWVLWDVGIIPSIQRDENHLRLLLTKGFPVYRGLGCVIFFNWLMGISMYVW RSARINYMYIMDLEPRNTKDYDQVFHDAGHISIVYLINMLVYYKVCNGEFPEDRVAHR GYVLLFLFVYMVYFYVFRQWRRKIGFVKAIGKIMGAPFFPVTFFHTFLGNYMLSMQRM NQDIAWCFCFFFSGEFLETDDMDALKATDGMTGYLHNHTMQSIIPSKCHSNFYYAKVV VPLLCTLPLWFRFLQSLRRIYDMKLWWPGVGNVIKFALAQIVVLFGIFHPFHNPTKPT EDITPLQQVWIAGFIVFSFILWFWDLTMDWGLGRPQFKFLAERHMYRRRSLYYVAIVI NFFLCFAWVLTLIPPSAEQALNHSFFVYIHPFSMLLEPMRRTMWSFFTVENEHLRNTM GFRKEQFIPLHYERGVGVADPNEHTDESRRKKRKNKVLILAIIALVVSLSFGAVTVIE DAPAR H257_06425 MSSRSLSAVLRSSSVASRAISLRGGHHHTPPPPPFARLPVPSGK PIPLNRDLVWSDSVAPELVLDFDSPHISTSKAFWSLVGALSLVTAFLGAVTLSNPDSS RKAAKRGDHLPDLRWEFGQIDEPEGEIEPLE H257_06426 MGDIDADVIPLAQAIAPSSAPATSSSIPRYVMALAVCVCFGVAL MCLDSNVVLLEQSHLQHSVTPKDHLAITSSALAINSHDLADPSSTTSSTSINDTATTS TPAPPPTTTTSSPATPAPTTTSPTSSLPHPIAPPSEPRLRSSTFPSSSGPFAPKSVRF VTLADNPHGGICLLASSIFQDDALLEVLAWNYSSTFFDGSTCGAACHGNQGNDNRYGQ QKKLHWLEHYVEKTSDLDDDDLVLFTDAWDVVVQASTQRLTELFLKHTQNQRGLIFNG EPTCGDSFNSEGIYGAKLRGKAWNIQVEPNQTPRLVGGNYMCSAIAAKTASNTLVPGP NWSLGSGGILGDVRSLRAFLRRVTEIRVAQEEEFKLHQTFLFEGDQVLFQIAYLTSPE INVKIDTAAEIFFVISYLIGPGDFNEYGGCASTGCSPQYFHDGVPSKFAWNGVEPVFF HFPGDYKHQFPSCANAAAVYRRQKSPGKYFFDVDRQRKVLVSSLCPDYS H257_06427 MEPLPVAVPVADNVMGQWKVPVSDAKESCSENCFPCTSLYDTMS RVSKNSMVYLVCFWICLGLGCARLFVVIITGPSILLADRLADRWFLIVLTLVVPSFLA TSVIAAARYKPK H257_06428 MDARYNLDDLEDFTFDRVMQVTTNDADCLRWCMSIGGVGSVVEI DETSLKKKSKYNRGTRHPECWLFGGVDRATKKWFGVLTYEDRTKPVLSAFIGKHIRPG TLIMSDKFGSYVSTNERHTLANNPALAGMGYTHQWVNHSENFVNAANGAHTQGIEGVW ESGHGPRHLHLATATSLVNLTNTDRNAILRRLLALVTPQSSTQRSTNLPKRHR H257_06429 MHHHRSDTDVALPLITLPLRRRASFSRPHKLATVTLALCLLVGA MGLSLNVSVLQQPWGPIHPSTANDRVHHADEIAQLHQNITNGRSNNSTNRSTPTALAL PTPKATVFTTPAPTTTTPQPPTPKSTTMIPGFVPRKLRFLTLADNPRPDICLLAASVF AYESSSVLNVLGWNYSSTFFDGTTCGQGCADKRDGKQYRHGQQKKLHWLSHYFEKNPE LHDDDLVLFTDAWDVVVQDDPQKLTQLFLQKTKLKRGVIFNGEPSCGDSFGNGGNYGN QLRQKKWTIQLTVDQKPRVIAGHNMCSAVAAKTASTDAAPGPNWSLGSGGFLGDVRSI RAFLRRITEIRVAQEKDFFRLANPSAFAGNPRFGQPLRQSFLFEGDQILFQIAYLKYP EVNVLVDTAADIFFVMSYLIGSEDFDEFGGSGSSGCTSLYFHDQVPSKFTWNDVQPVF FHFPGDFKPMFPRCANATAKYRRAPNPGKYFYDVDRQHDVAISSICPDYS H257_06431 MSDPFAGFGVFASSTPGARQGNSNSSNFMTTPVAPLYQQQGQPQ LTKQPSLNQNIMSQFQTSSSTIQTNSNGPARTQYMTTQPPAVSTTFNPSPFATSSVQQ HNPFADSPAVTPAYPFASQVSSSSVVTNPFGDPFGTHTPPPPLSTAIYQHQQQHFNAA PMSQFTTCPSATVQDFDPFSPKGSTPHLPFDFPVQTTSSPRQPSFTRATQENSRRPLD IAAYATATATPQRRTSVKDMLEAEAAVNKMSLGAKKVSSNDNGFAISNTSDIFAANTF ANLDDDFNPRSPANGSRRNSVDSDGGPQLTRAPSQELDDDMEEDEYEVMFDYGSKLGV LMERMDVYVKDNMQDQKRELAVVKLVVEGGAADRIGVKVGSVIRGINHKDMEHESYNT VLELIKTAPRPMTIRLKERNESKETSQGAVLTRISSGTFSVGNLTAGNAKWDSKYFAF GGAKMDVLQLFVSRAAYHECVIALYEKRQVSTQIQSFRLYRDHKLSPIKSKIYKGYGN LHYFSLTVPSLRFVAAKFASENYDTIKNMWQIAFEAIEKKKRQAY H257_06432 MWRFSLPLRTAYSSSLLCQRTYLPLSTPLGGSVASFSTFDEGKP KKKVVSGYESAVPKWKKDRADKFKQKMAGGGDRRGRSNDKFKGSPFGKDSNDRGGKQQ FKSFDNSRRSSSPFRTNKFKDNDKKPWSKFSNDKSRFSNEKPKYSNDKPKYSNDKPKY GNEKHDQQDSKRGSKEYSKGKASGDFTRGRKQQFKSEPKVVEAPKSIHDIQAEREAAW SHMRKIRVLTPGIPGSASSPSAAPSTPSPFKPFKATAADTLKGDGISTDRRAQRQLNK KNRVFDNLQAPAQDIVEPLHTFEEPDSPRGVKPRGLNVAVIGRPNAGKSSLMNSLLGF NVSAVSAKYNTTRDRVLGVLTQGDAQIAFYDTPGLVNLKDSHTYVRSLAITASETMPS VDMSLLVVDAVKRLDDQALEALKNIAISSAKEAAPIMLVMNKMDLVGPTERPHVAKRV QVLSDMIEEAFITYGPKEDDEFDEFDEDEDEVDGDNEEDDDDDDDDDDDYEEFDSDDF EDFEDDMSELELDPTKYLRDNCIKLSSFKDKDVAKLRKELLALAVDRPWMFHSRMKSD RSDLDLVTEIIREKLYRRFNQELPYQIEQENRGWTPFSDKSLRIDQDLWVPSDRHVKT LLGTKGDTLREIGTAARKDIEKLLGRKIHLYLNIRSRDPR H257_06433 MVRRAHGLLRQIGTLMWKNRLLKQRHYIATAFEIAIPALMVILF AYFKTLQPNTSIAAGFVTGVGSTNLFESSNGNLGANIGVYGGNAPTFGFVETSMTALL LALPQHSQYDFNRNETNDLVPSDKTICATKFLYLGYTNIDPTSPFAIPVECRGKFIPY KLAIAPKNSFTVNYFSQTMAAWYPQIPLSNQSHNAYNATPVVPSWTDSVMYFDSADAL QAYVSGTKYGTDTANPKIYAGIVFDTYPTDTQIGTAAPIEYTIRIDSTQREGGDVGNI PHTANNDPKFVDPLQKNLNRKYYNTYVRRGTNTLQTAVTKFLACLPTWNAVSMTTDGS CQQVQSVAASSPAVLQRLTSQLLQDEVLTDILQSVAGNPQLGIGMNVSTLLEALPLNS TLALLHPLKQAPQAYVGATTYPFPIQAYIKAPFYSMVSQVFSIIFILAYLHAVSRVIV VLTQEKETRARELMKILGVRDAAIVLSWYITYLLIFVVSSVLAALAGTQLFPNTSFGY LFILFFLYSTSIFAYGFLVSCIFSNSKTAGTAGNVFYFILAFLGNFATNSLEPVKMLF SLLSPVAFTFCIGTLANVEGVSTGLTAANANVPYGNFRFQGGLGMLVLDTLLYTALGL YLQEVLPQDYGTPRKWYFLFQVSFWRGSGVVHARPADKVADAAPDTSNVEPVGVDVQA QEEDGRALKIRGIRKTFQTPLGVTKVAVNGVDLTMYQDQITCLLGHNGAGKTTLISIL TGMIPTTTGNAFFGGHSILDDMNAIRQSLGMCPQHDVLYADMTVQEHLVFYGRIKGYS GAELTDVVTSKIAEVGLTEKRHVFTASLSGGMKRKLSVAIALLGDSKLVFLDEPTSGM DPYSRRSTWELILNNRMNRVIVLTTHFMDEADILGDRIAIMAEGKLRCCGSSLYLKSK FGAGYTLTIAKSSNSTLDHETSLVAFIQKHIPSAAVLSNVGSEISFQLAIESSPIFPT MFQELEEPTRQSELQISSFGVSVTTLEEVFIKVAEISDDDGQHTLDKTKSGDKAAAAS SYSVQGLNRTAISMFVQHLFALVQKRFRVAKRDKKSMIFSTVLPILFLYIGLSSLANS SLLANDPKIRLDPSVHFANGLNTPAPFVCAKDDGGVCSKWGQQIQRATPAAIPLTDLS SDPSLTVFGISYSASMPRIKQQYGPAADTCLLTAEKVFRRGYNDLIDGQYAAFVMYAS TADQILSYNMLVNTTATHAAGVFKAALDEALIQMLNGKFPVTVNLYPFPLTATVKALF SSALSFVACIIISLAMSFFPASIVIFLVKEKTNEHNAKHQQLVSGVSLPAFWLANYVF DMLLYVVPLAAALIMINAFKIQSLTGVDCAACATDTPAAIVTIFVLFGLAIIPFTYCL SYVFKNHATSQNYTLLINILIGLVLMIASFVMNLFDSTKTANESLIYIWRLSPLFCLS NGLLKLCLHSLLGLFTTSGAVSAFSDDIMGLEIKYLAVLSVAYFICAAGIDFALSFPK IKAIFVRDPKLPHVAHEEDKDVADEAKRVLDGRADKDMIVIKKLKKVYQGNKIAVRDL SFGLPKGECFGYLGINGAGKTTTMKMLTGDILPSSGRATLGGFDILTQQLQVRRLVGY CPQFDALFDLLTVREHLELFAKIKGVPWKDVNMVVVEKMRQMNLNSFEHKLAGTLSGG NKRKLSVAMAMIGSPPIIFLDEPSTGMDPVSRRFMWDVIADVSTTQKESTIVLTTHSM EECEALCTRVGIMVGGRLRCLGSVQHLKSRFGDGFMVHAKVELAPPDAVASFFDLAVK VHCATPSVTWDITHADAVKLCTALGAPERADWLTPKHATGYALEAVWESKGKLPVGTF CAWWVGESRFHDLQAFLTSTFVKVTLLERQNEHSRFKLHENGTTPLRLSTVFAKMEQH KAALFMTEYSVSQTTLEQIFNSFAKQQDEETIVARGVEAK H257_06434 MNSDLSIFSVGSYELLGLLSPTESTVLRPHLAPGHMHKYLSSES GLAMGVVALHSGDILYYFQVNQDNHPVPSSAEASCDLVRRLARSFTCPVATAIASQTQ FKVYVWHASAISNVETLHKQNVVLFGDAAHQFHPFSSQGVNGAIDGIVEFVQLLQAHD NDVATVGPIYSQRRLDASKPVVAEGWFLRERAFNYRWATVQKGVIPLTAADPDFACSD RVVEFLQNEVATKVFPYAPAMGLDEFRQTIAGYFSHHRVVSPDQVLAANSAASALSVV MNYLVGPNDAILIPDPVDFLIPLTAQRTNARVIRFPVTRSGLDLAVLEAHYDPSVMFL AICNPHNPLGFVYSAHDLHALAGWASARSITVISDEVWADTVADKPSFTGFALAGFRI GAILAPSAAEARALADHGGFVSTVEGVSSLSQRVRFGMAWRGTKRSRRTVRLLRRL H257_06435 MLPAYPTTMRALFLVKKVTTFQQEDLASCFEVREIDVPTPKYGE VLVKVECSPINPSNLSMLQGKYNSDNDLPLPSLTGTEGSGVVVASGGGIMGWYMVGKR VGIVKSDQGLWAEYVTVPAMTCIKLPHDVSFEGGSSCFVNPLTVVAFVELALARGTKA IVHTAGASALGKMLVKHAKDNGVDVIAVVRRREQAESLQTIGAKYIVDTSESDWKAQL TTLTESLGATLAFDAVAGSLTGDVLTCMPNGSEVQVYGGLSNQPVSGVSPSEMIFKGK NVTGFWLVPYLAKRGTLGRILMIRKVTGGLNSAFKTTISKAYPLEDTVKALHDYTGNM SDNKVAFKPSQTA H257_06436 MVPSRTGSGPQPPGNGVRKDISSSRRARTPTSKATPSMDSTSRS KLDSSVRVALRARPLNSTEAGRGDTSMFRFLSNNRTEMQVVSAGAGGRELVRNFQFDL CASEDMSQDDFFTLSGITTLLDSALEGYLATVFAYGQTGSGKTYSMSGLEEKLGLSKE RTTSQDYDDPSDGLIPRSIRYLFQSVASKSPDTTFSMKASYCEIYNEQAYDLLNAASG ALNVRWNDRNGFYVQNLLVVQCDSMDDVMAVVDEGHRNRRVGSHEMNKDSSRSHSILT ITLDQEVLDPNDGHSVVKYGKMSFVDLAGSERLRDTKSANAEETSSINKSLLTLGKVI AALGTKSNTQVFVPYRDSKLTKLLMDSLGGNSLTLMIACVSPSPVVMEDTLSTLNYAT RAKNIQNKPTVQMDPKESLILGLRKENQVLRTENAFLKQQLRSAGKPTDVLRSPSLTS DGMKPPMRIAPLSGRVPNMPEHEAPPSAADFDSLNGVMSAYQSQLIFLQQENAQLHAN QGYSEHRLKSLMQENELLQNAVDRRAQPPAVDVRRTNDAMDKLKAENDRLKEQVQHHR DKSPTDFDILDQVRQMNVRVEQLQKREQELMMALARKNRSRE H257_06437 MQATLSWSSKNSMVLDPFASRLAIGDEEWREKIRVLDELQSFVG IHASSLTSEHLNALVVPFRTMFCELRSSVVKKACDVFSELAQLLRQKVKPLVDKVFQA MMEARGGSNKVNTQAIHACIESVIHVVVSKSALTSMFYSFKHTKNGQIRDSCLQYLWI VLTNWNPTVLEAVKPRIQECILSSLSDASPLCREVGRQCYGQFIALWPAKKLELQAKL SPTAMKYLAALNFADKVVPECESHLPRRKALHDISNTADLPVVKKRKDYMGRAIEHPA HEDNDDTKHLPSQIATLEAALQLSKQVVAQLKAENESLRIEHRQSHVRMRSLQTQTTM LETLVKDADLDQQRQQVDATAAIQSKNDENRRLQGLLTSALNQVDRLSSSQWKPSAGP CRRHRSLRTRAYSLDAAVGETCEEAKSKQSTDTTRLFCQVKSQPSNPDDQTERLQNDL ANMTATLESVQVEKAQLNDQVDSLGKQLEHLQKTLHDVQVDGAFEQTKHLNMEAEFVD KIHDLEQQLQAATAENEVMTEQLATSASTCAALKAEMDVVKSQLSKVTADCTELETDR QAVLVEIDRVRTELTSKLARKEVTLDRLKTENTMVQMKVEALMKTNEELHSIVKITED AYYQIREDVSSQSLEEVECMAGVKAENDSLQARVQGLLKQLDAAESTNGQLRDLVTEA ETDKEELKDNLQATVSQLEACQYRADMADDAIGKVRRELSAQLQAKDEELMNLTFDNQ ALRSQVESLGQRVDELQSCIKFTEDAYYQMREDVSSQALDDVASVAPVKAENESLQTR VHDLLEQRDELDHVNQQLRHDVESLKSTLNQLHERETGMSQHLRDVEAQLQTTTEENC QLRSDLCSQSLLDAEAAEKSQHETQVLQIQVQSLHKQLVGLEAVLAVGREAYRKLQSD LDVQSHVKTDNDRLRVEIAALTDQLDALQAAEQAASSSKEELMVLSAKAAEAHAELKR VQSQASTYQHSIRDLEARLQSLQQDNAQLHAALATQSTKQVDARAKLKFENQCLQARV DSLQRQLLDTSRGICDMDISMQDEVCIELTQRELESPSNPSKPTTTISPEEHKASNKQ PAPLGAASRWNQQVFAYRERGNLMAQRQLNDSNILKRWKPTP H257_06438 MVMLGDVLVAIWYSLATPLVVLFHRPHAKWSYMEALSQRLMSHF TPLGIDVVRFGFAFFGRVQRLAYWPSAVVDTSAVKGLWYGDIKSPLQDSVVIFYVHGG GFGSGTADCMSTSLFQPLLQSLEQSSRRPVRLFSVEYDLAPQHPYPRAINQAFDAYMW LLGQGVPSSNVVLCGDSAGGNAVLTLMQRGRRDNAPLPACAILVSPWLDLTMTSPFYD VKTDIFTKRTIVAWRDVYLNNDVTKIHEASPGLQSLDGLPPLMVMYGQTELMAGDIAT FVAKAKRCHVDVTEYLHPHLYHDFVIFPLGKPSRDAIHALAQFVVLKLDNHSNNTN H257_06439 MQPTDLFASCVFNDCLRVVPPGATKCDFHKDKKQCVVSECFNQV VARGRCSKHGGRPRCAHDTCMLPAKGGEFCLRHGGVSSRQLCRMAGCSKQAHAKQLCV KHGGGSKCFFPGCSRHSRKAGLCHRHRRLDTFCGLDDDHIQMHFDMVCAKAFEVTW H257_06440 MARKQVGSTNNTTRLATTMVETSEPTKEPSPKHAPSSKLPKRSA FWHISRTLVGLSLVACGYALGYLQHTSSAPTSVVQVSPPLQQSLKDGTALDEHGNLYP KYETDIVHVDGQHFLDPTPVCPVLPSILTPVVHIKDAMSPSSPLAADNRAFFLLNGQS HGVYVSWNGDDACLHAAAKSAALALGADRDRLAFGLRLYSTEGFAIPSPSAFPSSRIA HILLEYQVWVWPAIELGHERVVDNQFVLKTVGLSPLVFSVRDFFNASEADAIIAAAES KLSRSRVNDANATKAVSSSRTSHTAFLTPSPLTRDFQRRSASLARLPSPAYAEGLQLV RYAAGEFYRRHLDTFDGLDYLPKAYYSRNLEDFNAWAFWAKSQLKSLGSSVPAGFREG EPLHPEPSVNGTFALALVELFVEVGTADNYFAARYDAEWVTWMQSFVTNKTPGVLAGI LKEDGGKPHYLDKMVQVWEAKLGNLPQLRYTFPKRKVVNGVSHFYQWVRWAKESISAL APALLPLAQPWTPLHPKYSWRFLKVLAEIVQDDLSREYLTRQMNVEWVDWLVTNRNAK DTMLKIFAAFPHMAEVAIRAWESRVQAGQLLHYTMPTVIKHFVPNRFVTLFMYLNDVD EGGETVFPFSKDRLVTGIDRTGMEECSEGLAVPPTKLHASLFYVQTPDQDVDVMSRHG GCPPMKGVKWGSNSFMWNADAEEGADIWAH H257_06441 MTWSLVSIWLLSVGGLQTLDELRVRELAVSVGVELVQEVPCVAR VDVAHVERVPQQSGEFWQLNVPRSVSVGRFERVLHAREQPKHLAMDLLLHVTNDIVMG RM H257_06442 MQPHQARGSTGGASHGDQQRPVLASDIPLGDWVASKQELGRVSS EQDAASTTTNVPTSPRSAGGFQHSQRRHDPWLYDDSVQTSWREEKDSPPSKHRLHPVP QLAANHPSCDESPEGRSLWSHPRGHREPPAVHHLLDVIRSKLLARYDSLHESFLKFDV DRSGYISEDKFRHCLANMGLDVTGEEMELLQRRGGRRLGTAFKAADKDGSIDLPAFTR LLTEELDVREVDSSQIRTFFSHLDTNNDAKLSYSEFVKCLEAIPPTV H257_06443 MIIGATLGVGVFVAALAAAQVPTSSLTGLSKCKFSNAQTCLTSP QTNPLAAALGLGTFKRGAGMCSMMGVTPVVVNSGSTQPAYYVPISGEDAYTTSNLKNK FSEWDVANQAAFQLDCPLFYKDQILDQQKDYLCCTEDQYRTMQTQYRMIGGLCDVSKQ VLQNVWCNYACHPSQTLFMDVNQVHWYPSSTNASQSFPAIEEATYYVGDDYARDIYES SKGDLIAKVLCSPQAGCNSGLGLLKKMGEYQFNGIGSPNQVNFVAASSMAKEDKCSCD GNSPNASCILPLDGTLPTCAGVCGSVCAASPTPLAYTPGCNHAKTSGTTNSSAAPPMD STWESLYDYMDEPLTDSSAINIANVVGCLVLWALVCGALVYVYRNIQPSNPVETQNTS LMESLVSRAMASWGSFVGRHPIQVLVVMSVVAAVASSGLHRAEIEVDPIKLWVAESST AFQERDRFGKLFMPFYRTSQMILRPKDGGSIARGFVLKEAIHLQAKVAALRAVDGNQD VGLDDICWRATGTACTVNAITQYFQNNASHFDVYDQHDLALGHFENCLFTPAYADVQT CQKLAAKNVVLPPTMSDCPCLSSYGAPMDMYTTVLGQFPPTARDNVTLFKQSKAMLST ILAYNFYDEALNSKAKAWERRFISFLRDERRANAVFTLDFMAEVSIGDEIAAASRGDV TPAALSYVLMIVYVTLGINRWNWRDLRHSFSRANLVLGFVGIFLILVSVLSTIGLFSW GGVPIQIVIMEVVPFLTLAIGVDNIFLLVHQIHVVQSDCPDHLPPDVLLSAALAKIGP SIVLASVSEATAFVFGCISPMPAVLWFAAFAAAAVLVNFVFQMTMFIALLALDARNQP HSHQQQPQLAPPSSSSFPSAQQPPICPFHVMAAPQPTLNTDEEPPRRLVPNSDPVPRS FAERAVEFYASWLAKIHVKLSVVTVFFVWTFLSIRSAQSLDQGLNNKDAMPSQSYMVS YFDAIDAALETGPPIFYIVQAGYKANPPAFDFSDPTTTAQFCKSKAFCAAQSIPNMVQ ALGDRPQLTHMAPGVYYSWLDDFWPFASAQTECCRVDAASQTYLPLKPDNTTYMAMRK ASPSCLPATLSAPPIPKDSFMPLFRMFASANAGPLCSHGGGSIYRGQFSIDNHPIPIS PTDLALTSSSAADSAVSAVSYMAISTANPTQADYIATYKQARKAAQFMSETTGVHVWV YSVFFVYFDQYLTIVHDTFVLVGLSLAAIFVLHVLYFGSIVIPVVLTCVIANICITVV GWMQPLGILLNGLSVVNLIIAAGISVEFCSHLARSFYFSHSDGNTRMKAALRHVMLSV VFGISITKVVGLSALTLCDSRVFQKYYFRMYLTIIVSGLAHGLVLLPVLLSLAHDLTA KWQRKRVSN H257_06444 MLSLRTAGSTRRHLSTFNPSTVSRLLDHDNHDTRAKLRELFKDP IYRPKYNVPLHEERELAYKRLKKLCERNIISVKDFRTNPRNIFTTHEIAGMCDGAMAT KMTVQFNLFGGTVLKLGTDRHHGAFLDGIDRFENVGCFGLTELGYGNNAVEMETTATY DAATEEFVINTPSTKAQKYWITNSALHAQFAVVFARLLTGGKDEGIHGFLVPTRDMAT HAVLPGVQIWDMGHKIGVNGVDNGALWFDNVRIPRSNLLNSMSDVAQDGAFSSSVTSK RGRFLVLADQLLSGRVCIASMCMGGTKITLLNVVRYSSSRLAVGETGKSDTPIMHYQL QQRSIVPLIASTYALKFGLNYVKDRYASQSKDDHTEVLQLCCIIKALVTWNNEQVATI GRERCGGQGFLSANRFGEAIAGAHAGITAEGDNRVLTQKVTKELLTTVKPKDVAQHVV VSKLPGVLKRLALQVPSDVTSADGQLQLFRIREQHLLLGLASRLHKAKTNKESLFKTW MLNESDAIQAAAVAYGERMVLEKTIEAVRNAEPSDRHTLNSIRALYGLSRLEKDLGWF TVNEILTPSAGSAVIAESQAKCKELGGVAVELVEGFGIPEHMHHAPIAADWVDYNATQ NNGEVL H257_06444 MLSLRTAGSTRRHLSTFNPSTVSRLLDHDNHDTRAKLRELFKDP IYRPKYNVPLHEERELAYKRLKKLCERNIISVKDFRTNPRNIFTTHEIAGMCDGAMAT KMTVQFNLFGGTVLKLGTDRHHGAFLDGIDRFENVGCFGLTELGYGNNAVEMETTATY DAATEEFVINTPSTKAQKYWITNSALHAQFAVVFARLLTGGKDEGIHGFLVPTRDMAT HAVLPGVQIWDMGHKIGVNGVDNGALWFDNVRIPRSNLLNSMSDVAQDGAFSSSVTSK RGRFLVLADQLLSGRVCIASMCMGGTKITLLNVVRYSSSRLAVGETGKSDTPIMHYQL QQRSIVPLIASTYALKFGLNYVKDRYASQSKDDHTEVLQLCCIIKALVTWNNEQVATI GRERCGGQGYSIYIYIIYMKYGRFLSANRFGEAIAGAHAGITAEGDNRVLTQKVTKEL LTTVKPKDVAQHVVVSKLPGVLKRLALQVPSDVTSADGQLQLFRIREQHLLLGLASRL HKAKTNKESLFKTWMLNESDAIQAAAVAYGERMVLEKTIEAVRNAEPSDRHTLNSIRA LYGLSRLEKDLGWFTVNEILTPSAGSAVIAESQAKCKELGGVAVELVEGFGIPEHMHH APIAADWVDYNATQNNGEVL H257_06445 MFAPPREAALDGGSFDVESEADESESSTVDNPRGVREPQERPPH KALDISRPWMEPMMPQDNGYDDDDDDELYATNQAVKSFRQAKVSADLRRNQECHDDED DMDHTTRAVKRFPHRPPVMTYAHSDARESVDGSSFYSTTLHDPHYHANYDHAKEEEEE EGGDFPSTAAVYNTRHNHPPARGYLQRGENRLRTTSPAAYSPIVLADSSDSEDDPWTM DQRNQQVVLDGHMNARRSPPIPGVKPPPAVLMSAIKEPQASVEPVNQASRGLQHDITS SPEPPHIQSPPQPHEQPRSSLPRNEPTLTEPVGDCDSDGSISSEDNSVGSSPANSLVL DSVYETVVQPSAAGVIVLPPSTSRRTPHQRRDSTDPVSTWYPAPALVHTATQDSVSAQ VRGILQRKLKRLSKEALEIKACMPEYRLEKADWMLARKRQSDLHLLTISVVARLPMDV LDSMSGPSELSNRSVSSTSHCGYLLKRGQVNVAMQRRYMVLQHNQLVYYKTNPELNKG GWFGKEKPRGSLHLANVSLVRPYLTTLTLELVTTNRTWVLQAETDGDYKAWARAICRS VPYQVVDVVFRRMFQLAQVDASNVNEVRVVALPSYTVLETVEHIFVNYVQMAGALPLK PVDPSDFCLKVTGYRDYMVNPTASLDQYSHVQDCLCTKTTLCLTILHRNCIATSIQQA ILGDHQRLSAGSEQQSPTAAVKTYVDPVVGSGKYLYPVQFAVHQVQSLSRQDKSTHVV VVADLVYGGERLEKVADSAEVRLVGGTNGGKRGGRWPQPPRWHKSALNVSALPKETRL VLTVYGFQPPQMDKWTLLATGGVNVFDADDMLIQGDLTLPLLEGPPSSCYTGPLSQVV QMDQPFIQITVATPNLPVKFDWSTHWGTVESRDRSLDRSGWLSERPPTGLVWTDKWVQ LTQSTRSLVLFADNKSTGGPHQSLPLDGATVEATDALNRRHTTKLTPSTRREQQTWAF QLQLQGSSRVYVLAARTRHERDAWVKTIQLVASSSDVLSDMTCRDSDQTTRTSLIGQF AKPVGLAQAAADLGEFDYLVQVIDENPLYQLCGFEKAVLWRHRRALLGSFEALPRLLT CVNWLDPGQKADLLALLPSWTSPRHPTSYITLLECVDSCVRRFAVDRLAKLTDSAFRQ IIPQLVQAVKGDAHHTSPLSSLLVERALTTPTPLGVDLFWALKVETHVPQHRERFGLL LNAYVDVCCAATRSMLHLQDTLFAEGGRLEVVCAEIKRLKASGASDKDMQASLHSQLD LLNAALPEHTYQLPVDSRVEVGKLVVPNCRVMSSAKLPLWLEFENAEAGTVAVIFKSG DDLRQDVLVLQLLRVMDDLWRDNSMDLALDPYRCVATGPTTGLVQVVPHAATTAAIQS RSNRGILGAFHLDCFSNWIDQHNTTPKKVKAAKDLFRRSCAGYCVASLVLGIGDRHND NLMVTTSGRYFHIDFGHFLGYFKYVPLLGMVNIKRERTPFVFTPQMSHVFGGEHSPGF AKFIKTGADALNVVRRHFHVLMSLLLLMLPAQLPELRHRDDLNYVVDTIAPEMSPQDA ALMFEDLVRQCHSCKWKQYDNAAHLAYHA H257_06446 MQAAADAPSKEDNNGASPARTAPPAPPLQLKSDRPEDLDDDLPD MPQIFSTRAPRDAAAGFSSGLKNMGKGLAAGVGSFVAMPIIGAKEQGIFGFAKGLALG TASAVVMVGGGAVTGVTQIARGIAATPRAIISSNENKVWNGETNQWYVYNLQEEAAEV AAADAAAPVLEDKVVKETELYDVLGVPTNATDVEIKKAYRRLAIKLHPDKNLNDPAAS ANFQKVGAAYQILSDPASRTKYDEHGSDGVDQQLFMDNSQIYDMIFGSQAFEAFVGEL NLMTLQQELSKMNPTEGPSDPSDLFGDSNKLKQKRREVRCAVHLATLLDDLYATDVSD MHINFRTSVTATAAELAATAFGGTLIGVVGYVYEEQAHKHLGFRKSVAAGLGFQNVTK SAHVLSTKYKFLSSAVSAYSKYSKAAKKVEEFEKKKQKNAADATPQDAGNEDELARQL QHETLGGILETAWNFTVMDVEATLRSVCFKLFKDASVSAAQRMKRAEGLAIIGEIFLA SSQTSEAGLKEVMEKFNMADQHPADDSVPAGTPSRSQ H257_06447 MDCGIFGQCFPRQSTHSPAGGDSLERRVGFRFGGFDSQTKIPDL TISLPLSAPIVTYGMTFRRAPSHLQTRYWCQVDKVEVGSEAEAAGVASGAVLVEFDLM NLKNVYYDDVLSRLKQAQSHPSGGVSLIFSQPSSSEARKPSVIPSSSGPKWPVSATTL DEPLEGRARTNSTMTQFWMSDQHAKCCVACDALFTFCRRRHHCRSCGQIFCSACCHRL PLSFKPRDASMHWLRNQLVCRKCHRQLKEGLLHQPAAAPSTTISTSSTYRRNYPILRY PQQPAPPPSTPKLVADAPTASPALSSLSETAEPNQFLQDINPALYAMFPKAVMVPPVP VKAPSSASVFHPVFPHYSAKAALPTLRRCGSDPDLLHPPSHRHHVRFRGLFADDDSSV DLDMDTSSHRPRGTHPSVGNFPRPHHPHPTRIPVASYPASDAMTRFVRDGSYQLSQSC TKASEVPAVQTAAAAHRTRMADHATAFILDRIRSMLGATPLPGLSDRCAFVDILLSLS THAASAVEDGVAGCRIKCFPGGSPSDSFVVPGVLLRKRMARKSMRPSVEFPRVLVIAS ALDYHKDKESFSPLEHVAGLETEYMRIAAEKIKRLRPDVVVFQRHVHRVAEECLAASD VVVVKNIKAEDLTRIARVTGAAVLTAFDHVDKMTSEAILGTCRRFRVWTPDPPEPSSH ASTRKSRKQCVVFETDSPALGVTLGLRGAASKVVLMALREIVVQAVHVGYHLRLQRSL MTAWGIIPPLQTSHSFSPTFDSMYLTLRENSPSQRAFQSLQRLKCPNCKVADAKLTRR RSSGNVRRHLLQSPSSGGDPPCTCPASLTSRPVLSGTQLVVSTCWSRLDTLAPSVAEW NVLQFYNANGDCSLGQFLAKYCFDTAAAVFRTAFKTHQLSFTHDVGRVHIRVLVQPAQ RKQKKAAAGDMLVDMVNSAKNAVQSSSTGVPITWVAGPEPDQAAPVYVDMTPDMLAYS FGKFLEDLLYMRTLPTTSLAVSTDEYIRYFALADVVVAVAVERIEPVATVTLSPTLWH ESSRGSVAVDDEFEDLVQLAEQVREMTLQKIDDALVDLSVMQQTKQLHTRELPKSMDK LATLRGSVRFWCLSLTQKVRESPPVDAFARHALFREIYQHAARMCVELRDSTSTQSTF RQGSEADTNNALPTWWFQQMAAAEEQQQHPDRSKRASVDSTASVAEFVHRVNKGEYDS PVLDDNQGGFSAVVSTGITFFDSSVDYPMHDALSSQSNSLSHSESSWNRTLVVGSDVP KLGMTKSSHFLELPPALLQWHPSLPPGVDGVVVLVNPSQPTSVVAYSLCSVEYTTQLK DWFVSHDDHLSRPHLLTMLTSDQRSNIEHLFVDDSEFQSATKFGCKSFYATQFHALRQ MIEYDERRFVESLCTCETWQVSGGKSGAGFMKTKDQRFLAKVIPSNQMQMFLNVAPKY FEYMGKSIDEGSPTMLSKIVGAYRISIGDATMNLLVMENLVYGRHVDVLFDLKGKMEG RSVADEGGGAVLWDRNFVKMCGGIPMPMHEAAMEVLKTAMLNDSTFLASVDVVDYSML LGYDRQKQEVVVCIIDYIVKYDLLKRLEHHGKRLLQDEGEITVLNPKQYTKRFQTAMT KYFTPIPSRYSPILPRHDNDM H257_06448 MGRPSKKLPKRGASTLGMERMVIGVDDMVLLPSVSENGILENLK ARHGGDQIYTFIGHVLVCVNPYKWLDIYNENMMRYYAHKQRIDVVPHVFATAEETYRT MVRDEENQCVIISGESGAGKTEASKQIQNYIAGISGSGEGVDKVKRTFLESNPLLEAF GNAKTVRNNNSSRFGKYFELLFDAAGRPQGGHVTNYLLEKSRIVKPGKGERNFHIFYQ LLAGLPDAARTSFGLSSKASDFQYLRASGCYTVDDLNDADEFHATMAAMQHVGIKKKQ IELVVQMLAGILHLGNVNFEPVQVQNAEGSRVALNEATESSLDLACRHLGLTAPELSR AFCYKWLHTMAPGGKVESYEVPQNPDQATSQRDAIAKFLYASVFDFLVERINNALDVD NTLHKAADLASIGILDIYGFEIFDSNGFEQFCINYVNEKLQQIFIELTLQSEQAEYAR EGITWTPIPFFNNKVVCDLIEGKGPPPGIFFILDDTIKTMHSQHGDGVDLTFLEKMAR VHKGHAHFSKRGRQFEIKHYAGNVQYSVLGFGEANKESLTNDVMALVHQSSNKLIQYL FHQTNEEGDESHPGRKKLALTAGTKIRTQCAALVAALMDCQPHYVRCIKSNDRKQPNN IDDKRVLHQIQYLGLLENVKVRRAGYAYRGDYGRFLDRFKWLAKETSREFSGKDAAGC KYIVKAAQKDIPALQDEVQWGQTMLFIRTPEAFFALEKLRERTFGVFVSRIQRAWTKY AGRRHLLQLSADISKLYAKQGKGRQRVSLYRPFDTDYCRDSQVRAAILAVLQYHGDDT SKLLFCDNVDKISKLGIRQPNFYLVVTASAMYILEGQDPASSVDPKAVVPPLVSLRRR LPLSAIEGIVMSPFADPFLVLRITQTPVLPTPDVSHWKDNKSSASCMATNKKFSLFTR RHHCRVTGNLYCADVVSNLHPVPDRGCYTPVRVVDSVVGYFSTDMAEDVCLASEKKTE IAVVIVNALRTISITFDKAIRLRTASVLSTSPSDTLTFETGAATAITVRPGNIVITVA AADQVPAQYLEARKKRERRRKKQRDAQRAADEAIRTARREVREKEREEERLRRVAEKK ARKASERAKRSSSGGNLATNGANVRKFGEQLALPQSNATSELAAALARRRGN H257_06449 MTNLPPHLATKPTDDASAAATAAMAVRMEHITEWIGGTVNMRIE GDLLAILKDGQVLCKLANSLNASIHINKLKTTFHCKENITAFLQWVHRMEVPEQDIFA ADDLLWGNDLSIILRTLSALYNMFAEEIRASIRYTQSEASYRSNSVVSDGWSSDDSGL GDLHDDSADEDHADIAESIFVRENRSSSKFAAFMRQIPDAANSPPQSKMSAFLAKTPV VPPVVISAPPSRKKSVESNMPRNESVETRSTNSSVVDTAPSPMHHHMTKPKGPPKTFA RSPTIREPVKATSSPVATPALMSADPRTNLANLIMQTVPLRVSPAKDDDDEMMTPPSS PKVHIPLQTPKSSHVIPHQVAPPPKATSIPPKPTFIPPKATFIPPKASFIPPKPTFIP PKATPIPPKVATPSSAGPSPSTPAKPVNKLAGFLAKVPSASVSVPPAAPVSKLAAFMS SPSTFRPSNPPTPPPTAPSVVRKAKPAFRCPRSSQSFRWVTRRRTYAPLYPPKFDKEA KRQEFMTVQAAVKAELKTNHASKGAKNAPACVPGMLCYIPDDTETWVLAEIESVDARR KHVRVKVAHDSSNRTIDLKDADVIRAIGGTQATEIDALPLAIAHHEGVEDMRNLRFLN EPSILYNLKSRFEAAQPYTYTNDIVIAVNPYQWLPALYSDALHDKYLRNAKESLPPHV YATSTRAYKHMMKPGKVRNQSILVSGESGAGKTETTKILMHHVAAIAGGRQDATIARV IDVNPLLESFGNAKTTRNDNSSRFGKFTQLQFDLKGTLQGGRCETYLLEKSRVVSFAD GERNYHIFYQLLTGLPAQDQKKLHLDPSHAYRYTGDVRDMHIDGMDDAAWLQKTEKAL GLIDMNATFRWTLYTVLAGILHLGEITFVATSETTSAVDKNRNNALNQVSDLLGLPVD TMEQAFCNRTIASNRESVTVPLNAIEAAENRDALAKAIYANMFEWLVQRINAAIAVDL TSGTEIGVLDIFGFEDFKHNGFEQFCINYANEKLQQKFVKDVFSTVQDEYVREGLAWD HIQFADNQDILNVIEGKMGIINLMNDHLRQPRGTEEALVNKFRTNAKDTGVIAFAKVK RTQFTVKHYAGAVTYETVGFMEKHRDALLPDLLTLVQTSSMAFVVDLFLSDDDNDTTS SSGSSSPREPTVVPPVIQAWNLRRKGSPVSTKPEDTVDKAFGGRRKAVPPKTLGQQFK TSLSKLMDTIEATNVHYVRCIKPNAVKSPTDFNKPMVVAQLRSAGVIDAIRISRAGYP SRLSAKELATRYALMFPPSMVGKGKSVDDTCAMVLAKMGFQKPVEYQMGKSLVYFKAG VLEELETLKSDFEYQQATIVQKVVLGFLARRKFGRQLRAAVTLQAFGRRVLEQSYLRR MRHAIVSVQVRFRHRKQHLAFMAKRNEAREADANARAADERAEAAAALFAAKMEERGE QEDVPLVVGRKPLMLPPKLDESNWNEPSPRSSQSTPLTSPEAATSEESFGSALSRAKQ AEVDANALMKRSDAMLAANKSFEGEVEQLREQLDRLAKENERLRMLLKEHKINPDAAS PGKRS H257_06450 MEQQRPLLSRTASLSDSCPEKKGCLSSMLFTWMNPLMDLGNRRP LEMDDLFQLNPDLRADAASARFSACWDMELRKASPSLASALFRAFGAKFVAAGVLRFV RDALQFIGPFVLQRVIAFLLTPDAALSDGLVYVALIFVGGIFQSFCFRNYMYFVFETG LLFRSAIVTAVYRKSLVLSAGAMAGRSVGEITNLMSIDAQRLQDLLGHLHAIWYGPFL IITSCVLLYLQVGPAAFAGIAVILVVTPVTICITRVMRTLQKQLMQVKDSRVKMCYEV LGGIKVLKLQAWETNFAARVAAFRTDELRQLTSYLLARTASTSLFNGVPSLVTIATFT TYVVLGHSMDTSTALTSLSLFAIMRFPLFVLPTVINAIVEASVSFQRLQAFLLEAERT PVGSGALEATGILLERAAFSWEQPVLPKRRASALDDTVSDVSFQMQTQGICAVVGGVG SGKSTLLSGLLGDAPCTKGTVSMRGSVAYVSQQPFIQNATLRDNICFGLPYEYGRYTA AVGVCCLEADLRVLPGGDLTEIGERGINLSGGQRTRVALARAVYQDADIYLLDDVLAA VDAHVGATIFRECIRGFLGGKLVVMVTNNLQVLPQCDSVLVLSQGRVVEHDSFAHLVQ MPEGYLAGMVANFKESDAPESQTEDNEPNDDEFGTTPGHALVRVPSSEVADKACSLMS GEDRSTGDVPWSVFENWIQSCGGPYVAARVLAVFVLAQATNVAATLWLSYWSDQDQRL DVRSHRQALFVFIGLNFAFALLIYLRVLGLYLAGLQASRALFSAMFTQVLRAPMHFFD TTPLGRIINRMSKDMYAVDEDIPSTWVNVLSTLCSVAATLATIVVVTPWFVTALVPLV CFYAASQRFFVKTSRELQRLESISRSPIYALTAETLDGLPTIRAYKVERSFQKRYMDL LDCNQRAYFLNFSANCWLGLRLEVSGALVASFATLFVVLNHSHTSAAFAGLAGVSLTY AFSVTPALNMSVRYLSQLQTQMVSVERVQAYAAMPTERPLRSLVPPPPQWPQHGRIVF ESVDLRYRVGMPRVLRNLSCTIQGGEKVGVVGRTGAGKSSLVVALMRLAEVHGGRITL DDVDISAIGLHDLREKISIIPQDPVLFSGTLRSNVDPFHMYNDEALWAALKRVQMPAS SLDDVVDGGNFSVGERQLMCIARALLKRSRVILMDEATASVDPDTDRSVQRSLREVFC DCTCITIAHRLHTIMDSDRILVMDRGSAVEFDSPKNLLKRRASLFASLVAHTQTS H257_06451 MDLYRRKKSPVSLWGEVASDKSTQLDVKDKSSVLRYAPDQLDEL KESSHVQSASSIRQKQLLALKQARIGKPNKRFESGTALLPSSSTPHPLPSIPMSAYHR VQQVPWENAILWGDESDPTPAFVPEEDETPFGPSSNLPRPHLAHRQIPVQAPSTYESS TFEFQDDDFDMTKPRQYRWEMPRRPRSTAAVSSSAVEKKVLPCALNPALLQSSWLDAI GWDDCADMPPSRVLLDENDVHLILSNPLVENVRATLRIPQRKMGAIEIKKEELKKQMT DKNTRLEVVRGNLDLGQQTAESRFGEVKRNKDTRIIKNMGHVHHSLPAIKLSLTKPEL PLAKLREFHRPRGKFKIHERMPVASAKNAEKSGAKSVKVADADLNDPTLSQIKKTSDL NPTAGGKLLLLEYTEQFPPMQANPGMASRVLHYWRPPDLRGEGKADEVTGKGKDKKKK PQPPSVSLGEVVTLGDNEDSPFVGDVPAGKMVTSLNSKLFKIPIFPHTPRTNFLESYA ADKYEFFLVARSVSKKGKGASTMYLMELPPLYLAGQVEPQIEVPAPNSRSANDFIRPY MSFHILRLFKKTSDGERLKIEDITRAFPNQSGTAIRKRMKEVATFERGGNDSGWWKRK QSSDVISEEEIRASVSPESVCLYESMMSGHQRLLDMGLTKQFTPTGVQAAISHMTKRL KFRENLMSARMLQSQGLSGRQLAEEEKKLWNRDPVLVTLRNDIQIARSINESLLLTPW NLTNGYVECHLQGKGSGMLQLGGLGDPSARGDGFSFIRVPQSRAKKKEESEELDVSEA EVQKAVAAVTGTTADLRKLKMKEAGDVLKNLGMAEEDIMKLRRWDRIFMVRELSTRAS AHGMAGTLNKFVRGARKSLSAQQQEYRRKCDVIYVRQLEVLSSVEHDFQDDSDSGSDD DDGFIEDLEDDLLGDDVATTNSSRGPQGIFKAGGGGLTRSKTTMSERDDAHELRRLME EMKGGGSSAPPASGGGRVSRPEVDTSNLRSQLKASGIKDSLNASVSSMTSTKGNSVAS SAIPSPADPASRAQSPRAGTSRQAIKRTTRIIDDDGTESVKIEFVVDPKAIGRFKAAQ SFKERQQKDEERNQLRKRKKLEGSETDKSVLKKQIADELKQLKKKEEATKGYVEMLEK GQDVAAGGRGAIKCTACGQLGHIRTNRNCPLFIESKADQATKGLKSDAPLKLTLKKSN LDDIMKDDGGSLTLNLADLREGARKHQIEKKRKRMQDVAESAEIYKKQYGSKDKKTEH IRLPIARLNGLLEKVLFNLLDMAESALFRDPVDGALIRDYYTIIKRPMDLKRMHAKVR GLEYDSMRTFLADVELMATNSKMYNGEVNPITKNALKLLDRAKDDLQQLNTDGALHSL QKLARTQSVST H257_06452 MRGKVLLRASEYQWISRVMVLEVGSLSFFCPKSQCSLECVQLRD ATCETVVVSSDHLHCFRVIEVDGERKTTNEWIIDVSSSQVKQKWISAVQTNILELKRQ RSPITGTSTSTSSAESSSTTPLPSDHNPDRIDTARRLIHDLQAQRGSVFLRGKDVLDI LQKHIGCKSRTEAVILGNTLLNEGYFKHMVSQYTLLDDDCLFETVSPTQVNLQKQFQT MPDDDGDEAEQSLQNQSNGYYCPELHNLFVSMLSEIEIAYVVDDPRPSEDMSISGVDL DRSSLAFDAAKELTLKECIYGSDAVECLMNAGLVNEDAAMVLGNQLLARGYFSPMDND TDSFENARKRYVLSSSITRSVAPTAEETLMEIQCQEEKYRQDLVTCDDLKVHGMQLLA LSFLVILLLDSLQSMSIGLKFLLVAFCVGGKLYFHDPLIAAAAPAHLPTKLTGSPPKK PKSKRPPPSASSLDLPSPSTLRHRKSPDATTPTTTTWSDSDSPTTVATAAPVVTLTAE ELARVAAFRTRLPITDENAFLFTDDYMSSVLNVRNRTMTYAAEKLQRCIAWRQSYGAA TITKDEILPQLNNCSFYWCGYDYHNRPIIWTRPKLKNWAKMDTALEIRAHVYMIELGI KHLMPPGVTTFTLVTDCRDVGYREVDIRLMKGLMEVCSGNYPDRIGAICIGPLTTLVK TLTRMLSPLLPVRLREKAKFMKSPGKELEEFMAPQYIPKYMGGEADHPLSDVAGEFDF EFMVTQQRRRLDQLTLQS H257_06453 MSYDMGFIFLGITALIASVCVVPPLAKAVIAPLLSSAVASGAKT YVEAFIKVFYNVLEGTITVENISVQPSYLYSMRFSLPGPVEVTKVTIAKLRLQMPALL GANGVYSYRMPIQITDFHVEGKIDDSFEKDREEYFKRAIENKLSEANNATKLVLEYIS RFQPTDPRVPPTWTQKLTRSIVETMELSVNKLTFSFVGNEPATPATTFKLVTDHWAVR CTPNEDTLKTDRMMVFQNLGIAIGDTRVISFPTLKLDLNMPDVYEVMYSSRPLPQKIL GVKFDPPSMLMTLSPDLYTSLMRFYICYSKYCSLLDELEAKQADASLPSLSTEDTLRY HEAFKWDPNANAPAPSDVVTELEKSLTLSAILHLRQTVMKWGVALRAAGQDAVDFDVL HQNLATDAASFRGKDVAVQVDQWGIKFYDGTDSIEPIGDLVMSNVYVTVKTRLVDDLS TELTYGVRNLQLMTACPSMECQRSQLISHATVQPLLFGHLKQFKSGHMDVDLNLENMA LYAAKDPLEHFLLYLDRLQMGAQAAKTAAQSTTASPSTAVVASVYHAVPSNLGDVGSC SLLSGALYDINVRVMDLSIFLIPPVVEHTGSLLECTLTVVLDIDSDLTHERISVAISD TALSPRLYQAPEETTGFPTIFAPPSAESLLTPMTIMTDYQLKLLTDDEKRTQAVGQDL TRPQFKQGLGVKIPDISLSFSQLNFAIFWSALTNLSQIETTTAEQRHKRLEIESAARE AELELQIKKRLDHAQMQFEEIDVDGTKSIEVDELQLLLQRAIVHDQLLLTELRELSTT IFNHIDKDGSGTIDFDEFRHFLVETMNVEYVRGYLDLFAGEFDCVDTVQARFGKIMPF EQRDSIIDWHGYFLRVVKDNPDAFWAVYTSETGATKTSCNNQPPQLLQKKLVRLLQNF DAAQQCWQVIIQPQLTDEGDVAWSVKRDMLTGGLVEFESAGKLMMNQEPKHEETSSQV VVEAALARLIVATNMSLGNLRVEMVDASLPIEARRAKFVVDRVTCQFNMASDIGAKVY DVNAVSSEWAGTMGFHVSAECYSDLSCAMEYIIEPWVFSMGALSKKGAEGFHYWGEAE KLFQVNMSASLLQLLKILPEIMSGTLVIEDTPKVQKRQGQLPFQITNSTGVELAFGSD DKEIVLTPRSVTPIEWPSRDNCRLAIPGWGSKADISLPRFGPQEISVTDPSTSRSISL IANCRFDDPNDGSVTLKSNVYLSNQSLLDVQLKCLIHMAGFAAFNDEVRTVAAGDRVA LPISVFMGDTELFVRPVVGDEWTTNVTLTNDMLLDADSSDRAITKSTSTSSLRKGTIV PIQDQRRSSKLVKALTSCIHLYRHVMADNMIQWEIAVYAPLVVQNALPYDLEYVIFEY RAKCDMATIEKTLYEASPPFRVKSGCYADVSGVTGNKPGYLAFRLVHKDTTSRWSKAL LMDMKPQGGVEKFTTALEKCELEAHLTVNLQRMSLPDKPRVVKFSAPYWVYNKTALGL EFRLPDDEGSKVPATVTVHPVFNDPILLSMPKNRLSFRPVTGAGAVLPPSWESLHSTD HRPPTVFSQLTSPCPWSDPTNVSAVQTNGEICCGSHVIGLDIAGLMGFFDGSIALSLS PRFIVQNNTPFDIQFRSFATSDSDQILSDKHLSSLDVFPLQSHTNGAVFPFKQLNKEP LTKCHKYLSLAMHGSAVWSSVISVNIVGDVYFPLKCTTRKREYIVKAAIQMLGTHVYV VLSDASSTPPYRVENFSMFPVTLRQAEQKQGGVTLDRGQRYDFAWDLAFVNDHKLEVV IQNQKYTVDIDSVGRVKSTNLLQGMLDGQSKCRLEVVPVGTTRVLRIIDAKLQQLDAL RKQVPSNVARTTSWHATSIDVRLAGFGLSVLDGYPQEVLYFSMENIQVRTKPQSLEWE VSIFHFQVDNMLAGATFPVVLSPVNSGFNGDDVPCFKLVLERIESLSDAYGILKLMDI SLQPLSVKLELEYLFKLLALLEPVLMSGSQVVSHEKLALELSTKPLTAPEPVVAKSSD LLYFEKFTIQEMEFKLEMQIQKDDLTRPIQSRSWLINALNQLVGILGSKISGSPTFRF APITKRHCFTTQSRLQSQLIQNYTREVVVQAYKLVGSMDLLGNPVGLVGDLGSGIISF FKVTGDELTGDSKTRGEGVKILGKTVATSATGFVSKVTGSLDKFMDEVSDVTDTRQTY TSSDKKDHDNILDGSINFAKNFGKGVAGVFTKPIEGAISGGVGGLVQGTVQGLAGPMV VVLKGVTQTTHALAQEASDNLEDSVPFQGRRRKELKFEDKKLVPRTETTQLELEIISA SGLLAANAGKCNAVCYVYLDDVKVFHTKVLFGSSNPEWHAKFRAELKPQTKKIKFVVK DNFTSFEEEIGKLEMTLLEFQQDFTPPKAASPLSQWVTQNVSTPTASNVDKHFGVDPS VISVDVPRIEREYFLQAKTSRASKNLQVMVTIQEVSELTPLRNLFGRNANITPFVAVD IGGKVQKTSAKTGTHVSYKETFTFEWKPDTSRCLVTVFDKSMLSDDLVGSAFLPLDIN TPVMETVLEMQAGPKKVVNGKVAVKYEIVGLPTPDTPNANMDRRNSGRTAGRLKLYAK VA H257_06454 MTVLDDIRLLLRSICDSPLQKSLEEHMEYISILQMQAAAILSVL DAAPSSSDRSDLLSDTMSLLSVDPSAPHEHSLHTDLDVAVLHASPFLLQLPDKRYVPL PELNIKQEQHRLHRIFADSNRALRTIHGVMSVDTLRKVLDRNATVLHFSGHGGGDGQQ DFLVFEDCNATGLGHLIDSPTLQSILCSGLPSPSLKLVFVSSCHSRQVGEVFLRAGVQ HVVCVKQNEKILDEVSIMFAQAFYHAILHGRTVPQAFAIAQSRVRAETNSQLKFESGK FVLLQRCSCAALERPNDCKCVFEPLFFDVPQGKFFNLQLHDAQKRHLLPALPPIVLGR EMELHTLATLLHAPGGLVTIRGAPGIGKSTVALKLAHFMQERQVFEDGVVYCNVRGLT TVESLEASIRSTLLVEDSMPVNAPLHTLLHHTLVIVDHVQDNLSFQHFVVKLLSQCPR LKLVVTSTQAFDMTDEKVVELHRLSSSQAARLFLKKSPRACHHLDFPEVITRPLDVEL MHHPLLAYLDGHPQAIALCASLLQDKTLPELTHAICSQTAYLPPLMTSLQVAIGSLTD PDTLRFFALQGYLPAGALASDFRAMFGRDWERHASVLCRYSLLQKQHHFPIKCKPRQK DIHHEALLSALQHYGEFVSGSTRKSARSPLERSRTSIEEALQKTLSHAHLQSLSLLFS TFPFITSYARRWVRPPDAIRWTAHFAKSMRWTHQYIGTFSPFSNAAYLILDIHEANAW NCLERFDQASCDVPNNNQPTETSDHESSDSPNQVTPDETSAGQSGEKPPLRRRKRQPK WASATASLACYFAHTLFLAGRHEGAVRALKSGLDIAKEHGLKVAQANLTKLWATILVQ DKKFEEAKVQFGLALLLYRAGDSKVGQASTLTGIGMVHSRLGNLRAAHSGFSKALALY EWSNHILGQLNCHQRLGHLEKKLKMGDELELTQHYAASRRLQGDLNNRKEDEFVRWVG HEMSLLLEIPADMAKRTPSKVKIEDDKQGDDEEVSSFARRKSYDSTRRRPSGGTKIVV H257_06455 MQSTFVVTPNVRSFTSKLYQARRDDLDRSKVEKVKTNVQYECLG CTPRFVIKLTKASGYQNFAQHVYRYHKDVAASRQGSFDLRWHRQGRLRQPPLRLSQIR KLQNALLSRLNGPQNRVKVSAAYHMSRRDPNDNAHGAREHILFLDNILRVYKRNISNV RFMVYDNCSANKRMAKDINKFVFEQYRPVIHKFQKLMVGLKSLNNRVHLRKLTSLSLV LHNSTCWSSYHAKIVASLLQTPSDDRAITELVSHLNKFESVTVYLQRESLHMVHVRTL FDELIKDCPSMRTHLQ H257_06456 MLDRLQDQSAPDLLNLQQSYDQAEVKYGVTNEPAKVLLSISRHY EKVCRAFTGSVFTCSSMVTQSGESMKSVIKENGNMLSIAVSDSFIGFKE H257_06457 MGVTGLWMLLAPGGRQVSMESLSGQTLAVDASIWLTQFVKAMRD PDDGTMMRNAHLLGTFYRISKLLTHGIRPVFVFDGDTPAIKLKTLQQRRSRREKSQDT LTQTAKKLLIKQQREKGSKQLPPSEVPRSPAAKPVEAFQYDAMSSEEKSADDGNDSDY DATWMVDVENFEAYQRNRRQDARETFLTLAGKPEEYSMAQISTFLKASKLKRDMNSRK SAIDSPESGRRIASEGGRRYIYTKASAPHALDESSVGRSSEHVRIQAAKSVDATAKQD CAVFSPTTLDTKHPSWFSAGKKDAPVVLDWATDEVFVKCDLPNAFKLASDAPPSDLAH ETSKQSSHDDHTPVQAKAASSTPDDESDIEWEDVVAPPELEHAVSTLWVEADKSCSTD LGTTSPFDEKPRQATLGSDTSDFEWEENTDEVPSKPLPTQYNEAATSSDVLERLSPAK ELVHSKDDDEGKEDVTTSALHAAMSTATNLTQWAAGAVKRAILAHKQLGTQFEAATQS HSPLNKTASPETMRYLPRGSPEESPMRYLPRDNAVPQALPSPLDESEADLRKLRNQKL RDVDGVTDEMKSQVMDLLRLFGVPFVVCPMEAEAQCATLEQLGLVQGIITDDSDIFAF GGKKVYKNMFKDSKFVEAYFAADLERELGLDQERMIALALLLGSDYTDGINGIGIVNA AEIVGAFGGLEGLRHFGTWVNSFDITDDLKKPKKVSADELAALSPLERFKLTHQSIRR NWNVSAIFPNPHVIKAYQYPDTDKSTARFSWSVPDLANLRVFCGQEFGWPIDTVDSKL LPVVRAVSRGFQAQTRIDGYFTSYNDNIKYAKIRSKRLKAVVNDIKGLKKPRKHEHD H257_06457 MGVTGLWMLLAPGGRQVSMESLSGQTLAVDASIWLTQFVKAMRD PDDGTMMRNAHLLGTFYRISKLLTHGIRPVFVFDGDTPAIKLKTLQQRRSRREKSQDT LTQTAKKLLIKQQREKGSKQLPPSEVPRSPAAKPVEAFQYDAMSSEEKSADDGNDSDY DATWMVDVENFEAYQRNRRQDARETFLTLAGKPEEYSMAQISTFLKASKLKRDMNSRK SAIDSPESGRRIASEGGRRYIYTKASAPHALDESSVGRSSEHVRIQAAKSVDATAKQD CAVFSPTTLDTKHPSWFSAGKKDAPVVLDWATDEVFVKCDLPNAFKLASDAPPSDLAH ETSKQSSHDDHTPVQAKAASSTPDDESDIEWEDVVAPPELEHAVSTQADKSCSTDLGT TSPFDEKPRQATLGSDTSDFEWEENTDEVPSKPLPTQYNEAATSSDVLERLSPAKELV HSKDDDEGKEDVTTSALHAAMSTATNLTQWAAGAVKRAILAHKQLGTQFEAATQSHSP LNKTASPETMRYLPRGSPEESPMRYLPRDNAVPQALPSPLDESEADLRKLRNQKLRDV DGVTDEMKSQVMDLLRLFGVPFVVCPMEAEAQCATLEQLGLVQGIITDDSDIFAFGGK KVYKNMFKDSKFVEAYFAADLERELGLDQERMIALALLLGSDYTDGINGIGIVNAAEI VGAFGGLEGLRHFGTWVNSFDITDDLKKPKKVSADELAALSPLERFKLTHQSIRRNWN VSAIFPNPHVIKAYQYPDTDKSTARFSWSVPDLANLRVFCGQEFGWPIDTVDSKLLPV VRAVSRGFQAQTRIDGYFTSYNDNIKYAKIRSKRLKAVVNDIKGLKKPRKHEHD H257_06457 MGVTGLWMLLAPGGRQVSMESLSGQTLAVDASIWLTQFVKAMRD PDDGTMMRNAHLLGTFYRISKLLTHGIRPVFVFDGDTPAIKLKTLQQRRSRREKSQDT LTQTAKKLLIKQQREKGSKQLPPSEVPRSPAAKPVEAFQYDAMSSEEKSADDGNDSDY DATWMVDVENFEAYQRNRRQDARETFLTLAGKPEEYSMAQISTFLKASKLKRDMNSRK SAIDSPESGRRIASEGGRRYIYTKASAPHALDESSVGRSSEHVRIQAAKSVDATAKQD CAVFSPTTLDTKHPSWFSAGKKDAPVVLDWATDEVFVKCDLPNAFKLASDAPPSDLAH ETSKQSSHDDHTPVQAKAASSTPDDESDIEWEDVVAPPELEHAVSTQADKSCSTDLGT TSPFEWEENTDEVPSKPLPTQYNEAATSSDVLERLSPAKELVHSKDDDEGKEDVTTSA LHAAMSTATNLTQWAAGAVKRAILAHKQLGTQFEAATQSHSPLNKTASPETMRYLPRG SPEESPMRYLPRDNAVPQALPSPLDESEADLRKLRNQKLRDVDGVTDEMKSQVMDLLR LFGVPFVVCPMEAEAQCATLEQLGLVQGIITDDSDIFAFGGKKVYKNMFKDSKFVEAY FAADLERELGLDQERMIALALLLGSDYTDGINGIGIVNAAEIVGAFGGLEGLRHFGTW VNSFDITDDLKKPKKVSADELAALSPLERFKLTHQSIRRNWNVSAIFPNPHVIKAYQY PDTDKSTARFSWSVPDLANLRVFCGQEFGWPIDTVDSKLLPVVRAVSRGFQAQTRIDG YFTSYNDNIKYAKIRSKRLKAVVNDIKGLKKPRKHEHD H257_06457 MGVTGLWMLLAPGGRQVSMESLSGQTLAVDASIWLTQFVKAMRD PDDGTMMRNAHLLGTFYRISKLLTHGIRPVFVFDGDTPAIKLKTLQQRRSRREKSQDT LTQTAKKLLIKQQREKGSKQLPPSEVPRSPAAKPVEAFQYDAMSSEEKSADDGNDSDY DATWMVDVENFEAYQRNRRQDARETFLTLAGKPEEYSMAQISTFLKASKLKRDMNSRK SAIDSPESGRRIASEGGRRYIYTKASAPHALDESSVGRSSEHVRIQAAKSVDATAKQD CAVFSPTTLDTKHPSWFSAGKKDAPVVLDWATDEVFVKCDLPNAFKLASDAPPSDLAH ETSKQSSHDDHTPVQAKAASSTPDDESDIEWEDVVAPPELEHAVSTQADKSCSTDLGT TSPFDEKPRQATLGSDTSDFEWEENTDEVPSKPLPTQYNEAATSSDVLERLSPAKELV HSKDDDEGKEDVTTSALHAAMSTATNLTQWAAGAVKRAILAHKQLGTQFEAATQSHSP LNKTASPETMRYLPRGSPEESPMRYLPRDNAVPQALPSPLDESEADLRKLRNQKLRDV DGVTDEMKSQVMDLLRLFGVPFVVCPMEAEAQCATLEQLGLVQGIITDDSDIFAFGGK KVYKNMFKDSKFVEAYFAADLERELGLDQERMIALALLLGSDYTDGINGIGIVNAAEI VGAFGGLEGLRHFGTWVNSFDITDDLKKPKKVSADELAALSPLERFKLTHQSIRRNWN VSAIFPNPHVIKAYQYPDTDKSTARFSWSVPDLANLRVFCGQEFGWPIDTVDSKLLPV VRAVSRGFQAQTRIDGYFTSYNDNIKYAKIRSKRLKAVVNDIKGIHTWADHKTST H257_06457 MGVTGLWMLLAPGGRQVSMESLSGQTLAVDASIWLTQFVKAMRD PDDGTMMRNAHLLGTFYRISKLLTHGIRPVFVFDGDTPAIKLKTLQQRRSRREKSQDT LTQTAKKLLIKQQREKGSKQLPPSEVPRSPAAKPVEAFQYDAMSSEEKSADDGNDSDY DATWMVDVENFEAYQRNRRQDARETFLTLAGKPEEYSMAQISTFLKASKLKRDMNSRK SAIDSPESGRRIASEGGRRYIYTKASAPHALDESSVGRSSEHVRIQAAKSVDATAKQD CAVFSPTTLDTKHPSWFSAGKKDAPVVLDWATDEVFVKCDLPNAFKLASDAPPSDLAH ETSKQSSHDDHTPVQAKAASSTPDDESDIEWEDVVAPPELEHAVSTQADKSCSTDLGT TSPFEWEENTDEVPSKPLPTQYNEAATSSDVLERLSPAKELVHSKDDDEGKEDVTTSA LHAAMSTATNLTQWAAGAVKRAILAHKQLGTQFEAATQSHSPLNKTASPETMRYLPRG SPEESPMRYLPRDNAVPQALPSPLDESEADLRKLRNQKLRDVDGVTDEMKSQVMDLLR LFGVPFVVCPMEAEAQCATLEQLGLVQGIITDDSDIFAFGGKKVYKNMFKDSKFVEAY FAADLERELGLDQERMIALALLLGSDYTDGINGIGIVNAAEIVGAFGGLEGLRHFGTW VNSFDITDDLKKPKKVSADELAALSPLERFKLTHQSIRRNWNVSAIFPNPHVIKAYQY PDTDKSTARFSWSVPDLANLRVFCGQEFGWPIDTVDSKLLPVVRAVSRGFQAQTRIDG YFTSYNDNIKYAKIRSKRLKAVVNDIKGIHTWADHKTST H257_06457 MGVTGLWMLLAPGGRQVSMESLSGQTLAVDASIWLTQFVKAMRD PDDGTMMRNAHLLGTFYRISKLLTHGIRPVFVFDGDTPAIKLKTLQQRRSRREKSQDT LTQTAKKLLIKQQREKGSKQLPPSEVPRSPAAKPVEAFQYDAMSSEEKSADDGNDSDY DATWMVDVENFEAYQRNRRQDARETFLTLAGKPEEYSMAQISTFLKASKLKRDMNSRK SAIDSPESGRRIASEGGRRYIYTKASAPHALDESSVGRSSEHVRIQAAKSVDATAKQD CAVFSPTTLDTKHPSWFSAGKKDAPVVLDWATDEVFVKCDLPNAFKLASDAPPSDLAH ETSKQSSHDDHTPVQAKAASSTPDDESDIEWEDVVAPPELEHAVSTQADKSCSTDLGT TSPFDEKPRQATLGSDTSDFEWEENTDEVPSKPLPTQYNEAATSSDVLERLSPAKELV HSKDDDEGKEDVTTSALHAAMSTATNLTQWAAGAVKRAILAHKQLGTQFEAATQSHSP LNKTASPETMRYLPRGSPEESPMRYLPRDNAVPQALPSPLDESEADLRKLRNQKLRDV DGVTDEMKSQVMDLLRLFGVPFVVCPMEAEAQCATLEQLGLVQGIITDDSDIFAFGGK KVYKNMFKDSKFVEAYFAADLERELGLDQERMIALALLLGSDYTDGINGIGIVNAAEI VGAFGGLEGLRHVRCFVLTIV H257_06457 MGVTGLWMLLAPGGRQVSMESLSGQTLAVDASIWLTQFVKAMRD PDDGTMMRNAHLLGTFYRISKLLTHGIRPVFVFDGDTPAIKLKTLQQRRSRREKSQDT LTQTAKKLLIKQQREKGSKQLPPSEVPRSPAAKPVEAFQYDAMSSEEKSADDGNDSDY DATWMVDVENFEAYQRNRRQDARETFLTLAGKPEEYSMAQISTFLKASKLKRDMNSRK SAIDSPESGRRIASEGGRRYIYTKASAPHALDESSVGRSSEHVRIQAAKSVDATAKQD CAVFSPTTLDTKHPSWFSAGKKDAPVVLDWATDEVFVKCDLPNAFKLASDAPPSDLAH ETSKQSSHDDHTPVQAKAASSTPDDESDIEWEDVVAPPELEHAVSTQADKSCSTDLGT TSPFEWEENTDEVPSKPLPTQYNEAATSSDVLERLSPAKELVHSKDDDEGKEDVTTSA LHAAMSTATNLTQWAAGAVKRAILAHKQLGTQFEAATQSHSPLNKTASPETMRYLPRG SPEESPMRYLPRDNAVPQALPSPLDESEADLRKLRNQKLRDVDGVTDEMKSQVMDLLR LFGVPFVVCPMEAEAQCATLEQLGLVQGIITDDSDIFAFGGKKVYKNMFKDSKFVEAY FAADLERELGLDQERMIALALLLGSDYTDGINGIGIVNAAEIVGAFGGLEGLRHVRCF VLTIV H257_06458 MQGPGAEKARAAMPQTLTLDHVSPATRLLEKRRQMFEVQEALDA QKEEFSRREDAFRRREEGLRKKDLELQESLIKFNKFLQENESKRNRAIKRASDEIKQR LAKEQDVARLKESLERFNDENEKLSNEVKKNMKYTRYLELVQETVPEDYPEITDLVNR YNTLKDANRDLSENQRLHESDNERKRVKFATFTKERTNDILNFNNEIASLQKQLETRE SNAIRLQHDVDTTIRITSDKTLEVGQILMAVGNLLQRSTCGIHGTILKHIEVKDVPST SDDYQDKAVDDIELLQNGRKAMADLDVIASYMVDFTAIVQTRIETQRAQKKAAAAAEG NSNGPSNIVTTKQESK H257_06459 MSAPQQANHRLPGHGGRDSDGIPSNQFPVRTFSAPPGDAVDANR PIYAPYKSGAAGGYNPGPHGHSYQQHQHPRGSGYVPRGVPTGEFRPGQPFIPRGGAPQ PHHVTHEQGHDPNVNPPQHPHHHPGQGQRVGHNQQPGGLGRPPNRGPNPNVAPFVPQS MQHAPQLPQQSGYNPRHQQPYYAMQGGYGAAPPPHMYAPHLNQAHLPYPPMQMGLNPS LGLGVPGLAPPIAPPPVVPPPREKKAMLIIDPRTQKPINECLAEPSDKKANPPKATST LRAASKEFVMPSAVPVASHISPPIPPSSPIVHKEATPLSPKPHPKVIVHTSPTNSPKH SPKPQPKELQFQHSPKTQPKELQFQHSPKTQPKELQFQHSPKPQPKELQFLQSPTSKP KSPVATPALSSPKSVGSPKAAATPVEPSLVFGTVELDADLLVASPTNVSLPPGFEAPA EEEEDVKQVVAPKRTIARPPNDAPAKKGKTCYSVRFLFSFREEYPTLPDSDATGWLSM EITSDGPSDRRGAARRTDRQNSGGGPPTGQLQRQSSRGNNNDKSKQQWQRDQTTSRRT PGGGRGGKSSHQQPFNDGVEGALKRNDENRWVPVKATSNLEKVLKQVQSIMNKMTTQM FDVLSRQLSEIHMESDEMLTSVITSIFDKALGEPHFCELYANLCVRLETHWQVWSFLQ IVHNQDLDSWWWTKMSDVDAEVVGPFATADELFENAEEDPLDVIPAPEGLTLKEVRVR NGKFIKVWEAASSAALYWSGQNVEDLGESQVLYGPFASWDEANINAIKTTSFKRLLLN ACQAEFEKDNIYEELDKTMAIAREEGTLTAEVEASYAEKKMLTKRRMLGNIRFIGELF RKGMLQERIMHACIMKLMGVVRVPHPDQYKIAPINPTAAPDDESIESLSKLLTTMGKD LEALSGSPGAMAEYFDYLTYLTKDKRLSSRINFMILDVIDLRHNRWVPRRKELTQKTL LEIRNDAEKEYAAAGKKQGPPPPSRGVASSSSAREMGQRGAPRGGNNPPPQRSNFNLD RSRSQQVDKAGPSGRPATYGSFKGKAGGGGGSRAATPPLPDKPAKVPVQKEIATQVSQ LSEDVTQGIAKKAKAILEEFVQLQDAKEAAACVAELKTSLAPGLSPLVVSSVFAKESF QLAIEAKDAIRQGLFDALTTLHVDKVLESDAIKFALEAVVLAAPDVCYDVPKIHEHVG TLVSRFFRSIPSLSLEWLISGIEADADLLEELVESGVLGGIVGVYLSQIPPAVAHDQS KAFVAVNVTSILPSHKRAVADVLAWMTKFNLSQALPAIHAAGQVVSAAEGFKDEDATI AWVEANVHGDKRKDRLFCKQTCLFLLSTSGTEYSRLLMGLCGNIEGELTLVSGIVQEV APEKLKDLLSHLLDAHVVSDKALTTWKDTSRRSPSREKALVHIGAFIDKLK H257_06460 MTGSSALSVADSHTTRASLRTLDKERIRQKALQMKMKEKLRLSM CVRIQKLSKKTPPVAQKLSVEILAHLNQHGLSTQLSDNDLQDLVHKLSHAKAHSDTDK QPTSSAQQAAALSGIEENLGDKHNTNRKGTRNTPPSSKSRTVSAADAGESSYLTEAQI QQRSVGFVLPPKKSPTKHKQGDIWNDLVQYQGLVEAQEAQAKRVQKEVKKSQWSSELE AQIAAKQQRQLEHSVEDGKYFEESMKTLERLNDLEAEKDRRRIERAKAQNLIQEEQRQ YKLKKRQDELNARRDAEIRMAETISRQKKEEEAKEIARKEAEMKKMAKVLLENAELLD KKKQLKMADRELELKLADDYIRMEERKEALRQKGLEDLSMRIQAKMKYFDDTSKAEMD IKNKEDELRVLRYQADYEAKQMALEAKKKHDAAARNADQQAYLKAQMKLKKERESREK DEYNKQADMWRIERETNEKRERMVQQQRANKNQMQQHWLKQQIEAKEQQLLQADHTNL EVQINQSLLQKVLEMKKGGGGGSSSSNVVVKTRQRSRELHDVERRTDSTKLKDPRLKP ASRK H257_06461 MVAEAIAGRPVEFVESSGKTVRGCVLSYCPEDHTCIVDIGNTIS LKIDPKATNAKVVYEIGDMYEWVVGDLVGYRLELESSDGPTATGVLRQHDLDLKCSLV RFDNGAKEWLDLSQRRVYLLLSSYDNALASTQAGPPNIPLPLPYSPRKSPRCTWYKEG NHIELYDATGEFQEGAVICSEYDDLAGMLSVANEIRGKFEMAVATQPHKLVLHGFSVL KDIPVDHIVEVYSTTKGGFRRGSILKKAESGRLIPILFEHAASMEWVDLSSQTFKVVC FPDRIRPLSARERERQLSAGATTNGVAHKFVRNNPATLNDGATFRFPPLSEGTQIEVY HREKSLYVKNTVVRSVSNRPYVYELHAVTSGSRSVVDLAAMRCKVLWKDAVDLDLTSL VAHVIEVFVKEEKRVDAGRICGCNNKSRMLHVRFQDGRKEWVALRARKLKIRLHEAAI VQPLAFTPFVRPLHDNQTASSDDARPKPLQRSHSYSQQIQNPPSPHPIRRSFSSSNMM IVRSPVSQLRRMASVHKSYGDNPPRQQLLRTDSMDSIDAYSIDSARTVESLNEGDMID TPSSSSDWSMQIDPASNQTYYVHGPSGTSQWHPPPNVDIHALQWIATDDWMDKQKHWV DNHDGRRIRAAPPIGPIQAVRHIRTNTLYN H257_06462 MTSKQEACTYPCIDQTPASAHAPCLDVPVVTPVHVFNFLQHSAS RSIVLDISKSFDKPPKMVLAVSFSTDDSTARSCEFDQETAARLTDVRLTHVFVMGNPS SPHFHQDLSVVYARLLDTRGTVPPALCIQYVDAAILVDMFPLVEPPLVSLIETSRGWI FLGNNLHAQNDKVVNDLAIRSIVNCSSTIQERTFSHVDYYDVTVSTENPEESTFKAFD EAVAYMESAQSRVLVHSTYGRSRCCTLVVYFLMKTENMSLVDAYHRVLSSRPNMMPRD AILDILLQKERFLFGTSSFDDEDGRNRCKHMTRGGLLSREGQARLTAAISNIHDTEVR HLAAKNKPIHHPAVIHESPTARLSSKSKRTVPESSVTCRWLFNRLQSGTGMLLLDARS RADFDDNCIPTAISIPATHTATLDAVENALLPEQAHLFTAKKRKLREVVIYGYAVKVS LKELKPWMYVVGSLVVAEGLVSSVRYLEDGFTTFHFRYPFYTSQFLFANTIDDDDDRH HHHLLARTQSGTHNVNYPNEILDGFLYLGNMWHAQSPSVVRNLGITHIVNASLDTTNV FDKAGVLYLEVKIKDDIHANIGAYFEPTYRFIEAAKQRQHSRVLIHCTQGISRSGTLA IYYIMRAQRWSLVTSVNYCIANRGVCFPNQGFLQALMVEERKLYLANSLSTHELDLLL GNALPDRPVPKPALPPSDAYGSSKQLVDLCHTCKKLFSFFDWRHRCGLCKLIVCSKCC SSRLILTDSLQHDRADVGRVCDPCVVHLWAIHLPLPFRLNFNLFADKHVDRRTLTVKS PSLQSQSLTVSYIPGTEAHVLMNILRKRFQVREYELLDVTGDGVGLDAAWSLQPRSVL DLPDKAVLFACIGESGSIPHRSNSSRGRSNGNAMSRHRLHHEQSYSTRSLPSDDRHAA RRSRSFSEGRALALQESLRQREKGSRIEMHSTEPINGNVHALVQVPTRDFHPPPSPFV DENRFSELWRIAFPRCSVIPRDVLLGLDTDTLMELMLGMAYLSTKLATASPAPELCTL LDTLFNDLQMSSTSRRLVQQYMEL H257_06463 MGVQATSPSLALPQPHNDILFYGTLDKRRDGAVRGGWATRLFLL TPKWLVYFRHTTKQDLLGEERERVALSSITKIRVAPESELASTAIDIPNEYSYLEITL DTPGKTLLMRTAKSDAMSSHHWVTVIEAQRQLLLHPNATALKPVHPAHNDTSAASSRA FDEPTQRQVGLVILVDDYTERDEFVVAKSVAFGTQINLGLVKQGGACIIVLDDGAIAR IGTQALMGSWDANETCWVECLGSSTLTEVEVSVVCQVVKQQQHPKPSVTSPSSTPKST NTKHWIRLAEEGASFGYLLMAMLVHFMLGVQGFHWSHKACLTAGAALAISTVMNSANS KSSRGGRPMRRMNSSLIPKVHLAMTIHRSRRHATA H257_06463 MRTAKSDAMSSHHWVTVIEAQRQLLLHPNATALKPVHPAHNDTS AASSRAFDEPTQRQVGLVILVDDYTERDEFVVAKSVAFGTQINLGLVKQGGACIIVLD DGAIARIGTQALMGSWDANETCWVECLGSSTLTEVEVSVVCQVVKQQQHPKPSVTSPS STPKSTNTKHWIRLAEEGASFGYLLMAMLVHFMLGVQGFHWSHKACLTAGAALAISTV MNSANSKSSRGGRPMRRMNSSLIPKVHLAMTIHRSRRHATA H257_06463 MGVQATSPSLALPQPHNDILFYGTLDKRRDGAVRGGWATRLFLL TPKWLVYFRHTTKQDLLGEERERVALSSITKIRVAPESELASTAIDIPNEYSYLEITL DTPGKTLLMRTAKSDAMSSHHWVTVIEAQRQLLLHPNATALKPVHPAHNDTSAASSRA FDEPTQRQVGLVILVDDYTERDEFVVAKSVAFGTQINLGLVKQGGACIIVLDDGAIAR IGTQALMGSWDANETCWVECLGSSTLTEVEVSVVCQVVKQQQHPKPSVTSPSSTPKST NTKHWIRLAEEGASFGYLLMAMLVHFMLGVQGFHWSHKACLTAGAALAISTVMNSANS KSSR H257_06463 MGVQATSPSLALPQPHNDILFYGTLDKRRDGAVRGGWATRLFLL TPKWLVYFRHTTKQDLLGEERERVALSSITKIRVAPESELASTAIDIPNEYSYLEITL DTPGKTLLMRTAKSDAMSSHHWVTVIEAQRQLLLHPNATALKPVHPAHNDTSAASSRA FDEPTQRQVGLVILVDDYTERDEFVVAKSVAFGTQINLGLVKQGGACIIVLDDGAIAR IGTQALMGSWDANETCWVECLGSSTLTEVEVSVVCQVVKQQQHPKPSVTSPSSTPKST NTKHWIRLAEEG H257_06464 MGFAMGIGLGLRLNGKKALVVGLANKNSLAFAIASALQSHGCAV GLVSAPASYERAKPSVKSLPSAPLFHVQCDVTNPDDLLALQELTKDVELNCLVHSVAF ASPDALTHPFLHTPTTDFLTSIHVSSISLLSLLQHVKLQSGGSVVALSYLGAAKAVPN YNCMGPAKAALEATCRALALEMGEHQIRVNAVSAGPINTLSARGIPGLSKMRQIVADT APLRRNVTPQEVANATAFLCGDLSSGITGQTIYVDGGVSSVAMVGTGDV H257_06465 MLRLAIVLLLVSSLLADRRGGCNCKRTTKGREPQQARREMPSAD TVANGPNVSHVPASLDWCQQGFCVPSWNQHIPSYCGSCYVHGALASAQDRIKILNFKR GFTGADVMLGRQSFLNCGYGHGMGDGCAGGEPRDVFEFMTKFGLPDETCLPYNATDYT KYTWTANGTCPPEGFCMNCMYTNDSPVTPVCFPVTKVVRYRATAYGFVQGEAAMMKEL LNGPITCGIAASIDFMDNYTAGIYADRSNAVDLDHDVEIVGWGIDKDGIKYWHARNSW GTYWGEGGFFRIVRGVNNLGIESDCIYVVPDVTMEDLVWSDTPVYGGSIFGLRPLEHP PGDDVVDSTTDVTVNSTTIHPSISTGIHHANSTVSLVAIAIVCTIAVLAIGFLAGRMS RRHTYQRIH H257_06466 MEQPKSPTAHDGPHGILDKIRSTKRRFIQQALTKMGKAESSDDH EYQVLRERQLELVSNVEQVFVHMKSFVTNLVSLGYACTLLGDDMTMIRTDIPTRNANN SQAYGVKAASGNDEFTKSMAKIDAAARELAGSMLSANVVVDVQCKLDALYQFKKELDH RENLKLDYDSAVRKLRKARESREAADVLRRDEKLKLAQAKLTQATEVMVAKMNEYELA RPTLLQKELVEFRHMQTKFFQLCVMSFAGPSTVRPTDFPRFIAPEFQKYIYREPRLIK SWWQKPWTPNNLQRGIS H257_06466 MEQPKSPTAHDGPHGILDKIRSTKRRFIQQALTKMGKAESSDDH EYQVLRERQLELVSNVEQVFVHMKSFVTNLVSLGYACTLLGDDMTMIRTDIPTRNANN SQAYGVKAASGNDEFTKSMAKIDAAARELAGSMLSANVVVDVQCKLDALYQFKKELDH RENLKLDYDSAVRKLRKARESREAADVLRRDEKLKLAQAKLTQATEVMVAKMNEYELA RPTLLQKELVEFRHMQTKFFQLCVMSFAGPSTGAKVDQILVAEAVDTKQFAAWN H257_06467 MESQFPIRPTYFRGLPLSDENQRQHVATAREMAQSLMNLAYNEQ WRFVPPEKDGVQYRKDTSNMECSYRFIRSSVTLKCTPAEMATMMKHQSTETMRSFMRK FMGKSFIDGMVLHSIMPSMDNNATVDATSAITDEVVTVKWGVVDAGMGTRRDVCVLDL VGDTFVPNTPEKEALHMWCMTSVDGNNVGCLSLKDSHNIARMEISKLGFFWRKLSRDE LEVVVCGSFKSKNVKPVAAGVVLALHRLGDILEDLRLSFQHYADRSTWVKDCDRTGCI LCMRTFHTLRRKHHCRMCGDLICSDCSIVKLVNLNVIGSSKLRLCKVCCIRAKSTPVV PTVLPPSRPPVLVSDKEFGMDSARSRGLTNQTWFDSGSPMSPSQLSGSEYDDDTTVDH FSTKGLCGEVLRLSVTRLSTVHQIPPRESTGVMLTPPANRTRSADKPAHGVGTRENSF ELLCDLACEALNCPIAVVCLLDGSKEKIKSLVGLDNCALQIEVGVFVDKVMGLSPIVV LDAATDKLTMAIFDRVPILRFFAGCPIFGRMGKYIGYICVADTRKRTQLGSSCAFTME RLASLAATTMEAHTAAPEVACPSPMGDSTEIRMRDLLLKSYMTQQQIATQNMWR H257_06468 MASFNWADPRRNSSVSTKMMEKAEAARKQRLTKVKPSVSTHLNP AVEKKLPKKQHEKQPTPTEMYMESLESATINDSFYNSLLKFHSNQESPLSPPRASASS PLVVSPFSVHNVVATDSFFHPESEIEAFQGLRKGEMSPPPPHAQPRSSSIKPSKRGDK KDVRPLPSRSSMSRADKLPALKSTVTKRDVHMTSTRSNPDLALYADPRRRDDLLDLFD SDDAVQTTIHRSESAPSASSTITHSPDRDSPATTPNLTPNGHATNHGDGAVVPRLNFN PFTSRESKTTIMHHESKKASADHGKAADQKRTHKANPKAGKKDSGRIHTATGTSLADL RDEHKAALELLQELGGAYPDDAKPSSSRFGSKLRSTVLGGRDNNDHTTTAYVDAALKA ADVALNNRVVEIDDEVPEAESPGKEVSTADSNSARTSRRSEPSYDSEDFETD H257_06469 MEGYVFKQGHVVKSWQRRYFRLDTSTSVLEYLTELAIALADVKL GDEIGSIDEGFLEYVRRARGEAADPSTNCAVS H257_06470 MSVHFKFKSEKEFGTVNFPGTTIRVIDLKKGIIEQKKLNKGQDM ELVITDFQNNTVYEDDNQQLPKNTSVIVKRMPAKNNVGILARINAEQKASAPLHHYLV PAAEPTPALPSTDVDSQAAAGGAETVEDELEALQAIQQEAEDARNVRGGGNKTWTAGG GGFKGGAPGGVYAGSRGGGFGGRGPPTGGRGAGGAAMQPPPGGDHSVETPPQGYVCYR CGVPGHFIQNCPTNGNANHDKHMVKPRTGIPSSLIKSVEGPNQAPGFTVVNTGPNGGL AIVVPQVKAFEKLVKSSGGGSGLDQYRLVPPDHLACPICKRLMQDAVLIPCCHESACD GCIRDALISHNLTCPLCRKSMSPENLIPNKGTRASVEEFLKRSQGEAQERERLVKEAE AKALQKQLAADDTETAQRRVEIADAVLDISKKSKADDDDDDLGGDLFADEPENDAAPV KDETIPPQQKPAQSSTEPSNETAMLSPSNEPTTSSSSGKGVEVKAEDKQPDGRDKKPR RSSSAGRHHRHGPPPGWRPHGGPPPDWFDGPPRGPWFDGPPPPWAAGGHFGHPPPHMY YEGGYFGGGNPPPWMRPHPGYRGGPRGRSAERKGDKAGDDKAPRRGSRSRERRRSSRD RKSSRYPSRSRSRRRDRSTERESSRKSTPRDKKSDDKQPASEASRDDNVDGRSSRNDS EKKSKKGESSRRGSEKRADDGDRSGSAADKKPKEKSGGNEKAAKDVSKPVEAPAIDLD FLEDDLTTEDKPMNASKKEDTTSDVARRKASDDRRGARDDKQRSDRHDRHTSDRKGDT YRRRDSDRKSDRTDDRKTERTDDRKATDDRKTERTDDRKGGGKGDDRRRGDKKADKED EKKRSSERPSSRDDKRGDGKRRRSRSRGRASERKEVKKAEVVPEEPPKKKRSVFERLG PAVKK H257_06470 MPAKNNVGILARINAEQKASAPLHHYLVPAAEPTPALPSTDVDS QAAAGGAETVEDELEALQAIQQEAEDARNVRGGGNKTWTAGGGGFKGGAPGGVYAGSR GGGFGGRGPPTGGRGAGGAAMQPPPGGDHSVETPPQGYVCYRCGVPGHFIQNCPTNGN ANHDKHMVKPRTGIPSSLIKSVEGPNQAPGFTVVNTGPNGGLAIVVPQVKAFEKLVKS SGGGSGLDQYRLVPPDHLACPICKRLMQDAVLIPCCHESACDGCIRDALISHNLTCPL CRKSMSPENLIPNKGTRASVEEFLKRSQGEAQERERLVKEAEAKALQKQLAADDTETA QRRVEIADAVLDISKKSKADDDDDDLGGDLFADEPENDAAPVKDETIPPQQKPAQSST EPSNETAMLSPSNEPTTSSSSGKGVEVKAEDKQPDGRDKKPRRSSSAGRHHRHGPPPG WRPHGGPPPDWFDGPPRGPWFDGPPPPWAAGGHFGHPPPHMYYEGGYFGGGNPPPWMR PHPGYRGGPRGRSAERKGDKAGDDKAPRRGSRSRERRRSSRDRKSSRYPSRSRSRRRD RSTERESSRKSTPRDKKSDDKQPASEASRDDNVDGRSSRNDSEKKSKKGESSRRGSEK RADDGDRSGSAADKKPKEKSGGNEKAAKDVSKPVEAPAIDLDFLEDDLTTEDKPMNAS KKEDTTSDVARRKASDDRRGARDDKQRSDRHDRHTSDRKGDTYRRRDSDRKSDRTDDR KTERTDDRKATDDRKTERTDDRKGGGKGDDRRRGDKKADKEDEKKRSSERPSSRDDKR GDGKRRRSRSRGRASERKEVKKAEVVPEEPPKKKRSVFERLGPAVKK H257_06470 MQRHSRAPLHHYLVPAAEPTPALPSTDVDSQAAAGGAETVEDEL EALQAIQQEAEDARNVRGGGNKTWTAGGGGFKGGAPGGVYAGSRGGGFGGRGPPTGGR GAGGAAMQPPPGGDHSVETPPQGYVCYRCGVPGHFIQNCPTNGNANHDKHMVKPRTGI PSSLIKSVEGPNQAPGFTVVNTGPNGGLAIVVPQVKAFEKLVKSSGGGSGLDQYRLVP PDHLACPICKRLMQDAVLIPCCHESACDGCIRDALISHNLTCPLCRKSMSPENLIPNK GTRASVEEFLKRSQGEAQERERLVKEAEAKALQKQLAADDTETAQRRVEIADAVLDIS KKSKADDDDDDLGGDLFADEPENDAAPVKDETIPPQQKPAQSSTEPSNETAMLSPSNE PTTSSSSGKGVEVKAEDKQPDGRDKKPRRSSSAGRHHRHGPPPGWRPHGGPPPDWFDG PPRGPWFDGPPPPWAAGGHFGHPPPHMYYEGGYFGGGNPPPWMRPHPGYRGGPRGRSA ERKGDKAGDDKAPRRGSRSRERRRSSRDRKSSRYPSRSRSRRRDRSTERESSRKSTPR DKKSDDKQPASEASRDDNVDGRSSRNDSEKKSKKGESSRRGSEKRADDGDRSGSAADK KPKEKSGGNEKAAKDVSKPVEAPAIDLDFLEDDLTTEDKPMNASKKEDTTSDVARRKA SDDRRGARDDKQRSDRHDRHTSDRKGDTYRRRDSDRKSDRTDDRKTERTDDRKATDDR KTERTDDRKGGGKGDDRRRGDKKADKEDEKKRSSERPSSRDDKRGDGKRRRSRSRGRA SERKEVKKAEVVPEEPPKKKRSVFERLGPAVKK H257_06470 MQRHSRAPLHHYLVPAAEPTPALPSTDVDSQAAAGGAETVEDEL EALQAIQQEAEDARNVRGGGNKTWTAGGGGFKGGAPGGVYAGSRGGGFGGRGPPTGGR GAGGAAMQPPPGGDHSVETPPQGYVCYRCGVPGHFIQNCPTNGNANHDKHMVKPRTGI PSSLIKSVEGPNQAPGFTVVNTGPNGGLAIVVPQVKAFEKLVKSSGGGSGLDQYRLVP PDHLACPICKRLMQDAVLIPCCHESACDGCIRDALISHNLTCPLCRKSMSPENLIPNK GTRASVEEFLKRSQGEAQERERLVKEAEAKALQKQLAADDTETAQRRVEIADAVLDIS KKSKADDDDDDLGGDLFADEPENDAAPVKDETIPPQQKPAQSSTEPSNETAMLSPSNE PTTSSSSGKGVEVKAEDKQPDGRDKKPRRSSSAGRHHRHGPPPGWRPHGGPPPDWFDG PPRGPWFDGPPPPWAAGGHFGHPPPHMYYEGGYFGGGNPPPWMRPHPGYRGGPRGRSA ERKGDKAGDDKAPRRGSRSRERRRSSRDRKSSRYPSRSRSRRRDRSTERESSRKSTPR DKKSDDKQPASEASRDDNVDGRSSRNDSEKKSKKGESSRRGSEKRADDGDRSGSAADK KPKEKSGGNEKAAKDVSKPVEAPAIDLDFLEDDLTTEDKPMNASKKEDTTSDVARRKA SDDRRGARDDKQRSDRHDRHTSDRKGDTYRRRDSDRKSDRTDDRKTERTDDRKATDDR KTERTDDRKGGGKGDDRRRGDKKADKEDEKKRSSERPSSRDDKRGDGKRRRSRSRGRA SERKEVKKAEVVPEEPPKKKRSVFERLGPAVKK H257_06471 MLVISRPWRRQSMQLARRAMSSNADRDDKSKLNKFSSVLTEHKS RGAAQAMLFATGITEDDLTKPQVGIASMWWEGNPCNMHLLDLANEIKKGVKDAGLIGY RFNTVGVSDVIAQGTAGMSYSLPSRDLIADSIETVMGAQWYDANILVPGCDKNMPGCL IAMARHNRPSLIVYGGTIRAGCRNGQTIDALSAFEGYGEFLANRITDDDRKDIIRKAC PGPGACGGMYTANTMATAIEVLGLSLPYSSSSPAESKEKQQECHDAGKAIRYLLEHDI KPRDILTREAFKNAIAVTMALGGSTNAVLHFIAIARAAGVSLTLDDFAVIADRTPYIA DLKPSGKYVMEDLHNVGGVPGVIKYLIEKDVVNGDCLTVTGKSLAENVANLPSLSDKG RIIHAVETPLKETGHIRILRGNVAPEGAVAKITGKEGLHFKGIARVYDCEEDMLKALE DGEITKGSVIVIRYEGPKGGPGMPEMLTCTSALFGAGLANDVAMLTDGRFSGGSHGFI IGHITPEAQVGGPIALLESGDVVTIDAVTNRVDVDLTDAQLHERASNWRAPPLKATRG ALYKYIQNVSSASLGCVTDE H257_06471 MLVISRPWRRQSMQLARRAMSSNADRDDKSKLNKFSSVLTEHKS RGAAQAMLFATGITEDDLTKPQVGIASMWWEGNPCNMHLLDLANEIKKGVKDAGLIGY RFNTVGVSDVIAQGTAGMSYSLPSRDLIADSIETVMGAQWYDANILVPGCDKNMPGCL IAMARHNRPSLIVYGGTIRAGCRNGQTIDALSAFEGYGEFLANRITDDDRKDIIRKAC PGPGACGGMYTANTMATAIEVLGLSLPYSSSSPAESKEKQQECHDAGKAIRYLLEHDI KPRDILTREAFKNAIAVTMALGGSTNAVLHFIAIARAAGVSLTLDDFAVIADRTPYIA DLKPSGKYVMEDLHNVGGVPGVIKYLIEKDVVNGDCLTVTGKSLAENVANLPSLSDKG RIIHAVETPLKETGHIRILRGNVAPEGAVAKITGKEGLHFKGIARVYDCEEDMLKALE DGEITKGSVIVIRYEGPKGGPGMPEMLTCTSALFGAGLANDVAMLTDGRFSGGSHGSS QFALLCVLLLPFN H257_06472 MTQGEDAPFLIKGDEGFNLKHEFRELVSMAFQVSLASLVRMGLT AIDSAFLGHLGVQALAASSLASVWMAVPLYGVWSGISCLVTLCGQAFGAQNYQLAGIW LQFGVIICFFMSLVSAVYYWNIGILLRMSTSDEEVVELGIRFARIMALTIFPSLTYAC MRLYFQSMSIVLPTTLVGLASVGVAVGANYMLIYGTATWPGMGFDGSPWATVIACLFQ PTALYLYCVVWKKYHLRAWGGWKLDELTWERFYTFTSLGVPMAFNSFITNLASAGVAV MAAKMGPEIVAANAIVTQFWTLLYAFFWGFGCATQTKVAFYLGAGKPTSAKAVTKIGA ICTIVNAVVLAAVILSLRHRIFIVYTNDESLLQLAGVAVPIFVSAYFLESLEMFMAAV LTGMGEVRVIFWTALFATWCVQLPVCYVWAFVFDAGFASLWNAVCTMEAVKLVIFAVV LARVDWDVVTDRAIQASEAKRPSKDDDETELRHQNEQLVELSDIFNGPTMAGAPRTPL ASAAVTPSSTRMRKRTLSYGAVVSDQAGHV H257_06473 MTDADDLLRMFQSITTNDHDDLVQQFSKILQCDAPTSTFFLESS NWNVETAANMYLTTTGAATLGSTDMYQMAQPEARFLSDLSAAQSALLLPLQPVQLRLS FQNVGSAPWPEHTSLVLSQGFHFNAPPQVQVESVDVGCTVDVNLSLIMPAESGTHYGN WRLMWEGGYFGDPVWVVLTVVDDKGRDLTDDGAATASMDVVDADSCDPDDMEL H257_06474 MQRIRNRHSMLRHHRARSFFSFRAQIMSVVVTCGHPSAPEATKG LALLRDLQKQGFRVAVLGSLAWRDQIVEAKIPHIHLTAPSEVEELLQSPIRLVVAFLP DSTVTSEDALKSWGVGSHGFVRSAAWAFDKIAVVVQSDDFARIRDAVSQNGELALSLN DRKSLAQKAFRAFASLDNRAASSLQVDIPQRNILLVGNGGREHALAWKLAQSPQAAHI FVAPGNGGTAAGANPKISNVALSPDRPDLLIAFCKENNVSLCVVGPEAPLVAGLADHL NGAGIPTFGPSARAAQLEGSKAFSKDFMARHDIPTAAYKNFTRYEDAKAFVDSIEYNV VIKASGIAAGKGVLIPTTKEETVAALKEVMVTKAFGSAGDEVVIEEFMTGEEVSLLAF CDGQRVVAMPGAQDHKRILDNDQGPNTGGMGVYAPAPCLFGAVEQQCVEIVQKSVTAL AKEGMPFVGVLFAGFMLTPTGPKIVEYNVRFGDPETEVLLPLLNSDLVEIFLACVEHR LDASLVRWKDGAAATVVLASEGYPESYPKGRVITGTDAANALPNVTVFHAGTTLNGGD ELVTSGGRVLTVTATAPSMKDAIQAAYKGVSKVHFAGAQHRSDIGHRGLLRSCPTIKL GVLGSTRGSSLQPILDAIAAGELNATVEIVVSDRKASGILERARIHHIDAHAVSGKNK TRDAVDAEVTALLQSKQVDLVLCIGYMRIFSGSFCQAWAGRVLNVHPSLLPEFAGGMD LAVHQAVVDAKKTETGCTVHYITEEVDAGPIAVQLKCPVYPTDVAESVKARVQPLEGA AFLYAIKRHQVHAYLGKTVVSYADAGVNIDAGNALVQKIKPACKSTVRPGCDADLGGF GGLFDLQAAGYDKDTVLVACTDGVGTKLKIAQLTGQHHTVGIDLVAMSVNDLLVQGAE PLFFLDYYACGALDVTAAAQVVEGIAEGCRQSACGLIGGETAEMPSMYHGGDYDLAGF CVGAVHKAKLLPLPVHHGDVVLGLPSAGLHSNGYSLVRKLVDVANLTYEAPCPWEPTT TLGENLLTPTRIYVKALLPLLKQGLVRAMAHITGGGLLENIPRVLADTDAVEIDSAAW RLPPVFGWLRSVGNLPDEEVSRTFNCGIGMVVIVAPEHAAQVVELLKSEQVVRLGLVV PRANDGAQVLFKGPLQF H257_06475 MDFQNRAGSKPGSGGVASEQQQAIDRRERLRKLALETVDLSKDP YILKNHLGTFECRLCLTLHSNEGNYLAHTQGKRHQTNLARRAAKDAADASASVIPTKP TIVPRRTIKIGLPGYRVTKQRDPDTGARMLLFQVDYPDVADGFQPRHRFMSAYEQKVE PADKNFQYLLLACEPYETVAFKVPNLDIDKAEGKFFSNWDKLSKSFTLQLTFAVEQSK PSRPAPPPPRPTRRFL H257_06476 MKDETSNTQRMLPSPHDAMRTAPCRTLPSMDQLIARSPNQGTSP HHATSTSSTPLYAHSPTANAGPAEYPKPHTYTYSKHPHPSGVPTFPDDQHRRDPFLRA SPYPNDQYHYEHHIPRQAYGNMQPPPQHNPYSHPRHHQYATSTTSSYPGHFAHYEDQH ASSSMLEGAGRRDFQPPRGRHGDDRYGAPQHLHGPAHGRMHPGMYPDDPSKDPSSAAL HGGADLKDAKKRERWTQDEHARFMEGLNMYGRKWKKIQTHVKTKTAVQVRTHAYGYFA KLLRNMPEDDVIWGAAEELTSLPSAVLKGPGSGKRRVEPMTGRDGMDVLRKFVFSKRK QVNDDGKGKASSDDDDDTTACTAATPTVASSDNASASSVGDDDDDEDMEHSDRTMRTT AATILSVARGGRSNISSPSSAKEASNLMREVVLSSPTINPMKSYQMASMEQADMTPT H257_06477 MATKKAKPPVTSDGGGAAAATKSTDDANTTTIVTIVVTVLACCW IQGAAMESILSMDPRSGPLLTFVQFVSIAVMTFPTSTHIAVPLVYHGGLSLLYFVSSR ANSLAHSCGLSFPLLNLFRASTPMASLATGYLVFGKAYARHQFLGVLFISVGIGTCSY MDPSSSTSTSTRATFCTDSMLSSSLVCSYPIVQSTLAQVGHWRVGIALLLVGLVVGSI LGHVQNHVMRAHAAPGQPHPAEESMFFMHAFALILMAFGDGQDLRTTWTSWTYLHADR QSDKLWTLVGLVLLNLVTNYVCIRSVYRLASVVSTVSLQVVLTLRKAASLVFSVFYFG QPFSTGQWIGTAVVFLGAFVYANTFNPRRR H257_06478 MLHRFIRPRGAAAARSFSITATSPLSQAAAGTAAAGKKFNVVNS HNEWDPLEEVIVGRIEGSTIPEWHVSGKAVWPSRYWDMYKTQAGESFPRELMVEAAKE LDYLSQVLEGEGVKVRRPEFNPDDFKTPVQTPDFKSLGGLYAAMPRDVLIVVGNEIIE APMAWRSRYFEFRPYRSLLKEYFHEGAKWTAAPKPQMSDALYNEDWEHSSGDFNSVVT EFEPTFDAAEFTRMGRDLFTQQSQVTNKFGIEWMRRHLGDDYRIHVLDFKDRNAMHID GTFVPLMEGKLLANPYRPCVTGKPVKTYSYKDKEYEYRLPEMFKGWEVFVAPTPELPP THPLFFTSPWTATCNVLVVRPGVVIVEAHEKPAQRLFKEWGFEVIPVPFRNFMPFGGS FHCATCDVRRTGTLKSYFD H257_06479 MDRPGASIKSSLQRYPSQNLLMPRRERAKPIFYGVDSFWPTLID FYSVDDDTRVLWSSRAHRKTFHTLHLAFSSDSTWCIISWSIWSACFSAFGAALFLYGS YNEIAFDTAATATDGDPNETPVPLELLHRWIDLPYFLGAASFFVAQLLTYLEVINCCH DLERWLHEYVHADPPIRKMKWLGFSPFRIDYWSALLHVLGWFLLLCARGYVYHAKMVV YGVVHLSRGDPRIFWGHWIPSLLGFFSLSVGAYLAHVEVIHRWFVCRLHKLEFWVTGT NCVSVGFLFMCSVGQFIDPFNLVFSDAGSMLMFQIGAALALLSAVLMLVEVYRLHMPA KHPVYGKLATPSTTTVYGTV H257_06480 MSSTPLQEALSLIASQSPLLSAEGLNKLNQLAFNTSQMKVEAGQ VGAIAAIVDAMTRSQENGSIQEDGCRTLRTVAFHCEPNLNLVQHQGAIDAVATALRLH GANEAVVAEGFWALVVFCANHHGNTVVAKGLVNVTEVMATHAANAEIQKKGMFLQVLF G H257_06481 MDVTSDVMAETYQDADAVALQLHAIASDIQPFVEQVLEGLPPFL QCTSISLVVQAMRQARSVAVSQRVLDETLVLATAGLSHLLSTKHNPSMPSEFHALHSK REASQAKLQTRARRIQAKWFNARFRTLSCLPKLELSPFSLREPDPVELPSTRLDSALL DKEIAAYTSQLEVRVSQSRDHRRRVAAETMHLQKTDLARRKRQLHVKQTRFEHAQHRW EYLLQQLCRRQRQRRLTDVKATHTRHGYAQEDTDVLRSTFSPRGGGGHRRPSRLPHRD KMEDYVANILNHAVLRASWVLEMQALPHRPDLKCLLSLPPQQDHQRPLVLHVAMLLCP NLFGPHFGLLYQQYFAKHAVDANVVFEWTTVDVASLDFPTQALQRCLDGFFVCGAPDV SSANRLTSAPWYGALVDFVRALVAQDRPVGALGRGHVVLAEALGGRIDRRPTWQRAHN MLEEKVTTGFKQVQTRVRVLHTLHGEYVASMAAHPWIRTTTSMPVPGSMYAFVSSIKA PKRVLSFDGYPECSSLVFDMLSRLVDPDHSTSVLFEKASTTGGSLALDWVSAGPGVAH QFIHLFVPGAVARAKAGPCPSVLHDDDPRAVVPMAIFASKSPIPHVDFISDTDEYFSF ALHEHVDSIVLGLNLSKDDHPIVFPRSCLNDMTDVADVFPALLSSKHHKHPLLVHIPR LTLVELKSLTILHAYQSRHVMKSPRVIPGSSPSSHNRLLTLCSALTRLADIHARLPPP PTKSAIVRPLHVTLIFPGEDASSFVKYSPQDLQKWWRVLNGAIAALHQPPPSKLTIVS RDATMLQVLRKMQPAWDFLFVLPPAAATVQDAVDIRRQAKVVARFADGVLLQKHHEFI ACSRSMSPPVGLHVHPLSIVDLYHEVGLRVYVNANGPDAVTFLGPSSGPVREQVAFLL LGVDGVFTSNPHPVQDARKLLADRHSVVDEVRDAIRAHGRAAMAQVEADHAAESDRLT NVDGYRLYAHHYYNLPLESHEVDQWQQHTHPLQSQHSSLVLSGDTVLDYMERLHAVDV PTEAHRQLQSHATVSPVKTDNQRRDLHMAVKNPTLDQVRRDKPVKVGKRNRSVGFAFR SRHLVVNNDDESNWRSLAVHHIPTPPPKSPQHPRTSHPVTHIQLMERDHVTPMSSLET RTPSMTLSDKATPRRRRVLHSINLPVCSI H257_06482 MIEPVTKEKIRICKGRAVKEELLKSIAEDQLPVDYGGTGVKLGL SAEEVALAKHVDDIMEKSGKYLHGSQEPPF H257_06483 MQDKRRATSDTSSSPGSLMGTRDVAIDRTLSSTSALPTPRGIGS TLNVCVEGKFLGILMSGMVEKRREGAVRAGFARRLFLLSVRGCHYYRKADDAEVFGTE RGHLALRDFGYAKIVPENEAPYGTVEPSVDGYHFVGLFSKQHTLTWFLRVDSMDVAKA WVTAIGAAQEIAKKHLYPTEWTSTMYNTFSSILTGHALLPAPANETGSTSATPSNHDS ESPSSSSLPALEVASILAVSIEQPSQQHDMTQHLRRERLVSRKVHLNQPISVGTSLLL NESLTILLSNGQSVSLSLETVRHAAPTSSLSTTLPIDLATSSFRIQASLHLTKSASPP TTVPSTPLSPSSSSLHTSSHELLQAPYNAAALAFSGLYIVSCVLAYAFGPPFQGLMQI TVILGAFLSLSVVASAGLRVHEIASIKAGQTRAATSTNSGPSVGSYAATLTITALDRV APAPEEQTPGEKTTTTSETAPKAVPELAFSPRFIAAEKGNVDKGRVRYENTLQWRREN RVDGMLHIPQPHFHLIKKNYPQYFHGQSIKGHCVYYEKVGKIDLKAMKAAGLTIEQLL RHYIYLTEYMWTILEPSDSGRSVTVLDVEGIGFYDLTGDVMDFVRQAMGFVSAHYPER SAQIFIVNVPRWFDVVWRVVSPMIEPVTKEKIRICKGRAVKEELLKSIAEDQLPVDYG GTGVKLGLSAEEVALAKHVDDIMEKSGKVDD H257_06483 MQDKRRATSDTSSSPGSLMGTRDVAIDRTLSSTSALPTPRGIGS TLNVCVEGKFLGILMSGMVEKRREGAVRAGFARRLFLLSVRGCHYYRKADDAEVFGTE RGHLALRDFGYAKIVPENEAPYGTVEPSVDGYHFVGLFSKQHTLTWFLRVDSMDVAKA WVTAIGAAQEIAKKHLYPTEWTSTMYNTFSSILTGHALLPAPANETGSTSATPSNHDS ESPSSSSLPALEVASILAVSIEQPSQQHDMTQHLRRERLVSRKVHLNQPISVGTSLLL NESLTILLSNGQSVSLSLETVRHAAPTSSLSTTLPIDLATSSFRIQASLHLTKSASPP TTVPSTPLSPSSSSLHTSSHELLQAPYNAAALAFSGLYIVSCVLAYAFGPPFQGLMQI TVILGAFLSLSVVASAGLRVHEIASIKAGQTRAATSTNSGPSVGSYAATLTITALDRV APAPEEQTPGEKTTTTSETAPKAVPELAFSPRFIAAEKGNVDKGRVRYENTLQWRREN RVDGMLHIPQPHFHLIKKNYPQYFHGQSIKVLTTYTHDLSL H257_06484 MAMHRFAALPSDEVARYSRQLLVKDFGVEGQKKLLKSRVLVVGA GGLGCPVLLYLSGMGVGHLGIVDGDHVDMSNLHRQVLHTPANVGQLKVESAQAALHLR SPSVAVEVFPVHMDTSNAADIMHSYDVVVDASDNVATRYLVNDMCCLMHKPLVSGSAL GMEGQVSVFNFQGGPCYRCCFPTPTPQTMAGTCSEQGVLGVVPGIIGSLQAMETVKVI SGLGRVLSGVQCAFDAWDMTFRHYKLPPKRPQCNVCGISPTIRSALESAASVGPLVCE LPSPVDASHTVTVHILASLLQDHPDLVLVDVRERVHYDICHLVPSINIPLADLPASIP SLVGSSSAVAVICRRGMDSTHAVHQLVAAGRTADTWHVAGGLVAWSRHIDISFPAY H257_06485 MSSAQSQLLSPVDTDDVMPRAIGALTTTGEYNQVHRSVGSPSYQ DSPSGEVVAMHQISSSPATSSPMKSQLNFILSSTGSAVQGQNYAVTTMPAAAAAPLKR GRGRPRKHPLPPPAVSGTSSAAANEWPLSVTPPQDKGTTAAPTTILSSGLVPVVKRGR GRPRKVPLDPSLPPVPPRVRVVVPPVPVVPRSARVRKSTAIFSPGGDNRPRKPPAAMS GVRRGRGRPRSTNTSSSPSMSNPHVHRIVRRVRNRLSSMRINMAFVDAYEQTGWRQRS SEKLKPTAELVAVRQKLARHQRALLRELAEFDAVFAMDTPLPTHASELAHDDDIVCSK CQSTLTSDGNDIVLCDCGTCHRAYHQQCLEPVLATLPEESAEWYCPRCDGIFQCLVLL NATFGSTWESLSEVFPELTAHDLEGDTNEMSSDARQTQQDMDEDKASDEDFDKSSVDG DDDDDDEEEEMADERDALSDAEVQFLTTTTDVLDAARRSMRSHQMSHDDQSDEDVTTL IVSGKRRRTAVDYRKLHGEMFPNAKPEDDDDDDKDEYVPPQNEDEA H257_06485 MSSAQSQLLSPVDTDDVMPRAIGALTTTGEYNQVHRSVGSPSYQ DSPSGEVVAMHQISSSPATSSPMKSQLNFILSSTGSAVQGQNYAVTTMPAAAAAPLKR GRGRPRKHPLPPPAVSGTSSAAANEWPLSVTPPQDKGTTAAPTTILSSGLVPVVKRGR GRPRKVPLDPSLPPVPPRVRVVVPPVPVVPRSARVRKSTAIFSPGGDNRPRKPPAAMS GVRRGRGRPRSTNTSSSPSMSNPHVHRIVRRVRNRLSSMRINMAFVDAYEQTGWRQRS SEKLKPTAELVAVRQKLARHQRALLRELAEFDAVFAMDTPLPTHASELAHDDDIVCSK CQSTLTSDGNDIVLCDCGTCHRAYHQQCLEPVLATLPEESAEWYCPRCDGIFQCLVLL NATFGSTWESLSEVFPELTAHDLEGDTNEMSSDARQTQQDMDEDKASDEDFDKVGVDC LV H257_06486 MQGERLNVEFPDSFRCQMATKVGVPLGKSRTSVGKPTELTISTG TSFGVLHGSVMDAVTTAVAEHHAVPTNVKLSWNPATQTTPSDIFVKVAANTTQEKYVQ MTLQNYSDVLQQVWDNASKIRNAQASFKLLLFVYIEKAASTAIRRATSSNIATSAVRV ADYIRDQNIVLGPLQTDYTGVVTARLPVTAPVEIPSNATMDQLGHIDSMLAQHAAARH RESISQSTDTYRRVRMRLGTMASSPVDIFLSVEDLRGILGIPPFDLTPIFRAPVEGEF LVPSVNVEDGDHINK H257_06487 MARQRSHLRTTEHPSERTVPLCQRLCRDESKTAILGPVHPPGCA AIPLRAILVTSNLSKVEQDVSTTGHVCNTKRRVREKRWPPEVNSWVSEYAIAHPCFYI EEFEEALRLQFPSLNNISASTICRALMYDLGLTRKEKRAREADEFELRDYYRRLSPYY SYPDQLVFVDETSKDGRDSIRKYAWSKRNQKAIVDLPFSRGQRVSALASFTTAEFLSW EYRWYD H257_06488 MRLPTGWSDHVQSCRSINVLSEPPLAAAVAHGIWSLVGHKAAAC AVDMVGQHGVADGVVLPWWGDLELPAACPITPQDQWVVQPLLVAGVALK H257_06489 MQFQQISIQPRLPSCLLKMEWLVWQLADSMYPTGGFAHSQGLES AVQANIVHNSITLQAFLVTSLHQTANLLLPFVFVAHASATSLDSFVHLDSLAHAMLTN HVAARASIAQGSAMLRVACASSPPSSVLHRVKREKVHGHHVVVLGFVCASLGVNAITT QRLLLFVTLRDLLSAATRLNVIGPLESAKLLAQVAPLAESILYAKKDRPLHDAYQSSP LLDLVHASHDSLYTRSDRPPRAVHVPKGPLRCTEYAVECVVCRRRSVPCECCRSLIDL DAMQDGLVMCEICGFCTVLDLDLRAFLHSPLLPFESAMRKEVALVQEQRQHASQASPV MENDMEPLVKRPKPSDEGGSLEGSWASAVLQSADIPPPFTAANLGMLNLLQGPTFPQQ EVDLLSDTLLQFLHHRHVLVQLQDELQDLDHLHRHISLRVSEVLAPTSTHLLDVPLA H257_06490 MSGRRVGNGTAASSSWPQDIDIEHMYGECQKRMERAVDHSTDFT NWALVSSHKSQVRVYQNERNASNQQCAMRLVIQVPGTVHSSVDMLRLLDSPSFRLIMK EMHGKTFIDGEVLHADGPRHNDSESSLALKWIALNTGTMFTKPKEFLYYEYCGVHHSR KYGPVGVVFLESYDGVGAKYGIRARPDSFKLATFDPSYFIMTPSAEPGKTVITLTVSS KKAGGSNLVSPSLKTLMLKYTANFANLEGLARTSMSLPSSRTQSNTMPDVSPPPSRNP DHIIPAHRASEPPPPRPASLASDTSASSAPPHTSKKKPPSKRQEFINSDFEEICHSAM QVLNCPMAGIRTNLFELVHYDPNVNPANMPKSLPTFRRMAQSGKPCVVLDVNSDKRIS VDRRATSRIQFFVGVPLTLESGECIGDICVADVKPRKIIDFNALEILKVLAQSATQYM SAAEYLAEFTDLPRDMELNGQLNRRKEPVVLPRAPPPMAETSYAHHQSYDDYIQEDEF H257_06491 MAKKVSKTAIFDGTGKGDEDMQIRINESFAKKYDEQKRYEELQN LKHADLSDDYDESDSETDDEDGDQLTEEVDKDIARTLKLIRKKDPSIYDTSITFFQPG ESDDDDSDGNSSDDDAKLTKSKQKKADKPLYYKDLVRQQVLAGDVDGSDVSDDDQAPS TATTTYAEDQARLKGEFLQSLAASGADGDDELDGGLFMKRTKTSAETEADLKEYEAFK ELHGDEITNPDEFLNKYLKSGVWKEGHKTRNQHNHRIQAEDAVDDSEDEEALDKADAF EHAYNFRFEEDGGGQIQTFSRHIDDSLRRKDDKRKVAREERKARKALERLKKEEELRR LKNLKQADIEAKLDKVRALMGDDTGKLTAEDIDGAFDPAEHDRRMAEVFDDDYYGGDD GDKPTWNDDDDVFGKLPEEYEVEEGEANSEHDDDIEDEANLDEMEEGDGDEHGEEDGD EEENSFKQSELDAKKKKYLDELYALDYEDLIGDLPCRFKYREVAKNNYGLTTQDILHA NDQELKAVVSLKRLAPFADREHSVNRRKVQQLRKVLKERSTNKKTCGGATAEEGVDTP AAVTEVEQGASSNKKKRKRKGKKTTDDGDDTKEGTPEVAVKDDAEDAANDEKDTTADD ATAPAKKKRKHKKKAKKTSAAAATPAATLSASRLESYRLKPLKK H257_06492 MPPTATTAVEWSKLDIRRLPTLVKEVDTLVKLAPEYKVLYSDEQ MHAFLLSLQSRIDDVDAMRREFAEDPRVIVVDRQLFRLRDVCIDLKSTPVVAEYNSRL HEPKSAKSAPEPTQEVKPLYRWEARKPTWRQAMASQDLNYIALKEPGHYSTRSPVENT LQLEGQFEFQIIMTEDEWRWHQKQRRQVAAESKHVERKKKADVLGVAPQQRSIQSSTP YIDPLKLEKSVYR H257_06492 MPPTATTAVEWSKLDIRRLPTLVKEVDTLVKLAPEYKVLYSDEQ MHAFLLSLQSRIDDVDAMRREFAEDPRVIVVDRQLFRLRDVCIDLKSTPVVAEYNSRL HEPKSAKSAPEPTQEVKPLYRWEARKPTWRQAMASQDLNYIALKEPGHYSTRSPVENT LQLEGQFEFQIIMTEDEWRWHQKQRRQVAAESKHVERKKKADVLGVAPQQYDGI H257_06493 MTAIGGGGTQSFPSASIEEELDELRQELCICEAELGLWNHRLAV ARKHGTNEAANNRKRPRPSTTTESVAVVDPSQEMDMDIASEESVVKRPRPIEVSSAVP VHPKESHSDTGVASSDVPSVVDIPLPMPLTSPTNDSTPPTSLATPTTNLPTPTPQASP TNRSTLPPPLAAPTSHEPTPAGPLASPMNNNSTPPTPLASPSSAVTTNPMSNERQKLL QKLREERAKAARREKLLELQKTADETLKKQQRARARLELAELKDQQLPPNRPLPPSKP LSHRKQFPPRPNTLALQRERTLKRPRRHDAPPPTATATTRPLLEPHMYTLPKDSTLVL ATMADLVSHLFRVCTRWLVQTNHPIALHALETQFQQYAGIDVSAAVAQVASYDQDQAP PITDLARVLSAFPLFDACVSGLLTVRSTDITSPLSSSPLSLSWLLPPEVSTAEHLATH LSYIRERFSAAVAVASRLESTSSAMDVVHAQAFLADVEVVALLRGGIIRPARTSDISL SVADLYMASLSSGVSGTPAATPAATTITTTTCSRRVQPYSIDDASPLDQIRAYRFHPA YTATHSVQPSLLSATYSNHVDPMKVLCPFELNGVCHDDKCEYQHERQYLISPDAAYGE LMHRWFPHQDPTSATDADDAERLANLAVTTFQNAAGASSTDLLFIKEKTSKPPRTLRS ITADAQPSLNEDQMLPTNIQASFCSIVNPLAKLRATTDVGFGLQFLVEDEGIRRGPLK SPARLSTGKPMNDVAAPPSELVEGEDFLVLQPASTLDATTDKLSTFDRYYSKDCTQTH VQELELQVAQHPDDVNAWMALALLHVDVDLPNIDDVGSWSCQSQVFQIIQVMRSKRHA RETLNVDKAVHILARALEIEANLYSEVLWRLYLALYAKDGWSDLADQAMQFLPSSAKL WLCRLDHHSFGSVSAAAGLFRLAIEKITQHNHHPPTATMAHDVFELVVRWCRLYVDAG QGAQSRQLLESILDDKTSGFTAGFDQDQLATLWLVYMDLMVLGTVAEDVHEEVDVYDF VYSVTLVRDVVNQHPLPNVVAFESVIHRMTRSLGQNHVAVAIVRLNQVVVQATATKTA TDNVAPLLSTLEDHMHGASPPRLCFSIATTLLSIDLPCCETAAQRWIQHLRALQADDD AQMYASMLDMTHAHLVLDAQTVVTKLLQWTEALNSNESTVGVAYGVRLLHAMGRLEGP AVASQHLDWVLERPSVSSRLTTGDQHHLWALRLHWAIQLGRDGDKVLRRFLQRQDDVP RHRWPSVAPAVEWCLQPPSRRFAFRMFRRYVSSFPEPLHARVFARFTTEFAVYPPFFV AYAKCAFSSDRDRFTFTSALKQCMAVISGDTCLLQVAVHTELQAMTKAGIPRLKSYIK AATIANPLAILPFTLAMAVDTAMGTSKLVVAHLTPVVQLLRQRGLLPPAPPTDSIPPT SYATPGSRLVHLPQCLLVMTHLRELDLSRNCLLTLPVDLFKSLVHLQVLNVSCNSLLC LPDSIVTLSQLRVLDVSHNHVTELPLLFGQLHALQELDVTATLLTSVPSTFAALKHLR HVNARGTPLTLDLLRRSIGPMVSIDVDDKTTDVVLQATLGPCAQCHRHPGKTQRFNAV VLCAKCILVALLPLL H257_06493 MTAIGGGGTQSFPSASIEEELDELRQELCICEAELGLWNHRLAV ARKHGTNEAANNRKRPRPSTTTESVAVVDPSQEMDMDIASEESVVKRPRPIEVSSAVP VHPKESHSDTGVASSDVPSVVDIPLPMPLTSPTNDSTPPTSLATPTTNLPTPTPQASP TNRSTLPPPLAAPTSHEPTPAGPLASPMNNNSTPPTPLASPSSAVTTNPMSNERQKLL QKLREERAKAARREKLLELQKTADETLKKQQRARARLELAELKDQQLPPNRPLPPSKP LSHRKQFPPRPNTLALQRERTLKRPRRHDAPPPTATATTRPLLEPHMYTLPKDSTLVL ATMADLVSHLFRVCTRWLVQTNHPIALHALETQFQQYAGIDVSAAVAQVASYDQDQAP PITDLARVLSAFPLFDACVSGLLTVRSTDITSPLSSSPLSLSWLLPPEVSTAEHLATH LSYIRERFSAAVAVASRLESTSSAMDVVHAQAFLADVEVVALLRGGIIRPARTSDISL SVADLYMASLSSGVSGTPAATPAATTITTTTCSRRVQPYSIDDASPLDQIRAYRFHPA YTATHSVQPSLLSATYSNHVDPMKVLCPFELNGVCHDDKCEYQHERQYLISPDAAYGE LMHRWFPHQDPTSATDADDAERLANLAVTTFQNAAGASSTDLLFIKEKTSKPPRTLRS ITADAQPSLNEDQMLPTNIQASFCSIVNPLAKLRATTDVGFGLQFLVEDEGIRRGPLK SPARLSTGKPMNDVAAPPSELVEGEDFLVLQPASTLDATTDKLSTFDRYYSKDCTQTH VQELELQVAQHPDDVNAWMALALLHVDVDLPNIDDVGSWSCQSQVFQIIQVMRSKRHA RETLNVDKAVHILARALEIEANLYSEVLWRLYLALYAKDGWSDLADQAMQFLPSSAKL WLCRLDHHSFGSVSAAAGLFRLAIEKITQHNHHPPTATMAHDVFELVVRWCRLYVDAG QGAQSRQLLESILDDKTSGFTAGFDQDQLATLWLVYMDLMVLGTVAEDVHEEVDVYDF VYSVTLVRDVVNQHPLPNVVAFESVIHRMTRSLGQNHVAVAIVRLNQVVVQATATKTA TDNVAPLLSTLEDHMHGASPPRLCFSIATTLLSIDLPCCETAAQRWIQHLRALQADDD AQMYASMLDMTHAHLVLDAQTVVTKLLQWTEALNSNESTVGVAYGVRLLHAMGRLEGP AVASQHLDWVLERPSVSSRLTTGDQHHLWALRLHWAIQLGRDGDKVLRRFLQRQDDVP RHRWPSVAPAVEWCLQPPSRRFAFRMFRRYVSSFPEPLHARVFARFTTEFAVYPPFFV AYASTYTSSTSSSTR H257_06494 MQSSPSGPSNSPVPPAGGAPSSPAKPTAPPPSPSKSPNAFLQKL AEKAATAAKPPVSAIEPRTLKIFTGTWNVGNKMPPATPAGIKSWVPEGGGDYDIVAIG LQESTYKKKASSSPTHRDCVEAVPEHDDEDDDEEAAHDIELHDVVLDDAKGAPPPPSS LKRASKSLRQSFSDLTRRASTTTSAQSYPFFVQLLEHLGADYMVAGAVELMEIRLAVF VHTRNAVSDVEKITEATGVGNVIGNKGGVVVKLVVDQRSFCFVNCHLAAHEAQKFLER RNSDVSEILSGARVGQRGIELDHQFDHAFWMGDMNYRVHLGYTDPKDMTKEEHWAAVH DLVTRKEYGKLYANDQLQHQMHANKVLAGWHTVPCEFAPTFKRLRGKEHEFTQQRVPS YCDRVLWKSLPGFESNLTLTAYTCVPDISTSDHKPVYAAFDVRPLPTPSPDTSTHDVI EIKLSDVSATNLDAMDMNGQSDPYIKFYCAVPDLLLPDENKHPQTAVLKNTLNPTWDD GQVPALQLKCQLNQLVRLHLVLVIMDWDATSADDPLGQAVVYLPDCYEPGESVPFDVP VVRAGIKAGSIRGKVSIQPSGKKYADWDKNNTQVPGCTCLVM H257_06495 MKLHSKPAMVRSPTTRLATTKSSSFKEKKNISVHVESPIQVTTV TEEPRNEQLIEEPAHLSSKTVPEPPQPRRPLPKHRVNTLAKVKGVDYLQGGRQPIPPM TLHGRILTNVDPRSRERAQKRWDALRDVVSRTPELTRITQKLQESIRILKMDPCDRRD TDIAHLYSWLMSQENLSPLFQTMPEKMGKNICRELEFLHLRSNDVVVHQGDVGSTCFI LISGLVAVFVRSPDEQERFTRLGLRKCYPPDPSTSLQHLADPSASKPTPPPSIDPIVQ RTGSQNFRAAAMSFGSKVASLKPGATFGEICLIEPDSRRTATVLVDGACSSANFIVLT SSSYTKMTATQKTEGTISDHIAFLHQLFIFRTWSKMQLMRLASSMRYMVFSPQQFLQR KNADIEYFYMILSGEVREVSSIVFQQVNHVGGGTKKLTEHKVTAELTYIGKYDVVCEG LVYPRKLHLSPVDIRAETTVCALALSKKLFQLSILSAAQLHQKHVAHTLRVLEQVSDA RQKWREARINQATAYPDLLIKITSKMMRLSGNLCMQCGRCTHIAGDSVCLFDAFLKAH EPKPVERQYFHHLCKDKTDEPEDSGRSPSPHNHHDHVMMVAAAPALAADDTRLSAHRQ LLAEQWTEAAKHGISPRRPATTDSTTTMTTRRPKPNARDRGSIAADIEAVRTSWPYAS H H257_06495 MDPCDRRDTDIAHLYSWLMSQENLSPLFQTMPEKMGKNICRELE FLHLRSNDVVVHQGDVGSTCFILISGLVAVFVRSPDEQERFTRLGLRKCYPPDPSTSL QHLADPSASKPTPPPSIDPIVQRTGSQNFRAAAMSFGSKVASLKPGATFGEICLIEPD SRRTATVLVDGACSSANFIVLTSSSYTKMTATQKTEGTISDHIAFLHQLFIFRTWSKM QLMRLASSMRYMVFSPQQFLQRKNADIEYFYMILSGEVREVSSIVFQQVNHVGGGTKK LTEHKVTAELTYIGKYDVVCEGLVYPRKLHLSPVDIRAETTVCALALSKKLFQLSILS AAQLHQKHVAHTLRVLEQVSDARQKWREARINQATAYPDLLIKITSKMMRLSGNLCMQ CGRCTHIAGDSVCLFDAFLKAHEPKPVERQYFHHLCKDKTDEPEDSGRSPSPHNHHDH VMMVAAAPALAADDTRLSAHRQLLAEQWTEAAKHGISPRRPATTDSTTTMTTRRPKPN ARDRGSIAADIEAVRTSWPYASH H257_06495 MKLHSKPAMVRSPTTRLATTKSSSFKEKKNISVHVESPIQVTTV TEEPRNEQLIEEPAHLSSKTVPEPPQPRRPLPKHRVNTLAKVKGVDYLQGGRQPIPPM TLHGRILTNVDPRSRERAQKRWDALRDVVSRTPELTRITQKLQESIRILKMDPCDRRD TDIAHLYSWLMSQENLSPLFQTMPEKMGKNICRELEFLHLRSNDVVVHQGDVGSTCFI LISGLVAVFVRSPDEQERFTRLGLRKCYPPDPSTSLQHLADPSASKPTPPPSIDPIVQ RTGSQNFRAAAMSFGSKVASLKPGATFGEICLIEPDSRRTATVLVDGACSSANFIVLT SSSYTKMTATQKTEGTISDHIAFLHQLFIFRTWSKMQLMRLASSMRYMVFSPQQFLQR KNADIEYFYMILSGEVREVSSIVFQQVNHVGGGTKKLTEHKVTAELTYIGKYDVVCEG LVYPRKLHLSPVDIRAETTVCALALSKKLFQLSILSAAQLHQKHVAHTLRVLEQVSDA RQKWREARINQATAYPDLLIKITSKMMRLSGNLCMQCGRCTHIAGDSVCLFDAFLKAH EPKPVERQYFHHLCKDKTDEPEDSGRSPSPHNHHDHVMMVAAAPALAADDTRLSAHRQ LLAEQWTEAAKHGISPRRPATTVSTRR H257_06495 MDPCDRRDTDIAHLYSWLMSQENLSPLFQTMPEKMGKNICRELE FLHLRSNDVVVHQGDVGSTCFILISGLVAVFVRSPDEQERFTRLGLRKCYPPDPSTSL QHLADPSASKPTPPPSIDPIVQRTGSQNFRAAAMSFGSKVASLKPGATFGEICLIEPD SRRTATVLVDGACSSANFIVLTSSSYTKMTATQKTEGTISDHIAFLHQLFIFRTWSKM QLMRLASSMRYMVFSPQQFLQRKNADIEYFYMILSGEVREVSSIVFQQVNHVGGGTKK LTEHKVTAELTYIGKYDVVCEGLVYPRKLHLSPVDIRAETTVCALALSKKLFQLSILS AAQLHQKHVAHTLRVLEQVSDARQKWREARINQATAYPDLLIKITSKMMRLSGNLCMQ CGRCTHIAGDSVCLFDAFLKAHEPKPVERQYFHHLCKDKTDEPEDSGRSPSPHNHHDH VMMVAAAPALAADDTRLSAHRQLLAEQWTEAAKHGISPRRPATTVSTRR H257_06495 MKLHSKPAMVRSPTTRLATTKSSSFKEKKNISVHVESPIQVTTV TEEPRNEQLIEEPAHLSSKTVPEPPQPRRPLPKHRVNTLAKVKGVDYLQGGRQPIPPM TLHGRILTNVDPRSRERAQKRWDALRDVVSRTPELTRITQKLQESIRILKMDPCDRRD TDIAHLYSWLMSQENLSPLFQTMPEKMGKNICRELEFLHLRSNDVVVHQGDVGSTCFI LISGLVAVFVRSPDEQERFTRLGLRKCYPPDPSTSLQHLADPSASKPTPPPSIDPIVQ RTGSQNFRAAAMSFGSKVASLKPGATFGEICLIEPDSRRTATVLVDGACSSANFIVLT SSSYTKMTATQKTEGTISDHIAFLHQLFIFRTWSKMQLMRLASSMRYMVFSPQQFLQR KNADIEYFYMILSGEVREVSSIVFQQVNHVGGGTKKLTEHKVTAELTYIGKYDVVCEG LVYPRKLHLSPVDIRAGGFFSLAFNLVTSFLRRNHSVCAGVE H257_06495 MKLHSKPAMVRSPTTRLATTKSSSFKEKKNISVHVESPIQVTTV TEEPRNEQLIEEPAHLSSKTVPEPPQPRRPLPKHRVNTLAKVKGVDYLQGGRQPIPPM TLHGRILTNVDPRSRERAQKRWDALRDVVSRTPELTRITQKLQESIRILKMDPCDRRD TDIAHLYSWLMSQENLSPLFQTMPEKMGKNICRELEFLHLRSNDVVVHQGDVGSTCFI LISGLVAVFVRSPDEQERFTRLGLRKCYPPDPSTSLQHLADPSASKPTPPPSIDPIVQ RTGSQNFRAAAMSFGSKVASLKPGATFGEICLIEPDSRRTATVLVDGACSSANFIVLT SSSYTKMTATQKTEGTISDHIAFLHQLFIFRTWSKMQLMRLASSMRYMVFSPQQFLQR KNADIEYFYMILSGEVREVSSIVFQQVNHVGGGTKKLTEHKVTAELTYIGKYDVVCEG LVYPRKLHLSPVDIRAGGFFSLAFNLVTSFLRRNHSVCAGVE H257_06496 MSNRFLLLDPHVPPSKVCGHPRQATPRIAKELEETKKKKRKDQK VLVNTGAYY H257_06497 MGLDGYKYILSKMKASRGNQSRLGSFSSIGCATYDFHGDDAVGY GPNYMVVADGVSGTQKASGILARVLVTETLNALERLRRKSLLDPIVAIKSSDFGAEMV RAIRDARALTRRRGRFDSALTAVYVDAASSLLFVFNVGDCKCVVVRRNVVVFESDATI YDFNVPAVVSTMNEIHYPTDAVEIQVAAYEPGDVVMVFSDGVHDNLYVDQVLRAVASH PNQGADIAKATVKACRDTFTRHCGYIPFAVAAAGFCLSAVEEMKANDAISSADFEAFE AKCRDLPRPHTRAIFGNDKRVKNLAFYSASNLLTFANKQVGKKDDISVCVGVLA H257_06498 MLADIRARDSKHTLERRASEAIVHGAVVATGLQQQHRGSNDVSL LQRRHSEDVDSSSLSINTSSTSTPQVVKPPDAITFFLSVTDLNLQGTTSTTDHLLRSA MSLFGGKKYATPSAAKTHVPESLVHIDLQSRLRETLPFQTEKVRSPNPSFCLGISIPV VAHEMSAQVLCFSIVLTEEGNGKTVAYTELSMKDLVRKFVHGTSAHIHLPLKLLTTSN TAKPMTKKAAPVLTLKLARVAPRSHVLFRSEDKMMRSYAFYNGEYSTPVVATEEAEAV DSHAIVPALFLKEMLGEMQASLDLWQLRYETKTPRTTEPKTSLFRSHDPALDRLLQDL HPPLDMTYTSGFLEGHLMTLEAQVTETREMMGLAQLLDDNHVLFKASAAKDKRETQAM PTNLCLSTFCLYKSQDATPLQRMRSLSMDINDMTMSNPPSSTPTIQQSPTPPRSSSIP SRRTLQDELRQQRKKDRSVSIDMTTTSSKADVGTLVVDATVTIVTCATPSADALPDHT TSTAGLRDLEDELVQVATQLRHLLQMEVFAEGGVGSIPATPSSMLLAGRKSDVLSFDD ALTTSTTLDENRSSSHGSSGGGGGSGESGPKDDDSSTVGSNSDHGHSILTRSARRGMQ LSASFDATAKRMSKVRSDSIDWVKSRVRTESLEWSRSSRDLLADAGGTGAADKLASLW TKWEHTKAAYVFRKVVCVSQSVSVLVAAFLAKLEAILDVPMELEQLGRIGFLLGWECL LFAQGGKEQRMLSDTFVAVKALEGFSITLVPLREVGAPSSRVSFTSSTSIQVGVPPSV YHKLPRRLQVARIRVHTAMFSQGLHEMQNLASLVNATGFQLQGVVNRDGFQTLQRYHR SFLDMQKEMNVHMRHRLNNETLLDALMPLVGRNAKVTTKALYASSNTLMLLEAADIVR KMNGMRVTCCPTGADHTAMSVTLEQARLLYSKRTANTNASTEDHDVLKPIANVMREYG VRIAVAMKNTGRFEYGFNAIQRKLLPDLYRPPTSTIQEMTSAFF H257_06499 MPLRPCVADDKIEAYMRRTKGFSLGLLLGHRSSSNLSDLVLAAV PTPPEQDGGKSTQLSDISIDWMVLHATQVLGYLPGGIDCLGMYVVARDAATSLNGIKL HRHMLALRQVQTRLKKSLHAISDDDCVQYIAVASPGVSPVFKALSKGNAMVPVDCKWQ PDVATTFLRRFTCSIEVPIIIASPPSRSHTWWTTRLHRQLQNTISIADSSDHVTFLRA LASSGNGTDDDGVRTCSTGTPSRSLQTIQGSIHCVAYVHRKTKTIVDVAADRLREDGV HSLATRLAALDNDDQSTDAEESPHEASQTMALPRRAVFSWCSGGVPAGFDGLLHVVEA HESLADSLSNAREVLGDASSILHATWVEEAAKEVPVWAEKVEPTCKSPWLTPEPITPT NTVTSHHYWRLTTGSMAAALSVLALALLWLRYSSTLLPL H257_06500 MFQTWVHGIFNPRQVQSCPDPITQHIRGGSDNDSEDADGQASHS SRPRANTFDDTTTASRRRSDVAVSAWDSKREMCQNCKHIYLKFLSPCTTAGFCSLDCQ SNAVYLLAVSEGIRAAAAKADIPLTTIDSTSCNAPSHAVAASLSSEKEQATTVMLAPV LSKMSCGVAMSEPKAVAGSRSAEPVSSPTTQESCSSTMDFDQYDDSDYDTIERHTYSD FYTEKLKLARAVEWNFSALY H257_06500 MFQTWVHGIFNPRQVQSCPDPITQHIRGGSDNDSEDADGQASHS SRPRANTFDDTTTASRRRSDVAVSAWDSKREMCQNCKHIYLKFLSPCTTAGFCSLDCQ SNAVYLLAVSEGIRAAAAKADIPLTTIDSTSCNAPSHAVAASLSSEKEQATTVMLAPV LSKMSCGVAMSEPKAVAGSRSAEPVSSPTTQESCSSTMDFDQYDDSDYDTIERHTYSD FYTEKLKLARAVEWNFSALY H257_06500 MFQTWVHGIFNPRQVQSCPDPITQHIRGGSDNDSEDADGQASHS SRPRANTFDDTTTASRRRSDVAVSAWDSKREMCQNCKHIYLKFLSPCTTAGFCSLDCQ SNAVYLLAVSEGIRAAAAKADIPLTTIDSTSCNAPSHAVAASLSSEKEQATTVMLAPV LSKMSCGVAMSEPKAVAGSRSAEPVSSPTTQESCSSTMDFDQYDDSDYDTIERHTYSD FYTEKLKLARAVEWNFSALY H257_06501 MKPTPRAKFSYNARTYSTSNKETVSISSLVNADITLKVLYEAHG QGLEKDDKAILAATLRTSATVTTKLESLLMKHQRPAGNAGIPRVGADMKREAAKCASC AKKFALLARPTNCMTCGYISCGKCISHRQVPRSFGYDESSTVKVCTLCSAWFKDFLNA QFDLHIRPRLNDAPPPPPPPPDTPSSDVLPATIDQFLAAVDVATDDGHLSPSVKDEIS AAAIGPRLTVTTAGIIMSILKHVLATIVAHYEYHDDMDSNDSEGNDVATPLLSASSMP PPPMMSLSDDTTPFFVSRKSTRHLPSNVQPDKTSSGVPDLAIRSILSLMVLLDSIPTH DHVPHTLSVVGNSNSHDDDDNVEALMHLSTPDIDPYDQVDEDDVAAAAGRQHATDIRD IPPVEELLEEDDRDTTASSLSENPNLLLPTTSYYVTLFRKASDRYRLTVNPTLGLVHV TSIVRGSTFSFRFPDVHVQAGAVGSDAVRLCFVHRSDVVYQFASDAVKAEFCSVVDAY KRAHPHIEPRRLPLVPLLKGERRMHLSRFPATAALMDCHVRGIVMVTNYRLLFLPLEA HGPTLVEIPLFAIVSVSRAGGGVGTGFTKDPAACRLQGTSALAVTCKDVRALRLDVAD DKAETLQTLVNRLAECTQRHTPVHLVGCSSMTEGSGGDIPHFAFLYIMSHVPVDGWQF AHLATEYERLGLNDDTHFQWIDNDNGDVCDSYPPTLVVPASLSQSSIESAAGFRAKGR LPVVTWLHPVHKSVLARSSQPLLGRLLSGASCNMDEGIVNCYRQRPGPSKPFYIFDAR KSKAAAGNRLMGKGGVETSENYERAIIYHLNIANMYKMQASYQAMVKICIMPEYDKTW WSAVEATRWFEHLHLVLDGACRIARVLEVEGASALVHCSDGWDRTCQLVCLAQIMLDP HYRTLHGFATLVEKDWCLFGHKFMERLGGNRGKDPMRAKMSPIFFQFLDAVFQMLSQF PNAFEFNEHCLLHLANALTSGLYGTFVYDSYQQRKLAGVASRTVSVWTPLCAAASFFL NPDYTPVVGPLWVWTGHQALKLWTNYFLQHHELQTTRVAGKSLTPSASLTSALDTLST HEPSPEE H257_06501 MKPTPRAKFSYNARTYSTSNKETVSISSLVNADITLKVLYEAHG QGLEKDDKAILAATLRTSATVTTKLESLLMKHQRPAGNAGIPRVGADMKREAAKCASC AKKFALLARPTNCMTCGYISCGKCISHRQVPRSFGYDESSTVKVCTLCSAWFKDFLNA QFDLHIRPRLNDAPPPPPPPPDTPSSDVLPATIDQFLAAVDVATDDGHLSPSVKDEIS AAAIGPRLTVTTAGIIMSILKHVLATIVAHYEYHDDMDSNDSEGNDVATPLLSASSMP PPPMMSLSDDTTPFFVSRKSTRHLPSNVQPDKTSSGVPDLAIRSILSLMVLLDSIPTH DHVPHTLSVVGNSNSHDDDDNVEALMHLSTPDIDPYDQVDEDDVAAAAGRQHATDIRD IPPVEELLEEDDRDTTASSLSENPNLLLPTTSYYVTLFRKASDRYRLTVNPTLGLVHV TSIVRGSTFSFRFPDVHVQAGAVGSDAVRLCFVHRSDVVYQFASDAVKAEFCSVVDAY KRAHPHIEPRRLPLVPLLKGERRMHLSRFPATAALMDCHVRGIVMVTNYRLLFLPLEA HGPTLVEIPLFAIVSVSRAGGGVGTGFTKDPAACRLQGTSALAVTCKDVRALRLDVAD DKAETLQTLVNRLAECTQRHTPVHLVGCSSMTEGSGGDIPHFAFLYIMSHVPVDGWQF AHLATEYERLGLNDDTHFQWIDNDNGDVCDSYPPTLVVPASLSQSSIESAAGFRAKGR LPVVTWLHPVHKSVLARSSQPLLGRLLSGASCNMDEGIVNCYRQRPGPSKPFYIFDAR KSKAAAGNRLMGKGGVETSENYERAIIYHLNIANMYKMQASYQAMVKICIMPEYDKTW WSAVEATRWFEHLHLVLDGACRIARVLEVEGASALVHCSDGWDRTCQLVCLAQIMLDP HYRTLHGFATLVEKDWCLFGHKVYKNTLRYSVYDLYIYTCMCLKKSG H257_06502 MALKEVTSGGQPWRLERRIEDVTDRLRVLALKNYHVFVQNQQCA QVVTSELQSLGDNLTSVQTSLPSLVSQSKALDTTAHDAAKTNAEIQYVLGQYAGLMGV LEIPQLIDGCIANDLLEDALETIQFAKKLLEQTYTSSIQPKSSNASSSIVHTLVAEVK RATTALRAKLVDKLRGELPLAKCLHLVAYLRRVDGLWTPLPADYDYHLKQEFLACRDA YLSKTVQSIPTSDAYNYLMQIVDAKRTSWFDMITQYSAIFGHHQVQGRVDAALCAWAV RTVSDLTRLLETVLPNVVEFNAIATIMEQVLFFGGSLGRVGVDFRGLVLVIFQSHVVA RVTTQWTDAVDAFDAALSMQGGGAIMIQSFRPVSTPVDPTGGDSSVAPPSIMTFPALA QLTNAILTSFNDLRLCALLSLQYRLSLCLQQSMARVVVAVGAFCRRHALTPDEVADNM GGGGGQSSKVPLEVQVFRLIQVMHTAWVPYIIRSFHKLFTEPKGRQMPTALDEANGPQ EHTSSPLPRRHKTLELVLDDESDEPEVPDVGKRRDIGGLPSQGVTKSSYSGVAVEMVL PSTSETLRFAGGPQLAATQTQYIEDLIRDFFRTYQITAALDAFECERPIVDASKALDR GSLGLDVTDGGGYGQSRLESFVQSWNQVEHGVEFVKQPTARKPKKKKTPPPSALAISP LPTGTAPPVPEVNEPVAEWDLGVPGCTPKPRIHDLKSFGFDDVDDLSCPTSPGVATPS SPVLDKLAQRSKTTTSSSQGKKVSIGEDGSTPKKQYIFYRETPPSFVTESEEAAREVM EKLSLDPQYDIVPPEAQQRFVELSSSEVSKYAVGKRVEGLGATRSICGIVSKTFGSKL CGTSGPGTIVIDTQPEVDASPVPSATGLTCATSFSAEDEALIDALLESN H257_06502 MQIVDAKRTSWFDMITQYSAIFGHHQVQGRVDAALCAWAVRTVS DLTRLLETVLPNVVEFNAIATIMEQVLFFGGSLGRVGVDFRGLVLVIFQSHVVARVTT QWTDAVDAFDAALSMQGGGAIMIQSFRPVSTPVDPTGGDSSVAPPSIMTFPALAQLTN AILTSFNDLRLCALLSLQYRLSLCLQQSMARVVVAVGAFCRRHALTPDEVADNMGGGG GQSSKVPLEVQVFRLIQVMHTAWVPYIIRSFHKLFTEPKGRQMPTALDEANGPQEHTS SPLPRRHKTLELVLDDESDEPEVPDVGKRRDIGGLPSQGVTKSSYSGVAVEMVLPSTS ETLRFAGGPQLAATQTQYIEDLIRDFFRTYQITAALDAFECERPIVDASKALDRGSLG LDVTDGGGYGQSRLESFVQSWNQVEHGVEFVKQPTARKPKKKKTPPPSALAISPLPTG TAPPVPEVNEPVAEWDLGVPGCTPKPRIHDLKSFGFDDVDDLSCPTSPGVATPSSPVL DKLAQRSKTTTSSSQGKKVSIGEDGSTPKKQYIFYRETPPSFVTESEEAAREVMEKLS LDPQYDIVPPEAQQRFVELSSSEVSKYAVGKRVEGLGATRSICGIVSKTFGSKLCGTS GPGTIVIDTQPEVDASPVPSATGLTCATSFSAEDEALIDALLESN H257_06502 MQIVDAKRTSWFDMITQYSAIFGHHQVQGRVDAALCAWAVRTVS DLTRLLETVLPNVVEFNAIATIMEQVLFFGGSLGRVGVDFRGLVLVIFQSHVVARVTT QWTDAVDAFDAALSMQGGGAIMIQSFRPVSTPVDPTGGDSSVAPPSIMTFPALAQLTN AILTSFNDLRLCALLSLQYRLSLCLQQSMARVVVAVGAFCRRHALTPDEVADNMGGGG GQSSKVPLEVQVFRLIQVMHTAWVPYIIRSFHKLFTEPKGRQMPTALDEANGPQEHTS SPLPRRHKTLELVLDDESDEPEVPDVGKRRDIGGLPSQGVTKSSYSGVAVEMVLPSTS ETLRFAGGPQLAATQTQYIEDLIRDFFRTYQITAALDAFECERPIVDASKALDRGSLG LDVTDGGGYGQSRLESFVQSWNQVEHGVEFVKQPTARKPKKKKTPPPSALAISPLPTG TAPPVPEVNEPVAEWDLGVPGCTPKPRIHDLKSFGFDDVDDLSCPTSPGVATPSSPVL DKLAQRSKTTTSSSQGKKVSIGEDGSTPKKQYIFYRETPPSFVTESEEAAREVMEKLS LDPQYDIVPPEAQQRFVELSSSEVSKYAVGKRVEGLGATRSICGIVSKTFGSKLCGTS GPGTIVIDTQPEVDASPVPSATGLTCATSFSAEDEALIDALLESN H257_06503 MALKRINKELLDLGKDPPANCSAGPVGDDLFHWQATIMGPEDSP YSGGVYFLNIHFPADYPFKPPKVNFTTRIYHCNINANGGICLDILKDQWSPALTISKV LLSICSLLTDANPDDPLVPEIAQIYRTDRAKHDGTAREWTAKYAM H257_06504 MRAVHTILAAALLAACAPSSASFGAIEELFPCDAQDCRPNGSNP ISTVESCLKACNGGSANECKEQCVCSGTAPGSQCAGICRKNKSEDECGKPVRQKCAGA DLVCDKSTQSPEPTTANPATTAAPTTNSPTTVVVTTPVPTTAEVTTVVPETTAVPSTT LPVTTAIPTTTAVPSTTLPVTTATPTTTATPTTTTTPAPNTTTTPAPNTTTTPTPNTT TTPTPNTTTTPTPNTTSTPVNTTIPVTTHVPTSSPAPTSTSAAPVTTTPAPVTTTPAP VTTTPAPTSSTAPSSSVTPTVRPSSAPVTPSPSPPPASTQTPSTDPCTPVSVVGDATY CVVGAACGDTGRSCPKKGAVTTIDCDRHLASYVQDTNSCVARFTSVCQPLHRAGATVY GCMFDPTIVDSVPPPSPTPSPSLNLNANPLPPCTDVSVVGDATYCIPGDICGGNGTNC PKKGDVTTKDCLRKLRSYKDADRCVAPSDAVCRNIHGSVLGCVFP H257_06505 MDKTTTLGLPPLPGVDSERDAKAVIRRMRMQGRQPDSSTLDVQS FNQLIVAAKDDVVADDAAAKDELTYYRNLLDKDKPRRPPPSHPPTLPSIYLTPSTIKL PSLKNERSATSPIIVNPTQSNQLERPPTRSKADKPVTRDPTSTNASEYTEPPTTKPQS PPSRAKKLRPIQKSVNESKAPGAIDIQPVTKLANVSKQHGIGDDGANNDISTAKSAAI QLQHGCGTSGGPRGLTRLHASNNPPPPNDTSTAGPVRKPKAPKDKDAGRGKKKADDRH NIPTLNNDNQQLEERSNPLAPPSLVQKPKKPHRITNGHRAITSTTTQSSSIDCVDTFD DDEPEMDSAPVWREDDMMLVTSGYGSDDGTM H257_06506 MQHRHDHPHDHTYGHSHVHSSHEGEEEVVGRFKHRFGRIPTPAE YTQLIEHGHIHERLDHAGVFDEREPAKSLRDFEERAFTIGIGGPVGSGKTALMLALCE ALRDTYSLAAVTNDIFTKEDGEFLVAHHALPETRIRAVETGGCPHAAIREDISANLQA CEDLSSQFALDMVLVESGGDNLAANFSRELADYIVYVIDVAGGDKVPRKGGPGITQAD LLVINKTDLAPHVGASLDVMARDAKAMRGRGATVFAQVNARDGVPAIVDHVVAAFKAA TATP H257_06506 MQHRHDHPHDHTYGHSHVHSSHEGEEEVVGRFKHRFGRIPTPAE YTQLIEHGHIHERLDHAGVFDEREPAKSLRDFEERAFTIGIGGPVGSGKTALMLALCE ALRDTYSLAAVTNDIFTKEDGEFLVAHHALPETRIRAVETGGCPHAAIREDISANLQA CEDLSSQFALDMVLVESGGDNLAANFSRELADYIVYVIDVAGGDKVPRKGGPGITQAD LLVINKTDLAPHVGASLDVMARDAKAMRGRGATVFAQVNARDGVPAIVDHVVAAFKAA TATP H257_06507 MQNTSGILPSSSSSAADPSPAPTNAEPQTATVMTTAPATSTSDS TSSRRIAVPRSQKAVHCPDECTTAVVTPMEAASASILPLVTVVEEPTKDSLTAPTQGN KRRCWTCKGKISLSAVTCRCGYTFCNRHRYAEEHDCLFDFRQMAKRKLAEENPRVVPL KVARIN H257_06508 MTKGKDRDMTVIARRREQSRASIRRWRANEQVNRRLLETTVHHW DPKLLLQTILDTLRQQVNDLEAELKGKLSVVGTGVHAMISASVEGHDYRLDQRLAKKR FFIAQNMQLQEALKMHMDNLNHVASLMSRVDPLNTQATLYDDSFLLYITQQTQVKLRA MDLRRHFSGAEHNINGISCVAVSRGAYQDRSMFLPLANRVADHKVVPTLNVAMTVIET TSWTLSNPNHLERRYLVVHRELSMSNEYTVTVTALSENLVPAPHAFCFEATNITPWAY TRQLVGTCV H257_06509 MPRVVHNSMMSTSVDASFANDSGKRNENSRGNRLAGGGQTKRTH STHCGSKWPIWKRSCGANYPLGRGGSAAQAGTVATSGDARVEKLMATKRFYMTQNVQL LDTLKGGRANSAPATPLGSSSLEVDAFLIHFLGVDDAQEIDGISCIARTRGVGQDKAI CMPLVDDVIDHKKQQLQRYLILQRESLANGTCSLKTGSPEETKATIEGMVHSQ H257_06510 MDLPTKPMMKVEYDSFEILATLGTGTFGRVRLVKHKENNTYYAL KILKKCEIIRLQQLHHIKCEVEILSKIDHPFIVNFLGSFQDEKRLYLVLEYVPGGELF SYLRRQGKLTDDASRYYASQLVLAIAYLHGLHIIYRDLKPENLLITHDGSIKITDFGF AKRVEDKTWTLCGTPEYLAPEIIQSKGHGKSVDWWAIGVLIYEMLAGYPPFYDENPFG IYQKILTGKVEYPRYIDSKAKDLIKKLLTHDRTKRLGCLRNGAEDVKKHKYFANVDWN SVISKGDTPPYLPPIHGPGDHGNFDQYPESTEDTAVVLTGDDKAAFDAFNLF H257_06511 MGGGQSLLAAAADAAKNNCVELDWSNRRTDDNPKLVTIVPPSFV SHLLGSTLTDLRLSGNGIAQLPASFGRLVALERLQVDHNQLVELPFSFNKLVRLRDFD ASWNQLASLHPTFSELTSLQRLWLHHNRLETLPPALGDLQALERVFVQHNVLSALPFS IVLLPRLTELDASDNKICMLPEEWQTAPAVTTLRLTRNLLKQLPIGLGALQQLHTLSL QSNRLLELPAICASLVNLRNLSLTSNRIDTFPAAALDGMRRLRVLTYTQNKLNAVDAT LFHLTKLVYLDLSENGLVQLPDDNDSDSTASSSTNDANHGTWACLACLQEFHVSNNRL SRLPRGLEALPALHFLNVSNNALVEIGAAIGQMRGMHTLWLAGNQLQVLPSELSQCTQ LTALLVDRNDLQSLPRSITTLPNLHTLSVDRKTFALLDPAVLTFCTALPTFDILGL H257_06512 MSSKRSKKDGETKTEGDGDKKKSKSKKKEKAPSKEPKEPKEPKE KAPVVEPPTTTQAPPPPVIEQPEINDPDAIQLFRRYDREKANHVTRSDFLDLLRDYTT WYPGRKWNMPVMPCALTDAAGVPLGFERTSRNSEFEAGQLFERYDTNRSGTLELGEFQ LFFRDFKKQLAPFVDEMLAAFHATPPLSRMGFDSAGLPRRHPPTNSFGEPTTTNPWQQ RPPNHDSSQRVFDTRHLYESKLDQLHALSEKTLRHLQLQKLDHGQYPRHQAATATLPT WTRHAPPPSMMPHHHHNTSAPRWHDMDELERDLAFVDRIYTDTTKFMEQAHRVVSIED MNAFLDEFPRMHGMVQQIAAKTYAQSPPVNSHQPTHSSSKNDVDRDKLVKVKDQMIWG LLQERNELRRQRDAIDAQFRHMTELSAQEMRKWAKLTDDMQAEIERLRG H257_06512 MPVMPCALTDAAGVPLGFERTSRNSEFEAGQLFERYDTNRSGTL ELGEFQLFFRDFKKQLAPFVDEMLAAFHATPPLSRMGFDSAGLPRRHPPTNSFGEPTT TNPWQQRPPNHDSSQRVFDTRHLYESKLDQLHALSEKTLRHLQLQKLDHGQYPRHQAA TATLPTWTRHAPPPSMMPHHHHNTSAPRWHDMDELERDLAFVDRIYTDTTKFMEQAHR VVSIEDMNAFLDEFPRMHGMVQQIAAKTYAQSPPVNSHQPTHSSSKNDVDRDKLVKVK DQMIWGLLQERNELRRQRDAIDAQFRHMTELSAQEMRKWAKLTDDMQAEIERLRG H257_06512 MSSKRSKKDGETKTEGDGDKKKSKSKKKEKAPSKEPKEPKEPKE KAPVVEPPTTTQAPPPPVIEQPEINDPDAIQLFRRYDREKANHVTRSDFLDLLRDYTT WYPGRKWNMPVMPCALTDAAGVPLGFERTSRNSEFEAGQLFERYDTNRSGTLELGEFQ LFFRDFKKQLAPFVDEMLAAFHATPPLSRMGFDSAGLPRRHPPTNSFGEPTTTNPWQQ RPPNHDSSQRVFDTRHLYESKLDQLHALSEKTLRHLQLQKLDHGQYPRHQAATATLPT WTRHAPPPSMMPHHHHNTSAPRWHDMDELERDLAFVDRIYTDTTKFMEQAHRVVSIED MNAFLDEFPRVEDTNKMKSCTTYECLLVLARCTGWSNK H257_06512 MSSKRSKKDGETKTEGDGDKKKSKSKKKEKAPSKEPKEPKEPKE KAPVVEPPTTTQAPPPPVIEQPEINDPDAIQLFRRYDREKANHVTRSDFLDLLRDYTT WYPGRKWNMPVMPCALTDAAGVPLGFERTSRNSEFEAGQLFERYDTNRSGTLELGEFQ LFFRDFKKQLAPFVDEMLAAFHATPPLSRMGFDSAGLPRRHPPTNSFGEPTTTNPWQQ RPPNHDSSQRVFDTRHLYESKLDQLHALSEKTLRHLQLQKLDHGQYPRHQAATATLPT WTRHAPPPSMMPHHHHNTSAPRWHDMDELERDLAFVDRIYTDTTKFMEQAHRVVSIED MNAFLDEFPRVEDTNKMKSCTTYECLLVLARCTGWSNK H257_06513 MQLGSSSNASSNALASSHPQLDMKKLSRFFAEDKPPRKRLATAL EFLKTSTSEQQDLFWGEVAHGSMFFSVLHAYLTELETMYVAPEKKNLIDKMRTKKFQT DDWTDVFEGLEVLIKSNKGLLASGWQHNRFLQIFQKLLLADNIAYIKKYAFRCLALYS DILMDMDFGLRNTAQLLTPNPSPPPSLSSASSSIPPSADMAVNIGFGFSHLDLLAESI DFSPYASGNSNVVLPIRVYTVGSVLGWERGGPTQAEEPVDMLKYVMDLSLERSDNISD VDVQRFLFWCHVLMHSYMPLLYPKTCIDVGFKEKADKVGFFHHCPGSFQRVMARWLYK LRSKPKFMDALWVHKEYVDVIMETLRQRFAYRDPELILDGIKFYSQLCKGTQYIPPAM KDSFHEMHRAIIAHVSQVFHPSVHFDDANIFIVGVDLLELLSQQPLHIHSFAVLRKAI LATTDASFFLRDTPPGPSILVPMVAAVFHTWMHGMVQPNGASVDVWAELGTMVRKWLT HPSVSAAFAAELVRRWKVEVTAISALVQWFYTPPPSGSSDKVPPPTTDHHLHHAKDVA FTSTFVAQQVAPTVDAALIVLDRLLHVMSPSATLSSLKPHLLLAVQTSVRDIVSHWVL HVPALGISPSTILCLFGEWFLPSCEMPMSRDFDAAQAIALETLCLVCTAKGRDVLLPS HVAMFCRVLHAALQDTTNIRVLSTVIRHASTVFGRCAPGIHVLVPAFLHAIDALTLFY TSLSSSGGGSSSEADCLACVELLFGMLALPGQYPHVIHESWRAKLHDVASTHGRVGSG DTVLPHLAEFDVELHAVAATCFQRLVDLEQDRPHRTTSTEVRQRALWGVYLLVVLQLH SVPTTDQGSLLHPLVKTLVIYLCDTCASRDVALSQTGLAAVHALHVYHATLLSHEPGL VQHIVMALSLLVQQQVEDASTVIRDHLEGSPPIDYQMAPPLHFQGQRGHNGSPTNHPP QDMTPPMSRGRKRSNSWSAPDKPSGSDIPSNQSKQQQSGDGLQTIANKSRAIFEGLTD WLMRCTSLLDDPAVLKLLFQALEAALIGSIPTTSEWQTAVEDARKRKRNMDTPLLFLG LAMRVSLDPDRHKTSLQCFADIAAAAEGFLMHLLHHLHGFPSPAGIDQFVSTCTEFDD KANDNMTSLSFVYLNSLVLTVVGSVDAATARIVVRDVTGTFTWDAVVMPGVSAEHLVS RHHVAPPIPSVEAPTRLDQVQQPWDRPLVSEHDRRERLEVVMDHAVVHANMPPVPASN MCSICGGRVVPTSSSSSVAPTPPSPPTLLPFPVANKVVVKGNTLPSMPRYMFHSESHP GLTAPGSNFDVYVCQCRATFGTSVPDDSRSTSSTADASDDKAPPLPICDLFTIDADHE YIGSLDHERSLLELLVDSIPMQYRDCGGDLIDKTLLGGRYTMQRYLDMEWDERRTRSR VGPMHKDASAEPGFSFFQRLVHDDECYAYLKSFLAEDKDPRGRYLVEFCDALKKYERS FDGNDRLSQASLLYWEYLSSESNFSVKFPYGIASKVQQAIQVAASKDASTSRPPQQQQ VLPLTLFQPALDVVEATLGFDDGNGRGLLDRFIVSLNDHLARKQLPSHPDGGDAWTTN VPIPHQLLLYDAFNVMELNVRICAETLHVKASTTTNTLLHPKATAALSAPSPSTRLAP LDMCRLFLGHVGILPNDVVASHHDVQLLDNGVKLERSLKHLDKAPSRETMKIGVVYVG PNQSTQMEILGNDRGSPAYERFLRQMGWEVDLSTHRGFVGGLDVNPKSLSNGATALYF ATSTAELIFHVVTMMPTREADPQQIDKKRHVGNDYVHVVWSDNLRAYNQSTITSNFNF VQVVLFPLQHPTYDGLVLVEVLTKPNVPLFGPLMTGMVVSEAQLPDLVRQTVMNANRA CRQQTQWYMAPYTTRRKLIEEVIERYAMPYSEKSMLTSLFKIPSSPSEGHSL H257_06513 MQLGSSSNASSNALASSHPQLDMKKLSRFFAEDKPPRKRLATAL EFLKTSTSEQQDLFWGEVAHGSMFFSVLHAYLTELETMYVAPEKKNLIDKMRTKKFQT DDWTDVFEGLEVLIKSNKGLLASGWQHNRFLQIFQKLLLADNIAYIKKYAFRCLALYS DILMDMDFGLRNTAQLLTPNPSPPPSLSSASSSIPPSADMAVNIGFGFSHLDLLAESI DFSPYASGNSNVVLPIRVYTVGSVLGWERGGPTQAEEPVDMLKYVMDLSLERSDNISD VDVQRFLFWCHVLMHSYMPLLYPKTCIDVGFKEKADKVGFFHHCPGSFQRVMARWLYK LRSKPKFMDALWVHKEYVDVIMETLRQRFAYRDPELILDGIKFYSQLCKGTQYIPPAM KDSFHEMHRAIIAHVSQVFHPSVHFDDANIFIVGVDLLELLSQQPLHIHSFAVLRKAI LATTDASFFLRDTPPGPSILVPMVAAVFHTWMHGMVQPNGASVDVWAELGTMVRKWLT HPSVSAAFAAELVRRWKVEVTAISALVQWFYTPPPSGSSDKVPPPTTDHHLHHAKDVA FTSTFVAQQVAPTVDAALIVLDRLLHVMSPSATLSSLKPHLLLAVQTSVRDIVSHWVL HVPALGISPSTILCLFGEWFLPSCEMPMSRDFDAAQAIALETLCLVCTAKGRDVLLPS HVAMFCRVLHAALQDTTNIRVLSTVIRHASTVFGRCAPGIHVLVPAFLHAIDALTLFY TSLSSSGGGSSSEADCLACVELLFGMLALPGQYPHVIHESWRAKLHDVASTHGRVGSG DTVLPHLAEFDVELHAVAATCFQRLVDLEQDRPHRTTSTEVRQRALWGVYLLVVLQLH SVPTTDQGSLLHPLVKTLVIYLCDTCASRDVALSQTGLAAVHALHVYHATLLSHEPGL VQHIVMALSLLVQQQVEDASTVIRDHLEGSPPIDYQMAPPLHFQGQRGHNGSPTNHPP QDMTPPMSRGRKRSNSWSAPDKPSGSDIPSNQSKQQQSGDGLQTIANKSRAIFEGLTD WLMRCTSLLDDPAVLKLLFQALEAALIGSIPTTSEWQTAVEDARKRKRNMDTPLLFLG LAMRVSLDPDRHKTSLQCFADIAAAAEGFLMHLLHHLHGFPSPAGIDQFVSTCTEFDD KANDNMTSLSFVYLNSLVLTVVGSVDAATARIVVRDVTGTFTWDAVVMPGVSAEHLVS RHHVAPPIPSVEAPTRLDQVQQPWDRPLVSEHDRRERLEVVMDHAVVHANMPPVPASN MCSICGGRVVPTSSSSSVAPTPPSPPTLLPFPVANKVVVKGNTLPSMPRYMFHSESHP GLTAPGSNFDVYVCQCRATFGTSVPDDSRSTSSTADASDDKAPPLPICDLFTIDADHE YIGSLDHERSLLELLVDSIPMQYRDCGGDLIDKTLLGGRYTMQRYLDMEWDERRTRSR VGPMHKDASAEPGFSFFQRLVHDDECYAYLKSFLAEDKDPRGRYLVEFCDALKKYERS FDGNDRLSQASLLYWEYLSSESNFSVKFPYGIASKVQQAIQVAASKDASTSRPPQQQQ VLPLTLFQPALDVVEATLGFDDGNGRGLLDRFIVSLNDHLARKQLPSHPDGGDAWTTN VPIPHQLLLYDAFNVMELNVRICAETLHVKASTTTNTLLHPKATAALSAPSPSTRLAP LDMCRLFLGHVGILPNDVVASHHDVQLLDNGVKLERSLKHLDKAPSRETMKIGVVYVG PNQSTQMEILGNDRGSPAYERFLRQMGWEVDLSTHRGFVGGLDVNPKSLSNGATALYF ATSTAELIFHVVTMMPTREADPQQIDKKRHVGNDYVHVVWSDNLRAYNQSTITSNFNF VQVVLFPLQHPTYDGLVLVEVLTKPNVPLFGPLMTGMVVSEAQLPDLVRQVVALTCPS CSTLRTI H257_06513 MQLGSSSNASSNALASSHPQLDMKKLSRFFAEDKPPRKRLATAL EFLKTSTSEQQDLFWGEVAHGSMFFSVLHAYLTELETMYVAPEKKNLIDKMRTKKFQT DDWTDVFEGLEVLIKSNKGLLASGWQHNRFLQIFQKLLLADNIAYIKKYAFRCLALYS DILMDMDFGLRNTAQLLTPNPSPPPSLSSASSSIPPSADMAVNIGFGFSHLDLLAESI DFSPYASGNSNVVLPIRVYTVGSVLGWERGGPTQAEEPVDMLKYVMDLSLERSDNISD VDVQRFLFWCHVLMHSYMPLLYPKTCIDVGFKEKADKVGFFHHCPGSFQRVMARWLYK LRSKPKFMDALWVHKEYVDVIMETLRQRFAYRDPELILDGIKFYSQLCKGTQYIPPAM KDSFHEMHRAIIAHVSQVFHPSVHFDDANIFIVGVDLLELLSQQPLHIHSFAVLRKAI LATTDASFFLRDTPPGPSILVPMVAAVFHTWMHGMVQPNGASVDVWAELGTMVRKWLT HPSVSAAFAAELVRRWKVEVTAISALVQWFYTPPPSGSSDKVPPPTTDHHLHHAKDVA FTSTFVAQQVAPTVDAALIVLDRLLHVMSPSATLSSLKPHLLLAVQTSVRDIVSHWVL HVPALGISPSTILCLFGEWFLPSCEMPMSRDFDAAQAIALETLCLVCTAKGRDVLLPS HVAMFCRVLHAALQDTTNIRVLSTVIRHASTVFGRCAPGIHVLVPAFLHAIDALTLFY TSLSSSGGGSSSEADCLACVELLFGMLALPGQYPHVIHESWRAKLHDVASTHGRVGSG DTVLPHLAEFDVELHAVAATCFQRLVDLEQDRPHRTTSTEVRQRALWGVYLLVVLQLH SVPTTDQGSLLHPLVKTLVIYLCDTCASRDVALSQTGLAAVHALHVYHATLLSHEPGL VQHIVMALSLLVQQQVEDASTVIRDHLEGSPPIDYQMAPPLHFQGQRGHNGSPTNHPP QDMTPPMSRGRKRSNSWSAPDKPSGSDIPSNQSKQQQSGDGLQTIANKSRAIFEGLTD WLMRCTSLLDDPAVLKLLFQALEAALIGSIPTTSEWQTAVEDARKRKRNMDTPLLFLG LAMRVSLDPDRHKTSLQCFADIAAAAEGFLMHLLHHLHGFPSPAGIDQFVSTCTEFDD KANDNMTSLSFVYLNSLVLTVVGSVDAATARIVVRDVTGTFTWDAVVMPGVSAEHLVS RHHVAPPIPSVEAPTRLDQVQQPWDRPLVSEHDRRERLEVVMDHAVVHANMPPVPASN MCSICGGRVVPTSSSSSVAPTPPSPPTLLPFPVANKVVVKGNTLPSMPRYMFHSESHP GLTAPGSNFDVYVCQCRATFGTSVPDDSRSTSSTADASDDKAPPLPICDLFTIDADHE YIGSLDHERSLLELLVDSIPMQYRDCGGDLIDKTLLGGRYTMQRYLDMEWDERRTRSR VGPMHKDASAEPGFSFFQRLVHDDECYAYLKSFLAEDKDPRGRYLVEFCDALKKYERS FDGNDRLSQASLLYWEYLSSESNFSVKFPYGIASKVQQAIQVAASKDASTSRPPQQQQ VLPLTLFQPALDVVEATLGFDDGNGRGLLDRFIVSLNDHLARKQLPSHPDGGDAWTTN VPIPHQLLLYDAFNVMELNVRICAETLHVKASTTTNTLLHPKATAALSAPSPSTRLAP LDMCRLFLGHVGILPNDVVASHHDVQLLDNGVKLERSLKHLDKAPSRETMKIGVVYVG PNQSTQMEILGNDRGSPAYERFLRQMGWEVDLSTHRGFVGGLDVNPKSLSNGATALYF ATSTAELIFHVVTMMPTREADPQQIDKKRHVGNDYVHVVWSDNLRAYNQSTITRCR H257_06514 MNYTKCSPGIATRATVCAREATLSLTLPLGFSLIASILGVLSDV FHFLSYVLQPRIDRSTI H257_06515 MSSSFRDNGEARAMGREDLSGYQLKNGLYVDPNESDEDADSHVG SFHHDGSGSIPPRKMSRSMSSPNIGPKSAVPPTIAHPIPPLKLDDHSITDASSATSTT LGTLKTLPTSPMNTLPDAIMAAFGKFSPSTVPLSPTTERKTSSPVCIGDPNGAAPPPH SVYINPPLAPPPFASLTICENCKVEVGTLLSKPRHHCRNCGGTYCQACSTKSSVIPYD ALMAKGEFRVCDCCFLKIREFQSQTGTTQCSWNGLSPMSEASFLAKFAFPASQAPVVV VSCCYFPECVPYYGHMYLTREHVCFYAYKATLEPICISYEDVTAVVKPEFYFINALQL KATDKSWFFAEFNGQRDMSYGRLDQLLSAHRQQYKESDHTPELLKEMASQRRQSYLNS NQRKISIVREDEDFVPLPPDETLSKMTKILDTELQSDVQHLYETLFHTQEFYHRVMVS TKDIDISIGDWQPIAQCPVNAMSSLCVSKDPMSHFRKVESKHPPKVTFPGLPPYAECT RYQLCRHDENTIGGTWTRFVLAETLRMKKIPYADYFEIETRWVFTLDGNKFCHAEVGI VVHFIKSTWFNNQIISSTISESKEAFETWAAAAVAELRQKSPTTTAASTPPKLLSTPA DRSLHTPPPRAPTAEPSNDPAPSSPTSTTSSWLIAIARAVRLQPLWAFTILLCVYMMY SLHAMHSTMRLSLARSTQLDERLTQWISSTAVTQQQVEDAVATAMTKLQQCRSTTDA H257_06515 MSSSFRDNGEARAMGREDLSGYQLKNGLYVDPNESDEDADSHVG SFHHDGSGSIPPRKMSRSMSSPNIGPKSAVPPTIAHPIPPLKLDDHSITDASSATSTT LGTLKTLPTSPMNTLPDAIMAAFGKFSPSTVPLSPTTERKTSSPVCIGDPNGAAPPPH SVYINPPLAPPPFASLTICENCKVEVGTLLSKPRHHCRNCGGTYCQACSTKSSVIPYD ALMAKGEFRVCDCCFLKIREFQSQTGTTQCSWNGLSPMSEASFLAKFAFPASQAPVVV VSCCYFPECVPYYGHMYLTREHVCFYAYKATLEPICISYEDVTAVVKPEFYFINALQL KATDKSWFFAEFNGQRDMSYGRLDQLLSAHRQQYKESDHTPELLKEMASQRRQSYLNS NQRKISIVREDEDFVPLPPDETLSKMTKILDTELQSDVQHLYETLFHTQEFYHRVMVS TKDIDISIGDWQPIAQCPVNAMSSLCVSKDPMSHFRKVESKHPPKVTFPGLPPYAECT RYQLCRHDENTIGGTWTRFVLAETLRMKKIPYADYFEIETRWVFTLDGNKFCHAEVCW H257_06516 MFGRVFSRVTATGPSLLRPTTSSWMQGAQERSMAKLSERALRRK QLRESKKKATRIPPIKETLRKLYLRTHPDLFGQFPKEQHTNTESYKELMGILDAIANI RGEFPPAKTLSLPFYLTTGTAGQFKNVTLNLKTTGGACSTLMETQLGKFFEECGWEPL FEWNKGSWGLTTTAVLPPDDTYERDEAVPQRAPQPEVAPAFREQPKASQPDESKMEVL LRELNDVLEVIAAVPYFAHEEEGDYKDIYELYTNEDENSVNGLKEIERRGGYNIIPST HMIWEGERDAAVLIQGLDMDSALIVQRILMHAITMDKKVDDFVQAEKAKMAAGESSDD SDDEDDDDIKDAQITEKKVE H257_06517 MPPSLPQVSFGRTAMDRDLLYEVLRVYLEGEEMKAEFARTGLSL GLGTMEEASSSAMRRDRCWMQDALSPDAPYFGFDKVVQKLQEPLKKPPLMPLQSNKRK CWSCNAKLGLTPASCKCGYTFCGSHRYPETHRCAFDFKRENKRKLELLNPALRPDKLV RV H257_06518 MSILAYIHVTLAGMKRQIPAPVATFGSHSPSTYTGALVHVVPAT AFPSIQNASALRGRIAVVQRGDCSFAAKAKSIQAAGAIGMILTNSSEELVRMGEAFER EGAGVDIPVLMVGQVMGKSLRDGTQVVLEVKHELAKSFLQAGVEAVKKTVEHTNGVVQ AGVDVVKKSVEGYTKDPEIVHFLAPSISSAIEPHSHVSSKPSSPLPPLFAFVLYATSA DEFHVQFAPLADFGLRHRKKLYFRSRLVTASPRTAHPSVANKPEFAGAIAFVDRGGCT FPEKIERLQQAGAIAVIVANNDVANPTSAFVMSVDQMAVDHITIPSVMLPYSVAQHVT TNPPDRVGIVCLDGTAAGVLLANDTTTYSLWSPPPSSASLPPLLHAARHGHTSQLMAL LDQPLTSPRLTDAFNVSALHYACIGGSVAAVELLLAAGAHVDALDLGSQTPLHYACMT PSVGCVQALVTAAAHTLAINEGGSTPLHVACFAGSTECMEVLLTATATTDASGKYVFH GVDDVDKSGRTPLHVACRYGHGDCAMYLMAAGANVNVVDAKGWTAMHYVCDRINVAVS SSDVSVKAELHVVEQLLRHGATMVDAKATADGTTLILDRIRSNAVRRDVELLYLRQEV ATHRRQAGTWQLEWARMQASMHQVVHTAKTDATAATLDLQRTVDAQQRAIHHMQCQLT SVLHILQGTNQPGAAAGSVDPLAHNHEVHKTDMERAQEAALARDLGRKCMRAKQYALA KSYFETSLQWYGLPGVRRLLDQVNALLVAPLSVGGGEKSVSTSVLIRTYRSKLQTAGM SQEAVSAVEAEIAALETVDPTSAQFTMVTAWLDWLVGLPWHDQVPSFDLFRRVNQAQC EDVAAKRNAAAVVVQRAVRHHISARLFHRQWAAVILQARVRGWQTRRKHPKRQEDAGL TSDPLPLRDAQGTTATTTNQPTGIKDVEVDFQSTVDPTLGKPTATVVRGVQLRQGPTK RYILQWLLIPDTNDQYVWTRWSTSDGKVQCALKGPYDTTSDDAQMEFEKIFKLKTHHK WGTSCDDACKGPTNQWAYHPQHYSPSTTTPANLVA H257_06518 MSVDQMAVDHITIPSVMLPYSVAQHVTTNPPDRVGIVCLDGTAA GVLLANDTTTYSLWSPPPSSASLPPLLHAARHGHTSQLMALLDQPLTSPRLTDAFNVS ALHYACIGGSVAAVELLLAAGAHVDALDLGSQTPLHYACMTPSVGCVQALVTAAAHTL AINEGGSTPLHVACFAGSTECMEVLLTATATTDASGKYVFHGVDDVDKSGRTPLHVAC RYGHGDCAMYLMAAGANVNVVDAKGWTAMHYVCDRINVAVSSSDVSVKAELHVVEQLL RHGATMVDAKATADGTTLILDRIRSNAVRRDVELLYLRQEVATHRRQAGTWQLEWARM QASMHQVVHTAKTDATAATLDLQRTVDAQQRAIHHMQCQLTSVLHILQGTNQPGAAAG SVDPLAHNHEVHKTDMERAQEAALARDLGRKCMRAKQYALAKSYFETSLQWYGLPGVR RLLDQVNALLVAPLSVGGGEKSVSTSVLIRTYRSKLQTAGMSQEAVSAVEAEIAALET VDPTSAQFTMVTAWLDWLVGLPWHDQVPSFDLFRRVNQAQCEDVAAKRNAAAVVVQRA VRHHISARLFHRQWAAVILQARVRGWQTRRKHPKRQEDAGLTSDPLPLRDAQGTTATT TNQPTGIKDVEVDFQSTVDPTLGKPTATVVRGVQLRQGPTKRYILQWLLIPDTNDQYV WTRWSTSDGKVQCALKGPYDTTSDDAQMEFEKIFKLKTHHKWGTSCDDACKGPTNQWA YHPQHYSPSTTTPANLVA H257_06519 MSELTAGNAEEEHYYGMSNQYQLSFFFSLFLALLMTSIVVSNYF VHHLHWHFLPEAGATILVGALGGVLCYMYNNSITHSLMIFDPNTFFIALLPPIIFNSG YTMKRRYFFDNIVPIVMYAVVGTTISSVSVGLIMYVVGQLAFSIKLSLAEALSFGALI SATDTVSVLAIFQELRVDPTLFYLVFGESSLNDAVAIVLFGTFSKFIGNVYSSSYLPI AILDFVLIFVGSTLIGILFGVLSALLFKHFDFKGCLYHEMGVYMMFAYLPFLVAMIFD LSGVVAILFTGITMKHYTSNNLSDQGKEMCGRMFNAISYVSETTIFLNLGLAIFALQV GYHFKFIFWALLACLAGRAAFVFPLSYLVNLRRDPDRQVKKSQQVMIWFSGFRGALCF ALALEWPNDKRSQVIATTMVVVLATLFIGGGLTVPMLKYLKIKQLTPAEEIELDQNVV PIKRMKVLQFDAKYWVPFFTHLHPDTGSFHGTDPLDDDGDTSKDALRPEYDDGDDGED FATINVSSSSLQQQQ H257_06520 MTTTMVAVAPGNMELVQQIHDKYFSRMQNDVTCQSLSRYAQVLL MSNRADEAGAFFEASMAQCPHHLDDAFVLRDHDECVNTLAHYAFFVEHHRHNWVQAKR IYERILANHPTHPHALGNYAMLVHKMNSATKAHETNNAVVHHAYNVAIREFPAHGSVL CKYAGLLVQENNVALAETYYTQAASTSPTSPDILGNYAMFLHAIKQDHPAAEEMYIAA IQLDPLHANNLGNYAFFLGSVCHNPQDAEVFYKRSLTCNRHHATNWFNYGMLLWRELK HTDNAEQCFQHALALQPHHAPAALNYARMLDAECGHAALADAYFAQAIDADDQNSDAY LDYASFLFREHRPLEAERMYQTAHALAPSNTNATYNLAKVLLLHMESPSYDINRSATD TSQVEVLFQDLIRLCPCKTTLVADIATHLAKHQCCAPSDVFDKAFAVAALTVKVSVAK GLFHQVMEHHAAKAEACYKRAIFLDKTDVDAVTVYAKFLVKVKKDRKAADSLVRKAIA AIDAAAAKGDGGDVRVELRAKLLTLLRQE H257_06520 MTTTMVAVAPGNMELVQQIHDKYFSRMQNDVTCQSLSRYAQVLL MSNRADEAGAFFEASMAQCPHHLDDAFVLRDHDECVNTLAHYAFFVEHHRHNWVQAKR IYERILANHPTHPHALGNYAMLVHKMNSATKAHETNNAVVHHAYNVAIREFPAHGSVL CKYAGLLVQENNVALAETYYTQAASTSPTSPDILGNYAMFLHAIKQDHPAAEEMYIAA IQLDPLHANNLGNYAFFLGSVCHNPQDAEVFYKRSLTCNRHHATNWFNYGMLLWRELK HTDNAEQCFQHALALQPHHAPAALNYARMLDAECGHAALADAYFAQAIDADDQNSDAY LDYASFLFREHRPLEAERMYQTAHALAPSNTNATYNLAKVLLLHMESPSYDINRSATD TSQVEVLFQDLIRLCPCKTTLVADIATHLAKHQCCAPSDVFDKAFAVAALTVKVSVAK GLFHQVMEHHAAKAEACYKRAIFLDKTDVDAVTVYAKVSRLRIVVFVL H257_06521 MDRIPPSGSNAANASSILLAPAPHDEPPPGPSAPAPLDVVVAAS SGDTSNDVLLREVAPKSPLNLRFSFNFDERNELSVSHAIHYVVPPLWKHDDCMSNDTT SNAAVEGDDTSAHAPDLSHAAATRRKLKEGRTDGFGKSDTSQHVERQAASAPLQGDEG GESVGMVGASTFMLSSTTAPTTLQEEEASENGKNTNKASDECTNEDRNPATNKGAKQH VQDEPTIKLPEAISQNERDWIETTQPSSPLQDVVAPKNKDNTDAQQTARLRRPVAATK PPLEYTVDSVYPSKWEDDTAALVATARPRATPRPVKPRSKKQLSLGGPAAVHAHSATV PPTQSSIHQSKQQQLEHQLKALRETSNSDLAKLKVQLNCIASERDGLQARWERAVADM ADMENQLLAYHIKVATAAAEREEFAQHCTSLQQTVVVAQAETDSHRKKLLAVQMDLHQ AKAKCSVAEGKVETLTKAKVDAMGKLDAAKLTIGRWQASAESAKEKQHKLEVEVASLR NKRAADAKKLAQTVDQLTHHAATSMEKAVAQWTVDHATKVMSMQDQIDKAKRTAEDTT ALLHRRNKALEAKLKQANDRVAILESKLRPLSHLTKRAKALEAVHSDNKRLQLELMAV HRQVAGKKAKSKPSHDYMDEQPSIVLSNNQFQHQHSPQTSEGVDTLQCELTRLQDKLA AQSYQMEQLRALHSQELLVQATIFQQQIARAT H257_06521 MDRIPPSGSNAANASSILLAPAPHDEPPPGPSAPAPLDVVVAAS SGDTSNDVLLREVAPKSPLNLRFSFNFDERNELSVSHAIHYVVPPLWKHDDCMSNDTT SNAAVEGDDTSAHAPDLSHAAATRRKLKEGRTDGFGKSDTSQHVERQAASAPLQGDEG GESVGMVGASTFMLSSTTAPTTLQEEGNSSNCRDEQPTPPLHTSQHRNNLEASENGKN TNKASDECTNEDRNPATNKGAKQHVQDEPTIKLPEAISQNERDWIETTQPSSPLQDVV APKNKDNTDAQQTARLRRPVAATKPPLEYTVDSVYPSKWEDDTAALVATARPRATPRP VKPRSKKQLSLGGPAAVHAHSATVPPTQSSIHQSKQQQLEHQLKALRETSNSDLAKLK VQLNCIASERDGLQARWERAVADMADMENQLLAYHIKVATAAAEREEFAQHCTSLQQT VVVAQAETDSHRKKLLAVQMDLHQAKAKCSVAEGKVETLTKAKVDAMGKLDAAKLTIG RWQASAESAKEKQHKLEVEVASLRNKRAADAKKLAQTVDQLTHHAATSMEKAVAQWTV DHATKVMSMQDQIDKAKRTAEDTTALLHRRNKALEAKLKQANDRVAILESKLRPLSHL TKRAKALEAVHSDNKRLQLELMAVHRQVAGKKAKSKPSHDYMDEQPSIVLSNNQFQHQ HSPQTSEGVDTLQCELTRLQDKLAAQSYQMEQLRALHSQELLVQATIFQQQIARAT H257_06521 MDRIPPSGSNAANASSILLAPAPHDEPPPGPSAPAPLDVVVAAS SGDTSNDVLLREVAPKSPLNLRFSFNFDERNELSVSHAIHYVVPPLWKHDDCMSNDTT SNAAVEGDDTSAHAPDLSHAAATRRKLKEGRTDGFGKSDTSQHVERQAASAPLQGDEG GESVGMVGASTFMLSSTTAPTTLQEEGNSSNCRDEQPTPPLHTSQHRNNLGEKTTLKS QPNESMDDAHDSMQRSIILEASENGKNTNKASDECTNEDRNPATNKGAKQHVQDEPTI KLPEAISQNERDWIETTQPSSPLQDVVAPKNKDNTDAQQTARLRRPVAATKPPLEYTV DSVYPSKWEDDTAALVATARPRATPRPVKPRSKKQLSLGGPAAVHAHSATVPPTQSSI HQSKQQQLEHQLKALRETSNSDLAKLKVQLNCIASERDGLQARWERAVADMADMENQL LAYHIKVATAAAEREEFAQHCTSLQQTVVVAQAETDSHRKKLLAVQMDLHQAKAKCSV AEGKVETLTKAKVDAMGKLDAAKLTIGRWQASAESAKEKQHKLEVEVASLRNKRAADA KKLAQTVDQLTHHAATSMEKAVAQWTVDHATKVMSMQDQIDKAKRTAEDTTALLHRRN KALEAKLKQANDRVAILESKLRPLSHLTKRAKALEAVHSDNKRLQLELMAVHRQVAGK KAKSKPSHDYMDEQPSIVLSNNQFQHQHSPQTSEGVDTLQCELTRLQDKLAAQSYQME QLRALHSQELLVQATIFQQQIARAT H257_06522 MQAVHAIAYAAPLLTVLLVFVWMAFVMTSYTVQPDGTIVATPQA GFSWGYKSDLVFNWHPVLMSFGFLFCSSQAILVFVTKPFAHITNKLIHVACHSVSILS VTVGTIAIFRYHNEHGFHNLRSVHSWVGLTTLIAFGAQYMFGYVVYYFPGAAVPFRKQ SMPFHIGVGLGVMGLIAMTFVSGILEQLSFNASCDLTGTLHGANVSSYMASDCVLGSI TAVSIALLFVALLLVVWVSKHPVEETIANSDVKIPFLK H257_06522 MQAVHAIAYAAPLLTVLLVFVWMAFVMTSYTVQPDGTIVATPQA GFSWGYKSDLVFNWHPVLMSFGFLFCSSQAILVFVTKPFAHITNKLIHVACHSVSILS VTVGTIAIFRYHNEHGFHNLRSVHSWVGLTTLIAFGAQYMFGYVVYYFPGAAVPFRKQ SMPFHIGVGLGVMGLIAMTFGACSQMSLFLR H257_06523 MVMGSVMRTCSYVLPFVVVVLMVVWMGTTLTSYTVTSDDTVEAK SLSGFAWAPNDGRVFNWHPVLMSFGLLFCSSQAILIFVTKPYSHHVNKMIHVACHTCA IVSVIVGLVAVVRFHNEHDIKNFYSLHSWIGLATLLVFASQYALGFLAFFYPGVQVKL RMLLVPYHIGLGVGIVALVGITTVAGVMEKLMFNRSCNLHGTLDGLKVKGYQSWDCVL GNTIGLLILVAVLSLASAIWLSKHSPSVSEDRTSDHDSDSDDRDETKPLLKDTALKDD SKHN H257_06523 MVMGSVMRTCSYVLPFVVVVLMVVWMGTTLTSYTVTSDDTVEAK SLSGFAWAPNDGRVFNWHPVLMSFGLLFCSSQAILIFVTKPYSHHVNKMIHVACHTCA IVSVIVGLVAVVRFHNEHDIKNFYSLHSWIGLATLLVFASQYALGFLAFFYPGVQVKL RMLLVPYHIGLGVGIVALVGITTVAGVMEKLMFNRSCNLHGTLDGLKVKGYQSWDCVL GNTIGLLILVAVLSLASAIWLSKHSPSDDSKHN H257_06524 MSHIHVSCRVRPQNSVERKHGGQECVKITDGKAMEISNDEGFAN DYLKCTFDQVFDAHATQKEVYEATAKPLVLDLLNGYNCTVFAYGQTGSGKTHTIYGPK DGVATKPDDQGLIGRLVHDLYDHIRRRQSDQLVFEISGSFIEIYMEQINDLLQPANKN LRVRENTDKGVYVDDLLSVRTPTEESMLKLVERGNTNRVVACTRMNNDSSRSHTVLVV NMVQRDVAAATEKRATMYVVDLAGSEMVMKTLASGKTLNEAKAINKSLSALSNVIKAL GDGHKHIPFRDSKLTRILQDSLGGHAKTCLIVTVSSSSYNVAETISTVRFGTRAKEIK NDPTLHEVQDASMCDYQQLYQHLVLEHAKTQQQLQELIQATANSSTRPPEPIMTHLLS PEDDDPCLQADANPHQAALEPPPPLCAVVEISVQHQASQTPPLAPPPPSTAPPPSTLA RTLTSLLFADQTATRTDPAPPLGHADLVAQLSQLQEDLQMCRFEVDAMREMNHVLSAQ NEACNTRTAELESALDALKEINRTISAQNTVLSDRNLELEAVDTARANLAPTDVVTVG HLPEAPNMAAISNDYVPSSALVDTLTRKLVEMKMHVHFVTEYTKSILDHEPYEIVHQL TQLKLDNERLRLEAHHHAITIKELQAKCQAMQEAVAKTDDNTASLQRTIGEYQALYKE QVRLSQERQQNLLKEVEYYKMIWQRSAPTTKRDNNQQPLFTATTSFTPSHITLPADGG TTQHSLFKAASFSGVVPVEPLSLMALKRSSNRKIVKPQSPKTGGCMHLPHTNSPIIIH ANQANPDSTDANNVHVVEEDDDPLSSVFGQDVRRG H257_06525 MELSNVESALRSLLRRENLPASIIEAAETLMQKPSSDVFVSMIP RFLGTLDPPRWDVLAVGFLIASAHALTLDAHSDTWELVVDRCHANLEHLEPRVRRLVG KALGALSAVRGAGVYDAFREELMDIIECNITRSPEFEEVNEEEYFSYFDTSIPLASPL STPTSPHRLDDISGFKSLETTLLTFKSLVQGLGPAFLAALEADDFAFFHSIVVRSVKH GNRHVRNVGFETLHVLHKSVLPPRYIMAHPSLGHAIADCLVRGLQDPWSEVRLTASQA TRSFLLLCDVHDERPLFYAKLVPRMCLNRHYVADGVKAYSRDTWAKVMGETGRHVVAQ FTAECVQCYVDATDADNPFVREAACICIGELVARVDAAAVQPHVLDLLRAARICLQDD VFTVSDAACAASSQVVLAFPTECRASLPALLKWWTVHLSDDVWSVREDAAVALGSVLR AYPTDEALRKQIVELAATFLGKAKDQPAMSQAAYDALVKSEVAHSTKQRFSCCSFEPR QLKRATDHDLCHDHDPAAGHALWSHTDGAIYLVREMCATKEAQADAIALFPALVDASI LRHFPQTSILQETLWKQLPVIGASLGKQVFKRHIELFFDPLALTLQGTHRLAKFAAVE CTKQLSQLIGPSIFRGRLDANSMWLAVIAPHLDPQP H257_06525 MELSNVESALRSLLRRENLPASIIEAAETLMQKPSSDVFVSMIP RFLGTLDPPRWDVLAVGFLIASAHALTLDAHSDTWELVVDRCHANLEHLEPRVRRLVG KALGALSAVRGAGVYDAFREELMDIIECNITRSPEFEEVNEEEYFSYFDTSIPLASPL STPTSPHRLDDISGFKSLETTLLTFKSLVQGLGPAFLAALEADDFAFFHSIVVRSVKH GNRHVRNVGFETLHVLHKSVLPPRYIMAHPSLGHAIADCLVRGLQDPWSEVRLTASQA TRSFLLLCDVHDERPLFYAKLVPRMCLNRHYVADGVKAYSRDTWAKVMGETGRHVVAQ FTAECVQCYVDATDADNPFVREAACICIGELVARVDAAAVQPHVLDLLRAARICLQDD VFTVSDAACAASSQVVLAFPTECRASLPALLKWWTVHLSDDVWSVREDAAVALGSVLR AYPTDEALRKQIVELAATFLGKAKDQPAMSQAAYDALVKSEVAHSTKQRFSCCSFEPR QLKRATDHDLCHDHDPAAGHALWSHTDGAIYLVREMCATKEAQADAIALFPALVDASI LRHFPQTSILQETLWKQLPVIGASLGKQVFKRHIELFFDPLALTLQGTPSVPA H257_06526 MLHRATSIVARRVLLAPMPSARCMSAYAPNIEDIKKLRSASQAP MGDVKKALVASEGDFDAAYEWLRKKGIATATKKAGRVAAEGLVGLFVDSDKKRGAIVE MNSETDFVARNEQFQALLADITRTVHADSAFIGNYDTAALNVLSLNDRNVGDFIPELI GRVGENLVLQRATTVAVTRGVVAQYVHRVASASLNLGQAGALVGLEVSKELSEAERVE LEAVGKKLAMHIVAAKPRFLNRESVPADRVAAERAFVLEQVAEQAKSKPANVVEKMVD GRMNKFFGEVTLIDQQHLVEEGSPKVSVVLDKAAAKLGTTISLTAFQRYEIGEEQL H257_06527 MVEEGFEDVLGTGAIRKKTLKYSDGKKAEFGDEVTMTYRSYDLE TDAKISDDTQVSFRIGDNETFAVLELMGRVMRVGEVVQVHCESRFAYGNVGGEVEADD DQLSQSSIKFIITLDAWVSERKMPDEMTNDELIVEANKKKQSGNRWFNDKNYSYAINC YKKALKVLEKWNTDEDFAQNESCKQLVVALGNNVANVQCKLGKYKEAKDSCREVLQVD AKNMKAWYRLAQMAYQQGECSEATSYIREALEIDPTNKAIRELVPLVKEKREAQKVKD KQLYAKLGKANTTTTAPLDAPSATSSPSTAALQTAGIASVVLVAVIALIYSQYFSP H257_06528 MTSVDMPMTSMSDKSLSYHGRSEDTQTDGGKSKHAKSDKLAKHD RSPVHAKKVMYPPRLLVDFNSLHIEALNKYVAFYQIPVREECTKDELAALVARHFDGS LEVEEDESILSFVTRVRNGEKTTRVRPPVPKPASSLKKTARGNDETKAAAVSASTTAT STTTGKRGREDDDPDNDDHADASVAVPPPPSKKPLKGKPKEKSSNDSKSKKNDDNELY CVCNLPGYGAMIACDGEQCPNPSQWYHLECVGFSDGQHPDTWFCPECDPKAFAAVQKK KKKSNRSGGGSGDDKSSKGSSSGSGLRR H257_06529 MAPWPAVLCRVGSASWNRMLLPKNTQWFRSFSSKSSDWLLNPSH PKLQKFLEGEHAHWRRESKPYRKFQRALYTEMRQRLKLDEADHSIPETIGMYAYYLKT LPRLNFPIYCRQHIDTKSEQVLLNPNDMDAFGQIGVFKVSPDGHFLAYTMDQSGDELY DAYVKDLRTSRTTKLRQHVRSIEWDTVGSLYYTVPDAMHRPSRVFRHRPTGAIADDVL VFEERDPSVYVDVVLTKDNQYVLINANSKRSSEVHTLDATDAAAVPLLLRPRDPDVLY FADHATDAFYIVTNDNHAGNYKLVVMMDSQRGHWMDLMPPSSSHSGPSAKIDEMDLFQ DFVVLYERAAAGLPRVRIVPLQSPQDSYMLPLPPQHACCVLHPCPNRGFYDNVVRFSL STPLVPEIVYQYDLHRRQLDVLNQDAAVNFDADEFTCRRVHVASTDDDAAAVVSVPMT LVHRKDMKLDGSNPTLVVGYGAYGMNLDTGFDMEALSMLQRGWVLAYAHVRGGGELGL DWHAQGHGMLKKNSFVDFAACCRHLVATGITTRRLLAAKGTSAGGLLVGVVANEYPHL VAAVVLNVPFLDIATTMQDPSLPLTVHEYDEWGNPTADAAVRAYIQSYSPIDNLRSDH AQYPAMLVTTALNDMRVNYWEPLRWVRHFRQLKPKSPDLVLWCKVSDDGGHFNGLGRL DQLEAAADEIVFLHHALNLPSS H257_06529 MAPWPAVLCRVGSASWNRMLLPKNTQWFRSFSSKSSDWLLNPSH PKLQKFLEGEHAHWRRESKPYRKFQRALYTEMRQRLKLDEADHSIPETIGMYAYYLKT LPRLNFPIYCRQHIDTKSEQVLLNPNDMDAFGQIGVFKVSPDGHFLAYTMDQSGDELY DAYVKDLRTSRTTKLRQHVRSIEWDTVGSLYYTVPDAMHRPSRVFRHRPTGAIADDVL VFEERDPSVYVDVVLTKDNQYVLINANSKRSSEVHTLDATDAAAVPLLLRPRDPDVLY FADHATDAFYIVTNDNHAGNYKLVVMMDSQRGHWMDLMPPSSSHSGPSAKIDEMDLFQ DFVVLYERAAAGLPRVRIVPLQSPQDSYMLPLPPQHACCVLHPCPNRGFYDNVVRFSL STPLVPEIVYQYDLHRRQLDVLNQDAAVNFDADEFTCRRVHVASTDDDAAAVVSVPMT LVHRKDMKLDGSNPTLVVGYGAYGMNLDTGFDMEALSMLQRGWVLAYAHVRGGGELGL DWHAQGHGMLKKNSFVDFAACCRHLVATGITTRRLLAAKGTSAGGLLVG H257_06530 MGVAPPPPRRKRDGVKKKSKPVKRTNVTSIDALVVHKTKLDPAD EAKLFESNKSALNRSHERFTRAILSVISQYHQAYIDWYPYDPKSYERQGYSEFHLEHY AKAVERLSQAVYLGANSAKMWRTLGRACFLLWKQTHDWGLLWDAKSCFENGLRFVQVA MNPFALFEFSHVLECLGEFDAALGVVRTLLYSFPQFAHADHVVLRATILMFHSVVFQK KQPKTTDPQARQDMLEQCCDYCKFIIDKDVTKTDMYVTLLYVTARVHEAAGLPRTVKY AAKTYEELYRVGLRLGLVTPIVGCGWLDWFRQCSTWNVWVQYFDRRDDVVLAVDAAQE GIKRIDVTTDRSWNRTTFCWEPETSMWYTLGSLFFKANDMIPAVAAMETSLYFGRYRN DVRDMLLQWYPSQWSTPLTGQVASQVKLAALCRGVWGRDSARRHKRWVIQNAVDQYNA APYASLRARKLLVKYKAELYAALFAAQDMAARRIQKRTKVFVAHMRIFYADAANRRRR FDAITSRWTLAPYDRLLRDELESLSPTFHALFERQRDAAVRIQTLERGHRTRVQYQRL LAADKARRTEQLRVHDAACMIQRRFRTIRSNALLHTRHILRHKKERLAVNLQRLYRRK KSLVVQFLQRQAKRKRDDARAKLRREKCRKIQTWWRRRRAAWMQDDPINEASFLLERL LRGHDALMHDEQDLDFYARRIQALFRGRKDRLCLRGLRRVRMPPMPSILHRMIHDALI TSRGTVALVSEPTDVGHMTSTLNDMVRRKQTTLVLQFHTPPLPSTWSALSAVLSQGGG ASVDTVLVGGGSRVMGAGMSALVEALRGNHLKHLRVLAIGRNDIVADSPGCMPCRDLS TCLQTAHFQLRHLIVEDNDLTDVGAMHIAQAVGDYFFGRYGHLERLVLARVGMTDAAC EAFGQALSINTVLHTLDLHGNRIHDAGAAALAAGFRNSRTLHTLDLSDNGVGTVGAKT LFRAMETSTVTTLMLLNNNVKNDIMGALAAFLKTMDCGCVVELRGNLIHVDNMHEIQS WFTPECPDDKSKSPVPIITATTTAAASFEDAKRKLFRPRNPEKVAKPSSKDALKELRR ERRAIPLSGHTKSASHLLKLPVLSIR H257_06530 MGVAPPPPRRKRDGVKKKSKPVKRTNVTSIDALVVHKTKLDPAD EAKLFESNKSALNRSHERFTRAILSVISQYHQAYIDWYPYDPKSYERQGYSEFHLEHY AKAVERLSQAVYLGANSAKMWRTLGRACFLLWKQTHDWGLLWDAKSCFENGLRFVQVA MNPFALFEFSHVLECLGEFDAALGVVRTLLYSFPQFAHADHVVLRATILMFHSVVFQK KQPKTTDPQARQDMLEQCCDYCKFIIDKDVTKTDMYVTLLYVTARVHEAAGLPRTVKY AAKTYEELYRVGLRLGLVTPIVGCGWLDWFRQCSTWNVWVQYFDRRDDVVLAVDAAQE GIKRIDVTTDRSWNRTTFCWEPETSMWYTLGSLFFKANDMIPAVAAMETSLYFGRYRN DVRDMLLQWYPSQWSTPLTGQVASQVKLAALCRGVWGRDSARRHKRWVIQNAVDQYNA APYASLRARKLLVKYKAELYAALFAAQDMAARRIQKRTKVFVAHMRIFYADAANRRRR FDAITSRWTLAPYDRLLRDELESLSPTFHALFERQRDAAVRIQTLERGHRTRVQYQRL LAADKARRTEQLRVHDAACMIQRRFRTIRSNALLHTRHILRHKKERLAVNLQRLYRRK KSLVVQFLQRQAKRKRDDARAKLRREKCRKIQTWWRRRRAAWMQDDPINEASFLLERL LRGHDALMHDEQDLDFYARRIQALFRGRKDRLCLRGLRRVRMPPMPSILHRMIHDALI TSRGTVALVSEPTDVGHMTSTLNDMVRRKQTTLVLQFHTPPLPSTWSALSAVLSQGGG ASVDTVLVGGGSRVMGAGMSALVEALRGNHLKHLRVLAIGRNDIVADSPGCMPCRDLS TCLQTAHFQLRHLIVEDNDLTDVGAMHIAQAVGDYFFGRCVSSCMDCFGIVTTYRYGH LERLVLARVGMTDAACEAFGQALSINTVLHTLDLHGNRIHDAGAAALAAGFRNSRTLH TLDLSDNGVGTVGAKTLFRAMETSTVTTLMLLNNNVKNDIMGALAAFLKTMDCGCVVE LRGNLIHVDNMHEIQSWFTPECPDDKSKSPVPIITATTTAAASFEDAKRKLFRPRNPE KVAKPSSKDALKELRRERRAIPLSGHTKSASHLLKLPVLSIR H257_06530 MGVAPPPPRRKRDGVKKKSKPVKRTNVTSIDALVVHKTKLDPAD EAKLFESNKSALNRSHERFTRAILSVISQYHQAYIDWYPYDPKSYERQGYSEFHLEHY AKAVERLSQAVYLGANSAKMWRTLGRACFLLWKQTHDWGLLWDAKSCFENGLRFVQVA MNPFALFEFSHVLECLGEFDAALGVVRTLLYSFPQFAHADHVVLRATILMFHSVVFQK KQPKTTDPQARQDMLEQCCDYCKFIIDKDVTKTDMYVTLLYVTARVHEAAGLPRTVKY AAKTYEELYRVGLRLGLVTPIVGCGWLDWFRQCSTWNVWVQYFDRRDDVVLAVDAAQE GIKRIDVTTDRSWNRTTFCWEPETSMWYTLGSLFFKANDMIPAVAAMETSLYFGRYRN DVRDMLLQWYPSQWSTPLTGQVASQVKLAALCRGVWGRDSARRHKRWVIQNAVDQYNA APYASLRARKLLVKYKAELYAALFAAQDMAARRIQKRTKVFVAHMRIFYADAANRRRR FDAITSRWTLAPYDRLLRDELESLSPTFHALFERQRDAAVRIQTLERGHRTRVQYQRL LAADKARRTEQLRVHDAACMIQRRFRTIRSNALLHTRHILRHKKERLAVNLQRLYRRK KSLVVQFLQRQAKRKRDDARAKLRREKCRKIQTWWRRRRAAWMQDDPINEASFLLERL LRGHDALMHDEQDLDFYARRIQALFRGRKDRLCLRGLRRVRMPPMPSILHRMIHDALI TSRGTVALVSEPTDVGHMTSTLNDMVRRKQTTLVLQFHTPPLPSTWSALSAVLSQGGG ASVDTVLVGGGSRVMYEMSKPWGMTVWSGGLG H257_06530 MGVAPPPPRRKRDGVKKKSKPVKRTNVTSIDALVVHKTKLDPAD EAKLFESNKSALNRSHERFTRAILSVISQYHQAYIDWYPYDPKSYERQGYSEFHLEHY AKAVERLSQAVYLGANSAKMWRTLGRACFLLWKQTHDWGLLWDAKSCFENGLRFVQVA MNPFALFEFSHVLECLGEFDAALGVVRTLLYSFPQFAHADHVVLRATILMFHSVVFQK KQPKTTDPQARQDMLEQCCDYCKFIIDKDVTKTDMYVTLLYVTARVHEAAGLPRTVKY AAKTYEELYRVGLRLGLVTPIVGCGWLDWFRQCSTWNVWVQYFDRRDDVVLAVDAAQE GIKRIDVTTDRSWNRTTFCWEPETSMWYTLGSLFFKANDMIPAVAAMETSLYFGRYRN DVRDMLLQWYPSQWSTPLTGQVASQVKLAALCRGVWGRDSARRHKRWVIQNAVDQYNA APYASLRARKLLVKYKAELYAALFAAQDMAARRIQKRTKVFVAHMRIFYADAANRRRR FDAITSRWTLAPYDRLLRDELESLSPTFHALFERQRDAAVRIQTLERGHRTRVQYQRL LAADKARRTEQLRVHDAACMIQRRFRTIRSNALLHTRHILRHKKERLAVNLQRLYRRK KSLVVQFLQRQAKRKRDDARAKLRREKCRKIQTWWRRRRAAWMQDDPINEASFLLERL LRGHDALMHDEQDLDFYARRIQALFRGRKDRLCLRGLRRVRMPPMPSILHRMIHDALI TSRGTVALVSEPTDVGHMTSTLNDMVRRKQTTLVLQFHTPPLPSTWSALSAVLSQGGG ASVDTVLVGGGSRVMYEMSKPWGMTVWSGGLG H257_06531 MAHQMDLSVQQELRKLPGNNKCVDCDAPYPQWATVSYGTFMCLE CSGRHRGLGVHLSFVRSVSMDSWTDKQIRLMQLGGNDHFRSEFDKAGVPKTLSIAQKY NTPQAEAFRSRLNALVEGSTPAPLPRWDPTSLPNGGGANASGGDTNGVEALKGETEQD YVARQLRLREDARARMAAKFGSGGMQGIGSGGATSSSSSSGGGAGGGYGDVTSSLGSA FSMLSTTVTSAATSAASLVKNPELANTVTSSWNSVQAKLTDPELTNSVKSTASSSWSY LTSTTSSLLKNAQSYVDPNAATSSGNSNGGLFFPRTNPNLPETTKYEGIGASPSTFHR PAPARTPSFDSLPVPPAPPTSTSFQAPEPLAAAASSAPRAPVTAAPAPKKETKKDVDF FGEFGM H257_06532 MEINKEAVDDSFENYALSLEGKTAVDVNLRAPLLLPLEAPSTAT KEVWNSRVYHNCLARNPFVDDSLYYISRDGDLVQVQIPGQGKGAAQQTTLLTFPQLVS TPADKRLDNTSVRFVAAHMGVITDGTGEFIIFGFMHGHWSVLWSSTPLGPSTSLTLLQ AHVTPNDESLHALVSSLDAATGTHTVYAFTVDLHAPHHHVHPTLVHEGGKAVKYAHFH GHQDIVFLVEGDHDLKVPVQSHPSHKRHHEIEGGGPVFKAPRAGLGFAGEVQNPDLPL PPLSHDDLTGTPLHDRFLASTTPYSSLDMPLHVAPPPSTALPPDTPLEIPTTDSMLGG YEECDDVDPNASAVLYAFHFGANVVTATFEIDCRRFSVLGPSSRYTDRLLFQYDVHGL VFRVESTPLALSLVHESTFPAFGYVQASKTHKKYMGFHPSGSLAVLADFEKRVYLYKG RPDAHQPKPHVRTSYLHELATDEAIYGLQFLGPCSVAVLTNTRVVCLNV H257_06533 MEFWGTLQKSLGGGAVPADSDAYVNKFFDNTAVEESWAIDEWTA KLKQFVAAQLADGRRVALVTCGSATVPLSGDSVMDAVSFGDRGAASAEHFLRAGYAVI FLHRHGSLAPFSRHFQLYIRDNRFLSMLRVDDTDNSLHVDPPNDDRHAQDLSTILTEA KDTSARLVHVSFTSVQQYLFYLRMAASVIDSAASRGLILLSASVLDYYVPSSIPSILP SIPSTPSTAASPPPPPSSNTTKKESSSYLTLNLVRIPNLIAKIRRNYAPKACLVTLKS VADKGRLQRAAFRDIERWGVDVVVADSPMLPNELALISPQEEFVASPHLDEDCRHVEL EVVCAAMLVEIHRAWSTRRQILKQGKALLLLTAKFSMMKENDTLNEDAMGNKNVPFKR GIRIYTERRHRPPSTSPPLVQTPSDPMYELDAIFQTKSHCARAHVWKRVADHDDSIKE VMIAFSPAGTPETIRNLWGDLWNGWDEGEIADFKEQIGHVTLSSALYGLAHGVTATVG GDYSHAHAMLGYIYSKLGSAWSEGEQTRIRQSFENMVAVNFNRGLDAVDDLNDIDELR QAKAMAQCLAPLVRSNTMTSQEAAWVRHGCRRRPKTDSFDVDASDEDTAWTAAATVRV HKAIKVYFDDMVADGILDAVLPYVHAGYTIDVTGYSMGGMLGQLFLLKLGDLAYPKVP LAHMKNIHGVFFGTPRVGDAGFAARMKVLYGGDQLLNVMHPLDTVHAYPPTSEGYADA MLKVFLKEQNGTNRRTPSAFSVLPVTRAMDKLLEKAAKPAHTNACTFCDRNDHQSEQH RCRYCTRRGDHRGDACPHRKDGCALCGNKGHSTGEHRCSVCSQFGHRGRECHTQGNVG MVELLAYFRFHHYLYYNTNLKQNVEFSSE H257_06534 MANLKLPCCGLFGGLLGYLFQSNTVPDNAPSSPHYRPPLLTNWH PYTSPLVQPEVIDMRGGGHLDMYVGEVLHAWGSGAPSGTVYGFGREGSAPSFPGPTIL TARNVPISVTWTNRLGTAPHLLHRNTEPSFLVEASACYPTCGVPTSVHIHGLENPPKY DGMPTQTFYHNTTFKAKYANRQFPSTKVYHDHAWGLSQLNMWAGMVGLYAVQDAELES KFHLDKLPDMTFILQDKLISADGALLYTEKLTCSPVAPTKWVPQAYGSVNTVNGVVMP FATIDASRVRFRWANVANARTYTLTLPFAHLCKVVATDGGFVHRPSPVPVTDWTLFPL ERVEMVCDFTSVPAGTTFDIVDKPLLQESAYVYDARVMQVQITSSQGAREKQRQVSRR PLPDTLVALKSLRQLHVDTLGMTRQVTLGELMDGHGCSTHLYLQEHSAIKDATTIKST LHCTLGKVEKWEFINPTVGPHPFHWHLVNAQCGETEATINTNELKDVVVIPARPDGGV ALVCYVACTPDEFLLVHSTRSAHSFGFNVLDDPYLAHCHIMEHGENQMMAWFQLTAKD VDN H257_06535 MDDWTNGLGSRVGHVGSSPINKRLSGCHVIGTGLTVKIGMACIM LRKSALDLGSAVVACATVLKTARAIDLGVGTKNKSMSPVVTKLGSGNMVRRHLRTHFW LGVPRGVARPAALVVDRVDQVVVVAMAAHLEYKMCSFHGPHVIMNVDHATFLS H257_06536 MSDKYRPIVNQAQALEAPRSSSQYLWVVSVRPHRRSQLVSVQSH CGRRVARSAVHGMAAINVLSTTSPLTLVTRHKMASFELQPLVLLFHERRGVSNPGLET PRAVGMHDPLTRTAQRSTGAFESLFSVQWPSPPFKDACLTSKRKLIVVTRQHVGEVLH DALRHLPNRSIAPIVVLLEVTMFNQPLPEIVFGLAFMAALDSCFRGLVAADKKTCTMS LAGIVVVSGLASVAVSAYNGDAMKKAIESYRSA H257_06537 MVAEANKQLKIRYDAYLDRLLLNATCPEDDEDDDVSSPVVVCES ISKDAFRKWEEKHGGDLGRWEYVPLDANCGRIEIDSLTTAVHAEAGGCLYWTILRQLL DIGGVDMGDTLKDRPSQTHDVGDRLQRADRTMSGRQSANTFPNVIIEICYLNGSWNTL VAKLHRWISPETTVQVAIGVQACKVRRRIIVIIRGDPLIEQVVDFDVKSHAVIPPATF PSFPLHLIYHNGPLPAELAGHANDEIVLDLLTLRVRIAEALAEMLAAAAALPAAQ H257_06538 MEGPQSLWESALLRYSPKEILFVSFAGIFVLTLVFAYVVIDPKL PSGTRPYQNLSIQYAQPRHENTAFCSTDDDELVKWCSLRDIDREIHLSQSNSDHQTSL RRRTNHLLNPPEASSPVSIESTADIIHEAIKYRSADCSMHLKQIADRLDTGDVEGMKA PLQAMQDTLAYFKAPSTRDINQVSVFCKALVKHDGLDLLQKLVQTCADADIQSIAQAI IEDAVPSIWS H257_06539 MLLRSCFRRSSMAIPAKASPFATVSSVRVENTPSLKRSLGQHLL VNTSVLQSIVDAAEIHKSDHVLEVGPGTGNLTLLLLGVAKRVTCVEYDHRMIAQLEER FAAEIAAARLKIVHGDFAKMSMASLPKFDLCVANIPYNISSPVVGQLLGKSPHPRFRG AVLMVQEEFALRLMATTGTKNYSRLSVNTSFQADVTSVAKVPRKNFVPPPKVDSRVIK ITLHSDQPPVDDLARLDTFLRIAFQRKNKTLRSQLTSKFAAPFLRVASKEDTAAATKE IVLSTLTSLGLLDTRAVKLTGSQFITILEALHAGGVSFVPSTFGTFEDD H257_06539 MIAQLEERFAAEIAAARLKIVHGDFAKMSMASLPKFDLCVANIP YNISSPVVGQLLGKSPHPRFRGAVLMVQEEFALRLMATTGTKNYSRLSVNTSFQADVT SVAKVPRKNFVPPPKVDSRVIKITLHSDQPPVDDLARLDTFLRIAFQRKNKTLRSQLT SKFAAPFLRVASKEDTAAATKEIVLSTLTSLGLLDTRAVKLTGSQFITILEALHAGGV SFVPSTFGTFEDD H257_06540 MINIMKRFVESSVSQALHEGTQDADSAASAATEPGTTSAPFSAL DPPRSNDRSTPQGAPPSRERTASSSSDPTMRRTVPKADLVMAIERFQYAMLLAERKTA VTQLQKLWVEADIPDDAHRLIIPVILNALVTDPRDTELMEAMLELMQSIVSTNPSNAS ILLQEPHALDTILGLMQDPSPWIRGPTVQLIKKVQDGDSASFATHILACQEGLRLLLE VVEDKREHIRDTAVQILLSMTLNQSPLTLRHVQQFLAFEDGFTRLFQIVDLELEGHGL DSAVLVDCLHVIVNMVRNNTMSQSLLRETPFVPVLFPLLLDAGLPSPDQPNHHPAPLE DDDEGNQPSSTGLRTTLDILLCLVGPVYPNTPPEELDEVARRDQTKRQEERSAVQAHL AQLPDVVAAVGEVACHGVTEADRMHALQVLHLLCEDNEAHQLVALTWTSMTTSPSYVL SMCLRLDVHHEETPLGASARTLLDGVWHNDLAKISILQHIHAPPPPPLETGPIEPVGQ VLVKTMATTLDAMVQQSTATLSLTPKDAAKVVWKCCGRWRSLLHNSECKQLALRVPAP HATQAVSGSCFLNACVQWVMELPRSKATYPVFIALFHVILCWVHGCGPAIHEIVTSIP TLTFLCTTIGHISTSLSGGDDASHVEVELAGLSAMVLGVCLDGLDGKIIPLTKEQLLG LITRQIGLQKFTDAFGRMQQLASFQNSKRSGGVDGMSAVYDKGFVQWFKHVADATRVG ILHVYMGNGTSSAAVGDDSRSKAYMDLIRMQDEQLEALRDQVTHLTTRGATSSCQATK NPLADDLAAAHNQIAELKLAQDRNETRIRGLTTANDLVERELQRKDAALKKLLHAEAA TTTTTLEMAPALTDLSKGLHRSEEQELRVDQLENDVRRLTRQLQQKEDALDAARATID MLSAHQAIAVGHKEEQEHATSNDNDTLERRVEALEKELREAHDSHTMTVSTLVARHEQ ATTQWQADATNNLNQALQSQARDLAIAHETQRTAWHATRIKMETELHRLENVFDQLHH ESEKRLQLEAQVSRLEAVNAAHDRVVDNSHDVEPPQEVLMLVGSLEIQCRSFRDVLEQ VLGPEGVSKALQLSQDRGAVPFWSSSC H257_06540 MINIMKRFVESSVSQALHEGTQDADSAASAATEPGTTSAPFSAL DPPRSNDRSTPQGAPPSRERTASSSSDPTMRRTVPKADLVMAIERFQYAMLLAERKTA VTQLQKLWVEADIPDDAHRLIIPVILNALVTDPRDTELMEAMLELMQSIVSTNPSNAS ILLQEPHALDTILGLMQDPSPWIRGPTVQLIKKVQDGDSASFATHILACQEGLRLLLE VVEDKREHIRDTAVQILLSMTLNQSPLTLRHVQQFLAFEDGFTRLFQIVDLELEGHGL DSAVLVDCLHVIVNMVRNNTMSQSLLRETPFVPVLFPLLLDAGLPSPDQPNHHPAPLE DDDEGNQPSSTGLRTTLDILLCLVGPVYPNTPPEELDEVARRDQTKRQEERSAVQAHL AQLPDVVAAVGEVACHGVTEADRMHALQVLHLLCEDNEAHQLVALTWTSMTTSPSYVL SMCLRLDVHHEETPLGASARTLLDGVWHNDLAKISILQHIHAPPPPPLETGPIEPVGQ VLVKTMATTLDAMVQQSTATLSLTPKDAAKVVWKCCGRWRSLLHNSECKQLALRVPAP HATQAVSGSCFLNACVQWVMELPRSKATYPVFIALFHVILCWVHGCGPAIHEIVTSIP TLTFLCTTIGHISTSLSGGDDASHVEVELAGLSAMVLGVCLDGLDGKIIPLTKEQLLG LITRQIGLQKFTDAFGRMQQLASFQNSKRSGGVDGMSAVYDKGFVQWFKHVADATRVG ILHVYMGNGTSSAAVGDDSRSKAYMDLIRMQDEQLEALRDQVTHLTTRGATSSCQATK NPLADDLAAAHNQIAELKLAQDRNETRIRGLTTANDLVERELQRKDAALKKLLHAEAA TTTTTLEMAPALTDLSKGLHRSEEQELRVDQLENDVRRLTRQLQQKEDALDAARATID MLSAHQAIAVGHKEEQEHATSNDNDTLERRVEALEKELREAHDSHTMTVSTLVARHEQ ATTQWQADATNNLNQALQSQARDLAIAHETQRTAWHATRIKMETELHRLENVFDQLHH ESEKRLQLEAQVDNSHDVEPPQEVLMLVGSLEIQCRSFRDVLEQVLGPEGVSKALQLS QDRGAVPFWSSSC H257_06541 MPSTKQSTLIRPTPGQERTDAGFRACMASRFPDMTQEQLDALVA VWHAGVLPGLMFRNEALFTTYIVPLLQLATPSHSTIIEHVRTSASKSNDASVNALLDH SNLASTSPALHIDHNDGFRIVGSIGEYVAVHWRGLPDAYDTFVLRSELPSTSPSSSID TIQALTLPSLVVSSDFHHAVATYTPLWVNPLDFAVETPSSSTTAKKIHSHDDQPQAKK PRVDPSILQRLQGDAAAAVATLLTDAAASIDESSNVLYGHLAPTAAPPLKATTVLTVD QPSVVVPSCSRWFSLHSIHPLEKRMLPEFFQDNKAKPPTVYMTYRNYMVNASRAAPHV YLTATACRRNLAGDACAILRVHEFLMHWGLINYHVPAHAAPPLQNDVPSLASFDLTHL SSSESIGYPQLKAASSVCESCAEAHPVQFELTVDAKRKERLVQHGLPHRKLDAWGARP GSGVCGACFAGRKFPSHLDPSDFTALAPVSTTTNWTAVDKRRLLDALTDVDTSQPVDW NDIAMRVGRPAKECLAQFLKTPLEQRETNPSELHDRLQTYPHVTAVPDLAGIVARADP SLAKAAAAAAIVQLEQLNKQRGGAVVAQGQPHSVAAAAQALAKTASIAGMTTVKGEAT HEAAAEAHTTAATAVAVLAAKAQGIAKHEDDTVKSLVGTLLQCQMQHIQLKLQALDQL ESALQAERDQVAKERYELYVDKLNTAQAKLSAATDEI H257_06541 MPSTKQSTLIRPTPGQERTDAGFRACMASRFPDMTQEQLDALVA VWHAGVLPGLMFRNEALFTTYIVPLLQLATPSHSTIIEHVRTSASKSNDASVNALLDH SNLASTSPALHIDHNDGFRIVGSIGEYVAVHWRGLPDAYDTFVLRSELPSTSPSSSID TIQALTLPSLVVSSDFHHAVATYTPLWVNPLDFAVETPSSSTTAKKIHSHDDQPQAKK PRVDPSILQRLQGDAAAAVATLLTDAAASIDESSNVLYGHLAPTAAPPLKATTVLTVD QPSVVVPSCSRWFSLHSIHPLEKRMLPEFFQDNKAKPPTVYMTYRNYMVNASRAAPHV YLTATACRRNLAGDACAILRVHEFLMHWGLINYHVPAHAAPPLQNDVPSLASFDLTHL SSSESIGYPQLKAASSVCESCAEAHPVQFELTVDAKRKERLVQHGLPHRKLDAWGARP GSGVCGACFAGRKFPSHLDPSDFTALAPVSTTTNWTAVDKRRLLDALTDVDTSQPVDW NDIAMRVGRPAKECLAQFLKTPLEQRETNPSELHDRLQTYPHVTAVPDLAGIVARADP SLAKAAAAAAIVQLEQLNKQRGGAVVAQGQPHSVAAAAQALAKTASIAGMTTVKGEAT HEAAAEAHTTAATAVAVLAAKAQVCQSTNLTYFSEHVVDSSYDQGYCQARRRYSQKPC GHVATMPNAAHSIETPSIGPAGIRLAS H257_06542 MPPKWVWTCIPPSNVSPLWNIEPSATKDITSFSVKFKQLVSFAA LQLTTDAPSGQDLRLGTTALPFPLTSVVVVDGSINRMRSLDGILVFSNVRELRLSLNQ LSTLPPLNHLVHLRLLYLGNNYLTSLTWLWHPNTLTHLDVTGNNIASLAGVDACRSCL HVLNVSENKLVSLDGVQHLLQLRELWGHVNELQDDSSFVHVLPLCHLRVLSLGQNRLS NLDTVASVVLGLPNLDDVSFVNNPVADCDLYRMRLCQHPRLRVLDHKTITTAMRQSFT RMRNTQDVEALVEQTTLGYLAHVDMQKHVLDQGIRFHRAREQRMTDAFTQYKHNMERE LDDCVHFAHVLSTAQARSAGYLMSNAGLEEWKRQLQTLHIPPLDDATAATALEPHAWR RVKHVEMDHRQALNQQRGNHDVASPHSSTQMARWEQSRRVEAALALASKLNVGDAAPK MRPILDCLQTTHEAYVVEKERLARTIQRTWRRHHHSVNTNQNAIQTVDSVAAAAVKAT SNKFRFWRRSTKARR H257_06543 MPSFSNRCFQYVFYVSYAVTQCGIISMRRGGFLFVTMASAIIAY CGTVFVRCVAPTIATTPLGVYAYDGACVFLVANIMYNYILCLITNPGVIPIRRLDDVM EFNHDESDEDDGTTFTPPADILLLPSDHHPNWTSYCRTCKITRPNRAHHCSICQACVD QMDHHCPWLNNCVGANNTKYFGRFLVWITVACWFCSVFSFSPAVGSVSKLELSQMAHV LSTNMLLLGPQASMYALFLITTSSGVLLTFLTAWHIYLILTAQTSIEYQINRSKASLR QRGGRVESPYDQGSAHANWEHVFGTCRFKLWGLLPGWPRPVGMSVSPKPKETMV H257_06544 MNADLGAQLLAAARVGDVNDLQELCANATVETVNFQDEHSGNTA LHMACANGHITSVQLLLSRGAKHVANASGNSPLHWAVQNKHLDVVKLLLTHFADNIDV LARNAFGRGCVTEAFQAENTDIVALLLEHSSASDDKLAAGSGLATGDSKLTIEGEDDD VEPCSSKKQPKIIQETVLDFDFGATPILHARELALDMEKSAFGTTAEEDITGVSIWSA SLILSRWVLADGALFAGKSVCELGAGCGVSGVATYLYTDAASVVLTDLYQHTVDNLHY NATLNRLDESAAVRGCHECGTLQRFTTDNPDGKLLLCGRCRHAAYCSRDCQKAAWKGH KGQCKQWQVSPPPSTTHKKTLDVKAVDWAKPNTYGPSGAFDVVIGSDLVYHKDIVPIL AQVVDAILAPRGKFLHVASTQRDSLVEFKEAMDARGFTCHAQVVPDAFKVNPLVGTNA TNLFDLHFNEMEDTYCMYTFTKP H257_06545 MGNKASKGGGSSKGLRPGVHSESATGGLRPQPLPQTRQPNASAP APHYRQQENKASAVLPPPVPNAVPVASTQVPVAATSSTAAGKTATTEPDSDGEDISGP SEQVTIKDADGSTVVQAKVTIEDFDLLKVLGKGSFGKVMMVRKKENQKIYAMKTLRKA ALIKRNQLLHTKTERNILQQIKHPFLTTLSYAFQTPEKLYLVMDYCPGGELFFWLKKD RRFSQTKARLFAAEILLALQELHTHDIIYRDLKPENILLDLDGHIRLTDFGLSKEAVT GAGAVGGTKTFCGTPEYLAPEILENKGHGKAVDWWSLGTLIYEMLTGLPPFYDQNMQR MYDKIINAPLRFPSFMSAEAKSLLTGLLQRKVGDRLGSGPTDGEEIKSHPFFAGLDWD QVYRKEVTPEFKPPNRLGSIDTSNFDLEFTGEKPVDSVVTTTMSETQRTKAQFSGFTY NADSELEK H257_06545 MGNKASKGGGSSKGLRPGVHSESATGGLRPQPLPQTRQPNASAP APHYRQQENKASAVLPPPVPNAVPVASTQVPVAATSSTAAGKTATTEPDSDGEDISGP SEQVTIKDADGSTVVQAKVTIEDFDLLKVLGKGSFGKVMMVRKKENQKIYAMKTLRKA ALIKRNQLLHTKTERNILQQIKHPFLTTLSYAFQTPEKLYLVMDYCPGGELFFWLKKD RRFSQTKARLFAAEILLALQELHTHDIIYRDLKPENILLDLDGHIRLTDFGLSKEAVT GAGAVGGTKTFCGTPEYLAPEILENKGHGKAVDWWSLGTLIYEMLTGLPPFYDQNMQR MYDKIINAPLRFPSFMSAEAKSLLTGLLQRKVGDRLGSGPTDGEEIKSHPFFAGLDWD QVYRKEVTPEFKPPNRLGSIDTSNFDLEFTGEKPVDSVVTTTMSETQRTKAQFSGFTY NADSELEK H257_06546 MKEGHQQSDEYSAEEVHALSAVFSLFDENGTGRISTTHLESILS KLGRNPSEADELLRNVDLQDETISFDEFLLLIRSQPDIDGPYNLGPDPKVMEFINILE EYRAKCEEDGNYLEAQRADTQLIALRAQEAKRQSKSLKAKQIAERQDIQIAHNMQYTD FNTAWDQYMDEYDSMAQAYIRQMTDKHTADLRSFQEKLHKELMERPPKFSKELIEWRR RQHRLAQQKNYAEAQKIKLIADELEADERSTMNESLQVIFTRKETKFRQQQQAEVQAL LKRIDGRRKEHVKQRNLDSKRLLQRNRNVQAVLESKQSVETTKKLQDIKAGLNPRERI NKNNVSVIPPEARVIKPKKPSAPREPSTTFITTDENAVDDDVA H257_06546 MEFINILEEYRAKCEEDGNYLEAQRADTQLIALRAQEAKRQSKS LKAKQIAERQDIQIAHNMQYTDFNTAWDQYMDEYDSMAQAYIRQMTDKHTADLRSFQE KLHKELMERPPKFSKELIEWRRRQHRLAQQKNYAEAQKIKLIADELEADERSTMNESL QVIFTRKETKFRQQQQAEVQALLKRIDGRRKEHVKQRNLDSKRLLQRNRNVQAVLESK QSVETTKKLQDIKAGLNPRERINKNNVSVIPPEARVIKPKKPSAPREPSTTFITTDEN AVDDDVA H257_06547 MPTKNDCTECKAGDATLHPAAVESTGCRQLYESVEACMKKHTGS VSACNEEWTAFRTCHRKERRTRTPPAAP H257_06548 MSSSAGGTKKTAAAAAPAKLKASKPKAGSTKQKEKAPKMPSLEE DETEDDVTSSPTHKTEASTTKNPKKKGHAGSDDSSSASSHEDDASSSESEEEEEGSYK VGGYHRVNVGDVYNDRFTVLEKLGWGHFSTVWRCRDAETGQDVAMKVQKSASHYMEAA RDEVDLLECVNEAATKSGGAYPRIVKLIASFEHVGPHGTHMCMVFEMLGDNLLTLIKR YDYKGIPIPLLKVMTKQMLEGMAFLHDQCKIIHTDLKPENVLLNAPLMKMPPFRSVAP ADYGGAAVQVDPATLNADERKKLKRKLKRQKQKQSKKDTDKTLADQLERSLRVDDDDI GDDVLEAPPAARTDDHLLSNFSIVRPSAPCSNDVIAAPLQPQETVRENECTSTPGTVF EPLAATLLSYVVPVKQSRDYPIPQEFAGRIMLWLPPHEVKTQLGPVLRRVYRFKLPLT DAMSAAVVGSRRATCFSLKHYCNDRRDLPAKVDAALGIPPSTSGIPPIDGSMSLWRLE LDARYVLWICHVLESTWPGRLAFLNVRPSAAYVIPGFHFPVPDIVAHERRVLQGMYLA STTFQDACGAWHEVAPLSHRVEKTWQDAVLARYHAARTDAVPDYQVKIADLGNACWTY KHFTQDIQTRQYRSPEVILGQNYDQSTDMWSMGCFVFELATGELLFDPKSSKSYSRDE DHLAQMIELLGRIPKTFASNGKFSSEFFNRKGELKKIHNLKYWALKDVLAEKYELGTV DADAFAAFLETMLRFQPSKRITASEVLNHPWLNTP H257_06548 MSSSAGGTKKTAAAAAPAKLKASKPKAGSTKQKEKAPKMPSLEE DETEDDVTSSPTHKTEASTTKNPKKKGHAGSDDSSSASSHEDDASSSESEEEEEGSYK VGGYHRVNVGDVYNDRFTVLEKLGWGHFSTVWRCRDAETGQDVAMKVQKSASHYMEAA RDEVDLLECVNEAATKSGGAYPRIVKLIASFEHVGPHGTHMCMVFEMLGDNLLTLIKR YDYKGIPIPLLKVMTKQMLEGMAFLHDQCKIIHTDLKPENVLLNAPLMKMPPFRSVAP ADYGGAAVQVDPATLNADERKKLKRKLKRQKQKQSKKDTDKTLADQLERSLRVDDDDI GDDVLEAPPAARTDDHLLSNFSIVRPSAPCSNDVIAAPLQPQETVRENECTSTPGTVF EPLAATLLSYVVPVKQSRDYPIPQEFAGRIMLWLPPHEVKTQLGPVLRRVYRFKLPLT DAMSAAVVGSRRATCFSLKHYCNDRRDLPAKVDAALGIPPSTSGIPPIDGSMSLWRLE LDARYVLWICHVLESTWPGRLAFLNVRPSAAYVIPGFHFPVPDIVAHERRVLQGMYLA STTFQDACGAWHEVAPLSHRVEKTWQDAVLARYHAARTDAVPDYQVKIADLGNACWTY KHFTQDIQTRQYRSPEVILGQNYDQSTDMWSMGCFVFELATGELLFDPKSSKSYSRDE GTSCFFAMVTQLTLHDDHILHLN H257_06549 MTRLLAYWRELWTAWAAMTWTGGCALVITNSTHDATPVSCLSAE SPCSRPFDTALVSLEMMATIKQRACKVRDCLRFAVVACIHSAHRYDFNTPPSLSVRML CSIRRK H257_06550 MADKPSRSSTSMGVVDDVVTSSSLHLNPQNDLPKTPPDTTTAPP SIPVAAALYPCNDTISPRSKDLVLDDELSTEASNSSDEREPDYEDHLIKPMPVLAVCP VDVLSSATMPTYDRSPIQSFASPNMQPLPMNSPTFQPSVLKRDPLVLRHQYWNQLGIN LSVRDLERSTGRRRVKKEGIKVKLNDSKGKPKPTNIFKAFSRWYTNEISNSGGSSSDA ALASPPDVSGTTPPSPPTVVDDAKDIVPHWLLQGQLTPPKIMKDTSRPPRPSQAAKSI RFEDEADLYYIPVHKEFSKRQRDSMWYSRHEFITMVERNLDEMYDEMEAEVEALAKQE AMENDAMGAEESRQRLVDAAIKQQQQQQSEMRLQQQQMQQAAAATAVPGMQLRPQNTT VRLPARGRSPSEIRFKYLKHLGI H257_06551 MSINVVILVAATAMSAFYGRSRRHEQLQERVPEHYVRAGEERCD RVFMRGRPLLRVHCHEHSSSRVRRHMSQLVHVLALLNLPGQIARIVLEGLKSSEPASS FARCKAPSSPRQSETADCGGWKVLLVQSLIAGLRSSNLISWLWQCVMAYHLWLWVVWG FDCRRMCRHIRILQIVSVLVAVALSAGPFFGPCPAYDIATFAAAITSSPSLLVVCHVL WLVFSLGIMVVAVLVVLCRKLSCVSSRMHSSCTRQKPNHLAAYHQVGKVLTVCIWYSI LYRIVEAPVLALNVIAPASVRSSHAIQGPRFALHHVLGPLEGCGLAVLYGGAVVSWSA KHDAYVQTTYPSSSSSNHHTSAGRRCHSLDHMCLFVTAY H257_06552 MVTPRPRSVRGGAAQLHRRQRGRASNRPVSPTHIAVCRLSSGGD HPQPPPFAVHGGLQLVFAKAADIALGNVAVDEVCTGLSDIIVVTKQTKQPRQTDSSDW DVKIIL H257_06553 MTVVAVALRLFDASVAVATCKLNPFSDEPTALTRKVRDSMQLMQ SFNVSLELPHHCHHILGGGSTLAWVKSPIKRSSIALKRPTWPNGAGSCRGGATTAGRC HVPVCPE H257_06554 MMTWTHVVVDVMHDVDSFWNVHLPPSSQIPTSSQIKISHKLRAK KTKSWCAYLVDAAEGAETAWLDVVECDNLQRLMDSNDTTSRRARLTAFWITRCLASTY RRDRKIHYSFSVSAQFKPAPSCWVWAMARHTQQDGAATNQFRRLLDVHDVTAAVVLQG PVHVSPTVDQLGPSVSNHAQRSLPTFVKPNEVKCVACQDDAMDDTDNSLGGDPFFFGS SSSMHPDRRRPPKPYAVPTKTSWADAQARGLSHTRDTGVLAIAEADLGMTKQFDIPLT WGGKHTGYLHVDVRVTIVSDVHWELYLNPPSRRS H257_06555 MATTASTKVAVDDIEILLEDNNVRSAMGLPETEVRYPASTSSVA SLNKHMRELEVRYHRTRLNLERATQKTEGVQKEIRRLRVDKQQLEKDLHKKSALLERV AADKKLAEAQAIANRDYAKRIEQTVAMGTRGHAAATKHAELLARIRELEAQGVAQRNT IADKEGVVQDAWAKITILKRSLEIRIRDMGLDGNVHNGLIYEIARLQEANAGLSMQIA VEATHVAELQAALDSKDHDLHRADSAHIATESALATSEAQVAKGMEQIQSLQTTCDNL ADEKRMLLGYVQEQAEKLLQAQAAVQQLHASHKQAIDALHVQLQTHVERIENANASAV TNQAAHDKLLQAYHITQDALVHERSGGEALRKLVQDKTSAVEHLHRELHAMRDDTQKW QSLAQNLERTCASLKADASELNDTIQTLQHRVVELEASVAAHASRADALNATMAKAAA DMDALAQERNEAARSMNEAVTISATAIEEQQAMAATVAAQQHQLDQLKQSKQLLQNAM LEQLAAVRKQLQMERIGRLTAESRQRGGGSGSATLSSPIHLQDENVASQRSFNVDAIQ PRDVALHVPQPHLQSPLPHLLPTLSSFSSPTSAHEALTLLDLAGSSVVETS H257_06556 MSTEAFQTTYDEPLLASHHSPPRKDSSSSLGLGLSLATVVTQLN SFLAVLWPVLVTMILASMVAVSMRDADAERAMSRYLYYKGIDESIESSSTKVVEALTN ALVIIFFIAIVTFAVVLLYKFNCMGGLQGYLMASSATLLGLVGSVLVEKIVCGHWHWH VDAISMTFVMYNFAIVGTLSIFYQKGVSPNVGRAYLVVSSVIMSWQLCQLPSWSTWAI LCALAFWDLFAVLTPCGPLRWLVNLIHSEGRPMPGLLYEADIRQTHQSNASTTTASNL QTNPGNAKPYRGSSSAAVYAMAVPGPRQLVVFENQLLEFCRDYNSPHAAHVAAVAKQY LHNQPACWRLLYTKYNVSYIRSNRSYPSYADVFDSVSLAQDNANEKDTIKLGLGDFIF YSVLVARAAMTSFAAFVACFVCVIVGLAITMYLLGHFNALPALPISILLGVSAFFWMA EVGNDYIAFLVYRGIL H257_06556 MSTEAFQTTYDEPLLASHHSPPRKDSSSSLGLGLSLATVVTQLN SFLAVLWPVLVTMILASMVAVSMRDADAERAMSRYLYYKGIDESIESSSTKVVEALTN ALVIIFFIAIVTFAVVLLYKFNCMGGLQGYLMASSATLLGLVGSVLVEKIVCGHWHWH VDAISMTFVMYNFAIVGTLSIFYQKGVSPNVGRAYLVVSSVIMSWQLCQLPSWSTWAI LCALAFWDLFAVLTPCGPLRWLVNLIHSEGRPMPGLLYEADIRQTHQSNASTTTASNL QTNPGNAKPYRGSSSAAVYAMAVPGPRQLVVFENQLLEFCRDYNSPHAAHVAAVAKQY LHNQPACWRLLYTKYNVSYIRSNRSYPSYADVFDSVSLAQDNASM H257_06557 MDIPNALLATTSPDANLRKQAEDALNQAVNENAGQLMVTLSQAL AAEDFPTPGRQQAGLFLKNILDAKDYALQELKIQQWYSLADDVRNAIKQYALSSLKAQ DAIAAHTAAQVVAKIGSIDVPQKQWPDLLRILVENVTSGTDSVKHASLETLGYLCEGL DEDSLEASESNQILTAIVDGIRKENPDKIRLSAVVALRNSLEFVNENFSRDAERTHIM TVVCEATQCTDVQVRVRAFECIATIASLYYEYLGEYMSVLCDLTFKAVQADQAEVGLQ SLEFWSSICDVELEFIEEGRYGDPAVAAANLKRYVETVLPALVPMLLNTLTQQEEDQF EDDTWNLSMAGATTLTLAAQVVEDAIVAPVMQFVTTNIQSANWHQKEAAIMAFGSILD GPHQQTIQPIVENAMPVLITCMNDPNALVRDTTAWTIGRICEIHGVVMARCLGPLMQL IVAGLDQESKVAAHMCYAIHYIFQSFSELDEGTTPLDPYFGHVFDKCLMTCQLSSEEN IRVSAFEALGMMIQHGSSNATPHILSRLTSILEHLEGNIEKHKANPAYGQEFYGLYTC ACDVLVVVIQRVDHDIRPFADRIMQCLLNIFSTGHTTAGEEAFLATGALAGAIESDFK KYMTQFYPVLIAGLSNVQEHAVCASAVGVVGDICRALGADVNVYIPEIVNQLLVILRF PNLNRTVKPPVIAVFGDIGLAIEGEFEPYFQHVVEMLLQAAQACVAVQVDDEDAVEYL NQLRESILEAFTGILQGLSSHNKGPLMVPALQGIGQFLALLSAETNRSDSVTTTAAGL IGDIASILGVQAKLLLKEQFIQSLLLDTSRIDDDQAQSVASWAKQLVDETLRL H257_06558 MPSSIQAVHAARERTWNASTHLASKRVLVVAVEQYDRRAAYRPV KTPARRPQVEGDAGNKQSCNVSSNLSNLHPKQHTSVSVESTPESVVCVHRPRVEGDAG NKLSCNISSNLHPNQHATVSVVDGTPESAVVVHVSSCDVDAVVVKLRLDISATIDDKA EPTVDSESVVEDDIAPCDVQDEEATSATPPWWSSMFHSMATCMTKAWRALSSVGTYLV KAATALLLSVYELVDASYMHWGDGASSAVDTPRQETATSTLIYRS H257_06559 MLGDRKPKPLGENADWAKKNKALIVSMEHRFYGKLQPLPDFSTE SLKFLCAEQLQQVSHLWGKLPQQVGRIGQAQARHPVRWGGVHQCCERLDSLKAVHSLL SSTKPDDIAQLDTLFKPCSPILKDSSRAVLEADLYYPFEGLAQANDYSSYGLMAACAD FKAEGKSPLEKAVAFCTSLKWATVSATTKPTLSTPM H257_06560 MKRHAPPPPAASLEVELETKPILAMDFQIVWWLYDQSTVYPNIA GVIRSLVSRYRTQDISILLWLFFFYLVTDLGIPYFYTCVGNLVVAAALQHSINAKRPI DYDDSLYIHACTDPDTTGFPSIDSHMAIVVITPALTSNSVSALTFSLMAVSGFAIAFT RVFVGVRFPSQIVGSWGTGLVGLVVANFIHVSVLHHAAAAVPPSFHRIVVVCFVVGAV CCVASWVEASDSRNFGIPRHEFTRVLKAIVGSGTDDVAVAASLSEAVDQESRSRDSFY YLMQSVHSRRRNETAVAPPPQSRMVQFHKAVGNEATIEDE H257_06560 MKRHAPPPPAASLEVELETKPILAMDFQIVWWLYDQSTVYPNIA GVIRSLVSRYRTQDISILLWLFFFYLVTDLGIPYFYTCVGNLVVAAALQHSINAKRPI DYDDSLYIHACTDPDTTGFPSIDSHMAIVVITPALTSNSVSALTFSLMAVSGFAIAFT RVFVGVRFPSQIVGSWGTGLVGLVVANFIHVSVLHHAAAAVPPSFQYVSYDEVLYTEQ CHLQLVASLSCVSWSGRCVAWRRGSKPATAATSASPDMNLRGC H257_06561 MATTATARTRPSKEDTLVEFKLAFREYLTRSHVAAENEMDSLMH LLEQPLPVCFRLNLDGLESERLKALFSSKLQFPLRTYFHNNVAITPPQPISWYPQANT AWQVACGRVAFSKAAHQPGPVQDFHKCLLEHTDYGNIDRQEAVSMLPVLLLDVQSGHR ILDMCASPGSKTTQILDLIADGMVVANDMNKKRAYMLVHRLSRNTLQSAVVTCGPGQL FPGLYTTQDSTNSPTTSLQTTNVFDRVLCDVPCSGDGTLRKSQTLWKEWHIGQGLTLF PTQLALALRGAALVKVGGIMVYSTCSFNPVEDEAVVAELLRRSDGALEVVDVAEQLPG LVARPGRTAWSVGWRSKSKSSAKGHVIKRVPQTNELHHWFDDYNDVPAQLQGDRILRC MFPPSSSAMRDALRRCMRLVPTDGDSGGFFIAVLRKTKNLPGDGDLQEGLPPLDEVQA GDPPTGYVCKLCEKPGHYMKLCPLFDTVYDPDDEPSKAKKAKVHDEDTTVSTTIVAAK ESPYRRITDDVWTKLESFYGFKDHGVAFRQALWSRSDSSAQINYVHDNIVNACMAGGD ALQIVNTGLKVFSKTSDGFFRPTSEGLAVIRPFLTKRVLYFSLDDFQMLVARPDAVPF AALAHADGHESTKALAELPLGPAVGVLLLPPTIQGDAAMKTWPLLQDRLLCNLWLGKG SFMPRLSALKRAEMTELLRAYCGVAAN H257_06562 MATDEQQKVEDMFGSDSESDEETFKGSSVKPGPVNESNSGLFGS DSESDAEEQPKKLKKTTTAAPKKSEASKPPKPAQRKPQRAVDDDDDDASHKPPPRGEG DEYDSGDEVVRSKDDEAFIDEEDDLDGVLGEYNQDNQHFHDERPDEEDEEGGRYSRGG GHEEDYFEDTLKSMKPGRGRGKMKLSQQEIESLVQEVLYKMDKAYNDDQVSMERKVPA FEKLKLVDKLSTLLRKVQLQLPMLDFNLLEIIKKWIHPKESGELPNLGLRTKVLDIVQ RLPIDKEHLKRSGFGKVIMILWKHPDESADNKEVCRQLIDRWSRNVFSKTLDFSKLGE LEAEKREANGNAMRSDRRQPARGVESVRNRGFLNKSATNADATNGSDRVRLPEQIRID FTMRPQPKVDASQMPSTKMDPESKKARLLKRMQVIARPTKRAKRAVKMSIEGRGLQS H257_06562 MGLMSLEAGSSVKPGPVNESNSGLFGSDSESDAEEQPKKLKKTT TAAPKKSEASKPPKPAQRKPQRAVDDDDDDASHKPPPRGEGDEYDSGDEVVRSKDDEA FIDEEDDLDGVLGEYNQDNQHFHDERPDEEDEEGGRYSRGGGHEEDYFEDTLKSMKPG RGRGKMKLSQQEIESLVQEVLYKMDKAYNDDQVSMERKVPAFEKLKLVDKLSTLLRKV QLQLPMLDFNLLEIIKKWIHPKESGELPNLGLRTKVLDIVQRLPIDKEHLKRSGFGKV IMILWKHPDESADNKEVCRQLIDRWSRNVFSKTLDFSKLGELEAEKREANGNAMRSDR RQPARGVESVRNRGFLNKSATNADATNGSDRVRLPEQIRIDFTMRPQPKVDASQMPST KMDPESKKARLLKRMQVIARPTKRAKRAVKMSIEGRGLQS H257_06562 MATDEQQKVEDMFGSDSESDEETFKGSSVKPGPVNESNSGLFGS DSESDAEEQPKKLKKTTTAAPKKSEASKPPKPAQRKPQRAVDDDDDDASHKPPPRGEG DEYDSGDEVVRSKDDEAFIDEEDDLDGVLGEYNQDNQHFHDERPDEEDEEGGRYSRGG GHEEDYFEDTLKSMKPGRGRGKMKLSQQEIESLVQEVLYKMDKAYNDDQVSMERKVPA FEKLKLVDKLSTLLRKVQLQLPMLDFNLLEIIKKWIHPKESGELPNLGLRTKVLDIVQ RLPIDKEHLKRSGFGKVIMILWKHPDESADNKEVCRQLIDRWSRNVFSKTLDFSKLGE LEAEKREANGNAMRSDRRYDHVKKQRL H257_06562 MGLMSLEAGSSVKPGPVNESNSGLFGSDSESDAEEQPKKLKKTT TAAPKKSEASKPPKPAQRKPQRAVDDDDDDASHKPPPRGEGDEYDSGDEVVRSKDDEA FIDEEDDLDGVLGEYNQDNQHFHDERPDEEDEEGGRYSRGGGHEEDYFEDTLKSMKPG RGRGKMKLSQQEIESLVQEVLYKMDKAYNDDQVSMERKVPAFEKLKLVDKLSTLLRKV QLQLPMLDFNLLEIIKKWIHPKESGELPNLGLRTKVLDIVQRLPIDKEHLKRSGFGKV IMILWKHPDESADNKEVCRQLIDRWSRNVFSKTLDFSKLGELEAEKREANGNAMRSDR RYDHVKKQRL H257_06562 MATDEQQKVEDMFGSDSESDEETFKGSSVKPGPVNESNSGLFGS DSESDAEEQPKKLKKTTTAAPKKSEASKPPKPAQRKPQRAVDDDDDDASHKPPPRGEG DEYDSGDEVVRSKDDEAFIDEEDDLDGVLGEYNQDNQHFHDERPDEEDEEGGRYSRGG GHEEDYFEDTLKSMKPGRGRGKMKLSQQEIESLVQEVLYKMDKAYNDDQVSMERKVPA FEKLKLVDKLSTLLRKVQLQLPMLDFNLLEVSCSLSSAKYWASESMDRSSVILTDRNG IRLTLIAVIHQFHVDWLLLLPIRVLVCVWGLLQRITKRQRNVLHVWCTLFE H257_06563 MADGGGGNNPARPSPTFPTKLQQLDPLESKKVRDKRRKREQAAR RKAAEKELLHATLRRQLAADASKAWHRDTTNDEELQIKRFELDCVSPFDEHPASAGYD FRPQPFRSLTPASVACFNPVFFGYALAKTSSTALPPRPQGAAKQDIPHPAFLGYIPCH HHDATTISPVFLGMEFRKRHVQSDNDMELCEDVNSSTPRTRQLATAADLKRIMDARFD TTECLVCDSSQLEGCPGCWEPPSDFYAQPNQTADQLEMSPERATSSPPDPNQQQTGSS TLMQWARQPPHQESTVVLSSEALWRQNLLVDKYAIRKHRDKKQYFVDVVVKCVPAGNV VTLCVDPTGTVKYLYDLYRFNCGTTIEGAGGRKVYLVLPTSCGFFWMDELVLAASERT LAITRGNLTLMDFKLTPRSGSSSKAMYMLLATSTMHDEKTPAMLAQYLKQNFAVAPLG HLTLRRHANEVPEPVVDDPLQQSMHRKAPVLVESALLNFRMSREYDLIATRKAIKASR DEKMLALVERSRHLTNQPRPRKVVRAYAYFRFALAEPKPLPRLAKLWQCFQKFDELKI TKRGKLLDAAYSDDTASLLSQHRSAAVDTFDILVDKANHAMMHPVVAVSNKYGIPLGT RYGVTAKEDREYAVELDIVLPEEIHVKLDVASPEDGGGAGGGSHTPRRRRFHDMLAAQ AAAAVWKDMEWRKEARSEKFYGGADLVVAPYYLVRWDCFAQAYSIARSHLERAKDHLD AYNRLAKPVQTEAVLKPREIERWTADVDALMRELPATGALTQACRRLQSKAGLLPARA SRYLKALKKSREDAAKALEQDEALPPMTSTEKLKLDFKLREGILSNNFRQLAPDMDVV KERMASVMRKGVGKTKYLAQQVKDNIDILKL H257_06563 MADGGGGNNPARPSPTFPTKLQQLDPLESKKVRDKRRKREQAAR RKAAEKELLHATLRRQLAADASKAWHRDTTNDEELQIKRFELDCVSPFDEHPASAGYD FRPQPFRSLTPASVACFNPVFFGYALAKTSSTALPPRPQGAAKQDIPHPAFLGYIPCH HHDATTISPVFLGMEFRKRHVQSDNDMELCEDVNSSTPRTRQLATAADLKRIMDARFD TTECLVCDSSQLEGCPGCWEPPSDFYAQPNQTADQLEMSPERATSSPPDPNQQQTGSS TLMQWARQPPHQESTVVLSSEALWRQNLLVDKYAIRKHRDKKQYFVDVVVKCVPAGNV VTLCVDPTGTVKYLYDLYRFNCGTTIEGAGGRKVYLVLPTSCGFFWMDELVLAASERT LAITRGNLTLMDFKLTPRSGSSSKAMYMLLATSTMHDEKTPAMLAQYLKQNFAVAPLG HLTLRRHANEVPEPVVDDPLQQSMHRKAPVLVESALLNFRMSREYDLIATRKAIKASR DEKMLALVERSRHLTNQPRPRKVVRAYAYFRFALAEPKPLPRLAKLWQCFQKFDELKI TKRGKLLDAAYSDDTASLLSQHRSAAVDTFDILVDKANHAMMHPVVAVSNKYGIPLGT R H257_06564 MNIKASSSLQYLHAPSSPHIVTNNVGSPHGGTSNCFHTGMPGTP TRKSTISNMTTSLMTLQLKKLVSKKKRRFIDDGFDLDMTYVTPRLIAFGYPAENLEGM YRNHYKDVYSCFEHRHKDHYKVYNLCSERHYDKDKFHNRVAEYPFDDHNPPPLSLLIP ACQDIFEWLAQHPDNVAAIHCKAGKGRTGVMICAFLLYSSEWVTAQGAMNFYGNARSL NQQGVTIPSQRRFIEYFATMCHSDQFDTAAQHELRMTSADFQDLWDKQWQQNPDSPTL SPSSSLSSSSLNAIVDGDAMAAAVEAELQQLQPLAALPKVFARLSLPKLNNVVLTAVT ITGMYPKLRKMDNLRVEVQVGFDRSLVYDISAVGTSRVEVDEAASAAIDGPTETLHVH CPEKQVLLFGEVLVTLKVKQKEVGHLWFHTSFLDSHTKHLVIKKADIDKLLKDAKKGH KLFASSMQVVLAFK H257_06564 MNIKASSSLQYLHAPSSPHIVTNNVGSPHGGTSNCFHTGMPGTP TRKSTISNMTTSLMTLQLKKLVSKKKRRFIDDGFDLDMTYVTPRLIAFGYPAENLEGM YRNHYKDVYSCFEHRHKDHYKVYNLCSERHYDKDKFHNRVAEYPFDDHNPPPLSLLIP ACQDIFEWLAQHPDNVAAIHCKAGKGRTGVMICAFLLYSSEWVTAQGAMNFYGNARSL NQQGVTIPSQRRFIEYFATMCHSDQFDTAAQHELRMTSADFQDLWDKQWQQNPDSPTL SPSSSLSSSSLNAIVDGDAMAAAVEAELQQLQPLAALPKVFARLSLPKLNNVVLTAVT ITGMYPKLRKMDNLRVEVQVGFDRSLVYDISAVGTSRVEVDEAASAAIDGPTETLHVR RRSNARQ H257_06565 MLRASASVALRRSRVPAARYMSAATTVSTTTPLTTENAAVVPST LSFTQTERIFAAKSTAELVRAYAVFQTCQIPFLVRHSQAMINVSYKVVGSTITDWALK HTFFGHFCAGENAEDIQPALKRLESAGIGAILDYAAEADVEAEKKTPLDGVSSNELQA RTYDYEDEAMCDANMRIALQAIQDAPANGFTAIKCTAMGKPELLQRMSSILVETQLLF HSLDGPVSRAKESYVDRLVDYPTFKTGIQSAGASFTEEELDSLFRALDVEQDGVIDYI DWVSYLNTMDLTMGPLTRFLKVDPLTPEEIQQVSQMMSRLETLAAAADAAKVKLMIDA EQTYMQVAIDHVVLNLQRRYNTDGRDTIYNTFQCYLKDSTDRVLIDLERAEREKFQFA CKLVRGAYMIQERKRALDLEYADPIHPSLEATHANYNSLVELLLVHNHRASFMVASHN EDSVKKTVANMDALKIPRHGGGVYFGQLLGMCDHVSYTLGAEKYQVFKYVPYGPVDEV LPYLIRRAQENSGMMKGGAAKELGLLATELKRRVGFA H257_06566 MTMHSHSKRKASTSVPVQSEPPVGKHATTNTFTSHILYSKPIVL DDFHRSTGDVPPLHDPNGILGDMTRHMSGLSLASMDSPAEEYYRASFTSDRSLYAPDS TRSALISERSSSTALNSSRSSMPLSDFLTETDVGMVASTPRRFPTPIVPKDERPAQPD EERDFYEKQWMLNFQHSTVQTTVVPDTVYIPPGEQGQLVRISGYSLASGAKVGGPTPK PSTSSNRPYVVFRLEVECSANNKRWTVYRRYHDFKQLAGQLKSMGYRIPSLPSRQLSI RRSFAMAFLRKRQGDLEGWLQVVLSIPAPSVASCSPAMTPAVRMFLIKEANQPPALET GTQGFSYNEPETTKVSLFAALQMTPPQPSHHHNRSLTRSGSSATTTTKSAATKNRHAT LFLHQTEPTKPQKRLTGRSVDDSMGGFNFLSKSSSYADSHSMSSGGASRSTLSTRPVK TRQVEPYYGTTTRGDHNKPSTDDFEPLRFIGQGSFGRVLLVRKKRQNQLFAMKILSKQ SVVQKEQVEHTRTERNVLGSIDHPFIVRLHYAFQSDTQLFFILDYCPGGDLFFHLSRF GCFPEPVAKFYAAEISLALVHLHEHGIVYRDLKPENIMLDVDGHVKLADFGLAKQGIS NRLDGTNTMCGTPEYLPPEILNKKGHGNAVDWWNLGMVLYELLAGRPPWYTTERDELY RRLRSSPLEFPPGMSVEAMDLIAGLLERDPAQRLRAAQVLAHPFFTGIPWELLLDREV EPPFRPCQFSDPLDAVNFEDDFTNLPVHLAMEDEGQGCLVQKPHAKTTTAPNGVRSSS SSSTSSNVVGRGNSCRNMSYTFQGFTFDGDLGLEGGGDLLATTPPSL H257_06567 MRGSVASRGDLSRIVKPSQALRLILDTVSLLLHADTPGGSSLTS LQVIDLLLHAGEETLASLHAFDTSKVSADVHDSLFALLHHDYFDAAAASLEAGQVVTD VCVALAAIEKSLIQEHLDQKRTLPTTSFCICVDGSRVARVACDVGVSLRRQGMVHVCC IDEFSTESRYSPEFITKDITALCRQQGVPDGKFVVRAQAQRLTQSTISSQLLELRDSC RADFIVVGAVGTKGPQPSQLGSNTMRAIRGSPVPVIIVPPVPSSYPAPPPTKPRVFVV AMDNSPIASKCFDTALKLLHPLDRLHVLHIQIPPHPLAVESSDQFAATRAPIYTAKLA NAEVLGSVDVVPHSPGTTVAEQIQCYLADSRAQFIVFGLTGETHLARKTSSASPPQPL SMLSKQSTTASSTANVVPVGRVASSLLLSPRCVLVVCKESNS H257_06568 MVDLKIVSLVLLVLQNTLLGIVTKYSRGTKYNATTAVMLIEALK FVLCMLAVSVTKGSVGECIASVRREVFGDREGFKKMIFLALLYAGQNTVALLSYDYVD VATYNVVYQLKIVTTAFFMVLLLKRSFNLVQWIAMVALMIGVAICSTARPTSASAADK SNSSSFVGIAMVLGLALNSGIAAAYLELVLKTHKPHQTFTNPIDPLWKTNIQLAVISV AATSLGVTHAAFTTPSFAFFDGYNHFTYGVIGLQACGGLIIAAVVRYSDNVVKNFGTA LSLVLSSLLANYLFRTSSPPLFYVGGFLVVASVFVYGDSRFHMVGSAPAAALTNAVPK GRAKSDQDEHLEEGQTTTLSIQDITLSTPEETQSFLNQRNKD H257_06569 MPTSNCDRWTAKVKAAHAVCVNRALTSSDELNLLALGLVSSLDV DDVVDLCATGTVVSTLLHIDEASMNNAETLHARLSLALVKQCVDVAKRVDSGQKALSQ ALDDLVYNVCFPLLLPSAATSSLADDALGLLLHALGSIPSKDDMSWWESLRVNVVAAF DGAASSTSHPHTIADPTWLGYVVEFLFEQHLVSPSFVQRMLELCTAIISTPDTSFSSL RQAIFGSVVPHLIQHEAALASTLSSHVLTAWQPETLHDSLLMLCELFPHSPHHLHTAP SFHAILRLGLQHAEAVVRKMALHLLRAALATDDATVAHVSWGTFVHAFEIVHFHHEQH LLEQVWASIAALIRHTTIVLHHPHHSIHSTTDDKEAPSLFTAPLPFEWFQGIVHRCWH HDNPVVRRMTLVEFMAEAINTWHPSTAAAVVLHPDVIPFVLTSLLPSLNDPFLFKHEK YRVRATTVAFCTRFLQLTTMPGSATTTLLTYLGAVQEAIFGDSTRGNSPDALVAMLAV FSELEHPPSPLLGADGLQVLRFIVHAHVLQSFPKIMTSLVPILQRILFEFTVPPQALP LEVVARYLLLFPTEHIQQHRAAFAAYMAPLEPAIHDALAVYFENPATLSTAALTRVVL SAPPSVVPSMAVLWSATPLNAHVCRLFQQLHSSTLATADIKAMVTTQLPPAYFSQGVA LAFPSPSYAVFRPDDLPDQLAVVYVLTHIALHAMKIQGDCAPGHTLNAILVAAVAAID DASPHDATFVVECLHLVAHEAPFLMDSLSVFDPQVLVPQLLGLTLRKPTTTTTYTQQF VLAKYAVLSSLLSTCWALPPMLLQTILDTTLDALPTAGNDPLVLEHMVHVIRMVLPFT VFNLPTDDDKQNHLDDVFSQVWTAYADSRKPNSLTHAVIQCVFQPRLMPLVDTFKTWF QKWSRFGQGGKRPNVMFHVATTLCNLWRRYPKSAEPYVDEIITLLLYKEPAVDAKEQM VYLPSQGHQSHHPPALKERFVRFVVLAFLEDAPVACHGLVDKLTTALLELQLKPEYQI PQMVNSDGFGRQLRSWQALCVLSRFVQAHTVDRIHELLWHKSFLNHNLPQIRYFIELF AMRVAVAFPKSSFQHIQALLQNVHLMPQLSASLLLVASVSLRLLPVDDTPQLHLDTLL LMVPWLNSTHGHTRTIVQFVALTLLPYFLAKEATASTVQFLQPTLRYLSTNKECKRMF RRQTDQMATFEPEKECTLAGLLMGTLNEFDEIVPISIVEQIKESLSASFAQFLKEDRR HGGQYQASVAGGLVLPSSAQEAPPSSSFSSSLEVPVGDDDQVIVQRKIDPNATAFLDE LLAQTQAESLRDKQVNARRRRHQPVVVCASLVDKLPNVAGLARTCEIFNASKLLIPNM AMTHDILFRQISVTANKWVDMEEVPPSNVRPYLHRMKQQGYTVVALEQTSSSACLSTF AFPEKCVIVLGNEKEGIPVDILQAVDLCVEIPQMGVIRSLNVHVSGAILLWNYTQQRL LARQGTPTTPTTLLP H257_06570 MQSCVNAQGATVAAANFISTQKPGNRALNATVSNSATIDPPLQS TQRPPGSSPPKPNKEDPDGPSNPRNDATEPSKPPGNDYMCGNYRGCYPWAAVYVAVGL FVILLMGMVYVIYKRRSVRRFVSNVHSPPLLEMHNGRAKKHVVEGRAPGVQTLPDVIP PRSPSTVDHTRMLHRQH H257_06571 MFRSSMQAFRAFSTRGSQGRVFPQFTVYGSDALLQLSPIAPVYT NAGSYLKLKRGGSLMLTWCKKTSNGYNYQEKYYFALTPAEIGSLLNSLDDRAPRFSLV HSPNANASPPTGDTATKVLAVEYQPEHLKTVFEYGSSNDRAAVALSSGEVRVFKELLQ YSIPFLYGFHSTLANADLTVEQDNNGGAAGASYSNNAPRHQTSKPRTGGGGGADWPF H257_06571 MFRSSMQAFRAFSTRGSQGRVFPQFTVYGSDALLQLSPIAPVYT NAGSYLKLKRGGSLMLTWCKKTSNGYNYQEKYYFALTPAEIGSLLNSLDDRAPRFSLV HSPNANASPPTGDTATKVLAVEYQPEHLKTVFEYGSSNDRAAVALSSGEVRVFKELLQ YSIPFLYGFHSTLANADLYDLHSKRLYPSDDD H257_06572 MYIFLGIGQLVGAAFPTPQSAATEYGVRSSGVPTSWIAVLLEAR NHGTPEHDNEIDGDVAVIPALTDHAILDNFQVFPFAEHDSTPTTISRGQLTCRLSYDD DKRQEMMSNVNVRETPREHQPI H257_06573 MKLIIDVPFLADGAVTMVWDIKHTIFLAIIVYMCTHHLVTPLVW AVTMLLGLVGHYLFARSGSRTTDDDNEVGSTKGDAAMLPDTTIVVSGGTSAIVGTTTC HGDNPKRMFYSLSISPAQGLPWTIERSYKEFRTLYHALFRDRSIISRRSSLSFSSRGS MDQSRGNPPSFPKRMAVRCFVNQAKRTQGLATFLQFLVHDDQLSQDPRVVDFLGVHSP LKRVQQPEPALPKVAWTSPRHIAAEEAAMALLTDDERSKIEPLHADVAKLNDALVSRR LVLLRYVSNHAWDMEATKARVQSAIEWRKTALPTFDRTLLQNELQTGKMYVADFCDFN LNAVIVVRLHLENSFPQANYMLNAMYTMERALLHASPVHRVTVVIDFSQWQFKHGPEQ GIFLTFVKMMEAQYLLHVDRVVLFDLPWYMTQGYNMMKLFFNPITRSKLVLAKTTDLA PIQNLVDTARLLQHVGQGATFPFNVDTYLNSVGVPAPPEDDGKTVSTS H257_06573 MKLIIDVPFLADGAVTMVWDIKHTIFLAIIVYMCTHHLVTPLVW AVTMLLGLVGHYLFARSGSRTTDDDNEVGSTKGDAAMLPDTTIVVSGGTSAIVGTTTC HGDNPKRMFYSLSISPAQGLPWTIERSYKEFRTLYHALFRDRSIISRRSSLSFSSRGS MDQSRGNPPSFPKRMAVRCFVNQAKRTQGLATFLQFLVHDDQLSQDPRVVDFLGVHSP LKRVQQPEPALPKVAWTSPRHIAAEEAAMALLTDDERSKIEPLHADVAKLNDALVSRR LVLLRYVSNHAWDMEATKARVQSAIEWRKTALPTFDRTLLQNELQTGKMYVADFCDFN LNAVIVVRLHLENSFPQANYMLNAMYTMGTYVHF H257_06574 MTLMQGVLRRFLRHEVSSTTAMHALPRWQQHALRPRGMGFHHSR RHKSSLPVLHHASATDDDEYDSEDEFESIKKADWFERAINLTPAERRLKFTKKHQPVM SKQRPAPDDSNVQEDGPSFSLDLSMYDDAKPDVAGRPTRLGDMSTEEVDAWLEDFEIP DEAAKLNKPPAEVRHLYAKQLRLEQAIYELSLEKLQKTTQSVAELSKGAEVGTAKAYM AKWDGPMVRAIVELKKALADEDNTDYIVDGKLFGPILFLLPPEVLAQIVIKTALNHVL LEVDGVKFIKITLGLGKEIQDEIIDRRAKMNKNSRHKYASTNYYNKSTSSTSTTTSDK QPAEDGKHAYFSKVLKDLKPPMRDKAVKYFEDAGSWSKEIQLKLGSALLDLLENNCYE DGSQSDADVLTRRERPTSHFQEDYPMPQKRNKAFLHSVKYENNRRYGIIQCSPAVYKR IMDGDVFLPGFARYLPMVVPPKHWKGVDNGGYLTIPTKIMRHRDSGKWQLQCAARGEM SDVIRSLNLLADIPWVINREVLEVVMDVWKAGGDFGDLPPRRDLPMPVEPTIDAYMDM ADVEARDAKFKEDIDTYRKTCKKVEKKNREYNSLRCDTVYKLQVAEEFQHEEAIYFPY NMDFRGRVYPIPPNLNHLGSDMSRSLLIFKDKKPLGPHGLYWLKVHLAGLYGIDKCSF DDRVKFVDEHMPQILASAASPLGDSEDCRWWQQAEAPFLSLGVVFELARAVQSPDPEM YLSNVPVHMDGSCNGLQHYAALGRDQAGAEQVNLVKADKPQDVYTGVATRVVEQMERD ANAPLRSFDECLAEVRKTKQAEWATLVAAYKNYMSDNAAYDKKKDKKERGDKRLKLGH RPKEVTKPDEDAFFKRQAMFRQQEIAINKEYANMLLSHISRKVVKQTVMTSVYGVTAV GARRQIQTRLEEIFLLQGRDVDEALEEKIYLSAKYASDLTMGSMTNLFAAARQIMTWL SECTQKVADEGQMMSWITPLGLPVTQPYRLDASKLVRTSKQHVVLTLPDSRKVSVGKQ KTAFPPNYVHSLDSTHMMMTSLKVIGEDKLEFAAVHDSYWTHACSVPQMNRRLREEFV TLYSQPLLADLREQLVLRFPNQQFPEIPQTGDLNLNDVLESPYFFN H257_06575 MNVVVERRKHATEHESAELQRRLIADQVMQIPTLFPWTRIDVAD TALCREHNTSWNDPLREAIVHDISKLYVRLMKQMNVLNAHSIIHNAANITRLHGQLLV EVHRKIDVPSILLRVKATSKSERDMATSHHSTSLEFPRRKYTSAVLHTTASAAAASTS TSLFPLSPSKPPTLPSSASQPYVASPKKFLHVPRHAYHSSRTAVDAVTPLCHQLKDAD ENRRRTIECHKPLLVDLPRNPVTLRELLDAADGDPDGGAVTHFRDRPILADATFDISA PMPKRDSTSRLPKARYLMRENCSALPHQHTIFDDYIIPREVWDAVESETAQPKAAARQ QHRFDPIALDDIHVSCYYARACPRERDTLSTALHAMTLRTEKPMVRNVTDMVLDSQFH EKNNTLLGREVKMLYMATNEAYHEFRQQLHQPMKVAELVDMAIKCALNDMTMNMDDAI VSTEAMVQIPPHLVPPVAQSPHHHRRSKATPSALSPSVGSNRGGKVKTSRRDLMELMH DFDADKRLVGLWSQRRGDDGSPNRPFEPMALDPLLSVRFENVWRGLLMPTAIRLDLAL KYSHPDHAHRLPDAVLLWEVCAAWIQEREGMLDQVKKLLKGGDPTRMALNDAKEKLVV LTGVTKKVKQCISLAHDEVGDFVTFEDEFYILRIHDQHRRLHDELMAMASWRDME H257_06575 MNVVVERRKHATEHESAELQRRLIADQVMQIPTLFPWTRIDVAD TALCREHNTSWNDPLREAIVHDISKLYVRLMKQMNVLNAHSIIHNAANITRLHGQLLV EVHRKIDVPSILLRVKATSKSERDMATSHHSTSLEFPRRKYTSAVLHTTASAAAASTS TSLFPLSPSKPPTLPSSASQPYVASPKKFLHVPRHAYHSSRTAVDAVTPLCHQLKDAD ENRRRTIECHKPLLVDLPRNPVTLRELLDAADGDPDGGAVTHFRDRPILADATFDISA PMPKRDSTSRLPKARYLMRENCSALPHQHTIFDDYIIPREVWDAVESETAQPKAAARQ QHRFDPIALDDIHVSCYYARACPRERDTLSTALHAMTLRTEKPMVRNVTDMVLDSQFH EKNNTLLGREVKMLYMATNEAYHEFRQQLHQPMKVAELVDMAIKCALNDMTMNMDDAI VSTEAMVQIPPHLVPPVAQSPHHHRRSKATPSALSPSVGSNRGGKVKTSRRDLMELMH DFDADKRLVGLWSQRRGDDGSPNRPFEPMALDPLLSVRFENVWRGLLMPTAIRLDLAL NVCRLDPGARRYVGSSQEVAQGGRPHPHGAQRRQGEAGRVDWRDEKGQTVHLFGPRRS RRLCDI H257_06575 MATSHHSTSLEFPRRKYTSAVLHTTASAAAASTSTSLFPLSPSK PPTLPSSASQPYVASPKKFLHVPRHAYHSSRTAVDAVTPLCHQLKDADENRRRTIECH KPLLVDLPRNPVTLRELLDAADGDPDGGAVTHFRDRPILADATFDISAPMPKRDSTSR LPKARYLMRENCSALPHQHTIFDDYIIPREVWDAVESETAQPKAAARQQHRFDPIALD DIHVSCYYARACPRERDTLSTALHAMTLRTEKPMVRNVTDMVLDSQFHEKNNTLLGRE VKMLYMATNEAYHEFRQQLHQPMKVAELVDMAIKCALNDMTMNMDDAIVSTEAMVQIP PHLVPPVAQSPHHHRRSKATPSALSPSVGSNRGGKVKTSRRDLMELMHDFDADKRLVG LWSQRRGDDGSPNRPFEPMALDPLLSVRFENVWRGLLMPTAIRLDLALKYSHPDHAHR LPDAVLLWEVCAAWIQEREGMLDQVKKLLKGGDPTRMALNDAKEKLVVLTGVTKKVKQ CISLAHDEVGDFVTFEDEFYILRIHDQHRRLHDELMAMASWRDME H257_06575 MATSHHSTSLEFPRRKYTSAVLHTTASAAAASTSTSLFPLSPSK PPTLPSSASQPYVASPKKFLHVPRHAYHSSRTAVDAVTPLCHQLKDADENRRRTIECH KPLLVDLPRNPVTLRELLDAADGDPDGGAVTHFRDRPILADATFDISAPMPKRDSTSR LPKARYLMRENCSALPHQHTIFDDYIIPREVWDAVESETAQPKAAARQQHRFDPIALD DIHVSCYYARACPRERDTLSTALHAMTLRTEKPMVRNVTDMVLDSQFHEKNNTLLGRE VKMLYMATNEAYHEFRQQLHQPMKVAELVDMAIKCALNDMTMNMDDAIVSTEAMVQIP PHLVPPVAQSPHHHRRSKATPSALSPSVGSNRGGKVKTSRRDLMELMHDFDADKRLVG LWSQRRGDDGSPNRPFEPMALDPLLSVRFENVWRGLLMPTAIRLDLALNVCRLDPGAR RYVGSSQEVAQGGRPHPHGAQRRQGEAGRVDWRDEKGQTVHLFGPRRSRRLCDI H257_06576 MSVATMPLVGKKFQAKLGGMANEAVASGVSSFAKRQMEKMGWTE GKGLGKDEQGMATHIKVKRREENAGVGVETKEKEDQTNQWWYNVYNNVASKIVVDASD DDDDAAAKAKKAKKKAKKELKRKRDADNPIDEPTDEQLFAATGGKMFGRRAYGSCKGK LLRDAIQTGKVVTDTVGDSKKRAKKSKKDKKSSKQESSADDDVAA H257_06577 MSSEHVDQTEKAFQKQDGVFLASKKFAGKKNGPRFYKSIGLGFK TPKAAIEGGYVDKKCPFTGNVSIRGRILKGVVLSNKMKRTIIVRRDYLHYIKKYKRFE KRHKNVAAHVSPAFRVKEGDVVTIGQCRPLAKTVRFNVLEVEAASETKPINVRKQFRQ F H257_06578 MGNTVSGESRTPKPMVDPRLPKFPVIEDKPSWSQIKEAIRQRDF ATYGFVVASSALGGWYIPPKTLPLPIRVRLCAGTAAVGAFLGVLMLHDFGEARLRGYA INDLEVIKFGISVPPPVVNDDNNA H257_06579 MSAPPLDPRLPKFPVKMKYPSFNDTTSNFNFSDYATVAVFSVVS FGAGYVLGRPVRVPSSVATGVLGTVGGYLYSFQNSAARLQGFKE H257_06580 MRPVPATAQRLAKAATTLLHQGGALSQHARALSSVAATKETDVS TPSRRWAAIAAVLVGGAALGAYTVASSDENVRSLHALLSLGKVLSDIHGASDALDTAT HNLPDAGANLDERASSILVAIAKEQVFRQAVVDHGGMAVLMDTCMHTTEPKLQERIVQ AIAALAITTGADDVLVDIEKSSHLLLQLSPAIVHRQPHDPKPVAISSLDLVQLEAAFT RIREKCSSPDSPLRPVYNANATDAIMDRLCKVIVGSTSIDFKLFSMWAMRALLNHLEG HNPDLFHAVGAHLNFHLSSALFAMTTQQQPSPLLKLHAAALLGAMMRSTLHPVAPKFW CHQVAMWARDTSPDMQLLSAQCLELLAQQVPEDLLRSTTAHDALLHLARITRDGGNAN GTDARNSPSRSSDMAIQIHVSSAVHALADVLQTELHTTVETPMAKVLGHLDDILPDVN EYDILPKYGWVDVLAEWSVSAHPVVSAHAVQSLVHLALQPRPDHRGHLVLQAWMVSLL RHLATSKATSLESRRAVANVESLANMTSDQPPGHLHVPYRSQVIENGLAALAVLVNDD AAAGRAFVTHGGLNLVAVTAIKSSSKAIKSQCARVIANLAVHHRNIHRQLEDVLGGAL FSQELLHWAEESNVVVRSSYFRATANLAASSSVSTTVESPPVYYKDGLYPIVPRSAIA NYNNHSTTNTPPIDVVFVHGLRGHPFGTWRTDMGVSGTDVDMLERSTMWPEAFLMRDL HPDSRLVTMGYDAGMSKWSSPWRALTLDERAQVMLDALNAARIGANDRPVIFITHSMG GLLVKEMLWRAEVGGQELARRTAGVVFMAVPHLGANLAKLNNDALRKLIQAHPATKDL NANNARLMALNAAFERLHIPSLSLGEGAPAPLGLGVNHLIVKPESADPHIHLSTFHEI PHAHHMDICKPPTPTDPRYTLVREFIATHVLPSQRHDDDKTGEVEV H257_06580 MRPVPATAQRLAKAATTLLHQGGALSQHARALSSVAATKETDVS TPSRRWAAIAAVLVGGAALGAYTVASSDENVRSLHALLSLGKVLSDIHGASDALDTAT HNLPDAGANLDERASSILVAIAKEQVFRQAVVDHGGMAVLMDTCMHTTEPKLQERIVQ AIAALAITTGADDVLVDIEKSSHLLLQLSPAIVHRQPHDPKPVAISSLDLVQLEAAFT RIREKCSSPDSPLRPVYNANATDAIMDRLCKVIVGSTSIDFKLFSMWAMRALLNHLEG HNPDLFHAVGAHLNFHLSSALFAMTTQQQPSPLLKLHAAALLGAMMRSTLHPVAPKFW CHQVAMWARDTSPDMQLLSAQCLELLAQQVPEDLLRSTTAHDALLHLARITRDGGNAN GTDARNSPSRSSDMAIQIHVSSAVHALADVLQTELHTTVETPMAKVLGHLDDILPDVN EYDILPKYGWVDVLAEWSVSAHPVVSAHAVQSLVHLALQPRPDHRGHLVLQAWMVSLL RHLATSKATSLESRRAVANVESLANMTSDQPPGHLHVPYRSQVIENGLAALAVLVNDD AAAGRAFVTHGGLNLVAVTAIKSSSKAIKSQCARVIANLAVHHRNIHRQLEDVLGGAL FSQELLHWAEESNVVVRSSYFRATANLAASSSVSTTVESPPVYYKDGLYPIVPRSAIA NYNNHSTTNTPPIDVVFVHGLRGHPFGTWRTDMGVSGTDVDMLERSTMWPEAFLMRDL HPDSRLVTMGYDAGMSKWSSPWRALTLDERAQVMLDALNAARIGANDRPVIFITHSMG GLLVRRDPHEVKEMLWRAEVGGQELARRTAGVVFMAVPHLGANLAKLNNDALRKLIQA HPATKDLNANNARLMALNAAFERLHIPSLSLGEGAPAPLGLGVNHLIVKPESADPHIH LSTFHEIPHAHHMDICKPPTPTDPRYTLVREFIATHVLPSQRHDDDKTGEVEV H257_06581 MVRSLPLRSGFFAAPYISETEEAELLTWARTLVTSMTSADSEWT HSHEKRGVTVSEDRQKGGLFYSIRGVTSVQSTLDDVMDMMISTSTHEFRSMMKMLLKD LSLDSAVIYQRDQNDSESLSIKWFALKNKSPMAPSQDFCILEYAGVLSADYIGGDPSK MVGVCLYESIEQAECPSLFDSHRLERGSISKCGYLFRPTDEIGTIEAQFICSIRQPPG VRTTRRSNRASLQCWAESLANIQESVHTRRISRLLTTRENPTWVADHERSCCYLCLKT FTGIRRKHHCRACGEVICKKCSLNNSVDLPSIGLTTMRVCKSCSDGKMSFSGLVPSST SFMTSSSSFDGTGIDDIVLKKNHSDVPPPPLPYSDQQVALAVPSSSVAATAANALSSR GVVPDSVGLSWLSQIAQRDPSKREIVERLMAGFGEAGGPFDGSATAPLDTSNAPPEDI YDLLCDLASQALDCKFAVVHILDGNRQWFKSKVTIRESDIGRDFSFCEYPVRKKQAVV VLDTWRDPRFEMNPLVTGPLQVRFLAGAPLFDLDGLCVGAVCVLDSKPRTHLAQAQVA LMEKLAHLAMVSMQERREALGKKQLASSLVVPRQQPHAARPNTYEVVVDTAYTSNYPR RPLPASSHGDMVPLHSYTGTPSGAGSSSSSSHGSYGSMVVPAPHHALRSQAQIAAQEE QRKMQEQMMELLHQSSITQQTLKSSVKHQTSGLQSSGD H257_06582 MLRSLREPPTLSAAWNEDYDQKAAEWFELFLDLMMVAVCSDIAR KLQANLDGGGVAHFVVLFCLYFSTWQLYTHYNSRFNENSLLHYMLLFGLLCGFGGMVL SSEPGSVFALGLLITRLAIAGMYINTFLLMPAARDYLTTEMAMIAVDIIALAACLVLP GLGLTPSYLVLLVVAVFVRPIVTLTRSTTTDSALRIAVNIDHYSERCGCMVLVVLGEA VLKSILNLEQSGKGATTQFYSTMVLSLMVIFALAIFYFGTQPPRSLHAMRRSVWAGLA YMYVHYVLLAALLGFGVGVTIVANDVKEDVPVHDGAHVWLIFGSISISMAGILCLRLL HFGGRSIKDTDPTIVKQLKVMWWSFTFASPLLSVVCALVTLTVFPKRVDSIVLLAIAF GSLSLQLFGEGAVVHHLSVHGQEHVCSGTDECVPATSNEQSFLSRDSTG H257_06583 MLSRQFRHVMRTQRHRQATSRRLTSDAKAAEAKVAEGKAAETAT ESKAAEVKAAATETPTPRPGFFSRHPEIVFLAGLVGIGGYIYRSSVNNKKFNEVQNDL AEKTPISPYEAYELRSQNAITPEVFDAVKKQAKRYFPSNEATVAEFDLCLGSVLQGTA MKNTHHLERVLLSLPKNINGKIDVDLLLVAFSLAVKGSVDERLESLFALPLAAKLTGS STLTKAQVEHVLGHVLDTYQIPSEKRVVALEDKAYPYQEYAIATPGQILDAAVATSVK AKTLDEGTEQFNLDQFALLMKSKAICVWGECYNSSSKKRMKN H257_06584 MLGQVQRRGFSSLKKTALYDLHKELGGKMVDFAGYYMPVQYPAG ILKSHNHTRTKGLASLFDVSHMGQLKITGKDRVRFLESIVVGDIAALTSGEAKLSLIT NDKGGIIDDCVTTAYDDHLYVVVNAGNQDLDWAHMQTHLAKFQGDVKLEKITDRSLVA LQGPGAAEIVSALLPASVNLADSNFMTGQFTHLLGADVILTRCGYTGEDGFEVSVPSS QVESFTRQLLADERVLEAGLGARDSLRLEAGLCLHGHDISPETTPIEGALAWTMSKRR REEGGFPGADIILDQLNNKTFTKKRVGFTVEGAPAREGAEVFNPQGERIGTVTSGTLS PTLKQALGMMYVTKGNFKIGTEVHFKVRNKVQKGKVAKMPFVEPGYYKKD H257_06585 MNTSHDKPLQCFWNATVGTCHKSDWSSCGYDWLAKVPVGLVWLV WFFAGTYALSQFGTLKFDTTIHDPLGQGIAIALSQRKSTSVRRPWLLRYIKLCAIWAE WPTFTFTPLTIAFKISNEEGLLRGLNLNVDAALEVFGVVLVACCGLGIVVLRNWKRNA SGDAILKKALYPITFDLFFIPTTTLLARIGTCPEGFAHIALASGATCECVDQFGGFWA IGFGGFVLLYCSAMYYKMHIEPHGTTMDFRFQTSFQIMMAMARTVNPIVSILVVLLNV HIYPARATPIACAFLIMVTYLLVYSYKTQPCIGSGRIPNNIRVVSFSSSVYSSVCVFL FCVTGTSISSLYYSLVPLPVVWLVAWTINDRRAKLFYIPYRPIVDLLVMPEARPQLAG VIAALYADPMKIRSEDYLLIVTRLYSIARSSDAEPQSRTHALRTLWFLHCKNFRKAKG QFGEPEDEMQALPPKLWLKDRENPDRVQWTKAGMAKSELLSSKQTQVKIARIDHLSDV LQDDSAASVDMTHLALLSKVSGHSGRLSRTAGTTALAELTTTAAETPPNVAAAAVADV AAAATTDNGTPDAPAASIRPPKLRSFAMPISARSSSMVATTVFGVIRIREKQWLSRNE DPVDAVQHCDELFRLAKDVMVTCTVVADKSAMFEAAMYLLQLYQARYLRLHKATYVRI VSSLCATDHTKIAIDAVHTLYKLVLDAVLAPELWLRTSSSLDLLLCALDHPSTVTVAK CVIVAKAILMAAESESRTNLFALLTPASIARLRCAFLDYHANYQISTTLEDICAGLHR MELQRGAKHREDLQRRAAASSLMRNIAQSIKSGAKSTVSVGIDRSMPSRRLSLRVSPV DPLVTANLQQMPDRVFGSTMCVQVQEMDEESTRDLVNKAVNVPTTSAPTRSVHVTGVG SGGNVKGGAAGGVKIQYLFVQADVIEEIHRRRTVRTQFEQALMRAYQIYNDGRARVQV DRPADAATSPISRKKLPASFGEIMELYAVPGCALEGMLASVVQPHMRQFFESQVMPFM ILR H257_06586 MLCLPLRFHNQTLVTPGTYTLHAIIMARRGIVLALTSVASGEII FVDPVRGDDASLDGSFASPFKTIPRAQAAVRTLLVQQLAANASAPVDVVLRGGTHVLT STLEFGPLDSGLSAAGTVTYKPYCDPLHPSDMSITPFPYVMGASTPLRYLWNGIGDAN AWLGPDDPLIQLGVNPLNRTLPPKVPWPGSTDTCVDKVNVGHTCYSTTTAPCVQDCMA ACQRNVDKRVYSDAVYSEFYLLFGRDLTKEEECVETCSVSCTTCERVTISGMQTVVNG AWTPYTPSSWHLPQTTIYALDLTSLAIADPPSDLYLNGLRLPPASVPNVVFSDNDTQW TPQYAPVDESKSTGRVLIYNATTLSSKTTHWTQLAFAQVDIVRMPSSGGGNSRHAIYA MNQTTIDLDAGGGQLNGDEYFHGPAWPTVTGFRVENIFEELDAPGEWYYDSTTSTLYM IPPRGVIPSESTIEFPRLKQLIRVRGTPGVDVGFSEPGRDTIVTAIETEAAYPAPQLL LAANLAFDGLVFTGTQRTDMELYETLPGHPWTQTRVGAMYIESAMAIQVQHCTFSKLG GNAIFVSGRTEYVHIALNHFTQVGATAVSIVAKSVTPDDPTHPRQFLHSRRTTVSYNQ MHDYGLVTRQSAAVLVVGTSQTTVYNNLVYAIPVGGVSYSAANANADGNVAQLLVRPL ESPVVLAETLAPLLGGLYTTPLNLGRIDRVVYPLQAKIIGGPECAPAMGRVGALYGQQ HAGCSDCCLTTQGTASIRNAPLGMSAFTLVVPVQPGNVLDIQVQSAGYYDAPVDVHVG FHFVTPNRLVELPRWRVHWRVRTRFCIEHNSQQTVSCTGPCGCHVSAGVDNMPPCTAG YDVQPTSLACEGPFQAWSTCETGSMARVLYYNCTQTCLRSVCV H257_06587 MIKRAMVLGGVLTVGVAAVPFVRHAMSRFKPAVTNALTSGTSAE APPSRRVALVLSGAGVYDGSEIQEAVSCLIHLSKANADVRVFAPDILQHHVINHLTGD VMPAESRNVLVESARIARGKVEKLDLLDVAQFDAVVVPGGFGAAKNLSSFAFEGENMQ VQPQVAAALTAFHAAKKPIGLICIAPVLGGKLFPGAELTMGRRSGAKWSSGPDAASAV ESWGAKIIEKDHDEVHVDTVNKIVSAPAYMYDQATPAIVFDNVGQVVDAVVRMK H257_06588 MGTNDVLSTLRRSLQSPVIFSSNVRKLIKTKDLTSLDAVLASVR MANDSDSLLLLWQFLLDNLFPVHPERRMEELCLQKCLVALLDRPEIATNSSRRADALT TATLQLAARLNAHPNKLDDLESRRGDTQFCTRLLAFAYLQQETVRQQVVAKVAQVTQH RDWFKKPMAPAGSAFPHWVASLLPVAVQSTDVTTFEQALLHWFTVEPPLDDKPLPFHS HDEAALFASLIDSGEFFFTFVTSLIQSRKVETVTNDQWVAAIPHYNTLITVALRLLHE AAYKRQFYDFTAPKHATLTLNRANQDVVLALSGHLLKNVDLVHICVLALFESTNLANP KSVGVCLDRLAAWMALPGVVWLSSIKTSPAEFNWTVAIRLLLHCDHAEVVLRTLQFLY KVLGVLPWELRHRLLRSLAQRHFALFLHWHCDVRVLYHHVLVYKICPHVHRAVLDSAT DVLLLRTDMPSIDDLDDSHSSGSRGNHSTVAREVQVWRNFDGFVALVCFQERARAMDA NKAHKREIEFAQNRVAHLAKLMSVDDALARLSVESTNSEPLDKLPAMSSMLSVADSSD VDVVRTSERLALAKLSRAPPYLRHVPDDEVQHLESVVRAACPSDINVLYPNELQVYAR ESLKSYVTVLQAYYDSCVVDTNGDLMLGQLPPPPSLD H257_06589 MDGDRDSHDVEDARSIEPLDSVVMSREEEAERARLMLEQRFLLH KRMRKDAKAKHDAIKAKASRRKDSHVDHKNMPHKWKDAAVLEQELKHKEHTKVLMGPE PIRQFPGKVYTTSPIRDRDADRLDAIDDYAASAAKNDRESNADMDEYLKLDQSKFPLH QFDSSEYDTKSPEEHLEECKTGASPFFVKGEWRWRPCQILSYNTDTEKYTIQFQGSDK DKTVRRINLRFDTEEPATFQKRIDEAIRRREDAKAQMRFDYFVSQQSVMEPRSMGRTT LEGIHRRVVDGLTPDVVVEDTHAQLLRGLTDVVIREYTRAMKKAVLWYRLEFDKPLLE KYRSLNLTALPLPPPAPTFGKIPIPASLMSFSKLRKKISHKHFTASSGFLNVLMKIYH GWETAFIHLTFCATAFDVLQLPCRLLDFSEIQLAHCAKITEMLSVDWRRAISENLVDS LQDEYDFFISDRDEYDLGELKRLLMSIRVRMASQIRMVVEQSTEAWVKLVHAKVKAND PLTSLFDVHLVVTSEGNVEMSPSQQDIKAAMVTPLETMVHNVSDMDVIDPELLSLLNL DRVKLFDLTVKNDIVYETVSKLGAARRDILKCLSDSLGQVQPVTDAYAKHARLLGLDA ATYMKQFKKDHPSPVPLDILCDAIRQFHSLVLEVETLSFDLTSFPLVCVNTVSIKATL RDKGVAIRNALIEYIVMDARDKNVEISHRYQAILKRITEKPTNEAELAALKDFVNASK ITIAGIQNEVDEIHARLHALGEFSHTISDDDFRLAWSTKEWPLRVAHAADTCDSALEE DKIRMMDKLSLEKEQFELDLERYEKDVALFKSYGEIDRTEKYVELASTLYDSIMEAKA KADNFNQREAVFGFRPTEYAMIGKLETDFQPYYRLWTMCSEFNISKQAWLTGPFLELK GPEIDANVTEWWKASYKLSKQLADEAPGSAEVALILRERTDEFRAYLPVIQSLASPAL RERHWELLRNKIGLEETDEELTLHQLLEKGITQHIETIQEVSMVAEKEYTLEKNLSAM ISEWEPIEFECLPYRETGTYLIKSVDDIIALLDDHIVKTQTMRGSPYVKNIEKECKAW EKKLQYSQQLIDEWMTCQRTWLYLEAIFSSEDIMRQMPTEARRFASVDALWRKTMEDT VADPNFMNVMNMDKLLQKFQKANEKLDEIQKGLNDYLEMKRLYFPRFFFLSNDELLEI LSQTKEPKAVQPHLGKCFEGINKVTFTNVLLITEMISSQGETVLLKNTVNPESAKNKG NVEMWLLELEVFQWDTLRDHTMRAIGTYPKEVREEWILKWPAQVVLGASQVYWTQEVN KAIVDKGNEGLKEYVEVLNTQLDKVVMLVRGNLTKLERTTIGALVVIDVHARDTIAHM IQKGVENDQDFEWISQLRYYWIEGARTKGEMDLQARIVNARVKYGYEYLGNTMRLVIT PLTDRCYRTMMGAIDLLYGGAPEGPAGTGKTETVKDLSKAIAIQCVVFNCSDGLDYLA MAKFFKGLAGCGSWCCFDEFNRINIEVLSVIAQQILTINEGKKANVDKFMFEGTYIKL NASANVFITMNPGYAGRAELPDNLKALFRPCAMMVPDYALISEIRLYSFGFANARANA RKLTQVLQLSSEQLSSQKHYDYGMRAVNSILVAAGNLRQQLGDDPFWTEDKIVLRSVQ DVNLPKFTTDDLPLFRGITSDLFPGADIPSPDHGRLIPMIDLMCTQGISIAPDSLTRL QPKVEFKQKVVQLYETVLVRHGLMVVGITGSGKTCIVHTLAAAITAVFNESEGKTQSL VHIHTMNPKSITSGQLYGNFDENTHEWSDGVLAKTYRDCARDTTDDRQWVMFDGPVDA VWIENMNTVLDDNKKLCLMSGEIVKMTDRMTMMFETEDLEEASPATVSRVGMIFLEPK NLGWETLMRTWLEYSLVTELRPNATYIQNLFEWLVPPLLFFVDKNCVVPTPVTFLELV ASLIRLFQCILDVPISNLSSDINGVLEGMFFTAVIWSLGACVDTKSRQLFDTYFRELT CGAISADTNDAYGNFVLKNPGYHVIPRATNVPFPEEGLVYDYRFDGKKATWLTWMDSS SAAAIPRDMPYTSILVPTIDTERNAWLLDTLLTHHYHVMCTGDTGTGKSVSIKKKLLT GLNESFSSIFLNFSAQTSANQTQDIIESKLDKRRKGVLGPPLGMSCVIFVDDLNMPAK ETYGAQPPIEILRQWMGHGGWYNRKDNSFLQLVDVQFMAAMGPPGGGRTKITQRYIRY FNLINFVPFDTTSLKLIFSKISDWFLMNFPAAIKALTAPLVAATIDIYDCISSTLLPT PAKSHYTFNLRDLSKVFQGISQGTPDVLKEPKDVVRLWSHECLRVFHDRLIDAADRKW FQEVLGETVQRHFTFNYIKEVRGPNDVLIYGNFGDAKANKKMYAELRDRETLQSSMSL YLDDFNNMTSAPMSLVLFQNAIEHVARISRVIHQPYGNSLLVGVGGSGRKSLTTLAVS MADFKLFQIEISKTYSRSDWRADLKKVLTLSGVTNQPTVFLFSDTQIVEEGYLEDING ILNTGEVANLWANDELMTINESLESAASASGLNTGNAAEVYNFFVSRCRTNLHVVLAL SPIGDAFRRRLRMFPSLVNCCTIDWFTEWPEEALRSVADHFLVDIEMPSAMKTGLVDV CVDMQERVSQMSKEFLQSLRRHYYVTPTSYLELINTFKKLLNTKRTEVSQMKKRYDNG LTKLMETADQVEKMQVELEALQPMLKVATVETDALLETISREQKDANETKTVVAAEEA QCNEQAAAAMEIKTSCEAGLAEAIPALEMAVKALQTLTKGDITEVKAMKKPPDGVKLV MEAVCIMMGVAPVKVKDPAGGNKKVDDYWGPAQKTLLNDTRFLQNLLEYDKDNIQEET AHKVTPYTNNPDFSPPIIKKASVAASGLCSWVHAMVVYNRVSKTVAPKREALKAATAQ LEAAQANLKAKQDALQIVLDKVARLEADLAGAMKKKADLQFQVDDCSKKLVRANQLIG GLGGERSRWQEMSAKLQVVYDNVVGDIMLSSGVIAYLGAFTSTFREKAVNHWSLELKH KGITCSDMYSLTTTLGDLVKIREWTIAKLPNDSFSIDNAIMMQRSNRWPLMIDPQGQA NRWVKNMEEKNGAKVVKLSQAGFVRALENAIMVGAPFLIENVPEEIDPMLEPVLLKQI VKAGGVLTIRLGDNTVEYDVNFRLYMTTKLRNPHYPPETCVKVNLLNFMATEEGLQDQ MLGIVVAKEEPVLEAQREKLVLEDAANKKTLKEIEDTILHMLQTAEGNILNDERLIET LGASKITANKIEEKVQEAAATQLIIAEKRAGYTPVAFRASQLFFCIADLSVIDPMYQY ALEWFIQLFVQSIGRATKSSDLTKRLTFLNEQFTYTLYVNVCRSLFEKDKLLFAFLLT VKILTGNGTIQASDLRYFFTGNTTMDTAKPNPNPAILSVKTWADIVGLDGLPTFQKFS DNFVRDLHLWTAAYTSQDPMAELETIPSVTGYDLFQKLIVLRCFRPDKVVPSVMTFVA SMIGQRFIEPQPFDLKAGYDDSTCATPLIFVLTPGADPMSELLKLAAELGFTKKFVAI SLGQGQGPLAENAIAEAIDNGNWVCLQNCHLSVSWLPTLERLCEEITPDRVHASFRLW LTSEPSRQFPPFILQNGVKMTNEPPKGMRANLKGSFLTFTDEWLDSSSRPQAFKKLLF GLCFFHATVRERTKFGPLGWNIKYVFSGSDLNISKDQLKICIDDLNETDAIPYAALAY LAGECNYGGRVTDDKDRRCIVNTLSDFYTPSIQDEGYKFSPSGTYYCPAPGPLSSYLT YIDSLPMNEGPEVFGLHDNANISCAIAETNLLLESALSLQPRSSGGGGKSWDQSLAEA AADISHRIPPIFDTEKADLDFPVCYDESMNTVLTQELIRFNRLIAIISRSLKEVQRAL KGLVVLSAELEAMGNSMVNGQVPLMWSSCAYPSLKPLGSWVTDFLDRLTFLQTWIDAK QSPATYWISGFFFTQAFITGTLQNFARKHTQPIDQCGFDMEVLSAEASSAITSRAPDG AYIFGLFMEGARWENANMSIVESRNRELHVAMPMILLLPKRRDNIEPVKDSDPKGTAH MYMCPVYKTSFRQGTLSTTGHSTNFVMFIRVPMSPDHNQKHWIRRGVAMLTQLDI H257_06590 MQALAHELNGTRRNNPRKGSTQTKGLQLFHATTTVCAAAGIIDS FEIRIQIGNPQRDDESYMCERLQVASYLTVCNVKVLIFKELGIPYEEQTLFHRTVLLE DNFELGQLGIHNDSTIYVVRKKRYRSIGASQTTITTIVLSTSAADGYNLVDMAAPLPP FHMIVKRIAFAISYLRQLDRMQQSHSRHKIWTGGAPHDAQSPRGHRPQPPPSKKQSEL ATALDALRVSLAVPFEMRTQADIRVIKKWLGSIKYFTDAHVPDSSLLDVSRHITCATY KAGEFVFRQGDPEEGFYVIIQGSLSIAGHGEGLFATMKRGQCFGEVGLFKNCTGQRSA SAIVNFDTSATELACISRDVYIRSIGMHKENMLVEYEQFLSECPQFATLPKERMTLLA YAADSKYVDSGDFLLRNGSQIDHLYLLMRGEFRVTASTVVSVPRPIIEDDDNDDLVDC DPMIEKRATVMTLRSPPTLFGHEVCSKLKNPQSQYDVEATSPCTLLSFSKATLQRFVT NHDTAVRDILKDFELRNQDMARRLEQVVAHVMAQEPKPDVEMVALDAFFAHTLSKHGD ARSMSTVSQPFPRRLTFVRPSQPPPTHAPIPPSSRGATPRGPKPKHESGFTTAFAAMC KNHFHETLPHGDLLTYEQQVHYSSKPSSSLTSEEQLTKMNCLIDGLRRIKPVDVVLVD VLRHPTGDQYCFMAAT H257_06590 MQALAHELNGTRRNNPRKGSTQTKGLQLFHATTTVCAAAGIIDS FEIRIQIGNPQRDDESYMCERLQVASYLTVCNVKVLIFKELGIPYEEQTLFHRTVLLE DNFELGQLGIHNDSTIYVVRKKRYRSIGASQTTITTIVLSTSAADGYNLVDMAAPLPP FHMIVKRIAFAISYLRQLDRMQQSHSRHKIWTGGAPHDAQSPRGHRPQPPPSKKQSEL ATALDALRVSLAVPFEMRTQADIRVIKKWLGSIKYFTDAHVPDSSLLDVSRHITCATY KAGEFVFRQGDPEEGFYVIIQGSLSIAGHGEGLFATMKRGQCFGEVGLFKNCTGQRSA SAIVNFDTSATELACISRDVYIRSIGMHKENMLVEYEQFLSECPQFATLPKERMTLLA YAADSKYVDSGDFLLRNGSQIDHLYLLMRGEFRVTASTVVSVPRPIIEDDDNDDLVDC DPMIEKRATVMTLRSPPTLFGHEVCSKLKNPQSQYDVEATSPCTLLSFSKATLQRFVT NHDTAVRDILKDFELRNQDMARRLEQVVAHVMAQEPKPDVEMVALDAFFAHTLSKHGD ARSMSTVSQPFPRRLTFVRPSQPPPTHAPIPPSSRGATPRGPKPKHESGFTTAFAAMC KNHFHETLPHGDLLTYEQQVHYSSKPSSSLTSEEQLTKMNCLIDGLRRIKPVDVVLVD VLRHPTGDQYCFMAAT H257_06590 MQALAHELNGTRRNNPRKGSTQTKGLQLFHATTTVCAAAGIIDS FEIRIQIGNPQRDDESYMCERLQVASYLTVCNVKVLIFKELGIPYEEQTLFHRTVLLE DNFELGQLGIHNDSTIYVVRKKRYRSIGASQTTITTIVLSTSAADGYNLVDMAAPLPP FHMIVKRIAFAISYLRQLDRMQQSHSRHKIWTGGAPHDAQSPRGHRPQPPPSKKQSEL ATALDALRVSLAVPFEMRTQADIRVIKKWLGSIKYFTDAHVPDSSLLDVSRHITCATY KAGEFVFRQGDPEEGFYVIIQGSLSIAGHGEGLFATMKRGQCFGEVGLFKNCTGQRSA SAIVNFDTSATELACISRDVYIRSIGMHKENMLVEYEQFLSECPQFATLPKERMTLLA YAADSKYVDSGDFLLRNGSQIDHLYLLMRGEFRVTASTVVSVPRPIIEDDDNDDLVDC DPMIEKRATVMTLRSPPTLFGHEVCSKLKNPQSQYDVEATSPCTLLSFSKATLQRFVT NHDTAVRDILKDFELRNQDMARRLEQVVAHVMAQEPKPDVEMVALDAFFAHTLSKHGD ARSMSTVSQPFPRRLTFVRPSQPPPTHAPIPPSSRGATPRGPKPKHESGFTTAFAAMC KNHFHETLPHGDLLTYEQQVHYSSKPSSSLTSEEQLTKMNCLIDGLRRIKPVDVVLVD VLRHPTGDQYCFMAAT H257_06590 MQALAHELNGTRRNNPRKGSTQTKGLQLFHATTTVCAAAGIIDS FEIRIQIGNPQRDDESYMCERLQVASYLTVCNVKVLIFKELGIPYEEQTLFHRTVLLE DNFELGQLGIHNDSTIYVVRKKRYRSIGASQTTITTIVLSTSAADGYNLVDMAAPLPP FHMIVKRIAFAISYLRQLDRMQQSHSRHKIWTGGAPHDAQSPRGHRPQPPPSKKQSEL ATALDALRVSLAVPFEMRTQADIRVIKKWLGSIKYFTDAHVPDSSLLDVSRHITCATY KAGEFVFRQGDPEEGFYVIIQGSLSIAGHGEGLFATMKRGQCFGEVGLFKNCTGQRSA SAIVNFDTSATELACISRDVYIRSIGMHKENMLVEYEQFLSECPQFATLPKERMTLLA YAADSKYVDSGDFLLRNGSQIDHLYLLMRGEFRVTASTVVSVPRPIIEDDDNDDLVDC DPMIEKRATVMTLRSPPTLFGHEVCSKLKNPQSQYDVEATSPCTLLSFSKATLQRFVT NHDTAVRDILKDFELRNQDMARRLEQVVAHVMAQEPKPDVEMVALDAFFAHTLSKHGD ARSMSTVSQPFPRRLTFVRPSQPPPTHAPIPPSSRGATPRGPKPKHESGFTTAFAAMC KNHFHETLPHGDLLTIKPVDVVLVDVLRHPTGDQYCFMAAT H257_06590 MQALAHELNGTRRNNPRKGSTQTKGLQLFHATTTVCAAAGIIDS FEIRIQIGNPQRDDESYMCERLQVASYLTVCNVKVLIFKELGIPYEEQTLFHRTVLLE DNFELGQLGIHNDSTIYVVRKKRYRSIGASQTTITTIVLSTSAADGYNLVDMAAPLPP FHMIVKRIAFAISYLRQLDRMQQSHSRHKIWTGGAPHDAQSPRGHRPQPPPSKKQSEL ATALDALRVSLAVPFEMRTQADIRVIKKWLGSIKYFTDAHVPDSSLLDVSRHITCATY KAGEFVFRQGDPEEGFYVIIQGSLSIAGHGEGLFATMKRGQCFGEVGLFKNCTGQRSA SAIVNFDTSATELACISRDVYIRSIGMHKENMLVEYEQFLSECPQFATLPKERMTLLA YAADSKYVDSGDFLLRNGSQIDHLYLLMRGEFRVTASTVVSVPRPIIEDDDNDDLVDC DPMIEKRATVMTLRSPPTLFGHEVCSKLKNPQSQYDVEATSPCTLLSFSKATLQRFVT NHDTAVRDILKDFELRNQDMARRLEQVVAHVMAQEPKPDVEMVALDAFFAHTLSKHGD ARSMSTVSQPFPRRLTFVRPSQPPPTHAPIPPSSRGATPRGPKPKHESGFTTAFAAMC KNHFHETLPHGDLLTIKPVDVVLVDVLRHPTGDQYCFMAAT H257_06591 MEQIRVRVVRAEGVLGTDGFGSNKTSDPYVVLTYLDHTGAEMKS EGRKSKHIEKTLNPEWNFESVIGNDVDLRVVESLAVNVFDKGVFNNEPLGRVVVPMTD IRVVTTGQPFQVSMPLEKFGKMKAASGTVVVEFESAAAPSSSSAVAAPAGSVGIDIPS DSSAPASSGPPNVLLVTVDSAKGLKAMDKGVSSDPIIHVVVNKVKFTTTKKEKVLAAM WNESFKIPVTDASLDVEFIVEDVDTFGNDFMGQVKVPLASFVDCQAQTLTLDLLNKKY NKEELGSITVKVQWIYHANVDDVVASNKKKGGNFLDKMVKSIGAGVPDAGDDDEDAVD IEAADTVPKKSDEELKKEKEAREKALADEKAALENINIRSGDYTVQVHVIEARDLVPK DATGTSDPVVYVQVLDQKQNTATKHQTLSAVWDDVLIFNFRNLDKEQMEMGALKLSVM DANTFERAELIGETTFDTSFVYSNMNHQICNKWIGLIAPGSDKVQGYLRVSITILGPD DKFVPPPPIGPNESGLDGVLMPPSVQQQVKFLVVKIHNGEHLPAMDQSLGKQGIDAYV ECSLGGSGGNASIRTRVKTKKGERHLLNPAFNDDMYLVLREPSMASKITFKVMDWDRV GSDEVVAHAYQSISLIRSMNGELGPMWINLYGAPLQHAKENLLNKGDSIKTQMNTYPE QATTYRGRLLVSFSIQENVKELEEINQRVKARPLPPSLHPATSIFKFRAFLGTGSDIP QIPSLNPLKNSRMQVIITCGLFELAFERQHNNKGLVVWNQLIESGPVAFPMPIDGDYS QIPDVFVYLCKGGSDKMNPRKQIAFKRYTAKDLVEANMAIEPKWITLKEDPCVDALGD EAFPGNIFFNLGLAPIDVADLSKAAWVSYYDKETLEKRHKYQVRVNIFQARQLPALDD DGLSDPYAKVRFMGEEKRLKEKKKTVNPTWYETLTFDCDLPPPEFLHFSPQVVIRLMD WDNGIGDSGHDYMGSAFVSISSSDVREPDDPRPLPQPKWCGIMQQEEGDTEGAVLASV VVVKMDQPDMELPPPESIVPAVRKAYVEIIVLGLRDMRPYQFLPIQLPFIEFTLGGRE HASQEMKTEKSKRPSGENPNFLQRIVREVELPEDSLFAPMLNIMVKDTRLGGWNTPTI GNASIDMTTKLPWSKGYIAPQSLDLDDIQTKVAEEDGDDDDDEASGLLNSQKGSKEDF GAGVFGALTSMNVEINLDDPALLTGSGADAALVPDEPDDESAADEYEIKRRKYMKNRD ERGEPLELEVLKTRPFETYKLTIGQKKKKSGFSLFKMGSKKPPPAAESGTFHIAGIFK GLVRVMLDKDEEPLINLEKLLTPMPYQVRVYILNGENFMPMDPGLNGAPGKSDPYLVL RLGKDKINDRKNYVEDVVDPDFYKLYEFNTHFPGASTLFIDAYDYDLIGGDDLIGSTT IDLEDRFFDKNWQLLGEEFHTVNPVCRWGPKPVEQRPLHIKTSKAQMGQLKMWVDILS PKDAATYEPVDIALPPPQEYELRVVVWKTKEVPSFDELTDMNDLFVRCQLEGGDYQDT DIHWRAKKGKASFNWRMKFPVTLGHKQHNSKFPYFKMQMWDKDIFSASDCIAEGLLDM SAHFKEVCKLKAPLQIFKDKKPKKKEKETPKQADNNDTIQSIKESTGLWDINPSDSTW IKLERLNRETNVKEPMGAICISMELVPKEKAKVTPLGHGRSDPNNSPFLPPPAGRLKF SMNPFYVFNELLGPKICRRVMCCCCCLLLMLLMYFFGPIINLLIVMFK H257_06592 MTKAAAVSVQVSVHELEHHTKLALQVLGYSPKESAIIQRVLLYA QLRGNTQGVVKLVTKSLDKSPHCLAKDIAMEQDTPTTAAVNGNQHCGMLVVQRATDIV ISKAKATGASVVTCRNYATSTGAIGFYAQEIARHGLIGLVFSGSPELVAPHGGTQPLF GTNPIAIGFPRANHDTPLVMDLATSAISYFAIILAKLANQTIADNVAIDTQGTPTTDP AAVHAILPFGGHKGSALALAVELFSNALAGGAIHDKDNANDWASCVIAIDPTRFHANL GDFTSRVEQILGRVKSNSPIAPGAPLWLPGERGNSIYNRHVTQGTIAMESSLWRQLQE FTAVAKPSRL H257_06593 MGTKKFWCRARRGIMSDEIRVTVVRASHIVGNDGFGSNKTSDPF VELTLLRSNEEVIKTSSTYKTRDVQKTVNPLWNESFVFDIDLRSANYLCCTLYDKNFL KNVPLGRALVAMDDVRRCLVSGRVERSFPIVKFGPVKHATGELFLVFEPNGGKSNTPA NAAGGGDVAIAVAAAPATGPPNLLHVTVESATGLPAMDSGGTSDPLVIVSCNKTKMST TTKYKTLSCMWNESFKLPVDDPSQFVQFVVEDFDTAFNDFMGQAKVPLESLHDGKPQT LTLELLDKKFRQKNKLGTLTVTLHWTFTEHVSAVVASNKKKRVTLLDKLSNAVTGVPD AGDDDEEGIDADALEAPPEQTKSPDELKKEQELKDKARDEERKALEDIQIQSGDYSVQ VHIIEARDLVPKDATGTSDPVVYVEVMDQKQNTTIKSKSLSAVWDEVLIYTFRDLDKE KVEMGQIKVTVMDANTLQRAELIGETSFDVSFIYTKMNHQICTTWVGLCSPGTDGIQG YVRLSISIIGPGDKFVAPPPIDPKDNGLANVLMPPSVKQEVSFLVMTVHVGEDLPAMD SVTVLGQKLKQGIDAYVEASLAGNASIRTRVKSKKGERYQLCPAFNDELWVVLREPSL ANKVTIAVKDWDRIGSDEVVAHSYQSLRLIRKMCTASSTGAYGPMWLNLYGAPLTFTS SVIPGENTAKGEMNLFPDLASYYRGRILVTFDIRPNERHLDEVNQRIKSTPLPRTLFP PTAAYKLRAFVGTGTDIPQMSSLSGAGTRNSKMQILITIGLYELAFERKDNVKGLVVW NQLLESDLLILPADATQIPDIFVYLCKGKADGKVQGRKQVSYRRYKATELLEQAMGND PSWVSLKEDPSVDALGDDVFPGNILMNLGFGTDDTASRTLAKWKSVANVDRLSQRHKY QVRVNVFQGRNLPSMDDNGLADPYITVRFNGEQQKLAVKKKTVNPLWYETVTFDVDLP PEDLLHYSPQVILRVMDWDAGIGSSAHDYIGSSFLPILASDVRTINDARPDPEPKWHP LVQQEEGDTDGQILASIVVIKLDRPDAVLPPPPSIVPKTRVAYLEIIVLGLRDLKPYQ FLPIQLPYIEFNLGSSDHANQEMKTEKSKRPSGANPNYLQRIVREVELPEDSLFAPML NILVKDTRLGGWYTPLIGNASINMSTKIPWGKGYIPPQSLDLDNIEEKTADEDDGEVS EDASLLPRTSLKAKDIGAGVFGALELMNVQVDLSNPSLWTDEGIRSTQLSTQLSVDSD ADQGGADEYETKRKKYMKNRDDLSEELEASVLKTKPFETYKLTTGQKKKKKSLLSLLK LGGAKTSKSAQAQNGSGSFHVAGVFKGLIRVMLKESDPPLVNLSKLLHPQPYIVRIYV LNGEGFQPMDPGFDGKPGKSDPYLVLQLGKTKINDRKNYLDDVVDPDFYKLFEINCDF PGASTLTLSAYDYDLIGTDDLIGSTQVDLEDRFFDKHWQLLGDEYKSSERWGPKPVEQ RTLYVSTSRAPMGHLKLWIDILTPKEGAVYPPVDIALPPPITFELRVVVWKTKEVPSF DELTDMNDLFVRCQLEGSDYQDTDIHWRAKKGKASFNWRMKFPVTLGHKQHNSKFPYF KMQMWDKDVFSASDCIAEGILDMSPHFKKASMLKAPFQVFQDTKPKVKETSNAQRDNA AIRSIKESTGLWDTDPTDSTWIKMERLNRETNVKEPMGAVCISMELVPVEKAKIQAVG FGRSDPNNTPFLPPPAGRLKFSMNPFYVFNELLGPAICRKVMCCLCLVAIMAAMYFLG PFMNLLIVLAK H257_06594 MERNRNDGIPTATKVAILLALHDAAVDQMAWTLRSALTRQINSL NAVTNVGLEYRQSVRSVAFHANVSKSTLHRRVQEGVLDF H257_06595 MPTSNLHTYYKRSVITKYSSVVKPSLTDAVCRLNWAIDQVHDID GEKFIDAMYDTVHVDEKWFFMTRLQRKVIGASGEKIKQRTCKSKRHLLKVMFLSAVAR PRWDNSKEEWFDGRSRRSCRRDAGTPVVKTVNVTRPTFKAMLIDNVIPTIRSKWPSGE SRAIKIQQDNARPHMPPSDVDIVAACKVEGWDMQVVFQPPHSPDLNVLDLGFFWAIQT LLVEKHSSSFEEIVAATEEVWTRVSPLTLNKNFVTLQSLTARHSLVLRGPEHAQIDDD DYMQFSLFDDAASVLLKGYSTPSTLLLSQSATRRHRHHARPPVPFALMDTVRQFRTAV WSTAQYNMTEMAPTTLDPTPSSWQRLPQTLYYGGSPICPFGKPKRLPGLVRILR H257_06596 MRKGQHKMNLTDSEYTNMVQHLLTKYVRLHPNDCPTGLEACFCR LVGQKDDLHSVGQRKKVKSRRKQLYTDLPQRIQTIPQS H257_06597 MESIMSDNTFLHDERIAAWEIARRFNGLTDILRTGAAGGVAAAL ATGTAAAACDGVEATFSLDDDMAMGGIAGSVATLFSKGGGLGVCVTEGSGEASSVVGG GGGSQRVNRARSNPGGTNQALASGSHSAPSPLTRNF H257_06598 MGAGASVDTTNGSLVPALTPRVMVSMQAKTRLTPDELQLLGAHF QRYQLQDSPADAISSDTVTLPTGSVDRVKFQQAMGLPEKESIYADRLFHLIDANHDSR ISFDEFAQSVSLLSTKATLPEKIRLSFDILDMDGDGKLSKPELTTMLHTTTSENNIKL TKEQIELIVDKTFVEIGADKEGVITFDAYSALVTKNEGMISHLSVNLAYLLSSTGVQA TLQATQ H257_06599 MSSSDDDLRFRSASESSALLSAFKVKPLEGQSGEKSFRATRRAF WSEARAVWKMAWKVSLVTVCQTSLPTISAAFLGHLGMNELAGSALANIWISGVQVVIY GFAVALCTLCGQAYGAQNYELVGIWLQLGIFFLTLFSIPVCISFFYVEHIFVYLCDDV QVLAFASTYARYSALSIWPQCVYYALRQYFQAQEIVTPATVINVLSVGVCIVANQVLI YGVDGWIPGLGFIGSPLAQFAAAVFQPLALLLYSCFFRRHHEKTWYGFTWECLERERV KRFLLLSLGMTINMAMDEWVYSFATSLASYLGPTVLSANSIIYNLWNLVFGVYWGFGL PTQVRVANFLGSYQPDRAKRTMWVGFVLGTAASVMSALVVYVFRQPIVDAFTPDPQLQ ATIHMALPVFCIAVSLSGLHLVLAAVVEGMSLASTLVCITGIGSWVILLPSAYLFGVV WNGGLPGLWWGSVLGELAKFVMMAAFLYQIDWDEMGLLAVKMSEGEMDDDEVEDDVIQ RMTRSMSTPSLLSTPVLSNFTTPQQVYPHHRLSEDDRMLHLLHGAAKNANRSYRTADS L H257_06600 MMELNRQSLPPGQAPDGPMGSDQLYHLVLELSVPEQREGALLEL SKKREEFPELAPILWHSFGTVAALLQEIISIYPLLSPPQLTAHASNRVCNALALLQCV ASHAETRTHFLNAHIPLYLYPFLNTVSKNRPFEYLRLTSLGVIGALVKIDDTDVINFL LQTEIIPLCLRIMEAGSELSKTVATFIVQKILLDEMGLTYICHTPERFYAVGSVLSKM VTMLVEAPSTRLLKHIIRCYLRLSDNARAKDALRQCLPEVLQNQTFDDVLKEDMTTSR WLSQLLYNLHDTSNTSGSVGMTLSGPSSSRT H257_06601 MKVQHSSISHFAMTAQGDDSSGGSANHHHEEPESRHEEHHEEPH EWKSQEKWVKHQEKEAEKEEKEAKQHAKEAEKEEKKAQKHAETARKHAEAARRHAEAA RLIRNATVNGTIPFHEGNWTHPSGNWSQHLLPEATNSTLNQNIKFESHHGDSLSLEAI SHDQRHSSLTTASGLAVVGVLGFVAFKVVNKLRRRADYHMIPSESTFLI H257_06602 MSAPGNSGEHHHAEGPRYSRGEETSHEHDKHHEEAAKYHDKEVA KHAEGPRYSRGEEAHHEHDKHGEEAAKYHDKEAAKRAHGAHKGGEEHRNVDGAVAHGG YSHGERKEEAPHVEEDHLEQAKQGGSVAHGGFYHGEHKTESSNATHVNGTIHFVQGNS TGNWSRLANGSTHGDQVIQYDGLRHQGGGSLSLQASVRDQHHNALATAGGAAVLAVVG FVAFKVVKKLRQRANYETIPTEATRMII H257_06603 MTRSYKSIEHHRNCHVGRLAKRILVALPVLAIGVVLACRWWLDA QAMQPLVAATSSLVVFPLSTNTPYAMSGSVEEPHEQPKNNWSTCSLVQINAVYRHGTR YPMESDYIKMQRTLHELQTAYNSTLPQWLQTYAFSYPQSVSELLAPAGEVEMEGLGRR ARMLADRYSLPSRYSPDAFVFEHTHVLRTKQSAERFAAAYFADTPSPPNISYKCQPQG QDISLRFFDNCPKYKAWVRYSTNMTIQTKAFEETSRALAMVAQLRDAGLHLPPSASFQ WSQLMAVYDACAFDVALFNTSSMWCSLFPTRDLLLLDFHSDLKKYYECGPGFAISVAI AAPLLADMLATMTATDHPGSAAIAYFRFAHAETVLPLACLLGMCSSTSPLVASWTEAQ IHHRQFKVSRLSPFASNLAFHVYKCGKNDEKRVKFLANEVEVDMPFCHEKGYCTLDDL QQHFYTAVAFDFQNECKL H257_06603 MTRSYKSIEHHRNCHVGRLAKRILVALPVLAIGVVLACRWWLDA QAMQPLVAATSSLVVFPLSTNTPYAMSGSVEEPHEQPKNNWSTCSLVQINAVYRHGTR YPMESDYIKMQRTLHELQTAYNSTLPQWLQTYAFSYPQSVSELLAPAGEVEMEGLGRR ARMLADRYSLPSRYSPDAFVFEHTHVLRTKQSAERYTRRIGPAEQWCVNIRRFAAAYF ADTPSPPNISYKCQPQGQDISLRFFDNCPKYKAWVRYSTNMTIQTKAFEETSRALAMV AQLRDAGLHLPPSASFQWSQLMAVYDACAFDVALFNTSSMWCSLFPTRDLLLLDFHSD LKKYYECGPGFAISVAIAAPLLADMLATMTATDHPGSAAIAYFRFAHAETVLPLACLL GMCSSTSPLVASWTEAQIHHRQFKVSRLSPFASNLAFHVYKCGKNDEKRVKFLANEVE VDMPFCHEKGYCTLDDLQQHFYTAVAFDFQNECKL H257_06604 MAKPKRKHWSEKKAEKKARRENAEANGGDDEKKEDEYDRRNHFP AAIHPGSYASQLDATDTTDKCGTATKKRYGLLLAYGGKQYSGMQMNIGVKTVEAELER ALYEAGGIARCNYGMIQKIGWNRAARTDKGVHAAGQLVCAKLCIEDGKVEEFRLKVNS FLPTDIQVLEIVLVTKNFNAKNSCDRRTYEYLTPTFVFAPKAAAADSSEGGGAAAAGP WTAKTNDEFQSLEVDPAAWAKQKEFRLDASALEKLRETLAIFEGTHNFHNYTSKLPPY SPKCKRYILSFEADTPFVKNGTEWIRLRVIGQSFLLHHIRKMIGTTMDVLRGSTPAST ITKAFEQTKMDLPKAPSAGLYLAKAHFEVYNDKNENHRPSLAFDEPDQVARIEGFKEA FIFPEIMEREEQDAVFPLWLLQLDAIPFSYTTCPYDEWRAKKDEETKRKQSGGGVPDS DDEQGEDDDE H257_06605 MTFSRFVTIGRVALINYGPDSGKLATIIDVVDENKALVEGPYSV TGVNRHVISFKRLALTDLTVKIPRQAREATLKKALVKADILNKWAATAWSKKTEAKKT RAGLSDFGRFKSMIARKQKSALIKKAIATAKKN H257_06606 MPFVKVSKNKAYFKRYQVKYRRRREGKTDYRARKRLITQDKNKY NSPKYRLVVRITNKRVIAQIAYAEIDGDKILTSAYSDELKRYGLKAGFKNYAAAYATG LLVGRRLLNKLGLDGDYEGNTEVDGTVVKTEANGRTYYVAEVADEKRPFRAFLDVGLR TTSTGNRVFGALKGATDAGLDIPHSEKRFPGYDRDAKSYDADVHKERIFGEHIANHMK ELEEDDPEMFQKHFAHYIKAGLGSDDIEEMYTAVHEAIREDPTPAPKKAYTPDLKFKN TAKRSLQQRKARVVQKKAHHAKLAKA H257_06607 MSAVSELRDAYKAIKFLQEALTKSKEEVGMCRSQLMVQEEQHLV DIHTLQAELNQERAKTVHAACQHHGHGLDALQRQYTNELKKLRDELDNAIHFRQRAEE VAAECQAELNAERQKFSSLGAQLHTLQTSMNTIQDQLDISITDNTSLEARLSHVVSVS NAQQDKIVTLEIALADMSSGLASSQETCATLARDKRRLEEEVVAVRLYQVEEVAAPSP VEAILDLKRESKTVQTEDESSSLPTHGHLGEWKDTLRGLASDLKTIRGDVGAMQAAVL PSLDQLYQYTFRPKNPHAAYVNALSAQVRSLREEWHAAKDTWAAISAESLAFVTDQLT TFSVVLSARNAQTRNRQQLAALRMQALRSERDDLKATVMTWQADVEAHVKQVLVAQDR HLQRASRRAEGTERQLMAREDTTSPHFRQTAPSDAISWVTLERRLGQLKTPFHRLMSS LEQANYVLKLLIRNTDTVMLLESTSLQGSRAVDFARFIESLLTAVDHSTLERVVATHT NGVHDILYTWQLCDPAFLDAIPAPAFDMNSPEVEHILTSWTSDVMARTAAARWLSAMY FNHPTNESSFRFTGLTREVKDAILVLIVPLLLKAKRQVQVRRKRQPTTDAKWDIAIEA SPKRQPQPQSAVFKAIQRRLSELQK H257_06608 MRPHERDGSVHVAQVATSVLDFFVVVGRRFEDKRHGQHQDLGAF LGGREHPLHRRVSLEEAMEELVLEQHDARLVVAFHFVGRGFADIPPCLGAFFGICLVQ HWKQRNVCGQVVVHVVGACHAMKEEWCRGVLVV H257_06609 MQVAFPLSKATDSTSSLHTAENSTTPTRSPVVPMSTPSPVSPSS TDPTTVVAPTSATPSTPPPQLTVPMPTTPPTTTKKPVTTASSTVAPSTMKPSPNSVAP TATPSVTPVPSSKFPTPRTASSPALTSQATTNNLGTSATLTFTPPPPQPDVTGLDNAL SDWNNSATFVPTHVQIDTTVANPSNNQAMLIAIIACASLALFLVAFFVVLYVRRARRG SESNDRTRRGDDEVYLGTPPTSTFNRTSQHPRNQRQPPQLSPRQRQHLSKLSPRSFLH HSQPTPTSTSNASYMLPSPVNFILDHPGGNGVEYNNSFTRTAMANRSFAVDDIRDNNS AGSYQSDSAKGYFDLRLSTPAGSSGRSLSFSYRITSPEASMPLSSVSPRSVNSDLAML DDDHRRSSGESSNFSIRVDSKEDLTADGNNDDLDGSSDHVTQLHDDGDRMKTAIDHQA RRTSSVSSDLSSYHI H257_06610 MFEIAPCTEKHQAYSKLGSIQRNVQCELEGLRKMLHIQEQAAAS MSNPAPTPPLLPTGQYAKALDKQEWDSTPHACPRPFRSRMLFQEHESVDPAAARCEAM LQQAERSADVLHESLESEAKELVHVREAMEVKWRGIAHQQADEIRDLKKAVAEKDKAI ELLRNGLRQALQLALVHEEDLVTRSNATLRRNEELQKLATAAHETNQLLMTQVHILRR ALEDQRATALDQREKEEQWRGMVEAQNRQLHDRLTQESQAKADMQATLVSVLQHNAPP MPPAHENVDAVRATPIFAPKLQLNKQPPSSIPSHPALHPQASAHGGTSIKNQFTTATV PSWK H257_06610 MHNHDLMICMLQRNVQCELEGLRKMLHIQEQAAASMSNPAPTPP LLPTGQYAKALDKQEWDSTPHACPRPFRSRMLFQEHESVDPAAARCEAMLQQAERSAD VLHESLESEAKELVHVREAMEVKWRGIAHQQADEIRDLKKAVAEKDKAIELLRNGLRQ ALQLALVHEEDLVTRSNATLRRNEELQKLATAAHETNQLLMTQVHILRRALEDQRATA LDQREKEEQWRGMVEAQNRQLHDRLTQESQAKADMQATLVSVLQHNAPPMPPAHENVD AVRATPIFAPKLQLNKQPPSSIPSHPALHPQASAHGGTSIKNQFTTATVPSWK H257_06610 MLFQEHESVDPAAARCEAMLQQAERSADVLHESLESEAKELVHV REAMEVKWRGIAHQQADEIRDLKKAVAEKDKAIELLRNGLRQALQLALVHEEDLVTRS NATLRRNEELQKLATAAHETNQLLMTQVHILRRALEDQRATALDQREKEEQWRGMVEA QNRQLHDRLTQESQAKADMQATLVSVLQHNAPPMPPAHENVDAVRATPIFAPKLQLNK QPPSSIPSHPALHPQASAHGGTSIKNQFTTATVPSWK H257_06611 MAPTKATTKTTKAVSKTPAKANSVLVPESILKKRRTLEVVKAKK AEAVVAAKKHSLKVKQIAFKSAEKYVKEYRSIEKQNVLLRRQAKAVGNFYVPAEAKIA FVVRIRGIIGVSPKVRKILKLFRLTQIQNGVFVKLNKATINMLRLVEPFIAYGYPNLK STRELIYKRGFGKINKQRIALTDNSLVEKVLGKFGIICIEDLIHEVFTCGPHFKEAAN FLWPFQLSSPNGGYTQKLLHFAEGGDHGNRGEEINKFIKQIL H257_06612 MTTVPQQATFSVYGTIFKVDVRYQFIAALGRGSYGIVCSAKDVV TGEKVAIKRVAPMARKHNDAKHTLREICLMQSLGAHPNIVGIKNMSVNVADDELYIVM DFMDTDMHRVIQSSQPLSESHYKFFLHQILRGVKHLHDNGVLHRDLKPGNILVTKNCQ VKIADFGLARSVPKRVPPKPRPASAGPTKKEPPEPDWQPMTEHIVTRWYRAPELMLQP DGQYSTGVDMWSVGCIFAEILGRKAVFPGKNFIHQLTLIFDVIGTPCGNDVKKMKSSQ AQRFIKSLGKKAKVPFRTLFPTASPDAIDLLEKLLQFDPHTRCSVDEALAHPYMQGID KRYAYKDIAVPAGRMHMSFESQSLSRNDLVKLIQQEVHAFHSNATADDSTSSPNTAAA AITNLSTAASTTSTAHQTPAGLRTIHALSRQSTITAQHTKIPAKETDRVTCQNLDLMK TMLGSATPSISTSSQIVTAKSPPRGSGQATRVSLPMTQNNDADEGAPLDAVHVVGLTS LLARTRPTSAAHTRPTPATQPPVFASTGQPTTTLQTTSSMRPTSAARTRPPIVIPTHA AADVQPAQSSSSSSSSSDDDRPPRPSAARMAAASTSTSSARPKSAPGRTALQKHSTPC VGSTASLIRSTQQLRKPSHQASAAQQPPQPPTANRLPEGQQDNDLEPAKKGKKLTVPR SPKFSVMSWQKTRPATAKPGKPTTTRGGVKKR H257_06612 MTEHIVTRWYRAPELMLQPDGQYSTGVDMWSVGCIFAEILGRKA VFPGKNFIHQLTLIFDVIGTPCGNDVKKMKSSQAQRFIKSLGKKAKVPFRTLFPTASP DAIDLLEKLLQFDPHTRCSVDEALAHPYMQGIDKRYAYKDIAVPAGRMHMSFESQSLS RNDLVKLIQQEVHAFHSNATADDSTSSPNTAAAAITNLSTAASTTSTAHQTPAGLRTI HALSRQSTITAQHTKIPAKETDRVTCQNLDLMKTMLGSATPSISTSSQIVTAKSPPRG SGQATRVSLPMTQNNDADEGAPLDAVHVVGLTSLLARTRPTSAAHTRPTPATQPPVFA STGQPTTTLQTTSSMRPTSAARTRPPIVIPTHAAADVQPAQSSSSSSSSSDDDRPPRP SAARMAAASTSTSSARPKSAPGRTALQKHSTPCVGSTASLIRSTQQLRKPSHQASAAQ QPPQPPTANRLPEGQQDNDLEPAKKGKKLTVPRSPKFSVMSWQKTRPATAKPGKPTTT RGGVKKR H257_06613 MEGRGKKASKRGEGSDGGGSKSGGKGKGVSTKPKREDRRRGAPR EETQGEEETNLSFKRRSFPISLRMWDFQQCDSKRCTGRKLCRLGYVTSMKPGAHFRGI VLSPHGTKIVSREDLGIVESIGISVIDCSWARIQEMGIKQIKSGTHRLLPFLVAANTV NYGKPHKLSCVEAIAATLYIVGLADEAVQLMDEFPWGMEFLKINADVLDAYAACETSD EVVAAQDAYLASCQAEVDDRRNRLDLPSLSDNDDDGSEVDSDAHDDEAEAAMVFKIHR PHATTTLDAPDEYDQLPATDWAAAKASVAKTKDARQALAAARDQSSLPSGLSEDQGDD DALFAAAMANVTDTVAAVTLEHTLRATSGDASFALPREAFESWQSDAEAAASASTSKT SPLPPPIPSHVVGAESPARQTSL H257_06614 MSKRTVELVHEPSAAASAPLLVSFRGGPPLTTTGFHCQVYEHAA KKKRIVVADTEKITYQAANFGHGNSNGDLSSYVVGVVDKTTNSVQLFNVDQVYVMQQS VKSFRENVDDNTQDSVTSFERQKNLVDVFGSKASKRIVRSREENKIQVDNIRGASSIT QTFSEKVQENTELLAAKRAADPKFSSDGFAMDATRLAMLPPCKLDALTPDGVYDISKF LSDDVMESLLMKADEFISVLSTSALSEFLAQNDVAAANYITQVMTSMGKPYDRDNVAL MLYVMYLVQFYHARFPLQSNAAALSETMNVPHLVVKQILDTFADATVNSYGKTSYSQS KVLKDKLLVYLVVVALTIGGFSLDVSAIAIDLKRAPANIIGYTKQVGCRVDKVKTEAT GLGGKKSEGFRAILTLPLQFPSLKKGGPSRR H257_06615 MPAKSPQPKRRAWGSVPNLPWRRPYGHRVASSDFVTSVSVRQVS GREVVTPQSSPRKRPRLVRIPSLQDHVAALQQWIADGMKPLVSSCFGPSVVAFDPTST KVAMWQTVLVTIVLYDALMVPLLVCFDQLHHGMCTFNGVLRLMDVADVAFVVDVYVQL FTGYFHNGDLVVRPASTRRRYIRSRQFPLDILALLPLAWLPIDGSTLCGALRANKLLR LRRLPQYTIAFDKVFARYFKFCKIVKVVGALCVFCHVMGCLYVLFGIADDDNKWKLEA HVAHEPLATQYVAAVSWVLGIVSHVAEGVIPRTVWQTLFMYTVQLGGFLLFVYICGTL FMISKCDANNREQFDAKLNQLRYVLSFHRVPTEIQDQAIEFLEHGFISGESQDRSNMQ LLCPSISKEVKYTLLKDTVTAVPFFKSCSAAFIRAIIDVMETRSLPTNFFVCRTGDHG EDMYFVQSGVLAVLIDNVKVRELRKGGFFGELSLFTNQVRTADVATATFCILHRLSRV HITRVLNAYPEAEGPILESVEKISEELESKMPKGGEMARRGSLQYSDTALRNSTSNMR STKLLLSPMADGRMIGSGIDRKTISVIAGALRSSTQAEPLWTRALLAKPLDRRKRLRC VWILAVMMVTIYNMFTVPLVCAFELMGYSMSVLLLNTVADIVLWMDMYGKLNLSYVME AEHILESKECALHYLRTDFAYDLACTFPWWVFHPPSHESLRFVRLFRVLNFLAEFEEV STFVRINSHHRIAVLGMGLLLSYHIAGCLAHTYTRDAGFADMEFGWLPPKSIELKPIV NAITGDVDGYKYSNGTVIPPTSPDVNRFLLLQYARAVQYGAVCITNLGRTYEPVTFAE YSLAFSLMLIGMLLVSLIIDEVQKRVTASAVEQMEFLSTRSRILHFLKRQKAPAELHR RVLAYLDFSWSAHRGADINGLVSELPNTMQRDIYGYICGPILEMIARLDHVSDVYEPL ITTFLDHVKIQLYGQDEMIYRVGDIGDAMYMLLQGNVATYVEAYSQLRELNQGDLFGL ASLNFSVEYTAHTDNATARSACVVAIISRKTVALLNQIYPRFADGVKKRSQRMGRQAT MHAKAMADKKAVVPQAINPDSNTSVLWETFLFFGIAYQVITVPFYMAFGFAQRHVAGA DVFSIMLELCFLVDMVLKTRTGYMDYGNKVMDIAVIRRRYLRSTAFAIDLLAVLPTNL INAFIARPRSEAWNINKLVRLFKLSSQIDHLERQYFTIITQIRVAKLVFYVYLLAHFV GCMWYNFASNESSILNVTPTTQFGADPWLPGTDMATANHTNVFKLTKALYWGVGMLLG FQPGGYPSTIVEYTFTGVVQTVGVFLLAYVVGNLLDIVQVTDGNSRLFYSNLNYVRKL LHYFSFSDDVQAKIQYFYFYRLFHSIHEEHILVQCLPPSLTADIRMFLLTPMLNKVPF LQDNLAGASVTRILVSQMSQMLVTRDEIICRQRDVGREMYFVFTGCLDVFISTSSSIR FEGNLGVKVHEISEGSFFGEKALFSNEPRNATIKARTFCTLYKLSLAHLQSIFSWHPE WKAKVLDIVKSLYEEHAARLEVVTGISAKSAKTATAAVESVGDRGSSKLPHMSPPLLT NDTHDTTSLSADASIDNIPPITTPTFLTRRMLMTMKDLVERLRLKATPWWRRWGRQFL YIEIQSPLYCSYLTLLCVSILHVALSVPFMLTVAHSGITGFGLALIKTTNVLVDVVFA YDIWFKRHLVETTTTREFYERNDVHQTSVALDVLAILPLDYISEPFFDWSVVLRFNRL LKLRQLSRTISEIHRFSMSYELNRLKLLALYYFIVSYWTACAYFGVTFVDGFASQWNM SLPTAEFEIRDRETFETVSHRILRCLYFAGALYTGAGIVYEPTTMLQYALLLIMSVFG VFVMGYVIGEGSMLCIYLIQNEVDFKINQMNVMEFLARKRLNRALHGRVHGYMSYWWT THQGVAFQSILEQLPPKIRSQASLQIARLSLSRFTMRYLRPLSKDTRDHDSIIYSLAQ RVVFEGYPKGESVIVQGNLGQHVYFVSKGELISHSTQSHIFVPSRYTEGQYFGEDGFL GGAVCHCSVVTARACDLLSLSAADFVLAMESHPRFAEALQLSRDATEHVAYQSMCIKD AGEEVVRQTIEEQAATLEHFQPMTADKCSLVFKQFLKLFMNTHAVKSAAKHATGSKNA RRSLVSCQKCWKRLGTVQCNECQLTYCSPCCSQVHAAKELRGHLEHITTAEIFNPRSS ILSARSKESGSTSTSRRTQHFESRHL H257_06616 MSSLLNSLDMSLDDLIEKKKSSSNKPAREGRSGGGGGGGGPVRR NRANTGRGRRNAEPYSRKNDDDDDMGEGSGNKSGKRASILSRLGGRVNNEEAGHKIFV TNLKFDVLEDDLKELFGTVGKVSKAEIVYDKSGRSKGTARVWFVRRNDAEQAVKRYDG RLLDDQALKIVLDDAATSGNGNANPRNNNNSSQRRHNSNDGNNVRQGVFGTALDDNDE PRFNVTFDGGRRNGGGRRNRRGGGGGRSNNKSAKDLDNDMDTYHADL H257_06617 MPPKKGKKAAPAKKAGGDDEWDDVLNEFATTTNVDVPPPAPVEA PVIADKPAGSVDDAVAAALASMGISAADAADKKKNKKKDKKKKDGDKPDAPAAPVAES KGPKKPLSAAAKALQERQAVLKAEEDRLKQIQEEEDRKFREAEEARLDVIRKKEELAE RKRQAKQAKVEAQKAEGTYMTKAQKEKARRAQAALEAMKAQGLVVVAAEGTLKPKVTY DNKKKAKAVKDGEDASATTSKPEKVVAEATAAEAPDAWDASDDEDEGKAVEAVEEEED DDWDAKDWDDDDVLDKIGLKVQEANYENVEDLIEVERLKEQERLREQGLALAKRQAEQ DAENAKNAEEERNRSKVRQEEDRKKREAAERRRVREDEARAAVSLDNLRSPICCIMGH VDTGKTKLLDNIRKTKVQDGEAGGITQQIGATFFPVEAIKQKTEELCKTTMKLDFKLP GLLVIDTPGHESFTNLRSRGSSLCDIAILVVDIMHGLEPQTLESIRLLRAKRAPFVVA LNKIDRCYSWKTCPDMPFQDAFKLQNEHVQREFEDRAAQIKVQFAEQKLNAELYYRNN DLGRTISLIPTSAISGEGVPDLLGMLVRLTQEKMAKSLAFVDILQCTVLEVKVIEGLG TTIDTILVNGSLSEGQTIVVCTLDGPVVTTIRSLLTPHPMKEIRVKGEYVHHQTIQAA MGVKICAQGLEKAVAGTAVHVVGPDDDVNELKDAVMSDLSNIMDSVKATKRGVMVQAS TLGALEALLEFLRNGCDPPIPVSCVNIGPVHKKDVMRASVQLEHQKEFATILAFDVKV QHDAGEMAAELGVRIFTADIIYHLFDQFTAYMDNIRQLRREQFADVAVFPVVLKIIPT CIFNKKDPIILGVDVEDGILKVGTPLVSVSNGVLVTIGRVASIEKDNKNVDTAKKGSN VAVKIESDSNVTYGRQFDHTHKLYSHLSRASIDALKENFKDDLGKDDWRLVIKLKPVF GIV H257_06618 MGARSSVRPAATMELPTVSSTSTSRVHRSLGNLVPRRFSRTVDA ESFGSFVLEQGSLSWQINRHKTKTFDPDSMQASIWNTWLLFVVLYDAWVVPLVLCFDV INPDICHEAWLTTALMAFEFFFIADIYVQMHTGYYIAGDLVRSPASTRRRYMSSYQFP IDVLALIPLPFFSIVPSTNHAASCGLVLLNKLLRLRRIPAYTLDFDKVFAMHFKFCKV VKVVVVSFYSCHYLACLYVSFGKATGDRGDLAEDDVWKIRDHSEEGHHRLPTEYFAAL FWSLGLVSKCLEGEIPRTLLQSIFMAVVTMGGFLLFVYICGTLFMISKCDANSAERFD AKLNQLRYVLSFHHVPHDIQERAMEFLENGFKSGDANDRNTMKLLCPSIAKDVKFTLL KSMVANVPFFKCCNAAFTRALIDLMETQSLPTNYLVCSKGEQGEEMYFVQSGVLAVLI NEIKVRELRKGGFFGELSLFTNQVRTANVVTSTFCIVHKLSRSHVRRVLRAYPQFETQ ILACVKALLQEMDLQNDRVARRRVSSMRPETVTKRAQAYENFVKKDLRGGGGDQGSAA SSRRMSHRFVDNILVSPTSNDIRPASHFVLREHPVASVKAYLRRSTRILHWKQLLMPS AINRKSRLRLVWLFCIMATTLYNVFMVALLNTFQLLGYPVSILVLNTLADVVLYLDIY GKFNLSFVEEAEQIMDTAKCARHYLHTSFYFDVMCALPLWVLYPHLHLKFRFVRMLRF YTFNDELEEVSLFIRINSRRRIFVLGVGLFLCYHIAGCMAHSLVYVMGFDASGHGWLP PKSLQLEPIINATTGDLVGYDYMDGARFVAVGDPFVHHIVLEQYFRALQYGAVCLTNL GRTLEPENLWEFILAFVLMLCGMLLISIIIDEVQKRVTASAIEQMEFLSTRSRILHFL QKQKAPLDMHRRVSSYLDFWWSAHRGANINELLGELPNSLQREIYKFVCSPVLDVIQR MDKIGGNIERVTGLLLDNLVIHLYGQGEVIYRRGDSADTLFILLVGQCGVGHNPRSSA TRPVRALKHGDFFGVSSLELDNENVVHSDQALARSACVIAMVSRSTLLLIDHTFPTFC SSLLHREIKRFGEHRAMSKLLDPQELVSMGKTKMPAAINPDSNFSLFWETLLFFGMVF QSIGVPFYMAFGFSEEGIGPSDGISILLETCFLADIVLKTRTGYDYYGNKVMDVVRIR QRYLRSLNFFIDVVAVMPLNLVNISRATRSEAWNINKLLRLFKLSSQIEHLERHYYTI NIQIRVFKLVFYIYLLAHYIGCMWYNFASNASTFFGFIQETQFGHDPWLPGKKLDLNN TDHSTFWKYSHALYWGLGLLLGFEPGQFPETVLEYMYTMIVQTIGVFLLAYVVGNLLD IVQVMEGNNREFFSNLNYVRKLITYFDFTPDVQAKIQHFYFYRLFHSIHEEHVLAHCL APSLVADIRLFLLTPMLNKVPFFRDENANSTVTRSLVRLLYQILVTRGEVVCRQNEIG VEMYFIFTGCLEVFVVTTASAVSDGFHEVGSPHRGLKVNELQAGSFFGEKSLFSDQPR NASIQAKTFCTLYRLSRKHLESVFVQHPEWKGKVMDIVSAIYEKQQAKIDQDKQEKAR VDSEGVGRATNSHQSPYDDHDHSTNNGRFHRILGGRQSASKGDSDDHAAATGAATSSS SSALQSWMHRTLNQLKLVEVQSPFYTRYLNFLSVSLLYTALSVPYFVTFGRDRKMSTI SLVVLVLDVATDVVFAYDIWFKRNVVETVASREFYEHRITRDRADVVLDVLTLLPLDY LFSSVVSNSAVLRVNRFIKLRQLTHTISEIHRFSMSYEVNRLKLLALYYFIICYWTAC AYFGLTIVVGFSAEWNSSLPVEYFNSQNHADEYNVGFAFHQFLRCFYYSATMYTGVGL VYEPHAILDFLVLYLVSVFGVFLMGYVIGEASTLCIYLIQNEVEFKINQMNIMEFLVR KRMDRSVHSRVHMYLSYWWSTQQGVAYQSILEQLPPRIRSQAYIQMARLSLARFSMRY IRPLCDESMGLDPVMHSIVHRLVFEGYPAGESVIVQGNIGQTMYFVSKGNLITASPTP DFCSTRYVDGQFFGEEGFLASSFCRHSVVTLRACDLLALSSIDFLVALSEHPRFAECV GIAWDTIANHNISLKDLHGASDVGNFVYNMMERKKSGLRFVRIPSIDRAEVMFRHFLR LFVRQMPDNMVYDDGANMQQHDTQALCQHCEDVQATVYCNLCPQALCDECSRHIHENT HFAQHVDTITKLHTATLLPPESQRKSSGYRIMRIVQSLQVLKNDHVVMPSHLAVDGEN SDVSKRSKRSIQITRSMLVEADTRKGTLKDLQRANSRRGSRKHSMPRSPPPIAPGHMI DNKVVEEFKRDLLNRPSLVLNPAPSEPTNAVTGPVAGLIVAPLPQPPVEIVMDGATDS LRLNSLQVNTEGTTPIN H257_06619 MTGNVVDHDGVSKPSNKVAPLAQGEHPSAGDSFTLRRGKLSWEV TYTKTGTIDPDAFAMLVWEVGLLLSVLVQAWTIPFLVCFEDVHPELQHGSWLMGITCA FDIPFAVDMVVQSRTGYYDMGNLIRNAKSSRRRYLRSWTFVVDAIAIVPLSLIFWSSR GVFLVNKLIRLRKLPVYTLAFDKVLARYFTFCKVVKAVVVIYYFCHVMASIYGAFGTT PDEDDWRLHLTADSGHNDLPTTYFSALFWSLGLVSKSFEGEIPRTLAQNVFTLVVMLG GFLLFVYICGTLFMISKCDSNSTERFNAKISQLRHTLSFHQVPKSIQERAVEYLENGF KSGEANDRHTMKLLCPSISKDIKFSLLKTMTSNVPFFKCCSAVVIRALIDLMETQSLP TNFIVSKVGDQEDGMYIVQSGVLVVLLNDAKVREIRKGGFIGELSLFSNQVRSTTVAT ATFCILHKLSRSHIHRVLRAYPQIESEIMNCVKTLLAERDADANSAETKQNKKVRRGV KAIVSWSTLRQHLGSAKNHMVTQTSVAESTTKSSLVIAKPAPTHQTGSRQSSTHFIEF KKSMHVSPEEVRPARSYALPHGFYCRVICTKSQLRSRKWNRRGLLLFTAIHRKSKCRF VWLCSLMVTTMYNTVTVPMVNAFQLVGYPRHILALNTVADAVLWVDMYLNFNLSYIVE AEHILDTTMCAQRYWRSTFRFDVLCAFPWWIFCPSHHTLVRFTRLLRCFWLHSNLHEL EHFVSIDSRKRIVLLGIGLFMCYHIAGCMAHSLTFVLGYGTHAHGWLPPNSLYLQPVW NVTTGALAGYNYLDRTVLVAVDDPLVNHILLKQYLRALQYGAVCLTSLGLTLEPETLW EFVLAIVLMLSGMLVISIVIDEVQKRVTASDIDHMEFLSLRSRIMHFLHKQNVPGDIH RRVASSMDFWWSVHRGANINDLLKEVPNSIRREIIGSICAPALNVIEQVIGINPPLLD RACGIFLDNVVIQLFGQGEVMYHRGDSADAMYILLVGDIVLVANTRSSKAMPLREIFG CSSLYMGNVGGSTVHVENAIARTACVVALVDRPTIQVLNAKYPSFCTTFLRKEQKLLA EHRAMTRLLAQTELAALSGQKRNGTIDPDSTFSIVWETVLFVGMVFQVVRVPYLMAFG FQTPGLDIRDVVSMVVETLFVVDVALKMRTGYYSFGNKITNRSAIRRRYMSSMTFVLD VLAVLPVDVANAFVPRRLEWFNTNKLLRLFQLPARFDMLERHYFKVSIPIRVFKLVFY IFLLAHFIGCTWYNFASDLASVMGYGGNSQFGTYPWLPDKDMDIADHHESEIYKYARV LFWGLGLLLGFYKGEYPQLVAEYVFTIVVQTIGVFLLAYVVGNLLDIVQVTEGNNRIF YSNLNFVRKLTTYFAFSDDVKTKIQHFYFYRLFHSIHEEHILTKCLPQSLVADIRLFL LTPMLNKVPFFQHEAASSNITRSLVRQLTQVLVTRHEVVCRQHEIGVEMYFVFTGCLE VYVASDQHSTTTAASIDGMSVSSRGTKVTEIAAGSFFGEKSLFSDQPRNASIEAKTFC TLYRLSRTHLESVFAQHPEWKSKVLQIVARMYEAQEKVYRGRLASEATTRKMHDNPPP LHRSPHSKPPSGCSIHNLSSAFEDDHVRTVRVVPWAQRWRRHVMSIEMQSPPYRWFLM VLCLALLYIALSVPYLITFGHGHDSRSITIAITVLDVVTDMVFGCDIWFKFHVVETTS SREFYEHRYHYDSKGMTLDIVAILPLDYAFAAFTTHAYVWRLNRFLKLRQLTYVIGEL QRFSMSYEMNRLKTLALYYYVIGYWTACAYFGLTTVVGFSSSWNSSLPIQYFNVRSTG HADVLFSIHQYARCFFYAANFYTGSGRVYEPVASLQFAFHCVLSVFGVFVMGYVIGEG STLCIYLIQNEVDFKINQMHVMDFLSRKRVDVVLHSRIHKYMSYWWTFQNGVPYQTIL DQLPRRIRGQANIDLARKSLSRFALRYIRPLVHHNTLMGGVDPIMHSIAHRLVYEGYP TGESVIVQGNIGHTMYFVSTGALMTVSTNPTFVSVRYDDSQYFGDDGLLDSTTRHYSV VTLRACDLLALSAVDFLAALHEHPRLLECCTVATGVALRLSKQNETKHNDPQGRQIPK PHVGRLVCDELVRKAADLKYLKVMEFEVANAMFGNFVGLFMYSEDGAIAMDNKNVHPS GPLSAPMCQYCEDRTADRYCPLCHQVLCAHCTCSIHDNSYYSYHTKRISMLHAPPTSD LPPTPQFPTSPKMTPHGQLKLVTSHPPSSSVYDFLYKLLQQRQGRVEPATSHVIHVSN EMISGSLDVSPSSKSRDVPRRHVKHIRHHHHSALSKSFNLHEIGRLNRKKGPRCRVLQ SQGSSARESKPLDMSAAARRRASIDAIQHLNSLLASTTAPLPIQAAPGVQPGAIEQVG AIPRCLAQRSNQVVCSDDPSNTHGTNDKQPIFPRSPQNGPVKGPAVQLLGNAGPAHDH KNVHLAPLAKNVSVDAEMQEPSIE H257_06620 MSFKVVSSARKSTARSNGRVAPTMLADTDTMDSISDIRRGKLTW EILYKSSSTFDPDSSKVQMWHTFLLALVLYDAWLVPLLVSFSQLNPAICRKTWLQMLA TAGEVFFVVDVYVHMHSRFYLFGDPIRDVKFIRRYYLMSWGFPLDLLALVPINTIIPA LASTQPCGLGLLNKLLRLRKVPAYTLTFDKVFARYYKLCKVVKAVVVVYFSCHVMACV YASFGKLVDPSKDEDAWKMHDFSTDDQHTSQLLTEYLAALFWSLGLVSKCVEGQVPRT LMQTLFMLAVMISGFLLFVYICGTLFMISKCDANSTQEFDAKRNQLRYILSYHQVPMD IQSRAVEYFEVHSVDSGEVNDRHTMQLLCPSIAKDIKYAALKDMVTGVPFFKYCRAAF IRALIDLMETQSVPTNYIVCRKDEEGEDMYFVQSGVLVILVDDIKLRELRSGHYFGEQ AIFMNQIRSADVVATTFCILQRLSRARVRMVMQGYPECESQILSCVSSIADEQRKNSS KLIQQRSLTKSTGAAVFHIDEHSSPTEGSTKQQATQRRMSKRFVGPLGEFADGQSEQV GGKSPTIKFVLHRCLLKTAISRKSTLRLVWLLCVMATTGYNVVVVPFVNAFELVGYPV SVFVLNTIADAILWLDIYGKFNLTYVHEAEHVEDTVKCAQHYYQTTFLLDLASTIPLW VVRPSLHVQFRVFRLLRVLRLNDELDEVSLFVRIDSRKRIVLLGMGLLMCYHIAGCMA HALTLSLGYGISQHGWLPPKTIELQKIISNNTGDLLGYTYMDGAQFVPLEDPLVNEFI LRQYTRALQYGSVCLTDLGRVLEPETLEEFALALGLMLCGLLLISLLIDDVQKCVTAS AVEQMQFLTLRSQMMHFFRKEKLPQQVRSRVSAYLDFWWSAHRGANINELLGELPNTI RRDIYGFVCAPVLDVIELMDGMGPHFERVCDMFLDNIVVNLYAQGELVYNQGEYADSL LVLLAGDVMLSPTLTGLPQRYVRPGEIFGCSSLVLDGACNVHTDNAVARDASVVARVS QDTLAAITDEYPTFCHGLLKKEYKLLAEHRAMARLLDQSELAAMSQNGQHGVVDPNST FMMLWETLHVAVLAMQVVGVPYFFAFGFAIVGVQGRNFYAVAIEVFFLMDIVLKTRTG YYSFGNKVTDLKKIRSHYHHSVTFVLDVVAILPLDCINVYLPHQSEVWNLNKLVRLLK LPALIEKVEAYFFAINIHIRVLKLVFFVYLLAHYIGCAWYGCASFPLTIWGQGDDCRF GNDTWLPSKDKHLTNNGMSLTFKYTRALYWGLGVLLGFNKGEYPATPFECVFTMVVQT AGVFLLAYVVGNLLDIALVMDGNNQLFYSNLIYVRKLTTYFDFTDDVKTKIQHFYFYR LFHSIHEEHVLTHSLPPNLVGDIRLFLLTPMLKKVPFFQDEMDNSNVARSLVRHLTQV LVTRHEVVCHQYDVGIDMYFVFVGCLNVYVSHTDRDTVSVHNSLTYESSHMGNKVAEL HTGSFFGERSLFSDQPRMATIQANTFCTLFKLSRTHMESVFVQYPEWKVKVIQVVEEI YNIRQHKNNQRMGPSVVEPPSSEQAPTTNTSSSPASSTPVECSKVNSFVLRVVSTGRH LAESVVLWLRNTKSMVTAIEVQSPFYRAYLQLVRCTLLYVAMREPYVVTFGAAAGPSA GAAVVMGLDVAATIVFVFDMWFKYHIIEKPASFEFYDRIPHPTRVGLALDILVVLPLD SALATFGGGGVPWRLVRMIKLRQLNHVFRELQRFSMSHELNRIKLLALYYCIVCYWTA CAYFGMASILGFSSVWESSLPVAYFAVRPSSARFDMLFLMHRFARCLYYAVNMYTGVG MVYEPSAPLQYAFNLIMHVVGIFVMGYVIGEGSTLCIYLIQNEVDFKINQMNVMDYLA RKNKSVVDTHLHARIHNYLSYWWSFQDGVNYQAILEQLPLRIRGQAIFEISRRSLSRF SVRYIRPLVHQRGIDPIIHKLVRRLTFQGYPAGESVIIQGNIGHTMYFVSSGTVMTVS TLPNFMPSRFEEGQFFGDEGLMASTVRHYSAVTLRACDLLALTADDFLNTMNETLQTK EGCRVARGVATYQKSFKYRLAYDKGSLGQMICNCMMDMASTLRTLSPMTPDVAEIMLG HFTKLFLPPHVHQLGGYALDSISMCQHCEATLSHIYCKQCRQVLCNKCSSLMHDNTHL SFHLPSIMHLKTPNEPPPEDPKTPRLREFVLSVLPRRRNNTVSAPPHASSMTGLGMSS SFHSVARPSTSKPLQTQRENDTGPSSPLEKRSGHVMRLPRRDREGGSIQCDSNTVEPH ARQLVKLSHTKSEAALDCTERWRAPDPVRGGQHNTTMDTINHQRKRHKPVQTGMKSSQ STPKIIRVVQAAQGTSTNPPVVSDAGTMAQTPTTTSTTN H257_06621 MDSPEGPSAAHFEHLASLDTFDSKQHARKQDIHMIPESAMLLSR LLEREARKQTVLRQIRPSTIRKPIREIPAVSCAKLLRKGTYKKTFRAPPSSKHRSTAL SGRESGTSSFHHVVHLPIRMRLWLLFNEPQSSSMARAVSISLFAAVFVSTIVYILSTI PDFDEDAKTALSIVEGACMYMFTADFVSRFCCAPSVKDFGQDLFNWIDLVSIIPFYLE MFLELKGSSIGVIRIMRLIRVARILKLSRYTSSIQIFIKALTLSAKPLFMLLFLIIMA MIVFSSAMYFAELTDSPKQCRDPLQSKACHPTQNPAANCCEMNPFYSIAATFWWCIVS MATVGYGDDYPVTPVGKFIATLTMMSGMLILALPISVIGSNFQHVMKEEVQDAMQKSL DTLSTLEVVQKKEMIEILNGFNILGDGIDIDPDELIALYDVHKTGRLEAEALVQFRWD LEALQKHNLTIALPPMFLQKEEQQKQRLSCASSIAEMRNGHHDPLAKQLEAMEEILEI RLLETEVRFENKLNALVKLVAQMEKKIAILRD H257_06622 MARPEGADAVGWRVQVLWEDEGAWFEGVVTEYEDQRGYYVCYDD GDEKWQPTSDAGSVKFMSNTIGQSVEARVSSIVTPPATSRQDSQRHGIDAVGWRVQVF WADEGAWFDGAVTDFNESMGYFVCYDDGETKWQVSNDSSSMVFVSSCGTIPEKPVETA VISPCVKEPSSPTKTEYGDDDFEHQDDTGDSSVQPVQVEKEAKINSPRPIEPTQSTNE EHPFPTLVDVHDRLPSADRTNEAAFHDKLQPTMASSTRDDDHDTTTTSTPTLEPYQVK SSTTVKPAKPRRNNPGVFFHDKETLLEMKTKLTAKKKALDDHLRHLQLKVTTAEIAEA AQKQEVADLTAKLTVEQLTTTRRVAPPKQVSNEERVLDLTVKTRQMKKDNQKLRASVG EATTRVGVLKEKLDAARAEWHALPAQCRTSVGEIETQIALLQAQKEALIANQRHDTVA SISDQLSDSALALKQQLADSDSKVWKLKAELHHWKGLVEQERAKVDAMQAHLTSLQSD MRPFRSSKLLLRSAFDRCDKDKSGDLTVNETIQMLLLLASPDDGVSEQDMRSHFAQTD ANHDHRIDFDEFCAAVDRLFGNSGAT H257_06623 MLLRRAISAARRTHGAVLRASNRCMSMAGSVQYYRRQMFVVDNN RNAEAWPKKLEDSSSHVISKYHHVVEPLNHAKNPKQLIMAAAYPVEQAADTIDDASYT SIDVHDVLVFPDNIRIAGVRDSDVSFVATHLLDEVVDLASLRSRLVVSELQGHHVFVC AHTQRDFRCACAGPKLIEWFQAKRPQDWTVFASSHYGGHRFAGNCIIYPEGHWYGLVN DPSDVDSVVHAVTVDKQPVVPSLWRGCINASKATQLALHREVACEDSAATTLV H257_06623 MLLRRAISAARRTHGAVLRASNRCMSMAGSVQYYRRQMFVVDNN RNAEAWPKKLEDSSSHVISKYHHVVEPLNHAKNPKQLIMAAAYPVEQAADTIDDASYT SIDVHDVLVFPDNIRIAGVRDSDVSFVATHLLDEVVDLASLRSRLVVSELQGHHVFVC AHTQRDFRCACAGPKLIEWFQAKRPQDWTVFASSHYGGHRFAGNCIIYPGTVYVCKPP TVLLWYFNQLCL H257_06624 MRLLPIFRRAVAVTGRHDVMLLKNSMTRRHFALHSSILDRMSLL TARYKVLSDELSSNDGNIPPSRLADLSIELAEMEPAIHAIQELTQRQDSISELDEVIA DTQADDPDAKELREMATEEKFELLHGLDDLEKEITRLMLPKDKDDDKSAILEIRAGTG GDEAALFGADVFRMYQKYSLLQGWKFEILTMSDTSLGGCKECSVSITGRGVYGRMKFE SGTHRVQRVPVNDSRVHTSAITIAVLPEMNEVEMKTHFLPKDIRIDVYRASGAGGQHV NTTESAVRITHLPTGIVAAVQDERSQHQNKAKAMKILCARVYDGERRAASKNRDDMRN SITGSGDRSERIRTYNFPQSRISDHRVEGLSSYGIERMLEGELLDDIIDALVLKDQND KLQHMNSKLEKK H257_06625 MEAADVKKVNDGAGTPDPIAQPVHNNASAVAQDSSYAICTEEEL IQTFAKPGERVLYWGSGSPQAWRVLIALEEKNIEYRSVCASFSSGVLKSPAFRQLNPR MRVPVYVDGTAGVILYECDAILAFLERFYPNPLMPTDPKSFAIAETRLHEANEVLSTV GEMVVYLRRAQSNAKKNIKTNMDMLHAKWNVLETELKLWEMYLDGRQYLVGNDAFLCD VVVFTNVAYAVRCGLQLDGLYPRLAMWYLRMCSRPSVEKTWPPHWKTTIGFTVLARCH FCICQGECVCSCSPSSS H257_06625 MEAADVKKVNDGAGTPDPIAQPVHNNASAVAQDSSYAICTEEEL IQTFAKPGERVLYWGSGSPQAWRVLIALEEKNIEYRSVCASFSSGVLKSPAFRQLNPR MRVPVYVDGTAGVILYECDAILAFLERFYPNPLMPTDPKSFAIAETRLHEANEVLSTV GEMVVYLRRAQSNAKKNIKTNMDMLHAKWNVLETELKLWEMYLDGRQYLVGNDAFLCD VVVFTNVAYAVRCGLQLDGLYPRLAMWYLRMCSRPSVEKTYEPSILGWHL H257_06626 MFATASAMADEGGSGFDNLSERAMILSRVLERQTRKHTITRRVS LQPIQLPSSVTAPKEKASSVVTPVLTTTSIIPDPAPVRTLSRGCGTNNNVAVTRRGGI WSYRQRLWRLFHDATSSLEAKYLSIFILTAVAVSIIVYIIQSQPDLSSPVRDVLTEIE HTCIYIFSLDFSIRLVCTPHLKAFLLDAFNWVDFVSVLPFYLEFVVDMKNSSSASSLS AIRTLRLLRVARILKLSRYTSSLQIFVKSLALSATPLFMLVFLMCLATILFSSAMYFA EMTDSACRSPLYSKTCHPNVNPLDDCCDLNPFNSIPATFWWALVSMTTVGYGDEVPVT PVGKFIASITMFAGMLILALPISVIGSNFQRVMKEVAQDTLKKNIEVVANLDVIRRME MVDVLRGFEIIGDHIDIDPDELIALYDMNQSGALETEELDNFRHDLADLQRVIRTHQS HLVSPERAKRVERLSFGGSFKTADPSDQQLQLIEQMIETRLLESEVRMEAKITLLSKA LLRLQGQLELMGD H257_06628 MMEANSVHDRSLSKHQWLVNEQAIAAIAPSETDNDDSCLESNEL EQVYEIFRFYDSSLHGDRLPSINLPRFIEILKDGHILSSSFTAQQAEEVFATAVLGKL RTYLDADGAPALSFKLFCGALMQCATIKFPSMVPSSALRKLARRHLFSLMTELLSATH GGSNTNHSHHLVGSKGVAVAIGDNYWHPLDAQFETRLQKRRVSQVAYDSVVRHILPTP PLPADTSMVVTPEMRACFQEDHLDIIVDRFHLFDHAETGTIDHGEVFVYFHGLADALA LGNVQPLVAQLKSVDKVTLPSILHVLLGQTKLDTAPSTPNLTNPMATSASPSSATDRV DDIETKETLLALSHDCPPDNWELDDIVHAPDYNNPSTKANTVIKRVTITNNTQTKKPK KPRAAALPRVVMVHTGMSEHSVKKFWEATVRDHGLAETWGLFQKGEKRMLTETHTRLK TEDSAKALLQLRVRSRLAEGFVVMEGKKYVADALAADKASKEKKAAAAASAKEKSQTW TPKILSLDKGSTVVRSSSLSNLPLYSPTNHQPLYHKAPYQLPPDWKDRTATHTDMSWV RESFCRAPVGHQHDIERHRPVHPTIRANLYLADMTRLGMLPRIHDKSPSR H257_06628 MMEANSVHDRSLSKHQWLVNEQAIAAIAPSETDNDDSCLESNEL EQVYEIFRFYDSSLHGDRLPSINLPRFIEILKDGHILSSSFTAQQAEEVFATAVLGKL RTYLDADGAPALSFKLFCGALMQCATIKFPSMVPSSALRKLARRHLFSLMTELLSATH GGSNTNHSHHLVGSKGVAVAIGDNYWHPLDAQFETRLQKRRVSQVAYDSVVRHILPTP PLPADTSMVVTPEMRACFQEDHLDIIVDRFHLFDHAETGTIDHGEVFVYFHGLADALA LGNVQPLVAQLKSVDKVTLPSILHVLLGQTKLDTAPSTPNLTNPMATSASPSSATDRV DDIETKETLLALSHDCPPDNWELDDIVHAPDYNNPSTKANTVIKRVTITNNTQTKKPK KPRAAALPRVVMVHTGMSEHSVKKFWEATVRDHGLAETWGLFQKGEKRMLTETHTRLK TEDSAKALLQLRVRSRLAEGFVVMEGKKYVADALAADKASKEKKAAAAASAKEKSQTW TPKILSLDKGSTVVRSSSLSNLPLYSPTNHQPLYHKAPYQLPPDWKDRTATHTDMSWV RESFCRAPVGHQHDIERHRPVHPTIRANLYLADMTRLGMLPRIHDKSPSR H257_06628 MQCATIKFPSMVPSSALRKLARRHLFSLMTELLSATHGGSNTNH SHHLVGSKGVAVAIGDNYWHPLDAQFETRLQKRRVSQVAYDSVVRHILPTPPLPADTS MVVTPEMRACFQEDHLDIIVDRFHLFDHAETGTIDHGEVFVYFHGLADALALGNVQPL VAQLKSVDKVTLPSILHVLLGQTKLDTAPSTPNLTNPMATSASPSSATDRVDDIETKE TLLALSHDCPPDNWELDDIVHAPDYNNPSTKANTVIKRVTITNNTQTKKPKKPRAAAL PRVVMVHTGMSEHSVKKFWEATVRDHGLAETWGLFQKGEKRMLTETHTRLKTEDSAKA LLQLRVRSRLAEGFVVMEGKKYVADALAADKASKEKKAAAAASAKEKSQTWTPKILSL DKGSTVVRSSSLSNLPLYSPTNHQPLYHKAPYQLPPDWKDRTATHTDMSWVRESFCRA PVGHQHDIERHRPVHPTIRANLYLADMTRLGMLPRIHDKSPSR H257_06628 MQCATIKFPSMVPSSALRKLARRHLFSLMTELLSATHGGSNTNH SHHLVGSKGVAVAIGDNYWHPLDAQFETRLQKRRVSQVAYDSVVRHILPTPPLPADTS MVVTPEMRACFQEDHLDIIVDRFHLFDHAETGTIDHGEVFVYFHGLADALALGNVQPL VAQLKSVDKVTLPSILHVLLGQTKLDTAPSTPNLTNPMATSASPSSATDRVDDIETKE TLLALSHDCPPDNWELDDIVHAPDYNNPSTKANTVIKRVTITNNTQTKKPKKPRAAAL PRVVMVHTGMSEHSVKKFWEATVRDHGLAETWGLFQKGEKRMLTETHTRLKTEDSAKA LLQLRVRSRLAEGFVVMEGKKYVADALAADKASKEKKAAAAASAKEKSQTWTPKILSL DKGSTVVRSSSLSNLPLYSPTNHQPLYHKAPYQLPPDWKDRTATHTDMSWVRESFCRA PVGHQHDIERHRPVHPTIRANLYLADMTRLGMLPRIHDKSPSR H257_06628 MAVRTPTTAITLWVAKVWPWPLVTTTGIRWTLNLKRGCKSEECL KLRTTAWCDIFYRLLHSPPTLAVHANGQRCVYMKKYAVVVVTPEMRACFQEDHLDIIV DRFHLFDHAETGTIDHGEVFVYFHGLADALALGNVQPLVAQLKSVDKVTLPSILHVLL GQTKLDTAPSTPNLTNPMATSASPSSATDRVDDIETKETLLALSHDCPPDNWELDDIV HAPDYNNPSTKANTVIKRVTITNNTQTKKPKKPRAAALPRVVMVHTGMSEHSVKKFWE ATVRDHGLAETWGLFQKGEKRMLTETHTRLKTEDSAKALLQLRVRSRLAEGFVVMEGK KYVADALAADKASKEKKAAAAASAKEKSQTWTPKILSLDKGSTVVRSSSLSNLPLYSP TNHQPLYHKAPYQLPPDWKDRTATHTDMSWVRESFCRAPVGHQHDIERHRPVHPTIRA NLYLADMTRLGMLPRIHDKSPSR H257_06628 MAVRTPTTAITLWVAKVWPWPLVTTTGIRWTLNLKRGCKSEECL KLRTTAWCDIFYRLLHSPPTLAVHANGQRCVYMKKYAVVVVTPEMRACFQEDHLDIIV DRFHLFDHAETGTIDHGEVFVYFHGLADALALGNVQPLVAQLKSVDKVTLPSILHVLL GQTKLDTAPSTPNLTNPMATSASPSSATDRVDDIETKETLLALSHDCPPDNWELDDIV HAPDYNNPSTKANTVIKRVTITNNTQTKKPKKPRAAALPRVVMVHTGMSEHSVKKFWE ATVRDHGLAETWGLFQKGEKRMLTETHTRLKTEDSAKALLQLRVRSRLAEGFVVMEGK KYVADALAADKASKEKKAAAAASAKEKSQTWTPKILSLDKGSTVVRSSSLSNLPLYSP TNHQPLYHKAPYQLPPDWKDRTATHTDMSWVRESFCRAPVGHQHDIERHRPVHPTIRA NLYLADMTRLGMLPRIHDKSPSR H257_06629 MLAQTWQAYTMSTLVLEPDFALAFAVLVGATAFAVPACLLLPSP LMRTTSWWRSSCSPLSFHCVYMVLPTLASYFASKVYLCVLFSNEDFVVSVYSARQVAV SASKDILIKLCPIVAMMQTMRNLIRCFGAAPPVSHALKLKKLPTMSTITETGELTTSS LATSILSSHTAALALGSFKRQSRSSQRSSKAIQVSFTKIPPPHLKGPMALPMFVHPMS QQGMPNRCAPHNVALWFATSNISTLANPTHRLVHARSRVATKLDHDQLWISQFPLTTL PSLIASLELLYGLRLNTNMLHDVHTRHVPNHADPAVVEQTRGRDRRHKQPHRAHASGC VGDSPSDALRIV H257_06630 MKEHDTKSIGVDDDGNDFSEAKSPNYLESRSSASGALRSGPVPV YTSPEVVGLLAQYVAIGLCYGALPNLMYPLFAAYFHMTGSQFNSVKTLMGIGWSVKVF IGLLSDCVPLFGYRRKSWMLFGWSFCFLFMVVLATRDFGRPYYTDRSIVGIAAAKLTA AQNATLNADAPATGATVSILCGLATLSYIFAAVPSDALVVAVAQREPMAVRGRLQSMV YMTRTLATMLSSAMIGFGLNSPDFSGSFGWDMGQHTIFVLLAVVTGAMVPITWWCVLD ERHPIMESFRAYAHQFWNLVQKRATWQIMLFSLLFNLLNSGVTSTAAPYVMLRWAKVE NLNYQLMNVAGNLVFAVTLGAMGGWGTMWNWPLVVVVTTVAANAIDAFVQFFTIYDIL RNQWFYIGVPLAENLPYAMQFIVTTFVIVELAEEGNEGITYGLFTTVTNLPIAVGPVI SNAIFSSFDVSENAIAADSVDTRHQVAWTYIIYYFTTIAACLTVVLLPSQKPALHALQ ATGGKHPFIGGAVLVVCLVMLVYSVVASLLPMYETTSCLVVAGGKGCNQPSV H257_06631 MDDGDDWDMTSNAAVDEDLVGNPFVICDAYDFMMFPVSPMSKQG QKNLRQAQRSIQHEHDKKKNRRRTLEIFQEQFDNKHEFESGMSLLANDEDDEELMKQT SSAKKPRLSMFKSSIFPTRQSPSFMRMPQLPASRLLLPTKLRTPAKAAAILKRPHFDN ASNVPPPKRPHLASGIPVRKGSTALKSPSKRRIPMRAAAL H257_06632 MTDASACIRSALILSCAFFLIFTASSGIDNLETSILPGKCDGCA GASHGICQWQDVCQDKIETSCDQVCEAPFKECTSSLGSTMLGLAYLSFTVCSFGASYG PLAFGEKASMVASSLSYSLYGLVNLIVALYPTYTRLHWYLMVPLSVLSGVSSSVIWIS QASYLTALSGHYAQLQHLVSPGSALGLFNGIFFAGYNASQIAGNLISFAVLGALQWPT TALFAVYMVLSLLGSFLLTLLPKVVAATQDTTNDVTTQVETSKLLKPDYSFAPSKSFN ALWLVACDRRMVLLGPVMIFHGLQQGFVTGEFTAHVIRESLGSASIGIVMAVYGVVNV MSAFAFGKIADRFGPMGPLLFGLAVVFVAYCTWLVHPIARCDGQWMVVVATAILLSLA DSSSTAMLNVILGQEFPANSVHAFSVFRVYHAGSTSASFLTFRFLSLQGRLATMMAVV VVASATFVLFCLRYRTPAKQLAHGRV H257_06632 MLGLAYLSFTVCSFGASYGPLAFGEKASMVASSLSYSLYGLVNL IVALYPTYTRLHWYLMVPLSVLSGVSSSVIWISQASYLTALSGHYAQLQHLVSPGSAL GLFNGIFFAGYNASQIAGNLISFAVLGALQWPTTALFAVYMVLSLLGSFLLTLLPKVV AATQDTTNDVTTQVETSKLLKPDYSFAPSKSFNALWLVACDRRMVLLGPVMIFHGLQQ GFVTGEFTAHVIRESLGSASIGIVMAVYGVVNVMSAFAFGKIADRFGPMGPLLFGLAV VFVAYCTWLVHPIARCDGQWMVVVATAILLSLADSSSTAMLNVILGQEFPANSVHAFS VFRVYHAGSTSASFLTFRFLSLQGRLATMMAVVVVASATFVLFCLRYRTPAKQLAHGR V H257_06632 MLGLAYLSFTVCSFGASYGPLAFGEKASMVASSLSYSLYGLVNL IVALYPTYTRLHWYLMVPLSVLSGVSSSVIWISQASYLTALSGHYAQLQHLVSPGSAL GLFNGIFFAGYNASQIAGNLISFAVLGALQWPTTALFAVYMVLSLLGSFLLTLLPKVV AATQDTTNDVTTQVETSKLLKPDYSFAPSKSFNALWLVACDRRMVLLGPVMIFHGLQQ GFVTGEFTAHVIRESLGSASIGIVMAVYGVVNVMSAFAFGKIADRFGPMGPLLFGLAV VFVAYCTWLVHPIARCDGQWMVVVATAILLSLADSSSTAMLNVILGQEFPANSVHAFS VFRVYHAGSTSASFLTFRFLSLQGRLATMMAVVVVASATFVLFCLRYRTPAKQLAHGR V H257_06632 MLGLAYLSFTVCSFGASYGPLAFGEKASMVASSLSYSLYGLVNL IVALYPTYTRLHWYLMVPLSVLSGVSSSVIWISQASYLTALSGHYAQLQHLVSPGSAL GLFNGIFFAGYNASQIAGNLISFAVLGALQWPTTALFAVYMVLSLLGSFLLTLLPKVV AATQDTTNDVTTQVETSKLLKPDYSFAPSKSFNALWLVACDRRMVLLGPVMIFHGLQQ GFVTGEFTAHVIRESLGSASIGIVMAVYGVVNVMSAFAFGKIADRFGPMGPLLFGLAV VFVAYCTWLVHPIARCDGQWMVVVATAILLSLADSSSTAMLNVILGQEFPANSVHAFS VFRVYHAGSTSASFLTFRFLR H257_06633 MQGAKECIRGAVLVSVAFLLIFTSYNAIENLETSIIRGECQGCL SGSPTGICQSGHVCQDKVQFACDEACAAPFHECSSSLGSTILGVIYLTFTLSAFMGPL IPNHFGMKKSMFGSAFVYALFAFANLVVVLNPTNQTLHWIVMLPAAFLEGVAASVLWI AQATYLTQLSVLYAEFKHEPVVSSMGLFNGVFYSIFRMSAISGNLISSLVLGYFVWPA ESLFVMYTVIGLSGAALMLMLPSTTKVSVTNDTELAKLVQTPSVAQGNEPSRRGFTFQ GLWTMATDNRMVVLAPVFVLNGLQQGFATGEFTSNFIRESVGGASIGYVMALYGGCNV VSSYGFGKLADKYGPLGGQLVGFGAMLVAFSLCYWIPVEKCDQQWPLVVVIAVLLSLG DASSTTLTSVVLGQEFPSDAVSVFSIFKVYQSGSAAASYFFFPYMTFYARVAILIGMT VLASVSFMVYSNKFRRVCRNGM H257_06633 MQGAKECIRGAVLVSVAFLLIFTSYNAIENLETSIIRGECQGCL SGSPTGICQSGHVCQDKVQFACDEACAAPFHECSSSLGSTILGVIYLTFTLSAFMGPL IPNHFGMKKSMFGSAFVYALFAFANLVVVLNPTNQTLHWIVMLPAAFLEGVAASVLWI AQATYLTQLSVLYAEFKHEPVVSSMGLFNGVFYSIFRMSAISGNLISSLVLGYFVWPA ESLFVMYTVIGLSGAALMLMLPSTTKVSVTNDTELAKLVQTPSVAQGNEPSRRGFTFQ GLWTMATDNRMVVLAPVFVLNGLQQGFATGEFTSNFIRESVGGASIGYVMALYGGCNV VSSYGFGKLADKYGPLGGQLVGFGAMLVAFSLCYWIPVEKCDQQWPLVVVIAVLLSLG DASSTTLTSVVLGQEFPSDAVSVFSIFKVYQSGSAAASYFFFPYMT H257_06633 MQGAKECIRGAVLVSVAFLLIFTSYNAIENLETSIIRGECQGCL SGSPTGICQSGHVCQDKVQFACDEACAAPFHECSSSLGSTILGVIYLTFTLSAFMGPL IPNHFGMKKSMFGSAFVYALFAFANLVVVLNPTNQTLHWIVMLPAAFLEGVAASVLWI AQATYLTQLSVLYAEFKHEPVVSSMGLFNGVFYSIFRMSAISGNLISSLVLGYFVWPA ESLFVMYTVIGLSGAALMLMLPSTTKVSVTNDTELAKLVQTPSVAQGNEPSRRGFTFQ GLWTMATDNRMVVLAPVFVLNGLQQGFATGEFTSNFIRESVGGASIGYVMALYGGCNV VSSYGFGKLADKYGPLGGQLVGFGAMLVAFSLCYWIPVEKCDQQWPLVVVIAVLLSLG DASSTTLTSGATSSFDAILASPIHDVENSLVCGYCLL H257_06633 MQGAKECIRGAVLVSVAFLLIFTSYNAIENLETSIIRGECQGCL SGSPTGICQSGHVCQDKVQFACDEACAAPFHECSSSLGSTILGVIYLTFTLSAFMGPL IPNHFGMKKSMFGSAFVYALFAFANLVVVLNPTNQTLHWIVMLPAAFLEGVAASVLWI AQATYLTQLSVLYAEFKHEPVVSSMGLFNGVFYSIFRMSAISGNLISSLVLGYFVWPA ESLFVMYTVIGLSGAALMLMLPSTTKVSVTNDTELAKLVQTPSVAQGNEPSRRGFTFQ GLWTMATDNRMVVLAPVFVLNGLQQGFATGEFTSNFIRESVGGASIGYVMALYGGCNV VSSYGFGKLADKYGPLGGQLVGFGAMLVAFSLCYWIPVEKCDQQWPLVVVIAVLLSLG DASSTTLTSGATSSFDAILASPIHDVENSLVCGYCLL H257_06633 MGPLIPNHFGMKKSMFGSAFVYALFAFANLVVVLNPTNQTLHWI VMLPAAFLEGVAASVLWIAQATYLTQLSVLYAEFKHEPVVSSMGLFNGVFYSIFRMSA ISGNLISSLVLGYFVWPAESLFVMYTVIGLSGAALMLMLPSTTKVSVTNDTELAKLVQ TPSVAQGNEPSRRGFTFQGLWTMATDNRMVVLAPVFVLNGLQQGFATGEFTSNFIRES VGGASIGYVMALYGGCNVVSSYGFGKLADKYGPLGGQLVGFGAMLVAFSLCYWIPVEK CDQQWPLVVVIAVLLSLGDASSTTLTSVVLGQEFPSDAVSVFSIFKVYQSGSAAASYF FFPYMTFYARVAILIGMTVLASVSFMVYSNKFRRVCRNGM H257_06633 MGPLIPNHFGMKKSMFGSAFVYALFAFANLVVVLNPTNQTLHWI VMLPAAFLEGVAASVLWIAQATYLTQLSVLYAEFKHEPVVSSMGLFNGVFYSIFRMSA ISGNLISSLVLGYFVWPAESLFVMYTVIGLSGAALMLMLPSTTKVSVTNDTELAKLVQ TPSVAQGNEPSRRGFTFQGLWTMATDNRMVVLAPVFVLNGLQQGFATGEFTSNFIRES VGGASIGYVMALYGGCNVVSSYGFGKLADKYGPLGGQLVGFGAMLVAFSLCYWIPVEK CDQQWPLVVVIAVLLSLGDASSTTLTSVVLGQEFPSDAVSVFSIFKVYQSGSAAASYF FFPYMT H257_06633 MGPLIPNHFGMKKSMFGSAFVYALFAFANLVVVLNPTNQTLHWI VMLPAAFLEGVAASVLWIAQATYLTQLSVLYAEFKHEPVVSSMGLFNGVFYSIFRMSA ISGNLISSLVLGYFVWPAESLFVMYTVIGLSGAALMLMLPSTTKVSVTNDTELAKLVQ TPSVAQGNEPSRRGFTFQGLWTMATDNRMVVLAPVFVLNGLQQGFATGEFTSNFIRES VGGASIGYVMALYGGCNVVSSYGFGKLADKYGPLGGQLVGFGAMLVAFSLCYWIPVEK CDQQWPLVVVIAVLLSLGDASSTTLTSGATSSFDAILASPIHDVENSLVCGYCLL H257_06633 MGPLIPNHFGMKKSMFGSAFVYALFAFANLVVVLNPTNQTLHWI VMLPAAFLEGVAASVLWIAQATYLTQLSVLYAEFKHEPVVSSMGLFNGVFYSIFRMSA ISGNLISSLVLGYFVWPAESLFVMYTVIGLSGAALMLMLPSTTKVSVTNDTELAKLVQ TPSVAQGNEPSRRGFTFQGLWTMATDNRMVVLAPVFVLNGLQQGFATGEFTSNFIRES VGGASIGYVMALYGGCNVVSSYGFGKLADKYGPLGGQLVGFGAMLVAFSLCYWIPVEK CDQQWPLVVVIAVLLSLGDASSTTLTSGATSSFDAILASPIHDVENSLVCGYCLL H257_06634 MHGPKECTRAAVILSLAFLLVFTSFGGIESLQTSIIPGECHGCT EGTLTGICQAGNVCQAKVKFSCDDACESPFTECHSTLGNTILGVVYLAFTISAFFGPV VPNYLGMKWSLFGASFFYALFALANLVVAWTPNSQDLHAGIMISAAVLLGISASVVWI AQASYITELSVIYATFKAEPVISSMGYFNGIFFAIFNMSGISGNLISSLVLDVLMWPK TSLFIIYTTLGLLGTSLFLLLPTLSRSKTSVPVDTESVNCAAPQPVFSVSMLWTLAKD SRMLILLPMFFFGGVMRGFAMGEFTSNIVRQSLGSASIGYIMTVYGAVNVMGSYGFGK LTDRFGPLVGLSIGYVSLIVAYIMTYTFVVVKCDSQWFLVVSIAVMLAVGDSTTTTLT NVVVGQEFSSNAVNAFSLVKAYQSAATAASFFFFKYISFNARVAVLMGMVGTAALTFV VYYRKFRRVSNEVYVSLDSTTT H257_06634 MHGPKECTRAAVILSLAFLLVFTSFGGIESLQTSIIPGECHGCT EGTLTGICQAGNVCQAKVKFSCDDACESPFTECHSTLGNTILGVVYLAFTISAFFGPV VPNYLGMKWSLFGASFFYALFALANLVVAWTPNSQDLHAGIMISAAVLLGISASVVWI AQASYITELSVIYATFKAEPVISSMGYFNGIFFAIFNMSGISGNLISSLVLDVLMWPK TSLFIIYTTLGLLGTSLFLLLPTLSRSKTSVPVDTESVNCAAPQPVFSVSMLWTLAKD SRMLILLPMFFFGGVMRGFAMGEFTSNIVRQSLGSASIGYIMTVYGAVNVMGSYGFGK LTDRFGPLVGLSIGYVSLIVAYIMTYTFVVVKCDSQWFLVVSIAVMLAVGDSTTTTLT NGIPPPTTNEPQ H257_06634 MKWSLFGASFFYALFALANLVVAWTPNSQDLHAGIMISAAVLLG ISASVVWIAQASYITELSVIYATFKAEPVISSMGYFNGIFFAIFNMSGISGNLISSLV LDVLMWPKTSLFIIYTTLGLLGTSLFLLLPTLSRSKTSVPVDTESVNCAAPQPVFSVS MLWTLAKDSRMLILLPMFFFGGVMRGFAMGEFTSNIVRQSLGSASIGYIMTVYGAVNV MGSYGFGKLTDRFGPLVGLSIGYVSLIVAYIMTYTFVVVKCDSQWFLVVSIAVMLAVG DSTTTTLTNVVVGQEFSSNAVNAFSLVKAYQSAATAASFFFFKYISFNARVAVLMGMV GTAALTFVVYYRKFRRVSNEVYVSLDSTTT H257_06634 MVYIYSALFALANLVVAWTPNSQDLHAGIMISAAVLLGISASVV WIAQASYITELSVIYATFKAEPVISSMGYFNGIFFAIFNMSGISGNLISSLVLDVLMW PKTSLFIIYTTLGLLGTSLFLLLPTLSRSKTSVPVDTESVNCAAPQPVFSVSMLWTLA KDSRMLILLPMFFFGGVMRGFAMGEFTSNIVRQSLGSASIGYIMTVYGAVNVMGSYGF GKLTDRFGPLVGLSIGYVSLIVAYIMTYTFVVVKCDSQWFLVVSIAVMLAVGDSTTTT LTNVVVGQEFSSNAVNAFSLVKAYQSAATAASFFFFKYISFNARVAVLMGMVGTAALT FVVYYRKFRRVSNEVYVSLDSTTT H257_06635 MQSPNECTRAAVTLSVAFLLMFTSYNGIESLQTSIIPGECHGCT EGTLTGICQAGNVCQAKVKFACDDACESPFTECHSTLGNTILGVVYLAFTLSAFFGPV VPNYLGMKRSLFGASFTFALFALANLVVAWTPNSQGSHPGIMLTAGVLLGLSASVLWI AQASYLTELSVIYATFKAEPVISSMGYFNGIFFAIHNASGITGNLISSLVLDLFQWHK TSLFLIYTALGLLGTAMFLRLPELSRQQVSTLAERGQPNGPVPVFSVAMLWTISKDSR VLILLPMFLFGGVMRGFTMGEFTSNFIRESLGSASIGYIMTVFGTVNVLGSYGFGKLT DRFGPHLGISIGYASLMVAYFMCFTFEVAKCDNQWFLVLSIAVLLGVGDSMVVGQEFS SDAVNAFSLVKAYQSGATAASFFFFKYMSFNARVALLMGMVVTAAFTFVVYYRKFRRV SSEVYLAFDNQT H257_06636 MDEQECTRAAVLLSVSFLLIFTSYNGIENLETSIIRGECIGCVH GSPTGICQSGDVCQDKVKYSCDDACELPFKECTSSLGSTILGVIYLTFMLSAFLGPLL PNYFGPKKSMVGGSIAYGLFAFANLAVASSPSNVSLHWWVMLPVALLLGASASVMWIA QSSYLTQVSVVYATIKHEPAVSSMGKFNGLFFAIYKSSRVTGNLISSVVLDYLAWSTT TLFMVFTCIGLCGTALLCFLPTVGAAPSRDMPLHPPTRVSFKALKAMALDKRMLALAP VFILNGLQQGYATSEFTSNFIRPSLGSSSIGYVMAVFGVVNVVGSYTFGKVADRVDPV TGHAVGYSALVVAYVLSIVTTVSKCDDQWALVVGIAVLLSVGDASSTTLAKVVLGQEF PSDAVNAFSIFTIYQSGTVSASFFLLKYLSFHTRVVVLIGSTVVATIAYFVYTVRFRR VARAGALHDVLES H257_06637 MKDAKECLQTSLLLSISFLLLFTSYMAIEVLETSIIPGKCHGCM EGTMDGICQAGPVCQDKLQFSCDQTCVAPFEECESSLGSTILGVTYLCLMLSAFMTPL ITNYFGEKNSMVGGSFSFILFAFANLIVALYPTKTSLHWWVMIPAALLLGVFASVLWI AQASYLTRLSVIYAQYMNVPAVSSMGTFNGSFYAFYKMSRITGNLLSSFVLGFLGWST ASLFAVYTGISVAGSVLMATLPDLVHPAGDESTALLKAAELPIESSSAATTLRALWDI AKDRRMVVLIPVWLLSGLQLGFVSGEFTVHFIRQSLGSASIGYVMATFGVVNVVCSFW FGKLADKIGLFFAQMVGFGSLFVAYALCMWSDVVKCDGQWTLVLGIAVLLSVGGASCT TLANVILGQEFPSNAVNAFSLFRVYNSGATSASFFFFKYLSLEGRLWVLMLNVVLATA SFAVYSIRHRQIAGVR H257_06637 MKDAKECLQTSLLLSISFLLLFTSYMAIEVLETSIIPGKCHGCM EGTMDGICQAGPVCQDKLQFSCDQTCVAPFEECESSLGSTILGVTYLCLMLSAFMTPL ITNYFGEKNSMVGGSFSFILFAFANLIVALYPTKTSLHWWVMIPAALLLGVFASVLWI AQASYLTRLSVIYAQYMNVPAVSSMGTFNGSFYAFYKMSRITGNLLSSFVLGFLGWST ASLFAVYTGISVAGSVLMATLPDLVHPAGDESTALLKAAELPIESSSAATTLRALWDI AKDRRMVVLIPVWLLSGLQLGFVSGEFTVHFIRQSLGSASIGYVMATFGVVNVVCSFW FGKLADKIGLFFAQMVGFGSLFVAYALCMWSDVVKCDGQWTLVLGIAVLLSVGGASCT TLANGTNDLDDVAAP H257_06638 MLDAPTCLRSGFILSLSFLLIFTAYSATEVLETSIIPSHCSGCD EGPTTGTCQYGSMCQEKQQFACDVACTAPFRECKSPLGGVVMGTMYLTFMTSAMAGPF LPHYFGEKKSMVGSSTMYAVFALANLVVALNPTNVVLQWGIMLPTAVLGGVAASFLWI SQASYLTRLSVLYSQFKRVPAIASVGLFNGIFLSFFKLATLAGNTISALVLNALQWST VSLFWIYTATSVAGTALLCLIPDLPLQAAAPLPTEYTKLLEPDSAVATMRSLAALAMD RRMLALTPIMLLNGLQQGFLSGEFTSNVVRESLGSAAIGTVFAVVGLVSVSSSFVFGK LADKFGPLPGQLVSFAALLAAYIVCYVATVTKCDDQWSLVLTVGVILSIGDASSLALV NVVLGQEFPHDTVNAFSLFRVYHAGATSFSFFYFPTLTFHGRLVLLLAAIVVSAVSYM LYYLRFRQARH H257_06638 MLDAPTCLRSGFILSLSFLLIFTAYSATEVLETSIIPSHCSGCD EGPTTGTCQYGSMCQEKQQFACDVACTAPFRECKSPLGGVVMGTMYLTFMTSAMAGPF LPHYFGEKKSMVGSSTMYAVFALANLVVALNPTNVVLQWGIMLPTAVLGGVAASFLWI SQASYLTRLSVLYSQFKRVPAIASVGLFNGIFLSFFKLATLAGNTISALVLNALQWST VSLFWIYTATSVAGTALLCLIPDLPLQAAAPLPTEYTKLLEPDSAVATMRSLAALAMD RRMLALTPIMLLNGLQQGFLSGEFTSNVVRESLGSAAIGTVFAVVGLVSVSSSFVFGK LADKFGPLPGQLVSFAALLAAYIVCYVATVTKCDDQWSLVLTVGVILSIGDASSLALV NGMCSIA H257_06639 MLHAHAVWLLTTLAYVLQCSRYALACPSECFCFGSTRVTVHCEF RNLSSVPQYIPYRTTHLFLNGNNFQLVTADMFRGYTKNDRGEWNDGPVPLFQLREIKL DLNPMPVVSEFAFQNSPSLQLIYLPFYVQIQHQGLSEMRLDKASFDGFTRVPVHPLED PTYVAFSRYPPQ H257_06640 MADNTSPDVTFWETIDHLGGIWAIIGVVVALTVFYVALIEFMIP RGRISQAERAKSQRTKLMRAARENVKSQRLVD H257_06641 MTAEAVDIATVPCFVGIEETHVTFISQSRLTSSDVLAITITTMK RMLPQTLLPRSMSNGQMKLSLPAAPVTLSIPRKRMNKILHAERDAFMKKLYAERSTRT MLECWAAIRIQALFRGYRVRPHAKTIYDNRRANLPAVIRKELHEMHQNLPSHVVETKI TDPWRQDIGVRAHGKREAKHRRDRLHHAATVIQACVKRFLARVAFIHLTSRHIDELVL WSVIVVQAAYRGYSVRKRVAAMLTQLREVSAIKIQSLVRGVLGRERVALLHLERFAAQ GEEPRRDYSGATAATTIQRLVRGRKGRKSVDRLRQGRWMVKLQRIAGGHLDDRKSLIH QHSLVLVDSGVMTIEPRPSLRPPLNINTTHLHQDVAATKLQAFTRGSQTRQRRRSGRV MGPPKLPQDTAVAAAMLEDVHAAEFRHRAQRQSMASLLPKAEVLVQGTVGQGRPKTLT STRPNKTAPILPRTEETEFHMYAPVEKLVKRCPSTAAKVKLPDEVDAALEAATKIQAL VRGSMARSNTKDSKKLSRGVVVGRH H257_06641 MTAEAVDIATVPCFVGIEETHVTFISQSRLTSSDVLAITITTMK RMLPQTLLPRSMSNGQMKLSLPAAPVTLSIPRKRMNKILHAERDAFMKKLYAERSTRT MLECWAAIRIQALFRGYRVRPHAKTIYDNRRANLPAVIRKELHEMHQNLPSHVVETKI TDPWRQDIGVRAHGKREAKHRRDRLHHAATVIQACVKRFLARVAFIHLTSRHIDELVL WSVIVVQAAYRGYSVRKRVAAMLTQLREVSAIKIQSLVRGVLGRERVALLHLERFAAQ GEEPRRDYSGATAATTIQRLVRGRKGRKSVDRLRQGRWMVKLQRIAGGHLDDRKSLIH QHSLVLVDSGVMTIEPRPSLRPPLNINTTHLHQDVAATKLQAFTRGSQTRQRRRSGRV MGPPKLPRASSKTNLLGEMRKNVYSVEDTAVAAAMLEDVHAAEFRHRAQRQSMASLLP KAEVLVQGTVGQGRPKTLTSTRPNKTAPILPRTEETEFHMYAPVEKLVKRCPSTAAKV KLPDEVDAALEAATKIQALVRGSMARSNTKDSKKLSRGVVVGRH H257_06642 MTDAARDAWLRHQAEKIQNSVVNVHEALSSSPSIYFGTRRCTYS DVVSIVTTTRPCAKSKASDSPLHKATALPYAHVDNFLDPLFVSPLQNTLERRTDQLER HKKALVSEKHAFMANLEDKRFDRHRVEYGAASVIQRTYRGHSLRKNFGNVKKTLLIRN KVRAAMKDVATGTGLILGEKDRYRAKVREQTAAAVVIQRRFRQLLATMVVAKERQMVA EERQHNSVRTIQAMVRRKLALAFVRKVRVRLVESLAMHLALEAQRLYRGYVGRGVARS RRLAVQYAAVRLIQNVFTRSLAAKAWAAESNRSRDTRRDRAAMIVQRCFRGSMGRQRV RRLRSVEAHQIALAAALSIQRVFRGRLGRFVSTSRAWWRRDEVTFMSSIEITRIVRGF LGRCHAKQTRLEQETNVFVYTRKGCKEIVVDLLDGCGLDAPLDPNGVDGHGNTVLAVA SRWGHLGIVRSVIGRIKLNVENHQGYTAIMLAVKYNHADVAEYLLTKAPALATTGRSL LHEAARNGMVSTAEKLILYGMAVNYQDNEYLRTPLHEAILGGHAPMIQLLVDQSPKAS LNYQDARGATALHYASKKGDRGAVRSLLHAEADVAVLDKKNQTAWRVAMSNGHEAIAG DIRKKWGLMDQNEDAAVLNGGGDEGDEEATAVLTVDDPLNNIEHLLEQGDMEIDDRDD DGYTLLMKGAMRGFYTVVRFCLRHGATIDLVDRAGRTALMHAVAHSDIALHLVDQGAN LLHADDRGRTVIHDAAMHGYTFSEYIAVHRVHLDIKDHLGCTPLHEAAKVGSDVGAKK LLNLGAHVSCVDSDHRSPIHYAVRGAFPPTTLRVLLNAEAPALFLRDRLGRTALFEAV VAGNVPCLAMLVAQGGSLGDKDHEEMSLLHVAILAKQNASVEFLVNLMTDAELLATNK TLDTPLHTACRTGYIYGVDLLLKKAGSVLVTKVNGLGESPVHTATKVSNVAVLELLRQ LGYELTMVERETGATLLHLAAEIDTDVLDPKIFPTLLSAGVSVTAYDKKGWQPLHIAS ARAKGAGAIRALLANGAPVNAPSKVTNMTPFHCAAQMGIAENVQLLKESGGR H257_06643 MGCNNSKEEPRESQRPLTANEYYPAPPPGNFQLPRSNSGFAQSH RGFPGISGRRQLSAAPAPAVQASDMDIVYAPLLQLKKFWIDPDDCGSSRALKSSYMHT HVGYYQGHPTVIKSFLGFNKRPANVVEKERNDLIKEIRALSKLSHPNIVAFLGFTYTT VDNLKCVTEFMDGGNLRTLLNNPKRELSWRREKLTIALDVASALQYLHSLKPKIMHRN IKAEKVLLTASLSAKLSGFGSAREWSYTQTMTQKVGTIEWSAPELLLNEDYNEKIDIY SFGVLLVELDTRDLPYANAHPDTSNALVTNIITGVVRPTVSPSCPKSISKLVRACLQL DSLLRPSADAIVQVLREEQARVADAVVEKLQIKHQISSRAPRSTVSSSLFDTSHPDFK HLAPLRTNFWIHARDCVVSRQIPSSYMSTLLGHYRGDAVVVKTLNLTLPHEYVAQDRK YLVREIVMMAKLAHPNIVAFRGFTFTTKDDLKCVTEFMAGGTLRALLDKKKRELTWHS EKLRLAMDVALGLAYLHGQAPTLMHRNIKASKVLLTPGLGSFTSSREHVAGRDDGTCR CQTVWVWVCQGVDVAGNHDGGRGDHGVGRAGTVAQRRLQHPRRRVFIWCALDGAGHAV DSVRRHQRPRRVALGRVVDGANCHWEAAAHRVSVVSAADSKAHPLVSSCEPGPTTHHG RRRGHPRAREAHQDGTVTCNVTLLKVVMPRGFTVKLKPPLI H257_06643 MGCNNSKEEPRESQRPLTANEYYPAPPPGNFQLPRSNSGFAQSH RGFPGISGRRQLSAAPAPAVQASDMDIVYAPLLQLKKFWIDPDDCGSSRALKSSYMHT HVGYYQGHPTVIKSFLGFNKRPANVVEKERNDLIKEIRALSKLSHPNIVAFLGFTYTT VDNLKCVTEFMDGGNLRTLLNNPKRELSWRREKLTIALDVASALQYLHSLKPKIMHRN IKAEKVLLTASLSAKLSGFGSAREWSYTQTMTQKVGTIEWSAPELLLNEDYNEKIDIY SFGVLLVELDTRDLPYANAHPDTSNALVTNIITGVVRPTVSPSCPKSISKLVRACLQL DSLLRPSADAIVQVLREEQARVADAVVEKLQIKHQISSRAPRSTVSSSLFDTSHPDFK HLAPLRTNFWIHARDCVVSRQIPSSYMSTLLGHYRGDAVVVKTLNLTLPHEYVAQDRK YLVREIVMMAKLAHPNIVAFRGFTFTTKDDLKCVTEFMAGGTLRALLDKKKRELTWHS EKLRLAMDVALGLAYLHGQAPTLMHRNIKASKVLLTPGLGAKLSGFGFARVWTSQETM TAAVGTMEWAAPELLRNEDYSIPADVYSFGVLLTELDTRLIPYADIRDQGASLSDESL MVQIVTGKLRPTVSPSCPRPIQKLIHSCLHVNPAQRPTMAAVVAILEQEKLIKMGL H257_06643 MGCNNSKEEPRESQRPLTANEYYPAPPPGNFQLPRSNSGFAQSH RGFPGISGRRQLSAAPAPAVQASDMDIVYAPLLQLKKFWIDPDDCGSSRALKSSYMHT HVGYYQGHPTVIKSFLGFNKRPANVVEKERNDLIKEIRALSKLSHPNIVAFLGFTYTT VDNLKCVTEFMDGGNLRTLLNNPKRELSWRREKLTIALDVASALQYLHSLKPKIMHRN IKAEKVLLTASLSAKLSGFGSAREWSYTQTMTQKVGTIEWSAPELLLNEDYNEKIDIY SFGVLLVELDTRDLPYANAHPDTSNALVTNIITGVVRPTVSPSCPKSISKLVRACLQL DSLLRPSADAIVQVLREEQARVADAVVEKLQIKHQISSRAPRSTVSSSLFDTSHPDFK HLAPLRTNFWIHARDCVVSRQIPSSYMSTLLGHYRGDAVVVKTLNLTLPHEYVAQDRK YLVREIVMMAKLAHPNIVAFRGFTFTTKDDLKCVTEFMAGGTLRALLDKKKRELTWHS EKLRLAMDVALGLAYLHGQAPTLMHRNIKASKVLLTPGLGSFTSSREHVAGRDDGTCR CQTVWVWVCQGVDVAGNHDGGRGDHGVGRAGTVAQRRLQHPRRRVFIWCTYQPYCIRK TIDGIA H257_06643 MGCNNSKEEPRESQRPLTANEYYPAPPPGNFQLPRSNSGFAQSH RGFPGISGRRQLSAAPAPAVQASDMDIVYAPLLQLKKFWIDPDDCGSSRALKSSYMHT HVGYYQGHPTVIKSFLGFNKRPANVVEKERNDLIKEIRALSKLSHPNIVAFLGFTYTT VDNLKCVTEFMDGGNLRTLLNNPKRELSWRREKLTIALDVASALQYLHSLKPKIMHRN IKAEKVLLTASLSAKLSGFGSAREWSYTQTMTQKVGTIEWSAPELLLNEDYNEKIDIY SFGVLLVELDTRDLPYANAHPDTSNALVTNIITGVVRPTVSPSCPKSISKLVRACLQL DSLLRPSADAIVQVLREEQARVADAVVEKLQIKHQISSRAPRSTVSSSLFDTSHPDFK HLAPLRTNFWIHARDCVVSRQIPSSYMSTLLGHYRGDAVVVKTLNLTLPHEYVAQDRK YLVREIVMMAKLAHPNIVAFRGFTFTTKDDLKCVTEFMAGGTLRALLDKKKRELTWHS EKLRLAMDVALGLAYLHGQAPTLMHRNIKASKVLLTPGLGAKLSGFGFARVWTSQETM TAAVGTMEWAAPELLRNEDYSIPADVYSFGVRTNHTVFERQLTG H257_06644 MHTRRLLGTMAGVAGAGVVSTWLYHPEDLSESVPKQNVRPAARS RSFDETKVQPSSPSMLSTRSYAVEQSAFRDLGDLLASATVSASQAYISWLSSNMNANT STSDEAGGNPFRLVDPSVPLTSKDAKRVIDSSQFSLVLNAIAGDTRNQSPDASYRAIN QLATNLECAAAIAERATRYGKKALLHLAKRHDVDSRLGNALRALTVLDGAECRFGPAN LNSLVALVCTPDLPAPYAEFAWWALAATASNKALTPRYRWRKDWLGDDRVARTRAILM RNPYVWSAILAIDNNSSPLVQLHAARLVKEMCASGLPIEDDDRLDLIVHWLASDDKLV CAEALQAITSVAAHESVRVKLAAKGTLDTLYKKIHADAADTALLLGAVHTLAFHHASS LDDHALSSVDNVNPNVDHDEYVDVLDFDEPTVVRGWIDLFTSFATHDDPKIAANAVAC LDAISSHGKFRNQGMQEWVVAVLDSVLENVPMDVRRQASTVRAAKSRTRPLKSERPNS ATHYVLAHTRALCALAFVLDRPDCQAAFIRAGGLPLLRAMLKSVESSTSADAAAVTGL QQEWARVVANLLSAPSATVVDELRQPFWSSRLEQLAHSKVTSKVQTHAARALHNLHTA LHTSSSMLEDGWTDEEDEVSTHRNDGVVYMEGVHPFTMPQDNAAAATDYDVDIVFVHG LLGCAFETWAGGAAGQVWASDWLVADLLRQHVNPRVVSLGYDSKLFAAESSFETLCFD DTSRDLLVKLQKAKVGVDRPVVFVTHSMGGLVVKKMLHDAATSSLAQRTKGLVFYGVP HHGSPVAAAIFPVASAVLRQGISIQHPVTSDLHGTPRLEALNEWCAGFVQDHNVHVLS VGESAPMRLPLVGVEALVVPEASSNPGFGAYVKLPDLDHIQVCKPASISDVRYTLTRD LIVDAVAAAAKDDEATMDINIYK H257_06645 MSDELRRSMATSDEPKQVPLEEWDGIMASKSKYPLTPCMLTPYN TPHCNLNSLKQRLHQSQTNDVSSTSQPQSSAADASHPPSSLPSNWTTLPPPSPLARLA FLGVATCIGAPIVLVLALCSPVIVLFSVATSPVWVPLSAFLWWSSSPPTTPTRVGSPS SASH H257_06646 MHAETTSSNATVEEYGLFGLATLPLMEQVHRLLHAIAMKYPYKE TTSWKTLPMDNKRRILQYIKDNKPKTGGQAALQPSAQPPRDTTQDDDYDNEDEDKAGS APSSILDERDESQPPNATLTIKPPTALSDPYLATLRTQVNQPGGSPKYQMTWKVKHVP KPLTFKCACGAVHRTATDNSVLDEVRVMMTTFGDVDTPCNATALLVQSIVQGQVKATL QSSSLKQYSLLARIHMLVDFTHLFQDEAMYYGRWKDFKSHTKDGDEGGPDDVELSDDL VDELDMFASYESMELNAFQLYFLERMKFADKRTQDMDTSTYLDFSK H257_06647 MSINKDKCHPSSRARTTFKHHVNGSGHASQRFQTTFATAFVMSQ LKHVRLARFAITSRQLLSRVALSCTAANQTRAPHLPSQAHIHHRQQHRRVRTSPTVAH HRVKASPTPSKNWFCSQDHFR H257_06648 MHTVSPEVCVKAAGAALGTGGGRHKGEGKARRGGQVDRGGRTGG WRNPDASGGGREQGEASAKGVAVKTEAKVKGEGGGPERTDLARDEVFERELGFYWERS RIFTPVGCAGVDLESVRDIMRRLTVVGEFDETRDDCGYRTANVAVVERYLHLFASAQS FEQPALWMLLTLEGMQLARVIFHRFDEFKGGMSVLYERGRLERWTVDVGRIELCNDET LLKYLVAFVWTLVGEGSGRHGQPSLTAERLANSLSNFAGVRRQKYGCLDARSVARRNP R H257_06649 MTAADKVVLDKFKAPPTPAPRRERTTETFAEDAVTDGDIDMASA YDPILKQLPPTSNGVERFFLAAKEVFGTQLKAMSPANLEVTLFLKVYSHFWDINKVAV LIAANTPGGIADGTPEQTEVGDDDDDDVQGVMASDASDSDQDMGEGLIAVFVDGESSD GDEEDDDCESSG H257_06650 MIRHALWKASLIKLDVAKFGGAESDKLLHWGLQVSTAADTQRIS DKATCVAFAMSHLKGRAESWAFSERLTDPHCFPSFAIFNTELEPMFLPTHSDFPNVND EESLPESLRVTVFMDDINQGPAPTQPQTFEEAVRIALSESFSSIRTIARVSIAVALDT FLVLARHLAVWRQPRLRHTVSPVSHLITSRVVLRAVHLTASVANAMAPRAGLSAPFRA RVRREKVALSRREATYTPQILWRCVAR H257_06651 MAAATTTKAKRWFPLESNPQVMNEYIRRMGIAEDAEFAFCDVYS TDDWALEMVLRPVLGVVMLFPIKPATEDHAKQERERIEKDGQIVSKNVYYMKQTVGNA CGTVGILHALGNARDYLPPRHGSFLSSFFSKTDGKTPDEIAAILQADDEIEETHASAA QDGQSEQLEHVDDPINTHFVCFSVVDGHLYELDGRKEFPINHGPSSPETLLGDACAVI RQFMARDEGEVRFTIVALAKALTD H257_06651 MAAATTTKAKRWFPLESNPQVMNEYIRRMGIAEDAEFAFCDVYS TDDWALEMVLRPVLGVVMLFPIKPATEDHAKQERERIEKDGQIVSKNVYYMKQTVGNA CGTVGILHALGNARDYLPPRHGSFLSSFFSKTDGKTPDEIAAILQADDEIEETHASAA QDGQSEQLEHVDDPINTHFVCFRFVCGLFDIMCILSVVSWTVTCTSWTAARSSRSTMA LHPPKLCLGTRVP H257_06651 MAAATTTKAKRWFPLESNPQVMNEYIRRMGIAEDAEFAFCDVYS TDDWALEMVLRPVLGVVMLFPIKPATEDHAKQERERIEKDGQIVSKNVYYMKQTVGNA CGTVGILHALGNARDYLPPRHGSFLSSFFSKTDGKTPDEIAAILQADDEIEETHASAA QDGQSEVGGPLTIKYAS H257_06652 MAAEVQDSTTGDGNARSDGKRRRSVITTFRTEQAAFRQRRIDPP PPAEIPQLQSSEASTEQNHNGSSKADTATMNALRKKSTQGKQINKIKVIPVDVDKVVA SHEAPKKPKSPKTANHQQSKRSSLIEKARSIRRLEEKVSFITRRRLFFKRMRQLILYV FAFAVIVGIGLYTVLAPDSSITVCCSGQVTTIAQGVRAMAMAFDGNGTLYIADAFANK IFTWNGTLNEFAGSTVYAGDVDGTLSNARFSFPTGIAVDVANSIIYVASRDYQSVRSI QNGTVSTKLAQRDHRPAQPTALPINVFDEEVDYYMPISVAVDDAGNVFIGSGAMVERI DAVDGSVAVLAGNGWRGYADGSGATARFRYVRSLVVDPSGLFVYVADMYNKAIRRIDV ANDQVTTLTAKGFAATSTTRRADCFQFDRPYGVALGGNGTELVVADSWNNTVVVFDIN GTYLRAYGTSEFGSQDDFVPEDPTLHPLSATFGNPATVAVDANGTIYVGDAGNRLIRK IQP H257_06653 MDSSPIRPLLTRKENLKGCSSRSLDKVHAPVKRKPLSTISHNVL AQMDEARANTSKRSKPSSPVESIPAVDKSRLASPEDSQGRKDELNLAWLDMCCALLDK KRSMHAPVARPTDMRDLMLPSIERSAKETPTTVKLCDPSPPSTTDIVGEQPRDLDVAN AALALHENSQPSSTCCGCKTGCLKLYCRCFLTRGFCTPQCTCVSCLNTKTSTQRLPAI TMHLKNNVHAFRVSSLATPVVANGMSHDGNNAATATGSNFVPLVPNVDHANAIACRCK KSKCSKKYCDCFQAGIACGPRCQCRDCCNHSPKETNTKQIIYAKDTIKVIVTRTPRLA PGTGSTFRVLL H257_06654 MKEFVSVAGLRVDGRRAGEVRRVRAKFGLFQRVDGSAYVEQGQT KVMAVVYGPKEHSGRKQTEDVAQTKARVTCEVTQAPFATSDRKVTHKADRKKLESSLA ILQIFESVISTQLYPRSQIDIFVQVLHADGGELAACINAVSLALIDAGIAMKDVVVAC SAGYIQQTLLCDLNYTEQSSRCPDLTVALTARNNKLSLVQMESKLPLELFETLMATAM EGCSQIYDILQNEVQENTWRRLISRET H257_06655 MAFSMKLKAGKKSSKPAFPSENQPSKGVFSIDEANSAPIATKGP ASLPPPPLMSQARPGVYSKSERVAHEALADDPNVFDYDGIYDSMRVERQKLKEAKKVH GAPQSKYIGALMEKAKIREVEHDRIRERRLLKEREEDDALHGEKEKFVTASYKRKLME SKRWEQEDARLAAIEAANDVTKREHGMAGFYANLLTNNIAMGGDVSKATSAYTTGTLS TKLPESLDALPPSPKRQKAVEPVQHVEKEATGVSDVTPSIDAADKPTKSAKEEPAAPP TASRQDVIRAAKERYLARKQASSSS H257_06656 MATTTATSTSKNSSSRFLPSTNSLCLDMHKVHPSATRPPSPKME FATPPTRTITPPPIMKLPHSFATKQVSHPPHLQLKVSDPYITMDKKLATLKIPSACET PLHYTPPPPFPETSPSENKMMDADFAKRKKGTRRGTLNPEAKNVLKAWMFSPEHFAHP YPSEEEKEELAAEAGIEVKQLSNWFTNARKRLWQPVLRQSGVEVKNFLSTGRGGPRGA KLDVPTNIAFLAGSNSPPSSPRSAGSNDDDDHDTSSFRFASVPDKVVKRMRRTEPQDN DSGGNSPASSSDDSFEHHTRDGFRDVEILAAKSLLGLHREYQPVH H257_06657 MGTHSGLVMMSTTAVWEKILPAQGNDWTLAEDAALADHLKAFSQ QLFAKTKELENAIWELGLSAEKADVRLNNSINHFLLLSDSQFIENRVYEEDEEEGVKE DSSKATNEPSSSTTSTESTTAVSKDIATEDSKKKDVLVVDQYRAALSLGMEALKLFAV GDEEDVLDIYNERPLPFVIGTKEFLEDEYLGLGAAPDSDDANEDDDSGEDYSSEEDEE SEEDEESEEEASDEEESEEEAVQTRRPPMPPPRPNEQWEDESTDDLFGQPTPKPRAQA PSRGGLFGMDADDDDSDDDDDRVASATKTKSSSLFAAEDMGRDLFDGRAAAAAAPTPK LDLFGELKARTAAQQRQGDSDDDSSDGGLFGASKPTPPAVRQPSAPAGRSLFGESDSD AESTSSGLFGRQISKDDKKPPPGAVSVLPPQQLIRNESTESSLFGTSSRVAEPTPAAA SKSSRRIFDSDDDSDEDGGDGLFGTAAAPKKPPLGPPATSVAQPTKPTAAPVVARAAS AKATAPSSFFDDDSDDDSVGGGGGLFGSKPAPTTVPFSQPQVPAQQPVPPRQSVPAVA APSRTSALFGGDSDDSDDDGGLFGRPPAPHPTPAKPVTVTVTETVTTTATVAPAPQTR LHSLFQSEGSNESSANLFSTPKSPRAASGATTQRNLVSTTPAPLASSAPKKSAASNLF GGDDSDNESNAGDLFGAPPPKPSTSATTLFASATPSPPAVLPPAPVVPTPAKAAVSAP APKPPAKVDSDDDWSDDDGGLFGRASVGMSAKPTLATNPTPGASSFGGAPQLNSASLF AAATLQPAATLSNLSSAASSSASMLGDPRVASLAASVAPNATLQDAKSWASSSAVSSA SLFGAQTSAAGAMLQAAPTVAALGTAGTLASSSSASLFGGDLKSTSSSTLFEAASTAA QTLPTTSSSSFLFGEAPKPASAPPLAGPPLPPPPASRLFQAQDSDSDDWSDDDTSLFG PPKPK H257_06658 MASSQATSMDDGGGVVLSAIALRHLEVEKANLRFKLADAEKQSE LRHGQVAAYESKIQDLLLLVEMNKKVAAEALKCSTNTAHKLEEANEQLERWQARGMAS LARRRRRRHLAQVLVTLQCHAGRMRSWCRILTRRRQAALRLAFVRWNIAPSRHAAQLS TAVPSFHVPSQRPTSVVRSLDALQHCLEKQALALIKPLRRNVFSSWKGSLSTTRGQEY TAQVWHKRQLRYLLRRVWLVWTTHVSNRATCRRANRRQRLQLRWQRWVKYRWVQVRRR YLIVKIVDGHRRRQMVQCYVRWQLQSKNDQIATWLTCLEGAKIALAEERSACAKQKAD VEAHLEHQTAAEHERHRAIGNHIDLCLRFLRWGGYVRLQHRVNVLAYQHATQRTAQLA RKLLFRWRRMRHLRQTSGQFSRQFKDQNLRRYARQVLFQWWRLCRRTKLLGRLTTKRT RAMLAAGLSKLVAGSVRRHKEQQLQLHLKYSHVHSDLDLKLEQNRRQCQASQTASYMA YVRQRNYLRGMFIQWGRCLLRRRLLKRLICHVADRWRHRQHHAWTIWASHAVQAKWTL IWGRACVHQLHATALRRPFAAWTRRARSGTHTKRNRFYMQSALALWRVSIAQRRTQVH AAGELFRALHVCVVRRRFETWRRKVSHHNKLAQFHRHRHHQTVRRMFHNWRKFAWVQC HISIFATDTGQARASSRNSHRLMRRTFQAWYLWRHHVHTQRAYAERSLRRGLFGWQWS HVQAFSQWKSKVHRRLLMQTALARIIKRHKLAHKGLAVAWTRWMWSIRVILVSQRYQR QLRVASREVKLAHVDGHTDTLVSTCWTKWRYGVLTFRKQAHYAQLMTVRHQRQDVTKH YTLWTAYHIQRRRQVAWLRQWARHGCTRTLASALRRWQGHSHTLLERQYEQVAVAANK TLAVILLTHQRNEYQRAVLQAWNRHTQLGIQSRHRLFRRLCGVAKGQLRRAWQRWLAT PSPARTSSVSRLLQQHWDQRRIGQSFRGWHRLARSSRQCSQVLGRLACKLQGALVRRG LVRWQLATAAARQGLQRAKFAAYTGKQSDGLRHCLHLQWYWHRWYRYHLTIQDQRRQW SHRQDICRHTLMRRCMLALSSHRALRWRQVLALRGTCQRMRRVYLALAMSSWRRKVHG LSLIESTARSAHDLKRAKHTIAHLLFVRFKLALVGRCLVAWRKMTAPRRVRMLRTWST WIDVLATARHASRIRTLRTLATRFHGWKSSVALRHHQRQRVRFLAARRYRRGILRGFH TWHRLVRRSMHEECLQRVAIIEDMVATQTLVLDTLNVLRPMVHRWRQAVVHAHMHRHD VAECQHSTMQSLWGHWASYSRRRRRVAHNLKTLQSRLVRHVLTLWCRWQRRWTAVIRA LRACVVLSIRRRLRTNWARWLKTDQQQVALASQQRLSDERLRCHATVVRFQRSALRCT SLARIRMTSFCLRHVWTEWTRLVCMRRWWHRKRTFRHLRTTWQKWNASLRAERVVRLK DMWGRWHTAAVRGLQDRSTRHKCVDRWTLDRCIWFRRIVWRHWTTFTDAHTQWRRRQV VLGRKASRRALRRRVFVAWRHMHMVAADVDATSRRLLGLVVSNWRHAAKLRSNRRAKS QHRYDQTMALCRYIETYGSRLVLRLPTVFLAWKNAVVFSTRTLLRPGQLLRHKVAQTR QRHAAFASWAEYVRGHRRRRAASMALLTKSKDRTTRWKVWHAWSLHTRDKHDATTRQR EQQLRRIWRSWADSTSRRAHRVHGRDAWSAFVYRLRTSMLTALSFHAWRQCVHTRLHR QTPADYWTVHSGASWRFVLRKATDIAIAWQRRQVRFAWWRWVLHAKGIPSDNVLDLDK STDAAAAVAHWTWAQWKASVLHARRQQRWLMAFFTKTCYVQQLRRRFVRWKKVLSATP QQLLAVDDDHMQQLRVAAGLRRCFVSCGFIRWKAWYIHEALAEAETEHALLMDALQDI ASYRMSL H257_06659 MRRRKLQDLRVLSFNLLAPCYFRHGGRLEATDSRQYMGRLGALV SPLKAELANIMCLQEFWFDETYMRTFQSHFPNFSCYASKRPGLKEDGLAIFIDHSKLM IHNFRQLDFDKAGERVAMLMHLSFLPNSLPSHTLSFMERSFLLINTHLTFPHSDINRV MRMNQIETMLSAVQEYQRKEHLEQCPVIMCGDFNDIYDPVHNLVISHGFQSVFAEVHG REAKITHCNHNNSEVGVDFIFSYNPQPPPQPLNDFEKRVILLPNACDLLPRSLPDSTR LKRPEFLPPKTPWEAVQFTDTTAQSIPDAVDYWRLVSDHRPLVATFKVDTNSL H257_06659 MRRRKLQDLRVLSFNLLAPCYFRHGGRLEATDSRQYMGRLGALV SPLKAELANIMCLQEFWFDETYMRTFQSHFPNFSCYASKRPGLKEDGLAIFIDHSKLM IHNFRQLDFDKAGERVAMLMHLSFLPNSLPSHTLSFMERSFLLINTHLTFPHSDINRV MRMNQIETMLSAVQEYQRKEHLEQCPVIMCGDFNDIYDPVHNLVISHGFQSVFAEVHG REAKITHCNHNNSEVGVDFIFSYNPQPPPQPLNDFEKRVILLPNACDLLPRSLPDSTR LKRPEFLPPKTPWEAVQVHHSTPAWPTG H257_06659 MRRRKLQDLRVLSFNLLAPCYFRHGGRLEATDSRQYMGRLGALV SPLKAELANIMCLQEFWFDETYMRTFQSHFPNFSCYASKRPGLKEDGLAIFIDHSKLM IHNFRQLDFDKAGERVAMLMHLSFLPNSLPSHTLSFMERSFLLINTHLTFPHSDINRV MRMNQIETMLSAVQEYQRKEHLEQCPVIMCGDFNDIYDPVHNLVISHGFQSVFAEVHG REAKITHCNHNNSEVRASCLLCLNPGVGRSRLYL H257_06660 MERKPTSDNGSGGSSVVYGSTSATVYETFRNHRDLSRRLARFDF ESPAPGTCWDVVLCVGGSRFHAHRFMLGMSSKPLNAMLTGHMRESSQTDVTLNDVTSA TMSQLLKYIYSGNVDLSTDTVVQTLTAAEMYELQCLGELCKNFILQHAAHVFKPQLIE PLPEKLLCELIAQDDLQIRESALLDAVIAWGEARLDNVSSGVHGLQLVLADVVPLIRY PSMSVRELYCKVKPLVAASVIPEHYLTEALFFHLSWGSSVGHQDVRMRARTISTTMRK RKRVSFTQSVSFSEQPWGGGRDPPPNYSD H257_06661 MRFLHALRRPSILTQHVRAFSLAGPGQKAATAPLNMELFDKYPH PKGYMNNLRNIGISAHIDSGKTTLTERILFYTGRINAIHDVRGKDGVGAKMDSMELER EKGITIQSAATYAQWKDSNINIIDTPGHVDFTIEVERALRVLDGGILVLCGVSGVQSQ SLTVDKQMKRYGVPRIAFINKLDRMGANPWKVINDLRKQLKLNAAAVQVPIGIEDHLE GVVDLVRLQVYRNDGPSGETILTSSDIPQELKELVAAKRLEMIERLADVDDEIGELFL MEEEPTADQLVTAIRRATIAHKFVPVFMGSAFKNKGVQPMLDGVIDYLPAPAEAQNIA LDQGKNEEPVLVPCSPDAPLLALAFKLEEGRFGQLSYMRIYSGTLKRGGFIYNMSNMK RIKVPRLVKMHSNEMQDVDEVTAGEVVAMFGVDCASMDTFSDSNATKMTMTSLHVPEP VMSLAIIPNNKQNLNNFSKSLNRFQREDPTFRVSVDEDSKQTIISGMGELHLQIYIER MKREYNVEVESGAPQVNFRETIKEKATFNYLHKKQSGGSGQYARVTGYCEPISQEELD EGEAPIQFVNDIIGNAIPPEYIMACEKGVGDAVQKGWLLGHPITRLRVVITDGQAHAV DSSELAFRTAMVQAIRSAFAKGSPSVLEPMMTVEVDIPNEYQGGIIAEINRRRGMIQS SEADDVSTVVRADVPLQNMFGFSTDLRSSTQGKGEFTMEYKTHDFVARDAQEKLVAEY QKALEAKKK H257_06662 MGLILLQRWWRKHLLARYQRKAKVLELQQDALRKKMDVLERRML ALDGLESRKERQLGDQSRSSNSNQQSSAVSMKRSGGDDYHEDKPRLGRREQEVTPSAG PRRLMQPLKLQSPVMLSIDTASMGQKRDVIPPAPSTLRYQDAIEAVEVSLVSLMRVVH TAFDRKVPSVGGKAPPVVLVATTQATIRRHLSDVHSNLLAAMQAHDSPDWTAIDHLDD PLPYSLGSLPWKRLMDDWAKGDADKIGDLTRWLALALNPDDCTDALPPLELTCLQPMV MEGFLRLVVPTLRECRRGIRVQSKPVQGSVLRLDVCNPM H257_06663 MDLNAQMEEISREKERVARIARLAEEKYAIKQKELEEEAKKPKF MTQKEREAAALARLQQRAKTGAATTTSRASSSVASKFSKLNDSSFDRHRQAQRKDSNN TSTPTITREQEKELAALKDHYLGKKVNKKKVLKASEKFSKNFQFDWEPTEDTSGELNP LYKRRDVNLLFGRGYMAGVDMREQRKKNNFVTELARKRQLEQKTADEQAGVLTADQIA KRQRDRERELRKMQEWEANREREIDTIEAERVLHWSDKSLENMTDRDWRIFREDFDII LRGGKAPIPLRKWSEATFPESVMDAVKLMGFEKPSPIQMQSIPIGMQRRDCIGIAETG SGKTAAFLIPIICYVSSVPVSMVTRTPDQGPLALVMAPTRELALQIEAEAKRLSQLTK VGEGDTEHIIKTLSVVGGQSIEDQGFKLREGIDILIGTPGRLMDCMQSHYLVLNQCNY VVLDEADRMIEEGFEDQVTGILDNMGGLLKSENEAELEAQLSTADNEFKFRVTMMFSA TMPNVVEKLAKTYLRHPAIIKIGDENSGKNKRIDQQVHFLSAGKKLAKLYDTVREILR NAKTKDTAKIIIFVNEKKGCDLVARAVGKEGFYATILHGGKSQDQRDESLMGFRDGTY DILVATDVAGRGLDIPDVTHVINYDVPKEIEKYCHRIGRTGRAGKEGVAISFLTDHDE DIMYDLKTYLEATDMPVPPQLASHPMAKAAAGARDDKGNIISKSKRDTVIYSG H257_06664 MDAQVFLSDLLDVSQLPAVPWAEIPSSTDVLSKKSALFPQPALS GLFLHLRSPSEAFELHSSMSQNSNLAVSLSQRIAVKSPTSAVRGAFSLHSQHRVSLRA EMDVPSVGTFTLSALECGQKAVGIAPNARVGFKGTVPVPFLPSLQSSVEVGVDVVNGP SVDLGLVAGTPSFCVGVGGRFNTGVEYMETRTVQQKVEKLVALARYVSSDVVAVASVR DLGNVWRCHVRQKVAFDFGVGSEVEYQRKRRTVTLKGQCHKEINTRESLFGSLDSEGI VGVAYRCRLSPFLQVALSGRVNLLQLETDSHQVGLTFQIG H257_06665 MQANKSGRSIASSSPTRDRIEKEPGTPSPKKKKVFTVARSVPST PTLDLSGANDSLLPLGKPSMSKGEVKMVLNKQIRRDNNGKIMTHAALGTQDDVEQFDE YLQSVATTSNSALKSPGGKNPSLRSLKRVASRFMTKGQSTRNMTASDTIGTTTLPRMS LDSPHLPALPPESQATQPPNVGSSPGNNSKQALKEYKKMLRAMPIHERISMEREKNVL LIWETRNREWEKFRAKMSKKLNKPETDLVMVKASEYRQQMEEYDLINKATPQEEKHGN DYWSVSLRDEGTRFVPVGNVFSGLFCPVREDKNPITETIRRPMENRQDKAKPNERHQG KFNEALLARKRQLRRNIQHIQPHHIDSDHCDGLKVESTELFEWAARSSQQHYDHLLQD EQAQQSTERERRADDTRPSGALSSRSNHDVPTNYRDGPCFQFVHPTTGDAVDDLLMHV SFHAKIGAVHTQDVLVRNPGPLAMHFTWSQYPLSHRDFAVDTGRPRTFVSQLTGTQYH PSPAQSMQWYTGVLAPHMTSRFTFGFSSATPGLFLEMWKLTIDPYLAEHNNDPRYAVE RTIHMSCAAVDHRAPFKARKAIMADVDTRSTSYMLESLLRDILANVEYPTVLLRNEDD ERKRDTFEAANCQLGVHYSSELYGAMVALYDHAQNLILADKQAKLVQVDGGGAINPPH DKSPCQEESHDIPPEGIIPVWDGLLPTLLTAATSADEIAKANFKALTPRKEASDDEGD EDEPDNNDESGDDDGDTSDVTPRAKKTVFQPSFRLAYEELRHLALFRPHSSDLLRDKL AANLAFLCSEIPVMGGIFQLDEDVPDVHDALCMAASAFIRQAVDASVESVFEAEKNLA IAHVQRKHVWIPDKLTFPSGLLPSPSSHVASTNVVLHVDLDVSHCFVLGPRQLNVAPP PNGDGGTITPVPSEWKWMDGIESFTPSKIAHVATILQTLIDTYTSRFEAAASSARNPT TTIQVLLISNMTTPRRGKAKKKSPPLLSPVTPVPSMAHVAHKLETVCGQLVHFEPTVE AMMALSRPSNHALDKGDPGTTLPLDESALSTDVPKEGSPRKPSLVFQFHLAEALPLLH HPPPPELPVEAAPPVDDKPAKDDGKKDKKKTAGPKKDKDDDGSPKGVVHATTAVVSAR RASNLHALSYESSEARRHAVWTGTYADAVSDALSGWADVVVSDTFGSEDEWATVGALR PGRQLLGPRLGDECARVGRFMQPHQDLVLRHHSDGGSCRVVLGGRTFSDKLWLLDGIM DVADEVYFCGGVALSICRYFHLPKEARATVAGVLGFEVEPAMSHRVMEMLRSKAARNL VKLYVPFDWCVGDSPLDGGGGDDDEGGRGSPSTSAPAAASSAEAFGDDGGGDNGDDDD DDDDECDEEGDEDDTTKKSKIKAVAMATVVAVEPLPTSDPASVETYDGIVMHVAYAGA DAVADWLCVDDLTPGCLSRFLCRTKSDGMTLPTPHEWVHRAFDTGPLSMQALVARVAP TRRLVVAGLPGVVEYAEFQSSARSLAALVGRQQEDACPPLVVGSKTQEWMQRLASDGF RASRNASVLKYLVAGQPHPAVLAISSIHETHDVSVAATESQISGPKETSETAAEE H257_06665 MQANKSGRSIASSSPTRDRIEKEPGTPSPKKKKVFTVARSVPST PTLDLSGANDSLLPLGKPSMSKGEVKMVLNKQIRRDNNGKIMTHAALGTQDDVEQFDE YLQSVATTSNSALKSPGGKNPSLRSLKRVASRFMTKGQSTRNMTASDTIGTTTLPRMS LDSPHLPALPPESQATQPPNVGSSPGNNSKQALKEYKKMLRAMPIHERISMEREKNVL LIWETRNREWEKFRAKMSKKLNKPETDLVMVKASEYRQQMEEYDLINKATPQEEKHGN DYWSVSLRDEGTRFVPVGNVFSGLFCPVREDKNPITETIRRPMENRQDKAKPNERHQG KFNEALLARKRQLRRNIQHIQPHHIDSDHCDGLKVESTELFEWAARSSQQHYDHLLQD EQAQQSTERERRADDTRPSGALSSRSNHDVPTNYRDGPCFQFVHPTTGDAVDDLLMHV SFHAKIGAVHTQDVLVRNPGPLAMHFTWSQYPLSHRDFAVDTGRPRTFVSQLTGVLAP HMTSRFTFGFSSATPGLFLEMWKLTIDPYLAEHNNDPRYAVERTIHMSCAAVDHRAPF KARKAIMADVDTRSTSYMLESLLRDILANVEYPTVLLRNEDDERKRDTFEAANCQLGV HYSSELYGAMVALYDHAQNLILADKQAKLVQVDGGGAINPPHDKSPCQEESHDIPPEG IIPVWDGLLPTLLTAATSADEIAKANFKALTPRKEASDDEGDEDEPDNNDESGDDDGD TSDVTPRAKKTVFQPSFRLAYEELRHLALFRPHSSDLLRDKLAANLAFLCSEIPVMGG IFQLDEDVPDVHDALCMAASAFIRQAVDASVESVFEAEKNLAIAHVQRKHVWIPDKLT FPSGLLPSPSSHVASTNVVLHVDLDVSHCFVLGPRQLNVAPPPNGDGGTITPVPSEWK WMDGIESFTPSKIAHVATILQTLIDTYTSRFEAAASSARNPTTTIQVLLISNMTTPRR GKAKKKSPPLLSPVTPVPSMAHVAHKLETVCGQLVHFEPTVEAMMALSRPSNHALDKG DPGTTLPLDESALSTDVPKEGSPRKPSLVFQFHLAEALPLLHHPPPPELPVEAAPPVD DKPAKDDGKKDKKKTAGPKKDKDDDGSPKGVVHATTAVVSARRASNLHALSYESSEAR RHAVWTGTYADAVSDALSGWADVVVSDTFGSEDEWATVGALRPGRQLLGPRLGDECAR VGRFMQPHQDLVLRHHSDGGSCRVVLGGRTFSDKLWLLDGIMDVADEVYFCGGVALSI CRYFHLPKEARATVAGVLGFEVEPAMSHRVMEMLRSKAARNLVKLYVPFDWCVGDSPL DGGGGDDDEGGRGSPSTSAPAAASSAEAFGDDGGGDNGDDDDDDDDECDEEGDEDDTT KKSKIKAVAMATVVAVEPLPTSDPASVETYDGIVMHVAYAGADAVADWLCVDDLTPGC LSRFLCRTKSDGMTLPTPHEWVHRAFDTGPLSMQALVARVAPTRRLVVAGLPGVVEYA EFQSSARSLAALVGRQQEDACPPLVVGSKTQEWMQRLASDGFRASRNASVLKYLVAGQ PHPAVLAISSIHETHDVSVAATESQISGPKETSETAAEE H257_06666 MKNLFSFGTPAELAINFTGEDSRRKEGDLLIYAPTDDISGTLRL TAKKLDHGGIKVELIGVIQTTVDKSSQFEFTASVRALLQSDTLDGTEELPFAFVNVDK PHESYYGKTVKLRYFVRATVLRSYAPNLTKEQDFLVHSPEVTPELNSTIKMEVGIEDC LHIEFEYNKSKYHLDDVVVGKVYFLLVRIKIKHMELAIVRREASGSGLDKLTDTETVT KFEIMDGAPVKGESIPVRLFLGGVGLTPTFKNVNNKFSVKYFLNLVLVDEEDRRYFKQ QEITLWRKQV H257_06666 MKNLFSFGTPAELAINFTGEDSRRKEGDLLIYAPTDDISGTLRL TAKKLDHGGIKVELIGVIQTTVDKSSQFEFTASVRALLQSDTLDGTEVHPAFVRRIVL TVVQELPFAFVNVDKPHESYYGKTVKLRYFVRATVLRSYAPNLTKEQDFLVHSPEVTP ELNSTIKMEVGIEDCLHIEFEYNKSKYHLDDVVVGKVYFLLVRIKIKHMELAIVRREA SGSGLDKLTDTETVTKFEIMDGAPVKGESIPVRLFLGGVGLTPTFKNVNNKFSVKYFL NLVLVDEEDRRYFKQQEITLWRKQV H257_06667 MAKFCRECGAPLHGRRFCSQCGVAAVASSNDIPTVVASSSYHAP PAQLPPPSPKSNKKRTGSVEVPEVAVGDEATSIYMRVVATVRGTHFGEHADKTVKAFK KDCKQYGAGTISAPAFEANLRVYLGDFMMDTVMPQLVRLIPDDDKRAELMAVYHDHAK SKAPKSRPSTIASTSERLSSNAPIDSRPSWSPADVPPTERSASTGSIKSSFKWSGPSI YGKNAACQVCSTPFDMRNRRHHCRKCGKAACQSCSPAHMLIPPGHQHEDAKGYDVAVP QRVCTLCAPILQPLQSRLETTYANCHKDTQPSSPTSRGWFKSLPMRQTIADECDAARD ILRQFFVTSPVEKRIPAAFLERAQGLAFLTVVKAGLLVTAKMGSGIVVTRLADNSWSA PSAIGTAGLGGGLEGGGELVQILLILSSAQAVSVFFQTQITLGAGLDMTVGPYGRSAV AQAAMSKGSGLGVNYSYSHSRGLFAGISLHGAVINCRADANRAFYGRHVTPSEILTGV VPPPRAAQGLYEAIGDAMQFCEDYREEAQKPRVASCMSPGCPCEKFRARSYSPMCGNC GHSHQM H257_06668 MRVVDKLEWPEPVSIDLLAEFRWLVGDAPQHGPSAATVSLNAKV GTLKETSPYTQLFAEAHRAKLVLTSAQIADRKVRRVADAMEMHVQNPTNNYNRPLPHK PRRRTSKPVEAPTRTPFLEDIDFKSWRDEAQACLAVPVIAPIIAEPEIHSTDLYERSD TPHEMAVPTVSKRPSTVTADTSAHVSTSSNVVADVSPPLSTLEATTKDEQEFHPEFPQ DPWVTAQLDPVELTQDVLLDPDDGPSSFPIANQTPREGLAKYSVEMQRRIRGTIYAHA GTDMWTDQTSSLLAAEMSADIIFGDGTEGNATPFDTGAAVLPDPVQLEADWIQRTAAV IRDAAQTELADGHVQAAVATLETGVHKLLQDDNVDKGSSSWGFNYSMAVHAHATTIQK AYRRRFGRMNNAIINITRLYRGFHIRRRLAKRLWLTNESAACIQRWWHACNLHKEAMR LRIQTAMRVKLARKEVHARRQRKMLVRNLALFVKAWLVGYHSVKQRRLRLGHAQFCAQ TKDRWIRGYVARYRVRQLKRRLELDEAARSSRERAYTVTNVAVKMADFQRQLISASKS SVLGARRTRLTLQLKATASQRSDARKHLPPEAFRRAQVRDVFDAYDVDGSGTIDATEL KSLFDELGIAIDRRELHDALDAMDTDHNGVVDFDEFIHWLHSPPAQQGHRVRRLNRPE LVYLKMKLNMKHLLNRFTDAFYSQHATRLLVHDESVRQASAVVLAFRALEPPAYACLY CGMAFALYKSHWTHQRTCDKNVTRQFPRDGSPEDDEVLRKALEDEAVAAAVAVGVEHV HAYVATPSGRRVLRREMQRVKRLTPQRANAATKMDSMRLHYLFHSYDLDESGYLDRRE FAEIARDLGEVTTPSTFDALDMTGTGKLSFAIFEKWATSLNMTTATGPMAAVRQFKRL WTRLVYGNKAQRRAEALRYVVAREKKFIETKTRREFRKVFPPRYQCSDCQAGTTTSFS IC H257_06669 MGFSLQVAFPRCHVMFGFFREASSERLLRQSGRLADERGHASIR HQKGNLQSTTGLRSRYYGRCVWGYPPCQMQRPRTDSSRADVVFVSSARGAQSAPLPAA LAASRQSWRNTPLIWALLCVDDSCQIEWRRRRDQVTPRLTLWSKQAVLGFQKRALWGT TSQKHPD H257_06670 MAPLASSPIASYIERTPLRYSTNSDDSFCDAQSNNFNSVEALMK GMLFKRGQGGLFHRKTWKLRHVVLTSSTLRYYELDVRKGELDLRDCSPKSIQVLPRDA TYTGTNGTLWRFAVQTPKRRMLFSAASEMEMNVWVRHLHLALAIQRSDFTTIQRLATL QSRNSARVPSSPRPRNSGIGGTPPTLSPRGALPDGSHAVVLRAYRKRTNEMEAFVQAV VSKSCELSDM H257_06671 MASTNLWQSLLRDSSVRAPIPSTQVLLLGGTEVGKSSLLQRWQG AKNPNERVQTLAVLPSDFTSFHVPSEEDPACQLNVWSVNTDGISSELSFLSLAIDAQK LRHTVALVAIDGSKPWTVKATLEKWLSTLHTVVQDKLAALPENERSDLLDANVKHFQS YVEPGQPSTPSLVKDDDLAKSLPDGVLTHNAGIPVVVVVCKSDAMPEDTVKADFIQYT IRHLALAYGAAVCFTSSKTGANLDVLKDYVMHRSHPNAFKCAQPPKLVDRSAIFVPSG YDSTSLIEQSLVGSQPRWQKTTTFDKFIPAPVEKSDESALLHPEIRVDPNQVWLRKLE KAAGAGLADLQRSSVEASRRTEELAAARRADADTRKGQEKVDVKTKDTKDVNPKHLAN FFNNLLSRPDKQKGARLGSIADKSKAAKDVKELAEEEMKKM H257_06671 MASTNLWQSLLRDSSVRAPIPSTQVLLLGGTEVGKSSLLQRWQG AKNPNERVQTLAVLPSDFTSFHVPSEEDPACQLNVWSVNTDGISSELSFLSLAIDAQK LRHTVALVAIDGSKPWTVKATLEKWLSTLHTVVQDKLAALPENERSDLLDANVKHFQS YVEPGQPSTPSLVKDDDLAKSLPDGVLTHNAGIPVVVVVCKSDAMPEDTVKADFIQYT IRHLALAYGAAVCFTSSKTGANLDVLKDYVMHRSHPNAFKCAQPPKLVDRSAIFVPSG YDSTSLIEQSLVGSQPRWQKTTTFDKFIPAPVEKSDESALLHPEIRVDPNQVWLRKLE KAAGAGLADLQRSSVEASRRTEELAAARRADADTRKGEKVDVKTKDTKDVNPKHLANF FNNLLSRPDKQKGARLGSIADKSKAAKDVKELAEEEMKKM H257_06671 MASTNLWQSLLRDSSVRAPIPSTQVLLLGGTEVGKSSLLQRWQG AKNPNERVQTLAVLPSDFTSFHVPSEEDPACQLNVWSVNTDGISSELSFLSLAIDAQK LRHTVALVAIDGSKPWTVKATLEKWLSTLHTVVQDKLAALPENERSDLLDANVKHFQS YVEPGQPSTPSLVKDDDLAKSLPDGVLTHNAGIPVVVVAMPEDTVKADFIQYTIRHLA LAYGAAVCFTSSKTGANLDVLKDYVMHRSHPNAFKCAQPPKLVDRSAIFVPSGYDSTS LIEQSLVGSQPRWQKTTTFDKFIPAPVEKSDESALLHPEIRVDPNQVWLRKLEKAAGA GLADLQRSSVEASRRTEELAAARRADADTRKGEKVDVKTKDTKDVNPKHLANFFNNLL SRPDKQKGARLGSIADKSKAAKDVKELAEEEMKKM H257_06671 MASTNLWQSLLRDSSVRAPIPSTQVLLLGGTEVGKSSLLQRWQG AKNPNERVQTLAVLPSDFTSFHVPSEEDPACQLNVWSVNTDGISSELSFLSLAIDAQK LRHTVALVAIDGSKPWTVKATLEKWLSTLHTVVQDKLAALPENERSDLLDANVKHFQS YVEPGQPSTPSLVKDDDLAKSLPDGVLTHNAGIPVVVVVCKSDAMPEDTVKADFIQYT IRHLALAYGAAVCFTSSKTGANLDVLKDYVMHRSHPNAFKCAQPPKLVDRSAIFVPSG YDSTSLIEQSLVGSQPRWQKTTTFDKFIPAPVEKSDESALLHPEIRVDPNQVWLRKLE KAAGAGLADLQRSSVEASRRTEELAAARRADADTRKGVLNISIKYVTTSWC H257_06671 MASTNLWQSLLRDSSVRAPIPSTQVLLLGGTEVGKSSLLQRWQG AKNPNERVQTLAVLPSDFTSFHVPSEEDPACQLNVWSVNTDGISSELSFLSLAIDAQK LRHTVALVAIDGSKPWTVKATLEKWLSTLHTVVQDKLAALPENERSDLLDANVKHFQS YVEPGQPSTPSLVKDDDLAKSLPDGVLTHNAGIPVVVVAMPEDTVKADFIQYTIRHLA LAYGAAVCFTSSKTGANLDVLKDYVMHRSHPNAFKCAQPPKLVDRSAIFVPSGYDSTS LIEQSLVGSQPRWQKTTTFDKFIPAPVEKSDESALLHPEIRVDPNQVWLRKLEKAAGA GLADLQRSSVEASRRTEELAAARRADADTRKGVLNISIKYVTTSWC H257_06672 MHRISPSEKLFITHGIAQDVRADGRSRLSRRVLRVESGLLSQSH GSSRVSLKVGSTDVLASVKVEVAHGNHENGWVELSVQCAPSVSEKVEGRAQEEMNVEL TERMKRLVVVPLAKDDLVIVPNKSVWVVYVDVMVFDSSGNLPDVISMAIYAALRDTLL PSIKLSGDKDDEEHVIQVDSDPASGRRLTLDDWPVSLTLSKVDKWFVMDATLEEEVCM SAQISVAIDRHGHVCGMQKNGVGALDLKEMQAMVDVASKSSPDVFNAMAGVFAQQDAL DVTRGHVAERCGFLA H257_06673 MDSDSESDSGNDSDIIDAAIQVVFGPALARDLFRRRFRMSRDLF LLVMDVVAARNSYFIQRKDALGIESDDAMDSCHFGASFNMSQQ H257_06674 MKKAPRAQYGVVFPRGIPASTMELVEGKLKSSKLAVVSETVSKG KAFLDEHNGRVLTVIASRDLLELEAESQSLLKSIVEPDRQVKSPSALDVIGTQFRRKE PFEVLGAAEKFLHYHDLDSPDTFFSSSEELHLLHTYIERVLREDPVDSKEPPLEQHHA TFHLIPLHDHATKMHLWNTFKWSIFPSNLTLANAMEAYFGAKVALYFAWLHFFTVFLA GPAVVGGALALYESVFVADASGDSMIAPFFTLLMVVWSACFVQFWARRSATLVCGWGV VESTSFSRRPEFQGLVHVNVFSGERGVTYPYYRRLYKYAVSASMTGGMLVAAFCLMVV SLNFQGYIHDDSVLGSYLHLPWVHQFSLPGAVFDQQGGGPYPWLLPYIPTITHASCIM FLNLKYRAVAGMLTDWENHKTVDAYEDALVLKRFLFEAFDCYIALFYLAFCQLDVVLL QKELVSLYTLDTIRRVGIETILPLVLRWYNDRVDSSMKKGDSNKPNGDDENVSAATLQ LVEQVTGFDEYEPFDDYMEKVIEFGYIVLFSSCFPLAALLSVASNLVELKADQFKLIF VHQRPRVHRVGSVGIWQTIVTGLVWLSVLTNVFLFGFTTEQMMIWLPSYFAVQHVDDA IFGGVDHIHVAAKGRETAVLGLVFFLEHVAFFVVQSIFSFIPTVPDSVAEENARRQRV LLRKKNKHLIQAPSATLQTVA H257_06682 MKVVLALATLPYVTGVRDFNAEAKVLVDAMSIDEVIGQMTQVNI AYVIEDQNEKKVVDPSKVADLVNHRIGSYLNSPFATSTSDNSSGWNVAEWRSAISQIQ TKHITETDHPIIYGVDSVHGATYVQNAVLFPHQINVGATFDPAFASQLGEFAGRDTRA AGIHWIFGPTLEVARHKGWPRIMETFGEDPTVVADMGRAVVQSIQKRNVAACFKHYIG YSGSQSGKDREPVSLTKHELLNIFMPPFKAVIDAGVMTGMDSFIALNGVPTSANRQTS IDLLRTDLKFDGFLVSDWEEIYMMQYFHSYSDSREDSVFKAMSNSSLDMSMVPNDASF VPIMKELHSSGKVSEDRIRTSAQRIVKVKLQLNLYDDPVPGADLANLVGDKISQDAAL ETAKASLVLVKNTNDVLPLDPAKSFFFTGPSIDDIGLLCGGWTIRWQGVQGTSNFPAY GKTIQAAMSAVVGDDSRAQFYQGVDITGNSQSWDIDVAKEKANAADYTVIALGERSYA ELLGNTDPYELPKGLTDYVEALSNTSTKIILVLVEGRPRLLSGIAELAAAVLYAGLPC ELGGEAISSVLFGSTNPSGKLPLTYPKSADQLNLATPYYGRIGDDCVMGEVSTSCPVE WHFGHGLSYTSFSYSDVQLSATNLTPSSSQTTVTVTVKNEGKVTGKESVLLFVSAPGG PETRLLKKYTKVELTPGQATDVTFTLSPDDFGKYVNEIGSGLLKEATAGTYYVSLKYD THCNADTLGPLCKSFTWNSTPPAPIQDLIPGTPPAPIQDLIPGTPPAPIQDHIPEGCG IL H257_06683 MDGAKYHKRNTVYVPTQQKRKADIQEWLRDKGIAFDARMVKAEL LELVARNRGKPAYAAQLIASKYGHTLYFTPPYHPELQPIELVWGMVKNRVALCPSKNV AELEERLWSLFGEVKSHHWVSSYRKAQAYEDRYEALDEDVVLVSSGGEEDSNDGSSIG SD H257_06684 MYTPLFWVFPTSKLVYQEIEATKAANGQLGPTREDYGATPTAIS EDISTIRDARDKAHLKRNGAARIAECASWITVHARDIQWNPKKLDRMTQLMEPVETYR KDSIRSVNKRNAS H257_06685 MGRAKRKHDEDNSEAVAGDRVVALRLVNDTTTQYAYIIERFKRW LPSEHPEFVVNSVIQLPLSTKVCQTYLDFASVKRDATGKELVAKCFNSFSTIGTCKSA IKYLYKEANIQMHDELEARLKDFANGYKRHIAQLREDGEMPIGEGKLPMTVDGIYILH E H257_06686 MSCDEESSAASDNEDGDEEGGQLHQAVSRACTLWQANDRGDGRW ILVRPKHTSATLLPHVRVVQHSPWASGNQFCPAIQLSTLRAFDV H257_06687 MQPYISSASPLPIVYMDESYIHHHYKAHQDSLYDTSDVLDKISN EKHKGQRYCFITGILDSLTMTSCVVGLDIFTGGKSRVKEPKDYHGMFNDDYFVGWFSK LLDELDSLGVVNALIVMDNAKYHKGHPKDTPTSRLCKK H257_06688 MKVVLALATLPYVTGVRDFNAEAKVLVDAMSIDEVIGQMTQVNI AYVIEDQNEKKVVDPSKVADLVNHRIGSYLNSPFATSTSDNSSGWNVTEWRSAISQIQ TKHITETGHPIIYGVDSVHGATYVQNAVLFPHQINVGATFDPAFASQLGEFAGRDTRA AGIHWIFGPTLEVARHKGWPRIMETFGEDPTVVADMGRAVVQSIQKRNVAACFKHYIG YSGSQSGKDREPVSLTKHELLNIFMPPFKAVIDAGVMTGMDSFIALNGVPTSANRQTS IDLLRTDLKFDGFLVSDWEEIYMMQYFHSYSDSREDSVFKAMSNSSLDMSMVPNDASF IPIMKELHSSGKVSEDRIRTSAQRIVKVKLQLNLYDDPVPGADLANLVGDKISQDAAL ETAKASLVLVKNTNDVLPLDPAKSFFFTGPSIDDIGLLCGGWTIRWQGVQGTSNFPAY GKTIQAAMSAVVGDDSRAQFYQGVDITGNSQSWDIDVAKEKANAADYTVIALGERSYA ELLGNTDPYELPKGLTDYVEALSNTSTKIILVLVEGRPRLLSGIAELAAAVLYAGLPC ELGGEAISSVLFGSTNPSGKLPLTYPKSADQLNLATPYYGRIGDDCVMGEVSTSCPVE WHFGHGLSYTSFSYSDVQLSATNLTPSSSQTTVTVTVKNEGKVTGKESVLLFVSAPGG PETSHGRDFYALSG H257_06689 MDILWLQATLRYTNTEDVAGVVSQLLGRARKTVKGVLAEYLATH NLSVVQPPSNTTKHHSRVPDTHAVRALVQRFIRDRCVTRTRTVAKDVLSYLVENHVMA VDDRKVKDYAACLRAVQVFLANQGYACGKRTSTTAYRMSKAHEEARDAYVGTMVPTVT MPLVVRLYAPTDDVTTKPKHKGRRYCFIAGILDEGSDVSHLLGLDIFVGGKKNSKKAA GRSRGVGVVFGRVCHGQCQVPQGEAKVNSQGNVEEERPVYQACVDNTLTDVAPTDLKP AIWKTLKKNLDEHVLPVVVAMAQARGHHVVYAAPGFSELQPIEMVWSNVKETHLERLC RFKYPLPDSPAPMCYVQRNNILTHWNRHHGVGEDVDSDWNFLTEEQYIDFCEKANGDL RTIVKGRVQDSDDGNTENQGIEEDETADTAQPSPPPAPTAASKKPTRKRPRRN H257_06690 MSRKASQRGDEPVVDDERVLILTVNNNGTSGAIKRSKLDRHPVA VSGKTAAKWSDDSVTCMFRLRFVQLAHKFENVKNNQMRRDAYELLAAELSVEVDQVLS AEQVQNKDIHTRHSENCHTHYPEDSHTYHPEDSHTYHPEDRHGLHRSHNVGSHTQDTE YSHTHHQ H257_06693 MDGAAYLDDFACAASVQHQHPPSQGTDCDSQENGTGRSREGCGP TVHPREHDGDYERTEAERYHHEPDDESKDGDVDCLRCQDLARESTSNRGRDLFDLRDI DDGNERGVGECDFDSNIENRDPDDDSDRHRFKTFQDDTWGRRSNRGGDGNKRDRAECD VNSERGDDSDSDRGHVGDFEGGVCEEDRDFAVDSSGSSAEGSSVKCRSIGDAHVEMQS FDDFKYTYLSSSTSPHGPKPMYRCTSHTECPVRVRLAPHGTTVSVEVKGHHNLDAPVT SSRTKIHPRFLPEIDNLLLGGSGPLAVLTSLTLAYSKTADLGPDSKPAGHCHAKQCGD EVCIYLCCISVEDQNKTLVLDIFEHSFKDAAGLDQMSLGLIVTSRRVFYNVVASMDGQ GTDVASSADGTYKLHHGRWTLVGFGGRTTHVERQTAAHSFRPWAYMFVRSESEVSYVK MFEAVKKYARVFFGRDLQLRFGSIDRCGAILNAYRFVWPQVSVLTCWPHLVRLAEENK AKLSQPAFFDEVITHHLRWMHECRSARQHAIVSGVLLRAWSDMGEATYANWFRASYLH KDWSKWRIKKCAGRQKMAVTSTFLSSTVPALLTSEALAHEFTQSHVHAALHPIPGEVV LVAAQLLEDNTNYQPVWHPRADGRRLRGIVFNSSEYNVCFGNADALTVTTSRTKTYMK SLQGHIPHDEKAVNIKIKYLSMYFVEINESIDIEPCNESPLRTEQEIKLLAQKYRCQC KGYYQSGWYCSHALAQHQCLKATMTSTRRTLRSQCDEAVDVQGRVDTAWMLNDPTHGR RLRGIVFNSSEYNVCFGNADALTVTTSRTKTYMKSLQGHIPHDEKAVNIKIKYLSMYF VEINESIDIEPCNESPLRTEQEIKLLAQKYRCQCKGYYQSGWYCSHALAASVLQGDYD ITKANAQIPVRRSSGRPRKSRHCLDAERPDPFYSRKQLKKRLLAKPALCIKWNVVNSF EYADEVDGRTVNEHLAGKIMSWSNENGTYMWRVQFDDGDSIHYNIEDLSTMIEMSATL GVSIA H257_06694 MAGKLLTPVEVEKMFDTAIKTIESLYKGKEKATKPAMPTAASSA AKPSSSTAGSKATKATKKRCPLHPYSSLKSQKMLLILAKLVREDQAKTSDYTTMPALF KVPNNEPWPEALRGETVNIRGFRTAKVNGKIHPRIQKKLDAIKFVWDFEQHKWDLNLW GLRIYKTAYDADVSIPASFVVKDKDPAFPRDLWQFPLGQWVATIKANIRAVPEDKKRL LADAGVVWVE H257_06695 MEPGTNGGYTTGLASSEVPSPAKATRSRRKHDDEVDHRYTSRKR GRREKDSDGAPPPKYLDEPRDQYRSAVGDKIDPASNGNATAAAAVPVQPGTVLFLTHP GREDISSGKSLLELMRRSSRQKPKRRDDPRTEEAYFAVHDTVMVPQGLVDKIDVRLKD DVVAKIVVDHTNNWIKLSSFGHSREFMQNLTQVVQPRYPCNYPTLLDEVLVSFLFQRP EYAETLLKPLIQRLTHSNEPVTADKHPLTTAMRVHIGLLRRRGLGHGPTALSTRHQIT TLAIVKTLFEGNDGNLLLNPWILACLHDCTDELLHVVVAKLTNSITYLALIQPEPHNL EWLRMWQNESWDVPNADVQVEALLRLERHDKFGGGGVVGAFHAAVVREALIRFGYAPQ GDFHLFADAFQTFFQLATPTQSFPLLDDTVHKYPPPYPNDASYKHPVVALLGLFQQTM PRGGRWWFVGHLWPFLLERHVDTATLRLVFQEYVLGLVFGPEEDADRTRPLLLDMHAT VDFLDAFNSVTAATSAHVFDVWTQVWTDPSFDVPVPVVLTMICVAVGLQHGQWHTAAK RAVVNSFSDLAAAVGSQCFASVVAQSATTLGPLSWLLHAVLLGDLASSVAIPTCHQVL LHVADKPSWTRLVQRLLVVALVAVRDDVSCPASTPTFYDSTTSAMVPFPLRASSSNTN TRSPHPMVYRLQVLTALIQDIRHPPSALFVRRQLVSATCFESLLDLASSTRQDVALHA LRLLGELAPTSSHDIESSSPFGQDTVVHVLVRLVSLRQCQVAAAALALLDRLATVYDG FVSAVLLDQCAALDVPTIVQLTNVTKRRLRRSLEGSCWRDVVQFIDRQFLLGMAGSWQ DASAQHVALLMLRHLCHVDLRREWSRLICLGIRTLESSTEPLCLIQLDIVLWIVSHDQ RHQFRAEFEHANAHQQVERLLSLPQWHVPSILAMAHQALKGLDCYMAAREN H257_06695 MRVHIGLLRRRGLGHGPTALSTRHQITTLAIVKTLFEGNDGNLL LNPWILACLHDCTDELLHVVVAKLTNSITYLALIQPEPHNLEWLRMWQNESWDVPNAD VQVEALLRLERHDKFGGGGVVGAFHAAVVREALIRFGYAPQGDFHLFADAFQTFFQLA TPTQSFPLLDDTVHKYPPPYPNDASYKHPVVALLGLFQQTMPRGGRWWFVGHLWPFLL ERHVDTATLRLVFQEYVLGLVFGPEEDADRTRPLLLDMHATVDFLDAFNSVTAATSAH VFDVWTQVWTDPSFDVPVPVVLTMICVAVGLQHGQWHTAAKRAVVNSFSDLAAAVGSQ CFASVVAQSATTLGPLSWLLHAVLLGDLASSVAIPTCHQVLLHVADKPSWTRLVQRLL VVALVAVRDDVSCPASTPTFYDSTTSAMVPFPLRASSSNTNTRSPHPMVYRLQVLTAL IQDIRHPPSALFVRRQLVSATCFESLLDLASSTRQDVALHALRLLGELAPTSSHDIES SSPFGQDTVVHVLVRLVSLRQCQVAAAALALLDRLATVYDGFVSAVLLDQCAALDVPT IVQLTNVTKRRLRRSLEGSCWRDVVQFIDRQFLLGMAGSWQDASAQHVALLMLRHLCH VDLRREWSRLICLGIRTLESSTEPLCLIQLDIVLWIVSHDQRHQFRAEFEHANAHQQV ERLLSLPQWHVPSILAMAHQALKGLDCYMAAREN H257_06696 MPSIHPTFADAKKLHALHATDKDLLPIYLDLTADLDTPVSVYLK LRQQQTGDVKQSFLLESIFPGENIARYSLIGTDPLKTLLSGPNCAYKGDPLVQLEQEM KTFRVVHLPELDVPMTGGAVGYCSFDAVRHFEPTVGPFVDKQTDIFGIPESMYMLFNT IVVFDHVFHSLKVVTHVNIHGDFDAEYQAASDRILAIQGVLDAPLARSSSSAHVNDKT ALTEVDYEAISNVGRDKYMNFVTTLKTHIVDGDIFQAVPSHRLNIPLPATVTSFDLYR QMRVINPSPYMFYLDFADGLEVVGASPEMLVKVNHERIVETHPIAGTRRRGHTAADDA ALADELLADEKERAEHIMLVDLGRNDVGRVAVPGSVKVEALMKIEKYSHVMHIVSVVK GELRQDKSIYDAYRALFPAGTLTGAPKVKAMQLICGLEQERRGIYGGSVGYIGFNGVL DTAIAIRTIVVHNRRVYCQAGAGIVYDSDPAAEYHETIIKLGSAVRTVEKCVHHKESN A H257_06697 MRRQIPDWERKFQCPHPHCGKRFTRKFSMTEHIKTHTGDKPHEC TVPGCGKKFTTAGNLARHRKIHDGVFHDKIDASSPSSSTSSTSNRLMSRRSSESFPQL QARTPPGLNHSGSSTIDFHDSSLQSHIDAHHPTDPHSSMSFPAHLQKRRASLPASLLG AHVRYRPPHHQSILGNNNDSDLLFDDTTIQRAKMDAIRDFETGLDNNNPMDKYAPSPH NQASYGQSQWSMHQQHHQPLQAYASNASSNYMKPTRSQSLTHQQLHSQLHAMQQLPQQ PYSFKFMGHGSPQPTDVDLLLQEEEEDNQMKTSPVEVKGQPRQASPWPSNYNMPQRGT FPPEMNIPPPPLDFDTTFNDPFFDENAIIDMLFGGDGPQQQVEPTTTLFHQEQSTYGG RV H257_06698 MLARHPTLDEEFGAVQSPHKDVDFLLPPPLKEITPNMQQKTDAP ISLSLSLSDGQDLKDLLAIHLTMMTVLAPLPQWQGVALAKVDTWGPHQVLLQVEQLRH TDQVRLTLSSDPRTPRTRHVERSILYRILRNIQELLQPEEKVPLRPRRKNSIFDGDHE DVVLAALRRQHLEPGDLEEKEDNPSYVVPRTPVESVPEEFDAPPAATPPPPPVPVHTF SYHVPDDGLNNLDDLPTRKRSNTLRYHMNKDSLGGIAFEGYLSKKGDLLAAWKSCYCV LEGLTLAIYDSREDFMADVGLKVRVILLEVNDDVAKPHGFAIKTEGHKTQHLASRTAF EKEQWIRAIRLQLVKGNRSVADDYIAFGSQPMDVPVFYSLLSSLLREEISDFPMLCRN IHPDVLLTSNYPPIVPFWGQYRRYDGLLLFISALLETVDVESFEMADCVQLLPDTTPV DCTLAPVSPAMIPHTKRLVVTGKETLAIKQPTSSDGSSSTSGDALRRVTQLFVHELWL DYKDRLVRWHVNGDSVALSVAFDACDKGKGLRLILPGETSSIHQSIPPGTFYVQLLKA HSLGFVDAPDKNRGVYVRCVLDEGTHIERTLDGLTTKESGDVKLSTPSPATRLLRGIQ RVTGTSMERPFASFGDKSGCVTQIVYHTDAPEWNSNVRLAFPGCPRGGQYFLRIEVYQ SRFMKSDVLIGVCKVNLSPHMALLAGSAEAKATGALSRWYNLCDIYNDCKEWWAPPTV FRGKLQMSIVFAPHATSSSAANGTAMYAAADHDATERMREYFSTQDSQVKASNVHGLE VNMPSLFRSVSISDVDVRDNYVIMGKKSLFDIPKRYQVIKVLGSGSYGEVIAASDTHS GASVAIKKVPQAFRELLDTKRILRELCLLRQLKHPHVIRLWDVLRPTRCLEMEDIYLV TDLMETDLHRVIHSTQTLSDQHVGYFMLQMFRALKYLHSANIFHRDLKPSNILLTTQC ELKICDLGLARSLDVVAPVHANLTEYVVTRWYRAPEVLLDGSRYGASIDMWSAGCIMA EMLGRKPLFPGSSTVNQLGKIFNVLGTPSSAYIDRLLKPAAQRWVEKQTYRPALAFSE LYPQTNVLAIDLLAKLLTLDPQSRLTADQALAHPYITSLGLMYDPTLDLFDGTVDSSH ENVPEVKEDMQRAVFEQVCIFHPEAREAEKRLAAQDVPHVVSPTTGRLRRAVQ H257_06698 MLARHPTLDEEFGAVQSPHKDVDFLLPPPLKEITPNMQQKTDAP ISLSLSLSDGQDLKDLLAIHLTMMTVLAPLPQWQGVALAKVDTWGPHQVLLQVEQLRH TDQVRLTLSSDPRTPRTRHVERSILYRILRNIQELLQPEEKVPLRPRRKNSIFDGDHE DVVLAALRRQHLEPGDLEEKEDNPSYVVPRTPVESVPEEFDAPPAATPPPPPVPVHTF SYHVPDDGLNNLDDLPTRKRSNTLRYHMNKDSLGGIAFEGYLSKKGDLLAAWKSCYCV LEGLTLAIYDSREDFMADVGLKVRVILLEVNDDVAKPHGFAIKTEGHKTQHLASRTAF EKEQWIRAIRLQLVKGNRSVADDYIAFGSQPMDVPVFYSLLSSLLREEISDFPMLCRN IHPDVLLTSNYPPIVPFWGQYRRYDGLLLFISALLETVDVESFEMADCVQLLPDTTPV DCTLAPVSPAMIPHTKRLVVTGKETLAIKQPTSSDGSSSTSGDALRRVTQLFVHELWL DYKDRLVRWHVNGDSVALSVAFDACDKGKGLRLILPGETSSIHQSIPPGTFYVQLLKA HSLGFVDAPDKNRGVYVRCVLDEGTHIERTLDGLTTKESGDVKLSTPSPATRLLRGIQ RVTGTSMERPFASFGDKSGCVTQIVYHTDAPEWNSNVRLAFPGCPRGGQYFLRIEVYQ SRFMKSDVLIGVCKVNLSPHMALLAGSAEAKATGALSRWYNLCDIYNDCKEWWAPPTV FRGKLQMSIVFAPHATSSSAANGTAMYAAADHDATERMREYFSTQDSQVKASNVHGLE VNMPSLFRSVSISDVDVRDNYVIMGKKSLFDIPKRYQVIKVLGSGSYGEVIAASDTHS GASVAIKKVPQAFRELLDTKRILRELCLLRQLKHPHVIRLWDVLRPTRCLEMEDIYLV TDLMETDLHRVIHSTQTLSDQHVGYFMLQMFRALKYLHSANIFHRDLKPSNILLTTQC ELKICDLGLARSLDVVAPVHANLTEYVVTRWYRAPEVLLDGSRYGASIDMWSAGCIMV CTVVPLNMHQAPCRR H257_06699 MDLCHPIDDVRAILNPRGILHDSAPTLPQNSVSRNGDHHPAAQL HSDDVQATSGARAPAGYTRSSWAYTHVLEPLVACAMENACIAPPGKNPSNHRHGQTDD LNGSRRPSTTSKKSPGPTAKNERYTKVYCMSTPPQQSDDDITASLGVILDCESHVQMQ GLVLGLTQEDLEALARFPSHPHRAVHLLLAMVYLILHECAVQRDCLPPSMILLTWTFL RENLLRNCGALFLRLRRRLRNQSPVVPMITHVCVLYLRDPTFRIQALRKVSAIAAALA SWVLLCLQASLPCKLDGRDVVELHQVQHTPTRPAFRFALRLHGRCTLCSVRIASHEAV FQWCGPNSFHKSTVRRRLDAHFEALVDNTLPPFAFRSWVQNLLNASTSPTNNDGSDDG GVLQLPSVLRLYFVVVRPPNVVGVGLVVVDPTMSLRQCRRAIQDQYGWHMAIYYRGTR VSAAMETKLDARHVLPMLLLSPRQAKYRAIAPALALLHTISTASITLHSGHDTASSVT TLPHVTSCPMHRALLDSELATQLTRLFELWATHGHACVVDTCIAQMTSKDRSADRVES SDMIANLDGSATVVENSPKRKVLLSKPVPYAAELHELARKLHIFTEADALGDMHTAMK APRESTYRYLPLYVYASVELIEVSATTETYKCELVSSVAMAHKKTPRSVFTKGVILRL GAGRQVTVETSWDDDQRAFAVCKPAGGPVLPPMSNVWLVVESQHDKRPQWLHDLQAYI CHPTIEFDGSRDFYTLFRVRLPYFTPEHIVEGGLWSRDVDWRPIIAKTPCDALVDDKF HELCASYPSNFFIDSVKFSKFIRDCHVLPAKLSLGSLDGIFHRFAMLRFQMDLGGFRA AIALVVHHVTKKHMTNTPLLYFFLHYMILSPSMRGIWDQTMQTYRLEAKMAAMQALAR QICAATRLQASYRGHVTYAQFKHHWAMMRRRRQAATTIVSCFKMLYCKRQFQQLQREA VVERAMELARLERLQREEAERLFMLNCHVTLQVWVKHRLWKKRRVRLLCPEWIARKQR ICTRKRLRIARLACHVGGHLMTVTVFRSHIDQAKNSKMHVELYQASDSRTFSYDVHES TVQGIWAALADHHKLDPTQFRNRLDGLLRRLHVNTAMQRVKMHSSDDRCGRGRLLARV ACTVQDRIRGIAQVFLRHFHMDVLLYVPSDCRSTKWVLDIAFVQQVLQMAQPTLLPRP WTCCGIAFASDCHDVMTCRQRVAHVVECARNDFPFLPMLIKYVATYGSISMPPTTHFA PKHLEAVAQHLPSRPSYSIERRFEAATRLQAIWLGYRTRQYVAHLLQGNFAISYDRAA GHLWYQSRTTNHCFKSAPLGHILRVTYPPPSDTWLPQNDSQGRVYYFNPSRGLSSWFN LETATRKVQRVFRHRRHAAIGGLTMQHLARAVAFHHFNEDQTSKMSSSRLSPTDMERL ALHHHTITHDFNKAIALYDQLLQSHPRHEVVASTCLAMLLIATGRAPLKKNAARAVAL LQTARKLDGSLHNVVSLELACFRWAVLVTPNDAMAMAMYAVFAEEVLNDIDKAELLYR RALALDPGHAVTVDNYANLVKERTPHGRYAAAGPGRVAHQRAYVVDTVGQWQQLRDSQ TNTTFWHNHSTKQLQWDAPQT H257_06700 MNSKRRVATAMPQEKPQQQRVLERQARDQRLERLNVVPSGHTTP WNSNTNVQSTRVARPLVAPLVHTATGTPLRMSRRSRRLVSFDLGKSLPRLPQVQAEVV PLSTAFICTRGTPSIGLPTRGH H257_06701 MLVLGNLITGIIGTVGGFSLALAGWSPLSLGSRPLFYVTQSLSS FAMLYLIVYATIEFASPGAKEIWRIVIAACVGGAAAIIAAFLSALGCIVLIVCTGLIV GPWLLTLDTVAARLFYHESPFAKQEFVVGFALLFTLLFVSSSKTGDIECHRFKYIVFS AVTGGFIFADGLFRLAFDPSPSPTKALFGIMGFKTVVGDVLANFAEGIQSGDKLVMLA IWGGVTIVGLLNQLCMRWGLVCYNKVGAHVGMTSIHEETMDLPSGAAASAPFMHERTR LVCENCFATVPAGTAFCTECGEAMPGDEHVPSVSVSQAHPPSVAMGVDGTTPASWQQI PNRAYLSTTSFVDPKKITEHTPEGRSIRFMDQGEKPYTSSIGGRPNHYEPSFRSFAMS TYSIANRAAEPVDTPNIRKYKMSGGGAFHLTYFLSAITGVVWLVFLITFYPRETPCSI TQPSLPCALIEESIKTAHKCYTSLDPNSKDQVSNEFYCIRPTPGGVWFCYVIFVGSEY LNFFLGLLFNFSMWRPIRRGARYLNDFKPALPKEQWPTVDVFLCHYSEPVVDSMATLK NILAMQYPPELLHVWLCDDGYTKSVWDANNHFKVTVNTKNIEQCGDLRGDVARMMHER VVGPVSDDATLKAWRRQHSSVRELRKDGSKGIQRRDCAVGSLSDDYDYRDRGLPRVTF VGRMKPETAHAKAGNINNVLFNEGADGKYILILDNDMKPHPKFLLAVLPFFFSEGEAV DGGGRQYSDDISWNQVAYVQTPQYFEDTPQLTEMGDPCGHKNTIFFDAVQCGRDGFDS AAFAGTNAVFRRQAFDSIGGIQYGTQTEDAYTGNILHTAGWDSVYFRKDFEGDAKDRI RLCEGAVPETVAASLGQRKRWAMGAVQILLMKGISEVDPDWRPPRVPTPDPKPSLQFP RKLFFYDSVFYPFGSIPALCYVFIAIYYLNTGSAPIYTPGNQMLWTFLPLMLCRWMLN LLANRSVDSNDVWRAQQTWFSYSFITALAIGEAIRWRLTGVISAWANTGAGQKTSWTE IPNVLMYFTLVISQCVGLSRFFAYENATAPWNYISAMFFGFWIMSNLYPMAKMSITEY AGWDHTRATFTANVFGSLILVGVVVFVQVWQSSYMINQYTAQGIIATTVTATDTATAV GN H257_06702 MTIKVLGMLALAASSTSAVYWNQSAGYSIKVDFKGWSNFSPLNG GCVTCPFTCVRPSTGPDGLALNFSNASSIQNLPSVYSQPVANGCCYAKGKVMPSCNNQ ASPPEKEDCGFLYGPTLQWNITDTRNPPPTKTPIRAIMMASTDCKNFWAVQQTYVKQE GKTTGLKVTGSKQINGGCYGASTGAAIILAGCLTSDLKFDKSASYSWDKLAVKCRGLS GMCTRTNALWGRNLECCTDPLSIDAAQWDFKYKLYTTPTNVGIELSGPVITAIVFGGV ALMMMAVHFGSAIHRRAKQTALMREQEMTEDYEEVMTPLTAGDKAPATSNKSLARSVG PSHVEHSLHEGDQIYKKKDDEVLFEGDLY H257_06703 MEDDLQRFIHSVESSAKKILKDHTKRVHDEAARERVEKGRPKQP VEVKSISRDELKSKYGPSSLIIPAPKLKEGESTSTSMPQLKQSPRLTIQVHPIEAADH TTTTTSLFPNSGSLPSLATKRHKQDLRDRAASKLINSPLFKGLTKSSSSTTSFKAALA QLNAVDDLRILGLGGGKPGPANHRHEHSVERRMCNACWAEPVKHTACEHRYRTANPND MELQGASSWSIDDLHFKYRAEREREAAWVASTQLQQEAESSPVVPILERHPIYDKFFH TIDNDNTYVKNVSRAKNQTKQFVLDVNRVWLTNLDHFNHMTQDTHDEYLQPPAKRNCL RGRHDLGLIRQGYSQVQSVSMACALKNAKTTLDHHDPVAHTEGAAPSPPPNPQHRTKV KAPTDGIEVEGSPLSVLACGRIDFRGQVPGTVVLATYPGLWWCVSPSSLTRPTAMYLR ESKLSSTNSILVHVLLALDSPVLAPTWFAWVPGTVCAPFEKEIATSFYYIPPTCGMVL TLPSVVSPLDEYLPSTICIANGCDQAVPDMPEFNDRNFRQWIRWVTVPPNFDFDAQAY GIVQGYPNQTGLNGRFSWHSDEVVDSHTLIRPRLEADYAVLATNRVVRGGNDPNMYTM NMRHESIDKITTSGLRAFLAAQEKIHEEERLKQQLLEIETKLQAGRLSLDAKRAEKRR LEAVALVRTEQRAKQLEGLQAMPESTVAEWAHRVAHSRLVRDWNGWEERELNESKVLF YHHSNPTLTVASQWTPPPEWPPDDDSAAPLPPPSATGDAFDDDDDDDTSTDAAELESA RIDNSIQSIAKSLADNETFVDLLREKLGLKSRKASTSRRHSSLKNAPLSPTKSHGDSS DDDDQAPSDDEDTIAGRVLRMLAQDESTQDTNTASVKRLTRLQILKEAPQVHPITLGE GWKRLKPTRLPKTFAKKVYTTTIAGPTASFINQTNLPLILGLLDPSKNATYVQPEAVP DFRHHIIPNLDSEVTAMKKVLAATAKKGRRSTILQVFQDTTADEDEVPPEDEVTEAER IAKAVLYTRNNNIKELENMLDQGVNINARDENGNTLFILACQQGNKNMCKFLMRRRCE LDSQNFRGNTGLHYCYEYKWTDLATYLKDKGAKDDIPNAEGLMCYEGISSDNLQKL H257_06703 MEDDLQRFIHSVESSAKKILKDHTKRVHDEAARERVEKGRPKQP VEVKSISRDELKSKYGPSSLIIPAPKLKEGESTSTSMPQLKQSPRLTIQVHPIEAADH TTTTTSLFPNSGSLPSLATKRHKQDLRDRAASKLINSPLFKGLTKSSSSTTSFKAALA QLNAVDDLRILGLGGGKPGPANHRHEHSVERRMCNACWAEPVKHTACEHRYRTANPND MELQGASSWSIDDLHFKYRAEREREAAWVASTQLQQEAESSPVVPILERHPIYDKFFH TIDNDNTYVKNVSRAKNQTKQFVLDVNRVWLTNLDHFNHMTQDTHDEYLQPPAKRNCL RGRHDLGLIRQGYSQVQSVSMACALKNAKTTLDHHDPVAHTEGAAPSPPPNPQHRTKV KAPTDGIEVEGSPLSVLACGRIDFRGQVPGTVVLATYPGLWWCVSPSSLTRPTAMYLR ESKLSSTNSILVHVLLALDSPVLAPTWFAWVPGTVCAPFEKEIATSFYYIPPTCGMVL TLPSVVSPLDEYLPSTICIANGCDQAVPDMPEFNDRNFRQWIRWVTVPPNFDFDAQAY GIVQGYPNQTGLNGRFSWHSDEVVDSHTLIRPRLEADYAVLATNRVVRGGNDPNMYTM NMRHESIDKITTSGLRAFLAAQEKIHEEERLKQQLLEIETKLQAGRLSLDAKRAEKRR LEAVALVRTEQRAKQLEGLQAMPESTVAEWAHRVAHSRLVRDWNGWEERELNESKVLF YHHSNPTLTVASQWTPPPEWPPDDDSAAPLPPPSATGDAFDDDDDDDTSTDAAELESA RIDNSIQSIAKSLADNETFVDLLREKLGLKSRKASTSRRHSSLKNAPLSPTKSHGDSS DDDDQAPSDDEDTIAGRVLRMLAQDESTQDTNTASVKRLTRLQILKEAPQVHPITLGE GWKRLKPTRLPKTFAKKVYTTTIAGPTASFINQTNLPLILGLLDPSKNATYVQPEAVP DFRHHIIPNLDSEVTAMKKVLAATAKKGRRSTILQVFQDTTADEDEVPPEDEVTEAER IAKAVLYTRNNNIKEVIGDSSFENDRRCNDGGGVARSWKTCSTKVSTSTPATRTATRC SSWRASKATRTCANS H257_06704 MVTLGAAVAGAVGVSGGFALSLGGWSSMSLGAKSLFISVQLLAS FGMGFIASYMIMDNLASVAEVLRIGIAAGVGGGLALLIVFATSLGPYVLIVLSGAILA PWLLVLDRYTGKVFLGQPSFAKQEFLVAFVIIYFLLFVSSSKSVDVETHRFKYLLMSA IIGGWLFSDAVFRLAFPTHVPLSNLLFGIIGVSQKPDLPDLSTDNKMLMFVIWGGVSL VGMINQLAMRWGLFCYNKVGGHLPLNGVLQEDLPELPVGAAASAAVVNNRIVCENCFA TVPPATAFCTECGEAIATNGQGDPNVSISTSQMPSVAVGADGKTPERWTEVPNRAFMA STSFVDPKKMTEKTTEARSIRFMDQGEGGGAGAGGKNPYESSFRSFAMSTFSIAGRAA EPVQTPNIRKYKMSGGGFFHIIYFLSAITGVVWLVFLITFYPRESPCNQTQPHLGCSL IEDSIKLMNGCYPSTEEKNVDLATNEPYCIKPTPGGVWFCYIIFVGSEYLNFFLGLLF NFSMWRPVRRGARYLNDFKPALPKEQWPNVDIFLCHYSEPVVDTMKTLKNCLAMQYPP ELLRIWLCDDGYTKSVWDANNHFKVTVNTKNIQFCGDLRGDVARLMHERVVGPVSDDN ALKTWRRQHSSVREIKGSGDKGIQRRDCAVGSLSDDYDYRDRGLPRVTFVGRMKPETH HAKAGNINNILFNEGAEGKYILILDNDMKPHPKFLLAVLPFFFSEGEAVDGGGRQYSD DISWNQVAYVQTPQYFEDTPQLTEMGDPCGHKNTIFFDAVQCGRDGFDSAAFAGTNAV FRRQAFDSIGGIQYGTQTEDAYTGNILHTAGWDSVYFRKDFEGDAKDRIRLCEGAIPE TVAASLGQRKRWAMGAVQILLMKGDSEVDPDWRPPRVAAPDPKPALNFPRKLFFYDSV FYPFGSIPALCYVFIAIYYLNTGDAPIYTQGNLLLYTFLPLMLSRWMLNLLANRSVDS NDVWRAQQTWFSYSFITALAIGEAIRWRLTGVISAWANTGAGQKTSWTEIPNVLMYFT LIVSEFVALIRFFQYENATSPWNYVSAMFFGFWVMSNLYPMVKMSISTYAGWDHTSAT FTANVFGSLLLVGIVVFVQYWQMYFEVNMLHATGQVAAGTGV H257_06705 MPGDTAMTDAVLADGTPAEMAFGMYKEYKISSYVMLFMLLVLIS IGVSHVLERKWKLTFITSSGATILIGLVAGALCSLDQSDIVARWLVGFDPNVFFVGLL PPIIFNSGYTMKRRHFFANLSSILLFAILGTFASSVVVGGLLYFLGSMGLSLQMPLAE CLAFGALISSTDPVSTLAVFQQLNVDPKLFYIIFGESVLNDAISIVLFNTFSKFIGVN VIHAHAISYAVLDFVGVCVGSIFVGVFFGCVSSLALKHLKHLTLMHEVSLFLLFAYMP FLVAEVLELSGIVSVLFAGMLMKHYTSNNLSPTAQDIASNVFHVVAFVCELVVFLNLG LSFFTMERGLSVPFMLWAILACLVARAVHVYPLAMLRNACLRRGRSHSQSRLSRNEQH MIWFSGLRGAIAFSLSLSFPLPFREQVRAATMVVVVVTVLVMGGLTVKLLNVLDIKRV PKEAEGDEAVLQASTKRHVLLKWDAKYFLPWLTTLPMYDEVDLQSIHTAGSSPNSVVD EVLTTAGPNGFEIVSVDTNATDNRHVVTDTAAGAVLT H257_06705 MPGDTAMTDAVLADGTPAEMAFGMYKEYKISSYVMLFMLLVLIS IGVSHVLERKWKLTFITSSGATILIGLVAGALCSLDQSDIVARWLVGFDPNVFFVGLL PPIIFNSGYTMKRRHFFANLSSILLFAILGTFASSVVVGGLLYFLGSMGLSLQMPLAE CLAFGALISSTDPVSTLAVFQQLNVDPKLFYIIFGESVLNDAISIVLFNTFSKFIGVN VIHAHAISYAVLDFVGVCVGSIFVGVFFGCVSSLALKHLKHLTLMHEVSLFLLFAYMP FLVAEVLELSGIVSVLFAGMLMKHYTSNNLSPTAQDIASNVFHVVAFVCELVVFLNLG LSFFTMERGLSVPFMLWAILACLVARAVHVYPLAMLRNACLRRGRSHSQSRLSRNEQH MIWFSGLRGAIAFSLSLSFPLPFREQVRAATMVVVVVTVLVMGGLTVKLLNVLDIKRV PKEAEGDEAVLQASTKRHVLLKWDAKYFLPWLTTLPMDLQSIHTAGSSPNSVVDEVLT TAGPNGFEIVSVDTNATDNRHVVTDTAAGAVLT H257_06706 MDDNVRGGDADATSTERVLKRKVKNSRTNLFEWVKYRCHLGDGF FLCECPTSFDNETVSLQVLLANILSVHPKAASRFEITHATPSGHSSDEFMAPTSQHCS LWVRTLKKAVAQSMQLNSISPTPLALLSSLRPPHLFQTNTTAHPKQSLKIDIPCRNSS NNAMDATKLSTESPSNPTPRCHPDDTPASTPDIQTSPPSLTHPSLASSMHEPDKDSSP PDASSPVLVHRSAVSQQTPSEHEAEEQLGILVRVEGVLKQLETENKLYLQRETQLRHE LANLQDSMRLMDVERQELLTQLRDVTTEREEWKAMARRKQADMTQLRDDLQLARDEIR LLTNEQLRLHHRNKDLAVHVQRLDTLVYGKF H257_06707 MPTTHDGGGGPSLWPELTWEDIDADVHLLTKSSTSRVAEPLASH VELIVADTPSNRLELIAQNIFHVHQSLGEAIQRVQGESALVRVIQSFLTQQGIHVDGD DKAEQWLREHALEWSFFEKDDGGRDELLQCIRDDIHSATSKAEFLQYFDAALHPSVWF PVHNRLHREATPSSTVLGNLPLISDAEAFQDAKDIKRDQLRINGVLFPGIVGYDTLIK ALVDEIHRVAVAFRPSYHAFASTYEEMAKRILHSINRTESGGGSYEVLTSLVTPPPPH ATSLVLLRPNSKAATPLHIHIDMGPYEDHEGTWCFGLRTVVSAETSYVICDSDDPTTE WLAVQAKYENRLAFSIGMSPFTSETRGAREDGGQVQLLRCF H257_06708 MQVGQTFFVVPCIDKSQDAKNTRESLLGPSGVGLRQGLVEGRDF QLLERLEWEKWKADNDRAVEIPRQVIARGRGSHAEKVVELYPLLVEVHFWANGMTASA PVQMKKGVNLHILLSATLDVSSIKNLLWSRISSRYHELFPEYARSTDILGYKAVNDGN WARHIRVCTKSRREDDSWQTLDESPMTPDDDVPRQRTVGSLNLTSDVDASCVFLVECP FINSTDARDPRNGEYYMSEVEDLGWRPMLQVGDYVDAKDTGNNWYESVVIDVKPEKVK VHYLTWGDKWNVWLSRDSSKLERLHSRADEWRSTLGKGAPVEFSTAATTGIRGSWVEA TVVDVQVVEGDDEGTDDVWPRPPPRSLYTGKTIRVKVQFTSGGLPHTKSLDASSELLS APNVHIRRQTTAAVVATDIVKADCPQSNYSYSSGTYTTNRNTSWSTPSSSGYHSTKYT AKAEFQGVVGLHNLGNTCFLNSVLQCLSNSKPLLDYFFQTDNDGGRVYMREINPRNPL GMGGKIAKAYAKLMEKMWSGESKVVTPSDLKYVIGEYAPAFAGYAQHDSQEVLSFILD GIHEDLNRVLEKPYTKPIEHAGRPDADVAKEEWANYLLRNDSVVVDHCMAQLRSHVTC PSCQNESITFDPYMSLSLPVPNQAWVLLTLHGIGSRPTQFGLHVPKEGVYADVKAALS AQSGVPIDRLLVVQVKHNRIVRSYADSMDIGDLVYATHRDVGVVAYEVEYPVGQYEVR SPTLYSLSSASTLDLNQRSPGELALCLVALQHQVPATVTEPSSPSEDDSEQPRTTNCS YRYNTNHHTKHRRVERQLFGAPALVSITRDASSAEIHDKIRQVTEHLVDPDLQETPYK LHVSNSRADTFLHRDVSEESADPLPNDITRGSFTFTLEWTPHGYKEGRLGCESPRLHV SADSKPASSSLDLRTCIAKFTEKEQLGENDTWYCPKCKAHVRAFKKFDLFSLPNILLL HLKRFRYAQGSYSMQRDKINTLVTFPVSGLDMADFVVGNIPPDTSTVYDLYAVSEHMG GLGGGHYTAKAMNPRNHKWYSFNDSSTSDTTADDAITSHAYVLFYLRRALPTR H257_06708 MQVGQTFFVVPCIDKSQDAKNTRESLLGPSGVGLRQGLVEGRDF QLLERLEWEKWKADNDRAVEIPRQVIARGRGSHAEKVVELYPLLVEVHFWANGMTASA PVQMKKGVNLHILLSATLDVSSIKNLLWSRISSRYHELFPEYARSTDILGYKAVNDGN WARHIRVCTKSRREDDSWQTLDESPMTPDDDVPRQRTVGSLNLTSDVDASCVFLVECP FINSTDARDPRNGEYYMSEVEDLGWRPMLQVGDYVDAKDTGNNWYESVVIDVKPEKVK VHYLTWGDKWNVWLSRDSSKLERLHSRADEWRSTLGKGAPVEFSTAATTGIRGSWVEA TVVDVQVVEGDDEGTDDVWPRPPPRSLYTGKTIRVKVQFTSGGLPHTKSLDASSELLS APNVHIRRQTTAAVVATDIVKADCPQSNYSYSSGTYTTNRNTSWSTPSSSGYHSTKYT AKAEFQGVVGLHNLGNTCFLNSVLQCLSNSKPLLDYFFQTDNDGGRVYMREINPRNPL GMGGKIAKAYAKLMEKMWSGESKVVTPSDLKYVIGEYAPAFAGYAQHDSQEVLSFILD GIHEDLNRVLEKPYTKPIEHAGRPDADVAKEEWANYLLRNDSVVVDHCMAQLRSHVTC PSCQNESITFDPYMSLSLPVPNQAWVLLTLHGIGSRPTQFGLHVPKEGVYADVKAALS AQSGVPIDRLLVVQVKHNRIVRSYADSMDIGDLVYATHRDVGVVAYEVEYPVGQYEVR SPTLYSLSSASTLDLNQRSPGELALCLVALQHQVPATVTEPSSPSEDDSEQPRTTNCS YRYNTNHHTKHRRVERQLFGAPALVSITRDASSAEIHDKIRQVTEHLVDPDLQETPYK LHVSNSRADTFLHRDVSEESADPLPNDITRGSFTFTLEWTPHGYALDTRKDGWDASRR GCMCRPTQSQRRRRSIFARALPSLRKRNNWAKTTRGTVRNAKPTSARSRSLICSHCPI SCSCT H257_06709 MWAQCSQRELSSTAAAATSNQVIEVASLLDARVTSVSSSKSESS SRCTIPPRWYKLTRFLMGVTIAGVAVVAGFKIYFMLAPFPRDVVFHPSLNNIVMPVDR VSSLWRPDEFQCLGWRAVEGCDPTGARKPWNDHNCNEPIKRGMAGFCEVRNRTSGEVF RVMATTCRGIDDHHEYTCNMATEFTDFSIKAVNYRHNEPLVVSSLTDAPTRGIAMSVY TPVLASAYAVIRSLRAMKCVLPIELFYHPGEIDLTNPVVVELTGKYNCNLRPILNKAA KKFKSKPYAIYHSAFDQVLFLDSDNFPTRDPTFLFDAPEFLDTGAVFWPDFWQPDYSI FNVNSQSLLWQLLDMAPFGDFEQESGQLLVDRRRAPAALDKLMFYTMEGRLLEKLELV WGDKDLFRFAWHNTSTPFHFIETPPALGGRYDAVDDRFCGVAMMQFDPQGDILFIHRN TYKMTGRQEQVPLLTHMQVFNATTRAAYEVHHTGAGVGLGICWALKDDVPSYIVAMKD TAAAHVESHALHHAMEAGITMGIEPEIVHRVEATSWWRSPLEWLVCLMLWGGVATILL AALHWVSFRSVFGDKKLHWFDRYAGKKRKLSEYPIV H257_06710 MATTNRPKKRKQTQVGLGANEIAFIEDEIAAVAMEYGLEMDDDV AKSIQSRQKSSKHKRISASATPSASSSLDANALQSLLPKQCSIGPCKSPGCNCRGYLA DKKSDDGDCFKCEHGKFLHSIVFAIESEEESKAIHGQVLLGMMYSLVVLGRLASTVVG SNAWTQASLELLQTSVQQHLKRQLLRAQQQQQDTPDVDRPAMTKLSSDLQALIVTATQ AVATSGRTQMRIKLACYFDQMYFALYQAAITVFGRNCAAVPPLHEYLGQIDKHRPTSR TDYEMFVATLLDGGDDVPADFHTAYEAKPKHGLALLDVLLCRQREGVQLFYEPSLGMN GEMDKVVADLGRKVKESVKKLTKKQRMNLNKSPADHLTSASVKSAGTALPAYAELRQW RDTCRTWCDKLYSRAIPHPAAFKAIKALGPIVEMGAGLGYWHSLMDQHKIDALAFDPT ATAKWSPKTGEDDAADDPDGNEEDVAASTGLPRWSTVYQGNADILDSVPALKSRTLFL CAPPKTSFARDCLRHYKGRHLIHVGEWYGDTGDRQFECDVMKAFALTSRVPLPNWGDT AFELTVWERKTKANQPSLPVVACAVCESRHRSLLRRCSLCKTTVYCSADCMRQHTAVH TAEHARRLVFLNHDDLLDFDNPHHFHPFEWDMHANDDFKAAASWSTTAKEDATFSFKF H257_06711 MLLRAASRLISSPSLRGFSSLVSLTVNGNPCHATISKSLDNKKV ILCLPGALGTGATDFPAQLTGLTDEYSIIAFHPDTSQAQSLNFLEKDAHDAAAFMTGL GYDKYSVLGFSDGANSGVILAAEYPQHMQRLVLMGGNAFLTDEDLALYAACADVATWS DERREQMIAVHGGVGPFQTKWTEWIATMARLLDDKNGDLCTAFLPDVKCKTLVLHGEQ DSLVPTFQGEYLSERILHSKLVMLPDADHAFHMEDQWSAHTNQLIRTFLNEPDDSATH SREFSAMPPKDRKRSSIVTHSGPRC H257_06711 MLLRAASRLISSPSLRGFSSLVSLTVNGNPCHATISKSLDNKKV ILCLPGALGTGATDFPAQLTGLTDEYSIIAFHPDTSQAQSLNFLEKDAHDAAAFMTGL GYDKYSVLGFSDGANSGVILAAEYPQHMQRLVLMGGNAFLTDEDLALYAACADVATWS DERREQMIAVHGGVGPFQTKWTEWIATMARLLDDKNGDLCTAFLPDVKCKTLVLHGEQ VVVHTSITRMQY H257_06712 MTVLDVMTTQLRACEEKLSSIQDYKENELTDLYRLAEEAINAMK AEQDAIEATLPQLADKERHGAMERLSRIDLMESNAKSVQDKLTQLHAHTGELCDLLEP QTTRLKHLETQIQYFQLLLEVETLSARAKPSAGSNGDIDALVAMAALNQSLSTHFGMD VSFQLNLRSLIKQRMAFLAVELQAFHTNALDVSIDALRWPQVIADTDLASNAVEVFRD KFTALVQVQLAVASVTAEGVESELSDLWAMQRLLQPLVRRFHFHFDTHVKTNDVSKPE WYLTHVVEVLRGHILFLESVVRPALARGLVESSAATRSNNTDGVALFIHGLLQPVCAK LKQSLPILVLNKALLCHTVDEVVDFEWKLRQEFQYRRPPRVPSQKTLLVLDQVAANAA ALDLWLQVDLEYAQNFTLGFLRDEADVAWTTVADDDAKVTRAAYAIAATFDVLSKRFQ VLSDPTHRYTYVAQVLKPWLYQCHVAIERFGQSQPVMSQPLVTTAPPSSCWRAACAAV NTAWFVQQLLREHDEVKAFVELLPIAKVTLHQASIVPLKLKQTVAGLSKSVLNHPLLE TQEAKNVTHGLLGQGSLVLPTAAFSAAYSVGSTLFRSLQRPKESVVEVVRAVEDGAEL DERESDTYTLDGSIFQNEWTWFQAGVQSMEEVLVAASSAAIRAQWGQYHTSPRWTEPA AVDRIVPDVSPEFTGGVALFRHHLVFAHASLNPSSFEVYWKALSKELDGHVLAIVLQL KAMSKNGRLQFTHDVHAVTLVLRPYTSRPDAYVRRLVEASAVLHMPTAKARVLGDALA TQHKLDMASVQIQTMLEASQLHALTPSNVSTLLRMGA H257_06712 MTVLDVMTTQLRACEEKLSSIQDYKENELTDLYRLAEEAINAMK AEQDAIEATLPQLADKERHGAMERLSRIDLMESNAKSVQDKLTQLHAHTGELCDLLEP QTTRLKHLETQIQYFQLLLEVETLSARAKPSAGSNGDIDALVAMAALNQSLSTHFGMD VSFQLNLRSLIKQRMAFLAVELQAFHTNALDVSIDALRWPQVIADTDLASNAVEVFRD KFTALVQVQLAVASVTAEGVESELSDLWAMQRLLQPLVRRFHFHFDTHVKTNDVSKPE WYLTHVVEVLRGHILFLESVVRPALARGLVESSAATRSNNTDGVALFIHGLLQPVCAK LKQSLPILNKALLCHTVDEVVDFEWKLRQEFQYRRPPRVPSQKTLLVLDQVAANAAAL DLWLQVDLEYAQNFTLGFLRDEADVAWTTVADDDAKVTRAAYAIAATFDVLSKRFQVL SDPTHRYTYVAQVLKPWLYQCHVAIERFGQSQPVMSQPLVTTAPPSSCWRAACAAVNT AWFVQQLLREHDEVKAFVELLPIAKVTLHQASIVPLKLKQTVAGLSKSVLNHPLLETQ EAKNVTHGLLGQGSLVLPTAAFSAAYSVGSTLFRSLQRPKESVVEVVRAVEDGAELDE RESDTYTLDGSIFQNEWTWFQAGVQSMEEVLVAASSAAIRAQWGQYHTSPRWTEPAAV DRIVPDVSPEFTGGVALFRHHLVFAHASLNPSSFEVYWKALSKELDGHVLAIVLQLKA MSKNGRLQFTHDVHAVTLVLRPYTSRPDAYVRRLVEASAVLHMPTAKARVLGDALATQ HKLDMASVQIQTMLEASQLHALTPSNVSTLLRMGA H257_06712 MTVLDVMTTQLRACEEKLSSIQDYKENELTDLYRLAEEAINAMK AEQDAIEATLPQLADKERHGAMERLSRIDLMESNAKSVQDKLTQLHAHTGELCDLLEP QTTRLKHLETQIQYFQLLLEVETLSARAKPSAGSNGDIDALVAMAALNQSLSTHFGMD VSFQLNLRSLIKQRMAFLAVELQAFHTNALDVSIDALRWPQVIADTDLASNAVEVFRD KFTALVQVQLAVASVTAEGVESELSDLWAMQRLLQPLVRRFHFHFDTHVKTNDVSKPE WYLTHVVEVLRGHILFLESVVRPALARGLVESSAATRSNNTDGVALFIHGLLQPVCAK LKQSLPILVLNKALLCHTVDEVVDFEWKLRQEFQYRRPPRVPSQKTLLVLDQVAANAA ALDLWLQVDLEYAQNFTLGFLRDEADVAWTTVADDDAKVTRAAYAIAATFDVLSKRFQ VLSDPTHRYTYVAQVLKPWLYQCHVAIERFGQSQPVMSQPLVTTAPPSSCWRAACAAV NTAWFVQQLLREHDEVKAFVELLPIAKVTLHQASIVPLKLKQTVAGLSKSVLNHPLLE TQEAKNVTHGLLGQGSLVLPTAAFSAAYSVGSTLFRSLQRPKESVVEVVRAVEDGAEL DERESDTYTLDGSIFQNEWTWFQAGVQSMEEVLVAASSAAIRAQWGQYHTSPRWTEPA AVDRIVPDVSPEFTGGVALFRHHLVFAHASLNPSSFEVYWKALSKELDGHVLAIVLQL KAMSKNGRLQFTHDVHAVTLVLRPYTSRPDAYVRRLFHLSHQDHRSMWLDTWPFMMQV YVGW H257_06712 MTVLDVMTTQLRACEEKLSSIQDYKENELTDLYRLAEEAINAMK AEQDAIEATLPQLADKERHGAMERLSRIDLMESNAKSVQDKLTQLHAHTGELCDLLEP QTTRLKHLETQIQYFQLLLEVETLSARAKPSAGSNGDIDALVAMAALNQSLSTHFGMD VSFQLNLRSLIKQRMAFLAVELQAFHTNALDVSIDALRWPQVIADTDLASNAVEVFRD KFTALVQVQLAVASVTAEGVESELSDLWAMQRLLQPLVRRFHFHFDTHVKTNDVSKPE WYLTHVVEVLRGHILFLESVVRPALARGLVESSAATRSNNTDGVALFIHGLLQPVCAK LKQSLPILNKALLCHTVDEVVDFEWKLRQEFQYRRPPRVPSQKTLLVLDQVAANAAAL DLWLQVDLEYAQNFTLGFLRDEADVAWTTVADDDAKVTRAAYAIAATFDVLSKRFQVL SDPTHRYTYVAQVLKPWLYQCHVAIERFGQSQPVMSQPLVTTAPPSSCWRAACAAVNT AWFVQQLLREHDEVKAFVELLPIAKVTLHQASIVPLKLKQTVAGLSKSVLNHPLLETQ EAKNVTHGLLGQGSLVLPTAAFSAAYSVGSTLFRSLQRPKESVVEVVRAVEDGAELDE RESDTYTLDGSIFQNEWTWFQAGVQSMEEVLVAASSAAIRAQWGQYHTSPRWTEPAAV DRIVPDVSPEFTGGVALFRHHLVFAHASLNPSSFEVYWKALSKELDGHVLAIVLQLKA MSKNGRLQFTHDVHAVTLVLRPYTSRPDAYVRRLFHLSHQDHRSMWLDTWPFMMQVYV GW H257_06712 MTVLDVMTTQLRACEEKLSSIQDYKENELTDLYRLAEEAINAMK AEQDAIEATLPQLADKERHGAMERLSRIDLMESNAKSVQDKLTQLHAHTGELCDLLEP QTTRLKHLETQIQYFQLLLEVETLSARAKPSAGSNGDIDALVAMAALNQSLSTHFGMD VSFQLNLRSLIKQRMAFLAVELQAFHTNALDVSIDALRWPQVIADTDLASNAVEVFRD KFTALVQVQLAVASVTAEGVESELSDLWAMQRLLQPLVRRFHFHFDTHVKTNDVSKPE WYLTHVVEVLRGHILFLESVVRPALARGLVESSAATRSNNTDGVALFIHGLLQPVCAK LKQSLPILVLNKALLCHTVDEVVDFEWKLRQEFQYRRPPRVPSQKTLLVLDQVAANAA ALDLWLQVDLEYAQNFTLGFLRDEADVAWTTVADDDAKVTRAAYAIAATFDVLSKRFQ VLSDPTHRYTYVAQVLKPWLYQCHVAIERFGQSQPVMSQPLVTTAPPSSCWRAACAAV NTAWFVQQLLREHDEVKAFVELLPIAKVTLHQASIVPLKLKQTVAGLSKSVLNHPLLE TQEAKNVTHGLLGQGSLVLPTAAFSAAYSVGSTLFRSLQRPKESVVEVVRAVEDGAEL DERESDTYTLDGSIFQNEWTWFQAGVQSMEEVLVAASSAAIRAQWGQYHTRASCSRPN RAGRVARVYRRRGAVSTPFGLCARQFESVVVRGVLESSE H257_06712 MQRLLQPLVRRFHFHFDTHVKTNDVSKPEWYLTHVVEVLRGHIL FLESVVRPALARGLVESSAATRSNNTDGVALFIHGLLQPVCAKLKQSLPILVLNKALL CHTVDEVVDFEWKLRQEFQYRRPPRVPSQKTLLVLDQVAANAAALDLWLQVDLEYAQN FTLGFLRDEADVAWTTVADDDAKVTRAAYAIAATFDVLSKRFQVLSDPTHRYTYVAQV LKPWLYQCHVAIERFGQSQPVMSQPLVTTAPPSSCWRAACAAVNTAWFVQQLLREHDE VKAFVELLPIAKVTLHQASIVPLKLKQTVAGLSKSVLNHPLLETQEAKNVTHGLLGQG SLVLPTAAFSAAYSVGSTLFRSLQRPKESVVEVVRAVEDGAELDERESDTYTLDGSIF QNEWTWFQAGVQSMEEVLVAASSAAIRAQWGQYHTSPRWTEPAAVDRIVPDVSPEFTG GVALFRHHLVFAHASLNPSSFEVYWKALSKELDGHVLAIVLQLKAMSKNGRLQFTHDV HAVTLVLRPYTSRPDAYVRRLVEASAVLHMPTAKARVLGDALATQHKLDMASVQIQTM LEASQLHALTPSNVSTLLRMGA H257_06713 MATTTKTHKVDLEVSSVYLSTDMQVSLLRELLKWFERRVCACYA RVKAANLPIVPVVVAATEIVAVRVGIPRFVNSLAHITEPWISSIDGIVGGRFLSTITF IVRTCCPHDANNVRRSTDAVDAQSCLTVDQLKDQLVRVQARLAMETQEKEALHATVCR VLATMTAENDSLEALCHDAPSRGSSAGRIKALEDELHALRVDMASVMSENDQLRRELA AVSPPSSTSKGEFKPDMTQSVHDQDLSDTSSSIDSSSVDISPTSPPQAGLPMELPSWT MLPCRQSCRHQSTESTRHVQD H257_06714 MSKLTTYRTPGYDDEPPRSPQLSTDDDDDTGMHPRDLDDSFAVM EASRIPKPDRNSIPNHGGEVTALKPSILHCWPLLFGLLYLAGTGFGVSKLVKAGWIDP EYGNATYAQYREDSVIGESCRGVDHAVPWRELKRKLKRCRRHEYYDPMHDDCHLCPAA TPEDKIFAVFWETQKDCTRLVEDLSTRYVTHVMWSFAEPLDDGTINTKLQFWDDEHIR DCIGQLRMRCIKSMIAVGGASFRERFLPLKSPDNLARFTATAVQLVQLYDFDGIDIDD ETGNMVATGGNWLKSHGPTVVSYLTALREGLDAVQHPDEPRYLLSWDEFPYAWDPPQP DNANYVGCIRYTEGEDGWHRCYEPRISNLVDFVNVMFYNINGGEAVYRAVIEDTLPNK AASVIPKDKIVVGACCGMGCVTLQPPGQEVFNAGNGSAYYKGTMLWSSTIDILYENSS STHRMGRAGNYGVKMPFRMPPP H257_06714 MSKLTTYRTPGYDDEPPRSPQLSTDDDDDTGMHPRDLDDSFAVM EASRIPKPDRNSIPNHGGEVTALKPSILHCWPLLFGLLYLAGTGFGVSKLVKAGWIDP EYGNATYAQYREDSVIGESCRGVDHAVPWRELKRKLKRCRRHEYYDPMHDDCHLCPAA TPEDKIFAVFWETQKDCTRLVEDLSTRYVTHVMWSFAEPLDDGTINTKLQFWDDEHIR DCIGQLRMRCIKSMIAVGGASFRERFLPLKSPDNLARFTATAVQLVQLYDFDGIDIDD ETGNMVATGGNWLKSHGPTVVSYLTALREGLDAVQHPDEPRYLLSWDEFPYAWDPPQP DNANYVGCIRYTEGEDGWHRCYEPRISNLVDFVNVMFYNINGGEAVYRAVIEDTLPNK AASVIPKDKIVVGACCGMGCVTLQPPGQEVFNAGNGSAYYKGTMLWSSTIDILYENSS STHRMGRAGNYGVKMPFRMPPP H257_06715 MDLPNMRKSQYYDEPERRSDMQLRMTPVYNVQDKTHVEEPSFTH TIRHIWPLIFGLAFLAGSSYGVATLVKTYEVDPTYLNMTFAEFREEQVLGEQCRAVDH AVPWKELQRKIRGCRRFEYYDPMHNDCQACPAHQPTDKVFAVFWETQSNCQHLVGNPN IRFVTHVMWSFSTLRADGSVEQNLQYWDDKHIKNCMAQLRMRCIKSIVALGGANNRDM FYGLRQPENVERFTASAVSLVKKYGFDGIDVDDETANMVINKGKWKDFHGQTVVDYLT ALRKGRGFTSREIYRYICVQFIALDKARVPDEPRYILSWDEFPQSWTPSDCEIYNNND GWHRCWEPRITDLVDWVNIMYYNMFGYPQFGNPFYDLMAKHLPERVLPAIKDPNKIVL GACTGQGCVLPQPDGQDVMNAGNGSAYYGGTMLWSATIDILLENSTSLRRMGRAGNYG VKMPFRAPHP H257_06715 MDLPNMRKSQYYDEPERRSDMQLRMTPVYNVQDKTHVEEPSFTH TIRHIWPLIFGLAFLAGSSYGVATLVKTYEVDPTYLNMTFAEFREEQVLGEQCRAVDH AVPWKELQRKIRGCRRFEYYDPMHNDCQACPAHQPTDKVFAVFWETQSNCQHLVGNPN IRFVTHVMWSFSTLRADGSVEQNLQYWDDKHIKNCMAQLRMRCIKSIVALGGANNRDM FYGLRQPENVERFTASAVSLVKKYGFDGIDVDDETANMVINKGKWKDFHGQTVVDYLT ALRKALDKARVPDEPRYILSWDEFPQSWTPSDCEIYNNNDGWHRCWEPRITDLVDWVN IMYYNMFGYPQFGNPFYDLMAKHLPERVLPAIKDPNKIVLGACTGQGCVLPQPDGQDV MNAGNGSAYYGGTMLWSATIDILLENSTSLRRMGRAGNYGVKMPFRAPHP H257_06715 MDLPNMRKSQYYDEPERRSDMQLRMTPVYNVQDKTHVEEPSFTH TIRHIWPLIFGLAFLAGSSYGVATLVKTYEVDPTYLNMTFAEFREEQVLGEQCRAVDH AVPWKELQRKIRGCRRFEYYDPMHNDCQACPAHQPTDKVFAVFWETQSNCQHLVGNPN IRFVTHVMWSFSTLRADGSVEQNLQYWDDKHIKNCMAQLRMRCIKSIVALGGANNRDM FYGLRQPENVERFTASAVSLVKKYGFDGIDVDDETANMVINKGKWKDFHGQTVVDYLT ALRKGRGFTSREIYRYICVQFIALDKARVPDEPRYILSWDEFPQSWTPSDCEIYNNND GWHRCWEPRITDLVDWVNIMYYNMFGYPQFGNPFYDLMAKHLPERVLPAIKDPNKIVL GACTGQGCVLPQPDGQDVMNAGNGSAYYGGTTHADSCCL H257_06715 MDLPNMRKSQYYDEPERRSDMQLRMTPVYNVQDKTHVEEPSFTH TIRHIWPLIFGLAFLAGSSYGVATLVKTYEVDPTYLNMTFAEFREEQVLGEQCRAVDH AVPWKELQRKIRGCRRFEYYDPMHNDCQACPAHQPTDKVFAVFWETQSNCQHLVGNPN IRFVTHVMWSFSTLRADGSVEQNLQYWDDKHIKNCMAQLRMRCIKSIVALGGANNRDM FYGLRQPENVERFTASAVSLVKKYGFDGIDVDDETANMVINKGKWKDFHGQTVVDYLT ALRKALDKARVPDEPRYILSWDEFPQSWTPSDCEIYNNNDGWHRCWEPRITDLVDWVN IMYYNMFGYPQFGNPFYDLMAKHLPERVLPAIKDPNKIVLGACTGQGCVLPQPDGQDV MNAGNGSAYYGGTTHADSCCL H257_06715 MDLPNMRKSQYYDEPERRSDMQLRMTPVYNVQDKTHVEEPSFTH TIRHIWPLIFGLAFLAGSSYGVATLVKTYEVDPTYLNMTFAEFREEQVLGEQCRAVDH AVPWKELQRKIRGCRRFEYYDPMHNDCQACPAHQPTDKVFAVFWETQSNCQHLVGNPN IRFVTHVMWSFSTLRADGSVEQNLQYWDDKHIKNCMAQLRMRCIKSIVALGGANNRDM FYGLRQPENVERFTASAVSLVKKYGFDGIDVDDETANMVINKGKWKDFHGQTVVDYLT ALRKALDKARVPDEPRYILSWDEFPQSWTPSDCEIYNNNDGWHRCWEPRITDLVDWVN IMYYNMFGYPQFGVRCKSRYIFILNHGM H257_06715 MTFAEFREEQVLGEQCRAVDHAVPWKELQRKIRGCRRFEYYDPM HNDCQACPAHQPTDKVFAVFWETQSNCQHLVGNPNIRFVTHVMWSFSTLRADGSVEQN LQYWDDKHIKNCMAQLRMRCIKSIVALGGANNRDMFYGLRQPENVERFTASAVSLVKK YGFDGIDVDDETANMVINKGKWKDFHGQTVVDYLTALRKGRGFTSREIYRYICVQFIA LDKARVPDEPRYILSWDEFPQSWTPSDCEIYNNNDGWHRCWEPRITDLVDWVNIMYYN MFGYPQFGNPFYDLMAKHLPERVLPAIKDPNKIVLGACTGQGCVLPQPDGQDVMNAGN GSAYYGGTMLWSATIDILLENSTSLRRMGRAGNYGVKMPFRAPHP H257_06715 MTFAEFREEQVLGEQCRAVDHAVPWKELQRKIRGCRRFEYYDPM HNDCQACPAHQPTDKVFAVFWETQSNCQHLVGNPNIRFVTHVMWSFSTLRADGSVEQN LQYWDDKHIKNCMAQLRMRCIKSIVALGGANNRDMFYGLRQPENVERFTASAVSLVKK YGFDGIDVDDETANMVINKGKWKDFHGQTVVDYLTALRKALDKARVPDEPRYILSWDE FPQSWTPSDCEIYNNNDGWHRCWEPRITDLVDWVNIMYYNMFGYPQFGNPFYDLMAKH LPERVLPAIKDPNKIVLGACTGQGCVLPQPDGQDVMNAGNGSAYYGGTMLWSATIDIL LENSTSLRRMGRAGNYGVKMPFRAPHP H257_06715 MTFAEFREEQVLGEQCRAVDHAVPWKELQRKIRGCRRFEYYDPM HNDCQACPAHQPTDKVFAVFWETQSNCQHLVGNPNIRFVTHVMWSFSTLRADGSVEQN LQYWDDKHIKNCMAQLRMRCIKSIVALGGANNRDMFYGLRQPENVERFTASAVSLVKK YGFDGIDVDDETANMVINKGKWKDFHGQTVVDYLTALRKGRGFTSREIYRYICVQFIA LDKARVPDEPRYILSWDEFPQSWTPSDCEIYNNNDGWHRCWEPRITDLVDWVNIMYYN MFGYPQFGNPFYDLMAKHLPERVLPAIKDPNKIVLGACTGQGCVLPQPDGQDVMNAGN GSAYYGGTTHADSCCL H257_06715 MTFAEFREEQVLGEQCRAVDHAVPWKELQRKIRGCRRFEYYDPM HNDCQACPAHQPTDKVFAVFWETQSNCQHLVGNPNIRFVTHVMWSFSTLRADGSVEQN LQYWDDKHIKNCMAQLRMRCIKSIVALGGANNRDMFYGLRQPENVERFTASAVSLVKK YGFDGIDVDDETANMVINKGKWKDFHGQTVVDYLTALRKALDKARVPDEPRYILSWDE FPQSWTPSDCEIYNNNDGWHRCWEPRITDLVDWVNIMYYNMFGYPQFGNPFYDLMAKH LPERVLPAIKDPNKIVLGACTGQGCVLPQPDGQDVMNAGNGSAYYGGTTHADSCCL H257_06716 MDAIPTKEAIQDAFHGTSTRRTYSTYQRQFLEYFQRANSGKDPC AATTGDCTDFLHHLYSLGRKARTIDCAKTAMVAFFKERRVEPNPAQATATKRYVVGLQ KFNRQNNVDDEKKAHPLSAHELSLLMNSFGDLNPFVGTMFRFLFSMCYLGCFRISEVL ALRWFDLARAQSETGVHVSVRLRWHKKASVEQDCQIYNLVDEVTYPCLRVCGFYDDYL SMVKATMMNVADNAVVFPQVTHQHNGTIKVSWDKAMEQNYLRRCLNDAVERCPQLSTN VSLHSMRRGGSFYRVFESQDKRFNFRELMAWCRWGDAKTCCEYLVTKNLSDEVDPRNL LLNSMRARTTLVPAHPQVPSADAIAEAVMKMLQDQKPAQPSKTTSATKQTTLDVFVVP KTIPSARSAHEAWCQWFYADVPAGRPCALKDFTKEMIKADRKKYSERVTLSLAFKKFQ TYELFESAYAGHTETYSSVLKEARQRKRENKL H257_06717 MALAPTVVTSLQRKHNTEFLDEPMRTGPRAGSLDTDDASMSSTN VEKQESFVHTFRHCWPLLFALLFLGGTSFGVAAMVKTFEIDPIYGNWTMAQYRQDATL GEGCRAVDHEVPWRELKRKLLRCRRGQYYDPLHNDCAECPADSPDDKVFAVFWETQSN CQNLVGNPHTRFLTHIMWSFVEPGEDGTVPSEFQYWSQEHIKDCILQLRMRCIKNMVA IGGATARERFLELKDPANLERFKHSSMKVVQEFGFDGIDIDDETGNMKGTHQDWLTHH GPVVVNYLQALRDGLDAIQRPDEPRYLLTWDEFPHSWDPPDPTNELYTGCISFHKDYD GWHRCYEPRISALVDMVNVMFYNMDSGDWVYELVLKETLPVKAAAVIPKNKIVVGACS GQGCVSEQPIGQEVYNAGNGSAFYRGTMLWSATIDILLENSSSISRMGRAGNYGVKMP FRGKFT H257_06717 MALAPTVVTSLQRKHNTEFLDEPMRTGPRAGSLDTDDASMSSTN VEKQESFVHTFRHCWPLLFALLFLGGTSFGVAAMVKTFEIDPIYGNWTMAQYRQDATL GEGCRAVDHEVPWRELKRKLLRCRRGQYYDPLHNDCAECPADSPDDKVFAVFWETQSN CQNLVGNPHTRFLTHIMWSFVEPGEDGTVPSEFQYWSQEHIKDCILQLRMRCIKNMVA IGGATARERFLELKDPANLERFKHSSMKVVQEFGFDGIDIDDETGNMKGTHQDWLTHH GPVVVNYLQALRDGLDAIQRPDEPRYLLTWDEFPHSWDPPDPTNELYTGCISFHKDYD GWHRCYEPRISALVDMVNVMFYNMDSGDVRIASVLL H257_06717 MALAPTVVTSLQRKHNTEFLDEPMRTGPRAGSLDTDDASMSSTN VEKQESFVHTFRHCWPLLFALLFLGGTSFGVAAMVKTFEIDPIYGNWTMAQYRQDATL GEGCRAVDHEVPWRELKRKLLRCRRGQYYDPLHNDCAECPADSPDDKVFAVFWETQSN CQNLVGNPHTRFLTHIMWSFVEPGEDGTVPSEFQYWSQEHIKDCILQLRMRCIKNMVA IGGATARERFLELKDPANLERFKHSSMKVVQEFGFDGIDIDDETGNMKGTHQDWLTHH GPVVVNYLQALRDGVYSMPAIRITLTYCRAGCHTTPGRAAVPADMGRVSSFVGPSRPH QRAIHWLHLLS H257_06717 MALAPTVVTSLQRKHNTEFLDEPMRTGPRAGSLDTDDASMSSTN VEKQESFVHTFRHCWPLLFALLFLGGTSFGVAAMVKTFEIDPIYGNWTMAQYRQDATL GEGCRAVDHEVPWRELKRKLLRCRRGQYYDPLHNDCAECPADSPDDKVFAVFWETQSN CQNLVGNPHTRFLTHIMWSFVEPGEDGTVPSEFQYWSQEHIKDCILQLRMRCIKNMVA IGGATARERFLELKDPANLERFKHSSMKVVQEFGFDGIDIDDETGNMKGTHQDWLTHH GPVVVNYLQALRDGVYSMPAIRITLTYCRAGCHTTPGRAAVPADMGRVSSFVGPSRPH QRAIHWLHLLS H257_06718 MLPTSCFDFPLLRDEVTTIVQDAVLSSLEGKAFDQAKVNDWINV ITMSCLDDLKKLCQNFKYIVTCSIKQKRGGGLDVESVCFWDEKSDAIAQVLALSHGKT ARCKRSLQSTALPFNTTLQAQGPGQIQ H257_06718 MLPTSCFDFPLLRDEVTTIVQDAVLSSLEGKAFDQAKVNDWINV ITMSCLDDLKKLCQNFKYIVTCSIKQKRGGGLDVESVCFWDEKSDAIAQVLALSHGKT ARCKRSLQSTALPFNTTLQAQGPGQIQ H257_06718 MLPTSCFDFPLLRDEVTTIVQDAVLSSLEGKAFDQAKVNDWINV ITMSCLDDLKKLCQNFKYIVTCSIKQKRGGGLDVESVCFWDEKSDGACFVTWENSSMQ AVIAIYGLAI H257_06718 MLPTSCFDFPLLRDEVTTIVQDAVLSSLEGKAFDQAKVNDWINV ITMSCLDDLKKLCQNFKYIVTCSIKQKRGGGLDVESVCFWDEKSDGACFVTWENSSMQ AVIAIYGLAI H257_06718 MLPTSCFDFPLLRDEVTTIVQDAVLSSLEGKAFDQAKVNDWINV ITMSCLDDLKKLCQNFKYIVTCSIKQKRGGGLDVESVCFWDEKSDGML H257_06718 MLPTSCFDFPLLRDEVTTIVQDAVLSSLEGKAFDQAKVNDWINV ITMSCLDDLKKLCQNFKYIVTCSIKQKRGGGLDVESVCFWDEKSDGML H257_06719 MTSTKAAFTFPEHYGFPPFFTLQPVRSTREKQLILWKALVLDYH KSMNQPVLTPNSSPIFENQQINRKLSMEARTAIVTYLVRCGNAEWEDDTHTRLRIFWK PPAEWAAEIYTFATDRGMISNVYTVYELHSGEETQGASTCALDFQDCVSCCLRFLWFG AVAPSQSVGDLGARSKGCHNPWRHAGKRWCEIPGHRISSLI H257_06719 MTSTKAAFTFPEHYGFPPFFTLQPVRSTREKQLILWKALVLDYH KSMNQPVLTPNSSPIFENQQINRKLSMEARTAIVTYLVRCGNAEWEDDTHTRLRIFWK PPAEWAAEIYTFATDRGMISNVYTVYELHSGEETQGASFYGLEPWLLRKALEILEHEA KAAIIHGDTPENDGVKFLATA H257_06720 MSDVEESKHEEMHSDREEEEGREFIVPVHVQDLELIKRDRLFVV NVTDLSDGSKDDHIRQLKDIQRQIQNDPLGVVETDVFDMLYSFVKILKIMSDEARVVL LLLASSTLDDVVKAIKRGQTESSKQARNALKVTSFVLCQTLMQIRNVQVDEDKDILQK KDKASKAKQINWGKHCETGVKALHESLCDETLALWNMNTPEEEFIALYCTAIFQLLES PGLLKSKLLKFLIYKCVGECLVRAPRVLVTVSTSLLELVFAHEHLAIPIADLVQYLQS THHSIKVAADLISEISKIRQRDTSRDSSGTKNVSLFLGHLATLMPSTVLANLSIVLPL LDAESYYLRNAVVTAVMHILMADFRARDKSTTAAADEDGPNDDGPTSSSTSSAQFRPL SRQRRDTLFGVLEDRVHDVNSFARSHVLRCYRDLCEEGAVPLRHIQKLPNIAVERMQD RTSSVRKYSIELLCVLLEHNPYRETLDRQYYEGQKNHLVATFTAKKKAVMEDVEEQLN RRLSGLNVNESQIATNEEEREVELQKLERLLLFHTHALEFIDTLENDAIPLLIQLLGS AAITDVLESIRFFHQAHAFRLHQATQGIRAMLILIWRTDPKIQELVLKTFQSILFYKP QTDLLVAPPQAAQTLLTLLDGCTVSDATCLEQLLGVMKAKELVPQRVIAALWDLCGDN SSCNTIGHSIWLLSMLKTPPRLEVLLEHGLGARVREEHNWLCVRATSHMLQNWNFKDK SLIPDGIEPLVVSLQTFLTMIDETNLDWFDAAQQAIEALFHVCQFPEQPCGDIVATWS TALFPTDEDDHDEAVCSASELSKFVFILGHVAIRMAVYVESLASSVKEARNKLQHDQS KADKEQSSMEDELGLHAEIEAEEDEFLHALTQNDLVGRNLLGAYGPILIRLVANEDGI FDDELIKEASTVALCKFMCISAEFCEKNLPLIFTRLKECQQPSVRSNIVVALGDLSFR FPNLVEPWTSHIYARLRDINVNVRKNTIMVLTHLILNDMVKVKGQVSEIALSLVDEDK RICELAKLFFHELSKRGNNPIYNMLPDTIGRLSTSATLSKKSFQEITKFLLGFIHKDK QTESLVEKLCHRFVTTAEVAHWRDLAYCLANLSLNDKSLKKLVELRKLFKTCLVDDGV FECFQQLVSKAKKFSKAETKETLDELEHVINAIHSGENLDDNENLLDLPHKKPDKVKT PRKAKKGKESTRKQNVSVETENDENAADAMPKRLQRKARKPTKTKEFIDSDHDDDDDE DNDDEEDDVGGDDEPPAGRSQPGKRKKADAPRAKATHSKKKK H257_06720 MSDVEESKHEEMHSDREEEEGREFIVPVHVQDLELIKRDRLFVV NVTDLSDGSKDDHIRQLKDIQRQIQNDPLGVVETDVFDMLYSFVKILKIMSDEARVVL LLLASSTLDDVVKAIKRGQTESSKQARNALKVTSFVLCQTLMQIRNVQVDEDKDILQK KDKASKAKQINWGKHCETGVKALHESLCDETLALWNMNTPEEEFIALYCTAIFQLLES PGLLKSKLLKFLIYKCVGECLVRAPRVLVTVSTSLLELVFAHEHLAIPIADLVQYLQS THHSIKVAADLISEISKIRQRDTSRDSSGTKNVSLFLGHLATLMPSTVLANLSIVLPL LDAESYYLRNAVVTAVMHILMADFRARDKSTTAAADEDGPNDDGPTSSSTSSAQFRPL SRQRRDTLFGVLEDRVHDVNSFARSHVLRCYRDLCEEGAVPLRHIQKLPNIAVERMQD RTSSVRKYSIELLCVLLEHNPYRETLDRQYYEGQKNHLVATFTAKKKAVMEDVEEQLN RRLSGLNVNESQIATNEEEREVELQKLERLLLFHTHALEFIDTLENDAIPLLIQLLGS AAITDVLESIRFFHQAHAFRLHQATQGIRAMLILIWRTDPKIQELVLKTFQSILFYKP QTDLLVAPPQAAQTLLTLLDGCTVSDATCLEQLLGVMKAKELVPQRVIAALWDLCGDN SSCNTIGHSIWLLSMLKTPPRLEVLLEHGLGARVREEHNWLCVRATSHMLQNWNFKDK SLIPDGIEPLVVSLQTFLTMIDETNLDWFDAAQQAIEALFHVCQFPEQPCGDIVATWS TALFPTDEDDHDEAVCSASELSKFVFILGHVAIRMAVYVESLASSVKEARNKLQHDQS KADKEQSSMEDELGLHAEIEAEEDEFLHALTQNDLVGRNLLGAYGPILIRLVANEDGI FDDELIKEASTVALCKFMCISAEFCEKNLPLIFTRLKECQQPSVRSNIVVALGDLSFR FPNLVEPWTSHIYARLRDINVNVRKNTIMVLTHLILNDMVKVKGQVSEIALSLVDEDK RICELAKLFFHELSKRGNNPIYNMLPDTIGRLSTSATLSKKSFQEITKFLLGFIHKDK QTESLVEKLCHRFVTTAEVAHWRDLAYCLANLSLNDKSLKKLVELRKLFKTCLVDDGV FECFQQLVSKAKKFSKAETKETLDELEHVINAIHSGENLDDNENLLDLPHKKPDKVKT PRKAKKGKESTRKQNVSVEVGRILITK H257_06721 MSLGFDYSDEEILCRGYLTKRGHVVTNWKMRYFVLRPHASLSYY EDESMAKKLGQVHLAKVAPWEYNGSLAKSVSKRESRGSSSGAEESRYGFMFFTTKRVV YYIYTTSAIEHQKWFHAVADYYVHTSNVADCEGYMTKKTPGTLFSFSRPKFYVLTDAV ITCFESEDAYRSHEAPISAWNIRAASRWEDGLSFQGEAGAMLFLSAPSEADQQRWMAA TQEKVGSSLQPIACAGYLTKQGHKRKSWKKRYFVLRGSLLSYYTDYDSTNKQCLAEVG IEDVQVWDGEMHGFMFTTSEQVVYYVYAESERERQKWLVSLKALLDAQSTRAPKPLAM GPPPVSKVCPKCRHMVTGSKFCGNCGHHVDVPYDDPRPPRTPPRQPPQSQPQHIKRRD DDDEERQDRNSQTLLISVMQATHPGRSLVEPQNRQIEQQQQVAAKPDDMMPEDTTVDA SVDDELAAALEKANLPNEAPTGVPSPRSNQATHNVIVVRPSRMADDEDYSESEDEQHP NQGRRRLTNDSIDEDEPPMLGDDKGGDVRESSLCELVMDGIVVGDEGNDKRSKMETYL EHTLGFDAQWVPSREAKASCRFYTSKNYNVAADVLVFLGDSGKVGIWKDETDTIDDPT SPMSMLPYVEAALKLNFGVVLCNPHHNTVDVRDGPKIRTFTVQQSASPAEHLHFVWTN YIAANVLPTTRVGIVAAGRAGKAVITLMQDEEATVYEKLNRVAFLQSTHAVDSSLSMV VLECLGRRAINWEGADGQALCSQVVNSQSRVGCVCMATGFKSANPPDVASMYPFDLTA DHLKHMQVPIFAYLTANPAAPGMTAIVKHMRSTLKKGRSSSGRTRAPPEAATPEPPQS KPPTKPQRTVSDPSITQFYLPGQRGHTGAAEMGKKRQQAGTGRSNISIKDFELLKVVG QGGFGKVFMGRKVSGPDSGQVYAIKVLQKDQVVSNGLVHTTMAERHILIEVAHPFVVK LHYAFQSDSKLYLVMDYLSAGSLAVHLRKWRKFPETFARFYAAEVALAIAHLHQVNII YRDVKLENVLMDSDGHVSIADFGLSKQGVSGLKGAKTFCGTAAYIAPELLKGQNYGKA ADWWSFGILLYEMIGGKPPYYHRNRDIMFQTILTQQWVTFTPGFSDAAVDLIRGLLNR DPMQRLGSGPTGADEVLMHPFFKEFDWQLMLKRQVKTPYDPQVGKLDTAYVPRNVRQD GVTARDREASMLFSHDGDFRGFSFIGGDPPSDLS H257_06721 MYRFYVLTDAVITCFESEDAYRSHEAPISAWNIRAASRWEDGLS FQGEAGAMLFLSAPSEADQQRWMAATQEKVGSSLQPIACAGYLTKQGHKRKSWKKRYF VLRGSLLSYYTDYDSTNKQCLAEVGIEDVQVWDGEMHGFMFTTSEQVVYYVYAESERE RQKWLVSLKALLDAQSTRAPKPLAMGPPPVSKVCPKCRHMVTGSKFCGNCGHHVDVPY DDPRPPRTPPRQPPQSQPQHIKRRDDDDEERQDRNSQTLLISVMQATHPGRSLVEPQN RQIEQQQQVAAKPDDMMPEDTTVDASVDDELAAALEKANLPNEAPTGVPSPRSNQATH NVIVVRPSRMADDEDYSESEDEQHPNQGRRRLTNDSIDEDEPPMLGDDKGGDVRESSL CELVMDGIVVGDEGNDKRSKMETYLEHTLGFDAQWVPSREAKASCRFYTSKNYNVAAD VLVFLGDSGKVGIWKDETDTIDDPTSPMSMLPYVEAALKLNFGVVLCNPHHNTVDVRD GPKIRTFTVQQSASPAEHLHFVWTNYIAANVLPTTRVGIVAAGRAGKAVITLMQDEEA TVYEKLNRVAFLQSTHAVDSSLSMVVLECLGRRAINWEGADGQALCSQVVNSQSRVGC VCMATGFKSANPPDVASMYPFDLTADHLKHMQVPIFAYLTANPAAPGMTAIVKHMRST LKKGRSSSGRTRAPPEAATPEPPQSKPPTKPQRTVSDPSITQFYLPGQRGHTGAAEMG KKRQQAGTGRSNISIKDFELLKVVGQGGFGKVFMGRKVSGPDSGQVYAIKVLQKDQVV SNGLVHTTMAERHILIEVAHPFVVKLHYAFQSDSKLYLVMDYLSAGSLAVHLRKWRKF PETFARFYAAEVALAIAHLHQVNIIYRDVKLENVLMDSDGHVSIADFGLSKQGVSGLK GAKTFCGTAAYIAPELLKGQNYGKAADWWSFGILLYEMIGGKPPYYHRNRDIMFQTIL TQQWVTFTPGFSDAAVDLIRGLLNRDPMQRLGSGPTGADEVLMHPFFKEFDWQLMLKR QVKTPYDPQVGKLDTAYVPRNVRQDGVTARDREASMLFSHDGDFRGFSFIGGDPPSDL S H257_06721 MSLGFDYSDEEILCRGYLTKRGHVVTNWKMRYFVLRPHASLSYY EDESMAKKLGQVHLAKVAPWEYNGSLAKSVSKRESRGSSSGAEESRYGFMFFTTKRVV YYIYTTSAIEHQKWFHAVADYYVHTSNVADCEGYMTKKTPGTLFSFSRPKFYVLTDAV ITCFESEDAYRSHEAPISAWNIRAASRWEDGLSFQGEAGAMLFLSAPSEADQQRWMAA TQEKVGSSLQPIACAGYLTKQGHKRKSWKKRYFVLRGSLLSYYTDYDSTNKQCLAEVG IEDVQVWDGEMHGFMFTTSEQVVYYVYAESERERQKWLVSLKALLDAQSTRAPKPLAM GPPPVSKVCPKCRHMVTGSKFCGNCGHHVDVPYDDPRPPRTPPRQPPQSQPQHIKRRD DDDEERQDRNSQTLLISVMQATHPGRSLVEPQNRQIEQQQQVAAKPDDMMPEDTTVDA SVDDELAAALEKANLPNEAPTGVPSPRSNQATHNVIVVRPSRMADDEDYSESEDEQHP NQGRRRLTNDSIDEDEPPMLGDDKGGDVRESSLCELVMDGIVVGDEGNDKRSKMETYL EHTLGFDAQWVPSREAKASCRFYTSKNYNVAADVLVFLGDSGKVGIWKDETDTIDDPT SPMSMLPYVEAALKLNFGVVLCNPHHNTVDVRDGPKIRTFTVQQSASPAEHLHFVWTN YIAANVLPTTRVGIVAAGRAGKAVITLMQDEEATVYEKLNRVAFLQSTHAVDSSLSMV VLECLGRRAINWEGADGQALCSQVVNSQSRVGCVCMATGFKSANPPDVASMYPFDLTA DHLKHMQVPIFAYLTANPAAPGMTAIVKHMRSTLKKGRSSSGRTRAPPEAATPEPPQS KPPTKPQRTVSDPSITQFYLPGQRGHTGAAEMGKKRQQAGTGRSNISIKDFELLKVVG QGGFGKVFMGRKVSGPDSGQVYAIKVLQKDQVFRHRLKQQSTSSGVGRIEWVGAHDDG GASHFD H257_06722 MGDNLPPKSVTSPSSLDEYRRASVHQSFSARPTDVTTQPSPSTG VPPRRHSALPPNSMSMGPSSAPSLAGANNAPPSNPLPKSTSSRRSFAFFGFGDKAPSS HSFVASHPPPIISNTGSTVDSLADSSLNSSNSSFSMSTLPASMDSSAPSGILASGYLT KRGHVVTNWKTRFFVLRAGGRLSYYADEGMKKKLGEVHLEKVSPWSGEANGFMFYTNK QIAYYVYASTNSERRRWLDALSDFYVEPDAVDCEGYLTKRGHLVPSYRMRYFVLCGST IKYYADETAYRSGASALAEMEVRSGGRWDGESTGLFLKTSTGSTLFVCAENVPELQKW LEALARVATDKPMQPIACAGWLTKQGHKRKSWKKRYFVLRGNTLAYYTDFDASNLRHG KPLGEVTVREVSAWDGEPSGFMFITNDSVPYYVFADNDRDQAKWLAALRKLFESATSM EEPDKTCPRCAYVLTGSRFCGACGFNLRGTSNERSRQASQPLVVPSDDFDNDDENSAM DDLDALSEGARTLLLAVMQNPSIQLGDQRNTNNSSNPNLVGALEQEIMDALEMDDDED VVGKQDKEVTPPAAAGPSSPVVTTLTPAPSIPPTTTEAASPAATGAADSPSQPAAAPG SVKSEASSSTTSNESAKSAPRVSSPPPPAPRQSTSARKDFVVPASTTNDTSFSSFGSD VDRPIVRAPGPFGKAQQQTIADLLHDSSDEEKDDDKDEKAAAATRRSQLFELKGSARQ FDTLPPNDDAHLNSPQVDASPRPSMDDSLVLVGVAGLKEDTATAVVAPAVSKPDPQKD LYMFMEREMQFTPLFVPSADAPVRCRLYASMAYSTSKKILLFLTDSGPLGLWKDDATT SDAPVDVHHPFAMLPYLHRAQQEGFSLIVCNPFSNSAKVFEQGGVERVVPIPQSATPK EHLFFVWETFVMPTKAEISVVAYHRGGALAVSLLDKFEDARRKIFRIAFIESKHIVNR DTLGASVLEFLGRRTIQWEASVDQPAGGQIVDAQERVGCVCLSLGATDNGDVGVLDKA EDAVFAFLMANPTRPGMTAIVKQVRSALRISRRGAGGSTRPPVEKSTMARGNSNVFVL GEADGGHQHNHSDSAIPAADSPSPDKPSPQYYMPKHVATARPTTTQPPSVQTISMKDF ELVKVVGQGGFGKVFLAQKVTEPRKGESFAMKVLKKQHVLSSGLVNTTMAERRILTEI THPFVVKLYYAFQSETKLHLVMDYLSGGSLAMHLRRRRKFPEDWARFYAAEVSAAIAH LHHVNIIYRDAKLENVLMDSDGHVRITDFGLSKQGVSGLQGATTFCGTAAYIAPELLK GQTYGKAADWWSFGILLYEMIGGKPPYYHRNRDIMFQTILKQEWVTFSPDFSDAAVSL IRGLLTRDPMQRLGSGPRGADEVLTHPFFDAIDWGELLSKRIPPPFNPGVGKLDTVYV AQNLQEITAQDRQVSVMRVNEHGPARHNDFDGFSFVGRSTSAVSLN H257_06723 MSSTTPIATVFPVDTFTSYCNYDAPLMFPGCVARAGSKWSAWID VASGKKVSEVGPADATRGRQFYAYPPSNYTFEFLDSMTSEALTIDMAGHGIKVLGNMN SQNASSAGTQPLKVKSLNLSNNLLTAIPRSVVFGQDLQNLTLANNKIAIVEAISSPSL RLLNLSNNVLASLKANDSSVFPTSLTSLDLSGNPLVDLGYVLLPPNLAELYLDRISTL QNIHHVDLTSLRLLSLRGTTVKTQWLVTEVHFNKLLARTNDKTLTIVTSDSTKFETND CDNPQTLPALPAPVEVCVDGPSDDSDNTFIYIAVTGVVSAVVAVVGYLFLRKYAQRRA AAKRAATPGVSFSVFVNHSTRPLPPSLANHPTTKPGGGRPRDPTLLASAEHKYDVRFD PAMQQFRIDHRSIVLDGVLASGGFGVVHKATYQGDVVAVKQLLPSIDGNSDAVTDFME EIRLCSMLDHPHIVRFIGVTWTTLKDVGAVMEFMPNGDLANLVRSAHNHVNLVWSLGE DSSLGSGAVSKLQILWDVVAGLDYLHGFHVIHRDLKAKNVLLGALYQAKLSDFGTSRS TVSDATMTAEVGTIAWIAPEVLKGSKYAVSADMYSFGVLLSEVDTGISPYSHLVTNGG SQLPKPMIAMKVMDGELRPTFSPQCPAQVLAIANRCLLHDPAERPTAAEVARLLGAMF KAMQGPERSKDSERSPWSFATAV H257_06723 MSSTTPIATVFPVDTFTSYCNYDAPLMFPGCVARAGSKWSAWID VASGKKVSEVGPADATRGRQFYAYPPSNYTFEFLDSMTSEALTIDMAGHGIKVLGNMN SQNASSAGTQPLKVKSLNLSNNLLTAIPRSVVFGQDLQNLTLANNKIAIVEAISSPSL RLLNLSNNVLASLKANDSSVFPTSLTSLDLSGNPLVDLGYVLLPPNLAELYLDRISTL QNIHHVDLTSLRLLSLRGTTVKTQWLVTEVHFNKLLARTNDKTLTIVTSDSTKFETND CDNPQTLPALPAPVEVCVDGPSDDSDNTFIYIAVTGVVSAVVAVVGYLFLRKYAQRRA AAKRAATPGVSFSVFVNHSTRPLPPSLANHPTTKPGGGRPRDPTLLASAEHKYDVRFD PAMQQFRIDHRSIVLDGVLASGGFGVVHKATYQGDVVAVKQLLPSIDGNSDAVTDFME EIRLCSMLDHPHIVRFIGVTWTTLKDVGAVMEFMPNGDLANLVRSAHNHVNLVWSLGE DSSLGSGAVSKLQILWDVVAGLDYLHGFHVIHRDLKAKNVLLGALYQAKLSDFGTSRS TVSDATMTAEVGTIAWIAPEVLKGSKYAVSADMYSFGVLLSEVDTGISPYSHLVTNGG SQLPKPMIAMKVMDGELRPTFSPQCPAQVLAIANRCLLHDPAERPTAAEVARLLGAML ST H257_06723 MSSTTPIATVFPVDTFTSYCNYDAPLMFPGCVARAGSKWSAWID VASGKKVSEVGPADATRGRQFYAYPPSNYTFEFLDSMTSEALTIDMAGHGIKVLGNMN SQNASSAGTQPLKVKSLYVCHPTSSPSSLLSQEPVQQPPHGHPSLRRVWPGLAKPHAR EQQDRNRRGHLVPIVATPVHPPLSSMCFYQPAALPSTRNLSNNVLASLKANDSSVFPT SLTSLDLSGNPLVDLGYVLLPPNLAELYLDRISTLQNIHHVDLTSLRLLSLRGTTVKT QWLVTEVHFNKLLARTNDKTLTIVTSDSTKFETNDCDNPQTLPALPAPVEVCVDGPSD DSDNTFIYIAVTGVVSAVVAVVGYLFLRKYAQRRAAAKRAATPGVSFSVFVNHSTRPL PPSLANHPTTKPGGGRPRDPTLLASAEHKYDVRFDPAMQQFRIDHRSIVLDGVLASGG FGVVHKATYQGDVVAVKQLLPSIDGNSDAVTDFMEEIRLCSMLDHPHIVRFIGVTWTT LKDVGAVMEFMPNGDLANLVRSAHNHVNLVWSLGEDSSLGSGAVSKLQILWDVVAGLD YLHGFHVIHRDLKAKNVLLGALYQAKLSDFGTSRSTVSDATMTAEVGTIAWIAPEVLK GSKYAVSADMYSFGVLLSEVDTGISPYSHLVTNGGSQLPKPMIAMKVMDGELRPTFSP QCPAQVLAIANRCLLHDPAERPTAAEVARLLGAMVRSQTYSM H257_06723 MSSTTPIATVFPVDTFTSYCNYDAPLMFPGCVARAGSKWSAWID VASGKKVSEVGPADATRGRQFYAYPPSNYTFEFLDSMTSEALTIDMAGHGIKVLGNMN SQNASSAGTQPLKVKSLNLSNNLLTAIPRSVVFGQDLQNLTLANNKIAIVEAISSPSL RLLNLSNNVLASLKANDSSVFPTSLTSLDLSGNPLVDLGYVLLPPNLAELYLDRISTL QNIHHVDLTSLRLLSLRGTTVKTQWLVTEVHFNKLLARTNDKTLTIVTSDSTKFETND CDNPQTLPALPAPVEVCVDGPSDDSDNTFIYIAVTGVVSAVVAVVGYLFLRKYAQRRA AAKRAATPGVSFSVFVNHSTRPLPPSLANHPTTKPGGGRPRDPTLLASAEHKYDVRFD PAMQQFRIDHRSIVLDGVLASGGFGVVHKATYQGDVVAVKQLLPSIDGNSDAVTDFME EIRLCSMLDHPHIVRFIGVTWTTLKDVGAVMEFMPNGDLANLVRSAHNHVNLVWSLGE DSSLGSGAVSKLQILWDVVAGLDYLHGFHVIHRDLKAKNVLLGALYQAKLSDFGTSRS TVSDATMTAEVGTIAWIAPEVLKGSKYAVSADMYSFGVLLSEVDTGISPYSHLVTNGG SQLPKPMIAMKVMDGELRPTFSPQCPAQVLAIANRCLLHDPAERPTAAEVARLLGAMV RSQTYSM H257_06724 MAADRLLRVVAALACAMVMASAFKYDNVTHTLQEPLSFLHPDLH GSPFPTGAFWTNFVLGQRNEVVVTYPYTVKLVHDQLHISYPFRVVTAKSIIQGFTPEI VVQLGPQPLQIRRFDDLSVTIAFPQATGGTIILHLVRGSPYMTLEYQDATPAISSAAN ILSVAASSPTSPYSQVTLGNWHQWLLFTSTPFAWTQHEHTWSGPRRFNGIVRIALALH ENAKSILAAHAAVYPTGASISYDLQSGSNVTDLTFAWTTTSTNASVSTSALLMVALPH HTQTFVPATATVPEIQYTSMRGPVTGVVGSTWHMQEPIADVPWDYPQDQGVFATPYSR AYNATIDFISSALNKDIDRFPAFAEDSYNFGKQFGREARLVLTAHRFNHTNVFAKGLA KLQSQILSWLQGTNVDHFVYDTAYGGLITIHGFRSDGEDYGNGNYNDHHFHYGYFLYG LAVIRRFNASFADEHKDAIVYILSDMGAPLGNASTSFLKNFPQRELFPTARHKDWFVG HSYASGLFPQANGKSQESSSEAVNAYYALALFTSLDPDDRSYFEYARLLLAMEIRSTQ LYWHIPAAQSPPIYEPAFAANKMVGVVSEMDVVYSTWFGDRPAFIHGIQVIPVTPITA SLLPVTFVADERSVLDALPVRTNPSDIWSSVLSLDNAIVDAATEWTSLQTTAYNYDTW SSAANAMHWIASRPNFGTAVVPPPTTASPASCFGYPACAVAGAFGGSLDCCHTLPGCC PSDNSCCTSDVDPSAASPSSCHAQPACGVLGLSCCESPDGCCTPSPVTGLVLGCCKAL SPPHDAATSTTLDNTTTTPAQCHGQPKCAAAGLACCDSPLGCCQPTASGQILGCCDLP SPSPDATKATCHNEPLCGRLGLECCGAPDGCCHDAPGQPQLECCSPPYTKNETPGKVV VGDSASTCDLNPRCWTAGPNGAALACCTDDTAGCCPGHACCNATTGWTFDRILLVVLG VLAVLGVVYCSVLYLRRKDYRPLERDIRAWYCGVFMVVMLGVFFYLAFTVQN H257_06725 MRGDEDDDDVEQGVVHAEIPTTFRELRACMICSLVKTYSQFYES GCDNCGFLNMQDNRTRVTDCTTAYFEGMIAMMQPKESWVAKWRRIGKFVPGIYAVAVT GELNDSIKKFLEERNISYCVS H257_06726 MPIADLERDSVSSEDLSPEDLRRLVFPKDWTLYDRFACAVSQQA FRGWVKQPSPSCAAAALAGALNTVYGYARTLPEAYTTMDILSVYRTQFEDILARHRSE ISTALGEACLASLEVAMQSGLQAQGLQYGGVGDHKVTKAVLRRCLRDCLPLLTSSDEV ELEANMQETNDESSITPLNVEVVVIDDYEVDDDDSAVSSMLPSTISSSLFASMLLYFH RKDGAAKLCRDDRPSTAVCGNVALLNAALYVHSTSVDTSGSRRIPRLGATCLMGKATT KCKLHIALSSTDSADKQTRDWKLLWSAFTDDHTALVVHLKNHYALLFALREWKDSSTQ EWTRQLLTARRCQRPTAWIDWSELRQIFLAWVGYKVLSFVVTP H257_06726 MPIADLERDSVSSEDLSPEDLRRLVFPKDWTLYDRFACAVSQQA FRGWVKQPSPSCAAAALAGALNTVYGYARTLPEAYTTMDILSVYRTQFEDILARHRSE ISTALGEACLASLEVAMQSGLQAQGLQYGGVGDHKVTKAVLRRCLRDCLPLLTSSDEV ELEANMQETNDESSITPLNVEVVVIDDYEVDDDDSADGAAKLCRDDRPSTAVCGNVAL LNAALYVHSTSVDTSGSRRIPRLGATCLMGKATTKCKLHIALSSTDSADKQTRDWKLL WSAFTDDHTALVVHLKNHYALLFALREWKDSSTQEWTRQLLTARRCQRPTAWIDWSEL RQIFLAWVGYKVLSFVVTP H257_06726 MPIADLERDSVSSEDLSPEDLRRLVFPKDWTLYDRFACAVSQQA FRGWVKQPSPSCAAAALAGALNTVYGYARTLPEAYTTMDILSVYRTQFEDILARHRSE ISTALGEACLASLEVAMQSGLQAQGLQYGGVGDHKVTKAVLRRCLRDCLPLLTSSDEV ELEANMQETNDESSITPLNVEVVVIDDYEVDDDDSAVSSMLPSTISSSLFASMLLYFH RKDGAAKLCRDDRPSTAVCGNVALLNAALYVHSTSVDTSGSRRIPRLGATCLMGKATT KCKLHIALSSTDSADKQTRDWKLLWSAFTDDHTGSMILYR H257_06726 MDILSVYRTQFEDILARHRSEISTALGEACLASLEVAMQSGLQA QGLQYGGVGDHKVTKAVLRRCLRDCLPLLTSSDEVELEANMQETNDESSITPLNVEVV VIDDYEVDDDDSAVSSMLPSTISSSLFASMLLYFHRKDGAAKLCRDDRPSTAVCGNVA LLNAALYVHSTSVDTSGSRRIPRLGATCLMGKATTKCKLHIALSSTDSADKQTRDWKL LWSAFTDDHTALVVHLKNHYALLFALREWKDSSTQEWTRQLLTARRCQRPTAWIDWSE LRQIFLAWVGYKVLSFVVTP H257_06727 MKRPNDNDGDEQKKKPALDNRRNSLAAAIEVESERELVVRECND GKQQLQFVKNIATSTIVPTFDSMIFCCILKTTEQGTHGFHTNNTVAAARYDDIGNPQQ TGQFQHTTNVKPERQQSIGIAHSAIASLGLSFFLLAHLTKKRANV H257_06728 MPRSRSHSPTIAQSKKRRNWSWEEDKVLLIQAATDKPFAAEKGQ LTNPWQALADTLLACDHFTRVVDGRKKHILLDDIVALLDDVKEITSQKTSNSVVEKEK AEQGALIVWDMAIRTMKRPNDNDGDEQKKKPALDNRRNSLAAAIEVESERELVVRECN DGKVADQEYSLSHR H257_06729 MILYRACIVRYHFKTDQGIRNLPVDVADKLAGTNPDYAIQDLYE AIGRKEYPTWTLYVQVLTVAQATAHLEAGFNPFDVTKVWPHDASPLREVGKLVLNRNP PNYFSDVEQLAFSPAHFVPGIQASPDKMLQGRLFSYSDTHRHRLGPNYQQIPVNQSVQ RPPRNYQRDGFMTVNGNQGSAPNYFPNSDPTSPQYLDTRDPVPQSGAALLAAVARYST KDDDNFSQAGQFYRQVLNDDERARLVDNIAGSLVHASDAVQARTVANFTAADSQYGQR VAQKVAELRAKAQPEGAKPAAAKLNPPRAAGFKPVDPTEDALPRL H257_06730 MATKKSVLSTSNGAPLPNHGLTASQTAGPHGPIVLQDFALLDHL AHFDRERIPERVVHAKGAGAFGYFETTHDISSVTSAALFSAVGKRTPIAVRFSTVGGE QGSADTVRDPRGFAIKFYTEEGNWDLVGNNTPIFFIRDPILFPSFIHTQKRHPSTHLK DANMMWDFISLRPETTHQVSFLFSDRGIPDGHRHMNGYGSHTFENVNKDGVVSYVKYH FKTDQGIRNLPVDVADKLAGTNPDYAIQDLYEAIGRKEYPTWTLYVQVLTVAQATAHL EAGFNPFDVTKVWPHDASPLREVGKLVLNRNPPNYFSDVEQLAFSPAHFVPGIQASPD KMLQGRLFSYSDTHRHRLGPNYQQIPVNQSVQRPPRNYQRDGFMTVNGNQGSAPNYFP NSDPTSPQYLDTRDPVPQSGAALLAAVARYSTKDDDNFSQAGQFYRQVLNDDERARLV DNIAGSLVHASDAVQARTVRGRSSIGWDVDVSLGGEFHGRGQPVWTACGSKSGRVACE GPTRRSQACRSQVEPPAGCRIQTCRPHRGCVATLVNSGSSAYHVSRA H257_06730 MATKKSVLSTSNGAPLPNHGLTASQTAGPHGPIVLQDFALLDHL AHFDRERIPERVVHAKGAGAFGYFETTHDISSVTSAALFSAVGKRTPIAVRFSTVGGE QGSADTVRDPRGFAIKFYTEEGNWDLVGNNTPIFFIRDPILFPSFIHTQKRHPSTHLK DANMMWDFISLRPETTHQVSFLFSDRGIPDGHRHMNGYGSHTFENVNKDGVVSYVKYH FKTDQGIRNLPVDVADKLAGTNPDYAIQDLYEAIGRKEYPTWTLYVQVLTVAQATAHL EAGFNPFDVTKVWPHDASPLREVGKLVLNRNPPNYFSDVEQLAFSPAHFVPGIQASPD KMLQGRLFSYSDTHRHRLGPNYQQIPVNQSVQRPPRNYQRDGFMTVNGNQGSAPNYFP NSDPTSPQYLDTRDPVPQSGAALLAAVARYSTKDDDNFSQAGQFYRQVLNDDERARLV DNIAGSLVHASDAVQARTVANFTAADSQYGQRVAQKVAELRAKAQPEGAKPAAAKLNP PRAAGFKPVDPTEDALPLL H257_06730 MATKKSVLSTSNGAPLPNHGLTASQTAGPHGPIVLQDFALLDHL AHFDRERIPERVVHAKGAGAFGYFETTHDISSVTSAALFSAVGKRTPIAVRFSTVGGE QGSADTVRDPRGFAIKFYTEEGNWDLVGNNTPIFFIRDPILFPSFIHTQKRHPSTHLK DANMMWDFISLRPETTHQVSFLFSDRGIPDGHRHMNGYGSHTFENVNKDGVVSYVKYH FKTDQGIRNLPVDVADKLAGTNPDYAIQDLYEAIGRKEYPTWTLYVQVLTVAQATAHL EAGFNPFDVTKVWPHDASPLREVGKLVLNRNPPNYFSDVEQLAFSPAHFVPGIQASPD KMLQGRLFSYSDTHRHRLGPNYQQIPVNQSVQRPPRNYQRDGFMTVNGNQGSAPNYFP NSDPTSPQYLDTRDPVPQSGAALLAAVARYSTKDDDNFSQAGQFYRQVRNEDGVVVA H257_06731 MAPHCQTMGSRHRKLRVRMDPSSSKTLPCSTTLPTLIANEFPSA WCTPRGLVRSGTLKRRTTFRR H257_06732 MSQRKRKHAERSTPAEPGDDIIALRIVNSTRKQYEGTLARLARW VEKEHPECIQHGEIVLPISVSLCKVFLTYSSYKRNRAGVELVPQRFNSNAAISGVLSA IKYLYTERPQTLDHELETMMNVDGKSRAHDGQCTCCHPGPLVDPAKKPFLLQVGVPEG KGVRLVEPTSTSKDFATRADQANFSKAKKVMLALLETSRRSEQEVTATDDCAIDTSAR QMQALWANVVLEI H257_06733 MRDADVLKRMFLLLENHAKPTGDPDCPEEVQTAKRIQRDIDLSA SVLSLDDDFEEVSGGFLSYTAKKRSIDKFIDGAADADAKTSSDIMTLFLLMDELAAKR EESRIERQEKYEKCGRRAAKKCIFSLV H257_06734 MDAQYDLRDLHDFSYKEVMKVTCDEDATVTWCLKVGLFKKVMLC PKCDGAMTMSLPTKRWRCRRSACGDVQRSIKADSLFVK H257_06735 MFDWASRKSVSVVTKEQEVSPTSAGDWFNFCREVCSAEMLTCEM KLFGGVDRMTKKWFGILTFDARTKIMSDKFGSYVSSNERHTLETNPRLRGMNYTHAWV NHSENFVNPINGSHSPSKVCGR H257_06736 MSNQTLGASPAASVDVAQPPNGHKHLSPTERQAVYEMLLGAAIG AVLPRGVIVKAAKQFGCHERTVSRIWVRAQLSLRHGSISADVRTKLRESAIRAVPLMS RQTLRSLSAACGIPMTSIIRHKKKTPRFKAKSNYVKPFLTSANIEARLRYAMPFVRPL SNGRHSFSNMLDFVHVDEKWFYLTKVKRRYYVYDDEEVAARSVKPKHYITKVMFLGPV ARPRYGHHCKKFWDGKVGVWPFVQVSPALRGSKNRRKGTLVTVPQALYFDAVLNKVIP AIMAKFPGGVRRGNVFLQQDNASPHRCVTTELLQAKGVRGIVVANQPPNSPDFNVLDL GFFNLFKVFSTKRQLVQSRSLLGL H257_06737 MGRTKRKHNEDASEAVAGYTSILERFKRWLLCEHREFVVDSVIQ LPLSTTLCHTYLDYASIKRDATGSELVPKRFNSFSTIGTCKSALKYLYKEANLKMHDD LDARLKGLPSKESSTLLFGVNAKARFSKWLLKVCASNEAEIVAMDMSFSDIGTHSFRK GVATSLSNTPGGPQAVSIWLHAGWSLGSVQGRYVFEGSGGDQFVGRAATGLQLSSSAF GALPPHIAALALEEWEKYLPDYATEYPACFRCVLPYLLASLALPHEWLKVTFSPGHPL FMSTVWRSGKLNPLWP H257_06738 MAAATSTPSLNAWFSRHLRCSRFTYLRIVEHVQTA H257_06739 MHWVKLALRFNIVTEQPRRVSPDSLKNKLRKLRTEFVSIQRSLT ATDNGEKTTPAMPGYYAGMLVAFADLHGLGDIEFSMERAASASLNEDNQGLDTRAIGE SISTSSM H257_06740 MTRFPPLTSMDAIVSGLSPMDAIIAGLSTRLSVQFGGQANQYLD ELTRLVDQSVSARRFVLLAQAVLADESRSNSFQPLLWATAPSTRPTSAALIAAPLSYP LVLVTQLATYLAFLEAANLTHESLLSMIRSGTGHSQGVVSAVILATATTQDQLVDLGL GFVRLMFQHGHHAQSMYDAIDTEPRPSHLAGTPMLLVRGLTESAVNESIRQLNHEHEL NPPLQVSLVNATTTLVVTGLPKWLNVLSATLEGKQQQWAVEYLRVEFLPVSCAFHNDL LRPAQSRIEAAASRLGLVIKGSALQFPVIATSDESVNLQEFGSHDILPALIQMQLCDV VHWPNTTSKAQSFPGIDRVLDFGPGRSTPLLLQPGVEGHRVITILDPPTSPVQGRAEL SDNDYQMAKVWATVLNVDVGAIGSNSSFLELGGDSMSAVQVVAACKVLGLNLTVAQLL RDPMLRNVARKANTSPEPVAWPHVTVSTEVLGSIDVNRTQHATVLPATPMQAGMLAAT SANPSAYTLQITLKLTDRASQSALERAFQRLVTEHTILQTTFASCPHGLYQIVPWSPP ADVAISHVSNVSIHDLLKTDLARGFMLDDPSFVRLAMLSSPQSLEVYGVLTIHHALID GWSINSLLSDLMDLMDQLPLAPRPPFRSVVDYMENQDAVATRAFWQAYLANVVPTPIS SHVSPGLNDVHSAPLSMLHSVSLAQLTRLASQTGVSVSELAKFAWAATVRKFSRQNDV VIGQVMANRGIPVQEADRILGPLLSTVPCRVTFVDELPLVKLLQHMQAVNHAMSASFS HASLVDVAKWSDGIRPDQLFDTMFAFQQWPPRRSRAGVEVIESWKDSQGQFTQNYAFE LLVEPTSHGALSTTATFAPEKLSHAQAREILHEYDHTLTQLCAGLAADASQPTTALAL WTLSPAQTELIHASSFGPEMELPFELLHHAFEVRAKEFPDARAVEFEGQWLSYGELND QANAVACELAELGVCVGSRVAVIMDRSLEFPIGLLATLKVGAAIMALDVSFPVARLSF IVSDANVLTVVTASRLLKRVRDMHLGTHVVDVAVGSKQPTTSFTPSPQHVATRNDEAF IVYTSGSTGKPKGVPVLHCSALNTVFDWNVQAEMTEGMRVLQFMAIGFDVCQMEVWAT LSCGSCLVLRSDNDFEAMSSVNVLMCTPTGLGHLGCPTQYPNLQIVMVCGEVCPNKLK KDWSGHVKFINVYGPSECYVTHLAHLGPHDSVTIGRPIANVRCYILDQNQRQVPQGVV GEIYLGGIGVSPGYINVPLQTIERFVADPFDPAKARKMYRTGDLGRLLSNGRFDILGR MDSQVKVKGYRIELDEVANAMMQHVGVVSAAVIVKDKTHLVGYFTPASVNVEALRETV VDLLPVYMVPVVWVGLDVMPQNANGKIDKLVLAAMEVADVFEPVESEMEAKVAAVWAQ VLDVSVADIGRQSSFYALGGDSLSVVKVVAASKLAGVHLTASDLLKAMVLWRVAAVAT IPQATICPSAKLNEDAKASIQQEWPAMAKWPDCVVYPVTGLQAGMLVATMKNPSSYVL QSAVHLDASVDMAKLQSAFQALVARHEILRTAFASTSAGLCQVIRSDANDIEMAIVSA TTIEEFLHLDSVRGFELGVDHFVRWTVVKTESNTFGVMTIHHALNDGWTFPLLWEDLL SIVKGKALVDRPSFRHVVDFIEAQDKSETEEFWRSYLDGVVSSTIGTSGAQLHEEVVP SSPLCISSKASLAEITLTAQRFDVTVAELAKFAWAATLRKYTRHNDVVFGQVKANRDI PVDGVERILGLLVSTVPCRVQFDDALPLSAMLESIRVERGVMTPFTHASLVDIKKWSG VEGELFDTLFAFQTSAARGADQGYWSAVEGSMKSQFSMEYTFELIVAPKAGSLEINAL YKPGCMSRDQAQWIMREFDELVWQLCTESPDKTINLWDVSPAQGEFIQNSTFGPEVPL PFELLHHAFESRALKHPELLAVEFEGQWLSYGELNAQANAVACELAELGVCVGSRVAV IMDRCLELPIGLLATLKVGGAMMPLDVAFPLDRVSFMFADAGVDVIITTDVHVGRLRN LSCQVVTIHSERLALNPRAFKPQPCHIASRTNEAFIVYTSGSTGKPKGVPVLHQGAVN TIFNQPHGFHHYREGNRLLQIMAIGFDGCQRDTWKALSHGATLVLRGNDMFDAMPTID IISTTPTGIAHLGDPKQYPRLKLIAHGGEQMPSSLKDLWADHVSLMNCYGPSECAIET HVQQLTKYQPTTIGRPINNVTCYVLDASLRPVPIGVVGEIFLGGMGVSPGYINRPDES TYRFVPIVLDGDSKLVFRTGDLGRLMPNGHFEVLGREDTQVKLKGYRIELDEVANAMM QHVGVVSAAVIVKDKTHLVGYFTPASVNVEALRETANAVACELAELGVCVGSRVAVIM DRCLELPIGLLATLKVGGAMMPLDVAFPLDRLELVLEDAGVDVLVTTDVLVGRLEGLS CQVVTILTERLALNPIAFSQLPCHIASSKDEAYLVYTSGSTGKPKGVPVLHQGVTNTI FNQPHGFHHICEGSRMLQVMAIGFDGCQWDLWKALSHGATLVLRGSNIFDILPSIDVV GITPTGLSHLGEPSQYPRLKLVAHGGEVMPSALKDLWADHVTLVNRYGPSEGAIETHV QCLSKGQPTSIGRPINNVTCRILDTSFRPVPVGVVGEIYLGGICVSPGYINRPDDSTY RFVSIALGNNQPPECMFRTGDLGRLLPNGQFEVLGREDTQVKLKGYRIELDEVTNAMM QHPDVVSTAVIVKDKTHLVGFFSPANVNMNALRETVAALLPVYMVPAAWVGLDALPQN TNGKIDVKVLATFDVNVVIEALESETEKVVAAAWADVLGVEVNAIGRNTSFFALGGDS ISVISAVAMCKKAGLFLTVAKFMETLVLWRVAAAATTASDMLVWPQATVKASVRTQID DEWRAALGGGDIEVYPTTPLQGGMLFATAKDRHAYVHQTPLLIPSTCSLADVDGAFQT VVACHDILRTTFVSTSSGIFQILQKSQGDNVQQLPLSIATTASLKDFLAEDLARGFAL GDKSFVRLTVVSTERDCSIVAVLTIHHALYDGWSHAMLLNDLFKALQKRPIVSTRPSF RTVVDYIEAQDMVKAEAYWTTYFSALSHATLVNSLVRPSVGDEKHFLDSISIPLEGLT KAAQVAGSTVADVAKLAWAATLRKFTQRNDVVFGQVMANRDIPVHDAETIMGPLINVV PCRVTFDDAVSVTQLLQSMQAGRVASMAHSHAGLVDVKRWSGLGDSDNLFDTLFVYQN LPSSGDQDGFAIIENTAHTSHSDEYAFELLVQPSSTSLVIEGLFHPAMMTSIQAQMIV HEFGETMLQVTNCLVKAPSLVSELWRLGRAQIEVLQLQSSPLSPLVPRHGQLHQLFEH QAKSQPQARAIQLDGMWLTYGNLNAMSDALAAKLVVLGVSSEARVAVVMNRDSFEFHV SMLAVLKASGVVVQVNNVHGSTDEPVYSTVELILLPADQPNSVNTSATTFHVNLADLN TPASPCEALTASSSSADCIEFTDKPTRQVVRHLDVIRAASDLAQELKYSNCTRVMSFG TTDLSHFYDTWTAWSCGGTVVCPGHDVLKSLASVDVMTGSFESVSSVSHAALDRVVVW GLGGCSLPTSVAGTSCRRTHVYVPSQLTRPTHVSDDQASGWRPMHGIQSTVVVNPHRQ VPVGVFGDLYTKSVVENAMQSDNTSDVSTLTGDRGCVLPNGLLHVDTLPQTLRQAAVD ATTAVHSMMNHENGSYLIYPTTPLQAGLMFTTMQDPTTSVHQFAFRLKSLNVQRLSGT FQQLVAQNELLRTRFVSTPAGVFQVIHQDMSKDAKVAQVTAKSLDEFTTHDRERGFHM GDRMFLRMTVVATASSDRFLVLTMHHVLYDSHAAHFVMSDFQRLYQTFPVVPRPPFRH VMDFILAQDPAVTKAFWQTYLGGSSGTLLGVEVASTSFENTEPLCVRAATSRSKLAEI AAAANVTLATLVQFGWAATLRRYTRQTDIVFGQVLANRNIPVQGVERMMGAVMSSVPS RVQFDANTKLATLLGALEGSNRDVIPHGHASLVDIKQWSGVEGELFNTLFAFVESQAT LESQCDEFEAVDTSETSHTNTSDYYPYEVIVVPATDDGLELHALASPNHMSWTHAHSI LVEFDDLLATLANLPNFAEASVDVLDGLSGSQTHFINECALGPQVELPFAFLHHGFEA HTSKYPLDPAVEFNGLVLTYGEVNDQSNKLARLLAELGAGVGSRVAVIMERCLEFPIA MLAVLKAGAAMIPLDATFPAHRLSYILDDADAVAVITTEANDYRIDEMELSIPVVFTS SIDLAKAPPVTQHLTALASPKDEAYVVYTSGSTGHPKGVPVVHDAAVNVIVNRSSDIP RGSRVVQFMAIGFDGCQWETWKALSFGGTLVLRQSDVLATVASANVLSCTPTALALLG DPAQFPRLKVVAVGGEAMPMAINNLWASSHVTLLNCYGPTECAIESHVKELHPHAAVT IGAPIQNTACYILDKWQKPVPVGVEGEMYLGGVCVSPGYINRPLETESHFVADPFAGG SMYRTGDIGRLQWNGEFEVIGRQDTQVKLKGYRIELDEVADAMQQHPLVTSAAVLVKN NTHLVGYYAPSTVSVDDLRAVVEDLLPPFMVPAVWMGMAELPQNANGKIDKKALAHVD VSVHLERLESEAEKALAEIWATVLNIDATTIGRNTSFFAVGGDSISTLKVLNCMQRQL GMTKLTLSAILKHPKLSDMAALVVC H257_06741 MDLTAGRLWKVDACIGLVGDPYIGGTELEGGHLSNGLLMVGHFL AQIDDDRVKAVAKHLQDAVELSRSKAGDSKEFTTVLGTFKDFLANMQVDVPYVIPGGW EGKLTRNALLYIAEKSSDNTYSLTICNRGPGIEYHPSHPDQFKVKVQGSATIQSIPAA RFLDMSFWSMAFALWLKSPPSEYHRVETLYDVLLPWLADSVLPTGFAIGEAPVFTTAT RNNTGFAKNVVEAAKFLMRKQGLPHATIKRVLFDLRWDILKQIHQDLLVVQNPTLPFH GVAPEVVQILAGINLIDSVHGTHNLAQLSTASVVGLYFSSSTCGVCTTFSPKLHALTQ HVTNARFPIVVVPLDGSTDEFAAHLNSLPPSWYCVPVTEVDARKALVKLFHVAAIPTL VLTDATGAVKTPLGVQVVLGDPTGASFPWLPPYELPIERLSDTEATVLDFAIKQTGLA ALKQNDAGRLATDELVAVQTLLQSVENTAKPLREMPPHRVADPSTLTEQVPVLPFEHL EHFQTTDVDGYAGNVADATIPVLTSMLDIPHHVSTLAEAATALRHCEQVCQSLMHRAA DGSSSSRVALHYEVIHVITTLFVEILPVPNPSEADFWRGEITQAAQVDCLTQMHNLVL TFGLVWQSIDRPSRHMDATRSLASMCALAMYDVLLRNLAVDAPLAMSVLVAEGYVLAH SFCQNSRTLEDMTRAMELVQPSFGIVRGHVLAYFAGRQVKNATPVFEFRMPDEKVEVK KYSATITFLRKLMEVYAYPLIDMNDQNPPSEMEALVDWLTSDATPLAQHHAEFALTRD VVTMVKFLATMETQEDELMRRRTGLRQWQMWSLTFDENTRFRRRANAAVPKLKWEVSG FRGNDQDIADIDVSGFNGRKLFFGEGPVVISPTALPALLHTSAAGITEDDVLHTDTLP LFQGTLSSEESEYLLGYLTVPYTRIPLVLNFFASRDRVMYLFNPSLQALLRAVLFEGS DWVYRDAAAAPSDDVITHVPLRKSTLALQEDALEQAMDARVRHQKAGDHLGTMNGLLL NELTHSPDATLGPVLVMLRAITELGNASVHSSDASFLLFMIHLGVDVMRYVSYAAFEG APEGVRSTLRRLRTDLAGQIQGFGLATLEKWRVEAEDANDLRTACVVHSYIAYIHVNL HEDEYSVENVAQLMGSVGYVRNWHCFGMRVTIDDEDGMLSSEERLLRWLQAHGIDTKN VSLSSLEKYLTERPLFLKVGMDIVQAPNILKKSSDAAAKSPPGSVLENEIFELLQAKR RSLVTFLGNLPAHDVNNVLNTMLHIALRTRASAPPLHTWEVEAAGRYVCVQAEMKIDF QSGEILWRNDELKPVPDSMVQYSDYRHQFGQEALHCGLIARQSHRLWVHIIGTPFELM EWDEPTDDDQGVGTPQKYIRQQPAPDVKMYWECPACTCANFNGDQPHARCQVCQSPKE PPPSPPPQQAASDASPATKGFVVHDVVYNRVVDPYAKEDHPEASEVWAVDLVRKLLKA LFPEDHPTKKLPCMFFLPEMVLSSDATTVKLVGWHENNPPNAEFQSTFKEIVVYRWYG MAHLFAWVSHGRRLCRKLIFTSNARLSLHGFVPTVEGQGQVDASIQFAAGDFMELQPA GGSLVIVRRGHGMEETYVPPRLLQGLVPSAFLESFKFWLGQDNVIRGEPLDLHSQWFR YRLEVALEPHATILRKPVAASTTYDQTSATSPGIRPPPAPVAAALLPPVPVNDEDVVH LMALGFSYAGCVLALRECRSDMEHAAQWLLDEANQAKLIAAEETILLADAAAAVRASS VKDMKPVSDSMTAGDWVLINPLHVPSQDSTIARLCQVLSRVEDLSHVLIWTTGVDAYK NLAGIASIELPRLKLKLTPIVGPEDGVVRLHLVDQPDWFVCLDVPASLAALSLPTDCL VFATSRDELRVLVPNHDLYRPTVVGVPFSTTIVPNRASAGWQHVMETRFYLYPVHTSH RFLTSPSLSATFYLILLHLMARQYASAAQYIRMSHIDVEFTDEEAWIFNQVVKTLDDR HPDACACRVLLNLAVQYSPNKCPWRMADELHTVSSSVAHVQAACRLSLEEEGDALRRS ETLSSLLHTRLAICHQPQPGTVVTLKPARLRVGGQPWVKLNMLSTRFLQEHATGLTHV RYKPPAAESLVDEECLKLVWDHMVVADEASGANRGLGCLFLYQCLQGRVKLQLYGEDR TRSLAELLGRLLHLKLARWGKEVVEDGEEEVVVPVAITQISAVLASPYHEWPVLPQDP SSKMQLERGINLHSKALKDSMLKHFFDLTKVEMETLLHTTQDIPRLRQQLSHAHQSVY ETNVTVAASPFALLEAPTNSSCNSREISCDLNPLAPLDFLDAGRYVVHTPRETQLPTT LPFDLKQHPSAQSVVAKDLLSRLAEDVKHHADATNSTQDVYFNGIVRGQFNLAGAEEQ LDHLIADCTTQRTKDKAHLEQCIAELEQRVNAVDVGSASSDNAAVTLAKSRFLLARQA RQNQPADLNYIAASLASTNMAADLQQRNPFFDVATLPAVLKSVQDILFGCNRINQLNR LIQSAETLKRLTSNASIPHERLLHASQDVAHQLLATRHYITQQSQFDPRFLMFEYVFE LMLRSRQVEMVDSFMRSLRGGTSRVQQMIMGAGKTTVVGPLLTYMLADSTVLITHVMP TALLEQSRAVLRSRFSNAILAKRIFTLSFDRSIDDSPDLIVSLATKLTRACRQGDVIC ASPEAIKSLFLKFVELLHSLDQSTFDADFDLHDASKTGQRIRKLLTARSDMADELHRI LRLWQQGILIMDEVDVLLHPLRSELNFPIGNKFPIDLAANRWELPLFLLDTIFSTEHA ALADALAYGYEIHALQRFPHLVLLDQGYYDVTLRPLLTQLLYTNWLLPHLKMGKNDLS KQDITAYIESESLQSFHIADQVSDHGLKLLNLSRDWIRSLLPHCLSKINRVSYGLLRH HHMQHTHTPSRLLLAVPFVGKDVPSRSSEFAHPDVLIGLTILAYRYEGIRRSDAKTIV SQLKHDFSRQLGPRDERPACVLFRSWLVQGLESTGSVHGVLPLPLFQLNDASQVTRLL QLIQRLPSVVYYYVRQHVFPSCMNFQQLKVSACGHELGSHSLFARRVGFSGTPSNLLP LDLGTCHYEPQSDGNIFSVLTSPSVTTLERKLNWTATTLLRDIATSDPPVHALIDTGA LITGMDNEQVARYLLSHLPATMEGVVYLDTSDRQMILLRDHAAALPLIQCGLSPDKRF SFYDQVHTTGMDIKQGINARAVLTLGKDMTFRDYAQGAYRMRGIGAGQTIHLYLIPEV ENRIRQELSLVDAKSSLDSFRLHVPAWLLVNSMKMESMQLVQLSLQELHNIWRTRALE ALLVDVDTNKSKHSSGQRLLRFHQSLALRPCIEAFRVQVSYAIAAQVPVPRAITDTIQ ELTALHATLLEPSDYDRVAQVTARVATLMDMSSDMHLNAEVVHENEAEAEEEAEEEAE QEEQKMSAYPRDDEHPVPWSTDALLQCPSTGDAFYPLSLFQAQSACRAIAFPGTMLVS GNYFRRRWIGLGERRLKNIGFLMEWYPNAIQNHLKERIGVHFVTLVATGLPPTDAAAQ AIHLASQDPMTTSVATEGYVVALSLAEGETIRRMLHCAHPVFTSSRVQLHMSDGALVE SSHRAGPSLAHLPEALQSFRFFNNEMYYTPEQVAALLSGLTSVPLHWRHDFFQSTLRL RRRERQLWGDTPLAKVFTKEDEWHLLSARAKMEQFQRGIKAKKISLVTAWHRFDVNNH GRLSYDELLRCFESFQLGFSPGDLNEIIGLMEGQQDGVTIAKLAHAFDVDLLVESMVD KSNKPDEHTQDPWLCVVCTFQNDGLVTSCVMCNEPRDHTKQVAEVAQTWQCENCTFIN PIAETTCAVCEMGASGRREVPKDKWICDPEQGGCTYFNLKTAFYCDVCNRARPDLATH RF H257_06742 MGRRSRSRSGSMDSWGRKRVRSSRAERSDRDVRDRDRRRSRERG RSRSRSRSVERRRRHSPSPPPRRPVRRTPSPKPAFEVLSTQDFRERILQGEGGSSPSN ASQNKPPEPEISEEEQMRLLMGFGGFDTTKGKVVEENLRGPALGTSNTKSKREYRQFM NKRSSFMKPKPPAPMNC H257_06743 MGEVSPQSINDPLVAEPTPTKEEAAAAAPSSQHQPDQDVYVPIQ DSPQSRMSESNRENGLSRRTLSRSSTRSYRASRRSTSRVRLSRLESMLHEEHSKALVP SAVLWVTVGIALIGAFQFGWLLSQLNYKQFNAKCSAPVIQKGNCLVFPGHSSTEWTMA VTSWIVGGAIGAMASGYPADKYGRKRTLFLNALVMIVGAAVQVMSTDIYTFSIGRVIS GIASGAAINVCNVLISEISPCQMRGMFSTGLQVGVAVGSLAVTTAHYALNAEAYAWRI LVGFPAVLGGLQVLLMPFVTLSPVWLVAHGHIDDAGVELTRLYRPTNTQAILNALVAA HEEERKESSGVNPWKTMFSSKYRKQLVIAIVLCSAQQLCGINAVMYYSSSIFASAGVD DPRVGNTIVNVVRTSMIILAAYVMDKFHRRTLLMGGMSVMAVAAVGIMLSLSYQNAIV SVVSTGLFVGAFCLSIGPMGWMVSSEIFPDFLHANAGSIGTMFTWLGNFIVGVLYPTL SAPDSLGAYAFSIFVALLVGFVLFVCIVVPETGHKTYVEIQESFGIHEHHDVDVDVDE DPWACDDDELPKKPF H257_06744 MVEAFKSTDKAQRLWGTGSDEEVTEQVQLLQDLVDRRAATDEAK KSKKDKEQKKRDSLESTGSQLCLEAEQRVVKRQRSVSSVTTKKEDPDAVAQDLLEFEK KKHSDDHINRMERLEFEKEEQKLRLAQMAENTKRNDQLERLLLEMGKLIQVVADKAKY H257_06745 MQPQQRRFIHRSPVPLRLKLRLLRRSANRAHLTIPNVSFTLDTF SDADCVQKFRFTKTTLRSMANFLRIPARVVTAERTACSAVEALCILLRRFAVPDRWSD LMSMFGRSRSGLCNIFLHVLDHIHNEFADIIFLDRDRISAKLADFSQAIFDKGGEVEN VWAFIDGTVRECCRPGGDERQRCVFNGHKRRHAVKYQTLKVSLAWTVVLVDLLFMVTL PMVILTNWPPPLEELV H257_06746 MKSTCQQRLEARQAQLKASEISPLEQLQAMGERISRARENRKQH VAFRRHSARDRVMKAQAVARATFEDVQSRSQGQQIRLQTRLEDAEKRRLDKLAQTTSQ CQQRNERVLSALQGLADRTMEKRRIYDASVRAARYRRAVLTSAYVSKLEKHANDVESK KDRILQTRRLDAATSLQRWFRHGRHVREVHAALRPLRPSTQAILDLWASVGRSTVDTS MMLLQNRQTAAKAHAVGKVLFPATSFRILLMAGMLMHHPKDVMDQGFDPVLHFTAKRV CHALMDLTTMWSTSLAAFASSWRRWESSRLMYTSTFAAWKKRDGERLTTDMLNVYAEL FTVLTQATADGTDSAMSKTKDQLKQLRSALVQALGSTAATDRLDALEQELAAKLSGKP AAGHAPSTPPTPRSPAKKPNLEFTKSVFANDSLTHELILNPRFQLPVDDFRENGSLER RVQATMHHAFWDQVQVTQDPQWIAGILVELRDALGRVCKVPRNFLNDAQLEALADAEW TEWVALQQQVLHVIAANEAPARSESTAARRALVLDSSPTCKADGYKELTSFFRFCFDK ADEIRGDSLNAHLALLAPYLQRHGVEHEAKKFEQRVAAGSVTLSQTTAWLQRQHGTDV RTIVRSGLIALVETYIESPDKLWPETFAMDVGRVRGWRNRVDATALQASCVALVREEC GHFGATYPAEAAAALCAKLAILLDESCGSVKMDDLVVQVVHEVGSYMKSPWPSPAARE TFQKRVADVARPSNVIFRLFFQRIVAVLKTHVMECDGKKKAGNDVAVPSTLALFAPDL NALCREMALLAKHNEAVHATTYNRLVREAATIRP H257_06747 MKTTLKAFCALLSEWLMQPDQWRLIVPVVMLVLNQSPSDTLGGV APIIAMTGIRAMSPLDRIPIPAATKVTTLHDLLSWRNDDMAAMATALDKMHATVLDAA TRKRKKNRERRSKKKVAEMAQFDVGDFVLYIDVWPISHSKLSVTWRGPAQVVCVRWRG LQAIEDSWEPAANLLEDIPTEFKRYVRSNKADPQIKAMAAALNVTQSLGGIVANLPFA EPLNPSQEGIQVFD H257_06748 MPSKSTIGNALKYHATQSSRADCKVRTNDRRSSLPDVESKVVEW VLPREELGVCLTGELIRKQELSVSATLKVPADQRHSFLKGCDVQVPRKHGLTSKLQDD EAGSTPPEAVQAGRNEMQTITSGYESADIYNMDETWFFYCLSPHYSITRNRPHGGYAL HRQRNATKMFWRSDWR H257_06749 MAAFKRFLRDEWLVEEIIDGDDEFDSPYAGQKRLAMIKRAIMVW EKSSEDVIHQRFAKAIPWA H257_06750 MTTITFLSAYTLGLAAIANYAQAHGRLIAPPHRGYIGQLDQFAG IIPFDYDDHNLNGGGIAATSGGKFGVCGDSYSGNRQHETGGTYGTFPTNGAKAIGACY APGSTVDLQVQLMANHKGRCSPLE H257_06751 MTPTPVNAMRDQLISQHNKIRAAHGVGPVTWDAALSSKVQAWAE SCPGFTHGGPDGYQNLATYTPCGTNPPCDKVVGASWLWYNEEETFWNYDSNTCNGLWK TCGHFTNLISPEVNSIGCGWHQCGNGNYVWCNYNTPVKKPKVSRIRGITKAQLLASLT D H257_06752 MSPNENITHVNSSGSNYREWSNSVTEKLNQKRLGKYLKDPLEPD RSTYAHGFAEEDDLVARSYIKLTIHQDQLKYVSKTQTTYDTWQALEEIHERASATDLL TLMTEISNLRWTSDKAFDDFTDKYCELARKLETAGDLIPESAYATKLLVLMPEQFHNT VLHINRNHSTQPKYKTLPEATEENTCFYCTKPGHVARHCRRKTDCTKPGHVARHCRRK RRYGTWNLPAGVEWVAADAAGATTDKITPTMPQKTSSS H257_06753 MSDYDNGDDEEYSYMSDGDEVIEDGMSLVEKATHHEYQVVDLGV LQAQQTHTIAETSDMLQVSKDVAILLLRRNGWSKDIVQDRYYAAESPLAFLQKQGVAL VDKLQLGAKNDLDCGVCGDTVNCTNVAIMGCGHGYCADCWAGYLQAKVEEGPCCLLTT CLAYKCDEAVPDTMFRAVLEGPSLEQYTKWHLRSFVDQNGSITWCPSPNCGNAIRGDG GECFASCACGFQLCLRCGQEAHPGVHCGLLRAWTEKCAGESENARWVVAHTKQCPNPK CSVRIEKNQGCNHMTCKSCHHHFCWVCMGPWKAHGGDAYSCNSYKDEPATVKTARTEL GRYLHYNERFLNHQKSEAICIRVRDDAKAQVDQLTDMMVVDFQNVQKALELLIECRRT LKYTYAFGYYMDEHGFHAKEKALFEFLQANLEANTEVLTGLTETPLDTMNVADVINYT AVTEKFLHGFLDGVENGLCG H257_06754 MTTAAISSTATLRSSSKLWSTTQSSNVSSNSAWSSMSLGTFAGM SRGHDKCTDACSMRFLSSRNFFVFNACASSTRRSCSLRSISCFSNAVVSASMRQMSSI RLNSEMRSESARLAMR H257_06755 MLRLALTALALLPLLAHSAPNITLRRTDRVVIVGGGPAGIHYAS LLAKKGLTKITVLEATGDVGGKSQTRIDAWGNPQEMGTVFALDSYTPVFDLVQEYDPK NSKFVFAFEQPGYMATMGDVIGVRDSDASVSMDFPTFLLHSIEENAPPAVQSNATKSN LQSVFLDQAMRYIRLHRSIFGSYAYGLPPQPKDWSAIDMTAMDFLKKHNLTALIGMFK FSQQQQGYGVLETIPAFYFLWWSHPTAVAKILRSQVSSQPCAYSLRKGFQSLWRAMAY AHSDAVQVILNARVTEVSRGIESNDDDDDDDDDDDDFDDDDDDDESPSVTYINRYGRS ETIECDHVVMAVDLSQFVNVVDDLTPAERDVFVGTYTASTFITTLYESKPCPVETAAH VWLNRMNNDGRLSSLRNSKLTLATKANASNWGDLILGRQVRVAYQFYDRPLQNVNRGD ARDLLMNDLALAGMTDVALWTQSHFNYFPRFTPDGLKKGLLWKIWDMQGDSKTTWIGS SVSFESALDVVTYNNNLIQRVHVV H257_06756 MLSESKLVGIQGDASRVRCHAAKHAKLHRALPMNISSSRHIVRM LICTAAIDQTPEPSPRENASQQVDDVNSSGRRRTSMRLATTLTPHGNTKSSSATMSTT TSTSKKKEEKYMGIGVVVDCKDDRGHWNEGRVIDVNTSARLLKIHYSGWHKRYDAWMP LSAVAAHGSRVKTATVSAQSLKLTKMRSNLFRLNPNYVERSAPSKSTSLATPPSQSRN ATMNLAKKESEASSATPATSMEATPIAAPLPKTRSRVSPRTMIEAIANESGSDNATSS PKQLQTRGLSNVPLPSEPTLVAEQSATTPPPSRGARRTSRRLLTPSPSPPPNVESNPR PIITTHPQQEDSTIIPAVSVNNHPSRPRVGATPTPKKPVKATKTLDKSKSPPLQMKPP AKADLTPPKGKVTSSKARDSKKRTPPPPTSPAMCDDVNPHKKPRTDKPGTTLWTGPKG PNVVDKHLPSKTSLAEIFRNRVRDQHLQPLPPKAPVMPSTPPHKLETMKQRKHVRATN VMYMEQQEAFLRESIQRWTQVQQDMIKDVTSVVVL H257_06757 MYESFKQQGKRLLDGNNEPASPTVALSDDQDYQASCPSLRLSYK ERLLGCGICFFLGMMLSFGSTARLGQLVAGRPAPFAICYTLGNLLSVGCTMFFVGPVS QCQSMFHAKRRVAAAIYLGFIAITLTLCFSPSVPHRSGLVVLSVLVQFGALSWYTLSY IPYGQAAFLSVTKRFCCQPDVV H257_06758 MPRDQDVRRCFQSLSKHFEADDVYAEFQEEDAEGRGRLKLKHFV QALSSLLGNYSSIDVNRVAKAFERSSKMVNYTEFCHELQQYMEEHAKSKGESSDGPTT AGKSRQTGRQSCSTDAATDDQSESSDHSRSRTGKSKRAADSDDLTKRTIRVDHRLEQH RVLKSSIRQKILRGVRKPAKGGFDGVRDLLLTQDSAGDGTLDESVFVDALLGHMKARP TQKEMAYLTANLRNKRHPSRINYEQIGHFLSVDSEEEASTSGDDDRNDVAATPSARPR AWGPPSPANKRQLDRPHLGSDVLTVERNLKQFMTQRVPKGGVTATCCHVPKSIFTGAE KFVEACELYDPLETGGLSEDGYEKVLGFCGVAVTPAQLRSVLSKFTRTSQGLVGIAAF LERYDQHLATVKHRQHLKTILQRLATDPDRDNAALLAHFRHQLEKLDARVTGVPTGVV SKKDFLACLTSHHSPMRWPKQDTEACLALFIDPSKASKHKDIRVVHYPEFLRMFQELC MSSKPDGVPCHCAENLSNVSNMDAIHQKLSDFLHDESRGVGTRGRDIAEHAFEAADKM RHGVSAAGYLDERDFFTVLRSIGMGVSPAEKQLILHALAQAGYISIEGVQYLAFLRLF DATPPNSSSRRRRNPPASIVFQSSSLGNMCVGAYLAEHATAAERGHFDAIMTTLKDLP PGEAIESTDQLVYHLGPTLKVSVQFFT H257_06759 MPHNVSKPSTMPPSPPLPSSPISLRLQAPKRESCSIKSLESPHT ASSTSSDGSDAPSPKSESFHERMLGMVQHALSTYSAEAAAISKMAWKVSLATLCRTLL PAITAGFLGHLGSKELAASALANIWVSALQIFIYGFSVSLCTLCGQAYGARNYELVGI WFQLGVVTLSVISIAMGLSFLYVGPMLAMLSSDAETLALATVFCRYSVLSVWPQCVNC ALRQYLQAQEIIAPGTVVSFLSVPICIAANYLFIFYLEFGFVGSPLAQFVADAFQPVA LFLYACWFKRYHEKTWFGWTWACLRPDRVRRFLWLSMAMTLNVALDEWIYSVVTAIAS SLGSLDMAANSVLYMLWNLVYSIYWGFGLPTQVRVANFLGANRPDAAKRTMYVGFALG GAAAFGSAGLFFLFLYPLIALFTPDPDLTALVARTFALFAVAVGVSGLHIVLASVAEA MSMANILLCITATGSWVVLLPVSFVLGIVCHWGLAGLWVGSVLGETTKFVLIAVALYR VDWDAAAVVAVRQSRLHSPKDEELDVLERVLVSVSTPSMFSPVISRQIADGHSSEYMR SRGYSHHSDDNERECLLRQRALSF H257_06760 MHEIGFWRKYNDDAGDVRPHPQSLQDKAWYDRRADMAAKVIWYV RHAGFVESYEMGYSFCRIDSSCSSKALGACTMTDGMYCWPEGYVHYLEQHHVVPPADF VEHVLQHVAAAVARPPTPFLVMWDYESRGPVPMPPTMQHMILANTTLTIGQPQQAQQQ ASCALS H257_06761 MPVEPIVMDGAVHVPECLRVLTVGDGDLSYSRSLLEKDNWTDLD VNGAASMDLTATTYDSLASLVDKYAMAASNIEALTTTSAPFINVNVRHEVDATNLSSF ANALHFDRIVFHHPHTGVEDVHRHRRLLSHFFHAALQVLNPHGFIYVTLARDQPDRWE ILSRASAASLTCLMQSVWTPPKGYTRKRHQSDKSFHHVLLHGAKLQQESTLFRFGRGV ASTSILPPPTAAPRGPPGVVSLGNPEWEFACDECGGKRFATLQGHKTHMRMVHELNLK RKRPDSTFSCAACHGREFADEEALTQHRLAKHGKDSTIRPDWHQNHHPKRTSLLPTEA NVCAICRDSFESQADLDGHWTTLQPILVEKVPCGTCSKTFEDHRALRQHQNFCTKE H257_06762 MLLRRPLHVASRVGHVVPPWHHQSALRPSHVRASMRHSRGRLPV RNPPVLHRQADAGAAGLGLIAGGIVVTNATSIDVHSMSIVDLLTDSFAMVSANVQNHW DDDNRRLLMALIATNTAVFGMWKVSQRHAGLAKFMWTHFACSFHGVATERRVHTLVTS AFSHQTPLHFGINMFMLWHFGSAVLPPSNHDASSFRRRQPIQGGTSSWLPSSMAKQHR YVVHEPRTLDSREFAKIYATSAIASSVLSAVVSGLRGMGHVYSIGASGAVFGVLTAYC IMHPERELLLYGVLPLTADEMLKLSVAVNGVGSVFQHAQHRALAALVPNVDFVGHLGG QAAAYAILPK H257_06762 MALIATNTAVFGMWKVSQRHAGLAKFMWTHFACSFHGVATERRV HTLVTSAFSHQTPLHFGINMFMLWHFGSAVLPPSNHDASSFRRRQPIQGGTSSWLPSS MAKQHRYVVHEPRTLDSREFAKIYATSAIASSVLSAVVSGLRGMGHVYSIGASGAVFG VLTAYCIMHPERELLLYGVLPLTADEMLKLSVAVNGVGSVFQHAQHRALAALVPNVDF VGHLGGQAAAYAILPK H257_06763 MLSKILTRRPARLVARAAFSTEAPAAAPAAADTAATGPTSTNVI AEHCISKANKDTDVNKFFALTDEQIKKNFPAGLPKRIKELFELVEPNKHFMLRKPVAT ILSAMSNFPESWPERWPEKAFVLDGERGTGKTVSLIQIVNFARENGWIVLHVPHARAW IHEAPYVTKSAFHEGKFDIDVVGADILKQLIQCNGTQLASLPLRGDYGDAYYPRSFVK KPKSASEYNKSDLTLLDICESGLKDDLLTCKAVVDLKAELAQVTEFPVLIAIDEYNAW FQKTVFGYEGVPVKAEDITVIDALRDVDASGYRADRKLANGLFIAATTENYPTKYDFS KQASYKRVRKLLHPYTPQELTSLVDYFHAINFRQSKYSDKDVVFLRLMTKGVPLKVFK QACIL H257_06764 MRPRLLAALWTALSLSFQADSYECDPHDLPQAPTELQTGDKCGG WCGALGACAEGLHCDRGLLPLAFMPWGSPLDTACRNPKEDNLLWTPGTTSCTMPQWFD VSSDQDLDALSIVVLGAVETSPVFRIDPFVRVLSAKKCRDHYVILIERIVEPPQQYVL TASLDGSARTWQQVTV H257_06765 MHRLAVAVPRRHGGGLRCRPRRYFSHDKKSGHASGHLPPPPPIH VFPPHPTVPADIVNASHQQLQQLYLSMQDKPWNMWGLGILGVGALGMIAFGPEMRSKM TKTTAEVATETLQNESLQIQTQELASQIVQTVLNDPKVLDQASQFLQKLMATEATRGA VVQLTSNVLNDPQTLAHVTKLSKQILFNLFQDPTTLRQFVDLIKAAIVDPTTRANVIL LLDQLMKDEPTRARLTALMAWTFVQPPVQRGVATTMTASVHNLMSNPDVQDHAKEFIG GVVRDETVQTQSGEALWKTLMYVLTPTWVSWFWRSPPPPPRAAAAVVETPSTTTHHPP PPSVHVE H257_06765 MHRLAVAVPRRHGGGLRCRPRRYFSHDKKSGHASGHLPPPPPIH VFPPHPTVPADIVNASHQQLQQLYLSMQDKPWNMWGLGILGVGALGMIAFGPEMRSKM TKTTAEVATETLQNESLQIQTQELASQIVQTVLNDPKVLDQASQFLQKLMATEATRGA VVQLTSNVLNDPQTLAHVTKLSKQILFNLFQDPTTLRQFVDLIKAAIVDPTTRANVIL LLDQLMKDEPTRARLTALMAWTFVQPPVQRGVATTMTASVHNLMSNPDVQDHAKEFIG GVVRDETVQTQSGEALWKTLMYVLTPTWVSWFWRSPPPPPRAAAAVVETPSTTTHHPP PPSVHVE H257_06766 MFRWGSGGMIAKSASEVEDDRLLDQLQEGFNAQNRKKRQEMQHA AQSCAHCDDSLATIPCVDQCGDTFYCSNDCVLRDARRHRAMCLSIRLSLCEDDKDDPG DDDLGGYGEDNPIDTDEVVDTSYPKQGEADSTFVDNFNLLSVQRNLQRVESIEVACPQ CNEAAVIPSKVLRREDSKQKVSTTCICGEVVGFWL H257_06766 MFRWGSGGMIAKSASEVEDDRLLDQLQEGFNAQNRKKRQEMQHA AQSCAHCDDSLATIPCVDQCGDTFYCSNDCVLRDARRHRAMCLSIRLSLCEDDKDDPG DDDLGGYGEDNPIDTDEVVDTSYPKQGEADSTFVDNFNLLSVQRNLQRVESIEVACPQ CNVRYVHISHPTILPSIR H257_06767 MLEKAAKMKHRIWRAVERQLAMEPAKRHPMIQSTLKAATWVYAH VSSQKRLRQLARRRTLPVPTISFGNVTWGGTGKTPCLHHVARMINSNGHTLLLVSRGY GDDEWKMFAAEFPHSLLAIGKHRYWNAMAQLTSLSSPGRFEVYMRNAVNHQSMESRTP DEATNTNDMSDSSHPHVVALLDDGLQQWGLKKDLEIVMVDCYNPFGNGHLIPSGRLRE LPEVALPAADIVVLHHASHLEGPALMQLESAIQSMARPDTIVAHSRMRLTSLPLAKQL HQHLHSRHQLKLTLPSNAPSNELVVGLCGIGCPQSFRDTLRQAFPHRNVQMHAFPDHH AYTAADLTPIVDAVRNSGRPVVVVTTEKDYFRAKDLLDDHLPSLRVALCELEFIRGGE LIKQRVDRLLKDD H257_06768 MTMEVVVWHEDLGSDDDAATEEESFARMLYCWPPKSMQEQLRVL QLLQGVYAFSSRFSSSPTTVTHVQLTNMHYCFERVEPKVWIALGHATAQDTDKYIMET VLRDMYASFRLLHGGIAWLLQAASMEYYSVDLPRSLVDAGLHSGLDVLVELASCRKKM RKTAQLIFKIQHDPDTHDCTAATLALADLSSWASTLVAASPVPRLQAVMGNFFPIYLR NLDVKHLNCMHAMDGIPPAPADSMQQMAAHMLAHSLRSDVPAVMHCASFDHGQLVSGG SWDATQLQLVYRFLRLREQQAVLPHQSTKHPREVTPPWLQSNSAQRSIWASKQTYVDG GLLPPKKRTSARDLFVDAISSSSSSAKDDTTAAAARGFQAADGRFDADIAPDKLWRLP LFFDSTDVKSTADLIVWHDAPCTLLLCVQSQANSSSLVADVGNYLRHCVHVGALTDAN PPRHGHQVSSSAFIHVNRLTKQTQLHNVGKFKGPVPPILMASCFPPRLLESLNVVRYD LESDDQIMDIFTKTSADGWIIVRRSGSLERELVAFMDAKTVESVSDLSNTMNTLIHTS FDTIFM H257_06768 MTMEVVVWHEDLGSDDDAATEEESFARMLYCWPPKSMQEQLRVL QLLQGVYAFSSRFSSSPTTVTHVQLTNMHYCFERVEPKVWIALGHATAQVPTTSNQVT CCCWMTEPIQDTDKYIMETVLRDMYASFRLLHGGIAWLLQAASMEYYSVDLPRSLVDA GLHSGLDVLVELASCRKKMRKTAQLIFKIQHDPDTHDCTAATLALADLSSWASTLVAA SPVPRLQAVMGNFFPIYLRNLDVKHLNCMHAMDGIPPAPADSMQQMAAHMLAHSLRSD VPAVMHCASFDHGQLVSGGSWDATQLQLVYRFLRLREQQAVLPHQSTKHPREVTPPWL QSNSAQRSIWASKQTYVDGGLLPPKKRTSARDLFVDAISSSSSSAKDDTTAAAARGFQ AADGRFDADIAPDKLWRLPLFFDSTDVKSTADLIVWHDAPCTLLLCVQSQANSSSLVA DVGNYLRHCVHVGALTDANPPRHGHQVSSSAFIHVNRLTKQTQLHNVGKFKGPVPPIL MASCFPPRLLESLNVVRYDLESDDQIMDIFTKTSADGWIIVRRSGSLERELVAFMDAK TVESVSDLSNTMNTLIHTSFDTIFM H257_06768 MTMEVVVWHEDLGSDDDAATEEESFARMLYCWPPKSMQEQLRVL QLLQGVYAFSSRFSSSPTTVTHVQLTNMHYCFERVEPKVWIALGHATAQDTDKYIMET VLRDMYASFRLLHGGIAWLLQAASMEYYSVDLPRSLVDAGLHSGLDVLVELASCRKKM RKTAQLIFKIQHDPDTHDCTAATLALADLSSWASTLVAASPVPRLQAVMGNFFPIYLR NLDVKHLNCMHAMDGIPPAPADSMQQMAAHMLAHSLRSDVPAVMHCASFDHGQLVSGG SWDATQLQLVYRFLRLREQQAVLPHQSTKHPREVTPPWLQSNSAQRSIWASKQTYVDG GLLPPKKRTSARDLFVDAISSSSSSAKDDTTAAAARGFQAADGRFDADIAPDKLWRLP LFFDSTDVKSTADLIVWHDAPCTLLLCVQSQANSSSLVADVGNYLRHCVHVGALTDAN PPRHGHQVSSSAFIHVNRLTKQTQLHNVGKFKGPVPPILMASCFPPRLLESLNVVRYD LESYV H257_06769 MGAQDGLSGSAPPPLEPALLAPTSSIQDPPAHESVATPKQIDDD DVYVDITEGGVRGAELSKSGGRTTSYRSLHRTSSRQRLSRLESRIHPEHAKALLPTTA LYISVMIALIGSFQTGWLLTQLNYLPFHSAKTCAAIPIAPDTCIMFPGHSKNEWTMTV TGWVVGAALGAVLSSIPADKFGRKKTMFLNAFIMIVGGAVQAIAQDPYVFALGRLFSG IATGTVINVSNVLISEISPCQMRGLFSTGLQVGVALGSLFVTTTHYIIGTGDIAWRFM VGCPIVFGAIQVVLMPLMVQSPVWLVGQGHVDDAALAMKKLYKPTNYEAILNALVSSH EEEQKEVADVKPWAAMVSKKYRLQLIIAILVCASHQITGVNAIMYYSATIFNNAGITD PRVANTIINVIRLISVVVVAKIMDKFKRKTMLITGMSVMAAASGGLVLSLVNSWSALA VTSVGVYIAAWGFSIGPMAWMVAAELFPDYLHANAGSVGTMFTWVSNFFVAVFYPVLA SEDSLGNYAFLIFVGILVLLITFIAVVVPETSHKTYVEIASSFGIQEKPHEDDGGHDI WATPRVSHYSAFDEPNHDDKQPDTPPAPDKLAVTSTSGP H257_06770 MLLPSWLIGPFHVDASSCVALAQHNHDTLRGHFYISMASQALQH GGGPASVVATGAPPPGCADEAYVQLHDEPASKLHPQHSFRQHRRITSSQSMSRLDSML HEDQSKALQPSRVFYVTVAIALLGAIQFGWLMSELNYKQYHIKQICQLPVPVIDKKYP DYCVLFRGHTHHEWVMTTTAWVVGGGVGALGSGLPADVLGRKKGLALNALLMIAGAIV QAASGTIHVLTAGRFISGIASGGTINVSNVLISEITPLGMRGFFLTGLQVGISFGVLV VTTVHYGMTSAEMTWRILVGAPLLIGLLQIALLPCMQESPVWLVAKGKLALAHTSLVN LYLPHDTDVILAELVAARTDETSEFTAPRRRWTVLFTARYRKQLAVACVLCAMQQLCG INAIMYYSASIFSSIGIHDPRYANTIVAAARMHDILFAAKVLDKFNRRTLLLWGMSTM AACGGSLVLCLVHGTDSLAQYGAVGVLVVFVSAYCLSIGPMSWMIANELFPDYLNARA GAVGTFFTWVSNFFVSVYFPQMADPANLGNYAFLVFSAFLVAAVGFTYVCVPETNHKT YYEIQRAFVIDEPTPTDDR H257_06771 MDGRLALLEEVPLVYVDKDAESVVLVKSKFQQTPPYTPPQRVEN LAGGCIVRKVSFVSFRAIGKQVFLWEHTAGDSATAAPVTTAPPPPSTSLAIQLPESVA RNGVSVFESADKQYLSVCIVTAAKTVHRFCYKLDPVLNFADAARDEVAFAMTSLPLQT SISSVCWLDECNVVVGGDNGAVLAINVGLSIFGHSASSFHEVPLTDHSVLQWVWEGLG FQPTNKPQPIIAIAAVPDLVDDDSTSSDTLIVTLSADLVLRVWSYEHQSCLCNQSLRP HLELDAAAADLAVLHFIPSSTDVRVLVHASSITHPSKNEIVLLRGDLTSKVLDLDVVR RYVVPAAAAARLVDFVVVGPSSTLGPSSTSSSQQLVSVWRSVAEDFVYVFPISRTGPK VILGQRVKGLDTFVHHHESHDLDLNNASTADVADIDSYYLDRLFAPNRFSAECIRHAL SAASTAVSGAALRRLALEAVHRECPDKARREKQTDANTVRVAMWVQLLQKCTKLWAVE NIPLGVASIQGSLVLLRRNHTSILFPSTRAIVATTGSSSVHHDDELSALITPFFASFS HRDVRAAVLCEWNVDLHVDLTSPSLLDTLRRELQRGLVHTNQHQGKSLPTLLARVAPI LAGDATAQSAVLTALVDNLTLLDASSSSASPSATAAPPSSTDLSLALHRMGAVVVHDM LAATYAALGFLAFLDDAQPSFVAPATLQHISTALLPRGFALLRKWVFYQWLFDQPSSP DTQVMLVQAFARHDDDNDVQRNLATAVLGLLHKVHDLPALASFVHATRQHDVVRVVVR YALQHPTDPDDDGAVTMYLTRLLGDALVAEAVVGYELNHERRHVTHILERAVRSYITV LELEHRSTTTAASTSQLYEITGHLKETAPRPYAAKFILQLLHASLVFHCSDDVTGATE FIWYNVFKLALTERLYDEAHVALHHVVARANSATVDECVRHFVLQLCDAGRVDVVVGF TWGALDAKVEDVLQWQAANTHANLTIKRASQSAVSVLRLLYSFLVKRARFAAAAQAMH ALFVRLEPDAFHVDVLRVQRDALLAATNVLALVPAPQNRWFIHQEPKTTNRTTDPLRV ITASDLRRELLIVRGKLKLVGSSAAALAGQSGSEVVSLLLKHLTPDGTMATNVALAVA IARAIDMDVKVVVRAVARDFAYSSGTESLLQALLRHTHSPDAYLAAVDTLLQSHAPLP TWLTDAAVAAGLLTAVALLRLYLDHGVLDEAVALAIDHLVPKDLSQTAFHQAAAADTS PKHWMPYELLDKLLGACDAVGGDDVAPLQHNATRLKQRLAEYFQYVHVVDAAASIRRQ HERPPTASSISSTTTSSTPMTWSTN H257_06772 MVGVQGFKRWAFGSAAAAVSQRQLRSQRRSLHSIDAPDSPVAPK PKKSRVSSKSAASSDDTTHCPKRKPSPIGFIATTPVSGDRVVYAFPALVSGKLVKRYK RFLADVQLDDDDTVVTVHCPNTGPMVGLLDIPLAPVRLSVSHNAKRKYAHTLEFIQVK NQADAMVWVGVHSASANRMVETALRNGWLPQVVGHRRITSIQPEVKHTKDSRVDFVVT TDDQVDTYVEVKSVTLSKEEEQAGGRDALSSRRRCAVFPDTVSTRASKHIEELAHVRS KGHRAAVVFLVQRSDCATFAPSSLHDPAFAAHCDKAKAAGVTFHGYACAFNDDASHVT LLGPLAPHTTDLLHSVA H257_06773 MFARRVIRNVAQQAARTMATQARPLAQKAFSPLMVVAGVSGVAA GIAFSTPAESKAVKKVSPYTGIPGTKNERTFIAIKPDGVERALISEVIGRFEKKGYKL VALKLLTPTEARAREHYADLSGRPFFNGLVKYFASGPIVAMVWEGTDVILTGRKILGA TNPNQAAPGTLRGDNTISTGRNLVHGSDGPESAKHEIGMWFTAGEIQDYERAIDEWIV ADN H257_06774 MDGDAFHMDVEKRQSLLEWLQTFTTDDPIGTGLPSVTSWQTVAD GVFLARVLHQIEPEYLNLSGIKDEGGVASNWILKLANFNAIARSIENFYHDEIAVRCE VATHVDLNRIARAEVLDTAVEVELSKLAAMVLYCAVNCAERQTFVVRIMALEQRVQQS VMAMLEQLTTVFPPLSPVHGLASPALRGSSIGLLDSTPIRGFSGDESGDVRDLKERLS AMEKKWQDAEQDKRAITEEYEKVALEVRNARLRIASLEEDNSRLNGERQQAVARDNKK LEELVNAEKHVLSVELQAKTDELHQIKHESAERMTVLEHEVRRQADELDISRSKLVAL AKLESTVTKYKQKLDEMNTVKEHVREVEAQNASYLEKMLDLESTVKTMASLKASIEKY KNQVVELETANVEALSTLQVKDQKCRQLQDELESALHGKEFLEHQLEEMQSHVPANDG GRDDMYTSAADVLGGGLNLREQVARLQHDNRRLQQLVDGLPETSSSATSPAVSGDVLA LQNERDDALRMKQVWQDKAVETKRQFDALVEATKGMGPGVSSAQLAQLESTNTRLATQ LQAHEEQARKMAANVEETDSFRATIAELTNRLKDKESVINNLSQDKEKLETYTKKTLH AVQSKYMLAVSVHRNQISERQAKVEMLENKLKELKAGQKREQALLMSSFYEIGGEMQR RTMMPQTPAAGAPPLAAWLPTKRMDRGGPKR H257_06775 MGQSGSKGGSKDEFTYEDSDEGFTDALKINLQRLIAYAKSADAN LQREVAEKLANEAVKPDRQVQIVELDGLKLLLPLTQSKDTEVQRLAAHALANLSVNSD NQAKMANEGGIDMLIHLLQSTNEHVQRQAAKALANLGVNVDNKEKIAKAGGIKPLIEL ARSKQIGVAVEAIAALANLAVNDANEIEIAQEGGLKPIIHGAKSDSMELQSQVARALR NLSVNPDNKELIIELGGVDALQLLVRSPNERICQQATRALVNLGVNTAE H257_06776 MSDQPQDEESKYNDDSAVLVEKVQRRLKERAAEQHRGRTSAVLE LKANTEKAFADLCGKNEKRNADADALARSQDREFQELLAAGKNPYEVFRAREIAARAA KKLATQKKKIKETEMDIAAHMVHEAALSQKRDEADKRHAAYVRKYQKELGRKVVEDRT QNYMISRTGGELVDPTGRTFRIDPSQVTVMKDHSFGLGKSSVKSRDQRDKVIDMVAAK PEHANVQINPRGLPKPKAKPPTTPHNNQGGEPELLLLPPIVDPPGKEMVHLHGGGSST PQKPHSHLPSLAAKQNDVKKGFGVPKRSKLEEHMRVQALAKQKENLIEKQIVWRKEFV GRAFLADPEVLWFKDFDVGRPQTLRFTLTNVSNTFNHFKLLPFPDDTRDFFDVQYDLP GRMSAGMTCHIHVTFCARVNQDIDVELPAVAKTGYFSIPVKCTAKRVVPVLSAYNLTY SNIVVGETSKQVVILRNDGALPGTFRIVAPASTKATTAAVVVDGGCLVDECAVPDAVD PVAAAEGSAVVLEGSIQQPDGDDTCATVVDEAASACYASEEALLQYASSVGQVNHDKT IQSITTTCEGQVEAYGSCPIAFTFAPHRTVADLCQTFHVVFDSPSHVAPLEVTVVAQA VEVPLYVEHPIMDFKCCVYGKLYRNKLILRNRGKVAMKCQLKVPPYLHQCLEFLPNFG FVQGSLTTSTTTTVVEPGRLEIQVKFRPVESIWPAVLKRRLGWKQGDVVALPLQVLVP DQVLPVYFVLRVQLTSGELQFSAPSLSFGPCSTTQSVSQRLVLTNPSRLPQKFGFVHI PPEIRVEPNDGFGTLLPLETTAVTVFYSPLSATEFKSSLTCATTLNRTYDIPCTGHGI SPALRFSETVITMGAVPVGQFVVHSVVCTNMSATSQKMEIALPPEATNVLHIRPLVAT VEAHSSIRIEFEFRPKTVESFQPENPSDDGANVHFQVSRPDAIPGLVHPSHHEDDQSQ PTKSEHSWSYALPSEPKSYHGTYNVFCFVDGCASQGPQSFRVNVSVIAPEISLKPDKI EFGQVAVGQTGVMKLQVINNSAIDLDMTMVPLHSVGPFSILNALRVVNAHGGLRTILV EFAPSAPLIFREDLVLQTARGDMRVSLHGEGVSPVLSITPADGKIHFKPVLAREKGYT EFSLLNSSLFPLKYTIKSLDNGTTHPNFNQTSVFSCIPNEASIPPGETQVVRATFSPD HERPTEYTTTFRVDVPNQTEDHVIVLQGRCWECQTYMLHPNASSPPPNEDVFELPLHV STPLALADFVKKPPRLIQVEFGSEAHLQHVVIGSIGPQGDEGHAPSFSDGKSSTPTTF EVVFESGNGISLMEKYRKYFTLEPLKGTVSPGHETSLSIQFNPHVDDHESTNTSNNTN AGSSELRILHWIRVTARVTLKGGYIPPVLGVVVSEQVVQLVLKARVLT H257_06777 MRWKHHALWDAVYADDYPRVQRLLETPSGAESVNVPHGVWSNTA VHVAVQRGNRRILNILVVFKGDVNAININGTTPLHIAVETRNHDLVQYLLECDAKPNK RNFTRKSPLELARVMMDKDMAKMLQEKHNLDMGRRSSTADLAAMS H257_06778 MSALLTKRGRPSDGPDSLGPSAGQKSRQLEPDSEDGMLINTRWT PEQDELLREAIDAFGSKNWKAIADRVPGRNHAQCLQRWNKVLKPGLIKGHWAADEDDL LIQLVQASTTVSWGELSKLIDGRTAKQCRERWKNHLDPSINKGSYSSEEDMLLTAAYA ELGNRWTQIADRMPGRTEDSVKMRWKVLHPHSKPPTKQGRPPLMTHHAKSTASSPASP ATRHSSNNPRHVPQLSFAPVQATPGGAFTDTPRHLHQRYPEDEPHFAHHHPDPSALDP DAADLMSRRASSMFESFKERDSSLLSFASVKAEDWEFFRELVLSDHFAQAMPAAPVEM VSIFDSFKDTSMTDAEFRQLVGVIENPDAIMDFIHETYASVNEDGGCPAYIPSQLLSD QLDTRLVLGDYERSPEVPREFSEPTTFGSYGRRHVLHTESLHAHVDRTASGFDGDDDD HDDDDDALLQPFHVYKRR H257_06779 MLAPELLLPQATMNKIMGSWTAMEDQLLRSRVEQSHSESWSKIA EGVPGRSSKQCRDRWRNHLDPSLIKSAFTTDEEIALENAYEELGNRWTEIAKRLPGRS EHDVKLRWKTMHPHRLDRSKATTRSAPTPPPQRRVVEMPMTRPRQMSNVMGSDVISML DELAVDDSTAAPSKRPHVTDWDYTAPPPTTAARPLAGSFQLTDSFLNTLKKIEHNPTV DEEALIDSLFASFGPHDEEAIRRSFNISMQEFDAMLENKTTLKKSLTKLSSTTSNTSN AGLSFLNNTSFLNNDDIDGLISSCVDNQIDHLAQ H257_06780 MDWPSDGRGASTELPSWMHTVRLGSTQMATQQNGTSFDLLQPTK RRFSEPAEAVVGTAGKWTLAEDTALRAAVEQNGNENWKAIADLVPGRNHSQCLQRWNK VLKPGLIKGTWSQDEDALLRQQIQIHGDQESWVKVAEGVPGRTYVAEMSSRRSNQVCR TKQCRERWRNHLAPSINRNQFSPAELALLEFVYNKIGNRWTLVAKLLPGRAEDDIKKK WRQLHPKQEKKRAGRLPKLDITELMDSIKVEISLGRLPRMDWIYTLHLDNNFSLDDDD DKESLYSDTSSSTHGADAPFRPPFLSKKKKLEWGQMSLEILTQLVLTASFRQLNLDDM DETTNFNTHSNWIMNMEDDPVVARVLNSFRADSSNGVEGILQDLDADDMNHLIGVANA AAQQQQQQQQQQQQQPPSQPSNQLFHE H257_06780 MDWPSDGRGASTELPSWMHTVRLGSTQMATQQNGTSFDLLQPTK RRFSEPAEAVVGTAGKWTLAEDTALRAAVEQNGNENWKAIADLVPGRNHSQCLQRWNK VLKPGLIKGTWSQDEDALLRQQIQIHGDQESWVKVAEGVPGRTTKQCRERWRNHLAPS INRNQFSPAELALLEFVYNKIGNRWTLVAKLLPGRAEDDIKKKWRQLHPKQEKKRAGR LPKLDITELMDSIKVEISLGRLPRMDWIYTLHLDNNFSLDDDDDKESLYSDTSSSTHG ADAPFRPPFLSKKKKLEWGQMSLEILTQLVLTASFRQLNLDDMDETTNFNTHSNWIMN MEDDPVVARVLNSFRADSSNGVEGILQDLDADDMNHLIGVANAAAQQQQQQQQQQQQQ PPSQPSNQLFHE H257_06781 MATTIPRHPDDNTPTKRLRLDETKDDAVPGTPPKDNVKCSTLVR WLDKGPLLLFGDDGVTVSGTKGFCMARTNACVTRGTYYFELKLLGAIEAYHVRVGWGT KKADINAPVGFDEHSYGYRDIGGETMHKSKRSGPYGDSFGVGDTVGAMICVNGDSSED KSVDTVAPSLPGRFVPPSLVKQSSDLLAHVSSPRRADENSYIRFFVNGKDQGIAFDNL PFAEYFPCVSIYGPGTVSANFGPDFDFPVPGALAVPPPVE H257_06781 MATTIPRHPDDNTPTKRLRLDETKDDAVPGTPPKDNVKCSTLVR WLDKGPLLLFGDDGVTVSGTKGFCMARTNACVTRGTYYFELKLLGAIEAYHVRVGWGT KKADINAPVGFDEHSYGYRDIGGETMHKSKRSGPYGDSFGVGDTVGAMICVNGDSSED KSVDTVAPSLPGRFVPPSLVKQSSDLLAHVSSPRRADENSYIRFFVNGKDQGIAFDNL PFGTTSLTPLEPVRHIYTCFAIAEYFPCVSIYGPGTVSANFGPDFDFPVPGALAVPPP VE H257_06781 MATTIPRHPDDNTPTKRLRLDETKDDAVPGTPPKDNVKCSTLVR WLDKGPLLLFGDDGVTVSGTKGFCMARTNACVTRGTYYFELKLLGAIEAYHVRVGWGT KKADINAPVGFDEHSYGYRDIGGETMHKSKRSGPYGDSFGVGDTVGAMICVNGDSSED KSVDTVAPSLPGRFVPPSLVKQSSDLLAHVSSPRRSFCP H257_06781 MATTIPRHPDDNTPTKRLRLDETKDDAVPGTPPKDNVKCSTLVR WLDKGPLLLFGDDGVTVSGTKGFCMARTNACVTRGTYYFELKLLGAIEAYHVRVGWGT KKADINAPVGFDEHSYGYRDIGGETMHKSKRSGPYGDSFGVGDTVGAMICVNGDSSED KSVDTVAPSLPGRFVPPSLVKQSSDLLAHVSSPRRSFCP H257_06782 MGAQIAREDRRESVDGSQVSAGEPRGNGLFSFTGNLNAETSSTQ GSRFVIGVCAMDKKANSKPMTEILSRLPRHTFSIVLFGDDMILNHPVEDWPLCDALIA FFSTGFPLEKAMEYVELRQPIVVNDLSKQNLLMDRRDVYRVLKDHDIPTPRHIFVNRD RYDGDNDGHGLDEANFIESDDYVELNGVRIHKPFVEKPVNGENHNIYIYYPTNAGGGC KHLFRKIGNRSSEFHPNVINVRREPGQSYIYEEFISTQGTDVKVYTVGPNYAHAEARK SPVLDGKVMRDAVGKEIRYPVILSTHEKEIAYKVCRAFGQTVCGFDILRVGNESYVCD VNGWSFVKNSPKYYDDCSVLLRQFLERALAGFADPYESMHSPTDRLLGNSSSMSLLDM GERSGADHGEDGRPSEMHSPGFHDPLDNEGQEEELRCVLAVIRHGDRTPKQKMKMLVT HRRFLAFYEDRVGQGKKKDLKIKTIKDLEELLAVSKQMIDQPAHNDELEKDQFKGICT LRDVLERWQLCGINRKVQMKPRAWTHDDQNDDAKEEEEETDGSLNKKCRVTQLLVIVK WGGDLTHSGIQQAESLGQHFRQIMYPGGDGGLLRLHSTYRHDLKIYTSDEGRVQKTAA SFAKGLLELEGDIVPILVSLVLKSKDADSMLDQSGSSAQEMILQVKERLHNILHRGDD CGLLRTNSSSRLVRSVAAALDVVEQPMKKMERMHKLLNSLKEQLTTFLDNDATEKAEN AITATAFEREQLAWAYKPPSLERQGSETSVVSCLEPVADATEHRSTVSSAGARPKKPA EHMANKTHTRSSMDPSSKKKREPCGRETLEMMRERWAKLYRDFYSKKHNTYDLSKIPD IHDCIRYDAMHNAHLYLSGIRELLDISASLAHALVPQEYGIDVHEKLHIGTNMCRSLL KKVRDDLDLARGFNITHRLNPTFATTDHLIKSAHRSVRTRLYFTSESHLHTLLNVLRH SVTGQDENAPVSREAVKFVEDIPELCYMTHIVVRVFERGGYHSLDPHRFRVELSLSPG ATGDPLTEFSPKEPLSVAPLKVISREGLTCQEFQDYICSVIAFGNSKPQPE H257_06783 MLLPNNVDMGAGGSVEALPGAKKLQCFVAMKAFNLRHSESKTLA QQFQGLCRHDSSTGVDYMDCDALCAYLQIPLPRKDALIRLFNVQADNRVGYADFLRFL QYASPSLPRCETPSQQSPVVNSHEVPPPPSNNNQRSPLKENQLALLTTLTKPQVAGAA TRVLTPGLWKKREITIQERIVEYTKIDDDGNPQHLIEKEKHQHEIIHMESTTGEFAHR EITYFEQSEELNKEIVHLDTGKEEFVHLKSKDDEISHFESTMPQSRQPEACEPPPPSP TIKRDMSQCPMEQPETTHDNQ H257_06784 MSSDTPPPSEPLKVTFLPRGGCIVSTSIGPVQYGIPPETIKDSM VLGLPVPMYFVVPSEPFTKTLGSNMGVNVAEFEFPAYCNFFFKRQSVNLIVASQDAED RIRRVFQETLFGPQQIDIEHDFPVSAPPSSYPDLPAELGYFRKFGNTLISLDMLLKFT HFNAQNVATITAGDNKVTIQKLNGEFVFTDHTTNGTAIVEDFVRLPAAPPAQILDEAF YPPVFGVTVLGNSHGFDPSGRTSGYVLWINRRGIMIDPPPFSSSILIANNIPPLMIDG VIVTHCHADHDAGTFQKILQEGRVSLITTSTIYHSFLRKYSALSGFDESFLKRVLTFR RVRINDPTNIRGATFRFFYSLHSIPCVGFEVTYGSKRIVFSGDHLNDVDRIQALRDEG VLSTTRCNELLAFPWDCDVILHEAGVPPIHTPMKTLMALDESIRSRMYVVHTAAKDIP ANSGLRAAPEGVQNTIIVPTELPESASTMEVLDLIRKIDIFGDLTLNDAYEVIQMAKR VTFSKAETVQQAGQPTDKFYIVIAGEAQCHFPPEHAPPNVPSGSTRKRLTNIPRSKKY VAGNYFDLQYLLTPHSKAGCSIMANSTLSLLQFNAVDFNWFLRGTAVFERIQKLIDTR HNFAWDTIGDNSFFQKLTEMQRTQLELIVTRWEVRQNYVVWHPDEACDIAVWVATGEF VLKTWAKPPAAENTHSEPGAETVHTATPLRKRKGSINRVLPIDALSSGKTSECVHTVF RRGAFVGNVDALFHKDDCEFGSELVAKTEGVLFMVKKPEFRFFLFENPGILMALNAKT SVV H257_06785 MVKEAADPCATPGTAASPKPKWPLSQVECLLREKILERTKLHDG KFVYQQAYRLLEVNRGKGIDVASFRHAIKVTLALDVDDADILALFQKYDEDGNGTIEL YEFIDRVLPQDYDPDVQSWIEKSVERCEAQQDAMREADRKAFLGGRTFQDAYNSHLTV DELRADISCKLQQRIPKCIDRLRSAFKLLHSGADAPMSPLDFRQSLRTTLGIALTESQ THGLLQGYIHPGDGSVDVQALLQNMFQTENKVQPTDLVDGLFDDDDAVLTEAANHYGK RHIVPGKGEPVPERYQKRVHGYFRTKNKHTNPLRLQKPLPPRRRATTKFQVPAVATKL RHTAPLTGLLPVQPSKQKPAMARSFWARIKPALSARPEPAPPGDSSSATKVTTRRPSP RLIAPVRVGPHPTDALAHAIDKLHVGYEAPRVAVSHQLQLSAVPYKSNKPNWRISENT FSCR H257_06786 MSVRGISGDAHFFEESVNMERIKKLLDNKTGTAGVSEKLQAMKT LLAMISKGEDVSLFFADVVKNVIVNSVEVKKMVYMYLVHYADANAQCRELALLSINSF QKDLADPNQLIRALALRVMTSIRVRDILQIQLIAIRKCAGDSSPYVRKCAANALSKVH LMDSTDQKEVLAEIISLLLADSSTMVLGSAVQALNEICPDRMDLLHPAFRKLCHLLAD IDEWGQAVVIHVLTRYCRQQFQSPKQEDTLPSKQGNAAVKELFPKRKVKKGFYSDEED DPKAKSYSSNGPKTSNPFHLGTSSGGGGFGASKEHVPSIGSVFRSNDVASGIGGGEEL DEDHRLLLRSSIPLLKSRNSAVVLAVSTLHYYCGTQSSATCTLLGKSLVRIMRNQREI QFVVLSVIASMAAARPDMFLPFLQDFFVRATDPSYSRQLKLNILTALVTEDTVATILR EFQAYVRHVDKGFVTMTVRALGRVADAMPSVSEKCLKGLMRLVRSVHEQVVAESVVVI RQLLQQQQHATSSSSSLANNAKVVRSLAAMLVSGRVTSPLARASIVWMLGEFAADDPI SRESLRCLCTSFPDEAADVKMQLLNLAVKLALQAPGHTNTQLCLQYVVELSKFDLDYD IRDRARLVRAALNQTALHPHTIFLGKKPAPLIGASHDQAASRFTLGSLSEIVHHSVTG YVPLPAWRVEKVDKRLRDAVADLPPSTRDVVARSSSTKSSSKKGSAKKTGGFYSSSDD DESEESSDEDESEDESEDESEEEEESEEEEESEEEESEEESEEESEEESEPLPPPKPK KKSSKAVAAVMTPPPKRAAAPSSPAFLDPFDFLTTPSSGTHINSSSMLADLSNLTMSA AAPTNRSVLVSDPDGVEVEYTYLRTPSMYSPHMNVVQCYISNHSTTPLARIRVSVDAK TAKRVIPFPEVPVVYPGSSSMVQLHVDFRGVLQGVRVTVHAGFAQQSDDDDDQDGGIQ GELVPTIGELLHPFHVSTDQFVQLWQQHQTPLVVESRLFLATTLGVLIQQLTHALNLS HINTHQLQPFQHQQQQSPPPVQLVGKVRSDKDVWMLATIEVNLAVGSVRIQVAQTTPQ LPQALLDAMADLIAHSK H257_06787 MSDPQKPPPYSSAVPPSQGQPVMGQPLAVDQYGRPIVDQFGRPI AAVPVSVDQFGRPINTIPVVQAQLPPARVVANNANIRRDAQGNALCNKCSAPYPLPNG ATSWRCRQCSEFNNASVIMLRLLFRRRRRCLVGPLNAAASFSTKPSVRVRQHVNPLAQ RYQEPITLPDWTAIFPPPPAKVHLDIGCARAQYLMKLAAIHPDTCFLGVEIREPLVVE ALERMKVLGLPNAHVLHGNMNVHLDAIVASLTPEYTIGSVSIFHPDPWMKKRHVKRRV VNDAFVADLARVLPPSTPIFVQTDVRDLFEYMVETFQDHPDLYIHDNTSQDTNAMGMP TDRESAVVAEFGDIYRTTFRTKASTLA H257_06788 MMLTRRVFSVRHSASAAVASRWNSSYEKQNEAALALMRETSRLE REVLLPLNDKLYGPLNRAVEDRLPSLPFVFLLGNHSSGKSSFINHVLQREVQTTGVAP TDDGFTIISNGSSDHDQDGPALIGDPDLGFSGLRQFGPNLIQKTNLKIRANIPISNHF MMVDSPGMIDSPASFHATTDQDRGYDFPKVVQWYAERADVILLFFDPDKPGTTGETLS ILTNSLVGMDHKLHIVLNKVDQFRKIHDFARAYGSLCWNLSKVIPLKDLPRIYTMCIP VKERGDGSKTTETGLGSALEDLESSRNEVINAVMRAPERRADNLITRVYDSSRMLEMH ATVFESVRAKYSREKWSRFFVTTSALVGGNALAGVAFVSGLPEVAAAASVAALATASG LSWVNRNHLAELEKDLTSEDGLTQHFRRLYGRQLAERDEFVYSIWRRVLPSLQVALHT LGFSKLPKVKASELKALQTIVTDDIPRLRRQAAPTEASVAHQVAKLLRRGF H257_06789 MAGNSALKRKRQHNDDEKLNHTASVQFAREIKKIKAFEIQKVVK TLAKDPQNSALTASVEHLKSLDVAHLARRAMLSLGLDPPETKKAKASSNAAAGDNFEL KALEASLLKHKRLLPLLDTWKAKAAERANKLFREENVILKQARENSKQQSIPSGRRSA STSESMFVGSLSGLGDASGFEKDDIADFLGENHKKNRPGQRARKQKALMEEQRKSGKA PPPSSTVPARGASKYGPSSRGTADSTRPPRPGTKARPPRPTTTQGPDRSRRPHASDTG PSAPPPRLAPSSRRETVEDKTHHPSWAAKQAQKDKEKVDIHAFSGKKVVFDD H257_06790 MLAFATTVAVMAALAYADVDCTTYAVTTQSSYSTVCTDTAQNNN KVHVWPVGQPATYCHGWEASDQGGNKEKMSANSIKCSPDASILYYTLYYGVIDCGAIT KPNKVEERNYTSTCEKGDDNTYGLALDLSCCDTRGAGFYKCQKAAPSVQFSSNLGNPN YYANGLLCLNANTSKASTTMVAAPTTAKTAATTAAPVVPTAKPATTTVTATGSASVAA ISAMSLVIAALAL H257_06791 MDWGCVNCSYENTALAPRCEICRSSRPATTHAEDMPAKKRPRVT PTAAKGKKKGGWKPTVAAFQEDERMLAKKLSQMRDCLGDATVDDEQLKHLLKKNAGSV SFAIASYYDTMARTEAKATKNDSQYWINQMDYSDYYLGVCTVEAHVTRRDDGALRAGA RLNLQVEGSMLRIMSLKGIVLGRIDEAWESMVQPLINWKMIKVGANVLDAPAHTTVFS RFQLQVHVFAAPLVWNALHFQETMASATVKEHLFQLMDVLHNKHVVTPTSAMKLPGDT SDKISADANVDALYATAATVESRYESAQVHAKLHGITLRSYQDQALQWMLHRELYEDT SSDGRTIGLALRMNAKQASAHEPEIHPLWDKRECTHGHNQFPTPYYINTFERLVSLQI PPPPRPCLGGILADDMGMGKTIMILALVVARTSVYMADRRSLKAKFHDDDHTGKTKPD SVQGKTLVVCPLSLLHQWKHEFETRAPSLSVLVYYENKKLQSQDLCVCDVVLTTYGVV SSEFEHKSVIHNVSWTRLILDEAHSIKNKTTTYFKACSAITATHRWCLTGTPIQNSLD DMLALLTFLRYEPWNKVEWWNRVVAQPYEKGEHCALVRLKAILQPILLRRTKASRDPV TNQLIVQLPPKSIDIVRLAFSPEERQFYQAVYTKSQGDFYGYVASGTAGASYVAIFAL LLRLRQACDHPFLVVGKDTDLSLKKAKPPPATHDGQTKEGYYADLSAAMMQTSSCSQH PPREELYIKNRILEIQDEGLECQECPVCLDVPVAPVLTPCAHLMCHACVVAFLSNGGC CPVCRAHISPDQLIRVDPPDKPTTGDNPPDDDDNGTAPLWAGSAKLTQLVVDLNSIEA GRKVVVFSQWTHMLDLIQSTLHQHGYTHCRFDGSLKQDDREKVLHRFNTQPDVQVLVI SLKAGGVGLNLTAASVVIMMDPWWNPGIEDQAIDRVHRLGQTRDVLVKKYIVEGTVED MILTLQQRKATLASTVLATSKAGADNDWRLSLADLLTFFA H257_06791 MDWGCVNCSYENTALAPRCEICRSSRPATTHAEDMPAKKRPRVT PTAAKGKKKGGWKPTVAAFQEDERMLAKKLSQMRDCLGDATVDDEQLKHLLKKNAGSV SFAIASYYDTMARTEAKATKNDSQYWINQMDYSDYYLGVCTVEAHVTRRDDGALRAGA RLNLQVEGSMLRIMSLKGIVLGRIDEAWESMVQPLINWKMIKVGANVLDAPAHTTVFS RFQLQVHVFAAPLVWNALHFQETMASATVKEHLFQLMDVLHNKHVVTPTSAMKLPGDT SDKISADANVDALYATAATVESRYESAQVHAKLHGITLRSYQDQALQWMLHRELYEDT SSDGRTIGLALRTFERCYTRWKKRCFLLGMNAKQASAHEPEIHPLWDKRECTHGHNQF PTPYYINTFERLVSLQIPPPPRPCLGGILADDMGMGKTIMILALVVARTSVYMADRRS LKAKFHDDDHTGKTKPDSVQGKTLVVCPLSLLHQWKHEFETRAPSLSVLVYYENKKLQ SQDLCVCDVVLTTYGVVSSEFEHKSVIHNVSWTRLILDEAHSIKNKTTTYFKACSAIT ATHRWCLTGTPIQNSLDDMLALLTFLRYEPWNKVEWWNRVVAQPYEKGEHCALVRLKA ILQPILLRRTKASRDPVTNQLIVQLPPKSIDIVRLAFSPEERQFYQAVYTKSQGDFYG YVASGTAGASYVAIFALLLRLRQACDHPFLVVGKDTDLSLKKAKPPPATHDGQTKEGY YADLSAAMMQTSSCSQHPPREELYIKNRILEIQDEGLECQECPVCLDVPVAPVLTPCA HLMCHACVVAFLSNGGCCPVCRAHISPDQLIRVDPPDKPTTGDNPPDDDDNGTAPLWA GSAKLTQLVVDLNSIEAGRKVVVFSQWTHMLDLIQSTLHQHGYTHCRFDGSLKQDDRE KVLHRFNTQPDVQVLVISLKAGGVGLNLTAASVVIMMDPWWNPGIEDQAIDRVHRLGQ TRDVLVKKYIVEGTVEDMILTLQQRKATLASTVLATSKAGADNDWRLSLADLLTFFA H257_06791 MLRIMSLKGIVLGRIDEAWESMVQPLINWKMIKVGANVLDAPAH TTVFSRFQLQVHVFAAPLVWNALHFQETMASATVKEHLFQLMDVLHNKHVVTPTSAMK LPGDTSDKISADANVDALYATAATVESRYESAQVHAKLHGITLRSYQDQALQWMLHRE LYEDTSSDGRTIGLALRMNAKQASAHEPEIHPLWDKRECTHGHNQFPTPYYINTFERL VSLQIPPPPRPCLGGILADDMGMGKTIMILALVVARTSVYMADRRSLKAKFHDDDHTG KTKPDSVQGKTLVVCPLSLLHQWKHEFETRAPSLSVLVYYENKKLQSQDLCVCDVVLT TYGVVSSEFEHKSVIHNVSWTRLILDEAHSIKNKTTTYFKACSAITATHRWCLTGTPI QNSLDDMLALLTFLRYEPWNKVEWWNRVVAQPYEKGEHCALVRLKAILQPILLRRTKA SRDPVTNQLIVQLPPKSIDIVRLAFSPEERQFYQAVYTKSQGDFYGYVASGTAGASYV AIFALLLRLRQACDHPFLVVGKDTDLSLKKAKPPPATHDGQTKEGYYADLSAAMMQTS SCSQHPPREELYIKNRILEIQDEGLECQECPVCLDVPVAPVLTPCAHLMCHACVVAFL SNGGCCPVCRAHISPDQLIRVDPPDKPTTGDNPPDDDDNGTAPLWAGSAKLTQLVVDL NSIEAGRKVVVFSQWTHMLDLIQSTLHQHGYTHCRFDGSLKQDDREKVLHRFNTQPDV QVLVISLKAGGVGLNLTAASVVIMMDPWWNPGIEDQAIDRVHRLGQTRDVLVKKYIVE GTVEDMILTLQQRKATLASTVLATSKAGADNDWRLSLADLLTFFA H257_06791 MDWGCVNCSYENTALAPRCEICRSSRPATTHAEDMPAKKRPRVT PTAAKGKKKGGWKPTVAAFQEDERMLAKKLSQMRDCLGDATVDDEQLKHLLKKNAGSV SFAIASYYDTMARTEAKATKNDSQYWINQMDYSDYYLGVCTVEAHVTRRDDGALRAGA RLNLQVEGSMLRIMSLKGIVLGRIDEAWESMVQPLINWKMIKVGANVLDAPAHTTVFS RFQLQVHVFAAPLVWNALHFQETMASATVKEHLFQLMDVLHNKHVVTPTSAMKLPGDT SDKISADANVDALYATAATVESRYESAQVHAKLHGITLRSYQDQALQWMLHRELYEDT SSDGRTIGLALRMNAKQASAHEPEIHPLWDKRECTHGHNQFPTPYYINTFERLVSLQI PPPPRPCLGGILADDMGMGKTIMILALVVARTSVYMADRRSLKAKFHDDDHTGKTKPD SVQGKTLVVCPLSLLHQWKHEFETRAPSLSVLVYYENKKLQSQDLCVCDVVLTTYGVV SSEFEHKSVIHNVSWTRLILDEAHSIKNKTTTYFKACSAITATHRWCLTGTPIQNSLD DMLALLTFLRYEPWNKVEWWNRVVAQPYEKGEHCALVRLKAILQPILLRRTKASRDPV TNQLIVQLPPKSIDIVRLAFSPEERQFYQAVYTKSQGDFYGYVASGTAGASYVAIFAL LLRLRQACDHPFLVVGKDTDLSLKKAKPPPATHDGQTKEGYYADLSAAMMQTSSCSQH PPREELYIKNRILEIQDEGLECQECPVCLDVPVAPVLTPCAHLMCHACVVAFLSNGGC CPVCRAHISPDQLIRVDPPDKPTTGDNPPDDDDNGTAPLWAGSAKLTQLVVDLNSIEA GRKVVVFSQWTHMLDLIQSTLHQHGTVG H257_06791 MDWGCVNCSYENTALAPRCEICRSSRPATTHAEDMPAKKRPRVT PTAAKGKKKGGWKPTVAAFQEDERMLAKKLSQMRDCLGDATVDDEQLKHLLKKNAGSV SFAIASYYDTMARTEAKATKNDSQYWINQMDYSDYYLGVCTVEAHVTRRDDGALRAGA RLNLQVEGSMLRIMSLKGIVLGRIDEAWESMVQPLINWKMIKVGANVLDAPAHTTVFS RFQLQVHVFAAPLVWNALHFQETMASATVKEHLFQLMDVLHNKHVVTPTSAMKLPGDT SDKISADANVDALYATAATVESRYESAQVHAKLHGITLRSYQDQALQWMLHRELYEDT SSDGRTIGLALRTFERCYTRWKKRCFLLGMNAKQASAHEPEIHPLWDKRECTHGHNQF PTPYYINTFERLVSLQIPPPPRPCLGGILADDMGMGKTIMILALVVARTSVYMADRRS LKAKFHDDDHTGKTKPDSVQGKTLVVCPLSLLHQWKHEFETRAPSLSVLVYYENKKLQ SQDLCVCDVVLTTYGVVSSEFEHKSVIHNVSWTRLILDEAHSIKNKTTTYFKACSAIT ATHRWCLTGTPIQNSLDDMLALLTFLRYEPWNKVEWWNRVVAQPYEKGEHCALVRLKA ILQPILLRRTKASRDPVTNQLIVQLPPKSIDIVRLAFSPEERQFYQAVYTKSQGDFYG YVASGTAGASYVAIFALLLRLRQACDHPFLVVGKDTDLSLKKAKPPPATHDGQTKEGY YADLSAAMMQTSSCSQHPPREELYIKNRILEIQDEGLECQECPVCLDVPVAPVLTPCA HLMCHACVVAFLSNGGCCPVCRAHISPDQLIRVDPPDKPTTGDNPPDDDDNGTAPLWA GSAKLTQLVVDLNSIEAGRKVVVFSQWTHMLDLIQSTLHQHGTVG H257_06791 MLRIMSLKGIVLGRIDEAWESMVQPLINWKMIKVGANVLDAPAH TTVFSRFQLQVHVFAAPLVWNALHFQETMASATVKEHLFQLMDVLHNKHVVTPTSAMK LPGDTSDKISADANVDALYATAATVESRYESAQVHAKLHGITLRSYQDQALQWMLHRE LYEDTSSDGRTIGLALRMNAKQASAHEPEIHPLWDKRECTHGHNQFPTPYYINTFERL VSLQIPPPPRPCLGGILADDMGMGKTIMILALVVARTSVYMADRRSLKAKFHDDDHTG KTKPDSVQGKTLVVCPLSLLHQWKHEFETRAPSLSVLVYYENKKLQSQDLCVCDVVLT TYGVVSSEFEHKSVIHNVSWTRLILDEAHSIKNKTTTYFKACSAITATHRWCLTGTPI QNSLDDMLALLTFLRYEPWNKVEWWNRVVAQPYEKGEHCALVRLKAILQPILLRRTKA SRDPVTNQLIVQLPPKSIDIVRLAFSPEERQFYQAVYTKSQGDFYGYVASGTAGASYV AIFALLLRLRQACDHPFLVVGKDTDLSLKKAKPPPATHDGQTKEGYYADLSAAMMQTS SCSQHPPREELYIKNRILEIQDEGLECQECPVCLDVPVAPVLTPCAHLMCHACVVAFL SNGGCCPVCRAHISPDQLIRVDPPDKPTTGDNPPDDDDNGTAPLWAGSAKLTQLVVDL NSIEAGRKVVVFSQWTHMLDLIQSTLHQHGTVG H257_06792 MSMSIFCACLCGDVRQKVAFSGTLRSRYFVGCLVKSSLRCHFRK HCQQPRMGLSKRAIKRAVLRAQTVEQEIKKHNERIAAEEAHMSSSGSSGYDDDVVPEV VVEERLHHIKEVLVEHAAHDPHKLRHKIAEVLHPHVVRPPVDIPEFFQGKNACVALVA GKSIPVTHNMVTNLRRRRSLRKRDLPKIGEDKECIVPQQSAAPTSINNQTQIYLSTVQ H257_06793 MADAAAAKLEDVTLTEKDTKRVQTRLTKASGPSIVDSTKIGLPF TVEPNPAFLQRRIAVYDRVMAKQKEEIAAQPRKPIKITLPDGNVKEGISWETTPLDIA KAISQGLAETVVVAKVKYVGKPHDPFSVTAADIDGNESQEADTCCCSGGSDQVEELWD VFRPLIGDCKLQLLKFEDHEGKMVFWHSSAHVLGEGLELLKGCHLTIGPPVEGGFYYD SYMGENTIAETELKEIEKRAAQVVKEKQPFERIVLTKDEALELFADNVFKTQIISTKI PDGGKTTAYRCGPLIDLCRGPHVPHTGKIKALAVTRTSSTYWLGKTTNDSLQRVYGIS FPDAKQLKEWKHFQEEAAKRDHRRLGIQEELFFFHQLSPGCCFFLPHGARVYFKLLEF IRAEYRKRGYNEVITPNVFNMDLWKTSGHAALYKDCMFVFDVEGQEFAMKPMNCPSHC LMFDHRMRSYRELPWRVADCGVLHRNELSGALTGLTRVRRFQQDDAHIFCREDQITQE VLNFLEFMKFVYDVFGLKYKLKLSTKPEKALGSPELWEKAEAQLASAMDTFAGKGNWT VDPGDGAFYGPKIDFTVTDALNRQHQCATCQLDFQLPIRFDLKYRSDGSHAGEENFAR PVMIHRAIYGSLERFIAMITEHFAAKFPFWLSPRQVLIVSVGAAFNDYAHQVREEMFS HGFEVEVDDSNKTLNKKIREGQMSHFNFICVVGADEQEKHAVNIRTRDNKVHGTKSVA DTIALFRHLADNKVKDEDHPDVEQKK H257_06794 MSSAKQAAPAAAAAGVLDLLVCIGGIYTCYLSYGIFQEKIFKYR DADSHKFTSTLFLLFIQCIFNSFVAYIATFIWVPKNKNVPLGPFAFSAFSYLGAMLCS NEALKYVNYPTQALGKSCKMIPVMLMGVVLGRKKYSWKEYVSVILITAGIVIFQLGKD SSKGSGKQQQTENSVYGLGLLFVSLFLDGLTGSGQEQMVEQHKPSVHQQMLNTNVWAV IYTGIGCVITGHGIHGLNFCLANPEIYQSLLYFSICSALGQNFIYFTLQRFSALTCTT ITTTRKFFTILASVMYFGNPLADQQWVGVGVVFTGIGIELTTKYAKYGAAQAKKADDK KTR H257_06795 MKSVAFFAAAFITAASATSLEKCGAAVTGVLTAAATNTGSVECH KETGISTSATTASDEDVTKAAASKACNTWWDGIVTDINAIDPACKFPQFDGSGRTVHT DKFRMKYKEFLHVSQQVMKKQAGNDTGSSAATPVTLEQCGVSVSTILTLAVSNSGAKA CSTETGIPLDATSISDEALAKALKAKSCKKWWGKIVTDIKAVKPACDFNSLDGSGTPV HTATFDLKYDEFLELGKKLAAAKSTAKTSAKKDASKTVTTKAATTAAAPTTTAAPVKS SAVMASLSVTAIAMVAVFV H257_06796 MSSKRPTTAPLRPTLVQAFPATVEFVDIEAMTCYLVSLSIQNTS RHVVRFRLTTPTSKYFRLLLHNQDLGLVANPTRSISPGLSIKFDVAFLMEMDDHVPID LHDKIHVLAENSAPIEIPLVAKRPAPQLEFESLVDLGMVVLSNRTAKYVNVRNSGAKE CSFRVELETGQSTLVVSPKEGVIPPYSETRLKVEFNGREMGTYRAIATIHAGNDKQYL LDISAVVVEHNIELVFPTAEGLSPDPVRVLSFGSLYIGEMRKLETVLRNNGPHPVGYQ TGISFSGRPFRVGDDLSNPEDDGEIDEKKKELTVLPSEGMIPPYASALLTFVFKPNNG SLSTKSKGQRQGYTSAKVPVASNNGGDGHTLLKAFASIECSEINQNLAIEISGTAVAP HVVITPDSFDFGECASGKRVDMMLNVKNEATLPVTFKLNKLAHFAAHPSKGRLDVLQS QNLVVSFVPSQLGRFQTTFHLDIQGGSVVIPIHVKGTATSVGDKKQLVGGIDTLPSDF RPKFNFMTVDDIKTQQIKPKPTFHRMPPFEIAARDGTAAVDEYEFQGTNNTHLTYCVN ELADRTHHRDGYNSILRQYRHDRLVKQEKSNQVPSNPVDLGMTPQGGLEGPDCKMPVA NDPLWMQSALGNRRGSSSSPFDDNKIIKKKFKPLPVTQAEVKDCATTLTSEQLKQIVA GPKTINFGKVCVHSVSKKSFSVTNDLPHNISVHVHLANDHDELQETTPLSQIVPTGAT AGFDFTFFSRIEQVFQKYVNYTINGQHSFKLLVTAEVVPIRVELSTPVLEFAFDANDL SPNVAQQLVVKNPGNSEAKFSWAPEPQHPPVDCAFEASPKQGTIAPGGSCPVLVAFHP RFNVSNQATLVVSVDGGKPAQLHCVGHVVEPKCVVREKRVEFGTIAAGIPKEKRVLIS NQNIASATVYYAEIDPPTPGLSVRPVVGELMPGETAELCITLDILRALVLEGVVLEIK IRGGRTIRVPLSADIVVPNVSFNTSNDEFNFGGVTLGVLVTRELSVTNPSVVSAQLVL DFSPFAPEFQLAMPVHLNPEVKDDVHAIFIPADVDPPSKWQLIVPPSATLKFHLLFRP DRIISHSFPFPIQLEGVPARMDALHRTVQATGLKPRMLFSSSTLDFDKRVITRDSVRK IPYSMGLTLTNDDPQTVKWSIDLTKLKPKKSVFHIAPSAGELASGDKCTIRASFLPTD AIEYAAEVPVLLDDVPYVTLALVGKGIHPHLSFSVPRVDLPTVPLGITSTATFFIYST GYDNLELSYRLPIDLSRVPITVTFPDGKAIGIANPKVQIDISFMSTKSIAFNAKLEFF DAEGSQFDVPLSGATDNCVLTNFGFVEAHRNEFGFFTDPDQRYPVYFLEKSYIKALSK KPLPPPPIQTKIDDDKQLKKAKKKTAAAVVTPKDKSTPPSAELAAEVVEISGKLLHMP HVHEPKLDELVLLMKWLNMNILKTPMTAFPNDLVQTGGRPVYEMLEIVCGKSVPGRVK QLSANKREQGTQMVGQYVELLRFLKSYGALLNDVRPEQLLDNEQYVRWSEDDDKNQVH RRLFFEKEWHRVSCLAWTKVILQIVKCFVLFRISTKSYVALPGIIMAAAAATKTNMDD TSMTRDCTKSNVYSESEMVLIQWLLHHSKRMATVAEPRLIVDLEHDLKDGVVLCYVLM SHVPTLAIEGGPLYGFNRKPTSSEHFLENATCLRNALSCLGMDYGIPADQLCNLSLPS TVLLLLHLYQNVPQFIPKTTIEFKGVLGQTVQKSIELKNPSKKTIVYDVFLEGQVKEF SIYSHTLTLEPEKSQSFLVDFKPKFTRTVQARLTFRSLRDGPNCAATMVFLLESNIYS RKPIRVFQVDAAMYERKVEDIVIDNQFPVNAVYKLTVLQQPASSPASLSHESSQVLQH KKHPKDTDENACMDGQLPFFLPDVVGDVVPIRKDDSTTVKIEFLPLAPGVYKCQLLFL DESVGEFMYEVHCTAHLPPTLETLELTSDNKPHMLKELIVPARNPILNKALAVIVDRF QGLVKAKVRDGLRKCEDCHHTTFHAEVNSPYFVLQNSEVTLKTGSSSTPANHDGDKKT NQAKLVTPRGSLSSPTSLMLDFQPRGAGLYNCKVLLRSTASCGCDIRVYEIHAKVTDV GIKTMLEFSAPARQTIVQDIPIVNPTDDTWSLRATLTGTSGVFTGAATLVVPPKKANN YSLTFKPQWLVAETGSLLLQNPKTGQDFEFGLSGIGEEPLAMQHVVLHCQARQSLVHE FQVHTFKYDPPGPSTFTVESDLPYVGGPSQLVLPAPNVTALYKLTFNPLIGGTYFGSI TFTNTRTNEYCWYTIEATVAPPEPEATLEMTTTVRSMVGMEISLENPLDRPVVFDIVL KGNGLFGPTQFALEAQQTGIYQLLYSPLLPSTNATGSIGFTNEDVGEFSYMLTLHATP APPIQLQDMLCAVGDVVSQPITITNPMDTPIPLDVMLSNTRNFRIRDEDIVVKPLSTY TAILDYIPSSLSDFECAEIQFLNPDVGTWEYKVQGKGKPPSLMKTTLVHATVGEAASS LFSFRNPFPDALTVEVTMVQLSQHEDGKVIMERPPGTSGSQSGHSPTGVSRQRLRPHS ALPPVFDILLKKPKVTLEGFGTLQVPISFLPNFVSEAGAHIIIKGDKELEWVYPIRGI AQAPANPRAYSFVCRARESCEKKLSLDLLALEKVTLDERFTVEWDIPEPHSRVIERTL TVTPLVDSIASVTTPLEYLVRFDPLKPIRLTVALVVKKRSGGLWRFDIHLDASDPVVD DVLTIESALNQTSSVSFKLTNQFRESAPFQAEFTPGSSQAFTVYPVEGLLAAYGTEGT SFTIAFTPTGYGKMCSGQLVVLTDEMQWTFNVKGTHPEYKVPQGEAKVYTKGSKKLSP PSSTKKKKIFGRK H257_06797 MGKIIDRLTELEKTGSPSVSFEFFPAKTEDGVFNLLNRVEEMGF QLQPTFVTLTWRSAFKDEKLWLKIGSHIQNEFKIDVLMHLTCHLPREQLREILKNVRA AGIRNILALRGDPPIGSERWKAIPGGFQNAVELIRFIREEHGDWFCIAAAGYAEVHTE AWNNPNLPPSDQVRRVDMLRLKEKQDAGADFIITQFFFDVDKLLQWIRDCRQVGITIP ILPGYLPIQTYNSFLKFTNWCKTSVPPQVEDALVTIKNDDSAVKKYGIQLAVDTCRKL LANNLSLHFYTMNLATTVRTVLEGLHLIERERGLPWGAPITRSKDGTLEKSIEQVRPI FWSNRASSYIARTSEWDDFPNGRWGDRTSPAYGELSEYYLAYKRPKVARDALWGRPQT EQDVWNVFVKFIEGSVKQLPWCEQGLSGESTIIRENLRWINSMGFLTINSQPRINGAP SEDPSVGWGGKDGFVFQKAYVEFFVPPHLMQRLVVALADYPNLSYHAFSANGTEYTNS PGPSVTAVTWGVFPGKEIIQPTVVDTASFAAWKDEAFELWLSQWASAYETDSPSHQLI KRIFDSYVLVNVVDNDYTNEDSDIFKIFARVITESMTKDDLRQRVLELEGKNEKLHET VAKLKIIQAEANRELKDLHGDLSTSREENSRLKAQVRELRSRLAMAEL H257_06797 MGKIIDRLTELEKTGSPSVSFEFFPAKTEDGVFNLLNRVEEMGF QLQPTFVTLTWRSAFKDEKLWLKIGSHIQNEFKIDVLMHLTCHLPREQLREILKNVRA AGIRNILALRGDPPIGSERWKAIPGGFQNAVELIRFIREEHGDWFCIAAAGYAEVHTE AWNNPNLPPSDQVRRVDMLRLKEKQDAGADFIITQFFFDVDKLLQWIRDCRQVGITIP ILPGYLPIQTYNSFLKFTNWCKTSVPPQVEDALVTIKNDDSAVKKYGIQLAVDTCRKL LANNLSLHFYTMNLATTVRTVLEGLHLIERERGLPWGAPITRSKDGTLEKSIEQVRPI FWSNRASSYIARTSEWDDFPNGRWGDRTSPAYGELSEYYLAYKRPKVARDALWGRPQT EQDVWNVFVKFIEGSVKQLPWCEQGLSGESTIIRENLRWINSMGFLTINSQPRINGAP SEDPSVGWGGKDGFVFQKAYVEFFVPPHLMQRLVVALADYPNLSYHAFSANGMVVRVH LVIVCMATADKWRNRNGIHQLTRAECDCCDVGGVPWQRDHPTNGGGHGQFRSVEGRSV RVVVESVGVGVRDGLAIAPVDQAHFRQLRPRERCGQRLHQRGQRYL H257_06797 MGKIIDRLTELEKTGSPSVSFEFFPAKTEDGVFNLLNRVEEMGF QLQPTFVTLTWRSAFKDEKLWLKIGSHIQNEFKIDVLMHLTCHLPREQLREILKNVRA AGIRNILALRGDPPIGSERWKAIPGGFQNAVELIRFIREEHGDWFCIAAAGYAEVHTE AWNNPNLPPSDQVRRVDMLRLKEKQDAGADFIITQFFFDVDKLLQWIRDCRQVGITIP ILPGYLPIQTYNSFLKFTNWCKTSVPPQVEDALVTIKNDDSAVKKYGIQLAVDTCRKL LANNLSLHFYTMNLATTVRTVLEGLHLIERERGLPWGAPITRSKDGTLEKSIEQVRPI FWSNRASSYIARTSEWDDFPNGRWGDRTSPAYGELSEYYLAYKRPKVARDALWGRPQT EQDVWNVFVKFIEGSVKQLPWCEQGLSGESTIIRENLRWINSMGFLTINSQPRINGAP SEDPSVGWGGKDGFVFQKAYVEFFVPPHLMQRLVVALADYPNLSYHAFSANGTEYTNS PGPSVTAVTWGVFPGKEIIQPTVVDTASFAAWKDEAFELWLSQWASAYETDSPSHQLI KRIFDSYVLVNVVDNDYTNGTVLLL H257_06798 MDEPPPLCSLSMLEDGGNQHVEGEQTVMDEMLEVATRAKAMKQA ARVADERKRSQTFGGGLKKGFFSNPKPAKKKSVSTAVAISSSPDIPTLRRNPAKESSL HLPEVHDAMNQMQNLKPEDWMTPAFFEKLGRNPKLCQAMKNPRFTAAIQEMSTNPTAA ILKYQNDPDFGVMFKDFLQFMGHHFEELGKIEEKTAIPTRDDIQRQALTSMSRSPEED AVVQRILADPELQGILGDPDMQKVLRACQVPGVLSKYMNDKVFGPKIQKLARAGLVQL HP H257_06799 NFSMDPQHVYEESLPLIQEIHKTSIEGDALVPGGAQPLNSPEIL GLLAQFGAVGFVFTLLPALNYPIFNVYLQMEGYQTASYGVLVSMGWSFKVVFGMLSDC VPIFGYRRKSWMVLGWSVCFVCLAIMASLPIGAPYCNRKLSSYCGTPLANVPLAELRS HFNLHAPDQGSLFIILSMLVSVGYVIAECASDAMIIKYAQREPLATRGRVQTAAFTTR YLCGLPALAVTAFGLNGIQYNGTFSFSLSPNVPYALCLVPCLLACVAVVVVVQEDPTT ASPSTIITVASWWQGFWALLQRQAMWQVCIFKFVNGFFRTITATPLNPIKSTWAHVTP LTDAVSTMVGTALFCSALAIVGRYGLHWNWRWSLVVANLSILVLDATVMLCTTWNVVR NQWFFAGVTMFEQIPNGMIMIIGSFCAVEMADVGTEGATYGLLTSLANLTYPLSAAVY NYVDSFFKVSQNDIKTDSNAVRWDVTTVYAISYGCKLVALVPLVLLPSQKPQLQALKR RGGHSRVAGAVVLLVCACSLTFSLASNCFAVFPSTKCFRIAGGNGVVGPDGYCVQSVE IKRAT H257_06800 MYIEIVFVSCDRNQEQFDEYWGDYVTFPALPYETRSTKTDLGKR FGIKFIPTLIFLDAETKEIITRSGVDIVEGGVDGQDYVASARDVLGLEAAVP H257_06801 MDALSPPTTWTCETNSDDMEVQIHDLTSPVAEMRDFGLNSFSAT RDAASTDPASPPMVREYATSPGPMVPVDVPRHLKRHSNGATACLEPPPRALQPLLAIW AYPPATPSALVMREVIYRPKPRYLLVLVTLDRLKTSIHARSNGSAASATTATSPPSTD PWTAFAAKRVEATKASQTKDVGTYRPSMADLKPLLAKHSAGTLSFHDTLPIQKHDKRE VVGWLHMATGNHTKAINEDICRHGVAAARQPVVGQG H257_06802 MSPHSTCAPLYNGPPLDDQNRTFLEWKPLFVSQADGHEFTQFYL NKAYMPSDLEPSILSILDDDVQVDKLKQPELYSVADFTGDGLTVRHKAIATHNQSVKS ATLKTELAKALSLTLRCLMPNPTSSTTTMVTALPGHSLGRRQVHRESSQYRAAIIPSS LDIAASDYDAFQWANHYMVKLSEVFLDDKQIWDLIRAISSNAKASGQPCSVASIDTAI KDAFSTRHLRSLALGEHGTVDSTHTHSNLNATVVEDASSPVGLVTPRSLPSYAQHK H257_06803 MAPGRRDLTNDEREAILRETLLKSDGSYASRLPKGFGPYLASKY ECNVSCIRKILARAKDQGVATGNMQVSVANKKKGKVGRKHAFTAAEEQGQAEVHREDG RSIRFIAKALGKSREAVKEHLDSPSTYGDRYKGRPGKLLLARDRVHLIRRAAASGQIS GALLADLPFEVSAQTVHREFAGALQLKYSKLKHTSVL H257_06804 MDVVEVPVKRGRGRPRKDPNAEVSVSAASKRKAKAAAAAVDLTQ DSATTPSPSVKKRKAKAATVAVVDLTHDGAAPPTPPVKKRAAKGKQVKAAVVDLTSPA VPSYLTDLLDTGGIRSVATLHLGGSSAAPSVEIAEVVDVTDPPVVVPEDVLLGSFKHR IVGIQHYHGRVGKKEAVSLVRQPTNQYDRNAIAVFNLSGVMVGHIPRDLAAVSAPFLD SGVMTMEGMCPSAPGTYTMPIVLSVYGQEAHRSRVMDALKLYGLIPPKDDTYSAAIGL KGKSRFSLDDKDSLFAGEVDVMALPVMDTAQLPLTLRSTLLPHQLQALQWIQQKETPS FVQSNASVQLWQRHDHQAKPYYVHQATHTEQDTMPDLCRGGILADDMGLGKTLTMLTW ILASQKPNCRKATLIVCPLSVVRNWQQQAMNHFEPNAFRVLVHHDKTRLSNNNAAHAY DIVLTTYGTLALEAQKEGPLRQTAWDRVILDEGHLIKNKNSHMFKAAVQLHATAGRWV LSGTPITNKIDDLAALMAFLRYKPFDDQYWWTRSIGRPLKREEPIGIRNVKALMKDVA LRRTKAMKQANGQPMVALPPCTVYSYPISFNPTERMQYEALEVAAKRHLQHLLDTNSF ERQYATVLEMMMRLRQTCNHLSLCPSNYVQKLRQGVAQETPLSTVDYSTEAVQTLVEI LREATDTGEDCAICMDPLQDAVITMCRHFYCRPCLLCALQAKPTCPLCRQDVQPAHLV ADVAAPPLVEMDQVLPSSKMEALLKLLRLTPPGVKSVVFSQWTSMLALTKKVLQANDI PSISYDGSMSRTTRDQHLKSFKEDGPNVLLMSLKCGSMGLNITEASQVFLLDPWWNTA IETQAIDRVFRLGQTRPVHVFKFVVANSIEERVVAIQTAKAALIKQAFQGIKGASDSA VNEKRLDIATLFQLDWRPN H257_06805 MFGGGFESFFGEGHGHGGHSKPVDNTKFYETLGVPKNATAADIK KAYRKLALKNHPDKGGDPELFKDITVAYEALSDPEKRELYDQYGEEGLQHGGGGGHHG GDMFSQMFGGRGGGRPRGPQRGEDLTHPLKVSLEDLYNGKTVKLAVNRDVLCGGCAGR GGAEGAETSCGTCNGRGMRIQHRQIAPGMVQQVQSVCPDCRGQGKSIRESDRCKVCRG NKVTKERKVLEVHIEKGMRNGQRITFSGEADQAPGTIAGDIIFVVQEKEHAVFQRKGG NLILEKKISLVEALTGFETVVEHLDGRHLHVKSVGGEVIKPNQFKAVQGEGMPQHGNP FVKGQLVILFKVEFPSQVSAEQTKLLLSVFRRPPSSVPRLSDDSEEVFLSDFDAEAAQ DQAQRDAYDSDDDRGGQQRGVQCQQQ H257_06806 MDYTSDSNVSQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQ ELIDDSRDIRITPEAQYNPGQKTNRVTDTIYQIQFGQIYLSKCTMTESDGSTSVMFPH EARLRNLTYSAPLYVDVTCQKYQAGTVPIEEQDPYEEETTAKEFIGSIPIMLRSKYCV LTDKSDKELTELNECVYDQGGYFVINGSEKVLIAQERMSNNHVYCFKKSSISKYSWVC ETRSHVERGVRPTSTMYVQMYAKSGGKSAVSGHQIRAVIPYIRQDIPVVIIFRALGFV ADREILEHICYDFTDVELMERFKPSLEEAFVIQEQEVALDFIGRRGSAVNVSKADRLR YAQDILQKEMLPHVGVEDHNETKKAYFLGYVVHKLLMCSLGRLGEDDRDHYGNKRLDL AGPLLGGLFRVLFKKLTKDVKGYLQKCVDAGRDFQLSLAIKSKTISNGLRYSLATGNW GMQKTASKAGVSQVLNRLTYASSLSHLRRLNTPLGREGKQAKPRQLHNTHWGMICPAE TPEGQAVGLVKNLALMAYISVGSPQAPILEFLEEWSTENLEEITAQIIPTATKIFVNG NWVGVHREPNELVKTLRSLRRCVDIDAEVSVIRDLMQKELRIYTDAGRVCRPLFIVEN NRLLLQKQHVVKLQNHKHTQFRWQNLLTEGVVELIDTEEEEVCMIAMEPKDLRNARSL YTHCEIHPSMILGICASIIPFPDHNQSPRNTYQSAMGKQAMGIYSSNFRARMDTMANI LNYPQKPLVTTRAMEYLHFRELPSGVNAIVAIATYTGYNQEDSLIMNQSAIDRGLFRS TFYRCYVDQERNKSPHGIGGGSGFLNSEEFEKPTRATCLRLKHGSYHKLDADGLVAPG TRVSGSDIIIGKTCPLPSNDENGLEARHQKRDASTTLRTHENGIIDSVMLTTNAEGFK FTKVRFRNIRIPQIGDKFASRHGQKGTIGMTYRQEDMPFTIEGVTPDIVVNPHAIPSR MTIGHLIECLLGKVSSQTGDEGDATPFTDVTVQAISDTLHSLGYQRFGNEVLYNGHTG RRLQAQIFIGPTFYQRLKHMVDDKIHSRSRGPVTMLTRQPLEGRAREGGLRMGEMERD CLISHGSANFLMDRLFANSDAYRVHVCDLCGIIAIANLRKMTFECRTCRNKTQISQVH IPYSCKLLFQELMAMSIAPRLFTMGNPNLLVKA H257_06807 MAAARLRQLMVLSRDVAKSTRFYQDGLGLQLIRQSGSFSEFDMQ AGVTLSIKQAHGEAACSAGYSPFLNFDVQDMMETIPRLISLGAAMDGPIKYPAFGKVA AVRSPDGTMIGLYESNTMDSATSA H257_06809 MSRVQSAKIAQISMLLRVLQGVSGAIILICLLSTYRPVVLSDIA NNVDSKEYIAVNLSHFFLAIAASLSIAYGVIQVVFEVILSRIKTDALLERCADAFLAS LFVVVACVTAPSMDCSPSKYQKCTNFQVAVVFAFLSAVLFVVSIAFNAQFKSIRRGNP DATENLVPRGRFGGADESAVLESRHPKKPKKKAAADQSKDLDEVEPRGHFGSVRCADF TAANPSHDEYADEDVYFNSSHRDLLVKPTKGSV H257_06808 MFGGGGGGFESFFGGGDPRGGGASKAADNSAYYETLGVPKTASA ADIKKAYRKLALKNHPDKGGDPELFKDITVAYEVLSDPEKRELYDKYGEEGLQQGGGG GHPGADIFSQMFGGGGGRPRGPQRGEDLTHPLKVSLEDLYNGKTVKLAVNRDVLCGGC AGRGGPEGAETSCGTCNGRGMRIQHRQIAPGMVQQVQSVCPDCRGQGKSIRESDRCKV CRGNKVTKERKVLEVHIEKGMRNGQRITFKGEADQAPGLVAGDIVFVVQEKEHPVFQR KGGNLIFEKKISLVEALTGFETIVEHLDGRHLHVKTVAGDVIKPNQFKAVHGEGMPQH GNPYVKGQLVILFKVEFPNQVTPAQAAALKTLFPAPPRLGHVTDAEEAFLSDFDAEAA KHQASQEAYDSDDDRGQRGGPGGVQCQQQ H257_06810 MVKAPTKQASVVGDVVRKTLNLPKTDFPMRANATVREPQLHART VTELYATQEKQRKGVAPTFFLHDGPPFANGSLHTGHFLNRVLKDIINRYKLQRGYHVH YVPGWDCHGLPIEIKALEKLKLPRDKLDPIDIRKHSRALALDAVESQKKDLMRWGVLA DWSGGKGTRYLTMDPDYEVRQYDVFKSMVKDGLIVQGYKPVYWSPSSGTALAESELEY QDDHVSSSAYVRFPVKSASAALAAFPNIAAVIWTTTPWTIPANRGLCVRSDLEYAIVE VAPGQLDLVAVALVDEYKATLNQPVQAVATVWGADLVGSIFSHPLNGQDSIVLLGDHV TTDAGTGVVHTAPAHGQEDYFAWMAHHAQHHSDNTITCLVDEKGCYTAEAGADLAGLF VQTQGTAKVLEQLAQSGALVSVSEITHRFPYDWRTKKPILLRATKQWFAKLDSLHALG HQALDSVNMHPKASRRRLEATLSSRSEWCISRQRAWGVPIPVFYDKDTLEPLLNDTTI SHVQKVMLERGGTDAWWTQPLATLLAPGFDPAQYVKGTDTLDVWFDSGSSWYAVLPKD TVADVYLEGSDQHRGWFQSSLLTSLAVQRTAPYKNVITHGFILDERGQKMSKSLGNVL VPHDIIEGHPKKKIPAYGVDTLRYWVASTDYTSQVGIGPSTMVKISDHVRKVRNTARF LLANLNDFDPRVDAVAYDDMTSLDQYMLHLLHELQAQITDGYETFAFNKVQQALAHFI ATDLSAFYMEACKDRLYCEAPTDPFRRSAQTVLDAALQTITTAIAPVVCHTAEDIRLH RLAQFTNAMVNDVPGSVFLDGWFSPKPEWHNAEVVATWEVLRSLRLQVNRTVEQLREQ GAIKTTMECNIDIHADGELAAILNQVNPRILEDMLLCSGVTLHALDATTTGETTLVLE KFPVKVVVRPAQGHKCPRCWKFANEVNEAQETLCARCASAVGCSRIHDLVDK H257_06810 MVKAPTKQASVVGDVVRKTLNLPKTDFPMRANATVREPQLHART VTELYATQEKQRKGVAPTFFLHDGPPFANGSLHTGHFLNRVLKDIINRYKLQRGYHVH YVPGWDCHGLPIEIKALEKLKLPRDKLDPIDIRKHSRALALDAVESQKKDLMRWGVLA DWSGGKGTRYLTMDPDYEVRQYDVFKSMVKDGLIVQGYKPVYWSPSSGTALAESELEY QDDHVSSSAYVRFPVKSASAALAAFPNIAAVIWTTTPWTIPANRGLCVRSDLEYAIVE VAPGQLDLVAVALVDEYKATLNQPVQAVATVWGADLVGSIFSHPLNGQDSIVLLGDHV TTDAGTGVVHTAPAHGQEDYFAWMAHHAQHHSDNTITCLVDEKGCYTAEAGADLAGLF VQTQGTAKVLEQLAQSGALVSVSEITHRFPYDWRTKKPILLRATKQWFAKLDSLHALG HQALDSVNMHPKASRRRLEATLSSRSEWCISRQRAWGVPIPVFYDKDTLEPLLNDTTI SHVQKVMLERGGTDAWWTQPLATLLAPGFDPAQYVKGTDTLDVWFDSGSSWYAVLPKD TVADVYLEGSDQHRGWFQSSLLTSLAVQRTAPYKNVITHGFILDERGQKMSKSLGNVL VPHDIIEGHPKKKIPAYGVDTLRYWVASTDYTSQVGIGPSTMVKISDHVRKVRNTARF LLANLNDFDPRVDAVAYDDMTSLDQYMLHLLHELQAQITDGYETFAFNKVQQALAHFI ATDLSAFYMEACKDRLYCEAPTDPFRRSAQTVLDAALQTITTAIAPVVCHTAEDIRLH RLAQFTNAMVNDVPGSVFLDGWFSPKPEWHNAEVVATWEVLRSLRLQVNRTVEQLREQ GAIKTTMECNIDVHTTIPVRR H257_06810 MVKAPTKQASVVGDVVRKTLNLPKTDFPMRANATVREPQLHART VTELYATQEKQRKGVAPTFFLHDGPPFANGSLHTGHFLNRVLKDIINRYKLQRGYHVH YVPGWDCHGLPIEIKALEKLKLPRDKLDPIDIRKHSRALALDAVESQKKDLMRWGVLA DWSGGKGTRYLTMDPDYEVRQYDVFKSMVKDGLIVQGYKPVYWSPSSGTALAESELEY QDDHVSSSAYVRFPVKSASAALAAFPNIAAVIWTTTPWTIPANRGLCVRSDLEYAIVE VAPGQLDLVAVALVDEYKATLNQPVQAVATVWGADLVGSIFSHPLNGQDSIVLLGDHV TTDAGTGVVHTAPAHGQEDYFAWMAHHAQHHSDNTITCLVDEKGCYTAEAGADLAGLF VQTQGTAKVLEQLAQSGALVSVSEITHRFPYDWRTKKPILLRATKQWFAKLDSLHALG HQALDSVNMHPKASRRRLEATLSSRSEWCISRQRAWGVPIPVFYDKDTLEPLLNDTTI SHVQKVMLERGGTDAWWTQPLATLLAPGFDPAQYVKGTDTLDVWFDSGSSWYAVLPKD TVADVYLEGSDQHRGWFQSSLLTSLAVQRTAPYKNVITHGFILDERGQKMSKSLGNVL VPHDIIEGHPKKKIPAYGVDTLRYWVASTDYTSQVGIGPSTMVKISDHVRKVRNTARF LLANLNDFDPRVDAVAYDDMTSLDQYMLHLLHELQAQITDGYETFAFNKVQQALAHFI ATDLSAFYMEACKDRLYCEAPTDPFRRSAQTVLDAALQTITTAIAPVVCHTAEDIRLH RLAQFTNAMVNDVPGSVFLDGWFSPKVSMLDACMSRILLRGVVA H257_06811 MRNLSSIAPKRRGPPPPQCDEHLETWIKDMQANGYPIKSPELLV EVAEGCATKWASMTFRTLFCIMAKLTIEDHLDDHRLYNIDETGFASRKKSTESARNHW IRQRVG H257_06812 MTLEVATHIGQQATRTPRNDAAETRSHHMMQLVKRNLKEWLVAY LQSKKNDAVAFNIFRSLLSQFAQRHRFLHCTPCVNKVTQTVLDKAWLGYAESFWVKYV EYDRRQILKVDETAVVSKGQKHFERLAAVLTVQADGKKLPLLFKFKANPGGMIESKEI PSFLHVYAVQENA H257_06813 MAVTSAHMLQYLREDHMEWIKTYMSTRQTGYKSLLRLLQHFTFH EDHREINMDVLYNADETDINMANAERHSYRLTPPEEALIRLEDAIPWALHSTSDLMPP KTKANTHFQDVTHMVATDDNPVLKIWCAQHQIDLVVKQAAECVLAHREAGIARQRTLT AMLNLTIVDQGESDHEKHESFKSMRIPCYNDLIPDEQAVVTREIVKYTITVIRGLMSI QAERDDANKPLQTDAPPVLHCVWVRDVLAPHQMSRSTSSRRTTRHSVLKCYHDDEIRL QPFVRLLATCLVNTVAVESNISILKWEMDGNRTDMMYLSLEGVFQAK H257_06814 MTPKEVARDSQYQRNLEFDNLWDVVHLDEKMLNADKDHQKVYLV PGEAPPWRAWKSKRFIPKVMFLAAVARPRFDTDRGVVFNGKIGMWTFSRLLPAARNSR NRPAGTILTRLVNVDTAVYCKYVLNKVVAAIKVSFPSVNKVTFQAVMSLILEHSGGNG YVLPPLKEAALRRSGLLMSNDS H257_06815 MRRSVLAILLLTLQGYHAQPNTTTPSAVVNAAPTTTTPPPTETP VETPIPTTTPAQSTSSPTPPPTTAPPSSPPPQTTTPPPATNEPSTTTTVPPDPTTTTP PPPSTTVTTPSSSSDSSTMTPTPPPPSMPATIASPDVTIIDPNTDDAVIPEVTSDQLR ATTPSDVSTASSESGGPNTVGWVMIVAGILVGIGLLLGAVRFVRRRQQDNEDDEDFYD SFFEKGAQSHPSMTVEDSRYAANFPGDFGASTAQVEKDSTFFTWRSPSPKIQAPIVTS LMPPCEDDAAAMMVMRTPSDELVLHNDVPNNFHTGEQSSSIVSYQYTQRGNQDTERPP VPNVGSCTTFPNRSPVKCHHNDWCADDDDDAQSFTSVASSDQSAYSPRSSSDSTNSTS NFEV H257_06816 MAGESEPETPPTAEKTSAKDALSASFLPSSLSLVGLSVAMVVAA VAMHALGEIDPPTHQAVQEQLFRDAMQSPRNSRGNLTLANATSAPNYDRLSKYETDIV AMDDQFFLEPRECTGTMPTVMSSSWVPVLDVMQLPVGTLRSNQSIFVMRNGANHGLHF LWDGDGDCLHALARTAGLALGIPALRLDNGVRLSSQYSLPLTTSSDVEAANRIVHVLL DFDLWVWPGIAVNFTYTLHDNMTLTTQSLSPRVFSVTNFINQGEAGEIIAHGRKLLRR SPTGESVDGTVSDIRTSSTAFLPDTAFSRQFQHRATGVARLPSASYAERLQLVRYRPG EFYRQHLDTMASKNIEAPPVYQYADFVKWTTVAAKNVALLGNDLPQRFKPGQALYPNA KNVKFALALVELFWKDGVSKHFFASRNEMEWERWLADKVARPRGNPMRQLLQHDAKPE YLIHIIRVWERHLNEPRIQPYHGLPRSVNGLSHFLRWIRWAKERISVLGDQVPATIRP NGKLYPKYDQYFQYELIALLFKHHSTSQLTDLLSKQWFDFLVEYQGRRDCIHILLQQV PALAQSLADTWAKEVQMYGEFTTTYTLPRYVHHMTPNRFVTLFVYLNAVERGSGGTVF PFADSIEKVESVRSTTTSTTTNGMAECKSGLNVAPTAFGASLFYTQTPDQDVDFLALH GGCPPQGSIKWGANAFMWNADAAEGADFWN H257_06817 MITVLGSSIEVRRWPSLGALVPALRVLVACKAGAGLSREKDVRY FVLQCQLVAGTPRDNRCHIIFVFAGGHERRNDRHLAFPARRSPRKEHRVHFDLRGGCF DCSRNVRRRTRVVVGRARMRLRVFLGKTVVEIVVVIFFLLTAPHEPHGSEEKADPDND RGNGHDPSDGVGPSRFGRGSGHMRWSRRARLVHSSGKGRRYLWDNDVAGAGVNDYDIG GRDGCWHRWGRWSGSHCRRIGRGRGCSDSSRRWRRGGRRRIWRSCGGSCCRRNCCRSF FRGCGVRCSCSLRWWR H257_06818 MVDPARVNECTHEFCFACILQWSKVSSTCPLCKTPFQALWNVTS RTSVPVEPAAASCPWDAPANSSIETSLQDELTHGYDMDDGFVVPDGFVEFEDMELMEL PSATAHWTGTARLRSRRERRRRGETASDAISIMRPPRSHRRVIVDDEDECIDGATGEG ATRSEKLPLNRRSKYFGGQVRP H257_06818 MVDPARVNECTHEFCFACILQWSKVSSTCPLCKTPFQALWNVTS RTSVPVEPAAASCPWDAPANSSIETSLQDELTHGYDMDDGFVVPDGFVEFEDMELMEL VPSATAHWTGTARLRSRRERRRRGETASDAISIMRPPRSHRRVIVDDEDECIDGATGE GATRSEKLPLNRRSKYFGGQVRP H257_06819 MSSPPRQMSSHGNNTDSLTPKKKKQQLTGGSHANNPTAKGLVRE IQAQLASRQGLLAEQREMILAQRASNTKLYSSIMDQLSAMDKQLDAQLAHVKQQRHQH DSYSRNVDKSLRQARATEARDAKRRKPAPPISAPPATSPLVLSTVSHGMAASSPATPS SSSATPVPPKWDVHKCGQFGHLSNLHRTVTLVGDGWNVVVATHPVDAFSVRVSWPATA SGAVAVGFTREPNCWKIPVNNPPRSFPYHKTGWFVNVNQGTVSSCNDDEYAKDQSSRR WTFQTGEVLTAAFDFTRDEITFANEGNDQGTIILDNVRSNALYPAVVSYDTGVQVEFV SM H257_06820 MAWRWLRCKWQHGRGSGSGSIVAAVAGRSQRWRHGHFWAKDSLI AAATVPTAGASRPSILIKVPRTATVGRLRLESLEG H257_06821 MSLHSTTRHIAHSGWKAVKRNEDGGRRNDAPWTYDEKGSAVTME DGHHCARWQRTVGYSDAQQVLQTFSRLDERKRFIFSA H257_06822 MRRTSSSSRPIFPPAHLPTGRFGEQKHYFSGKHKLYGFKIEASV SPECLLVDMSPHEAWSVSDLNMFPSRLDQHTQVLAKNDYDDTINDNGDLYREYPTSWA VLGYTGYIGLAALARAIHPEKKPIGGALDRSTWTGARKFLLTVSLSKTSSTGCARFGR CPTRHSSGRFTFALTNFHATLMPLRFEDNDHYCAVMARYKSMAAENTSKTTANQHRCL QRRAE H257_06823 MRLNVSSMRLQDQTASGNLYLQLRLEVEYGDAVLDEDEFHETNN LIMDKKIPFLTLDLKDSIAKDAFFVTLTAKKLHQAWEKHAVDFGLKSPTLTKLIVKMV AVYFKLL H257_06824 MGSEACSSTVQVPFTAVTRVWKQERSRNAATADLPTLRKQKGTS SYIKPLLADDNKQARLGFAMSFLRPSSRGGHAFTNMHDVDEAMTQRAAKSKIYITMVI FLASVGRPHYDHTKKMLLDGKIGIWPFVEDLPAHRSSKSRPKGTLLQVSQNVNGDVYE AMVTGKDTSAYDHGYDTI H257_06825 MQKKAKKVFDGWKAEDKQAIAAEVDRQEKKAAKQVRREEAKLRH EWRTKLNEQRMQKQRQMQLLAQDRAMRRQRRPPQEDSDGTASDESKEDEQRDGTPATI RLPNQQRPMPPPPPASPSQPTADMSPSMSATTLATPKPLEPVDTLTLINSTLMDSAAT LEKKRSMVSAADSEGDLEGEDSEGDLEGEDSEGDLEGEDSEGDMFGKDGKGR H257_06826 MLRRYGRPRSSSHRRFRDGERALGPERSWLRLRLFRAPPPLPPS SAAQFDALKKANVEEDSQVYHLVDVTYFPCLHVCVFNEEYIAILRTTGQNMGTSTFVF PN H257_06827 MASSSVGSLIPLGQKPDKSSKKDDLTGGLISIGGKPKAAADDTL DDFDLDDLLNDTPKPSSKAPSSSPKKSRKSKDKARKKKKDKGNDLDDSTSPIKPSKSS FAFDDNEHDQASSRPAKPKTSMKNLDDEFAKALGFDESEFRSSATLDSPDKTPPSPVF QAPPPVPAPSPPLPADKGAISASFFDDIPDNQANMHSPSQSLVDSRPRGGRGGRRSSS NDQDTLDPFGAKETLKPTDPFAKPSVTPRHDPFGATTSATKQQDSTRPINQVESASDQ SNEKTRLNLSVNPTSETDQVRSFPWMKPQDTATSAVVPSFPWMQAKRDHVADVVSPKF EDPPVKQTETKSDLPAFPWLKKKPAEVAISTTQLEAKIEPPSPIKTIDDMPAFPWTKN TPEAPDIPGQPPSSSLVVAEQLPPPVLAISKPDSPVRATLEIIPPDRSNDPSNAMTPP RHKDPSPRRPSPSPPKLSTSDLDIPPSLDLRNSPEKKPSNSSPKRASPSSRAAFLNDD SAIVPAFVTNQLAQSQEMVTCLTAQLNTANSTLTTLQAEHASVVATLGVETERNAALT VDVASLRQNELALQDDLARVQTESAQRLDQLTSALVDKQSLSQEAAALRVQTLELGPL QALVAELQLENAALRGQVSTAQASLAASQRELVQEKSMHAQSVERFQRVQHDREQEAL LQQRWQADEQRHAEKDALERLLTQVRAAVSGLKVLQENVVGSKSESEMRIWGENETRA RVLVDMEGTCKAFMHRSQEECHRLQALLNAMEGTMRTLRGDHMEEKERLRSEQSRLDE LAGHFQAQTTLLQERTDTNTRVVTQTLSAYIQDIRVAEARLHTRREQLLDEERQLHFA RAAFAAQQEEALRDQRVAQEKLHMEQKRVEDKLHRVRHETNAFETLVHAHADEMNALA AYQVQLEHEKERLQNAATRVEHMAQQVREASEHSAAMEAQAKEAMREAATLVSQVQEE RSRVLKQAGQLDDRERRLHDEMRSFMDTTRRQRGGGASSGFPSRQRKEDEKVAPVRPV FNLPPPPPPARRPTTEKVSITALRGDPTGLSPRFREEMEAFWSNGNQVQVDDVRNRML LSCNKSSGITLPKSTRATPRSAMASAFVL H257_06827 MASSSVGSLIPLGQKPDKSSKKDDLTGGLISIGGKPKAAADDTL DDFDLDDLLNDTPKPSSKAPSSSPKKSRKSKDKARKKKKDKGNDLDDSTSPIKPSKSS FAFDDNEHDQASSRPAKPKTSMKNLDDEFAKALGFDESEFRSSATLDSPDKTPPSPVF QAPPPVPAPSPPLPADKGAISASFFDDIPDNQANMHSPSQSLVDSRPRGGRGGRRSSS NDQDTLDPFGAKETLKPTDPFAKPSVTPRHDPFGATTSATKQQDSTRPINQVESASDQ SNEKTRLNLSVNPTSETDQVRSFPWMKPQDTATSAVVPSFPWMQAKRDHVADVVSPKF EDPPVKQTETKSDLPAFPWLKKKPAEVAISTTQLEAKIEPPSPIKTIDDMPAFPWTKN TPEAPDIPGQPPSSSLVVAEQLPPPVLAISKPDSPVRATLEIIPPDRSNDPSNAMTPP RHKDPSPRRPSPSPPKLSTSDLDIPPSLDLRNSPEKKPSNSSPKRASPSSRAAFLNDD SAIVPAFVTNQLAQSQEMVTCLTAQLNTANSTLTTLQAEHASVVATLGVETERNAALT VDVASLRQNELALQDDLARVQTESAQRLDQLTSALVDKQSLSQEAAALRVQTLELGPL QALVAELQLENAALRGQVSTAQASLAASQRELVQEKSMHAQSVERFQRVQHDREQEAL LQQRWQADEQRHAEKDALERLLTQVRAAVSGLKVLQENVVGSKSESEMRIWGENETRA RVLVDMEGTCKAFMHRSQEECHRLQALLNAMEGTMRTLRGDHMEEKERLRSEQSRLDE LAGHFQAQTTLLQERTDTNTRVVTQTLSAYIQDIRVAEARLHTRREQLLDEERQLHFA RAAFAAQQEEALRDQRVAQEKLHMEQKRVEDKLHRVRHETNAFETLVHAHADEMNALA AYQVQLEHEKERLQNAATRVEHMAQQVREASEHSAAMEAQAKEAMREAATLVSQVQEE RSRVLKQAGQLDDRERRLHDEMRSFMDTTRRQRGGGASSGFPSRQRKEDEKVAPVRPV FNLPPPPPPARRPTTEKVSITALRGDPTGLSPRFREEMEAFWSNGTTTGRV H257_06827 MASSSVGSLIPLGQKPDKSSKKDDLTGGLISIGGKPKAAADDTL DDFDLDDLLNDTPKPSSKAPSSSPKKSRKSKDKARKKKKDKGNDLDDSTSPIKPSKSS FAFDDNEHDQASSRPAKPKTSMKNLDDEFAKALGFDESEFRSSATLDSPDKTPPSPVF QAPPPVPAPSPPLPADKGAISASFFDDIPDNQANMHSPSQSLVDSRPRGGRGGRRSSS NDQDTLDPFGAKETLKPTDPFAKPSVTPRHDPFGATTSATKQQDSTRPINQVESASDQ SNEKTRLNLSVNPTSETDQVRSFPWMKPQDTATSAVVPSFPWMQAKRDHVADVVSPKF EDPPVKQTETKSDLPAFPWLKKKPAEVAISTTQLEAKIEPPSPIKTIDDMPAFPWTKN TPEAPDIPGQPPSSSLVVAEQLPPPVLAISKPDSPVRATLEIIPPDRSNDPSNAMTPP RHKDPSPRRPSPSPPKLSTSDLDIPPSLDLRNSPEKKPSNSSPKRASPSSRAAFLNDD SAIVPAFVTNQLAQSQEMVTCLTAQLNTANSTLTTLQAEHASVVATLGVETERNAALT VDVASLRQNELALQDDLARVQTESAQRLDQLTSALVDKQSLSQEAAALRVQTLELGPL QALVAELQLENAALRGQVSTAQASLAASQRELVQEKSMHAQSVERFQRVQHDREQEAL LQQRWQADEQRHAEKDALERLLTQVRAAVSGLKVLQENVVGSKSESEMRIWGENETRA RVLVDMEGTCKAFMHRSQEECHRLQALLNAMEGTMRTLRGDHMEEKERLRSEQSRLDE LAGHFQAQTTLLQERTDTNTRVVTQTLSAYIQDIRVAEARLHTRREQLLDEERQLHFA RAAFAAQQEEALRDQRVAQEKLHMEQKRVEDKLHRVRHETNAFETLVHAHADEMNALA AYQVQLEHEKERLQNAATRVEHMAQQVREASEHSAAMEAQAKVRKRQIYIVNSLYTTE IRVVCLINIESSDWLTILVISVRANQI H257_06828 MASSNHNFGTTVAAASNLEAASMKATTRRLKKNMYQRQKQATYR RQDKLEIAFQRERVVVLEEMLDQARARLRGVVTSKSSSSSILSWEDIALALREGEDAA VARNGQLRHQVHRGRAVIEDLKVWLFTRIPAYPISSIWRNVGLVQHADTRRIGVDWIT KQLAHNATHAFQQYGFPSPESGETIDDYVYFYDGDGLVAVQRIQYIVNQPLEVFYKVV TSDTFLGHENLNSHDPPLNPAIHHRIHDTHNNTIRTNFVSRVAHIGLNCISFVGQHVN NDELFPTTNLQRHRVMMCEYERVSPNQTKIRSLQLMSSLFATNGLYLTPDEEAKIWGY DLGHGPEHTKQDRFLQCTKNAIAKAITRRRLIKKST H257_06828 MASSNHNFGTTVAAASNLEAASMKATTRRLKKNMYQRQKQATYR RQDKLEIAFQRERVVVLEEMLDQARARLRGVVTSKSSSSSILSWEDIALALREGEDAA VARNGQLRHQVHRGRAVIEDLKVWLFTRIPAYPISSIWRNVGLVQHADTRRIGVDWIT KQLAHNATHAFQQYGFPSPESGETIDDYVYFYDGDGLVAVQRIQYIVNQPLEVFYKVV TSDTFLGHENLNSHDPPLNPAIHHRIHDTHNNTIRTNFVSRVAHIGLNCISFVGQHVN NDELFPTTNLQRHRVMM H257_06829 MPIKDKVKAAAYKKEFNKKWYEKNKEKRMAQIKERKQKNKEREL AYSRKNYELHHDKILERKKEYRERNKDTLAAKLKIYRNRPEAKEARRAKDKEYRLRQK AAKAAAGDGKGDAVPTKTRPTATKKSKPAVDPRQDVAMSLVALHDLPTSSDVKQAHGV EFVVI H257_06830 MESQQGRVGSQTKGLSRLARRGRRGTRSTDYVGRQRKRASAMKR TMSERRRSTNDSTDCNEKIQSIGGRPKEDAARSLVALHEVSPASSEVQYIWTFLWGFN KQVTMPVMVVVRLLVCLYDVDDADICD H257_06831 MDNNDVSQRCRYAYSNCHRRRAVKKDGHLHALCDLHREKANACQ KKYAAKRQSSQQTKEHDQLDSAFHDPELLEPMPLQSDPVAFSEDDRELLGHIMSS H257_06832 MAFSVCVLCGQNPYLSLCEPKKTPSRSKGRGREYLEEFTHGDTQ PCEKDLTCVKMTDRYSHCVRLSKGEGAWDDDEDAASVYLPRWADCGAGITEKCNPEDV CKEYHEGSGKLQCTMQRNSNFFGNGASKYDDRTQPYLGECNR H257_06833 MSYREKSGGRGGSSAGRGSGGRDGGGRGFGGRDGGGRGGGRDGG GGRGSGRGFSDGGGRGGGRGGGRDGGRGGGRGFSDGGGRGGGRGSTPTVQMPSGPPPA PVKSKLLQPVANPTFPSRRGFGRAGKPVTLWANHFAVKINPAQGDVFHYDASIAAEGK TPTQETPPKDLCTAVLQALINLFKTEYPTIAVVADGRRNLYAAKLLPFDAMIFKVPRM KEGRTEIFDVHVVAANPVAVRTEQLHELFAGRLNYTPYDAIQALDIAMRYSASTRFTT VGRNFFTNSGAVTLGEGAELWFGYHQSLRPTQTQLTLNIDMAATAFVESMAALDYLVE TCRLQDVPTMLTKPQASNAAKAFRGIKVTVTHRGTVERSYRVNGLKKTAKETTIELDG GRRVTVADYFATNYRPLRYPNLPLLHVGSPKGSIFMPMEVCHVLGGYKCPRKATDNQV ANMITYTATPPEERRQKIVARVQEAHFTVDNTLAAFGASVDPTMLTVEARELAAPSML YAHNKTEQPRDGAWNMRNLALFQGMAFKSYALMSLCDSRRTTDADVFDFFNALVTHMK GLGMTPPGAKPPLVTRGGRGDPIERMFQEAVDKATRVFGQRPQIVFCVAPVQDALNYG DLKRASDTVFGIPSQMMLAKHLAKQNPMYMSNLLLKVNTKLGGRNAVCKDPLPKISSD ATIIFGADVTHPGPMDKSRPSVAAVVASTDKWGVRHAATMRRQGHRVEQIEDLESMAV DMLKAFYRETKRKPAQLLFFRDGVSEGQFQMVLNFEVSALHAACAKLEAGYRPKITFV VVGKRHHTRLFATSAAHADRSGNVRAGTVVDTGICHPTETDFYLMSHAGLQGTSRPAH YHVLLDEIGFTADELQNLAFRLTHTYARCTRSVSIVPSVYYAHLLAFRARFFLVDGSE GGSSVDSATTFTGQLLEAHPDLKHVMYYV H257_06833 MSYREKSGGRGGSSAGRGSGGRDGGGRGFGGRDGGGRGGGRDGG GGRGGGRGGGRDGGRGGGRGFSDGGGRGGGRGSTPTVQMPSGPPPAPVKSKLLQPVAN PTFPSRRGFGRAGKPVTLWANHFAVKINPAQGDVFHYDASIAAEGKTPTQETPPKDLC TAVLQALINLFKTEYPTIAVVADGRRNLYAAKLLPFDAMIFKVPRMKEGRTEIFDVHV VAANPVAVRTEQLHELFAGRLNYTPYDAIQALDIAMRYSASTRFTTVGRNFFTNSGAV TLGEGAELWFGYHQSLRPTQTQLTLNIDMAATAFVESMAALDYLVETCRLQDVPTMLT KPQASNAAKAFRGIKVTVTHRGTVERSYRVNGLKKTAKETTIELDGGRRVTVADYFAT NYRPLRYPNLPLLHVGSPKGSIFMPMEVCHVLGGYKCPRKATDNQVANMITYTATPPE ERRQKIVARVQEAHFTVDNTLAAFGASVDPTMLTVEARELAAPSMLYAHNKTEQPRDG AWNMRNLALFQGMAFKSYALMSLCDSRRTTDADVFDFFNALVTHMKGLGMTPPGAKPP LVTRGGRGDPIERMFQEAVDKATRVFGQRPQIVFCVAPVQDALNYGDLKRASDTVFGI PSQMMLAKHLAKQNPMYMSNLLLKVNTKLGGRNAVCKDPLPKISSDATIIFGADVTHP GPMDKSRPSVAAVVASTDKWGVRHAATMRRQGHRVEQIEDLESMAVDMLKAFYRETKR KPAQLLFFRDGVSEGQFQMVLNFEVSALHAACAKLEAGYRPKITFVVVGKRHHTRLFA TSAAHADRSGNVRAGTVVDTGICHPTETDFYLMSHAGLQGTSRPAHYHVLLDEIGFTA DELQNLAFRLTHTYARCTRSVSIVPSVYYAHLLAFRARFFLVDGSEGGSSVDSATTFT GQLLEAHPDLKHVMYYV H257_06834 MSRSIAAMLATSMDEEVIEEPSCPVVARPLVSTLTPEPSANVEP TPDTRRYPVRTKVPTMRFTPPPRATTRPVLTREERMMWMVAMHQSILELRDCQASSLD SPANTSTRHHHAPSSAA H257_06835 MATENNGGNVTSMEVVVKAEEAVTKTEEENEEHEEQSRSRPKKK KKSGRHKRKTSDRREYVMESKKEKEAEAREWRKALESREFDELQEYRYMAVIKEQLLQ EDNPDAAAIKPAKRPSKKSKKASKKRARVESANGDWECGPGGMEDGDDDDVVLLKVVA QPTKKQSSSTEPICLDEDAIPRRHAKPKPATPAMMTSVQKEAGLQRPTQFRLSVVKAS YHHEYKIPFELTEEEYQLLLTDAPRGKCPKYADVLEGKFPTAPSAHWCAKCHSMMFLY HCQQAILSNN H257_06836 MCSTWQHQLQSESSFPHSSRHVKDGSRTTRAPQAVPGQPPRSAR AAEGVSPEQQGPDRSAREEMVCPEQGAYGRVQEGVPAAEQGPHSCSQEGVHGAKQGAH RRRQERLLPRDKDQGEVGVIVDTTIVQEGRADTHDAATADHATDQAGCKRRHRRCAAH MHSGHLRAQGSAAVAAMRWGCVRLQLLIMLLCIVC H257_06837 MTPTVLVKERKPTYRLLSHLVPHSIQQKSSQLYTLATPWIGRDI GALVLLLVFVDALANNWAINDFCGNALQGFSNMSNVGRWMMSLSVAELATVSDNVYIL TAGAYPIQAATMKYCGGLNGSNSVQSWMTA H257_06838 MQADLCMTTGLPIQNTTKTQNFAVPFYRVYSKSYCTSAVVQGFN MVVVTKSISVPSSTHYLGLMFRRSIYSTIGAVLKYVTILIGMAGFLASRKTVQWHDRS PDKVESVTEKLMDMVVPKYFSHQSFAMTFDLFCYNSDLLVLLFVVSNLLDMNQAIQYI REVNAYNALSPQWDMTVKLFALSTRLLWLNVGLVKLAKMTVHFVSSATYSGHSRVMSW LNFSSVTTLYLSAILLYFVPDYIEYNNICRWDIANSLESINGSVIDSFKSFYFRGAPA IGIGLALNVAGVLAVDHLVLIKFWRNLAKNSLRAPSHFQHHVHHVAVLECKARRLSTL QWYFMSQTMCFGLPEKELSKQKQSGNPTLDIVTTKGDESSIHLREQGKGDIFHVINQS DSGHVHLLDDQLVDVKSLAFNIKILRNTALYIC H257_06839 MPPHVLVKEQSSTFRFVFRLVPPSVKRKTFQTYTLIVPWISHII GFLVLVLVFVDAIANNWAVNDYCGNALQFRTPFAHIETASELPNDFIFGQGRGFSNMS FVGRWMMSLAVSDVASHSDSMYILTAGQYSIQAATMNYCGTLNGNYSVPDLSMPVKLA VVDDGVTYLRGDALSHWFTNDLVDNLPTKSSKKADVQALGFHPARMQADLRLTMGLDI KNTSKTQTFAVSFYRVYSKSYCTGCVPLATLGHGTCNLTVQYIQRTNMVVVTKSFSMP DSTHYLGLMFSRSIYTIIGSVLKYVAILIGMAGFLASRKTVQWHDRSPDKVESVTEKL MDMVVPKYFSHQSFAMTFDLFCYNSDLLVLLFVVSNLLDMNQAIQYIREVNAYNALSP QWDMTVKLFALSTRLLWLNVGLVKLAKMTVHFVSSATYSGHSRVMSWLNFSSVTTLYL SAILLYFVPDYIEYNNICRWDIANSLESINGSVIDSFKSFYFRGAPAIGIGLALNVAG VLAVDHLVLFEFWRNLSKNSLGRQVMFNTTCITCEFVGAFTVENYGRAVLECKARRLS TLQWYFMSQTMCFGLPEKELSKQKQSGNPTLDIVTTIKPNYSNEQGNGDIFHVINQSG NGHIHLLDDQLVDVQTVAFNIKILHDTALYIR H257_06840 MVGGASPAATPSTRQAPVYVASSVDIWALGIATVICGQFFSWNV GLVAGTVSFGYGVLLTALAFLCLVLSVAEIASALPFVGGVFGLARCTLGFCTAFVVGC CELMQYVTYSSVSLVGTTQVLSQRWPSLEGFEPLIWIVIQSLVFLIMLQGGRLFWRTI FGLATVSLVVVFFYCLSAMTNADMGKYTGGSDLTSQGGLSAFFQAFPNAIWFFSGIEA LATLSTYVEDPCKSIPKGQIASLCTLLASCCCIYLSAISLPPGAAALPDVFAVLNGGF SAGFATTDDTATLFSLPAIVASIPGFSLASSNLLTALAKSNLISSVFSPSQPPPHKTT RPLLVVSVLNVAVCFVMQYIGTADVVYTVVMILGFGCYITQSLAFISWRKRHNRVPRL LVSPVGTVGAMLSVVVFAMGLVSALFCQNDSFHALIATGGVISVLTAYYHGIAKQRQT FSKEEHVLLFFGHVDTSMSVLPSERAGRWQRLLLLVLTKGRRRGHDTRQPTIPKKFWT IAPSLLTQRSEPRTNVVHVGRVGGIN H257_06840 MVGGASPAATPSTRQAPVYVASSVDIWALGIATVICGQFFSWNV GLVAGTVSFGYGGVFGLARCTLGFCTAFVVGCCELMQYVTYSSVSLVGTTQVLSQRWP SLEGFEPLIWIVIQSLVFLIMLQGGRLFWRTIFGLATVSLVVVFFYCLSAMTNADMGK YTGGSDLTSQGGLSAFFQAFPNAIWFFSGIEALATLSTYVEDPCKSIPKGQIASLCTL LASCCCIYLSAISLPPGAAALPDVFAVLNGGFSAGFATTDDTATLFSLPAIVASIPGF SLASSNLLTALAKSNLISSVFSPSQPPPHKTTRPLLVVSVLNVAVCFVMQYIGTADVV YTVVMILGFGCYITQSLAFISWRKRHNRVPRLLVSPVGTVGAMLSVVVFAMGLVSALF CQNDSFHALIATGGVISVLTAYYHGIAKQRQTFSKEEHVLLFFGHVDTSMSVLPSERA GRWQRLLLLVLTKGRRRGHDTRQPTIPKKFWTIAPSLLTQRSEPRTNVVHVGRVGGIN H257_06841 MSISPIHLPRIGTFTSAVPTSRAVAKAYRKFSPAVGAAIGCVVL MLVGFDSVVNNWVINDFCGNGLQFRTPVALATSANDLPTSYLFAKGWNISQLSNIGHW MTDYAIQKLSTIDPNVFIISGGTYVVTGADMNLCGSFSGTYTLNDLTEPVKLATATDA ITYLRGNSLTHFATDDLAVGLPTTDSLSVELEALGFVAARIQADIKMTMAFPVQNTSV PQSAIVQFYRLYTKSYCTGCPPLAELGRGECNFTMNFSPASNVLVVNSTFVLNSKHDV GLMFARDIYSAVSSALKFIALLLALGGYLASRKTVQWSEVNAEKMETIWHKLIQIVAP QYFPHLSHAIRFDIFCYNSDYFVLLYGVSILLDMNHAIVFTREVNVFNRHSPRLGMTL QLFALSTRLLWLNIGFLKLCKLGINLITPASFSGQSRVIPFFNFSSVTTLYLTTILLF FVPNYIEYNNQSRWDIHNHVELLDGQFVDFFESFYVRVVGAVFVGLIGNVWGVLALDH VVLAGIWRVLKANSLTRQAIYNSTSILCEYVDDVQMIQEDVVMTCRARRLSTLQWYFM HHMVCFGLPEKDMTKRKQSLPTNTASDRPEGREIKYTVGQDSTGHFHLYDDVLADVKS LPFNIKILRNTPIMIK H257_06842 MGENKGNKAAQREKLLASKRAWAKEHYEQNRERILAVNKKWALK NRAKIRESKRRYRERNRAKHRNQIQAYYRNWKRRRDERRENGEASAEDDDPEPPQDLD DDDDLEGEGWDRDVDYKDIVSMLDNEDLVAATDPTVEESERYTPRQRSMVLVRQQKFW QRLADVLPMTTHDLEHWSVELHAGIPSSSPPVHRDYFDGRPHVVTEDAAQGDVASEDE EMYARAHEMTIVRRRKKIPSTDEQLEQLKQDPSMAAVLETIILMRAGSGVWPDDLR H257_06843 MTSLVPGLNDTTIDWNETHATKNASFPRFNDTVRPPEETITFPP YTPTSSAVPRHNIPSLEASFPQQSWPNESVAALAAVAGVAATLLVVWALQLRQKRAKV MTREGSDDSISPPDYVCAGSPIGTAVTLPASSSSYM H257_06844 MAATTTNLRLVVASKNPVKIGATRDGFEKSFPGHTFSISGVDVP SDVAAQPMTSRETLGGALNRLHAARALVPDADYWIGIEGGVEQTNFGGDAPAADVMEV FAWIVIEAADGTRGMGRTGSFFLPEAVVKLVVGGLELGHADDQVFGGSNSKQKTGSVG LLTQDRITRQSYYEHAVLLALIPLQNTRFRFVLPDGM H257_06845 MKFALVLATIAAAVLAQDASPDNNFIEIVGGTEAAVGQHRYLAG LKASATGTSSCGGSLIAPNVILTAAHCTGHKLSYVVVGSHYLSGSSDGVPAKVVKEIK HPLNNPKTYANDVAILVLDRNITTIAPVRVSFETVPANVLTWVRGWGTTSSGGAQSSV LKEVSVKSWDNAKASIALAPNKVDATMLAAGGLKGEDSCQGDSGGPLTIEDAAGERLV GVVSWGIGCAVLNKPGVYGRLSTAQAFIQPYLPKT H257_06846 MKFALVLATIAAAVLAQDASPDNNFIEIVGGTEAAVGQHRYLAG LKASATGTSSCGGSLIAPNVILTAAHCTGHKLSYVVVGSHYLSGSSDGVPTKVVKEIK HPLNNPKTYANDVAILVLDRNITTIAPVRVSFETVPANVLTWVRGWGTTSSGGAQSSV LKEVSVKSWDNAKASIALAPNKVDATMLAAGGLKGEDSCQGDSGGPLTIEDAAGERLV GVVSWGIGCAVLNKPGVYGRLSTAQAFIQPYLPKT H257_06847 MSSGFGFKGTANRCYAFWKDVERCNVEAAQPGQCSAAVEDYLEC LHHKKELARMNAIIVHKEQESQGKAHGGGGH H257_06848 MGFIWTFIMTSLLTTNAFAILNEKRFLRRIGLHQVDNSDGPPTV KNQLAGLLTAVQYTRVLLIPINVVAIALELLLG H257_06849 MAWCFFLRRRVRRGGRRYCRRISESEAPGYPRRFEKPDGTKIPP EFKRELFLQKELFEPIHSDLSRFVSKQNRHKRGFGIREELLVLPEHHVGVGGAAVGFA EVAELHEVSLGQVLAVLGQCHKLVDQLGSEGRLVASMCVSTAERRVMLDRVVTAEVRE GNDLLAIFHSSQLHRIGARQGRAEGPVHLGKFQHRFELGLRDACAGKDAVVGHGVEKR TWRHTVALRSGGPCLKACRWGVVGVRSVVGVGRRTHACVRCGG H257_06850 MILSISLCVVLLGVAAGFPLQRYVILDHAWEFEPPRQQSSLMYL ERSSSHPLGASKASNTTATVVEDWFHDQLLDHKGTKHTDVVWSQRYFSNSQYYGGPGY PVFFYISGESPASSSAVTSSGMFHVELARTFRAILVTLEHRYYGKSVPSDDLTTDSLE FLTSEQAIGDIVRFQAFFNAKLNVTRTNWVAFGGSYPGMLAAWTQLQHPTAFAGVVAS SAPVHATYNLVAYSQAVSDGLRRYGNDTCVTVVRSAMAEVHRLLSSQDERDSARFRDL FNPCHAITTDDDRSVMESLVYDMFASTAQANNDDVGGGVAGLTLAGMCAAFRSNDGAV PVQLVATLFNRTVAKAKCVDARYHTRFAPFHDVNVSTSNMFRQWGYQLCAEFGFGQST TTKHKSPMTTSTSSSTSSTSAFSPLEFITVDRTFGRMCKEAYNISNVPKRVDMTNAKY GGVGVLNAAQNVVFSSGSMDPWSPVVVTNATTKRTNSTSSTVVDIQDAAHCRDLYARR SGDSSHVVWAHNLIEAAVDNFLKSKPCQ H257_06851 MATGKADDEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKS TIGVEFATKSIQAEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKHPTFE NVERWLKELRDHADANTVIMLVGNKSDLRHLRAVQTEEAMSFAEKHNLAFLETSALDA TGVDTAFQRILTEIYNLMSRKTMQAEEQAASLPQGNKIEINADNAPTTAAKGAKKKSA CC H257_06852 MFELKPETLDGETSPSLLSSNLSVSKDIVVFAHLEDVVVINPKA SKPCNKITLVEKSVVYQVLVCDFVGEIHLVIATESGCQIWDILGEHLHFSLSLAKELA GDTDFQAHFCRGIAHDDRSVFVGSSASKLFSLTLEPGQSKGQSEFALHTTSTIGVHSE PLHALSTPPDEARASVLVSGDDDGVVAVWSLDSSGSPEIKHKLKPTGFPVTCIQAVSS TWAVAGDVTGKLRLIHLEDGYVAADVGAHSRTLSALAVQDHSHVASVGEDGYLHVWKL TERHDRLKISLAHSHRVGDDLLTGVAFAANSLITASYDLNHLKVWKAVA H257_06853 MVQVEVIVGACAAVVGIVSFLVISIPTPRVSCDIACSCGHIQGK VLAASTLHCICYCDDCQESKLHDKFPNAPSHVNENGGTHVILLFPSDVRITAGQDKLV QAKLKEHTTTCRVYASCCGTPIFNATTKNLPSTSQRSLTSLSELHNSTFGQSCGATTL PPTLNLKILFRLLLNRTKKLPHPVDVRQPAAVL H257_06854 MISILRRTAAKTAYTGTWARAMSTFKDLEKVGIKTNATIYRNLS YAELADHELKNNEGHFVANGTFTVDTGKFTGRSPKDKYFVEQEPSKKNIWWGSINQPM KPEVFDELHTTVTEHYNAAEKVYVFDGYCGANKNSRKRVRIITELAWQHHFVTNMFIR AQTKEEVENFQPDFTIINACKVTDADYKKHGLNSEVFVGFNIEKRVAIIGGTWYGGEM KKGIFSMMNYWLPLEKIMAMHCSANKGKDGDTALFFGLSGTGKTTLSADPHRYLIGDD EHGWDDEGIFNFEGGCYAKTINLSEENEPDIYRAIKRDAMLENVHVDPKTNEPDYYNT TKTENGRVSYPIYHIDNHEPNSSGGHPSNIVFLTCDAYGVLPPVSKLNDGQAMYHFLS GYTAKVAGTERGVTEPTATFSACFGAAFLPLHPTKYADLLQEKIQKHKSNVYLVNTGW SGGGYGVGKRMSIKDTRACIDAILDGSIKKSKFKVDPIFGLAVPTKLGNISPSILSPR DAWADKAAFDKTATKLAGMFKKNFEKYISKDHTDYSKFGPTV H257_06855 MKQVVGIIPARLKSTRFPAKPLALLCGRPMIQHTYEAACRATSL DQVYVATDSDAIVAAVNQPSSTLLTSEACENGTDRVLDALRQLGDAVASSYEIVVNIQ GDEPLVDPSHIDLCVAALQNDPSCVMSTLMAPILDESEARSPHIVKCVTDRHSNALYF SRSMIPSSKDNTFVPNRIMKHIGLYAFRRSFLVDVFPHLEPFNSSEDLEQLRVLEGGY KIKMVTVPFAYPGVDLPSDIAKLEKFMTR H257_06856 MTTTLAPTTPITPTTTPRDTINYTMGMIRDGVIRPVDQDSNPTA YADQGCYLPNYVSKNGKMYIVTNTNQEIPIAIKGINWFGMETENAIPFGLWTNDQNGT TLFELASFLSRNQFNSVRLPLTVSSILNNTAPNRGMVHEYANAALDLTNYTSAIGTLV QGLGHHGISVLLDLHNLSPSDRGASWFSNSVTEADTLRAVETLAATFCHDKYWNILGL DLKNEPTEVTWGDNGPNDFRRGATTLANQMLRNCSNWLAFVEGNSAKIQSGMYGGQQT WFMDWWGGGMREIGTNPLTLNTAHKVVYAPHYYSPSVYPQAYLVQGGKREGDILTGYR EWDDATLEQIVADSSEDMFGYLRSTQDGALVLGEFGGLFTQDTHVNKTNQRVTQNVIK MVASQPGYAGGYVWSLNPESGYEYSASGTKGYFMEGLLTLDWVHVNTPLLQALEGMNS LNNLTPLPCLNM H257_06857 MIEHYEASATTSPQLCRTIAIPSTTLESHPSIKQVTSDMHVVHL PQWNTYHLTTSLKLVVTGHVNRLCKMLQPMSLFLTMGSSHKLAWKANTHFTPKRVVTA RPLAVVNGPVAARRFPMFNVVAVSALCTCTLGAQVSIPLPRPVLVC H257_06858 MVYPSASGDYEASVVTTAKPAGRKLLFLGLGLVVVVAAPTPAPT RQVFSFGKIQDGSTRSKDEETNPLTYDGQGCYLPNYISKKGKIYIVTNTNQEIPIAIK GINWFGMETENAIPFGLWTNDQNGTTLFELASFLSRNQFNSVRLPLTVSSILNNTAPN RGLIHEYANAALDLTNYTSTIGGVVEALGYRSISVLLDIHNLDIYTKGDAWFGNMTTE ADVLNAVDVLTTSFCNDKYWNIIGIDLKNEPFNITWGDNGPKDFRVGAATMAHRMLVK CPQWLAFIEGNALKQNGTYTGQKSWFFDWWGGGLRDVGTDPLTLNTAHKVVYAPHYYS PSVYPQAYLVQGGKREGDILTGYREWDDATLEQIVADSSEDMFGYLRSTQDGALVLGE FGGLFTQDTHVNKTNQRVTQNVIKMVASQPGYAGGYVWSLNPESGYEYSASGTKGASG TKGYFMEGLLTLDWVHVNTPLLKALEGMNSLNNLTPFPCLKM H257_06859 MVDTTSHGIMTFSPMFTAQPRIRIPSPASPTSTSSTAAAIDEIL RIITHAIDDAHAALARHLTQPTSDEDVVDDICAFRMQFQHANAIMATALVHRRLVHSP TIIEHAFASSMESENAASDGDLTAFYRDMQVHFKQADDIEWRFECLPSRASSSWTDEG SSTAASEVRQPSSASTS H257_06860 MMMVMSRMCRRSFSTPIKSRLDFKALSANVDDAIQNVAVRKSGG DPLRVASLYAELGSATNHVYRLRQERNIMSKQSGDKELGRALKVELSTLEAKLETLTH DLEREALLIPNHTHPRSPVGPEENSVVLRTIGTKPTFDFEPKDHFDLATHLDILEMNT KIAGARFATLKNEGALLELALVHWTMAKLRSKGFSVHLPPDVAHSAMVEGCGFQPRGD ATQVYSIANTDLCLVGTSEIPLAAMFSDSVLHSTKDLPKRIAAFGHCFRTEVGHGGKE TKGLYRIHQFSKVEMFAYCTMDEAETLMDDLLAVQVEIVSELGLHCQVVDMATEDLGA PAYRKWDILAWMPGRQSYNEVSSLSNCTDYQARRLNIRHKADDKKPQFVATLNGTALA VPRILISILETYQEADGSVRVPAVLRPYLMQDHIRKPPETSTTLHHHHHRKAEQ H257_06861 MADDKEKAKAYKKQYYQANREKELQRNKQWREANKDKSKQYYQA NRENVKAQQKQYYQANREKMKAQQKKYYQANREKQLQRMKQWREANWEKEKAQDRKRR AQKREYERLAQQGFTHTQKAPLEQSLNPATNKDKAVSSRDDETTARASRAASRHRKLQ ADPSHDQNTKVDASPPPPQGPDTDVTPCTVVYLDPVVFSLKVYKKSFGQQPTRVEFSL IPQEYSFLGAEQGCRSCPSQPSIVSSGKYPPTAMHEGHQGPRICALCHELMFLRHCGH LWKNQGSATTSCAPPLAVPRVVEEYVV H257_06862 MFKNTFQSGFLSILYSIGSKPLQIWDKQVRNGHIKRITDQDIQS SVLEIMGTNVSTNFIACPAQPAKTLGIKLPFLVMIIKNLKKYFTFEVQVLDDKNVRRR FRASNYQSSTRVKPFICTMPMRLDEGWNQIQFNLSDFTRRAYGTNYIETLRVQIHANC RIRRIYFSDRLYSEEELPPEFKLFLPVPKGSSNAEPPKTEAPAGM H257_06863 MEKSLKDMNEALASVLALVVAPVEYPPPSRPNPLQQDATDLNDL QEQMEAFFVQAKKLETQILSQDVDHTGENRVQVEAEIQALEHELNDKNDLIDKYSEVI RGWEGKFKRLDSKMSVS H257_06864 MADPSAAANKSTVWDEHTQTFHGGQDWKFLNNFVEDFSVTTNGL GTPASALQAATDAVHTIHHYPPADFQPALSHLSSFLWPKDTELANMPLLLLGNGASEL IDLVIRSVPAGGMRVGPNTTQYKEYERSAAADDRAMLASTDASAKLTCLVNPNNPTGD YYSLAQIKAYIETCPDNHTVIVDESMQPWVGPHWRSDSLIQERDWVRHLSETRKLDVW VMTSWTKIWSCTGLRIGSVVAPTAQHAANIKRKQVPWSLNSMALVFLSAVVKDEAYLE ETWRVTPLWRANLLTQLNRRFPSWEVHGQPYLSWVWVNTKNEATALQATALAKQHGVP IRHGEPGYKLPTFIRLAVRNPDQVAHLLDAWKSIWEQ H257_06865 MSSADAAEGASAPPRLAKAESTVDAPRSTLQPNWSSAMRRTSLH RSESDVYLQTNHEMLAQPTYAMDHKTEKQFASEMRHALGIVDKFFGSSVLQDHSIPRE LLLEAQGLVFLTVYKLGFLVSGKGGKGFVIARTANGWSAPAFVGSGGIGFGMMVGGEV VHYIVILSSRNAVKTFTRNGQVQVGSELDLAIGPLGRAAAASLNVGRGGIAPNYSYSH SMGLYGGIGLSGAVIVSRKTFNDKCYGSHVRVKSILAGEVACPLAVPLWEALDAVLNI KREYVNGLPAPNQREAICHECGHPNKTGARICERPECQTLLVFSAGVHSGKRSVGKLV LSRGMH H257_06866 MAKGHHLAQDALKTWWSKYSYESGRVVRQLSPFEQRPVDYLIRT APEKALRKVQENFFPLVPSFLLLWGTVAWAVDENDRHHREHWS H257_06867 MSTDASTGNKRKPQWSASTSHKKRHVASTAKGFSAVLVTCDKTK ERQCVKDILNILNDAADVLYPMKDDKVVDASAADNAATADDDESKPTKTSADLLQEEI AGLKKDAKDRKTGRFSALDSGVKGIILVQFLDESMDVKKVIDHIFEQVQKTMEFSSRF IQRMIPLEKLCYPSVEDITACATPFIERHFKDTSGVQFSVEVRKRNSGNIVSMDIINA CVDVVGNQHKVNLTAPHVVIVVEIFKNVCGVSVVTNFHQYKKFNVRMILDPPAKEPKK DQDDNKDEHKQQA H257_06867 MKDDKVVDASAADNAATADDDESKPTKTSADLLQEEIAGLKKDA KDRKTGRFSALDSGVKGIILVQFLDESMDVKKVIDHIFEQVQKTMEFSSRFIQRMIPL EKLCYPSVEDITACATPFIERHFKDTSGVQFSVEVRKRNSGNIVSMDIINACVDVVGN QHKVNLTAPHVVIVVEIFKNVCGVSVVTNFHQYKKFNVRMILDPPAKEPKKDQDDNKD EHKQQA H257_06868 MKAILHGARDLVELGDTASLHTKSLVNEWRLGGFEHGSPQGANE LAGRLIAKVMLVSTTKSSTYVAIIAKEGHDLIYPINGRTGEVRASLGTADSAGILAKD R H257_06869 MAETLESSSKELEAGSCRHSSLSMASRAVLSNKPNCQRICEEPS MRCKKTPGWMSGCGTSTSVTHVTAGACQFVKKGLFSVVEELPPNSTCEVPY H257_06870 MANHCRSVVLVSVKNGLTWLERAMGFLVAAMCRMIVVFGMPLWH ARERNVWRSSWAMSATGHHGGVKGVYVPRLVFMKACEKHLISTAALVVGEISWVAHTA QSHSKQLAESDDFVVQVGPYDLKA H257_06873 MANHCRSVVLVSVKNGLTWLERAMGFLVAAMCRMIVVFGMPLWH ARERNVWRSSWAMSATGHHGGVKGVYVPRLVFMKACEKHLISTAALVVGEISWVAHTA QSHSKQLAESDDFVVQVGPYDLKA H257_06874 MARGRTLTRDLLRLLAFYMSRGDNSAPKKSDFGTVTAATPAAIR TVHRTRLLHTTQNIELVKEFVRTRRATRTRTTAVDVMTYRLVWPLSGNVDSTRLSTLF ACEEWDKYLVLVSHCPYSDDEQMAGTPSNGCVLASMARRRHNTTVDAFVDVHCKFEHQ RRRFFACCSEVEAVVARPP H257_06875 MGKKKSASWCDDSVARLFFLRYKTELANRFDSKNNNQKKEAYEM SSALLSIGFILPSSPSNSTNNAREPTFLSELTLNYTPGTQDVVIDDTIKNIFAGRSST PPTKQSFLVTTAPA H257_06876 MSMQPTLDAMHSKLTRLARENQVEADLLMEQLVTWVQGMRKDGV PVTYSMLRIMVLDAAVDLGLNDDGFNTRHALVKQVVLDDDLDVVYNVDETAVNYEYLT TKSLNQRGESTVWVKTKVNMTAMLLTDSSGKEHPLFLVLRSTSSKVKAVVHLAMRKTS GRASHFTVEVVSYANELNVILERVLPNCTWICQPADVAWNRPLKARLRQIGWT H257_06877 MADGRENSKLLTYEAFEGGRKQTKDYHGMFDLKYFVAWFQRLLD EADSLGKFNAIIVLDNAKYHKGLPDNTPKVSWTKRKMAEACEAYGIEIDVKEFRSTLW AKLKTPIAANIVPVNVQLQGPRP H257_06878 MWARLKKYIDEHIYPVMVQMAQARGHHIVHVAPEFSELQPIELI WANVKGTVGRAYTSDTTFQDVYKRLDNEFYHLDSETIKSTIDNSTAKLIALEKALCQA EEAAAMVSIVSGHSDGDSDTSSSCDESSVGSSDGGDSIASDVDDHPY H257_06879 MATAHEQARDSYVNFMWPTVMTLPGDRWQWHQALRRCKAGPLQG SVLSDDVSLRTIQHSLQWHQALRRCKAGPLQGSVLSDDVSLRTIQHSLYGSVQLLGLV PTTWSAHVGANQH H257_06880 MRGILPPAAARVSIAASTAAPISTAVAPFSKESTSAVKRQRIQD HSSVHDDRVILNVSRFPRAFTIVVDDFKSCKDPDASALALFSQPWGATIETFVRDRSV THTRTVAKDVIALLQDAHVVIVADGAKDHAACLRAVQRFLDKQG H257_06881 MYASVQAALVHAIITNATTSITSPPSPSSTPRPSRQVELASIPW AAIVVGGIPALLLCAVVCMWCRRRSQTRQQRRSSNPSNSDEQLSSKPLASSSTIGAPP YPRFYHPQAPNEHASQPPSIQLSSNASSVPPVLRGPLSSAKASMEVPPRYYSDQSTSL SYPHEARRASNGAQGRTSLEDVWKQWPNGSTGSRSFDTHVSSAADYVESNPLAHFSQP PPPAAAFNGAWQLSHPGNRQDDVSDTFMYATSSSTTAEYGYEATMHAAPTADLTPFGR DGPNQRPSYASTCITGMPSLDTYRR H257_06882 MPARDVVVFVSFVVAAVATAQSTRTVPSHHPAVDPLPWILGTVL GVLLTICIGLCVIWIRESRERSHDSPLKTITILPSFSSSASPFSVAAPLTDGHPNAST WQRGIYKLRSSAQNTRDSNILSSTTFNSQLFMSERSVAIMEDKELDDNDFATAGRGGT LLLAWKSTDLQRYPPNLTDSRRQLQNLVRQSMVSETSSFDLSSRGCEFVDPDHRAQYL HDAAHKPSPYLG H257_06883 MAITTSRFQRRVLYVVVLAAVAVTNIVAADNSSNSTSQMPHTNM PTVIANTSVVTTLAPITSAPPVNTTTSPPTTTPPTTKPPTTAPPKTTTAPPTTTSTSL APSTSPPHTTPPPATTTTPAPTTSVVAPLPSILAIDIPPPSTLEVDMSDITPMPSSPR LPRPSTQNPTSGEGDHDNSMGTIFVVSVSGAAVILLGIAALVVHRRRQAHQDSSDSTI DDSILAPPSIKTRRTNNPSVVLVQHDYGSGVPPGGITNYATSVRKKQRSLPKPSASPS TEIAVLGGAMNQGGGSHAAAADDRSSSFFTWDSQRGGSIDDVALQRHLNKHLPPPPPP LAFGEFDGGVHHAKYITTTITPSRRRRDCVSFQSPKSMSYNDHVAAIHAADVGTDDDD DMTMHKFSFLSNGSVVDPRYTPRQYAPAVSLASDDDMSSLDDSSSMYHSTRDSYDSVA HAKSGDRDTNHSVDSCDMAVSTAATNVGTSSVEDALQAIRDGKFVVVMDNEDRENEGD LITAAEKATEESLAFMIRYSSGVVCAPLTVQRINELQLPMMVPNNTEVRKCKFTVTVD LDEGNTTGISAADRARTIRALADPTVSASAFNRPGHVFPLVSVDGGVLARAGHTEASV DLARLAGCTPAAFICEINDDYGRMLRRPQLEVFAQTHQLHLITISDLIRYRFSRETVV QRLTEPTVLHTPFGAATKITFGSTYDDQRYDALVVGQVTSTGTLVHLVQGTLEGSIEA QFAHQHIVRQGKAGVLVFVPGYEGLVDVSGELMAQQSIFGMGLQILRQLGATSVVLVA ATAPLFDTNGFGIDVERFEVLATQ H257_06884 MKPSLFVVLVYGALLVSGQVTTTNAPALPTTLHQSAVPVTTTLT TPTVTTPPAVKTDPPKPTDAPRPSTSVAIATPELQVEQALTQSSTGVPLVKPSIESPP SPAVTTKSEDSPRNSTTYVIVGGVVVGVVVLGLAVFFLRRRRRCGESEDDNEVRFDAA HTSKVNEGYAPPVRVLSPEPFKPVSNGATSSNTSTISSRQMKATTDHAVIDVTPAVDD HGNVAPTNFETETTTGFFTWQSSSRQMTAPIAAPTTGAWSTTRQSWTSEGSSKASSPS SSTSHGVSATTNPWAAAGRSNSYASVEFDRPSYGGSSYGSRHSHGSFLSDQEGMFSPQ SHAAHPQSFSAASSSYDSFVGKQ H257_06885 MAQEEGLLPHNPAKQEVSDAWGSSGSGRQPPAYNSHKVMWIKNH QTLWVEEYLARKTAS H257_06886 MVPLEDVWVHANSSTNSSNFTAPQDTIGLPVTQVDHPHFNRARP TAAASAGSPESASQLMNVMVVLVAAIVVMTAVGLFMVLFKRKHQRKDDRRRVPSICLS RTRYGEGMNIFDTYVCGAHIMLHSPEGTMRERVPSIVLGKVDQWYRSHESTLSYDDGE LAIRVSESSDHSSFKL H257_06887 MKLLLVWGTWAAQSAYFVDEVIAQSGITVQPSFFPINTTRPPPL TTLPRQAKSAPQPTSPPQPTPSPQRTPPPQPKSSPSPTSSSLVPIPSTSSIAPLSTPI TTTATPPPSPSHVPTSPLLLSPPISSPLRQVSSTSTPRTTHGMVPSSSPYLGASTPSP SSSTALPIKASTSNAPTDSPSLPPEAAMIDDSTSQPSSTTSSIINPVTGTLPTEVDSP SSISNSSSSSIEAVLGVVVGICVVVSVVVFLVVRSRRREASNQRMTTDQAVLPPETRG NESGWDFSPQHPVYIDPDTGLVKRGPHVALFSPASSTSTRPSHMEPSLGKIPSSNTTR VGFGEPSSAGAKSAVMTTPKASQGWVAMQPPRRLNGMVPTAPAITTSTSTTSPTEDVI VLDQPRCERIELQQVLSSPASSTQTTFSL H257_06888 MYIMAATTTMTTPGSRQTVNERIDSILDDFCRASIENPDGGADN DEKMEETKRLSQLYHVALDDRFLKSRVQLLPSPVSRGRQSAISRQKYSRERAALPPTA IALAVTPPSTIHQNGGTDAPVYSPIRASQSTSKLDQLQKQPWYMGHNNVATGPACTLQ AKTKRYGARGAVQLTAAATVAGTVPALHRTKLHPTTSLGAGLQDLARFQHPDTWSPTL NGSPTMWSEEAAFVYGAPLAFHNTRQPHLQSTSKTKVPLRPADDHALHVNAKTRAELR QLHASLLQEQAVERTKCAASREILDDVMEEQQQRIKLSQHHAKVPAKAMKDWTLVPTT GPPRVAAISTKLMQCKYELRWKTMFLLVDAMRRSVFNRPLLQELALLLERIADHGTRH QRSNPYELTRDQCRDLFAKEYPAFGVANFNLMYSSFDPTHTDHLDMRDVISTLKALRM SNANTRASSMKDVVMELIGMYANASAVYVYHVQRVLCSFCGSTDDEDALVARITALFH MYRPFRNLHGRVNMDDVDTFLGDQSALVDVFTENLIARRRQVNSQSLHDDRRS H257_06888 MGAPTPPCIRPSGRRKARPSWINCRNNRGIWATTMWPRGQHVLC KRRPNGAIPMISLCWLLKRRPMKCGRLVWPDGCRYGARGAVQLTAAATVAGTVPALHR TKLHPTTSLGAGLQDLARFQHPDTWSPTLNGSPTMWSEEAAFVYGAPLAFHNTRQPHL QSTSKTKVPLRPADDHALHVNAKTRAELRQLHASLLQEQAVERTKCAASREILDDVME EQQQRIKLSQHHAKVPAKAMKDWTLVPTTGPPRVAAISTKLMQCKYELRWKTMFLLVD AMRRSVFNRPLLQELALLLERIADHGTRHQRSNPYELTRDQCRDLFAKEYPAFGVANF NLMYSSFDPTHTDHLDMRDVISTLKALRMSNANTRASSMKDVVMELIGMYANASAVYV YHVQRVLCSFCGSTDDEDALVARITALFHMYRPFRNLHGRVNMDDVDTFLGDQSALVD VFTENLIARRRQVNSQSLHDDRRS H257_06889 MMAARTLRSTSRRHLPWNYTMQRYFTSKKCALIVGAGDSTGAAI ARAFAVDGFLVCCVRRDGVKVQELVESIRKAGHDAEGFGVDCRDESNVQNLVEDIERR LGPIDVAVFNVGANVRFSITDTTARVYRKVWEMACFSGFLMGKEVASRMLPRGRGTIL FTGATASVRGSAGFAAFASAKFGLRALSQSMARELGPRGLHVAHLIVDGAIDTPWIHE NFPEAKAKLVQQGLVRPQDIAALYVQVHHQPKTAWTHELDVRPWVETW H257_06889 MMAARTLRSTSRRHLPWNYTMQRYFTSKKCALIVGAGDSTGAAI ARAFAVDGFLVCCVRRDGVKVQELVESIRKAGHDAEGFGVDCRDESNVQNLVEDIERR LGPIDVAVFNVGANVRFSITDTTARVYRKVWEMACFSGFLMGKEVASRMLPRGRGTIL FTGATASVRGSAGFAAFASAKFGLRALSQSMARELGPRGLHVAHLIVDGAIDTPWIHE NFPEAKAKLVQQGWSIDG H257_06890 MDVEKKVIVQDEEIEDDEEEDEVVEGSAAAEGGDAAAKKKNKKK NKKKKKKAATTAAGPGTKLPPFRGVTGFTDSYIQYGQTEPPTIPVAKLFAVGSFPKGE EQNHPGDFNTYRITSEELRAAERENEAFYDRVRYASEVHRQVRKFAQGLIKPGIRLID LCEALENKNRELVEEAGFARGIGFPTGCSLNHVAAHYTPNAGDHTVLTYNDVMKIDFG TQVDGRIIDSAFTVAFDPQFDPLLAASKAATNEGVRQSGIDARLGEIGAAIQEVMESY EVTINGKVYPVQCIRNLNGHSIGPYQIHAGKSVPIVATPEQTKMEEGEIFAIETFNTT GRGYVVEDMECSHYAKAFDAPHVPLRLPRAKKLLSHIQRTFGTLPFCRRWLEREDGGS SFINPKGAKQEKYIMGLKNLVDNGIVTAYPPLCDIKGSYTSQYEHTLILRPTCKEVLS RGDDY H257_06890 MDVEKKVIVQDEEIEDDEEEDEVVEGSAAAEGGDAAAKKKNKKK NKKKKKKAATTAAGPGTKLPPFRGVTGFTDSYIQYGQTEPPTIPVAKLFAVGSFPKGE EQNHPGDFNTYRITSEELRAAERENEAFYDRVRYASEVHRQVRKFAQGLIKPGIRLID LCEALENKNRELVEEAGFARGIGFPTGCSLNHVAAHYTPNAGDHTVLTYNDVMKIDFG TQVDGRIIDSAFTVAFDPQFDPLLAASKAATNEGVRQSGIDARLGEIGAAIQEVMESY EVTINGKVYPVQCIRNLNGHSIGPYQIHAGKSVPIVATPEQTKMEEGEIFAIETFNTT GRGYVVEDMECSHYAKAFDAPHVPLRLPRAKKLLSHIQRTFGTLPFCRRWLEREDGGS SFINPKGAKQEKYIMGLKNLVDNGIVTVCKL H257_06891 MPCAEAACVSLLNDMEALKKQHEGLLHEKAQWEEDRMSMHRQVD LLSTQNAQLHMRLEDKVAAVLDASEVEDIRAKWADALRREQALSETLQRLEQDTHDAA DQRRFNDITTELQLKEHKLRNAMLEIDTWKKEHANMRVALESLQLQVSSTPSSPTTDA TTSALTQRIQRLEEDKRFLEQKVLDMDATNATVDKMIVDFKASFDQERQTLLGHVREL QQRVHDFETHGSSMELVHDLEAKVAILQDMRVQDKEILANARRDLKQKQMQIDTFLAN YVHKDSWQPLDAQLTAATAEIERLRLQLDQLPALSPSPAIPPITIATPNVSSQSKGEV LASPPSNASKKAAVVLLDSQKELESQFQMGLELDQCLEECATLYFENHQLTDKITTIE AALASAQDQQAVLYREYIGQQQSFKAHKHQLDTQLAASQTIIDEQTIKLNRYETSWHT MQVQSEWQQNAADMTRKLALFEVNQARLARQFNLVLDEKQTEYTRRIALEDELLDVER TLKTRLQYLEAWKIGATQRMHAMQKALHESILKVHFDDLQDAYTTLQETYAAHLDRWN ARHAEYVQALDLKEQNAKLVHENALLGATSSATSSIEHEKRILELEATVAMYLGQIKE LSQPPATSSKIPTLDRPAPLNLQQVLEHRVAELEQCCTALQLEVDKHKAIAALAASQA NTLAARQSRRRDEVATLEERLRELASRSDDDAIIGQLQQKLMTIQANYHSFTDRYEKA MELQRAAQLQVNTLTLQMDATSQHLASELEKERQTNRVLESTIATLKQSDLRGKLKRL EAMATRIEALEDDAVQLHAKKRALERRVEELEAGVSTPSTGPDLHEVQRYKHRIAILE QKERMWMEQIDQLAQTNRSKDSSTQQKAKWRQDNAALQSKLDQTLFEMDALKAKCSKL LTECNDKDAKIRDLAMQVDNLVLESQLNPAKYYPPPSNTTSSDPNTTLTRQPSSPSMR NKVGYYEKDHVALQEAAQATIASLKALVQDKNVRIDELRRQLELARADFDAKQQAAHD DQERRNKRLYQDNHAYIGQLKDALDKIQHLEAQGGGQAVVAAREMHQGLMDQLKQVHM DVQVKGQLITELQAKVEQLREGKQLAETRCGEALEEIATLQKVNHSLVCDVEAFEKGH QRQTSQWKADLLAKDKKMALLRDAIIKLKEEFLKAQEQQAEDSVREKRKQTSQQHDRI DDLTDKNAHFTATITMLQEKVDGLTCEIQDVNQKYKRAMALVAKSKAALNSRDQLPGQ LLKAAELRDQLDRCKAKLGTYASQERDMEMLQTRVKALEVKNAALLDQIAKQQEAQPP TNQPDEDKADKRRAAWEQEKKLKRRIDVLASKLEEKSAQVDNLTNQCQRISEQLAKTQ EQLAAQMKKSPPTPPLPHERVAMRENVALELDNCYRRIVELQQLVLDARDSALRQIEM TPSVEERLQRETTVLELSFQVESLQIQLQRTRQTGVIATTTPSSSTPSQADRKSSTTV AALEDVIANMKRVMENLRSENDRLRKIKSIKAPVKAAANNKELQQLAAIVDATKAELQ QLRIEHSDLARKFRALGAKYKACKDTHATFVADMEHLHSVQLQDKDKQIHDLIILSRA KEADDDQEHVTHQDAVVRQLRQENARLTAELSAFDLDFFDEIEDLKFKYAQAIRQKQA LETQLAAQLVTSTTPPQ H257_06892 MTVGPEDIDASRPYTCEVNVDDVRILQKAEYEVSVKCTYFSESR RSKCTATWSVWRSFSAFRLLDAQLRKRSPKHMKGIKFPPLHRQRTLFRTHLEAAFLEA RRAELDTYMSMVTSAPAFVTFHITSIEAQSLKSFVAYSSGFGQNVTHVPTSADAIGAS RNSTFVERPRPQMTSQSLTANYRWSGTGFLGGQQLKTGNACLSMRHNSTNGGFVHVAP QQFNQSFKERQTFAQNSSESNSIKSNAASLSRQSVPPHAVLDGTDAAMSSSRLMAVTV PEVADPEVERERGKMELELRSAGLQGVGMPPDGSCLLHCLVYELFPLKWDCFKTYPAA MSMVNVGSADGIAPRRMQAAAQLRTDLAAFALANIDALGTFLMTSSEDLTNRYTTFGN VPDEQATVAELYAAATMLDLEIVLVTNDPAFHIDPVVPVDGIPSIRGGGDTRRTIVLG YMPPTPKMGGHYLCTREISYSNSFASGYFAGKMSSLSSRGSVRHSSVAAHVGIVA H257_06893 MPQPRILRNRELVSLIACVMQQCHGDFDMFLTRTSSGSQSTNLR VLAVALRLPAFVSTPSPDQVESLEALAILCRRLAEPCRLFTIADAFGRSVEAFSRIVR ATATALYKTWSDVILFREALLVGRVDTYAGAIEAKSGLRGLRTCVAFIDGTKQYISRP SARAEGQEHENLQRSVYNGHPRRHCLNWQGITVPDGIIISMYGPVEGRRHDSTMLSMS RILDRMKEDGVLSRYCLYGYPAYGCHQCLSCPFPCAIPGSFEAIFNSSMSSVRETVEW SFHLVKGHPVACGHTPD H257_06894 MPQPRILRNRELVSLIACVMQQCHGDFDMFLTRTSSGSQSTNLR VLAVALRLPAFVSTPSPDQVESLEALAILCRRLAEPCRLFTIADAFGRSAESNSRIVR ATATALYKTWSDVILFREALLVGRVDTYAGAIEAKSGLRGLRTCVAFIDGTKQYISRP SARAEGQEHENLQRSVYNGHPRRHCLNWQGITVPDGIIISMYGPVEGRRHDSTMLSMS RILDRMKEDGVLSRYCLYGYPAYGCHQCLSCPFPCAIPGSFEAIFNSSMSSVRETVEW SFHLVKGLWCFVSYDRKMKVRNAPVGILWHVATLLTNCHTCLKADGNQISMYFDVAPP RIE H257_06895 MATTTDESDTTPLPTGKFACCHDIMLLQQVSLSRPWEGEYGKVM TIWAEVATELNRMPGFSMVKKPGALKTRFEYLLAKHEKGENIKLRVDDFAENEAVRKD AAKRKLEGVENSGLIMRQLAMAELGMSAEKTEDAEITPIKRRKKSKKPAPTLDIASLM GIIREGIEDKERREAQRLQYDREQANRHAEQLAAQQRVLTNN H257_06896 MRVAQAGLCATRNVDGRPERNLKLVQHRAVFVQLLERSQGGKIP YGNSGRKKTRTAEEIKAAVQAVPHHARQTTRTLAANSEIPKTTLLTHMKQHEVKKKFY VYEDEEVAARSLKSKNFIAKVMFLAAVARPRYNPSTKVSFDGKIGVWPFVEVAPAIRG SKNRPKGAPLTVPKNVNGTVYKAFILGRVVSAIIKKFPPGYLSRGVRIQQDNASPHRQ VTTSLLRAAGVETLVLLTSHQTVQTLMS H257_06897 MRVAQAGLCATRNVDGRPERNLKLVQHRAVFVQLLERSQGGKIP YGNSGRKKTRTAEEIKAAVQAVPHHARQTTRTLAANSEIPKTTLLTHMKQHGTLKAR H257_06898 MAKKAKGRGKSWCPGSVELMLDHIHELMPAGSNVWDEVAAKFNA GPYPFRDAEGIKRKFYTLRNNAEPTGDPSCPVDVVRTKFISRRVDANCAVLTMEGDED DMDDDGHGDGNSNGNNSASEQGISMFIDGAMVSDAKASSDMMSMMLLMDERATQREEK RLDKELEWRQQQQEREEKVQRDRSERDA H257_06899 MSFFALLLVETVGKLPWHITSRPAVVRFTDAYDNIGLHSSRDTG SMSFPAATCSGCSRSSQRYDDASSAVSLMHLLATVNNSSRGCRGSFAVLNLAGESMFS LELV H257_06900 MATRASGYNSLLRLLQNLPDRHGFSKQRVCLQKETQQDLEETRL AFGKQFHADHPDGMTALPTLRIDGAKLPIFTLVKPIQDSTNLVDFICAIMAKLASTLC TPVAVDRQEFGIACQIAP H257_06901 MREFKVPDGSRDSVEKVLEDVKACTAHGVEYDGRGAPRDGHNKA QTTYMVNEYRSSVDEGHVGQSPVYCLSGRMNPVVTPLQRLKQGSPDEDSAWAIACCGL VQQFGVHIPAT H257_06902 MGIWKWDAEDGECPSYLDEAILTPLEISQVVVWDETHKDAQIGD YGAGGRKTQVRFPCDEDGMCDPDGTIGPEKSYLNTKFNQQARFSFGCAIVELHNGATM GCRCTPFVYSDQWIKPFKKWRASSIKKSAESKGCLDYRHHG H257_06903 MRDISQATGLSMGTLSRRLKDGTIERKNTQVTYEFDAMWDVVHL DEKWFNADKDRRKVYVVKGQSIKRPAAKIKRFIPKVMFLAAVARPRHDDERGVMLDRK IGMWLVVMYLPAARNSRNRPAGTIVPTIVNVDAVLYRDYVITRVVPAIKAKFPSVNKR VVLQHDNATPHGAITDAILECVSTDGWTFVVQRQPPNSPDLNVLDLGYFASIQSLQNK VVSHSIDDVIQSTLASFEALSSEKLENVFHTFQAVMRLVLEHNGSNHFPLPHLTKDAK RHAGTLSANMSCPASLIG H257_06904 MSSSPRKPLSKAALQRWYLIGGVVVLFAFAAFVNVSFYMRNNVI STSGLASHVRSEGHHASSASANRFVKHVAFTATCSERDRIRAQVLAFTAREQHFTGDL TYIAYNCDKMAFQTLEKAVSPHFNFTFFHALAVQGSPPDATELNPHALHAWITSVGTR AIPADEFVMIVEVDTIFTRPLDLAAMLHIADTMSNPTLLAQDAAWFDSDYPAYIMPEE ILEATMGKTSKSYQTKYWRGNAVHAPFIMHARHLDAVFGATKGIYDKLEQKYQHLAYP LACAELGLEHGVAGGFRLSRYNSFAENWNFVDMIKYNPVTDTMATDDPLYAEYPFTMR TSLLQLLKWVDGAPYVMRDRWVPLDFFACDAVLLQLPPSSLWHYASHTYGWEHVSTIL RTRHIVSISLTFQAYNRAAIAIKQRHCVDGFNQNQRLLLTEGVAAAAVPSTLPVFGDP PIKKNEDEDGLEFVFVSSCSNADQWQADMLVESFERVHQRGSITRIITGCSTPALLNQ VYRRTTPTTKLHFTPDSIAFQTEALRHWLQHSNAPHLARQLVVLALDFVFLSRFAVST ATPVLSAYPKPDEDPDPDAMEVVHGSLQPKKVFFYSGNPLTAPKVIEAKAGVVIAQNF NAYLNDLTSADRIAALCATCPKPLANSEQYNVGLPYVVATSDLKTIIDDAATFAAKYH DTYTPPSRFVADLIGFSTAAAKHNLPAVRLDNLALTQATSEDWHFALAKKEVNSFTVE YVLANPCSHELVTPPNAAPFLRQLRRFHVATWIADPKLMPDNIFACDMWLLQEPPATL WTDAIKSENADTIKQTYALCTTLKLWNTLLVQHKQRQCPNGFNSNKRLKLVDPRPAEV LSGLKSPEWP H257_06904 MSSSPRKPLSKAALQRWYLIGGVVVLFAFAAFVNVSFYMRNNVI STSGLASHVRSEGHHASSASANRFVKHVAFTATCSERDRIRAQVLAFTAREQHFTGDL TYIAYNCDKMAFQTLEKAVSPHFNFTFFHALAVQGSPPDATELNPHALHAWITSVGTR AIPADEFVMIVEVDTIFTRPLDLAAMLHIADTMSNPTLLAQDAAWFDSDYPAYIMPEE ILEATMGKTSKSYQTKYWRGNAVHAPFIMHARHLDAVFGATKGIYDKLEQKYQHLAYP LACAELGLEHGVAGGFRLSRYNSFAENWNFVDMIKYNPVTDTMATDDPLYAEYPFTMR TSLLQLLKWVDGAPYVMRDRWVPLDFFACDAVLLQLPPSSLWHYASHTYGWEHVSTIL RTRHIVSISLTFQAYNRAAIAIKQRHCVDGFNQNQRLLLTEGVAAAAVPSTLPVFGDP PIKKNEDEDGLEFVFVSSCSNADQWQADMLVESFERVHQRGSITRIITGCSTPALLNQ VYRRTTPTTKLHFTPDSIAFQTEALRHWLQHSNAPHLARQLVVLALDFVFLSRFAVST ATPVLSAYPKPDEDPDPDAMEVVHGSLQPKKVFFYSGNPLTAPKVIEAKAGVVIAQNF NAYLNDLTSADRIAALCATCPKPLANSEQYNVGLPYVVATSDLKTIIDDAATFAAKYH DTYTPPSRFVADLIGFSTAAAKHNLPAVRLDNLALTQATSEDWHFALAKKEVNSFTVE YVLANPCSHELVTPPNAAPFLRQLRRFHVATWIADPKLMPDNIFACDMWLLQEPPATL WTDAIKSEVLLAICIDCIVDFKPIVYLDSIGWLKERNDFCVVAGRYVCILDVVLGLSS LS H257_06904 MSSSPRKPLSKAALQRWYLIGGVVVLFAFAAFVNVSFYMRNNVI STSGLASHVRSEGHHASSASANRFVKHVAFTATCSERDRIRAQVLAFTAREQHFTGDL TYIAYNCDKMAFQTLEKAVSPHFNFTFFHALAVQGSPPDATELNPHALHAWITSVGTR AIPADEFVMIVEVDTIFTRPLDLAAMLHIADTMSNPTLLAQDAAWFDSDYPAYIMPEE ILEATMGKTSKSYQTKYWRGNAVHAPFIMHARHLDAVFGATKGIYDKLEQKYQHLAYP LACAELGLEHGVAGGFRLSRYNSFAENWNFVDMIKYNPVTDTMATDDPLYAEYPFTMR TSLLQLLKWVDGAPYVMRDRWVPLDFFACDAVLLQLPPSSLWHYASHTYGWEHVSTIL RTRHIVSISLTFQAYNRAAIAIKQRHCVDGFNQNQRLLLTEGVAAAAVPSTLPVFGDP PIKKNEDEDGLEFVFVSSCSNADQWQADMLVESFERVHQRGSITRIITGCSTPALLNQ VYRRTTPTTKLHFTPDSIAFQTEALRHWLQHSNAPHLARQLVVLALDFVFLSRFAVST ATPVLSAYPKPDEDPDPDAMEVVHGSLQPKKVFFYSGNPLTAPKVIEAKAGVVIAQNF NAYLNDLTSADRIAALCATCPKPLANSEQYNVGLPYVVATSDLKTIIDDAATFAAKYH DTYTPPSRFVADLIGFSTAAAKHNLPAVRLDNLALTQATSEDWHFALAKKEVNSFTVE YVLANPCSHELVTPPNAAPFLRQLRRFHVATWIADPKLMPDNIFACDMWLLQEPPATL WTDAIKSECIWIRLVG H257_06904 MSSSPRKPLSKAALQRWYLIGGVVVLFAFAAFVNVSFYMRNNVI STSGLASHVRSEGHHASSASANRFVKHVAFTATCSERDRIRAQVLAFTAREQHFTGDL TYIAYNCDKMAFQTLEKAVSPHFNFTFFHALAVQGSPPDATELNPHALHAWITSVGTR AIPADEFVMIVEVDTIFTRPLDLAAMLHIADTMSNPTLLAQDAAWFDSDYPAYIMPEE ILEATMGKTSKSYQTKYWRGNAVHAPFIMHARHLDAVFGATKGIYDKLEQKYQHLAYP LACAELGLEHGVAGGFRLSRYNSFAENWNFVDMIKYNPVTDTMATDDPLYAEYPFTMR TSLLQLLKWVDGAPYVMRDRWVPLDFFACDAVLLQLPPSSLWHYASHTYGWEHVSTIL RTRHIVSISLTFQAYNRAAIAIKQRHCVDGFNQNQRLLLTEGVAAAAVPSTLPVFGDP PIKKNEDEDGLEFVFVSSCSNADQWQADMLVESFERVHQRGSITRIITGCSTPALLNQ VYRRTTPTTKLHFTPDSIAFQTEALRHWLQHSNAPHLARQLVVLALDFVFLSRFAVST ATPVLSAYPKPDEDPDPDAMEVVHGSLQPKKVFFYSGNPLTAPKVIEAKAGVVIAQNF NAYLNDLTSADRIAALCATCPKVRYT H257_06904 MPEEILEATMGKTSKSYQTKYWRGNAVHAPFIMHARHLDAVFGA TKGIYDKLEQKYQHLAYPLACAELGLEHGVAGGFRLSRYNSFAENWNFVDMIKYNPVT DTMATDDPLYAEYPFTMRTSLLQLLKWVDGAPYVMRDRWVPLDFFACDAVLLQLPPSS LWHYASHTYGWEHVSTILRTRHIVSISLTFQAYNRAAIAIKQRHCVDGFNQNQRLLLT EGVAAAAVPSTLPVFGDPPIKKNEDEDGLEFVFVSSCSNADQWQADMLVESFERVHQR GSITRIITGCSTPALLNQVYRRTTPTTKLHFTPDSIAFQTEALRHWLQHSNAPHLARQ LVVLALDFVFLSRFAVSTATPVLSAYPKPDEDPDPDAMEVVHGSLQPKKVFFYSGNPL TAPKVIEAKAGVVIAQNFNAYLNDLTSADRIAALCATCPKPLANSEQYNVGLPYVVAT SDLKTIIDDAATFAAKYHDTYTPPSRFVADLIGFSTAAAKHNLPAVRLDNLALTQATS EDWHFALAKKEVNSFTVEYVLANPCSHELVTPPNAAPFLRQLRRFHVATWIADPKLMP DNIFACDMWLLQEPPATLWTDAIKSENADTIKQTYALCTTLKLWNTLLVQHKQRQCPN GFNSNKRLKLVDPRPAEVLSGLKSPEWP H257_06905 MSDNEWLDDSPDTVAPSQRPPPTTRRRRRRHLYYIARSTTSKKP LPPPASSRGPWPQSSRHRVEFVRPVKPSAKEGWRRFFSSDLIDLALVFVIWTVVGGLI YVLHVDVPRAYHDAMWVCIALFSAPMGLFTGLHVYELYVLRQASRKGRGKVLIQ H257_06906 MTAWLDPGFLQTVSTSVGVLNDPWDMFHSEPSSSVLDKDCFSID LDLSEALLYDPIPYHMTNNASFTPPAFNHRTGIAFHAAMVPSPVPKIEPVEATSAAAA HHHHHQQQHHQPLEITGQCLKHQCHNSIGYRGFCKDHGGARKCRIVGCPKGSQGRNLC IAHGGGKRCKVENCPKSAQSHGLCKGHGGGARCTFANCNKSSQGGGFCRKHGGGKRCD VPKCKNSAQRGNFCAKHGGSKACQADNCARTDRGGGYCELHRHYKVLRLTKKLQDEMA AM H257_06907 MEGDVGNITVLPTRSDDSGNLINPLEIPVNVASVAGLATMYLLA YRGYVEGLNLFEINRVAGIVWIRQILLMRSLSAIGMLSTEVLTLDGGSGLWGFRRQTH MAVSGQAICMFKTFLAAGEVSWLGFVLNDFLMVVTQQSGECVIEESPEMRRRKEADGA LHLLSVIPLQALDARH H257_06908 MDDRDDLGDFAHLHIMQGNQVAPAKSLRTNNGRVHQCCDEVDDG IVAADACAYVNPHASDAAPLDDNNDRTTLEVAETGGRVAYLVLTLGLSLYYLHLLTPV MANDLWWAGFNVSGAQTYLIDVVNAQLNLADTVWNVDVTATGKTGDYSTYFTPVHISP VYGCAVVASQITNLSAIIAILSTTSTPEDIPTQYPDKGACIGNGATQCRLTSWNSWMG SYGSLFASCMVAQWACDTVLRATTEALHLFQQLSPNSWSHYLERTVSTGATLRHGFER HDDAGRPVCLGENTLFFGWIYLFEWAELSREVISVEGDYDTLRAQALETPEARRSPEH ESKLAHHVGFGRTPPTPGHIQVEDLHKAFSLAIVQVASAVGSFAVLSLWQTFVRRVEL RAVNDHLLLSGMATAFYRRTLPSMDHYIFELKLWLPVHQSNLHRVKWGMKVSKLTAGS SSSAKAVVPHINRLYAVAGLGYMCATIFGSVMHLSLTQALPTTPSGLTTTSLGTFDLT EDKAGKDFVKQGVHQFHGSSADVPFDRGGRRINRPGSAKNVMLRRRFRGPASKSATHE SRSSPGALRQMHGQDTGHGTGSNELAEGIQAGGHRPRQNTHVETHIPPGSSSQKTFPP TEFNSSHWSYQILGTYPMSL H257_06909 MANLKLPCCGLFGGLLGYLFQSNTVPDNAPSSAHYRPPLLTNWH PYTTPLVQPDIIDMRGGGHLDMYVGEVLHAWGGGAPSGTVYGFGREGSAPSFPGPTIL TARNVPISVTWTNRLGTAPHLLHRNTEPSFLVEASACYPTCGVPMSVHIHGLENPPKY DGLPTHTFYHNTTFKAEYANRQFPSTKVYHDHAWGLSPLNMWAGMVGLYAVQDAELES KFHLTTLPDMTFILQDKLISADGALLYTEKLTCHPVAPTKWVPEAYGSVNTVNGVVMP LATIKASRVRFRWANVANARTYTLTLPFAHLCKVIVTDSGFVQRPSAVPATDWTLNPL ERVEMVCDFTSVPPGTTFDIVDKPFQESAYVYDGRIMRVQIEQAAPEEQHQVSHVPDT LVAWKSLRQLHIDTLGMTRQVTLGELMDGHGCSTHLYLKEHGMVKDTTTIKSTLHCTL GKVEKWEFINPTADPHPFHWHLVNAQCGETEATINTNELKDVVAIPARSDGGVALVCY VACTPDEFLAVHSTRPAHSFGFDVLEDPYLAHCHIMEHGENQMMAWFQLTANDVDN H257_06910 MATRIPTTTEITDTAIEMTDKYWEELWGTSNTWASWMLGLYLSE MSLSVWKSPSTDTTSRVISAHSNRYIHPNTSQVEPTRSELEPLSNDWSSKYQLSSDTA Y H257_06911 MGSTIVGSVTYLTLTKTNLANDFFWANYNSSREHVYLARLFNRE LVLRPSEGPIDLTDNRFLDDSNFNITSLTPPTVDMPRSYVSQVQIDQTTKLLTVVRGL RTMDACLAPWISTQYCYLDFQRRWEMANSAARQARCERKYTGNAAVFLESVLRNVQWS QLQTCWGSSVDTAFGSTVQSTNVGRQWWASLPSVAATTLEDEEVIMWQSHGISSFSTD WQNYKTIGLIETFDVQNAFGVAYPMTLKHTNGTFRWATQTSMKMYWGFASDLWAISEP SNIIYHKSLIRQNADFAFTNVSLEEVLVQNGTIPVSVISGTGGAFSVFRSVIGPFGSV DLKHVPVPPSLVLYVSTVKDTLARQLATSSLFLQAYSRLEYPSQVGYVPVHWLEEGWL YSVGGNLLCHDLSSGYMGGGMRITTGAFTPCGDPLGEFVVASPMALVLATLGTNLTRD NVLWADVEPICAHFVGMDFNDCISSYLNFPRKFLRNATYFPPERAMLSQFQALAKHAK ADVQAAAVEVAQYATYDPASPEIHLLRQLLFDESLASFDYVSWLLVFDWAMAIRDVIA FEGDVGNVHAITSRTNAIGSLVNPLEIPVNVAAYIRYACIYVTTVIISVAALATIYLV LAKGYVEGLNLLEINRVAGIVWIGRTILLVRSLSAIGLLSTEVLTLDVVNTFLWGFQS QITMSSSESNTDKTMRFVKTFLAAGEVSWLGFVLNDIFVGVTQQYTTAYVIKCNFMIW GVSAVLSWVVPATHSATISRECDMPQVDFQLVCRSGTIAIGSFSRFSTLVGLCVGSTV VCYAYERLRRPGLKPPTYDSLLLAASAKYVFDPAKWMDNGVYFLDPSSAAINGILSVR LTHTFYIFDLKSWRLFVIDETPEMRRQKEAQGAFHLLTAIPLIQ H257_06912 MDLVLSDDDKWDFFGWVYMFEWAEVSPEVVSFEGDNGIFALVSD KSAPLIYEAQDLEAIMSVILVIVGLLMTAYTALLRGRIVGRNLFRFNCNVGAMWLGRP FLMIRGMMAIVILSTTLSE H257_06913 MLPESMLVSGEAMWITYVFNDFLLLLSRNAEPSFPPLSAGLSWL VYVCWDMGAPTELYATLDRNCAIDYARMTIVCKRGAVQLGDVHRAVTLVLMHLSSIVM SFGGVWLWQCVNILSSSPAFSGHLLVSGTATAF H257_06914 MCGLLTFRRAKPRVFKWNMTVFLAPSLKSGLVTSPSTSPPSPKD YRPQRYMRVIALAGLGYMSATVFGSLTYLSLTKTNMANDFWWANYNASREHVFIARMY NCETVLRPEANSIALDDHIFVDDTNYSSVLATAVGVSMPSLCVSQIKLADATKLEAVV RGLRHMDACMAP H257_06915 MANSVARQARCASKYATNRAVYLEAVLRNVQWATLQSCWGRSLE IAIAAPLRSSSDGSAWWTSLESTVTSELDEVAVWHTHNISTFDTDWQNYKSIGIIDTY NIQNAFGFSYPMTLKHTNGTFQLNAQTSMKMYWAFASDLWAVTDPSTFIFGKSLVRQM GQFAFANVSMESVVLQNGTAAQVESGAFATFRDTIGPFGSVDVKHVAVPPSVVRFVLH VKDTMTRLRTKSLSLSAEYSAMYDPSEFCYIPASWFESGQVHGAGGKIMCPESTTWVL EGDFGFSPVRG H257_06916 MVVPSVNTTHEGMALCPNVCQALMLDRPLSFLKNSSYFGDNEVW FSTIAALAATAQADVRNVAVEVFQYGTVESTSSNVTFLRHALFDESLPAQREVISLQG DRGTINLLTTNSPDVDSLVKPLEVPVNVASYIRYACLYMTSAIICVAFLSTLYLLANR GYVEGLNMLELNRVAGVVWVGHTLLFVRGLAAISLLSTQVLTLTPVGGEYSVIIVGTI AIGSFGRFETLIGVCVRSIFISYAYERLRHPHLGAQGQTSYFLSASAKYVFEPKHWTE DKYYIDPASAVINGILSIQVTNTFYVFDLKIWRLFVIDEPDSKRKRLHDEGAFHLLHA IPLTN H257_06917 MHSSLDKPHPECQALVDELRLCHAEHPYTKFVGSCNDIKAALNE CFAKENAFRRKANMDKARAFNKEWKEFKEQKQAAAAAASA H257_06918 MEGDMNPTVLAAMKAQKEWAKAVAFNQEGKVIAATAKPLDGEIA AFLKLFDSRDDTMGTGIVYLNEQYDVHRFHPPLIYGRRGDPAKGEGEGIAICKVEKAS VYVLITYVLPTLSSRAVPQLQEFCAQQCTCMTTLFLSVSSLVCSRVALAVVHNPNASK PNKDTNEYCRERAERRTWALSSNV H257_06918 MEGDMNPTVLAAMKAQKEWAKAVAFNQEGKVIAATAKPLDGEIA AFLKLFDSRDDTMGTGIVYLNEQYDVHRFHPPLIYGRRGDPAKGEGEGIAICKVEKAS VYVLITYVLPTLSSRAVPQLQEFCAQQFESPSQ H257_06919 MPKFTATHISDEVDIRLTDANKAFTPTTLVHQLTATATKFSAQE ALHYKKNDVWEAYTWAQYHNFNLRFAKALRHIGFEQFDTVSISGFNAPQWFFAFMGGL YLGGAATGIYTTNNAATCQYVLSNSNAKVVVCDDDAQLKKFISIADQLPNLKAIVAWK ADLVAAGAAASAVPVYSFESFLELGQDASDASITDDFDKIHPGHCASLIYTSGTTGNP KGVMLSHDNVTFTTATVNSGFPGGAGFFTSTERLVSFLPLSHIAGQLLDIGQQAYYGF HIYFAEPDALKGSLGKTLKEVRPTYLLSVPRVWEKIFEKMSDVGRSTTGLKKSIATWA KSIGSEKSRLSEYGNSGGVPCGFSIANALVLSKVKDALGLDECKAFYSGAAPLTPEVV AYFASLDMPLFEAMGLSETTGISFYNYAHRWKPNSIGPAIAATEARVNADTNELQIRG RHVMMGYLNNPEQTAAAIDADGWLSSGDCARIDADGFGFITGRIKELIITAGGENVPP VLLESAIKEELPIVGHAMAIGDKRKFLTALVSLRVVMDDQGAPTTQLDASVVRILTEL GSSATTTADAYADPLVLQHIDDGIKRANLKAASRAQWIQKYKFVPDFSVPNGELTPTL KIKRAVVAKQYAQLIDSLYE H257_06920 MQRATIQTLAKAVKAQAPAQVRLLSYTERQARLGRPVSPHVEIY AFPITALSSITNRVTGTALSGGFAAVGALSVIGADVPSLIYSAQEIIPFFAPVSKFVV AFPITYHFLCGARQSIWDNNPDLLSPPQAAPTSYALFGGAAVLSLGAAAITIKRE H257_06921 MFQLALFQPRIPPNCGNIMRLAANNGCILHLIEPFGFNLDEKSV RRAGLDYRDMAVVRRHVNFEAFSEAVAGHRILACTTKGSRPYTEVKYNPGDVLLFGSE TSGLPDDVRNNIAEELRIRIPMLADSRSLNLANSVSIISYEAWRQNDFKGGI H257_06922 MTGVHVLSFASASAFKSEIAKPEYQGKLIVVDFTATWCGPCQGI KPRVHDLAREEPSVVFFEIDVDESDSCSGELGISAMPTFHLYKDSAKVGELVGANFAA LKALIDQNK H257_06923 MSVSIKVCIRCRPFTIDDTLGVVMTQKGDEEGEVELINSSYSTT RFAFSWSWWSAYGYKRHVKGDPLPADNMLLVDQTMAYEACGNKIKADLLGGNAVVLFA YGLSGSGKTFTVFGPDAVDIPEAWFKHEEPHPMWGIFPRLAYELFKEKQDGWKITMKY FQNVVDTVRDLMSPMAQEQQYKSGMRKDPDGFMDIEWCQGVVLKDWNDLRRTFMSANS RKAIAPTQFNHQSTRGHCIMTLEVEKPDPDREGMKQRGRIYVCDLAGTEPAGDVVYAN YQKIQFDDGTIEMKYLGPHSDPAKSKELQDQGKKINLSLTEMAQFFMKMAEAVQKKTL KPGASIPGCNSYFLCKYLKDTMLQARTYLFCAVRPEVTYHNYTFSTLGFAKNASVIKL QPKKASTAASPAERKLMAELDEMKNLVSMLKAENEKMAKAGGGSGGGSEQVDKLSELL AMKQKQLENVLNGDGDDGGGSGVKSAAKVQEETMQRQKEEYEKRGISLTFFENKTTLP YLINLDVDAYRSMRFMFILSQPSTVVGIKGDIKPMSLSIVDDHCWFEKSPESVGEDGV DTGGVVTLVVGRGEVVYNGKKLESGAKVPLVAFDRIAIGNELMLFRYPGREVPGTEAP TADDAAKEYQEALQSQDKAAMEALEAQKRQFEEERAAWEKQKAALEQRRLSHASAEEV ADQERKLQELAQQEKARLARQVNDQELRDVLPKINELKQIVGVLNRDMLSFETALKGA GGDGEGIPQVKVKVHNSITDETILLDVFEFVKAYALLKDEVAFLKNAIANNREYSSPQ GHDPITLLFDNSFHVGSVTSFPEYLLYNLETDPDESKMNLKNAVPPFNTIGKLEVVWT PLSSDDETKHDAAAVEDVDGPDDLVGKPWTYKLEIKQALGLPMITDLAYCQYEFLGEI FTTESVEQNTRNPAFNYAHIHHIPCVTPEFVQYLQSHRLEFQLFINPYILDPPKDAIS TSNDAIVRNLGGTVQVKVPYQELDAKVHALEASQAKLVDEIAYLRQIFKAATGADAPE FEGPASNSIAGPPPNDATSTPRHKLETAKSRDSLLNTPATTF H257_06923 MSVSIKVCIRCRPFTIDDTLGVVMTQKGDEEGEVELINSSYSTT RFAFSWSWWSAYGYKRHVKGDPLPADNMLLVDQTMAYEACGNKIKADLLGGNAVVLFA YGLSGSGKTFTVFGPDAVDIPEAWFKHEEPHPMWGIFPRLAYELFKEKQDGWKITMKY FQNVVDTVRDLMSPMAQEQQYKSGMRKDPDGFMDIEWCQGVVLKDWNDLRRTFMSANS RKAIAPTQFNHQSTRGHCIMTLEVEKPDPDREGMKQRGRIYVCDLAGTEPAGDVVYAN YQKIQFDDGTIEMKYLGPHSDPAKSKELQDQGKKINLSLTEMAQFFMKMAEAVQKKTL KPGASIPGCNSYFLCKYLKDTMLQARTYLFCAVRPEVTYHNYTFSTLGFAKNASVIKL QPKKASTAASPAERKLMAELDEMKNLVSMLKAENEKMAKAGGGSGGGSEQVDKLSELL AMKQKQLENVLNGDGDDGGGSGVKSAAKVQEETMQRQKEEYEKRGISLTFFENKTTLP YLINLDVDAYRSMRFMFILSQPSTVVGIKGDIKPMSLSIVDDHCWFEKSPESVGEDGV DTGGVVTLVVGRGEVVYNGKKLESGAKVPLVAFDRIAIGNELMLFRYPGREVPGTEAP TADDAAKEYQEALQSQDKAAMEALEAQKRQFEEERAAWEKQKAALEQRRLSHASAEEV ADQERKLQELAQQEKARLARQVNDQELRDVLPKINELKQIVGVLNRDMLSFETALKGA GGDGEGIPQVKVKVHNSITDETILLDVFEFVKAYALLKDEVAFLKNAIANNREYSSPQ GHDPITLLFDNSFHVGSVTSFPEYLLYNLETDPDESKMNLKNAVPPFNTIGKLEVVWT PLSSDDETKHDAAAVEDVDGPDDLVGKPWTYKLEIKQALGLPMITDLAYCQYEFLGEI FTTESVEQNTRNPAFNYAHIHHIPCVTPEFVQYLQSHRLEFQLFINPYILDPPKDAIS TSNDAIVRNLGGTVQVKVPYQELDAKVHALEASQAKLVDEIAYLRQIFKAATGAYVIH P H257_06924 MEHGSEATALVYTTTPVSSLKRKAPSSITSEDDKENLDDSGVFD LSPHSPTASSSSSSSNANPLKRKAHSTLDDSTMPVQKGGPDLSNQTSVFTSSLTSTSV STRRPSNNQLESPHKSPSDLSFSGSAAVKPVAASPLLHHTFLLNSFQHVPSLDFGMVS VGTSHTMTLHLSNPSEFGVATVVLEDIVPKAAAAAFFVDAHQALVLPMQSASSISVTF TPSTHGRVAGKLYIRLNQRFRLFCALHGATRPPSTALASSSSSSSSSSSTAVKPRPPK LAKQSTHIPQTSTDQEWKKRRVVYDAHWVPKQEAGFQKWLNFTLLGAHFCEIQDETPV SRDRYAQLRQLAITRLESKVRAAAVATYHSPQTDDLLFRLQTEITARRLTIRADRPLH VDVGLQQSLMDLLNSYHPLWLTLALEVVLGIRLVESLGALVQPTSASSTKLPHFLKRT ILERIVQDPAFNLKTTASSETLSQLKATTLVRCLMIVYFLDQAHVRRHVDHVALPCLF RPTSRIKRSKQVLIDLCQQFLAHEGNVLRHLHQLQYVVQYQQTVLEEMDMQVVNLAVD LRDGVRLARLVETLDPSVKGQLSSQLRLPAVSRLQKVHNVQVTLTCLHAKYGMPVASG VESSGVTRHATGLTAKDIVDGHREKTLALLWQLISYFKLSHVVHVGQVEMEILRIQQR RRRGGVWTNDVIKSADDDVIKSADDDVIIHPFAIDKAKEPIAWHLLEWCRVVCATYNV AIRNFTASFADGKALCLMIHYYHPRLLEKWEIQWTTSDTTTERMATKTPLLANERANF ALVNLKVKQLGQVPVLLPLFDSEHLPEEKCIVTFLAYLHSRLLGASREIHAAFCLQHW WVPRFRRSRAAKRDGGARVLQKWWASTSMSRFLQRSIRRRLGHVVALQSFGRLVLAKR ERAARCRAVHVLQSTARRWLHVPIQNKKNKNQEMVEQLDDMPANRHHVAARTLQQWWR RCRQLSQTQTLWRALAFHGHRVRHAAASVLQRWYHRHLVQEYWYAIVYYIRLEKRQHD AASVLQRKWRRVRQRQAAVHTLSKWWRSQRIRNTWRNVVLAAMDVERRHLWDQVRRAS ASRLQRWYRTCQHQYAVRAWWTQLATLVWEQHDEAATTVQRAWLSYKLKQQEDALETE QQQAAAAMAIQRWMRRGWQMWRCRQTWIQLAYDLWDQHTQATAASMIQTVWRRRHRLE TWQGCVHAVMSDVKKTRAMSRIQRWYVTTKRLLEVKQTWMELTMALWEQRNQDQAAMM IQRWVRHRQSQQLELAQERHEAATTLQLWWVRAQRSWEVTAWWTSLAVQLWDQKATAQ AVATASATTIQLWWRNVRAIKVEFLMAEIERHASVRLQRWWRRRCHVHLVANSWHGLV GLVRREQRHKAAATTLQTWAKTLLTNRHVMQTQAKWAAWIHQVRANGAAMFKAAQQQE QERQIQMEAQEKEVAAAILVQQFLRRYQQLQWWRSVVIHTQNQHAAASYIQQWWHAMT FRRDDEPSADVQVDATTNSNTPGRRQPTKLVWTVPPVVVLQKWWRGMRVRLRCAAAVT IMRHRVQAAQTSAVVATLALRQQSAGYVQEESIPLRYRLKRALAILNTSPRLHEMLQA VHTLEMCTRLSTECCVECVAHRVPRLLYKAIRKCNRSRPHLELLHQLLQVCLHLSCSR GGDTAKNTSSSAVVMDGGQDVEGVGMSLELWIDLLQMHRDSTVLFTLSARLCKRTLAT LNANEWSLGEAPRRLRLLHALMSKKAKTKSTVDRVAPPPTTKQAKEHLHPQKAASMLQ TLVDMCE H257_06925 MGTLLVGAARTGLSLVVGQSYPDTHELAVLAGVTGVATGVAAML CPSGWAGGGVTGREFVDAGVVVILVVLFERSTDPRRVLLHTAQHVAGQLHNYDPCAVL GGQGVVCGSVGGVTTSLVATTLWLPQFPRDSLWFQAGYTFVATIVAQCGRFSSIERTQ H257_06926 MSIRRLHTEARYSEVVIHNNTVYLSGQLADNLDGDIHAQTSETL ASIDAFLADAGTDKAALLSATIYLKDMADYAAMNSVWDAWVIAGAAPARATVQAHLYD PRVLVEISVVAAAPGITSA H257_06928 MSKVTGPDLKRYMDKTLSIKLNGNRKVSGILRGFDQFMNITLDD TIEEVSQTEANKIGMVVIRGNSIVQFECLDRI H257_06927 MIHQSKDNLGSRGGLCSRRHHTSCPRRRSQSTPWFSLPNHLPVS ATCSAHFSIYVVVSSMSGVAPFIQSLMDMLESDSPCVSWNPLDKRAFDILDTKTFADA VLPVYFRHAKFTSFQRQLNYFGFRKQSRRHSAICTYAHSHYSMRVPTELLRIKRKVVK PKLSAALDGKAAAATTPGTSSGMTTPARSPTKSIGLAYTEASLAYAQAWSDSGLSAKW TELDMTPLPFERGADMMLEGGSGAAPYCYPVDDELANWVLRQF H257_06929 MPSAQGYALCCTLLSAFGIIFLTSVGLMIQTQPEYIKSLNVTSS APVYEGAALYAVCLVASIAVLYLKAGPVVEKYPNVPLSEQIDGVTNEKTPLLTEDDEE DLHGHS H257_06929 MPSAQGYALCCTLLSAFGIIFLTSVGLMIQTQPEYIKSLNVTSS APVYEGAALYAVCLVASIAVLYLKAGPVVEKYPNVPLSEQIDGVTNEKTPLLSR H257_06930 MPTNFYASMHPPCRLVALPSVDWTSHAHLAMAMSEAMIPYAASA MFLIDAYVTANAVLAITTSLGLGTYYLTV H257_06931 MSSAYKEKEFSALTLKAGEIQVEVFVAGDGVNYPKKGQTVVVHY TAYLQDGKKFDSSRDRDKPFKFKLGAEQVIPGLDEGIERLCMKERAKVYIPSDKAYGK KGFPGLVPPNTNLVFDIELITFK H257_06932 MNLEERLAHLQWRKVCNQSQIKGALKQSKQLAEKDTLLNATECR DRTSRVKSIVQSEMARPLEVPLALVETMQRDMDNENQSLERTSAIHVHSVTSIQAKIR QREDQAKRHKTFRKHKAALLASFSPNGSTTTSPPSRGGQQRHSPTTNS H257_06933 MAGDAPSSPLEAAFVAACDDPKQKEKFDMTEKEQQSFLKAMKDP DFRSLLNEYMHEISDPAHRAEQELYLRQLENENKVPTDKQLVLPKPGFVLKTKYKQRK IFVNVCSSDKMQPPSSTRVASTPSTAGGTSWNLPYCVGPQRLEPDKGGKAIATFDVCY HPQTISRAMESAAFMKMLVNTALDGVDKVFHTLDVDPSKVDREYHILKGIAYKSGNPV TMCIAAAPATPPSRAAPSTSSSDKPKPRAVTMSTATVSHAASGPTTHSKPKAPLIQDI SSSSSSSSSAIHPPSSTPPVLPSIAYQITHRGQFDLADHIESREAKSFRPRDLVVRMT LPTHTSAAGIDLDVSATAVRVTAAGYAPLAIDLPFSVVEASGKAKFDKATKTLIVTLP VVAPPVRSDIDVLAEQPTSTTTSTTTTTREDHMDKVQSADDENPPNQMNDAEDATSLD QQRGGGGVDHSRWVTPTPEGDEFVAYREFAQMARHDKPVIVYTQVERASVHETRTHIS HVVPVAHINATTLSSVDDGSATLFRFQAGSTWFEYRLDHLKQQQQGGENCAAVLDWTV EVATQNLAIICRKAAPPPKVPSIRVHRVSSDMFSILVDVANVDQASVTSSFTSRGFVL AFSATSGETSDKFELIRSFQHDLVPAKCLVQVADENLLVVLAVADPHGDYFDLVAENV AETTVVVTADSSGATTFNVHKNTAPEPPATIALPDETLQPPLQVPRFTNDLMYELD H257_06934 MMADLYGDLDTSVDGRSSIHLKKELQVVQAQYDKLQKDAANLQR LNQELADQNAVLETNLSVVFATAQTEIARKDKTINELREELHRASRSGHQGQGRGAHY SRERSSQPSINRDRQ H257_06935 MLTHGCALGQALADVLAEMEAEGESLTLGEKEATMQVMEDILFS HMQDASDFSETAPSRRGTNTSGSRRRVATDVGLHVVANVEEYSSYMETWRMHMTDTTI KVEGDVLNPTCPDMDATFRRMERRRKKQTKRKHNDEEYVV H257_06936 MPPPTTTTYTSEKDVRAMDIGHIQDEMIRELMLYIAGADFQSTF EAFFLKHALRFSDDDEHKLEYTDLFMQFQDLFEDFMKQFYDKHSITEAEFGKRCRSAV KNDQKASEYLEVVLASMDYQAFFNLMKFMRRRAKAEPKPAAKRKISSSSTKESEGDEK RVDEVDEEATGDEGKAFFQDDDDDESAKESKRK H257_06937 MLPSFKLHMHHAILDRLAKVGKYDGTHPSLTCGTSSGKVFLHNP HDKNEDDAAQAVRFLNINRDVSALCVGKFRDQDAGDTLIVGTHANILGYNVEKNSDAF YKDVPDGVNTMLFGTLPNIPSRMVMVGGNCSIQGFDREGNETFWTVTGDNVTALALCD VSGRGNEELVVGSDDYEIRAFQAEDVVCECSETGRIVDLTSIQRHLFGYALDNGTVGV YKNSHRVWRVKSKNIPTSITAFDINGDGELEIVIGWNNGKVEARSIANGAAVYRDHFA SPIAAVLTSDYRLRGNAEVLCCATDGEVRGYLFEGNAPDGTSKDGGGAATSLLADEIS AEEKEVQALIKAKAGLVGQLKAYENASLKTTKGTNVRVATTTKIAITASTTISHENVE LTVSTENESVIKMIVIFDYDAGIFDGESLVIRPATPAPKATVQLPTLKKNVAATLHFR VLVGNRGNGNVFHVFEETFALPKFADFFPLKAAPPVRPSGCVKFKRPIRMQQFTAWVK ASFLQTDVLRVSETDVDLYFKNVGDNSLLSISTTATDMEIRVEDMSVAAEMIQDMCRA LQIDDLESVAEFPEQLADFRELLVRVDDYNSIRLKLTGDMADDSNQLKHLVIRAEDAR ILHDMASMRSYYAELFTLNNQLLGEYTKRATNHQALLDALKDVNGMIQLAARLRHGQP KSAVILACRKAIKANNIHALFYIVKTGREESR H257_06937 MLPSFKLHMHHAILDRLAKVGKYDGTHPSLTCGTSSGKVFLHNP HDKNEDDAAQAVRFLNINRDVSALCVGKFRDQDAGDTLIVGTHANILGYNVEKNSDAF YKDVPDGVNTMLFGTLPNIPSRMVMVGGNCSIQGFDREGNETFWTVTGDNVTALALCD VSGRGNEELVVGSDDYEIRAFQAEDVVCECSETGRIVDLTSIQRHLFGYALDNGTVGV YKNSHRVWRVKSKNIPTSITAFDINGDGELEIVIGWNNGKVEARSIANGAAVYRDHFA SPIAAVLTSDYRLRGNAEVLCCATDGEVRGYLFEGNAPDGTSKDGGGAATSLLADEIS AEEKEVQALIKAKAGLVGQLKAYENASLKTTKGTNVRVATTTKIAITASTTISHENVE LTVSTENESVIKMIVIFDYDAGIFDGESLVIRPATPAPKATVQLPTLKKNVAATLHFR VLVGNRGNGNVFHVFEETFALPKFADFFPLKAAPPVRPSGCVKFKRPIRMQQFTAWVK ASFLQTDVLRVSETDVDLYFKNVGDNSLLSISTTATDMEIRVEDMSVAAEMIQDMCRA LQIDDLESVAEFPEQLADFRELLVRVDDYNSIRLKLTGDMADDSNQLKHLVIRAEDAR ILHDMYANIYTCPHFKIPSIHHI H257_06938 MSAGTKMAMLVDLRTTVQNNKLPHGTFTRLATKYGCHRSTITAM WNAQTVNNNDDNNGSIHALHNKRQAGVDVQFRQTIRSTAHHASMSKSTLHRRAHMSSR LEFCLSNVTQRDPTQSAFEFRDFDDTIHVDEKWFRMDKDARGFYLTSSEDAPERRTQN KRFIGQVMFLAAVARPRYDSQRNQHFDGKLGIWPFVTQAPALRSSRNRPASTLETKCV SVYRAAYREMLLTKLTTLLRTWRLVYFGHKGH H257_06939 MASRPPRDKDVYAAIVTPKAAAADDAVEPVVPWSLVRNPLESAH WLSVVAISWIGPLISKGAKAPLTETDVWPLPHSDTAEVLYAEFRQHWDVEQTKPAPAL WYAIYRTFRGRIWYSFSLYVVSGALMLVQPILIKSMLQFLQQPDDRPIATSVGISNGY VLAAALSILTIVSVTVGDYGQYLANHLGVNAKLVLIDTVFKKVLCMSGYATKDLSTGD IVTMASVDAERMFFGFLMGYWTFISPLTLVAVFIMLGTELGPVVALVGGAIMFGFVTM GFKTGEKVGRLRADVLAVQADRVKLTNEVLQGIRVVKLYAWEESLEAQLADIRTRELV LLKKYQATRIFNTVALMLAPLVSLAACLMVYVALGNPLTTPVAFTALAYMNIARQPCT VFSSSVMGLTEALASCRRITTFLLADEVDLLVSATVGGSDDEAAPVVEITAGDFSWSA AKKPSDQTHLHIALDNDGPQQVVTLSNINLHIEPNTLTIVVGSVGSGKSSLISAILGE IHQVSGSHNVQAHFSYVNQEAWIQHATLKQNILFDSPYDDTLYHQVLAACQLEADLSM LPQGDATEIGERGINLSGGQKARVSLARALYHQRANVFLLDDPLSALDVHVANAVFDQ CVQGLLKTKTTVLVLNSHYHLLPKADRVILMAHGSIVGDRTYDQLKHTFPHLMSVAPT AKDDVGVQDAAAAGVADVVLNADSKEGAKSRGQLVGQEDRQQGTVSASTYNLYFSSSG MNGVVVAGLIVVFYTVSQILVSALDWFMSYWSNDPALNSSVSTGWWYILLAVVAVATV YGRSLHVLLVAVACSRALHAKIFRAVVSAPVPTFFDVTPVGRILNRFSSDLDQIDSIL PYFGMMVLQFLFQFFSVLVVCMITTPWILVLYVPLVYLFFKLQRYYNATSSELKRMEG TSRSPVVTKVSEAINGLSTIRAFNRTDRFLANQRVALDRHVSFSFVLSVSNRWFQLRL DWVASLLIVGVAFVSILTKSTIGLTAAGLSLTYSSQLSFYLSKVAIFSNMVENTMTAV ERLGHFNSLESEDTGHDNTKGLAPPPPPSSWPQQGEITFTNYSMRYREHLELVLNNVN FTVKGGEKVGIVGRTGSGKSSLMAALFRMVPSATGTITLDGVDIASISVRTLRSRLTI IPQDPVLFSGSLRFNLDPSHTCSDEELWTALKCVHLDAFVGSLEFAVSEKGSNVSVGQ RQLLCIARALLRKSKVVVLDEATANIDLETDRLIQHMIQDGFHGVTRLIIAHRLETIL DSDRILVLDAGHVVEFDAPPTLLANPDSAFAHLASQAHVQL H257_06940 MKGLKGLLIGLSAAPAFLAVEGGPAGAGGEIITQPSCFRLKNCT FGPKSIVYVPSYPLYATPQQCAPPAPVSTTATSVLNRGEFTLSTSSKVPLLFASIPYA ESASMKQGTTTQWNLQATLDQYTANHAVAPGLLNVDAAYYACEDPHQVHSPAPLQCTL KSCVAFPDTWPPKSLAVCPSYLSANTVTYGDMTFYTHLKDPFKEQDMWGKVLDEFVQW SDHVSNFPCVVSDVAQSRCDSFTVLSKSLGRRTALDLVGGSDSNKLTVLRHLGTPTES ELVELKAIAQKMDLTLDAPIPDAAAVCTKCVHTERVLKEWVHPATCATPELTAPKCQG TETCNLNHCVSFVPKDLFSATLAVSPRALELTTQAKSRPMASQFKDEVVYDSASDTIR LVYITKVGKEELAVDGLVDVTSDSRGAFVKSQVTWVVQPPYEPAVRQPWIALNGSRVL LRAFQGQNKPTTVAITVRAWTPCGKIASKNYLLRVYQEGSPKLRVFSRVRKYIESLMV QENGSVSFLVLIGAVVVGAVGAVMWTTTVRRPRGGNDDGSAAYVLVGDSTML H257_06941 MTDFVALKSPGKQPPSKDDDVVADEVTWNNVPHPLETANLFSFV GVNWMGPLMSKGSKSTLVEENIWPLPHFDTSANQSKSFESHWTEQLELDSPNLALALF RTFKPRIIGSFLLYILSGAILMVQPIMIKSMLQYLTRYDQPNFTTSLGVTNGYALAAL LTLLTFVSVTVGDFGQFLTNRLGCNAKIILMDNVFRKILRMSGHAKKTMTTGEIVTMA SVDADRLFFGFMLGYWTLISPLMLLAVFILIGNELDWVSGLVGGLFMLLFLYFGFVSG KHVGQIRRHVLGVQSERVKLTNEVLQGIRVVKLYAWEESLAAQLAEIRSRELALLKSY QTHRILNTVFMSVAPVISLAACLMIYVARGFTLTTPLAFTALAYMNIARQPCTVFSTA VMGLSEAWASCQRITKFLVADEIPLLEHSHATTTGSDDESVPVIEISGGNFSWDATSK EVGAVATAFEEMDGQQPSEGAAAIVDMETPPAAITLSNINLHIEPNTLTIVVGSVGSG KSSLISAILGEIHQVSGSHNVQAHFSYVNQEAWIQHATLKQNILFDSPYDDTLYHQVL AACQLEADLSMLPQGDATEIGERGINLSGGQKARVSLARALYHQRANVFLLDDPLSAL DVHVANAVFDQCVQGLLKTKTTVLVLNSHYHFLPHADRVLVMVDGAIVGDGKFSQLKV DFPHLLSFVEKKPVSDDKQDDGNGDDKKDKKKAESPKQGGGGGGGRGLMDKEDRAKGL VTFNTYKMYFGSSGYNGLVVIVSILVIFTTAQASSAMTDWYMSYWANNVALNSSISTG WYYLLIAISSLVLYYARSIYVLLVAIACSRSLHAKVFNAVVSAPVPTFFDVTPMGRIL NRFSSDLDQIDSMLPFFGLMVLQFTFMIFAILVVCAGSTPWILIAYVPIAWVFKWLQQ YYNVSSAELKRMDGIARSPVVTLVGEAISGLSTIRAFKMTAQFSHKQRIALDRYLSFS FAYTCSGRWFQLRLDWVSSFVITAVAFIAVFTRASIGVTAAGLALTYSSQLSTVLSRM AVFVTLVENMMTSVERLGHFNSLESEDTGHDNTKGLPPSSWPQQGEITFTNYSMRYRE HLDLVLNNVNFTVKGGEKVGIVGRTGSGKSSLMAALFRMVPSATGTITLDGVDIASIS VRTLRSRLTIIPQDPVLFSGSLRFNLDPSHTCSDEELWTALKCVHLDAFVGSLEFAVS EKGSNVSVGQRQLLCIARALLRKSKVVVLDEATANIDLETDRLIQHMIQDGFHGVTRL IIAHRLETILDSDRILVLDAGHVVEFDAPPTLLANPDSAFAHLASKAHVQL H257_06942 MIQDGFHGVTRLIIAHRLETILDSDRILVLDAGHVVEFDAPPTL LANPDSAFAHLASKAHVQL H257_06943 MLSAITVVHVVVLACCVQLAYASWTGGTNVLYQPDDISLSEIDS CRADSPLLLLEQQLPPPTYNVSLYLGKDISFNEFVSAKPVLFNVLSTLTLRPQASIDV MEVHTSGADADAPSTVMIDLQFTLDAKWRCISGTTSSVVGEIHGGSSATDALVACVTA FPVTCLSPCQVEQAMPLSVESQRDALWTALHSSAASTSPNNSSPLSLASLKQAAHLFP SANASDPITVHATLPISFPPFLRHPYVTLRLTATCRPDIAVLRSSARQCNGIDAQHVA FALLETFHGHVPLRPHTFRVTLTASLSEVAVDFAAATARTRSVINQFLQQAMSPLRSH LSLSNPTMTLLAMSMDPLSSWQPSPLPPSARPTWPCFLNVTLGCAWNASTWTRGMPFL MEAFEFASLPALLRLHPTSRLPLYVKVPPPSGFPLLANTTRTRTLLFPLEDVVEMQVE VTTMVEMSTQLGLVESPLSCASAPQLTLVPSPAVGDSAVVALVYVEVQVPTFDSFLAH WVHLAVVSTLEYIGLSADDIQLIQVDVLNDVLNATTVQVSFDVSCVTQAQVDGVGVLL SSPRWLIQLERWVLTPHINTSEVRWLVSPPPPPSISLPPSDTFGCDVHTTFFRRPLKK SEYLALTSDSNDVARPSVHIQLSAPDFAQNAFYLDHMTYHSPVHMYLNDVDPVAPIVS AAATSFWTFYTPLGVFDSLQLCLHLHQVYSSANTTPVTLCVNSVADAQAIQVSVNVRP PHSIAATYQLVGLSTVVLTLRNPPAIPTNQLVPVHPVCVACQEHFERCRNQRICRDLV MQCLHAHISTSGGGGGGPSVPYGSSYFEELAADPSFIGVSIQPELDTCLQASLETAAN ASMAVDYVGNAYDQDKSAMTREVYAAWGVYVRGLQCIAVNQCPMGTLALPQTTSIYPS LELYPSIVQDILSNVQLPTTVHFKSPWTSETTPFSLLSTATQNDLIAFILSTYYADSE VVPTLELGFYPGNNTSPITTSVVLSYTLSPCQPPPPTLLQATRIISTPANTVIVPTTS WPKAAADSAHSPLFEYMTSLGVCPAYDLTAPLQITPSLAYLGNINTTLEPDIPRVLSA FFVRHLSTCVGSISGAHVQMEIDSVLQDRRCAAWFAFDWAGVRWNASDRMADEYTTRV CPMYATARPCFHETLLPALDRLIDQSGGCCDDFVAEMQAQFGQAPTSFVAAGVSKWMD VLCSATSCDNVTTVTTCGARALRQLASPWIEHALQAFQIKSSEACAAVMGQPVASISG ALYDATCPLGGCAQYWDIFLSWIQSFPILNTYPTDGFLLSDLFQDDGVDGPLLLGFWN DMMLRWHATGIQWPLLQTWTSWFYSNVDYMHSNWLVGRKFHISTNFTSGCAFDSDVSV TLSASQWQCISPPLTPSFMLVRVVDRLTIHCYTGSSSSSLCTTYADVDTCYNDTVLPN VTSLYMCDTASLANPWSWCGYTQRLVDRTTWHCVSTPPNSTTGLPSIVAVRMNSDDVE CLRQGVTQCQEFASMAACELATQPYTPLSQQNQTLRCGVSIVQYLGHPGYFQPSHWCA LAASYFGLTLSTTWPPWHCIAHVGDDVLAARVNKDNDVECWSSNRHDCAWFPSMAACQ AAKDDAAAALQVAPLSCGRVHSIMWNTPGYADPGHWCAKVATRWQLQLYATPFVCAPV ANPPVTVYAVRRNGRRDVECYAGDSVDDDQVDGEGCYAFQSVEQCTSRMNVQRMEEVP VTMCDSYATLSQWCVTAQQTIDAPSYDNPPPVGRWNATRVLPVSHNATSHWIDLNDWH TAAFAYGWYDGVFWRFMGDLYQGTSMPSSTPSPSETYPADGRRLDEAPPDSTPAPTSD SPDSTPAPTSDSPDSTPAPTSDSSDSTPAPTSDSPDSTPAPTSDSPDSTPAPASDSSD STPAPTSDSPDSTPAPTTFAVPASVDWGWEKTRCLLHLPSVGTLFCRGVDSAWEWNAV MACISFDAATGDIVDPLAYYGDLGLWYQYVY H257_06943 MTLLAMSMDPLSSWQPSPLPPSARPTWPCFLNVTLGCAWNASTW TRGMPFLMEAFEFASLPALLRLHPTSRLPLYVKVPPPSGFPLLANTTRTRTLLFPLED VVEMQVEVTTMVEMSTQLGLVESPLSCASAPQLTLVPSPAVGDSAVVALVYVEVQVPT FDSFLAHWVHLAVVSTLEYIGLSADDIQLIQVDVLNDVLNATTVQVSFDVSCVTQAQV DGVGVLLSSPRWLIQLERWVLTPHINTSEVRWLVSPPPPPSISLPPSDTFGCDVHTTF FRRPLKKSEYLALTSDSNDVARPSVHIQLSAPDFAQNAFYLDHMTYHSPVHMYLNDVD PVAPIVSAAATSFWTFYTPLGVFDSLQLCLHLHQVYSSANTTPVTLCVNSVADAQAIQ VSVNVRPPHSIAATYQLVGLSTVVLTLRNPPAIPTNQLVPVHPVCVACQEHFERCRNQ RICRDLVMQCLHAHISTSGGGGGGPSVPYGSSYFEELAADPSFIGVSIQPELDTCLQA SLETAANASMAVDYVGNAYDQDKSAMTREVYAAWGVYVRGLQCIAVNQCPMGTLALPQ TTSIYPSLELYPSIVQDILSNVQLPTTVHFKSPWTSETTPFSLLSTATQNDLIAFILS TYYADSEVVPTLELGFYPGNNTSPITTSVVLSYTLSPCQPPPPTLLQATRIISTPANT VIVPTTSWPKAAADSAHSPLFEYMTSLGVCPAYDLTAPLQITPSLAYLGNINTTLEPD IPRVLSAFFVRHLSTCVGSISGAHVQMEIDSVLQDRRCAAWFAFDWAGVRWNASDRMA DEYTTRVCPMYATARPCFHETLLPALDRLIDQSGGCCDDFVAEMQAQFGQAPTSFVAA GVSKWMDVLCSATSCDNVTTVTTCGARALRQLASPWIEHALQAFQIKSSEACAAVMGQ PVASISGALYDATCPLGGCAQYWDIFLSWIQSFPILNTYPTDGFLLSDLFQDDGVDGP LLLGFWNDMMLRWHATGIQWPLLQTWTSWFYSNVDYMHSNWLVGRKFHISTNFTSGCA FDSDVSVTLSASQWQCISPPLTPSFMLVRVVDRLTIHCYTGSSSSSLCTTYADVDTCY NDTVLPNVTSLYMCDTASLANPWSWCGYTQRLVDRTTWHCVSTPPNSTTGLPSIVAVR MNSDDVECLRQGVTQCQEFASMAACELATQPYTPLSQQNQTLRCGVSIVQYLGHPGYF QPSHWCALAASYFGLTLSTTWPPWHCIAHVGDDVLAARVNKDNDVECWSSNRHDCAWF PSMAACQAAKDDAAAALQVAPLSCGRVHSIMWNTPGYADPGHWCAKVATRWQLQLYAT PFVCAPVANPPVTVYAVRRNGRRDVECYAGDSVDDDQVDGEGCYAFQSVEQCTSRMNV QRMEEVPVTMCDSYATLSQWCVTAQQTIDAPSYDNPPPVGRWNATRVLPVSHNATSHW IDLNDWHTAAFAYGWYDGVFWRFMGDLYQGTSMPSSTPSPSETYPADGRRLDEAPPDS TPAPTSDSPDSTPAPTSDSPDSTPAPTSDSSDSTPAPTSDSPDSTPAPTSDSPDSTPA PASDSSDSTPAPTSDSPDSTPAPTTFAVPASVDWGWEKTRCLLHLPSVGTLFCRGVDS AWEWNAVMACISFDAATGDIVDPLAYYGDLGLWYQYVY H257_06943 MQVEVTTMVEMSTQLGLVESPLSCASAPQLTLVPSPAVGDSAVV ALVYVEVQVPTFDSFLAHWVHLAVVSTLEYIGLSADDIQLIQVDVLNDVLNATTVQVS FDVSCVTQAQVDGVGVLLSSPRWLIQLERWVLTPHINTSEVRWLVSPPPPPSISLPPS DTFGCDVHTTFFRRPLKKSEYLALTSDSNDVARPSVHIQLSAPDFAQNAFYLDHMTYH SPVHMYLNDVDPVAPIVSAAATSFWTFYTPLGVFDSLQLCLHLHQVYSSANTTPVTLC VNSVADAQAIQVSVNVRPPHSIAATYQLVGLSTVVLTLRNPPAIPTNQLVPVHPVCVA CQEHFERCRNQRICRDLVMQCLHAHISTSGGGGGGPSVPYGSSYFEELAADPSFIGVS IQPELDTCLQASLETAANASMAVDYVGNAYDQDKSAMTREVYAAWGVYVRGLQCIAVN QCPMGTLALPQTTSIYPSLELYPSIVQDILSNVQLPTTVHFKSPWTSETTPFSLLSTA TQNDLIAFILSTYYADSEVVPTLELGFYPGNNTSPITTSVVLSYTLSPCQPPPPTLLQ ATRIISTPANTVIVPTTSWPKAAADSAHSPLFEYMTSLGVCPAYDLTAPLQITPSLAY LGNINTTLEPDIPRVLSAFFVRHLSTCVGSISGAHVQMEIDSVLQDRRCAAWFAFDWA GVRWNASDRMADEYTTRVCPMYATARPCFHETLLPALDRLIDQSGGCCDDFVAEMQAQ FGQAPTSFVAAGVSKWMDVLCSATSCDNVTTVTTCGARALRQLASPWIEHALQAFQIK SSEACAAVMGQPVASISGALYDATCPLGGCAQYWDIFLSWIQSFPILNTYPTDGFLLS DLFQDDGVDGPLLLGFWNDMMLRWHATGIQWPLLQTWTSWFYSNVDYMHSNWLVGRKF HISTNFTSGCAFDSDVSVTLSASQWQCISPPLTPSFMLVRVVDRLTIHCYTGSSSSSL CTTYADVDTCYNDTVLPNVTSLYMCDTASLANPWSWCGYTQRLVDRTTWHCVSTPPNS TTGLPSIVAVRMNSDDVECLRQGVTQCQEFASMAACELATQPYTPLSQQNQTLRCGVS IVQYLGHPGYFQPSHWCALAASYFGLTLSTTWPPWHCIAHVGDDVLAARVNKDNDVEC WSSNRHDCAWFPSMAACQAAKDDAAAALQVAPLSCGRVHSIMWNTPGYADPGHWCAKV ATRWQLQLYATPFVCAPVANPPVTVYAVRRNGRRDVECYAGDSVDDDQVDGEGCYAFQ SVEQCTSRMNVQRMEEVPVTMCDSYATLSQWCVTAQQTIDAPSYDNPPPVGRWNATRV LPVSHNATSHWIDLNDWHTAAFAYGWYDGVFWRFMGDLYQGTSMPSSTPSPSETYPAD GRRLDEAPPDSTPAPTSDSPDSTPAPTSDSPDSTPAPTSDSSDSTPAPTSDSPDSTPA PTSDSPDSTPAPASDSSDSTPAPTSDSPDSTPAPTTFAVPASVDWGWEKTRCLLHLPS VGTLFCRGVDSAWEWNAVMACISFDAATGDIVDPLAYYGDLGLWYQYVY H257_06944 MGCCFSKEDEGGFEAKEHLLPKGSKEVSAREAPKDLTLNAPYVA PELPAKSPSPKKQQSAAVVKAVVEVAAKVPTPTTIAASVAKPETPKKAQVVAVPAVVA EVVPEVAAVVAAVEEVKAPSRKASIELAAPSPKKAVSRKSSVDSVPSSKAAASPKKPL SRKSSAASDIPVASVDEEVAAAQVVPSPKKSVSRKASVEAVTPSPKKATSRKSSAGSA EPNEYVVMDDQSSNKAPSRKASSIDSPKKPVSRKSSVEVAVVAPEPTPVEVVEVEVPV QEVAVDEPVVAAVEEESVVEEPVVAAVEEPVIAAEVPEPTPVEVEEPVAAAVEAIKED AAEGQGDSGKDDDDGPSDAPKSKKKKKKGKKKGGN H257_06945 MAEYNAAAVVSAPSNLTRYDVVQSVLTNKTLLHMMFVYQDGFFG DLMPRVEEWKAMHREFSDYLLPPRYAAILGTSSKLKVSGGFDLGINWLGRDPRFLLHI SILEDDLPLVKRWLACRGRRYLTPRAMDCAARYGRLNIVKYFHSQQFPCTNQAMICAA CYGHADVLYFLHNNRSEGCTSEAIDYAAEHGHLAIIQFLHTHRREGCTYAAMNVAAKN GHLDVVDFLHRNRAEGCSEDAMDFAALNGHMHVLEYLHAERTEGCTIYAMNNAAARGH FNVVRFLDSHRTEGCTTYAMDEAAKNGHVDVVEYLHTHRSEGCTEKALEEATRRGHLS VVKFLVTHRNEGDIKHAKSVAEQLGQYAILKYLCSLEM H257_06946 MNAAAPAGWRLEVTEDMRKGKITEMYIELLRLSGENDRQKVWQS AAKFELTLWTQSVDKATYWTKLEKKVAALKKKPQPGTVAPPLPAAPQQVSSAPSIPHQ QQHQQAPSIQTQAQYSSQMGANSMQFNQAMLMQQAEMLKKQQEQHQLAALNHARQQQA QAQAQAQAAAQAKAAADKQQLQEQQRLHALNLARQQQQQQLATARAAAAAAAPVSVPT PPMAGHTQVLHQLQQQFQQQKQAVLTTQHNELQRLRQAQLLEQNHLANLHSTQDTPPD LRRLQLTQLQQQHLVAQNKLAHEHKAKTDELMRRHQQILTSKLTPAGGAAVQVPAAHA DAAAAAQARARALSRLQATTQQGPPPAAAATTTAIPSSAAPSPDAYGEKLKQVKAKYW NDLEIAQREFTRIAAQKPPAGAAAQQTIQNQERVKVFLQNLKRIMTLLAQDPAKATTN NVDMLIKVEQHIERQVIPALLRVKSDKSKKEEVKVDMTKQPTSTAKTAAEAQRQSTAQ QTAVQADAVRQARELQLKKAEQLKAEHIARAQAAAAAAASLKAERKDAESKALAATLQ LQNNKDMVLSPNQRAALEEQAARLTVQAKDLIAQQAHVTSPTSRAVIGQTAIMCTQEV ARIAEHLAQSKAAQARGATGPKFASIVDTSSTSSATDRLLLAVKTYARDKPEVLTQAA PVFLELSVAIGATVTTSHV H257_06947 MHVLTVLATALLSGVHACTVIGVTHKATADGSSLLAHTDDAGGG AADTRLIRVPAANHEPGSKRAVYNFFGGYPRLTTKDRGPLYFPVDNQTLSTPIGYIPQ VPHTFAYFDQDYGMMNEVQLSIAESTCTAKTVGWSKDVPYGFNLFGIAELTKVALERC DSARCAVQTMGDLAVEYGFFSEDSGDPAKPGYMDSAEALAISDKYGELWVFHVLTGPH NASAVWAAQRVPDGHVTAIANSFVIREMNLSDTSTFLASSNVISFAQDMGWHSPDDPF DFAKAYAWDDLTTFPGKILPLYAGRRLWRIMDTVAPSLQLDPRLGYQVNFTTYPFSVP VDAPVTLSQLVHLLGDHYEGTPFDMTQGLGAGPFHAPIRYDGPFQNMSGGWERPIAMF RTMFSFILQIQPPAAHLPSHLAGTAWYAQDSPHGSVFLPFSCAQSSLPLSYVTGNQSV FNTDSAWRAFNFVNQWSMLRWDVINGQDVQEVMNKTQTRAIAAHASWLRDRLNATELE AAANALATDVVASWWKLAWVLVGKYSGGYITTGEKPAQMLTPGYSKEWLVQTEFAGWP GKTYMDPMAPYRYPQQNDKGTKSNAVEIVGFMVLGALLAVGTHYLVQTTRRDGYTSFV H257_06948 MTIAIKSSMATTSSTPPAVMTKAERIASLIAECTMFEVDPCSDH YVMGRVIGSGAFSIVRVATQKQTQLKVAAKCIKKAMLDVHEVQAFIMEASVLKEMNHP NVIKLHAVYSEPDMFILITEFVEGGELFDRIVDKTFYTEREARDVVKGLLHVTAYCHA ANIVHRDLKPENILLVHRDDDASFKLADFGFAQRIDLSKSHLVTQCGTPGYVAPEVLR GKAYGNGVDIWSIGVITYILLCGYPPFHNDNRNALFQQVKSGTFEFHSPYWDHISDAA KDFIRLMLTVDPNIRYIVPWQRCHVFDMMCAQTCGKDAAEAPMDRWTECRQRAVGSSA PTTAPVQRPSTTQSRQHRGHDVGNLWSRAEAVAIRRALSVARCQCNKSEAMELRVDDD DLCHTFRSVAKGP H257_06948 MTIAIKSSMATTSSTPPAVMTKAERIASLIAECTMFEVDPCSDH YVMGRVIGSGAFSIVRVATQKQTQLKVAAKCIKKAMLDVHEVQAFIMEASVLKEMNHP NVIKLHAVYSEPDMFILITEFVEGGELFDRIVDKTFYTEREARDVVKGLLHVTAYCHA ANIVHRDLKPENILLVHRDDDASFKLADFGFAQRIDLSKSHLVTQCGTPGYVAPEVLR GKAYGNGVDIWSIGVITYILLCGYPPFHNDNRNALFQQVKSGTFEFHSPYWDHISDAA KDFIRLMLTVDPNIRPAAKTLLKLPWIAGPNVGNVQLEAALRQLRQFNAHRRLKAASI AVMTSVTFGVAPKQSPSDEP H257_06948 MNHPNVIKLHAVYSEPDMFILITEFVEGGELFDRIVDKTFYTER EARDVVKGLLHVTAYCHAANIVHRDLKPENILLVHRDDDASFKLADFGFAQRIDLSKS HLVTQCGTPGYVAPEVLRGKAYGNGVDIWSIGVITYILLCGYPPFHNDNRNALFQQVK SGTFEFHSPYWDHISDAAKDFIRLMLTVDPNIRPAAKTLLKLPWIAGPNVGNVQLEAA LRQLRQFNAHRRLKAASIAVMTSVTFGVAPKQSPSDEP H257_06948 MTIAIKSSMATTSSTPPAVMTKAERIASLIAECTMFEVDPCSDH YVMGRVIGSGAFSIVRVATQKQTQLKVAAKCIKKAMLDVHEVQAFIMEASVLKEMNHP NVIKLHAVYSEPDMFILITEFVEGGELFDRIVDKTFYTEREARDVVKGLLHVTAYCHA ANIVHRDLKPENILLVHRDDDASFKLADFGFAQRIDLSKSHLVTQCGTPGYVAPEVLR GKAYGNGVDIWSIGVITYILLCGYPPFHNDNRNALFQQVKSGTFEFHSPYWDHISDAA KDFIRLMLTVDPNIRPAAKTLLKLPWIAGPNVGNVQLEAALRQLRQFNAHRRLKAASI AVRSLDMENDVNLV H257_06948 MNHPNVIKLHAVYSEPDMFILITEFVEGGELFDRIVDKTFYTER EARDVVKGLLHVTAYCHAANIVHRDLKPENILLVHRDDDASFKLADFGFAQRIDLSKS HLVTQCGTPGYVAPEVLRGKAYGNGVDIWSIGVITYILLCGYPPFHNDNRNALFQQVK SGTFEFHSPYWDHISDAAKDFIRLMLTVDPNIRPAAKTLLKLPWIAGPNVGNVQLEAA LRQLRQFNAHRRLKAASIAVRSLDMENDVNLV H257_06948 MTIAIKSSMATTSSTPPAVMTKAERIASLIAECTMFEVDPCSDH YVMGRVIGSGAFSIVRVATQKQTQLKVAAKCIKKAMLDVHEVQAFIMEASVLKEMNHP NVIKLHAVYSEPDMFILITEFVEGGELFDRIVDKTFYTEREARDVVKGLLHVTAYCHA ANIVHRDLKPENILLVHRDDDASFKLADFGFAQRIDLSKSHLVTQCGTPGYVAPEVLR GKAYGNGVDIWSIGVITYILLCGYPPFHNDNRNALFQQVKSGTFEFHSPYWDHISDAA KDFIRLMLTVDPNIRYIVPWQRCHVFDMMCAQTCGKDAAEAPMDRWTECRQRAVGSSA PTTAPVQRPSTTQSRQHRGTLSGYGK H257_06949 MVHNSSWQNPISTMDNKFIPQAIQLVTQAIQEDTNKNYEAAFKL YQQSLEHFMIGVKYEKNPTSKAIIMKRVEGYMTRAEQLRELLEGQAKPKVVAAGGTAE KEKDDEETDGDAEKNKLRGALASAVVSEKPNVKWDDVAGLEAAKEALKEAVILPARFP QLFTGKRRPWKGILLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWQGESERLV KNLFEMAREKKPSIIFIDEIDSLCSNRSEGESDSTRRIKTEFLVQMQGVGHSHDGILV LGATNVPWELDPAMRRRFEKRIYIPLPEVHARKVMLKIHLGDTPHSLNDADFTTLAAK AEGCSGSDISVLVREALMEPLRQCQQAQFFYRCDEKAHPAKNGPFLTPCEDDPPCPHC HMKLSSCAPTCAGCKAPCPRCGSYRMRLYDLPDRGFKDDQLKPPMVSMTNFNKVLEHS ISSVAPEELNQFIKWTTEFGQEG H257_06949 MVHNSSWQNPISTMDNKFIPQAIQLVTQAIQEDTNKNYEAAFKL YQQSLEHFMIGVKYEKNPTSKAIIMKRVEGYMTRAEQLRELLEGQAKPKVVAAGGTAE KEKDDEETDGDAEKNKLRGALASAVVSEKPNVKWDDVAGLEAAKEALKEAVILPARFP QLFTGKRRPWKGILLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWQGESERLV KNLFEMAREKKPSIIFIDEIDSLCSNRSEGESDSTRRIKTEFLVQMQGVGHSHDGILV LGATNVPWELDPAMRRRFEKRIYIPLPEVHARKVMLKIHLGDTPHSLNDADFTTLAAK AEGCSGSDISVLVREALMEPLRQCQQAQFFYRCDEKAHPAKNGPFLTPCEDDPPCPHC HMKLSSVETNM H257_06949 MVHNSSWQNPISTMDNKFIPQAIQLVTQAIQEDTNKNYEAAFKL YQQSLEHFMIGVKYEKNPTSKAIIMKRVEGYMTRAEQLRELLEGQAKPKVVAAGGTAE KEKDDEETDGDAEKNKLRGALASAVVSEKPNVKWDDVAGLEAAKEALKEAVILPARFP QLFTGKRRPWKGILLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWQGESERLV KNLFEMAREKKPSIIFIDEIDSLCSNRSEGESDSTRRIKTEFLVQMQGVGHSHDGILV LGATNVPWELDPAMRRRFEKRIYIPLPEVHARKVMLKIHLGDTPHSLNDADFTTLAAK AEGCSGSDISVLVREALMEPLRQCQQAQFFYRVRWGLYSWVCACCTCTED H257_06950 MNGRFVGVVLASLLVCGLVLVYIVTFIKASFPAPPSPPTPSPAE PSMAFNVVQYNIFGRPYAVSKDGQDERLHRIPASVLNHVCPTATCGGVDVVTFAEADI DSERAHMLAAFEELNFRHHTTVVADTDPFTSLINGGVLIVSKWPILREAQHIYRNACH YSDCLAAKGVKYARINKTDGAYSKAFNVFATHMQAWSTPQGRTDRVKQASQFHTFVEA LEIPHDEPLIFAGDFNVDNHSFAGEVAHLIQVLHATAPVVVGAQQFTSDPRTNLLVGR DGAAAADGCLSQYIDSWGPLQNHTYMPSLLTRVACHNLAASVAPSRMSPPLAHILNSS VLSPPQIPLSSSSSSSSIYMAPSSLCFCPCCPLEWIDYILYAQAPYQQPVAPPSLEAV ALQSPASFDVEWTSPHEKHTALHAMDLSDHYPVLGKFTFPVNRSQPVMHLDGCSTDAD CRFHSFRCYCQGGGCFFGGRQTDGEPLPSTHPVNKNCVMLKTRLECSCGPL H257_06951 MASSACPRCYAGTCKEHSKAELGSAAVEKADMMKRMYDNLVGKQ LEKLKKVQDAAKLEADTALYRDSLAKSRDKKREKKSKKSSKGSSSSVSSLAALNSGSG LNPQALAAICSSDDDSDDADTRATRRRDRRQRKKDSKKSKSSKKSKSSKKRRAASSSD SDSSREPKKRRT H257_06952 MTTKLPLPRDFFRCPPLSASDTEAFKKLSRRASTELAICARLTK GPIEWTEDVTEPGLVMYAGVDSAGTDVFTYASVTDVNGTLDEVAAMFYAATGSTEHSR HPAKHILDSHRLYTVVKPSPAFPRHAIHMRWMVMETPMKGLGVVSPRDFCVLESHHDV ELDSRRGWVRSVVSVELDCCPPLDHVLGFVRGEFLRSGHVVVETDRPGVLQVTHIVQL DLKLHAPQWMLKRGVRARCRGVLAIDLLLRERRLSRSGSFLAPAELVAKDSRSTCALC HHTFGMLHHKTNCRKCGDVVCSRCSKIWSVPLLNAVTALRVCTSCSLSASSPTVIGQR GARFTTNSAESTSPRHRTTTHPSVHVVYYSNRNEDACNFAQNVRLRAATADNSDIAML EDSMWRRRQQSLSSEVDPDGWST H257_06953 MAMPTAPAAWREEISEENRKGMITDMYHELLRISGESDKQKVWR SAAKFELMLWTQSTDQMTYMSKLQKKIASLKKKVQPDGGLPQQQQQVPSQQVAMNPQA QAQMAAAGMFQNSVNMTNAGMTNANNAAAMQQYSQTLFLQQQAELLKKQQEQQRLSNL ARQQQAQQAVAAAQAAAAQANQAAQAAAAASTASTSTPATGNPLAAQQHQPQVLMQLQ QQYQQQKQALTTAQHNEMQRLRQTQLLQQNQISTQQHQTNVPQEARVNQMTQLQQQHL NARNKLTTEHKAKQSQLLRQHQQTFLQQKAMLGHHPAMTTNPPAAAASTPSTAAPPPG HNPSMANQGGGVTPAQALAAQKFQQQQRALSRQNSTSSVTGQPTSMPPADASSSYGDK LKQLKAKYWDDLVVVCREFTRMAMQKPSGESQQALQQQERIKNFLQNLKRIMTLLNQD PTKMTSNNRGDLDRVEQHIERQVMPILSRLKTDKAKQRDDKTEPTPPSTSQPTTTTTA AAADVQRQTLQMQQAHAAQALELQRQTQLATQQKAAQEAMMLKAQKAEQVKVEAEKQQ AAAAAAAADHERKVKEEEMAKKDDLVKRQQLQSSVKLTEGQKLALQEQAAKFKLQQQE VLSQQTRAKSPASQTKLAQQAHLYGVHIAKIAQQLLQAKLAEEKTFKDEFVSIVATTT GLSASETFLHAIAAYAKDKPEVLQQGAPKFMELSLAVGTKLSTTFV H257_06953 MAMPTAPAAWREEISEENRKGMITDMYHELLRISGESDKQKVWR SAAKFELMLWTQSTDQMTYMSKLQKKIASLKKKVQPDGGLPQQQQQVPSQQVAMNPQA QAQMAAAGMFQNSVNMTNAGMTNANNAAAMQQYSQTLFLQQQAELLKKQQEQQRLSNL ARQQQAQQAVAAAQAAAAQANQAAQAAAAASTASTSTPATGNPLAAQQHQPQVLMQLQ QQYQQQKQALTTAQHNEMQRLRQTQLLQQNQISTQQHQTNVPQEARVNQMTQLQQQHL NARNKLTTEHKAKQSQLLRQHQQTFLQQKAMLGHHPAMTTNPPAAAASTPSTAAPPPG HNPSMANQGGGVTPAQALAAQKFQQQQRALSRQNSTSSVTGQPTSMPPADASSSYGDK LKQLKAKYWDDLVVVCREFTRMAMQKPSGESQQALQQQERIKNFLQNLKRIMTLLNQD PTKMTSNNRGDLDRVEQHIERQVMPILSRLKTDKAKQRDDKTEPTPPSTSQPTTTTTA AAADVQRQTLQMQQAHAAQALELQRQTQLATQVGWMPSESSRRLMCPSRLGGKIRRPI GLIGLPFESIYIYI H257_06954 MVASWREEVSAQSRHAVINEMCSQLLRLHRGDKEQLQQTVMKYE RAVWTKSADKEAYLKTLKAKMLTFKQQPTPNDATPVSPMVMLPANGDMSVPASPQVKQ METTTLMDVDIIPFSDQLQQQQQSSSSPPQPPTNLMQQQESSTMTSSTLHEQYQHRRV ELIKSQYNETHQCCQSQLVQQTQLSASHVQQNTPMVTHPRYGSCDTGDVGGPTASNDH AATPARHGAEQPDAAPHEPAKQPHPAPLPATAGARQPRNQHLKPSICPVS H257_06954 MVASWREEVSAQSRHAVINEMCSQLLRLHRGDKEQLQQTVMKYE RAVWTKSADKEAYLKTLKAKMLTFKQQPTPNDATPVSPMVMLPANGDMSVPASPQVKQ METTTLMDVDIIPFSDQLQQQQQSSSSPPQPPTNLMQQQESSTMTSSTLHEQYQHRRV ELIKSQYNETHQCCQSQLVQQTQLSASHVQQNTPMAARQLQMTMLQLQHDMARNNLMQ HHMNQQNNLIQHHYQQLLALANQEISI H257_06955 MSSGRPGTSSGQRPGTGQRPGTGSQQPLNAVNARPGTGQRTALQ SSGGGRPLTSRLGTGQVPATPGQSAGYGVSLNTEVQVTDRPVTQQGMMGMRVMTAGPG RQVQDASYFTGRLHQKTAEITAEIEKITKEIDQDAKDKSQYAQLEKKYEHLAIEVRDL EGQLADYNLAMDKLRSATDPEEIRQVQEQLHNRNLKEAEEVDRIFIMRQDQERTAKHF EDEINAIHMKQQDKINQLAPTKLEKYRALLEENHQGEAELEAKSQELDMLMQAIRAKE DDLGMDKFRDEYDQLERQAMRLKMECKTIQDDLKTAQMDPTEARNMLLARVKEDKAKM EQVEKQIATADDENGGLKKALHEVKLELEERKNEGPDSNASQKYDMLYQRDQEMSSFM DTFDEKKDKELDNQRQAQAMIVRLLEHISTGLNRQDKMPSASKVEEMKTDLTFKERQL ESAQTTKTRLSMELSKRQAELEKVNTLDAKISVELNSLSSKMATMETDMDGFQNIEAL KETHATTKQALLKFKQQYIRRRDAMKSQVNLLAAQYENLKHQLANNDTAKTLDSLEQK LRHHEQNIYHLKEYIDTKTREVEYDSVKQDCLKCLTELNTIRIKAQGVVEAKGY H257_06955 MSSGRPGTSSGQRPGTGQRPGTGSQQPLNAVNARPGTGQRTALQ SSGGGRPLTSRLGTGQVPATPGQSAGYGVSLNTEVQVTDRPVTQQGMMGMRVMTAGPG RQVQDASYFTGRLHQKTAEITAEIEKITKEIDQDAKDKSQYAQLEKKYEHLAIEVRDL EGQLADYNLAMDKLRSATDPEEIRQVQEQLHNRNLKEAEEVDRIFIMRQDQERTAKHF EDEINAIHMKQQDKINQLAPTKLEKYRALLEENHQGEAELEAKSQELDMLMQAIRAKE DDLGMDKFRDEYDQLERQAMRLKMECKTIQDDLKTAQMDPTEARNMLLARVKEDKAKM EQVEKQIATADDENGGLKKALHEVKLELEERKNEGPDSNASQKYDMLYQRDQEMSSFM DTFDEKKDKELDNQRQAQAMIVRLLEHISTGLNRQDKMPSASKVEEMKTDLTFKERQL ESAQTTKTRLSMELSKRQAELEKVNTLDAKISVELNSLSSKMATMETDMDGFQNIEAL KETHATTKQALLKFKQQYIRRRDAMKSQVNLLAAQYENLKHQLANNDTAKTLDSLEQK LRHHEQNIYHLKECNTDVGMGLLATDRPVMGMLMLECRH H257_06956 MLELRGTTALDDFSHAPQDSKVTDRLRSQYVVVTPGQVITSEPG FLRGHGTYVEAGELLASVAGIVEKVNQLVSVRPLVSRYIGEVGDIVVGRISDVANKRW KVDINGQQDAVLMLTSVNLPSGAQRRRTAEDQLQMREFFEEGDLISAEVQEVRYDGTM WLHTRSLRYGKLENGQLIVVQPSLIKRLKQHLVQLPGLGVDVILGCNGYLWITRSMDD VVATDGAQNELTADAWTERKVSHANTVTDAADRHQIARVYNALASLNARFRLISPESI LETIQALERGDDSDA H257_06957 MSVGSLQGGPRVGCLGGGQLGRMMGYASHRLGLSFSCLDPQGSE SPAGQVVPTVTGSFTDAAAIEAFAAQCDVLTVEIEHVNAQTLRALQTSHPSLTIHPSP DTIALIQDKFAQKEFVAALNLPVGQFRRVDSLAEAEAAGAAFGFPFMLKSRLWSYDGK GNAVVDSPASLASAIAALAGNEPLTPGKLYAEKWVPFVKELAVMVVRQGSDVRSYPVV ETTQHNNICHTVLAPAAIPPSVLAAADALASTAVASLSGNGIFGVELFLTADGHVWLN EIAPRPHNSGHYTIEACNTDQFENHLRAVAGLPLGSPALKVGASWMLNLLGSSDPAET TSLIALSHTVPGSAVHWYGKAAIRPGRKVGHLTVVASSVAELRARALVLSPTANTLLP KVPSPVVGIIMGSDSDLPAMSAAAKILDDFDIPYELSIVSAHRTPERMYTYAQSARAR GLQVLIAGAGGAAHLPGMVAALTPLPVIGVPIQTRALSGMDSLLSIVQMPKGIPVATV AIGNAANAGLLAVRILGGDANLTKMETFLAAQEREVQGKIDKMEEQGWSAYLGNMSV H257_06958 MAINSVDDPKRKRITGIPKFLRSLFCILEAEDPTVIGWTQDGTA IQILSERRLEAEILRKYFNHEKASSFQRQLNNFGFRKWTKTQSHTCTFSHPSFQRQHP ELLAHVQRKSPRSMAAADVKEEAAANRENQRQNNTPSPLSCSGGGMFTFDEPKIELWG KGSGEGINGGDLWDAFPLDIDATDWDIASQTYPEPTTVLYLPDDKTQHMMAPSTALDF DMSFFLHESALLR H257_06959 MLVACHLINQQRTHLPSLKMNNNQQLRYSQEKNDTTTTAAAFPR HADGPSHGSPGVFNGRCKYKSGRCMNERTLKDNGLPHTLCEEHRVLHNKNQRKSDTKR RRLRKGVPYEPSHVFPSSTSSMQLIHHHHLEDYRSTASTYSSDQEDGHMNDDLSDNDD ASSDPSTPSHSSKQASPSMNWSVEEISMLHSILGIQNHPKPPASSSC H257_06960 MTVLLQQQLLGRSNPNDALLSKDIRVELPTHAALSKSRLATNVN DVRRTINPAIEKTTSQSTANKALPFIKAQPTPNAIEWILDSRVLGLPEDAAAAGRPPS ATVQITDNLGVVIY H257_06961 MLQREDAILNKKVNGKKATLGGQGRHESIPFASDMAAFLKDVCD QEHILTHTHLISFMKLHHMEWLEEYLAAKTNDDRAYHSLMRLCQRFSLR H257_06962 MARECPNKKDGDSGDTSWKKGKNAVKRFKARECKANMQAKRMKK PPPPSMEDDGRWVRLNSVLEVPYCPDTGADQNIIPQAIVDELQALQPQLQVLKLAAPF VGTACNQMPFEASSYVDLTLRIQTAAGPVKVPGKRRCYVVNDGDEFLVSNHTLKTIGI DIDRLLEQVARLQVDEDGDDLEEVGGDCEELPQRSAVRTATMKAALPVAKNEVEGALH GMIDGAVDNGFPMEHVKYLWDVLSKHDIWRIKFDGSDPPAKVKPLKVTPKDGKHNLLE ERFLKLFAQELLDAGVIKSNQQSEWCSPVNPVLKPDGSKSLKSADKWSDDDVLKNYRL TNDYRVVNSLTELKAGTMPFQATILQNLRGKKAMGVFDLPKCFWQFPLHPDSWDMLSF MLNGCVYTPDRVMQGHVDSALYVQSTNEECYKDLLYKNMLIWIDDIFVYADTVEEYVD ALESYFDRVAQYGFELSPSKTKLFTDQVKWCGRIISGDSVKQDPERIEHLCKGKKKRI ASGVQLELTDGEKLAFDAVKSKLRSSVELSHPRDDATMCFFTDASDHGWSIVKAWSVI EKEAYPIARACEKLNYMLMRPTGFKMYCDHNNLIHVFAPGEEWKAHTRGKLMRWAAII GGYRYEIIHIDGIHNLWADMMSRWGQPTPSLATKRVKIRRGHGWSKKVKTKVPPPEQP KLRPLDKDFVWPCVVDICHAQDQHGNDKPKRATVVDGLCQVDERLWIPSAANDLIQRI MKAWSVIEKEAYPIARACEKLNYMLMRPTGFKMYCDHNNLIHVFAPGEEWKAHTRGKL MRWAAIIGGYRYEIIHIDGIHNLWADMMSRWGQPTPSLATKRVKIRRGHGWSKKVKTK VPPPEQPKLRPLDKDFVWPCVVDICHAQDQHGNDKPKRATVVDGLCQVDERLWIPSAA NDLIQRIMVAAHCGSAGHRGHAALVATIRRQFYVDHLADRASEFLRGCLLCPRVKGGR VVHQPYAPRRHAKERNEGIHFDYLYMGEAFSGAKYVLVLKDDLTHYCELIACDGPTSQ VCVDALVDWTKRFGMPRVWVSDQGTHFKNVAMKALAHKFKVHHDLTLAYCPWRNGTVE RMNRDILQVMRVMLREYQLAEQEWGYLLPVVQANLNQTPAASLASKSPMELLTALNPA TPLDVVVVGMNKELRESDWTVKDIPKNLDMLRASLQVMHKEVLDKNAMRAAKATKATE KYEQCNVSEGDYVLWSRLLVTWTGPYRVKEVGEFSVVLEHLVTHELREAHASRVKLYA EDSFEVTEEILEHISEQGIMLKVKSIAGHKFVPDVKVFMLEVLWEGFEDIESSWEPLQ KLIHECPAVVKNYVEGVKTASEGDALRKAMKRAKAKN H257_06963 MLALLFVALVAAVASLADAKGRLDPTPLFKEDHPNVTYLTDHNY NQLIRDAPLDGKPWLIDFYHPYCPHCKQFVPIFTEIAAHYKPLNIINVGAMSCMDWEQ CAVYKIKGFPTLGLWNFDNNMNFENKRAVGEHTRDEVFGLVAQLFREQVFNATGSWPV EATTSSPPGTTTLRPLWEESTLPSNATTRVLDAASAFVFGMRESVFTGRSTLEDIELD ALKEWLRVVSLTFPGEAYRHLLANLYKQVAPVAVLTQAKWRVIFHGWQNHTAMMHKEY TKSIYDPEEWELLPTLFEGYGTAYYACELYTCGQWTMFHLMTMMVGPGASDELAMAVV TAIRRFVKNFLTCLPCRKHFLAYNTLELVEKLDNEVNKPKALYMWLWTMHNAVNKRIR HFQWPKPDKCPTCGVEDKWRMEQVEHWMKKTYGFESFKQPKPVVTTTTTRPPTTTTTT LPPIQVMQTDADDASTSTAPVIGLHHKAEGQLFTPDLSFFALYLVPVAVFIVFVGLRR MRSAPRQGNHLRDV H257_06964 MPTSPWFRREFDSLAVISHLTLELGNVSVFAATQHSPINTTSVV LQSASSGAAEAFRVHEEGHTLVLTWADGYAVDHDFTVEIHMPRESLDVVLYSGPDTVA IHPHTFRRSVDANLTIATTGPGSLLVDDTDLRAGSLHLRQGGAGLLQLSCHDIVVAYD VDVQTIASGSTVVAASSSVSASHVTVAGAAGHTTVDVQGPVKVTDFIDLHGTKSGHVD VRAHAMTSHHVALTTSGYGSVAVDATAQLDAGSVVVQVQGHGSVRCTGAEGTCNALDI NVGSTGSARINVAAITCSVAMDGKGSVYLRHGQAVQDEKIAGGGELKTFDESAEDAPP RHAIVKLVPLSRPTPQPED H257_06965 MRPEPAIVAAFHWLSVLISIYPAPATWLSKWGVLRAFGATVTPP DALMFTLTLSRTFKSTPPRCTCAPTHSLWHPSGWRLQWCPQRARRLPSPRLQFRSTLP PPHPNNPVERGQSRFGIVTGWRLRRVRLGPAAPPSLGIAGTVFTAPLRLSTPGYTAAT PGEANTTDVMD H257_06966 MDVTPPARPPGRPRVKEGPKKPPKKFRNVHVSFKKKQAVIDSFD EMGMAATLLKHFPHLRGPPLDTTRKKVYAWLKHRAHIKVKATNPRTSKHLCSRELGMA TTLPKESEEQIAVWVHSMRKDGTAIAVGLDESAFVASWSWLEGFKRRFRLSLRARTRQ GQDTQGDGDAALATFSARVAQVVRDNDIDVIYNADQTGVHYEYLPTKTLSARGDNTVW IKCGGKSKDRATAMTPASKIKAVVQEILTLRQGFGKQLWKDVEHLQNRFQCRIYGNPT AWWNSLIGVDFLRYHFAERPDRATKKLNVVLEKIPPRFTWMCQPADVAWIRPMKSQLR KMWIDSIRRQVKNSKSQNSTFKLQAPKHPTLVQWITDAWFGLSEAIITNGFAKCKIVH QDEAVDETVETTVPADILSELVANSAVDDTIDPTDDIENMAQGERLTLHERGSIIAFR KAKWPIRKIAAELFLSKGADGLSNWKRVTFVVCSEKHQKEGVARPRSNTTFTARTLRR VLQRSKPFIYKKRKTTPRLTNVHKQARVDWAKEHVDFGPKWDNVIFSDEKQFNLDGPD GLQYYWHDLRKEDQTFLSRQNGGGSVMSWGGFSSKGRTSLAILFGCQDSFAYCDTVAN FMVTFAHAAHVEDFLFQQDNASIHASKETTAFLADIGVDVLSWPSLSPDLKPIANLWG YLARKVYANGR H257_06967 MTRPTKTLKAKTPTKKDLQEQVRLLQEAMHGTVGVEAAACADQV VQVTLPPTFYSDDMVVTLLELRLWERLRLQFNIIVGGDNAVTTTSLKNKEYDIRAKYK AIHIKEAATGNDSDIPIDYPVYWDDMVAAFGDMRGLGDVEFGDDVPFPTENSGQADKR KAVDDLESQRQQRSKSKVDIGAGLVSLGDALAKGLVDAAAFRSFQQV H257_06968 MTRPTKTLKAKTPTKKDLQEQVRLLQEAMHGTVGVEAAACADQV VQVTLPPTFYSDDMVVTLLELRLWERLRLQFNIIVGGDNAVTTTSLKNKEYDIRAKYK AIHIKEAATGNDSDIPIDYPVYWDDMVAAFGDMRGLGDVEFGDDVPFPTENSGQADKR KAVDDLESQRQQRSKSKVDIGAGLVSLGDAAWK H257_06969 MHYLTHADGYDATALVFGISKTMARTYTLQVCQVLCQCYLADAV AMPTSQAAWKTIRGGFEDVAGVPNAYGAIDGTLIPIKRFTDYDGWYCRKGFPAFNMQA VVDDKMRFMSYSIRSGSQNDKDLFRESQFGKSCHQNVPRGGCFVADGGYKLYSHILTP FALRFGMNADEAHYNLLHSRTRMAVECAFGLWKNTFRIFQVNLLHGSPAEMVLLIKST LSTKAKEI H257_06970 MVAASRRRNSDVPSVVETFHATSTNIRALNIHSDHTFVNEDANA TAVWVTVTSTSTRMTDVVSFSERSTNGQDSLTIMASSYPGDIEYLIDVFVPSQSIQSV AFGGSGSLVVNPRSLVSTVAESAIIPASVSISSSGSGDVYVQDTAVELHSLALSAMGS GELQWDVPVTHVATSVDVRNSGSGGVSMFSSSSLLAGTLVVKNYGSGDIVVTSANVTV SLNVATTIAGSGDVSYDSTGLGYCRHLDMNGLGSGDAHMSSIACESTKVFSAGSGDVY VTSTHDLQVNRMGSATVYVTRPAPPGASGKFELMGHPSINDIPSKEAVPPHEMGSGGL EYGFDHVGGGIGILGLVVVLLLVLFGLVRCCRRWWCCGGKDTSETNKLDTIALQSQQH AYYHGAPLGNANNRSVQYYQGTASTTSSSTNHPPHQSAYAYYPNQTQPQPANYSGTIS YTQYQPSYAAGAAQPSAFGGSTDRLYAHNTYQQQQLPSAPSYPSSH H257_06971 MATTIHTEDDVAELLSEETWSLGGGGAGLQQSRRVPPTSGQQPT AKEQQVNADAAGPADAHLGVASQYNVMSATIALDSMSNNR H257_06972 MPSRASCRISTLLLLACSCCVASATIDACTAYPQCAIAGPNNTA LWCCASPSGCCPGIDCCGPVASNTSSITPSSTTSDPPSSLPASGTSTTSPTTTATAPT TIQPNEATSKERASAVVAVVGLLVAASIGV H257_06974 MLDVKWFYITEVNRSFYLWHDEPVPQRKAQSKRHITKVMFLCAV ARPRHNYWHHVMWDGKVGLSPFVETKMAQRKSKNRDRSTPITAPMTVTKPSPDFNVLD LGFFNAIQSLQHQTTARTIDDLIKCVEDAFNSLAWCVLDKTYMTLQKVMEESMKIEGD NVYKLPHLKKDLQQKVV H257_06975 MSALTVLLVCQPPNSPDLNVLDLGFFRAIQTLQEKKRCTTIDGL IDATLTAWNDVEPTTLNANFLTLQTCLVEVIRAGGNKNYKKPHMGKAKLLREGRLPDS IVCPREVVEHGQEIMNKEDFDLHVSLLAAKVARDVEMAVISSSIESLCLANNCDDDKG KATKISAGPTAQKLISPLKCL H257_06976 MDFRTMAEALDIPKSTLHDCFAAGIIVRKHSSLKPLLTPENLQA RKQYALAFTDVISGSVVFDAMMELVFLDEEWFYLRKVPWEEVPKLSTKNKSFVPSVMF LTAVARPRVDDGIQFDGKPGIWPFVEQVAAQRRSHRREAGTLETKSVALQQKMPAYTV DELISNVNAAYLNVSDESLDNVFYTLQTV H257_06977 MNMTSLRNMPAPTCVEPLKCCDLDKAGLTGMGCGVSTSFVSAAT LPTSTSEWRMHAFRSQGCRRASEPIALVYGDVEDNMYVRVHDQCVTSEVFGSLRCDCK EQFDHAKAMMVAQGRGMLIYMPQEGRGIGLSNKVHAYCLQDQGADTVDANRVLGFEDD YRSYEPVLHILQHFHLSRIQLCTNNPRKIHLLTELGVEITARVPVLIPTQKHNQAYLD AKLHRMDHFLEHLAPAPSACAHETNRDDLDHHQHDGDVRIEQQTTHILVMVGSVLAMV VYLLVNSI H257_06978 MDNYRKVSKIGEGTYGVVYKAVDLNTNRTVALKKIRLESEDEGV PSTAMREISLLKELSHPNVVHLYDVVHQADKLYLVFEFLDHDLKHFMDLHGPTLVPFV LKRYVHQMLLGIAYCHSNRVLHRDLKPQNLLLDLQGNLKLADFGLARAFGIPIRNYTH EVVTLWYRAPEILLGGSHYATSVDIWSIGCIFAEMFNRKPLFPGDSEIDELFRIFRVL GTPDDHMWPGVSSLPDFKTSFPKWQPQPWMDIVPSLDACGMDLLMRMLVYEPRQRISA KQALGHPWFDDLQPSRQPPVHQPQSHLYAFHQTSNTSSSNGMAPPY H257_06978 MDNYRKVSKIGEGTYGVVYKAVDLNTNRTVALKKIRLESEDEGV PSTAMREISLLKELSHPNVVHLYDVVHQADKLYLVCPRPYSLSLLHHHVVVQVFEFLD HDLKHFMDLHGPTLVPFVLKRYVHQMLLGIAYCHSNRVLHRDLKPQNLLLDLQGNLKL ADFGLARAFGIPIRNYTHEVVTLWYRAPEILLGGSHYATSVDIWSIGCIFAEMFNRKP LFPGDSEIDELFRIFRVLGTPDDHMWPGVSSLPDFKTSFPKWQPQPWMDIVPSLDACG MDLLMRMLVYEPRQRISAKQALGHPWFDDLQPSRQPPVHQPQSHLYAFHQTSNTSSSN GMAPPY H257_06978 MCIKCSCTSCPCLHLPISHVLQRGIAYCHSNRVLHRDLKPQNLL LDLQGNLKLADFGLARAFGIPIRNYTHEVVTLWYRAPEILLGGSHYATSVDIWSIGCI FAEMFNRKPLFPGDSEIDELFRIFRVLGTPDDHMWPGVSSLPDFKTSFPKWQPQPWMD IVPSLDACGMDLLMRMLVYEPRQRISAKQALGHPWFDDLQPSRQPPVHQPQSHLYAFH QTSNTSSSNGMAPPY H257_06978 MCIKCSCTSCPCLHLPISHVLQRGIAYCHSNRVLHRDLKPQNLL LDLQGNLKLADFGLARAFGIPIRNYTHEVVTLWYRAPEILLGGSHYATSVDIWSIGCI FAEMFNRKPLFPGDSEIDELFRIFRVLGTPDDHMWPGVSSLPDFKTSFPKWQPQPWMD IVPSLDACGMDLLMRMLVYEPRQRISAKQALGHPWFDDLQPSRQPPVHQPQSHLYAFH QTSNTSSSNGMAPPY H257_06978 MDNYRKVSKIGEGTYGVVYKAVDLNTNRTVALKKIRLESEDEGV PSTAMREISLLKELSHPNVVHLYDVVHQADKLYLVFEFLDHDLKHFMDLHGPTLVPFV LKRYVHQMLLGIAYCHSNRVLHRDLKPQNLLLDLQGNLKLADFGLARAFGIPIRNYTH EVVTLWYRAPEILLGGSHYATSVDIWSIGCIFAEMFNRKPLFPGDSEIDELFRIFRVL GTPDDHMWPGVSSLPDFKTSFPKWQPQPWMDIVPSLDACGMDLLMVYNRTMVEF H257_06978 MDNYRKVSKIGEGTYGVVYKAVDLNTNRTVALKKIRLESEDEGV PSTAMREISLLKELSHPNVVHLYDVVHQADKLYLVFEFLDHDLKHFMDLHGPTLVPFV LKRYVHQMLLGIAYCHSNRVLHRDLKPQNLLLDLQGNLKLADFGLARAFGIPIRNYTH EVVTLWYRAPEILLGGSHYATSVDIWSIGCIFAEMFNRKPLFPGYVLTQGILKSTSCF AFFECWEPQTTTCGLA H257_06978 MDNYRKVSKIGEGTYGVVYKAVDLNTNRTVALKKIRLESEDEGV PSTAMREISLLKELSHPNVVHLYDVVHQADKLYLVFEFLDHDLKHFMDLHGPTLVPFV LKRYVHQMLLGIAYCHSNRVLHRDLKPQNLLLDLQGNLKLADFGLARAFGIPIRNYTH EVVTLWYRAPEILLGGSHYATSVDIWSIGCIFAEMFNRKPLFPGRDDIHCDSSMDDAL YQIEKVMC H257_06978 MDNYRKVSKIGEGTYGVVYKAVDLNTNRTVALKKIRLESEDEGV PSTAMREISLLKELSHPNVVHLYDVVHQADKLYLVCPRPYSLSLLHHHVVVQVFEFLD HDLKHFMDLHGPTLVPFVLKRYVHQMLLGIAYCHSNRVLHRDLKPQNLLLDLQGNLKL ADFGLARAFGIPIRNYTHEVVTLWYRAPEILLGGSHYATSVDIWSIGCIFAEMFNRKP LFPGRDDIHCDSSMDDALYQIEKVMC H257_06979 MGQRLSCCGCGPRHHNVESNYSNLKNLPQVEDDSWKKYSSYTLH KAAMLSDDQAYAPPRYVGQFSAEAAWEL H257_06980 MLNVPSVMSASRRRLIKLLRAVLEPGAAVFVRISFLSHRCAIQT THGRHAGVAVLMVVVVAGAALERELCLVYKVSRGRERVVVAVGGDACCVIHIVRKVSI IVAAVRDGRRCRRHHDAARRRVVLGCGRHHGKLILEQLRMQVAVFDFGARAATTSSSH VNRQTRTNY H257_06981 MSTHELLDMNDAFGGDMDCSKEPMDPVLMEDSDMLCDTLNQICE LEQQQSQQQAPPAKDAPLGSDLDVFDWAKSEGGNSLDNSIRPAEPCNGSTSYLHLAGM STNVSDTNKTVEDALYCDDDDDDSSSSISNPIKIELTPSQTWQTTDSKDNGAGLLSDI DLPNMLDMFNAMYADQTGAPLSTNTVDHHSTKFHPLSIHIPTPISIPQYQPLQPAAVT YQNTRLLAPNSTPNIHFTSPVYFNHLTPPQPPPSHRRSPGNPTHFPSRPAPPNTGLSA NALLSPTYHGQVMASRLCCPKSKTPKALPRFTLSPDIAEQKLMRIFFLHCDPVTKCLK LPHLLTMLTKHRIKEDAPMNLSLLFPPNEITTTPFPQSLFRSESDMLSLEAFQSAFQI CNRCTELKRKQYAVTPSSGGDASGPVVVSALSRDVMDDVAPVLVRVVPLTYEGPKIKS CDHFQWTWCEGFDKTGNEKCNGTNRHDKCPKYLANCTLWKHRLPPKNRKSKGPDDMDS PAKKLKFFS H257_06982 MADEMTEVLVVFQCKTCSVIVGDSVNLMHVNEERRTFTLKFVVN IIRSTVPIHAAAGGEDAGNTFHDLLCRQCKRILGKWYSATVPQFDAYRMAYTLHADDV AEYAVGADAPEDEWSMEGAVAVAQWKANMTHMRELSKRLAKAQLVLRMVNDRIADSEG LVQARRNHSGVKKQRTTTGPPSRLPPQANSSGRGHQVNLVTKQI H257_06982 MADEMTEVLVVFQCKTCSVIVGDSVNLMHVNEERRTFTLKFVVN IIRSTVPIHAAAGGEDAGNTFHDLLCRQCKRILGKWYSATVPQFDAYRMAYTLHADDV AEYAVGADAPEDEWSMEGAVAVAQWKANMTHMRELSKRLAKAQLVLRMVNDRIADSEG LVQARRNHSGTSLSKTLHVMSS H257_06983 MRHRLAFRRLGRPTEHRLALLRNQVTSLIEHERIQTTLPKAKEL RRLADQVITLAKQNDLPARREAESIIRTKPMFDKLFDVLGPRYEDRAGGYTRILKSGF RYGDNAEMAVIEYIDRPGELRRPRPGNAKKTD H257_06984 MQAVVTKRVVAAVTGPTQKRQFISVAHKYKEAYERYGFWNCLWK LYNPGDIKFGKEVGEDRFGNKYYEDVTEVAGQQRWTEFKVQTHQEFGGDQIPPEWHLW LHQVTDAKPTDPGQKPENWTKVSLVSGSNAPYKTHLGPVGEFAENQTTYRQRAYGEDN HQWLKNGEPDRYYLQPRHPLRLRNRGNNDFDHIDYNNPDAPPKNSSALLRGLDKN H257_06985 MVSDATTTLPSLPSSPPAAQSSRRSRTDMTHSSSSSSRSSSTAY DMTSLPTPRSSGAYYDAQDSLDDVDGLPHDSASMAHHGEFYTEVESFLNRPSPSLGTI AKGAKSTENLLPALKAERSKLRGGGGSDQPRPDVPGSGYGRVEKGNKKKAAPLDLTLV QQAFAYANELRAQELQANDAADDSNDAIAQHLAKHGKQHQDRPSRSSSSSTSGTLQKQ HKPATKPSKPKAAKKTSAIYGVPAKQQVKAKAGPDWDSCSKEVTTSGQNNSSMDPQLM QQLVSNFQNGTMLHELRQELAASQASMKESRQVLQGAAKTFFLKGGG H257_06986 MVKDATKQPFMASADLDPCTYAISPTSKGVSRWHRAKQRFPVRT GLAAVALFSLGSVLLYVSTLFGLDGERKGLSFFVLGLITFIPGSYATTQLYGAYKGWH GYDYSQIPSYDD H257_06987 MMSTVAPREVLEAAALSKDQDDDAATVDIDLKDDVPRHNNSHAN SSDTNNNNSSSGGGFGGFTRSTFSFSKVLQPTTSVTAYWTKLKDAVQDSQIPVRTAAA ALLLLVVGIVLLILGFVSAVEGNGVSHLFLGVIAFIPGSYATFQLYGAYQGWRGYAFH NLPSYENA H257_06988 MDVQSMLAQIQENHQASLHALSTQVHALRDTCDLQEKEIQLLRQ TFARDNAKAVVERMLLRRQLEEARASASALSETVTLLNHELQTKSMESDDVDQVTTSP QVDPQQQSAPTSPTLRTLPSRNDVSPTHSSASAPSRLSSSHGLPQPRPLTTALPSSNS HYGYEFDGLASHATVTSHSPHRRPNSSSFEPHSSYRIHEHQPLSPMSSPSIGHRRRRR RDSCEHMLSTTTVDTSMDFSYPWTTTSTAASSSKPRPQHPTSQAVLLSSPPHRQQRRD LQVYTMHSVSPSLPSHEEQLPPRRPSTDSTRPKRSSPISSSSRLDQELKNLRAKLQAC SRSSGSL H257_06989 MLPNMPPNAVVRGTNVAAVATQQQHAYQQQQSYEQVQYEQHAQQ QQYHYDQQQQQQQQFAHLQAAYDHAAAAAAAAAVAASTNQDQAPSSSFEDHTPPSPPS QSFENAYGAPNLDAFSLGGTVHHDPKPPAKRKGAFRQEHAIKYGLKVIGPTTAPVSKV QCMFCVHYGREVSADDTKRKMNVKGIKYWTPPFRTDHYAMHHDLQHKVMFQHYENSSS QERLHFFEPDTVHRLRHLVPKVASSSSLDNMDKSKDNKPSTKRGKTSQSRVDPTPTKP TLDPFKMMLPPTEIPHDMQTLVDIYVGHHYTPLEEKWKALTTVASLVAQHVRNGFLET HIRRALLAGASKSEVVQVIMQSASFIGLPKTMDAMKVAHDVFLQGAADSQNQNTVFL H257_06990 MDEYVTRGVYVFGAICILLVTLSLGWITVWKFLLSKISFIRELL DLNPSNPAPPPTSDATLVPTSFDERLRQYKANPHRRHTGSTLMAPSGQ H257_06991 MSVVKKGIWTEHVDAASGRSYYFNLVHGRSYWELPEELKATVMR PLVEVNAAAAAADVARDDTIGDDTPALSRPSQEECVSTEPSASRASLIDPNAEETFSS RIQQAMQRQEEQRRHQHDEHRSRS H257_06992 MASDQVNVDVIVDKLLSVRGARPGKQVNLLEEELRYLCVHSKKC FMAQPMLLDLDAPIKICGDLHGQYFDLLSLFQFGGFPPLSNYLFLGDYVDRGKQSIET IALLLAYKIKYPDNFFLLRGNHEDSSVNRLYGFHDECKRRYNVKLWKMFCDTFDCLPV AAIVEQSIFCMHGGLSPELLDMQQITRLARPAPMPETGLLADLLWADPEANILGWGEN DRGISYTFGVDVVVQFLKRHDLDMICRAHQVVEDGYEFFGGRKVVTIFSAPNYCGEFN NAGAMMVLDEALLCSFQILKPVERKWTAPGGFMRK H257_06993 MLPYRGQCQYKGGRCPNERTLKRTGEPHTLCEVHRVRHNKIQCK SDAKMRQLKRVMAQRKQNAHRHVSRLLLPTVVPAPATFTTPVEPVDLLSDEIVVFMGM MGMHFSKGANEEHVDVPSVDLVELL H257_06994 MAYSILASVQWYFQGRSRYGVRGYEQMLAKHSSAAPTKDLSSHH FIVTGANSGIGKAVAEQLAATGAHVHMVCRNPDRGEAAKQDVAKLAPSADHVHLHIAD LSKMSEVHRFADSFASQTSVLHGLVNNAGMLMDKQVITEDNIESSRAVALLGTYLLTS RLLPLLQAAPDVGRVVNMSSGGQFLVSLDTDDVRGVGPAYTSRKYDGTMAYGQAKKCQ VALTRQFVRRFPLASTRVAFHAMNPGWAITSGTEQALEGFTKRHRHLMRDAHQAADTA TWLCVSDQGGASSGLFYLDREPVATEMPLSGTGYTDADLDKLWAACADMFHFDPTEPQ KL H257_06995 MFLQPRRAATEFNGYAVEFSPFHEHWVAVGTAQYFGIIGNGQTV VMELLPDGSLATMRSFDTQDGVYDVAWSETHPNQLVAACANGHLKLFDVTTSDNFPIQ SFAEHTNEVSGVNWGLVDRTSFVSASWDHTIKLWHPQHPASMQTLRGHTGPVYNAVWS AVEASHVASCSGDGSVRLWDLKTPGRPVCDIAAHAHEVTALDWNKYNPTQVVSGSVDT SLKVWDIRNPSVEVRQLRGHQHAVRRVKCCPHDEYVVASTSFDMSVRLWNVRAVHPHL QCAAAHHSEFVLGLDFSLFVPGLVASCSWDRSVVMWNYTGGPPPSTPRQVKG H257_06995 MELLPDGSLATMRSFDTQDGVYDVAWSETHPNQLVAACANGHLK LFDVTTSDNFPIQSFAEHTNEVSGVNWGLVDRTSFVSASWDHTIKLWHPQHPASMQTL RGHTGPVYNAVWSAVEASHVASCSGDGSVRLWDLKTPGRPVCDIAAHAHEVTALDWNK YNPTQVVSGSVDTSLKVWDIRNPSVEVRQLRGHQHAVRRVKCCPHDEYVVASTSFDMS VRLWNVRAVHPHLQCAAAHHSEFVLGLDFSLFVPGLVASCSWDRSVVMWNYTGGPPPS TPRQVKG H257_06995 MRSFDTQDGVYDVAWSETHPNQLVAACANGHLKLFDVTTSDNFP IQSFAEHTNEVSGVNWGLVDRTSFVSASWDHTIKLWHPQHPASMQTLRGHTGPVYNAV WSAVEASHVASCSGDGSVRLWDLKTPGRPVCDIAAHAHEVTALDWNKYNPTQVVSGSV DTSLKVWDIRNPSVEVRQLRGHQHAVRRVKCCPHDEYVVASTSFDMSVRLWNVRAVHP HLQCAAAHHSEFVLGLDFSLFVPGLVASCSWDRSVVMWNYTGGPPPSTPRQVKG H257_06996 MADYAKVEHDPVEHVRATHEPNLVFVIHRNKNKNVVSYAANHVD GVIDAKDPLTVDWIMFENNPVGREGLNMIERNTAYGVAVTPWEGKAGSYKVILASLPD KVIELHVVDGKPVAHTDINGVPGCKLNRVFVTSTTSWGLPKVQHIEMFATDPSGAAVV EKKIP H257_06997 MGIVYVYSEDHCGSSTCLLLDVWFVVGVVVALIVGSLLVMFVRR GNMLRRRHKVEMDLTNRNNESMIRKDVDQAQIQSRKNTDKSGYQFLEARNGIASFLGK TKRKTTAKELRAEDMFQKPTYEERAVMAVAPSDMRVKQEEDKLRKLTSMRRVSMTAQS NVSFKVADNVFASVYEVKLPEVHLVKQLAIGPFSEVYAGVWRGTKVGVKLLMPKETYQ EGSLYEYLRDTKNILPLQLILHCAQDIVRGMLHVHEHDILQRDLKTKNLLVSDHLVVK VSDFGLSRYKDKMYGEYTFVGTPFWAAPEVIRHDNYTEKADVYSFGVVLWELIERTDP YTGMNPLQVPLLVCQEGLRPSNFKNPAPDNYVALMHECWDGDMDKRPSFAHIYQRLHG ISVQYQQQTDLVAAPLATEKLSDLAAHVNHARRRASVVGKHDFECSHEAMIKKIPIKQ SRSKTGSTLVTTEQIKTLRKTYLRGKR H257_06997 MGIVYVYSEDHCGSSTCLLLDVWFVVGVVVALIVGSLLVMFVRR GNMLRRRHKVEMDLTNRNNESMIRKDVDQAQIQSRKNTDKSGYQFLEARNGIASFLGK TKRKTTAKELRAEDMFQKPTYEERAVMAVAPSDMRVKQEEDKLRKLTSMRRVSMTAQS NVSFKVADNVFASVYEVKLPEVHLVKQLAIGPFSEVYAGVWRGTKVGVKLLMPKETYQ EGVEEAVKNFRREIWVMSRLDHPNVLQLIGASLTSSCYVLIMEYMTNGSLYEYLRDTK NILPLQLILHCAQDIVRGMLHVHEHDILQRDLKTKNLLVSDHLVVKVSDFGLSRYKDK MYGEYTFVGTPFWAAPEVIRHDNYTEKADVYSFGVVLWELIERTDPYTGMNPLQVPLL VCQEGLRPSNFKNPAPDNYVALMHECWDGDMDKRPSFAHIYQRLHGISVQYQQQTDLV AAPLATEKLSDLAAHVNHARRRASVVGKHDFECSHEAMIKKIPIKQSRSKTGSTLVTT EQIKTLRKTYLRGKR H257_06997 MFQKPTYEERAVMAVAPSDMRVKQEEDKLRKLTSMRRVSMTAQS NVSFKVADNVFASVYEVKLPEVHLVKQLAIGPFSEVYAGVWRGTKVGVKLLMPKETYQ EGVEEAVKNFRREIWVMSRLDHPNVLQLIGASLTSSCYVLIMEYMTNGSLYEYLRDTK NILPLQLILHCAQDIVRGMLHVHEHDILQRDLKTKNLLVSDHLVVKVSDFGLSRYKDK MYGEYTFVGTPFWAAPEVIRHDNYTEKADVYSFGVVLWELIERTDPYTGMNPLQVPLL VCQEGLRPSNFKNPAPDNYVALMHECWDGDMDKRPSFAHIYQRLHGISVQYQQQTDLV AAPLATEKLSDLAAHVNHARRRASVVGKHDFECSHEAMIKKIPIKQSRSKTGSTLVTT EQIKTLRKTYLRGKR H257_06998 MSSANKAKGKGGKNRRRGKGDVEENKRELEFKEDGQEYAQVLRM LGNGRLEAYCYDGVTRLGHIRGKMRKKVWVGVGDIVLVSLREYQDGKVDIIHKYNADE ARSLKAYGELPDNARINETSVDMDGAGDDDDCGFEFDDI H257_06999 MPSIVSAAFALDMDEVHREASTSSGAAAPLSCSPNKIRLRIDAA KEQAKVVNSVYKEGEVIHWMSVRSPELVEFGRSLEKRGFNTLGSIAFLTEDEFDLSLS APLKHTLLTALAHLRHDLYRM H257_06999 MPSIVSAAFALDMDEVHREASTSSGAAAPLSCSPNKIRLRIDAA KEQAKVVNSVYKEGEVIHWMSVRSPELVEFGRSLEKRGFNTLGSIAFLTEDEFDLSLS APLKVPPPFHSSLFDEGVL H257_07000 MKTTSRSSMTTLACKGPAFHPKKIAMRYSPYSAKRPTLPDYVEY LEDQDMYDTMAALTDVETPTTSAEGDFDEDLVRLSLDNDIELVPGMSLAWSTEDISIL LALFDKEC H257_07001 MAGKVSTGVLRKEWKKYFLAFNGVVVGIPLATGGYFVHNLRNDE RFREHFHDKYPELVDAIHEYIPVFPEAAPRDDIGESDAAIFKQPVHAKVHLKSGKSIV FHVPFDSSIADVHKLALTSNPTDQVVKVDFQDDDVTEAPAKPAATKVAAPAHVGGGPA STWPTTYTPRNQRAPSTNSSSAIHNELRAVRAKETALRAELRKGDREIDAIKADLRTT EELKVQLKAQLPHKRFLGLF H257_07002 MADDDTPTEAPFGGGGLAAVSYFSSSYWVGFLIMVSGVVVPLAY MFVQNKKAFKSVRRD H257_07003 MGDSVEDSAVNDFLQILEEHRKNCEKQGKYVEAEIAKNRLDELK VHEENRRKEAMRSRQIAERLGVEEAHMLEFQQFNLVWDRKMEEYERNVDELVASMRDR HQGELLEFQQKLLEKQTKPKFSKELLNLRKIEEHLARQKDYAEAHKMKLKSDALEAWE MEKWRNSKQQEMFQREIKFKQRQRQELEALQKRIQSGREEQKKQRQLDLERLLQRYQN VKAELQQQQNLERIRIEKFSLTTTQRVSMKV H257_07004 MAVSASGLQWYINVEAWTPSPDEWNSLLKRLPLDEQQAVMRYRF PKDQKFALCSRLLQRKVVTDTFHVPFASVSIVRSDHGKPSWPACPIPTWNYNVSHHGA ICAIACQSDRRIGIDVVRVELPREPVVDFFACFDAQFGPREWKYIRTPRYNDMERIRR FYILWSLKEAYTKALGVGIVIDLQRQEFDITHDTITLFVDNQHAPAWHFRITPLDDTH YVSIAAEGAMVEGLSWTSLDPASAFSI H257_07004 MAVSASGLQWYINVEAWTPSPDEWNSLLKRLPLDEQQAVMRYRF PKDQKFALCSRLLQRKVVTDTFHVPFASVSIVRSDHGKPSWPACPIPTWNYNVSHHGA ICAIACQSDRRIGIDVVRVELPREPVVDFFACFDAQFGPREWKYIRTPSLKEAYTKAL GVGIVIDLQRQEFDITHDTITLFVDNQHAPAWHFRITPLDDTHYVSIAAEGAMVEGLS WTSLDPASAFSI H257_07005 MSGSVMNACSCKKRKCPRCCEQMLVQNLFKVLVKLPEKSIEFRC VRALLSGSFTNINLNSTLASCGYTVNIAPHSYAAGRRDFSLLEKGHGLQKNPNSPSGP RRGRRGISKVFRQEIARYFSLGQALDANQCLLWLKTNVLDESSFPTEEEIHRVLTNLS QPKIKRAPGEGEGTERRGRKPNPYRSQVTMFLLNNKNANPNDCYQWLLQNYVNPDLPQ PDLKQIKTLINNIKSNPNFRSQAISVLL H257_07005 MSGSVMNACSCKKRKCPRCCEQMLVQNLFKVLVKLPEKSIEFRC VRALLSGSFTNINLNSTLASCGYTVNIAPHSYAAGRRDFSLLEKGHGLQKNPNSPSGP RRGRRGISKVFRQEIARYFSLGQALDANQCLLWLKTNVLDESSFPTEEEIHRVLTNLS QPKIKRAPGEGEGTERRGRKPNPYRSQVTMFLLNNKNANPNDCYQWLLQNYVNPDLPQ PDLKQIKTLINNIKSNPNFRSQAMY H257_07005 MSGSVMNACSCKKRKCPRCCEQMLVQNLFKVLVKLPEKSIEFRC VRALLSGSFTNINLNSTLASCGYTVNIAPHSYAAGRRDFSLLEKGHGLQKNPNSPSGP RRGRRGISKVFRQEIARYFSLGQALDANQCLLWLKTNVLDESSFPTEEEIHRVLTNLS QPKIKRAPGEGEGTERRGRKPNPYRSQVTMFLLNNKNANPNDCYQWLLQNYVNPDLPQ PDLKQIKTLINNIKSNPNFRSQAMY H257_07006 MVLMLSVSHRQYCALGHNFTGHTSSGSGSSQSQPLPQPNILTLF SKEPSSHPLNGSRDPTIGPPMAWRRTTLDTKLGSSSARSPSDTSGMTTAQFEALASYR TRRRHHLSGELYQTLILQCRRFLAAPFSPPRGPTPLHMEGMVFFDGAARLDRACGGSG ALVLAREFPLLCDYDAHYLPMATTNNQAEYDGLVRGLTLARTQGYIHLTIYGDSQLLV RQMIGVYRVHHPGLRSTYLQARLLAAQLKCSRRHRPREGNQAADFLSKLAPMIEPPIP PCPDPSATPSPPAKRPHYSINVTWISIPGETLSRSTHHA H257_07007 MLRLGLKIVVSRSAALCVAWGTSSRALFVSCADLNLIANIVASR GLGLSGFWWGKTLKALILLRVLSRVQGVETFMAAQTNAIGLDPVANEVLKTRECNIDT FDLGARKSFRALFRLACNPQSPVCIIKHSQTINMLDVNRSRLDMQDKTDSSTPSLLEH ILWNMEKSDPCLVVPPIKRRLVFTCTGSEIKRKLVFSSHKTVKPLRPTKRELEREAAK VLEQSKQDESATPYFAKLGRRKRQLSFD H257_07008 MGFMEVTSAFVQLSKPSASVQPSARRLVGMWLQSAIVFGVVAGV PVMETVEFGTSDGVGALLPQ H257_07010 MVAAVLWTGGKDSVMAMMDAMDQGFDVQYLVTFAPENPSFKAHP LPLMQRQAAAVGKPHLIKTVVAPYADSYEQHFRDLHNELGVTCIVTGDIDFIGSSTTN FVQERCKAVGMTSVFPLWQRSRDELLATLLHRQLYVVFSCVKTAPFEPVANWLGQPIN ETSVAALRLVTQDDKNIDICGENGEYHTMVLNGPCFQHAIELPAYDIATVDTLSYMQF PADWCDAP H257_07012 MAASSQVLVLGAAGVGKEGLVEAVVRQVDASKGISWTKGASSFR AHPATISTKYYTAAVEFHVHSQPFSGDWTAYEACLLVWNANDKSSWSHVQNAVEGMGE HSFDVLMAVACGSNIAINMENATDWCLERGVEHVAVDLASSEPAASSSDDLASKDGTT GMARIVEALECTMWKSMEMKHGHAASAAISSDALASSEPDPSPPELPSSNAAPPSVSS TAIPTSSAQPSANTNNNNGVEEFETLLHEVQAIRSQMQGVSDDQRRARAAEMAMKLWE MMGNDDSDSD H257_07013 MVVRIKVRVFTFSIDPTVEHSYLVGSAAGGLSSAIGMIVLEDDE DLTFESVRPRIELKEENGLIRRNPMFQEALFQMTEARNPHQWPMHTLQTYWLGYYQHE DDPTPTIIRTEDTSSKCLRDVLDMKSTKVTADLIVIPQSQIGPVCSQCCQRCALCPSI QPRQTT H257_07013 MVVRIKVRVFTFSIDPTVEHSYLVGSAAGGLSSAIGMIVLEDDE DLTFESVRPRIELKEENGLIRRNPMFQEALFQMTEARNPHQWPMHTLQTYWLGYYQHE DDPTPTIIRVPCMSTRLLHSYAISIS H257_07014 MSAQNEVSLMDLTLEQLNNLKTQFEQELQQLTSSFTGLREAQTR FSDSKVALQSLQPANLGKRVLVPLTASMYVPGKLTNVETVLVDVGTGYFVEKNVEEAK GFMDRKVAFLQQNTESLKDVLENKRTNLEAVIQVMQLKMRLAEQQGK H257_07016 MEAPQEGQEFFSVENVQKNEGVVEFAQTAMCVVAGSMAGVIGLT AFHGFVFMVVLYVFTSVALLAKMKFDVETYFNMKWYSFLFYGIGGHFVSFVLFWTLGY GMVHIY H257_07015 MALALRLTYTVLPRLAVHRFSRAADSVEDAALIGQLAAVLASNL PLTSLSLSADEISLVVSEDALLPVAATSTEAGWTTFKVEGPLDFALTGILSSLTAPLA AAAIPVFAVSTYDTDYILVKFDKAQGAIDAWRTSGIASVTTSS H257_07017 MQQGACRRSRRLLSSYVPAHGKPRTLELQLLQELLAKSKKVVVL TGAGISTESGIPDYRSEGVGLYARANHRPIQHMDFMTSAATRQRYWARNFMARDKWRA VQPNANHRVLSQWQNSGLWDVTLVTQNVDRLHTKAGSRDVIELHGSSEEVRCMQPGCA APPIDRDTFQSILSNLNPIYQDLVFQYINTQHENEFNNDHTNENRMRPDADMFLSPEL ERAFDVAVCPYCGHAMYKPNIVFFGDSVPTPKVDMIYDAVKQSDAMLVLGSSLHVFSG YRFARRAAEWKVPLAIVNIGPTRADPIATLKLDAKCSTVC H257_07018 MSTNDADRQIKQMVNFILQEAQEKANEIRIKTEHDFNLEKQMLV HNAKLKIQEEYARKEKEREINKRIARSAEIGASRRKKMIAREELLQSLITEGKARCAE ATKNEASYRTLLRDLAVQGLIKLHETEIMIVVRNKDVKLVESLLPEILSNYAAILKQE TGLDVSKTHLTVCKEERQQLPATAAGGLKLVARQGKIVCDNTLDTRLLQVNYDQKPTI RHILFPEIKK H257_07019 MDDDIDNLLEQGIKTAQGGGDAPAEDSRSSRAKESSRRDRRSRS REHRRDHRDRRDRSRERDSRRKRSRSRSRDSRRSRRRSKSNSRSRSKDKKDVAAVEPP RERSTSQERIEKAKQRELMELTRDHRTVFVGQLTQKVRERDLEKFFGSLAKLEHVLLI RDKFTNKSKGFAYVEFSNLEDIPKVLVVNGQIPTFQSFPILIKASEAEKNFAARKDAV FSSGPTTKASASGYSHAGSGGASGLSLSAASRVYVGNLHGNINEDDVKAVFSAFGDVV SVALNRDDIGRSKGFGFVQFTTPDEANLALTKANGLEVAGNFLKVGPVREHEGSAYGH AGRDNGPSSFNNKGFGGGGGNWKLEDEEGSGGYALNSQSRSALMAKLAGGAASLTLPT LLPTNNLMAVTNPPPVAASVAQRAAALAGGDIEGVDNVCFVVKNMFDLLKERRSGNPD WHVEIQQDVEDECSRYGKVVHSFVEKDKDGGLVYICFDAVSAAREAAHRLHGRWFNMR QISVRFMPTQEYVGMFPATRAAIAASKQPE H257_07019 MDDDIDNLLEQGIKTAQGGGDAPAEDSRSSRAKESSRRDRRSRS REHRRDHRDRRDRSRERDSRRKRSRSRSRDSRRSRRRSKSNSRSRSKDKKDVAAVEPP RERSTSQERIEKAKQRELMELTRDHRTVFVGQLTQKVRERDLEKFFGSLAKLEHVLLI RDKFTNKSKGFAYVEFSNLEDIPKVLVVNGQIPTFQSFPILIKASEAEKNFAARKDAV FSSGPTTKASASGYSHAGSGGASGLSLSAASRVYVGNLHGNINEDDVKAVFSAFGDVV SVALNRDDIGRSKGFGFVQFTTPDEANLALTKANGLEVAGNFLKVGPVREHEGSAYGH AGRDNGPSSFNNKGFGGGGGNWKLEDEEGSGGYALNSQSRSALMAKLAGGAASLTLPT LLPTNNLMAVTNPPPVAASVAQRAAALAGGDIEGVDNVCFVVKNMFDLLKERRSGNPD WHVEIQQDVEDECSRYVLVGV H257_07020 MKVLEASEGPLTNFEVMTLLEERKGSRMERKTQASVLYAERNWI DSKVLKCLSNSPAKTLTEDKIAHFLSMVAGFELTNAEKLQFINHCPMELVDVHLIIED CAERLTEVQVEELMAITAETLGATDDDEDVQVV H257_07021 MTFPHVNTTEGPVELPMEHKTKEHRFEPYDFNGGTVLAVAGKDF VVVAGDTRLSTGYSILSRDETKIHEVAPNVLLASPGSFNDVVQLRGVLGIRSQMYQHD NEQPPTIEAMAQLLMNTLYQRRFFPYYSFCLLCGITKDGKGAVYEYDAVGSHKNTSRG AQGSGGHLMMPLLDNLVTHEGRSDPLVDLTIEQTKMIIKDAFVTAGERDIYTGDKVEI FVITAHGTTKEEFLLKQD H257_07022 MPTLFCVVVGEKSPFPVTIDANESISMLKTKVKAEKPHTIHCDA DDLQLYLASKDNGGTWLNSDGAKAVTLDDVQGFHMIDPAVWIQNRAHFGPNFKPSDGD IHVLVIVPCLRREVRQAALRATLADLVKKKKLHERDDDDDTSSS H257_07023 MALRWTLGLMTLSLLAAADLTSYLQRREDDALMCLYTFDHPSLD SQVPNCPFGSLVPTPGLVAPLGDDQVGYRWNPSDAIDMVQLQSSDVVESRAFYEPALG KRGVTFEMVFRLYKLAASNVNVNLFAISSPYDDCSNPGFRVEVSDRHLLVLIFYVLKP DNSVQVCFEQHFYSMMEKRGFRPCQIPFGASPRNGDNPPPPIVHFLISINPNDPTRGA WTTIFQVTYYEADGSIRECIAYNSMEGVRGFADLRVFGNYKLYIGNNGRRVKHRVPRK VPYVAPPSPPGPTVTPREVTMLDNLGNYLYMALPQLDGLFLAFNGKKVVEISPKGISF GDAGRVYNVGDIGRWIKSALSKLKDSAALRLRKTEIYAQLDAMAAANKPPPPTPQASV DWSKTPLHARYPLPNASIDMDVFLFAIASKVFLVSRLIEVPTIELPHMGTNNRKRTIT MMQDTSMTIPLRAFTFNRRTSPPLVITQWPEFGTLSQCASSGVGEKVVASTVLNDGCV VYTPPAKRSNTNIPGGNPYLVERRAAQPFATLGYAVAGTKTAAATVHFFVTAAPLSGS GPTPLVASNTTVKKKTNPPIANLPPTTMTTAVLVAPPYQYNVRTRDNGKVTLSLRLHS VRPLHPKVMLRVTLKSGMEAKVAITSDPLRNASRAAHCGMQLKFGEVCWARDSNSSGI EYELTGLWLSSAQVTFRGEWTQVQNALTDLVVTDSVRYPHVTKLKLKIESLTPTLATF PVVKRTISIQFAPPMTTTTMTNVMSLSSSSVEGGVEEADCTPLFFVLPGVCEESFGGR SGIWGSIFTALVVAWVFGMWQERTAAGRAAALAQRTVQNASEFNAIVQQFKGVIQEPN MHAAMALLSLCETHHETLIAMAGVTLVLTESQTMPRFWSLLLSRQGTHEQIEYNKMED MMRWYCRLIGRGWINGVLDAAVAKVTSCNMTHERDLWAVVETTMRLHLATVPMEIALL STLGPTRTFGFVDHFLRPSLQAAVPQLPLALQATMASHVDDVVWMEPMASVVLQQSFD ASYTPTPTPLSGGCHELMPHALLHLLCLVKRYEEGMVALCLHQDQDDVPPVGVRLEQI IEALGITKQNYTDLVGLTQCTTMAVE H257_07025 MGDSMAAQRLRQERKNWRRDHPAGFWARPIASEDGSLNIMSWSA GIPGKAGTIWEGGTYKMTLGFSEDYPSKPPLCKFVPPLYHPNVYPSGTVCLSILNEDK DWKPSVTIKQILKGVQDLLDSPNMADPAQREPFQDLKNDPEEYKRKVKAIALRNRDNQ H257_07024 MYLPAFSSWDDAAVASWGIVGTIYVRQEESALRQVPSKEQIALL RSQRVVIGPFTTSMLDEAVTWLDHGAVHIVLQTTFQELVAGIASDLPPSRVYLSVSLT DNEQLVASTAAALGTLSSGVFLSGEAISSSSLVQFRKLLPESYRVLLTDSPLDLLATY HHQHIDLVGSPSALDAGQAFAQCLRSDRPDGLFATVVADLSGVALGLVYSSIESVVAA VAERRGIFYSRSRGGLWRKGDSSGHVQELVRLDMDCDSDAIRCLVLQHDATSSAPDTG AFCHLNTRTCWGHASGIRELQSTLQERLVSAPAGSYTKRLFDDRTLLRNKLVEEAQEL AEAEHPTHVAEEAADVLYFAMVRAVAANVTFHDVETQLTMRARKLSRRPGNAKTYRIE AAAAILNGE H257_07026 MFARRLINPAAVRAAQRSMSTKASSINGKGPFNVLYNTIMRNNV TYVSAVIAGTLVFEVVYGNVTSSIWESLNYGRLYHHIDWSQFKSDDDEEEEE H257_07027 MDVEFDSFDDDSSIATLRIKASSQYCRNDEVFLESLMTAVKIEM GLPPAADVHLHVEDKKVHATLNKRNSSRKEEVPNVDLVDVYTQKVIPLQGALMNKPFA ILALWTAPSKSTVVDVQQHALKLLESSLDTTHVLALHVGATSAEALDVIDRQKLYFPQ QTLHHYHVPTTSLALLQQSLSINDEPTRNYVLIDKKLQVLAASNDLSNLDGGLQATPS SPLSLPPPLLQVVHTTHDDCHLKQYPNVEVVHMPTQRTMHLFDFLTAGTLTVIDFWST TCVRCPAAIAKLLTHYKKTQSSISATTIQYVLINTDNAVMGWDLVQGHEWVPFGSIVH LHVTVAVKESLKAFMAMKQMPHHVLLDVNHRVLQNGKFFSYDHVDAALVAARPSKVEH APLSFTLDDDDGDELVAPPAAPQEHAFVLDDDF H257_07028 MPSVVPPDQTLAEAFNKVSGTEFAYMLVPVVALTSAFLLFLVGL NPKRAVCWTPFWLVLSGVIHSFLELSFTFFRDNQYFGNTMDLYSAADYRYGFPMEEGT AAMETITALLDGPMCLLAAYAFVTQKPYYHPLVMSVSIMQLYGLTWFCLHPLFSDASH MSSDPGLFWIICVGCNAPWAIFPSVLVHKSFTAIVERFTEAPKAKCA H257_07028 MPSVVPPDQTLAEAFNKVSGTEFAYMLVPVVALTSAFLLFLVGL NPKRAVCWTPFWLVLSGVIHSFLELSFTFFRDNQYFGNTMDLYSAADYRYGFPMEEGT AAMETITCVHRKPFPAAYIMYLTERVLRALLDGPMCLLAAYAFVTQKPYYHPLVMSVS IMQLYGLTWFCLHPLFSDASHMSSDPGLFWIICVGCNAPWAIFPSVLVHKSFTAIVER FTEAPKAKCA H257_07028 MPSVVPPDQTLAEAFNKVSGTEFAYMLVPVVALTSAFLLFLVGL NPKRAVCWTPFWLVLSGVIHSFLELSFTFFRDNQYFGNTMDLYSAADYRYGFPMEEGT AAMETITALLDGPMCLLAAYAFVTQKPYYHPLVMSVRDVHIYICMQ H257_07029 MVTARAALLGLALLSARTNADECTNLIDHVDYKGHDVGSTAQPD AADCCGDCSDHDSCKVWVWTNYHGGTCWFKSQGTEVTAYYGAKSGALPTPTLSPRYSE LADNVDYYGNDISTTKQKFAESCGEDCDITDGCQLFVWTSHEGGTCWLKHSHSGPTDV YGVKSAYRVPTTTVTPAPTTMVNPAPTTRAGRLKHSHSKRAKPTCRPTTTETPAYSTR TPASASRPTTTETPAYSTRTPASASRPTTTETPAYSTRIPASACRSTTTATPAYSTRT PASACQPTTTATPAPTTTVTTPAPTTTVTPTPPTTVTPAPITTVTPAPKTTVTPAPAT IMTPAPNCDITDGCQLFVWTSHEGGTCWLKHSHSGPTDVYGVKSAYRVPTTTVTPAPT TMVNPAPPTRAGRLKHSHSKRAKPTCRPTTTETPAYSTRTPASASRPTTTETPAYSTR TPASASRPTTTETPAYSTRIPASACRSTTTATPAYSTRTPASACQPTTTATPAPTTTV TTPAPTTTVTPTPPTTVTPAPITTVTPAPKTTPAPVACPPRVRKPWNVLDSGAKRLFL SALEVSMDRGLFQRFLAIHNDMVSNKEAHNSCVFWFWHRKYMLAFEDMLRDLGPSFAC VTLTYFDWVEDYANFKAKKCSNFGTCSPILKDFGGAVHTNSSTPASSDLLIFDHSYPD LVCADASPNNHFCPVVEPGARCDHCLPRNATSWTEGLLSEEWDVDILKGYLQLAEPTP SIKQVSADIELGAHGMLHALLGGVMGNPYSSPADSIFYAHHTAVDMLHAIYHHCKVEP LGLAEDGKKSFIQSFEGCTTGNDETITATSRVQSKVTVHGVQIDAEDDKLVGKYFKDL PSQYWELTDTRDFGARAYSYQFNGLLARLYTNCGAAEPVPGARSAHEIEHVLRSIDSP ADQNQVDFNKEALAQGASQGLTPTQVETELKKMALLVKAFCLPGSVVPYSDEFKAVWK IRDRRPSVVLLEDLKAGRVTMQLANWRAFLATYFECTKVPATIV H257_07030 MVVDPHALYPVRLTEGWARVSTAAAFWNLEFAMVISDGTMRPLA ATSTDVGSIVVAKVSSCPMDGPFVGLNAVRRGNILVAINGVELTASPTSSVVWTALDD ATTTASDAHPSILLFARHDYADASAALSSTRSPPGQSLEQRAWQETTWYCSDRGQLFA APMLEDLRSWFPEKVVAAVPSIQAFLACMETQALGTSQHLIDQVVNATMDRLWHHLCD IVFGEALERELHLPQLPSHFNLRENARHMLYDIRVVAPAPWSFEVRWQPRGGLPAVSL LSKSVSRGIVVVHSSMHPHVTVGDVLLGINRQFLPSALQAVQTHWLHASSKASLVRPT TFQFLRHSHNLVASSLNKSTRCWQVPWTVWDEPGGLTSIESYVQSRLPLRVDKLATLW AESSELWEHHKTPSITCYRHIPTQRVYCDHPMHIVSQPLVLQTHSRLRWTCRKVTRPR RVHRMIMEATARVEAAMADAVLDASLDVVMAQVVGPGTVEFSESFVDQTLASVLASLG RDLELSFVKNIGRFRHFHRHHEPPAVDMAEMVSKRGDALDSTSQRVSVLQSPPVLRAV AKVEPIVVFGTLSTAEKISSVAHLEFSFWTLLDTIERTSEASTDNEDSITTITGQDYH AASQPQNENAPTQQQDLPETSRRPPDETQFDPLDATEEDVVLIDDANGLLSITVEAEP LPSGLAPSHDIVTTTEDNSTTPELTSANEEVLDSPAAAPTPSMGPQLVDQAQTCQVDT AALAQSTPPLAGYNDGIVPGFVALGTITSQRLERGESAVPVVDVPVTVDMDYWELLND DTPVECVDTNNPSQRSLSTVVDEAPYSTYMDDWPPDLSTPLPHNLKATRCEDQRLSSQ SDASLASMKEWTDSDTQTDVTCSDALHDVETETLSHCVADGVSQTDEIKGVVMVDEQL QTDNTTLLVAAATQTSARSTMLDNQDIQEEPSLAGGTTEPSSPSTLPSETTTARDTEV TFPPLLGPTFSTPSDMVLEPEFPRQFDSSSTESSQVSDNVMAPEVGLAATGPVEAVME FPVENLESSDLLTTETKDSEDEPPDQLASGVALELPPTTLATNFTKEKCPGDPSDQRI HVGDILEPHVDATSWATEDMPPRATDNSDARLNPQHGSSSDPSPTLGEGTPLATARAI ISSPDTSTPTESFIQQVANIHAIDHVNLQVAVDNENQGGGGSMYKDAVTMKSLEGQEA TECKTSTHELDGNATMTREFPATEQPMAKDCAASTNSALSPLVEPIPHLDSYDTSPPL AINKPDSDCSTGQASAHKGSQAEAIASYQSREQRQTSPRVIIHEDNQTVRKLPMVPLL EALQLSPEWMVETKSLAATRWALPRLRLERINVTRKKQPTQRRQQQATAAARRIQAVV RAFLAQKKRRSSQLYDVQHRLGIREVLDMERCGPRHKITSTNKWLPRGLMKKEEENRP TKLPVLLLPKSCKSSSAWPEQSTTDDDDDGVTGFTQREKQLADELRDLNAILERKTLQ KQRNMQSLPLLPVPASVPRVFMGKRKGKR H257_07030 MVISDGTMRPLAATSTDVGSIVVAKVSSCPMDGPFVGLNAVRRG NILVAINGVELTASPTSSVVWTALDDATTTASDAHPSILLFARHDYADASAALSSTRS PPGQSLEQRAWQETTWYCSDRGQLFAAPMLEDLRSWFPEKVVAAVPSIQAFLACMETQ ALGTSQHLIDQVVNATMDRLWHHLCDIVFGEALERELHLPQLPSHFNLRENARHMLYD IRVVAPAPWSFEVRWQPRGGLPAVSLLSKSVSRGIVVVHSSMHPHVTVGDVLLGINRQ FLPSALQAVQTHWLHASSKASLVRPTTFQFLRHSHNLVASSLNKSTRCWQVPWTVWDE PGGLTSIESYVQSRLPLRVDKLATLWAESSELWEHHKTPSITCYRHIPTQRVYCDHPM HIVSQPLVLQTHSRLRWTCRKVTRPRRVHRMIMEATARVEAAMADAVLDASLDVVMAQ VVGPGTVEFSESFVDQTLASVLASLGRDLELSFVKNIGRFRHFHRHHEPPAVDMAEMV SKRGDALDSTSQRVSVLQSPPVLRAVAKVEPIVVFGTLSTAEKISSVAHLEFSFWTLL DTIERTSEASTDNEDSITTITGQDYHAASQPQNENAPTQQQDLPETSRRPPDETQFDP LDATEEDVVLIDDANGLLSITVEAEPLPSGLAPSHDIVTTTEDNSTTPELTSANEEVL DSPAAAPTPSMGPQLVDQAQTCQVDTAALAQSTPPLAGYNDGIVPGFVALGTITSQRL ERGESAVPVVDVPVTVDMDYWELLNDDTPVECVDTNNPSQRSLSTVVDEAPYSTYMDD WPPDLSTPLPHNLKATRCEDQRLSSQSDASLASMKEWTDSDTQTDVTCSDALHDVETE TLSHCVADGVSQTDEIKGVVMVDEQLQTDNTTLLVAAATQTSARSTMLDNQDIQEEPS LAGGTTEPSSPSTLPSETTTARDTEVTFPPLLGPTFSTPSDMVLEPEFPRQFDSSSTE SSQVSDNVMAPEVGLAATGPVEAVMEFPVENLESSDLLTTETKDSEDEPPDQLASGVA LELPPTTLATNFTKEKCPGDPSDQRIHVGDILEPHVDATSWATEDMPPRATDNSDARL NPQHGSSSDPSPTLGEGTPLATARAIISSPDTSTPTESFIQQVANIHAIDHVNLQVAV DNENQGGGGSMYKDAVTMKSLEGQEATECKTSTHELDGNATMTREFPATEQPMAKDCA ASTNSALSPLVEPIPHLDSYDTSPPLAINKPDSDCSTGQASAHKGSQAEAIASYQSRE QRQTSPRVIIHEDNQTVRKLPMVPLLEALQLSPEWMVETKSLAATRWALPRLRLERIN VTRKKQPTQRRQQQATAAARRIQAVVRAFLAQKKRRSSQLYDVQHRLGIREVLDMERC GPRHKITSTNKWLPRGLMKKEEENRPTKLPVLLLPKSCKSSSAWPEQSTTDDDDDGVT GFTQREKQLADELRDLNAILERKTLQKQRNMQSLPLLPVPASVPRVFMGKRKGKR H257_07031 MVTLKVVARRRAKQEQLRPALDQRQAEPASPLGQMHAQALAMHD QKHQPLHNLQRSDDDDECITSSGHVLLEMQTDESIIAMNQRLIRERNALMQEKEVWQS QLQNQHINLQEVLHATRLLKLKIQHYQAPVVDTLVPSPTSS H257_07033 MHILKKSLTKRESAVASTDSTSLPPPPPNKGSSAKSEALTGNAA SNGEASPGTQIRHGSVVLDTRMSDNIFDHILGRGRAIDMMDSSDDKKSTTTPSHASAR FSTMDSNVEYWDKDGIHRRSSTITRRMNLRGMSIPHAVDPLTFVDTAAADDDPAGEAT PSRPPSPSRQDIDGVSKAQLASKQTHIQAVDAALQRSLAQAYKPPPLSKLALAGSQHT ANAPSKLLQYVDADGNVRRMRRGGHDTPRSEPPPTPPTPAS H257_07032 MPTTLQWDDSLAAPPSVHPPPESPPSGVGLLYDFTLLVVEDFLK QHQFVHCMHAFKVDLDHMKRPHPSADTWMTMYDRFRPFLASIRGDHAEWSVAECMVEF LLHFHDTYDLKTTGQSAISVLVSASPARKRSMTLFPKKATFSLMDAFHSAQSPLKKRP SQQPSPSSSGSIITSPPPEPHLLPHNNQEPLTTATSPVLRRKQTKQQTKDKQLLQQQR ANARATMDMPPMTSSSTASKDDVGPLPKLKFDQADWKRTATTIKSVERGMREMRFEAQ FQDKQVKIMAKVLPAALPGHPFASPVGSTNLDGTAVPGTSTPYIRALEKERYGNTKRR DCGLCHLSHLSINLPAKVSFRCIMELYVRWGHVPLDRESAKYRPPKCYDEVPICRFCE QIVQDVTWDPTFEKPFHPPLPLVSTTTPRKHLTTSSSDPYALPPLDPDDLVSSGDDSS DHETQELKSDQTNADTPAKVVYREANYLTGEKALSLKEWSVLQTSMSNIRVVMERTAK NAHERNQMQI H257_07034 MLYRLVENRELGKAWDNPLMKRPLTDAELKAASFGDEYDTIVRD PPTVRLETLMQAIE H257_07035 MQIFVKTLTGKTITLDVEPSDSIDNVKQKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGGKKRKKKNYTKPKKIKHKHKKVKLAV LKFYKVDDNGKIKRLKKECPAPECGAGVFMATHFDRHYCGKCHVTYKFQSEGN H257_07036 MGRVNPMESAAAAAGESEAKRTQIMRAILQKALDASVHKASLID MSSPELESNGLQVILGIRQRIEAQFDVLCTKHDLNAKFLELERLLEWHEKSQLGQVVG LTAPLEISAPLTTPQQLLHKERMRLMLQEKARLQQQLQQVHDTNAILEASVHDIHAKS TTMLADIEERLNALSSI H257_07036 MGRVNPMESAAAAAGESEAKRTQIMRAILQKALDASVHKASLID MSSPELESNGLQVILGIRQRIEAQFDVLCTKHDLNAKFLELERLLEWHEKSQLGQVVG LTAPLEISAPLTTPQQLLHKERMRLMLQEKARLQQQLQQVCKLSVRHV H257_07037 MSSTTKTKAEAKKNEGNEFFKKGKFAEAIEKYSDAITLDPTNHV YYSNRSAAFLGQLHYQDAADDGEKCVTLNPQFVKGYHRYALGLKGIKQYAKALEVLKA GQKVDFDNKDLNRLINEIEPLLAKVEHARRSGLNPSEQLKEQGNDLFKAASFEKAIEV YGEAINACEKDNSPVAISCYNNRAACHQQLSNFSAVIRDCSHVLEYDPENQKALLRRG LAYEGLERYRLALQDIRAVLALNPSIDIANKAQHRLGAAVRSLKEDK H257_07037 MSSTTKTKAEAKKNEGNEFFKKGKFAEAIEKYSDAITLDPTNHV YYSNRSAAFLGQLHYQDAADDGEKCVTLNPQFVKGYHRYALGLKGIKQYAKALEVLKA GQKVDFDNKDLNRLINEIEPLLAKVEHARRSGLNPSEQLKEQGNDLFKAASFEKAIEV YGEAINACEKDNSPVAISCYNNRAACHQQLSNFSAVIRDCSHVLEYDPENQKALLRRG LAYEGLERYRLALQDIRAVLALNPSIDIANKAQHRLGAAVRSLKEDK H257_07038 MLHVGSSHKHAGKQAAPWHPSRWSQSSSNAKIQRPGDGSMMPLC KNSNVHSHKIVGRGIAARWTSSALKAILPKAAGRVDGLHVGRTYMYAWWLLRGATMWL KEDASMVVLLAACRRRFGGCQTRAVGEEVA H257_07039 MVSPDSRRGVLRLALTVSGLLLFGIFAYTTESSWSYLNAVLLPT RTHVPHVPSIPTIPTTGGDPPFESVGIVTYIPDGHIKFINQAHHMLWSSWRYATSHVD RASRGRTDLILFAHRNVISQMPDECTLLDVTDAAAVEATRTHVADQCYVVERTPPADD YWFRYSFMFSLSYLAEPAFHTLLMDYDRLLRSDSDVVITPAFLSFRPPQFVVGRGGYM DEDFTRHRIHKLALDLNMTHQGLYNVGSTWFGNSTLVLTMTPKMLQVAQFILESPIYG EEMGFPKWHPGVTSMYAGELVVNHFIPNDNVWVNSESLDINCNGHERTADVYHSHCWP GDQYPGYFNKWAYERGEYTVDKFPRQTLNISVINDYFMAMVLYGA H257_07040 MGIGSRRRRGQAAAFVGVMALLLLCFNTIGVEWQVLRVPQTSAP TPALSGPAIIGVVAAVGSLRNEAAETDLRASPSIPPPTTSAIATTPVPRITVIPSVVP IFPVEPTSTRNATNVLSTSAVQTTTSTGTAPSTFALETIDNNMTTPVPLYESVAIVTF VPDGHVKFIDQAQHMLWSSWQFAIGQVPRQLRGRTDLLVFAHRNVVARLPPSCVRLGD PSLLPSTQSSPPSHDRCYIVEHTPSADAYWHRYSFMFSLSFLAEPAFQPLLMSYDRLL RTDTDVVITPAFLTFRPRQFVVGRGGYMVEEYTKSRIQELAIDLHMTHQGLYNVGSTW FGNTSTVLSMVPKMLEVAKFILDSPKYNVDQGFPRWHIGVTSMYAGELVVNHFIPKDN VWVNSESLDINCNSIEKTINVYHSHCWPGDQYPGYFNKWEFERGEYTAQRFPRDNLDL AVLNDYFMAMALYGK H257_07041 MKVPLVPALGVAVVTLLAVSLALAWRLHRLTQLLVDHPRAVAHA VVPPSTPATTPGFALPEWRQTFKRAEYTCVGWRDTSSCALDAPPVDELPSHDCFATIS PGSPGYCEIQHKQTGAIRRLYTQCDGIRVPFRCIQAGDIWEYAVDTTTYVYSHTPSVQ AQNLRGWNTMRGIVMSLYPQALASGYAAIRQLRAVGCLLPIEIWIVQEEVVASDPVLR ALTTNFNCMVRYVEDPLARRFFVKPYAIYHSVFDQVLFLDADNFAVRDPTYLFSLPEF TTTGAMFWPDYWQPNNTLFGVHERSLLWEVLGVPFIDMFEQESGQLLIDRQKSATPLR VLMRMAFRLPRVIHDMDLIWGDKDLFRLAWMQAHRPFHMIQKPPGSAGLKHHQFDLFC GHSMVQHDPDGHILFLHRNTFKLTGAVQAPLLWGHIQDFNGGVATVDYVVRFAHGGEA FQNFRCFGNDTNYSPAFDVRSFSEFPFASIETSLNAVLLVVLDVRFVDILQVSFKCPS PPLNHTHPVLPSTPSFLTVNSTIAGDVVLAAIVAPPSTTTTTASTPPPLATPRVAYML YATDARTVCNAVIMYTLYLQEAGAVVVPVEPWQQPLVHISDEYAMSLTKLRIFEQRGY DKVVYLDSDAVIQRNLDHLFHLGDAILWAPHAYYIGEQYAFGTTLLVVTPSDAAFAKL QHALETPPRPNYYDMDVLNDLWRLSCGYLPSHYVVLTPSLNEDLVWAFKDKQERINKT YVHHYSRGSDLAKPWHVSKSMLETRNPAFHPLFYDLFYLYWVHEDKYCKWIQS H257_07042 MKFRFCGDLEPPAWLLAEIPCIAQSVQNGVDLDVVTGAIVSAIV KAASYDEVLDHLIAAVGEVDAKAILVSIKWILVHAAKYNVQEGVLLSEVQQLGLPSGV AGTLASVFHLHQQTLRRHLQLHNHLAPASSAVPCKWELSYTLATEAATELAAPSIQLT LGQPEKTIAFDLDVHTFRVLRQELHAARALMASSASHVE H257_07042 MKFRFCGDLEPPAWLLAEIPCIAQSVQNGVDLDVVTGAIVSAIV KAASYDEVLDHLIAAVGEVDAKAILVSIKWILVHAAKYNVQEGVLLSEVQQLGLPSGV AGTLASVFHLHQQTLRRHLQLHNHLVLPYMSCTKYSTFCRHQHHRRYLANGSCRTRWR RKQPRS H257_07043 MEGGVDGGGSHRRKYMGLVTLLVLVIVGVICLDSSLVSKMRRAT VATTSHLSIVTSSTLVKANNTGPSTDNSTNPPEFSNGSRTIPTDPNHTAASPRNSDRP FDVTGARTGESSAPTASTTPFPTPVAITATTFSQSRRLAYMLYATDSRAVCNALIMAR NIRATGTPVTIPIVTLVASDVAPGIAKQLMDPSSNFVVEVVEPWQQSRVHLSHEYANS LTKLRIFEERGYDKVIYLDSDAWVQRNLDHLFHLGDAILWAPHAYYISQQYAFGSTLL VFSPSNDVVAALAAALETPPRPNYFDMDVLNDLFRLDCGYLPNHYVVLSYTLNDDVTW SFKTKEDRMANTYVYHFSPGLGVGKPWQTPRSILSDMDQAYEPLFYDLFARYWQQEDT LCASWLR H257_07044 MEYAMTDPTFTQPPPSLATTKRSMRHTISVKYLAALLLCVGCGL AILSFDVAAISITRFRRQQHDTPTNTSNRIIIDCITASFDSGRTNESVLCNDRGKPRL AYMLYATDARTVCNAVIMAHNIRKLGTPPSIPIVTLVVDDVPAAIVQRLTDAGIVAIP VAHWKQAGVPSNAEWVSSLTKLRIFEARGYDKVIYLDSDAVIQRNLDHFSNQTFETIE RAMATPPRPDYYDMDVLNDLFRTTCGYLPNHYVVLTYTIVDDVTWSFASKAERILSTY VHHFSPGLGIFKPWNTPRSVLDHREASYEPLFYDLLAEYWDHEDAMCAWLQAGNHPTG VENATDGIHNALS H257_07045 MPASSPSPWPTGSKQLRIFEARGYDKVIYLDSDAVIQRNLDHLF HLGDAVLWAPHAYYLPETYMFGSTLLVFSPSSNQTFETIERAMATPPRPDYYDMDVLN DLFRTTCGYLPNHYVVLTYTIVDDATWSFTSKAERILNTYVHHFSPGLGIFKPWNTPR SILDHREASYEPLFYDLLAEYWDHEDAMCAWLQAGHG H257_07046 MPPTNTAAHLLRCGIGDGDTALLLGGMSPEYQASLVEGIGSTVE LYVAEATAASTAAHATLEEQDSLGLAQYENARLAQALKSSDHLSASCLKPIKFEVVKF GAQSISDQATRMAFAMSHLKWRAEDWAFSKRFANPLCFPSFATFEAEIKAMFLPPNSD FRYPSQYLACKQGKRSL H257_07047 MPAAPHALPRTTIRVVLQADLPTSPHHFRRALAGPTARGPTGLS PRVAPLSVPPTESLSLPQLAASTFDAAFIEETCNLN H257_07048 MAEALSMPKTTLHRHFKNGELVVPETHAVGGQRQEASAVLSFAN VVDGSVELNPMLDRVFLDEKWFYLRKVKNKFYLAPWETVPHQTTKNKRFIPSVMFLSV VARP H257_07049 MWPFVETVAARRSSRNRPAEMEVLKSVTVTKATYKRMLVDNVLP SILARFPQETKTIVVQHDNATPHAVTFHAEVVAASQSGERRIVFGAQPANSPDLNILD LGFFNSIQSLQQKMPAYNIVFSEQVPPTSPVVNRTNRPCVHNGCHRILQKLLKQCMRD VARSDAFLIKKALDAVTYFTRERPVQRTKHLALHFYFLWDLVKSRKFTLTHLPTNVMP ADVFTKHVPKDNPLLTGRSDPDPEKPNTAIQCAVKPLPHGYANTPFTAPTPTPVAAPF DSPAPAATPTGATNLPPVPDVADMGQGDERGFVYNAPALPDPPSFNGSSQSERRTFIR QYKKCLDQVNALQLNGSRPFVMPTEWAAWFSKAFGEEPQDLEVLKKRLTTAIRFDTTI LDADSRIGKMLDNLMRALERDDQAWVLDQEGKAVVDIMVKAIKPVGLQQSVQRQLALQ PNKPLKSNVYRFVDWLRVHTARYHLYAPVDDEKTTACDGSRSTIAAV H257_07050 MRTTLTFQAEEQLLHWVIDMRKDGVPVTHSMLRIMVLEAAIDLG HEDHETVRRCLNPSRSTFKPSCWNNIDCVYYADQTRVNYEYLPTKTLNPTGDKTIWVK CVGKTKERVTAMLLAD H257_07051 MDPYVFPDSPEMQAFKDLFKQRNLIEHIRQYNAQFNFTSIGTDE VRYSGRGPNTYCIQRQLTHNIGPLQPSLNRSGNLRQPSFAQIYMHTSEEQLLHRRNMF PAISSRYTATVQRVMDLHNPLASAYATTKERLRRAEADGTSGGNLHIVLKAVGGAQAR THNLPTVAQLAVIMEGDGSEPTDGRHVRSLSLRAVFNYWLQERDGGDFSDMLHRSPML LKMYTTVGFVLRMETNRLRYILQNGDKLRFDLYTRVKQAAERRSGLHNVGIKSIVPSS FTGGKRYMKKCYFNATAIVRKYGKPYLFVTMTCNPNWLEIISQLKPHQTSAERDDIVT CVFETKLTYFEDDIHKKHVLGKVVASVHVEETVEDINSVVFARIPDEIKNPQLHESAK NFMIHTCTHVQYDDNGQPIPSARACTHKTGKCKKGFPQPLQATTTERVDGYAKYRRDT AADQYCVPHSPYLVHKYNCHINVEICTSIKAVKYLYKYVYKGSDRIKYAVFTDQERQP MLDEAREYVEGRYVTSLEAITRTRCYDLQRMSHAVEVLPVHEKDQQHCTYDETHDAKS VVARNQKTKLISFFLACVQGLTGIDGRSCKELPNHIKVIGRIDSVSPRQKERFYIRTL LCHKYGPTSFEDLRTVHGTLYSTYEEAALSMGLLENDEVYVVCIRQATLDCMDGQLHE LFANILVHCLPANTRALFDQFKSDFLDKQLRDLRRCNEALPQPLSDDMMLGKAMFYTL KSIDNCFQHHRMSLLDYPTLPQLHEFEVFGDLGERQLLNDLRSWLYVIETSYTRAALD DVLATAATMTDEHRSFVATVLAQTYDHASGKAYFLQGEGGSGKSYVSQILLAKVRDKG DIALAVASFGLVALLLMGGTTAHSRFKIPVTNLNDKSLCHIPKQSSLAKIIRDTKLIA VDRSLRDIMDNPTALFGDKVVVFSGDFKPILPIILRGTPSATVEASFRRSSIWNDVKI VKLAKNMRLRGGSDDGSIEEWAKTLVDIGNGTNWETDHDLNAYIDQIYGNINNPANPP ENVGVDEYNAKVLRKMNSSAMFTCLSVDSVEQEGEDVDDTAMEFQVPEFHQHIRLATA QARVQVQLTTYVIGRPSYHHCQASGHLDHNEDSGDEDYGRCDDCDKSLNIPPTRKRQR TPKNEHGRDTRRTVETRSDLPDDDSHLLSTSGNRHVVDGASVPLPADYDPSDVRDDMD MGSVGGYGHTAPQVWNSPAIPQPPTFSISTKAERRAFMREYQK H257_07052 MEGLGYPLNSVYWDDDSHFHVDPEGRIWIPDGAQWSADGHPVNR RQILDKAAAMPPPYRLTDLLRANGLACDVGESHGVKNTGHLRDFMLYSYGRYDHVHPA DESVGSYRPPGLSSIDYLPEHLRLLTNND H257_07053 MADRTHHVPSPAMRQQSTTVQDLRDNRLADSSKKGYRSGMKQIV KWLQTSGRSARLNADGSLNLTVFSYMDFTEFVLYKYKDAGVSLSTLSGYRSALKDYYN TQGVPLPIGFTNDATVIYQGIRRLCASETQAGAIKPGTKQPLRHHQYIELCMASLVKL DGGFTHLFLILSWNLMCRSRSTATVRIDHFSDEGDALGVTFFKSKTDQGGTKRRDPKH VYANPQQPGTCCILALAIYLACNPEHDSGDLFPGCAQRDRFGRSLSQLVGYALPELAS AVGTHSLRKGAATFAIGGSTSGPSIVNVCIRCGWSIGSVVERYVHYDGAGDQYVGRVV AGLPIDSGDFAALPPHFVSSSDGVVDAAGALVFPRLWSHESLRGVLVLCLASLVHHKV FLEDTLPAKHPLLSSVLFGDVGMTTLLRANVTLLSSSMQPTGIPPHVSLHTQLEKNLA APS H257_07054 MGEPWGDATSGCMSCTYESRAKVFFFDKCIALYALVLSVSNTVT STDVVLVPVARRSRTNACTMATDALNAGYWSHAMSCWTAGLPQ H257_07055 MSVLPPTYLVALILVFILVRLRRILGLPSLLLDGVAFYMPPTAA VLDSLNTPEVAKAKHQKPQKTVGERLQAMQLTMSPITTHAMTKCLFFDLYDTLVTATV SSVVVFAYMQVLPASTPDPSYYLLLVSLVLSLGFPFFIQYGMSSSYEKQLGFGVAVLG TIVALFSLYAPPSLQLLDFDVEGAAASMDGRWQVLLSAMGMPSTSSAWKTHWLMCLLA VLAGLVSSATLLPALRFAKMYSDFIASKAISPSWKIVLHLNMLLPVVLTWAWLRPVAS SLLLGDDAVICPTSDSSSFSWIAPRDCGDRRLSWLSESTFRNLRVHVLVAAALVRLAC YRSHLQYFLLEPKHKVTAQLLLQGRVDTQAITDTIVGPFRNLPVICVQYLAPVGLWLS AALLFQRKAGHCLYWMDILAQVGNPIPPSLLCAAQVTDLLPTTPLFAFEHSQVLGWPE FEALLHGLREFPLAEPLWYQSVLGFVVWWSALSWCVLSAVGLVYWRQAAGLQRPWIKA KTH H257_07056 MFAAPGHRRKGVACLAVAVLVLLCFNTMTLEWIVMHKDMAFFAS NHGPKPTTASTVENESNPIVHVTGIALLQSTSSTEMPTTTPSPPTTSAPVAPTPTSTT VLPTPAKSTPSPPTTLPSSQPTTSAAPPRATPSNLPLTTPPLETIGFETVAIVTYVPD KHVKFINQTQHMLWSSWNYSIHHVDPRARGRTDLIIFAHRNVMEEMPPSCIRLAHPAL PPVPSSNRDRCFVVEQTPAADNYWHRYGFMFSLIYLAEPTFQPLLMSYDRLLRTDTDV VITPAFLTFRPRRFVVGRGGYMYHDYTKARIKELAVDINMTHQGLHSVGSTWFGNATL TLQMVPKMLEVAKFILDSPKYNVEQGFPRWHIGVTSMYAGELVVNHFIPKDNVWVNSA SLDVYSNGIEKTINVYHSHCWPGSSGFFTKSEYEDGEYSVDKFPRESLDIAVIKDYFM AMVLYGY H257_07057 MSITQSNLLMLLPLATTSRQRHTLLAIIASNVIERPIIPAIRFS LESTTNANATLDYRFDVAGIKELGYVLGLPAVVITSNRIRVHRDEALCILLGRLAFPV RFHTMSRTFGRSRSSLCEIFLHVVNDLYERWGSLLFFNKKLVQKNINRYCAAVASKGA PLSNVFGFIDGTKVQTCRITTTGTGDNLQKQIYSGHKRIHCLNYQAVTVPDGLCVHFF GPVEGRRHDTTMLRLSGLITYLGQHRGVFDGKLMYGDPAYGIVPYLISGFKGNNLSAQ KLEFNKWMSRVRQSVEWNFKLLKTLWSYITFKMLAKIRRRRKYMGLVSALVLFVVVIV VCLNSSMVSSMQQATTAQWSLAALTTSNSTDLQPNSSGLSANHLAVNRADFPAAPTAP RRLAYMLYATDARTVCNALIMARNIRATGTPRSIPIVTLVASDVAPDIAKQLVDPFNN FVVEVVAPWQQSRVHLSHEYASSLTKLRIFEERGGFDKVIYLDSDAWVQRNLDHLFHL GNAVLWAPHAYYIRESYVFGSTLLVFSPSNDVVAALAAALETPPRPNYFDMDVLNDLF RLDCGYLPNHYVVLSYTLNDNYTWSFKTKADRMASTYVYHYSPWLGVGKPWQTPRSIL NDKDQAYEPLYYDLYARYWQQEDTLCASWLK H257_07058 MLYHLTVSYNIQQVRGLFNLRAGARSPGIPRTSHPFFGTVKETS QSFCSWPKKKLFSLAYHAPPVTSHPAKSATRVTPEDWTKYMRFVRRACRAPTPVQGDV WLRLILHMLPVNSRFAYKQLTDPEAITCVYGCGNVETEHHAFHTCNEVFPTWQFHARA WRWFGVHFDWATISNIDSFNVSPTCAANKPALFKLWTLLTASVLHTIWTQHNAIKYDD KTPWPQRVWEETTFIGWMAAVRRWLRFQDPTDALRINVLAQLAKPKRQRPYNTLWLKY PKCLILEFSAPTP H257_07059 MDSGSSTLNGAVKMDKVIRQLQELNLASNDVLADGNSRPQPELV ISIVVAPPKTKTSETPTSNTPLNRSRTSPPPRPRRRPAPPSTNANPSDRKALLCVGCY ITKIKWYMPTDVSKAAMKGPRADCGAVRKLRASNGKF H257_07060 MRTRSKQKGPTDAEKMRVLVAHEQGEDSRVVAKHNSVAMTTVRR VINKGNVNNLPRGGTRLGRTKVTPAIREALERYVDNNCTYTLTATKHFIANDFPGTDL SL H257_07061 MAFINEVSNPDVLKQAVSMYIRSKRRQSQDEAVDYWGPLPIYDT EHFRRNFKLPIDLFDKIMADQDEYFKQKPDAAGQLGATPLQKICAAMRMLTSGV H257_07062 MTAADQLGSPLGGRFWPVTKKYWVLFAVLGTVAVCLYSTGTEWT LLNLQATSLSVGVHNKPHALNHLTSSGDSLGETANDTPDKNTTSSVEPSSSPPLPAPS TAHSPSTATTPSTGEHIITREHVAIVAYVPPGMPKFVREAQHMLWSSWNYSVHQVDPS TRGNTDLIFFAHHDVVDGMPASCVRLVDISHAPSKSSSTSSAADRCYVVEHTPPADAY WHRYNFMFSLSFLAEPAYEPLLMSYDRLLRTDTDVVITPAFLTFRPRQFVVGRGGYMI EEYTKTRIKQLATDLHMTHQSLYNVGSTWFGNTSVTLLMVPKMLDVAKFILESPKYNQ DEGFPRWHPNVASMYAGELVVNHFIPKENVWVNLDSLDINCNGHEKTADVYHSHCWPG EYQGYFKKWAFERGEYTPVTFPRDKLDIAVINDYFMAMAVYGY H257_07062 MTAADQLGSPLGGRFWPVTKKYWVLFAVLGTVAVCLYSTGTEWT LLNLQATSLSVGVHNKPHALNHLTSSGDSLGETANDTPDKNTTSSVEPSSSPPLPAPS TAHSPSTATTPSTGEHIITREHVAIVAYVPPGMPKFVREAQHMLWSSWNYSVHQVDPS TRGNTDLIFFAHHDVVDGMPASCVRLVDISHAPSKSSSTSSAADRCYVVEHTPPADAY WHRYNFMFSLSFLAEPAYEPLLMSYDRLLRTDTDVVITPAFLTFRPRQFVVGRGGYMI EEYTKTRIKQLATDLHMTHQSLYNVGSTWFGNTSVSCSSCWQRCTHTHTHGR H257_07063 MSWQSFLTMKRFISEGNRLENAGCRLWHMQRLNRLAARTDAVDD PHNDILRARASTATLPRRRCRAIDVAMMCIAFGALSSSICEDVSLHVHLPPDSRKQQR LSPQQWRRHPPTQPQSSRCRAKCVGTQHGCAVPKGHGHEFTINLPSDMESAYRTDPRV QHLQRPPHNHPVPLLFNLHRVIVSVIPPLWRRTCKLPSRCDTECPV H257_07063 MSWQSFLTMKRFISEGNRLENAGCRLWHMQRLNRLAARTDAVDD PHNDILRARASTATLPRRRCRAIDVAMMCIAFGALSSSICEDVSLHVHLPPDSRKQQR LSPQQWRRHPPTQPQSSRCRAKCVGTQHGCAVPKGHGHEFTINLPSDMESAYRTDPRV QHLQRPPHNHPVPLLFNLHRVIVSVIPPLWRRTCKLPSRCDTECPV H257_07064 MAGTTNTTAEAATPDAAPTAKELLANDRAVIDFASGDEDDEEEE DEEDEDEADEGGERPAKRQRQEPPANDTSKQVEVPRKATFTRADKINKTIMKVTGNDD SGFSMFDTSSSYPMMIVYKKEIAASRKLLTAKKPQDAFCIAMAAYLSMQDYDVWYHDT EDPRGVELVFTAYYKLWNDIFKSDDATLGLKGRDVLINVLSKFGNDVKDDHEYNFPWF AKA H257_07065 MATGERRNARLGCWTWRHLLWLLWLGIVVTMASTFGLIQRHWTY VPPVNLAAQAAYATKFPNVTRGIVMTMSDAMVPIGASLVLELRSLGNSDPIQVMHCLA SDLSARSIDILTATDANLQVVDICRVLLDADLLPSVDIASEFQSYWLKPLALLLSSFD QVMLMDADNIFLRNPSLLWNSTQYTDTGTLFFYDRVIPSNWGLNEQRGGVSYLSTFLT QFPYHSFNLTAPKCPSERLRGSAMFAQRTAHEQDSSLVLLDKRRAGRNVRNILWYLTT HLRFKQSTPFSHGDKESFWLAFELGQVRYAFSPWAASVVAAPGDMEAHPETLCGSLAQ YIPTSNASAVLLFVNGRGVIDVTDVLDSRGDKMPNDATTNWTARGATLTERIPRYAVP RYTRDRNTSNLALFDQTCLVDAHATAISPAFIDRAARRIHMAVQVASRMQW H257_07066 MVPIGASLVRELRSLGNKDPIQVMHCLASELPEADRALLLDIKD ANVEIIDVCSLMVAADLLTAEAATDFQNYWLKPLAVLVTSFDEVMLLDVDNLFVRDPA ELWTTPLYLDTGTLFFYDRVLNFNFWLNEAQADGRAYLRIFLETFPYTSFGLHPPTNP SQRLQDSMIYARHTAHEQDSSVVLLQKSRAGVPVLKLHWHLARRLAWLYYDTGCP H257_07067 MSGWQLESSLTSIRTAFDHLNADLHALEPKVAAAIDTAFSHAHA EAGVLEKKVIAPPPQPCHVERWRHNVPNVSKDTLLRGEGTYFHALLGSGRWKPDGDPN FLDLDPLLFRRVLIFLRTGKLMSLDGLTSVERDEFSAMLEYLKLDEGVSAQMIPWQCT VAMEPLMGTFQVRVDTDIGEWRIGLGPRDIDVTSGSSMSRCYLYKSTGAFNRKNDQVL ALPSLQAGDVVTIRRALRHVEFAVNDGCPSVVNLVDPSEELFPVVFMYNKSKITSLG H257_07068 MWAANSHGGGSTSSLGRLTLVVVLACFVVFQCIYFNSFLAVLST HRMTQTHVYLRNQTSNASSTTIDHPHDLYNISSATESTPGSQQLFEHSNTILLIEPRD DGDDIDEPYVPPSSPAFAGSPTSISSPPTPTTPIPPNPPNPTTTYTTTNPPTSTTAPP KPPEPTTYTTPNATTTIAPPKPPLPALSLADAVLATSFHALFDRPDHAAISTTFPQAT RGIVLSLHHAMVPIGASLVRELRALGNKDPIQVMHCFEAELPEADRALLLDIKDANVE IIDVCSLMVAADLLTAEAATDFQNYWLKPLAVLVTSFDEVMLLDVDNLFVRDPAELWT TPLYLDTGTLFFYDRADGRAYLRIFLETFPYTSFGLHPPTNPSQRLQDSMIYARHTAH EQDSSVVLLQKSRAGVPVLKILWHLATYQRHHGHPFSWGDKESFWLSFELGQVPYAFS PWAASVVAKPGDVPAHPDTLCGSLAQFVPTTNANDPAVLLFVNGGDVIDIVDTGTGAS GGHDWDGRGAQLLADIPHHVTPRHKRHPTPAFGFRGTYDQTCLIGDGATALDESFHEL ASRRIRWAVDVAKRMEWQATIVHT H257_07069 MLAVPSTMIRAKVLPTVLNQILSDGITAAFLMTSDGALLGTVGS MNIEVSSLPVVDNKVVGAIVANVWGEYAESAKEIFADETLQVLFLHFDQNKSLAIASA GTGYLVCAYSDGTAPMGLVKARLQALQPFLKGALQQIQLN H257_07070 MQLRMLLAVAAMTAVKECTASEERILYGLKPDFRARIAFASSLT CDSGTKTIPVSSVNDDYCDCRDGTDEPGTAACSHTGVQFHCENVGYFSSDVPTSRVND GLCDCCDGSDEYKSSSTCPNTCATLRAAYDLQEQAEEAVRAAGRNARADVVAASKLKK EEHFRKRTDLEVEKAAADTAVTAARATKAAEEALEVQAQKEAARATRKKVASHLGLLD MSSTQLVDLVLDLTDKIYVKTDILDILRGIQPSDQSVIEQQEQAYLLTEEAYRNEVTR ITDLNADLAKAKEAAKEAAQVPTGDGDEPAPVEIDESKYEPVPLPTKPDRPIVTLFAE LTANQATNSRPEAVAARQALAAAESKATQVDSELTSLDATLRGSYGPDDVLYSLRDSC VDTTSGQYTYKMCYFGQANQDQTSLGTMQPIELPLTALKFTGGAKCWNGPERSFHVTL VCGDTTALTNVEEPSTCVYSATLTTPIVCGEASSSSPKATHDEL H257_07071 MGNVQAQVGRVGTIGRRNVEVVKYLGEGGSSFIFLVKDTGTSQS LVLKRLVANDAMSMELITNEIEFHRRFKYPSIVEFVDHQIHKGRSESEVFLLMEFCPG GHLYDNMKKMGDKRFSVEELAKTFRALCVPVQYLHRQEPPVAHRDIKLENFLKSKSGA YKLCDFGSCVEGPRHLTTKEDRRTEIDIVEKRTTAMYRSPELADIEGTAMFGNAVLTE AVDIWALGCVLYTMAFFKPPFPPEGLRTSKYSIPTGHGYGKDVPELLKRMLCEDVDER ANIDEVLACCDAVLASQPLPKRGSVAKSTSRPGSGSNSRTNSADKAALVGNVDGDLSP SSAAMDLLAAAAPAPVTPHSGGGFADFADFGKCTVSTPAFDPFAPTTPSPIPATPLGL TGNNPTAKVVDSFGFLVSPTNATVAGRGKQSEGRDGFQAFEDCSPKAPTWAQGSYGNF PQQVGAGFPQQGGYPQGTNSYPPQGNFPPQGNFPPQGNFPQQGNYPPQGNFPQQGNLP QQGIFPQQGNFPQGSGFPSQGFPTGGGGAFSQGNGGASLPLSPMGLHNLQSPPPSANN PSWKSSYEFKF H257_07072 MSMIPRQVNAIKVAGARGMATEKQILMRINATSNIAKITKSMKM VSAAKMRGAERRLAEGRPFATYLEHLDGAIRVYEKDGVIPKEELTGHNTFIPITSDRG LCGGCNSFIAKSTRLQVKDNLDNTKLFLIGEKGRGQLRRTHASSIQGNATEAWVTPPN FAKASALAEAVLAGVDGDEKVHVIFNKFVSAISYLQSVRSVDITKDIYDKYELEPDNK EEVLADLKEFQLATAIFHGMLESNTSEESARMTAMENASGNASDLISSLRTVYNKARQ TRITTELIEIISGAASLDAQK H257_07074 MCCDDLCSAIFCGALCGWCCAVAAEEDRRFRQGYYAQPVMVRTV QPVPVLVPRPLPTYRFYPQGTYVQQGQGQPQYGGNGHVPMAKPVPGHISEIHFTVIPN VLRRPLQCHDLRHPVRLLLCRRCLQQLSAPPTTVIVQPVMVSPPHQEHG H257_07075 MCDLDDICGALICGTLCGCCCAAAADDNRRQQQYGQPVIVQTVQ PVMVPQQPQYGQPVYAQQQQYGQPQYAQQQQYGQPQYGQPQYAQPQYGQPQYAQPQYG QPQFNGQNAGYPGSKI H257_07076 MAWRDAFDWENYSLPLVLRVAQTLFALIALLTSTALTSAAAGVL ALILSLVVTLYGAVYFAAVSKMNLVKLRATTKLAAECIVVAVLLAALVLVASSPAAFI ISTSAATACCVFLGFGLVVQVVLVKITYTDEYLYEKEAAADVVSTPAVHDDV H257_07077 MPPTSWRCAMLYVAVFMSVVSADDIGNIAVDGNSTFGNRINKIL HWDGTHKSLSVGPNIIAGIAIAVGFTAVFFGYRLIRPAVFVAGFAIGSIVCFIVSAKM FHDTSHMEAACWVAFALGGLIVGALAVWLYKAGIFLVGAFAGLLLATQLHTSFGYAIY PTEPQIVLIILLVLCGVGSGIVAVKVERTFLIIATAWAGAVTCVWGIGFFAGNYPNAA NLKQHADADGDWHVNVPNVWWSYLAGSVMLALVGMFVQFRQIRPTQSGKNVYSRAF H257_07078 MGMDSQIARPFHVLKVMDIIQEQQSTHFRIQFALTMSTPSSSHW RSAMLYLLVVLPVVSAGSITNITVDGNSAFDNQINKILHWDGTRESLGIGPDVIAGIA IAAGLVLTFFGHKLIRPAMFLAGFAIGSIFCFIAAEKIFREKEYMETACWISFAVGGL IVGALVICLYKLGIFLVGAYAGMLLATQLHTSFGYAIYPSDPKIVLIVLMVVCGLVCG FVAVKLERPALIIATAWAGAVMCVWGIGFFAGYYPNTTNLKQHADAKGDWHVNVPNEW WGYLAGSVALALLGMFIQFRRRPAGQESAAAAAPRYVEVSTPAKGDPIRHA H257_07079 MWPTMWWGYLAGPGVLAVLGKLVQFRRHSAGQESSSAGAPQYVA VSTREGQLRHA H257_07080 MGESFLSRWFCLDEKHELYASSETSSCRSTSAQACRMCMYRYTY TRKKASVLRSDSVFHSNPACQIAMEQWVSHSTPNSTAPSTTWNTMSNHHRRRHQDAVG ISRYTDE H257_07081 MKGPSAMLLAVAGAATVTSALETEDIPIKFRDFIAHDSTQTCSQ DIHSTPFNMQVRGVNLGGWLVLEPWLTPTLFYQFLGTQERFGDKAPEKTGMDQYTFCT ALGPEEANRQLRIHWNQWVTEKDIAELVSFGINSVRIPVGDWMFVPYGPYVGCTDGSI EHLDRVLDLCKKYGINALIDIHGHIGSQNGFDNSGRAHQVKWTSLASTQPVGTTTFEH WPIRYAEWAGTFDPINHNYSSINYTNLNQSLEVVSRIVKRYAGHPSVLGLQPVNEPWE LTPIDVLKNFYWDSYKRIKDLAPSWKFVVHDSFRFGLNYWSNFMKGCPDIALDTHIYQ AWMSPTTAEDYGSNACQQKYTLSSMENALMPVIVGEWSLATDNCAMWLNGFNDNLPGF PKVECTLQACPVESTYLGYGFPGTPLDITKPIQGPYGTGTSGPSFGKCPVNKHDKFPG TSLVDFTTKLNRKKLNGYQVGHGWYFWNFKTELDFTWDFLQLARAGVFPKNVSHYEPD EVDDACLREDKGQFVCKAKRGVKQFELENGLKYACNSPGVDCTAINETYATLVERCDY AYNAYWHLEREKGATCDFGGSAHLVSVEGTDEENANPQQVTAAVWGTGDGTSTKNDVA RDASSWTITSGFVVGGLCGVLVTLVVLSIQKRVRATTTAHDEQGERLPLMTKES H257_07082 MGDVDAYCATFENSISSPYMSQLLWTGMDALICQGVLVAPNFVL VTRVCAQRHEILRAKTVEASKVVVGANRFNGGADDGEWIPVLKKHYSANATLDFVMIE LARPSKFPPLRILWDDVAPGNLVWLRTWIPYIKHEPLKTLKETTVKILSNAKCQTLLN RTVYDDQVCADNDNINFSTWGIFGSLVIEIDGSDYVVGMLTLVDCVLVPTWQRFNRLS AGRSFIEPFLCNGT H257_07083 MQVLSWTAVAAAMGVVDAYVAPFQESILSPYMSQLRWSVNNPMI CQGVLVGPTFVLVTRACADIDNGVAANTVGASKLVVGATYIDRGLDDGEWIPVLKKHY STNATVEFAMIELARPSKYPPVRILWDDVVPGSLVWLRGWFPLIQHEPLNTLKAITVQ ILANDKCEALLNRRMYEYQVCADNDNINYCTWRIYGSLLIEIDGSDYLVGMASFANCV VTPTWQRFNRVSAGRSFIEPFLCNGT H257_07084 MKLPCFSTYIQSYVRHGSMDSLHSHRAIGRLLLIILAGNMLLQS MMVGLQVIQSVTTEDAQSELSVGTWSWTACALLVCHELWVVVSAVGGLAGFHAAWHYS IASATVCLRAWVALACVQTFQYLTVYAMLASIQDDKGRRFDTTLAWESTVLIAIEVVF IGFVYGYIVVLQEWQRQCKRQDGEGICLVPLHPATEQRNVLHTNSSAYYGTTAAVCTT L H257_07085 MQQLVSCIADETSTLACSTLRATPVARGSVRCAGAALLGCGIGV ARLKCAKAVKDQVVPEGQLVYSKTRRTLQLGAAQECMGSPLPRALSHVQTLHLHVFFA TLRREVSTRIRTWHRFSTGKGRAGWGAPRNR H257_07086 MGAAVYPKCRPNSNPSGCGLFRPSPSNCGALYIGQRGHGAANKT LEYEKAIKDQVSVGQLVVNIGTAISVSSVKCSNFASAWAVIQATPVVVKETPDGYKSA KWATKSSTKDYPSIYSTLLQSCNLT H257_07087 MSILPRSVLDSSFDHLLSLRTTGRYLFASLAVNMLLQAGFISFK LAETVHAIERNDVAFASLVTSYVLWILISTAGGVFGLYSAHKYHTKSASYCLYAWVAL VVFQIVEAFVAVFVLVPGVQNTVNIKQSLLFNTASLLVIEVVFIGFIYAYIELLDLCE TDKNHLLGSHGEEGTHLVDEALVSPVVDNHVTAYGTESTSFTF H257_07088 MEGTPVQREGATTSSKPKVLWSSAKKAKRQKTDHFSSAEKENAN AAQRNMTTQLDVLNEDEVVQVEANLHGDFDSIKLPRWLRKRREMLKHTAELHAAPSTP TPFTPSASFTAPELTQAAMAPREYRILRPIARPPPPNFPERQVGSVLVDYPRRVSSVS RALYQADTPTKDDFYVNVLASHPSQSIVACATLQDVVLYRATGARRTTPTISIADKLT SSSSYISSLAWTSPTQLAIGTSDAIVHLYDVSRAATCVQSIEGAHTDRISSMAWSASR CVLSTGSRDSTLAHHDVRLRQPTLPTRSHGHDQEVCGLAYAGNGTTLASGGNDNVVNL WDLKQAHQPMHRLMEHCAAVKALAWCPWEASLLATGGGTADKSIKLWNSHTGMLLQSK TTHAQVSALVWSNPASGNKFKELLSAHGFQSPAMTLWSYPSMQKIRSFTTRSGRILNL ASNASSHVVSWCSEGTLRQWDVFLPTTSGLAVGGLRPRSTPSANDENSHP H257_07088 MAPREYRILRPIARPPPPNFPERQVGSVLVDYPRRVSSVSRALY QADTPTKDDFYVNVLASHPSQSIVACATLQDVVLYRATGARRTTPTISIADKLTSSSS YISSLAWTSPTQLAIGTSDAIVHLYDVSRAATCVQSIEGAHTDRISSMAWSASRCVLS TGSRDSTLAHHDVRLRQPTLPTRSHGHDQEVCGLAYAGNGTTLASGGNDNVVNLWDLK QAHQPMHRLMEHCAAVKALAWCPWEASLLATGGGTADKSIKLWNSHTGMLLQSKTTHA QVSALVWSNPASGNKFKELLSAHGFQSPAMTLWSYPSMQKIRSFTTRSGRILNLASNA SSHVVSWCSEGTLRQWDVFLPTTSGLAVGGLRPRSTPSANDENSHP H257_07088 MEGTPVQREGATTSSKPKVLWSSAKKAKRQKTDHFSSAEKENAN AAQRNMTTQLDVLNEDEVVQVEANLHGDFDSIKLPRWLRKRREMLKHTAELHAAPSTP TPFTPSASFTAPELTQAAMAPREYRILRPIARPPPPNFPERQVGSVLVDYPRRVSSVS RALYQADTPTKDDFYVNVLASHPSQSIVACATLQDVVLYRATGARRTTPTISIADKLT SSSSYISSLAWTSPTQLAIGTSDAIVHLYDVSRAATCVQSIEGAHTDRISSMAWSASR CVLSTGSRDSTLAHHDVRLRQPTLPTRSHGHDQEVCGLAYAGNGTTLASGGNDNVVNL WDLKQAHQPMHRLMEHCAAVKALAWCPWEASLLATGGGTADKSIKLWNSHTGMLLQSK TTHAQVSALVWSNPASGNKFKELLSAHGFQSPAMTLWSYPSMQKIRSFTTRSGRMIRC MTDIGM H257_07088 MEGTPVQREGATTSSKPKVLWSSAKKAKRQKTDHFSSAEKENAN AAQRNMTTQLDVLNEDEVVQVEANLHGDFDSIKLPRWLRKRREMLKHTAELHAAPSTP TPFTPSASFTAPELTQAAMAPREYRILRPIARPPPPNFPERQVGSVLVDYPRRVSSVS RALYQADTPTKDDFYVNVLASHPSQSIVACATLQDVVLYRATGARRTTPTISIADKLT SSSSYISSLAWTSPTQLAIGTSDAIVHLYDVSRAATCVQSIEGAHTDRISSMAWSASR CVLSTGSRDSTLAHHDVRLRQPTLPTRSHGHDQEVCGLAYAGNGTTLASGGNDNVVNL WDLKQGAPPFHVYSHD H257_07089 MEQKKEDGKAFFTQGKWADALASYQAALAYPNVPVDMQSLLLSN IAMCQLKLRDFAGTLSSCDQAMSLPNVAASVMEKLLFRRAQAYMELDQLTPSARDIKA VLQLNPGNKPAALLLRQLQERARADASGVGKALKSLRDTPSLDALRFLEHASDTSIYR DVVAQHGESVLWKCVYACEDRSVGAAALRVLHKMSTASSGGYSSAAAVLAAVDLTILT TFVQPPQVALVNGNDDGADSLVFALEVGVIGLCGALVGYLAAQPTETSDRTLAPRRLL LDAVLNGLRSDRVPLQVAALDTLLTDLKQLHAKLRTSLDELGIFPLLLSRADVFEDRT VSRVALVFSQVLASFEGHEAQAEQIVRRHCVLPILSAPTMAAASPGAVLLCSVFLVNA KLGSSVIHSHPSFFQHLGDLLLASKSMLAYQELVMDLVAFVAGTETGAACIPLDLRVE LGKIMQCDMDDKHLKLQATAVAALVKLHIVEKAFDPMTPSGHMMVDTVLGLLSRLHNA TARPSVVGSTAAERSVEALSYIITFTPVKDLLVQHPRALAPLLTVSLTDTPSNMLYGV AYSLHHLLTSESHLKKQKMANSEMTPDQYEQLQQALKQKSELDDGDSVDQVHARLAAV LALPTAVKTLTLLLKTKSPAVLELAIQTALHATDSVDVRGKLVQGGVLAGLFPHAHQS DAAQQAIAKILITTNPHLIPSAQLLSAVRPLRTLCQNKHDAALLQFEALMALTNVASV SMETKARILADQGLGAIQYLQFSDHRLVRRAATECLTNLLPHDDVLRVFCQPDKARLW LAFSSIEESDEDFETARAAAGALATVTQYGEVCKVVMDQEPLVTIQAVLADPDVSPEL VHRHVVMLQNLLEYVATSCDTSTSTTSSTTQQETDDAVKLATYKAQFKLLVPTMETLV VSTPVEIKPVAQACLALINQF H257_07089 MEQKKEDGKAFFTQGKWADALASYQAALAYPNVPVDMQSLLLSN IAMCQLKLRDFAGTLSSCDQAMSLPNVAASVMEKLLFRRAQAYMELDQLTPSARDIKA VLQLNPGNKPAALLLRQLQERARADASGVGKALKSLRDTPSLDALRFLEHASDTSIYR DVVAQHGESVLWKCVYACEDRSVGAAALRVLHKMSTASSGGYSSAAAVLAAVDLTILT TFVQPPQVALVNGNDDGADSLVFALEVGVIGLCGALVGYLAAQPTETSDRTLAPRRLL LDAVLNGLRSDRVPLQVAALDTLLTDLKQLHAKLRTSLDELGIFPLLLSRADVFEDRT VSRVALVFSQVLASFEGHEAQAEQIVRRHCVLPILSAPTMAAASPGAVLLCSVFLVNA KLGSSVIHSHPSFFQHLGDLLLASKSMLAYQELVMDLVAFVAGTETGAACIPLDLRVE LGKIMQCDMDDKHLKLQATAVAALVKLHIVEKAFDPMTPSGHMMVDTVLGLLSRLHNA TARPSVVGSTAAERSVEALSYIITFTPVKDLLVQHPRALAPLLTVSLTDTPSNMLYGV AYSLHHLLTSESHLKKQKMANSEMTPDQYEQLQQALKQKSELDDGDSVDQVHARLAAV LALPTAVKTLTLLLKTKSPAVLELAIQTALHATDSVDVRGKLVQGGVLAGLFPHAHQS DAAQQAIAKILITTNPHLIPSAQLLSAVRPLRTLCQNKHDAALLQFEALMALTNVASV SMETKARILADQGLGAIQYLQFSDHRLVRRAATECLTNLLPHDDVLRVFCQPDKARLW LAFSSIEESDEDFETARAAAGALATVTQYGEVQQPVIQCRFTVSRKNSWFCW H257_07090 MDSGDSEFSADDVVPISKNAIESTLKDTVYNRKKVNDWTNSLVA IVLSGLQNINKPFKYAVTCLIMQKTGAGVTTAAACYWDAVVDGYCTVLWENSTIQCVV TVYGVAISPSVSKHGEH H257_07091 MKAATSPARRTGGAIAVVGDVSPPRMKKEVECPGAPKKKKRTKV RTFPRLILHPGNEGEGVIANPAAMRSLEDELRVAAPPDVAITSPSSSSEVSTCTLLAL VATSSTASVVRSPIVTRSSTSLATRLSTPSHLRSPATPNLRRVSLTMAHNAPSPDTSR HVVPRPGIAPLPMADLNACTDSSSEDSGAGGINPNIPSRAMTFNIPPPMFLH H257_07092 MSTSADHEAEDALMEAPVAQQDSRYFSEELFESLPLSEPTQRIL KELNFVKMTKIQAKSIRPLLSGSDLLGAAKTGSGKTLSFLIPAVELLYKVKFTARKGT GCMVISPTRELALQIYGVVKEITKYHTQTHGIVMGGANRRAEAERLVKGVNILVATPG RLLDHLQNTKGFIYHNLQIFVIDEADRILSIGFEEEMRQIIKCIPKTRQTMLFSATQT KKVEDLIKVSMQGTPVYVGVEDDANTEATVQTLEQGYVVTPSDKRFLLLFTFLKKNLH KKVMVFFSSCNAVKFYGELLNYIDVPVLDIHGKQKQNKRTTTFFQFCGAKTGILLCTD VAARGLDIPAVDWIIQFDPPDDPKEYIHRVGRTARGATGSGKALLMLLPEELGFLKYL KAAKVGLSEYDFPTKKIAQVEAQLMKLVEKTYYLHKSAKEAYRSYLLAYASHGLKNIF DVGTLDLQAVAKSFGLTVPPKVTLPVKTAGKDGKKRKREDGGTKYGKSGHQFSADNPY GKKAKHDSRQFAH H257_07093 MSSAISSSSWRHAVLTLLMLVPFTSAANITIPGNSTFDNQINKI LHWDGTRESLGVGPDVIAALAIAAGFTIAFFGYKLIRPAMFVAGFAIGSVLCFVACEK IFREKSYVEAACWVAFALGGLIVGALVVWLYKVGIFLVGAFAGLLLATQLHTSFGHAI YPSEPQIVLIVLLVICGLACGVVAVVIERPSLIIATSWAGAVTGVWGIGFFAGNYPNA ANLKQHVDANGAWHVNIPVEWWGYLVASVVLALLGMYVQFRRHPVTSQNNGPVVVTSQ QPVTYVVASTPTQGNPIRHV H257_07094 MSSAISSSSWRHAVLTLLMLVPFTSAANITIPGNSTFDNQINKI LHWDGTRESLGVGPDVIAALAIAAGFTIAFFGYKLIRPAMFVAGFAIGSVLCFVACEK IFREKSYVEAACWVAFALGGLIVGALVVWLYKVGIFLVGAFAGLLLATQLHTSFGHAI YPSEPQIVLIVLLVICGLACGVVAVVIERPSLIIATSWAGAVTGVWGIGFFAGNYPNA ANLKQHVDTNGAWHVNIPVEWWGYLVASVVLALLGMYVQFRRHPVTSQNNGPVVVTSQ QPVTYVVASTPTQGNPIRHV H257_07095 MSINVHCLFNGCHNVALSSGKCEAHKTRTRCMVASCHNQTYARN LCVKHGGKQKCIADNCTANARSHGLCCKHGPKSMKTLCEVDGCTKVAHARRRCVRHGG GRVCKVESCAAYARSAGLCCRHSYVQTEATLTNTVEKKPEVFDEWVVLLEETSILHVN DLNVAPNDCEGYYCSTDGKKDVVAEFSSDDCDWLEFLISDLVC H257_07096 MTDTLLCFFNGCQNAALSSGKCEAHKTRARCMVAPCHNQTYARN LCVKHGGKQKCIADNCTANARSHGLCCKHGPKSMKTLCEVDGCTKVAHARRRCVRHGG GRVCKVESCAAYARSAGLCCRHSYVQTEATLANKVYNYPAACDPTDELVALLEETSIL HVNNVIDVSNDSDCSSTADDGNPVAVEFSNEDCDWLEYMISNIVC H257_07097 MLPCCGDMTTICIGPVCIPIYSLLPFLLMFLSKAWAWITGKPVL DDKKNDGAATPSTAISEEASNNLRQRKAGPSNVIAMTSTAEWKKHLALSSDSKPLVVD FTATWCGPCKKIAPFFAELSGKFPEAMFVKVDVDDLDDVMSQCGVRAMPTFQVYKNGT KVDELVGADQSKLQALVARNTL H257_07098 MANTLAPYLNAIRSTLDAALCLQNFPSQVVERHNKPEIEVGLSR ELLLNPVVICRNENEKCCIEPSINSTRVSISIKQADEIETILCHKFSRFLMQRAEQFI IMRRKAIEGYSISFLIIHTHLENLYKHKLVDFIIQFMEDIDKEISEMKINLNARGRVV ATEFMKQFTS H257_07099 MNAQMSGQHSAERPRQTSRKSSGNLQPLSLPSSTYAKQFEIEEC TAPMETPIPPRERRQSVELVYSDVDPTTDQQPPSSTPQSPKKGTCVVQTRCSDRVKLC LCEVKRHRSLTSCWLVANGIVYDVTNVIQQHPAGTKCILRKAGGVDCTQDLRFHSKDA QRCWKQCAIGKFLPCGDDNGGDAAQGSCSIM H257_07100 MFVSSVQFGPITLLDWFLELCSQHPRSGPTGQQAYERLKMVLQF HVSGPVNGQDMDKYYPRPVRSVDAFLNFSGISKVDVKLDRWQCEQLHWIPFEAPDIVE AFLPGYTMAGKLTGTRHDDDELHALLAELLQAQDQVATEQSDWLRRIHDAVSNAPADA SAALLTTVKDTIASSDRTNAATIDRLNATNAALADQVHALLARVEEVDARNGMREMQA NTLKENSVLGDYKEVMAVQALFVVAMLGYCVWNARRGRSSS H257_07101 MAFAEAQPAAPQRTQLRPLRVVDGDAGEPRHVRERVVAAKRAQV RRLRRWNRHVWPHLVVPGRVHGEHRELVASARPFVDHGHVPVRNELAVGVDGQAEFLG LDHALHERSDGNRSHRELRVARVDLNQVFVLAVTVVWPTGARQSTVLVDASDVAVLGL VHDANVESKRMRSAGKRCPERKAASNAIPIRRKTQEDIVHFRNSRFRRTQAAVFCGAR NVLRFRVQAQSSWQHTTAAGGGPHIASSTSTAHACWTRAKTGFVTIKRELEQFPHL H257_07102 MDSPRTKHAVREVVRNKRFVVGVEAVRTASAAARLKLTDIMAEL IEAKSQCSREIYHKIFFHTTKSVARMMQGLPSPPPPSTRTTLPPSLNIQTSHLRFLQD PKVDQHHGTLEHTLAHGVWIYVDHSTSGTVLNHTHRLHHDAAIVRDGDHFLVGRTSFV VHWHAPSVSTKREPAMHMPSTPPPRRLVRKASVPMLVPAYTNSPIPKHKQQQQPPQPH DTPPSTRAGALPFDVAPPSPLPQSQSSLSRGPRPTPLTPPPKRDNLRIQISKKMANRI IHMALPFPPEVVMSPVPQAELRHRQQQLQMQLDQKATEDAWLHEQQQAFRLQSAAPSS GQAARRQSFTLDLVDRRPNDMPQKLHQQRHRDDDDEWDQDVDVTSSVSSSVQTQIARF RDSMEICSSCSSDGADDGDRAEMPTTPPPPSSLFRSRDVAAHLRQSFDIQRLNTATPP TRRPNPPPEDDDSRGVRLKRAHLVKTAPMARSSFHMTTALHSPYKTAKHASTFIESVA SPTHFDYTSL H257_07103 MLKGETDDSKDVHAVDVEGDEDEDDEIEDSDNNDADDDADDDDE GVASETYTGVTYHESRVKKWSASVTVGNIEVDAGEFWTEEDAAKGYDELVRMYLEPGA AALHFPDGHPDDVDNASNDASSLSHEWNLPDAGSRHADIIPPIQKTYLTIEELVPALE REQAIDVYTIDLAGKSSLASHMVFCTGRSRNHLRRMADLVILSMKARNMDDEFGYVVE GRDCDDWMIADANTIVVHFMTAETRAMLALEDHWENMVNDKHRLYGHLSEDEYMDKYG MSELMTEDDLLTQDSIDHDVWK H257_07104 MWRQFQRRAASTFSIQSHLQTGLLGTHADFSHKNALFRRSHQPN VDYQTSAVMSLGLPKASVLPTAESIAHEFGLKHAASVASASATKPGFINIKLQDAWIA EHAVHVATHGVKPRRQDHPQHVLVDFASPNMGKELHVGHLRSSVLGDTISNMLEFDGH LVSRISHVGDLGAAIATLLVQSLDELDNSANGLPRSFDLSTSVETLGQWYGRGKQRLG NGDVEFKTTVDDTVLGLQRQDSKWHTPWDLTCAISRSAHHALYQRLGVQVTERGESTY LSLIPTVLSKLGTIASESQGALCIFVDGQDKSPMLVRKQDGGFLYATTDLTALYSRVF GWAKDPVAYDRLIYVTDLSQSLHFRHLFEAAKLAGWLDGRHVTLQHAGFGLVMGEDGT KLSSRKGGATTLKSLLDHAALESQKRSAVPDADHQAIGDAAVRYYDLAQHRERNYKFS YANVLNLKGNTAPYLMYATARLQGILRKTAEGGRHGTSMGSWATFLAEHPDASGQLRR ASTDWHPNERALALVLGQFQDALVETQANWHPHILADYLFRVVTSFHSFYETCHVQQN PARLVLCAATDAVLRRGLHLLGIPTVDRM H257_07104 MLEFDGHLVSRISHVGDLGAAIATLLVQSLDELDNSANGLPRSF DLSTSVETLGQWYGRGKQRLGNGDVEFKTTVDDTVLGLQRQDSKWHTPWDLTCAISRS AHHALYQRLGVQVTERGESTYLSLIPTVLSKLGTIASESQGALCIFVDGQDKSPMLVR KQDGGFLYATTDLTALYSRVFGWAKDPVAYDRLIYVTDLSQSLHFRHLFEAAKLAGWL DGRHVTLQHAGFGLVMGEDGTKLSSRKGGATTLKSLLDHAALESQKRSAVPDADHQAI GDAAVRYYDLAQHRERNYKFSYANVLNLKGNTAPYLMYATARLQGILRKTAEGGRHGT SMGSWATFLAEHPDASGQLRRASTDWHPNERALALVLGQFQDALVETQANWHPHILAD YLFRVVTSFHSFYETCHVQQNPARLVLCAATDAVLRRGLHLLGIPTVDRM H257_07105 MKRALYAIVALLLLPVIAVWSGVSWILGQSGRFAALVALVIVLT LAGLCIFRDSIAIYDLPAAFALVLLLLTTSFVPKSFLFHVTLVVAFLSYAIARHQYSF ASSSTATLVALTACSCAGVVWTFVCANSLWIPANADALHHTETAVYKSTLKSAPFHMT IVAGLGTVHVPYAGPSKAPPSNVVLIHGFAGGNALWAASLAHLAQHFNVYAVEWLGVG RSHRPRTSFKTYEEADAFFVQSLELWRSAMQFDSMVLCGHSMGGMFVTHYALLFPQRV EQLVLISPCGLPDVEHDPHWLIDWLWKLQLTPMDLVRSAGPLGPRLMRFILTARLSRQ PDSNAIKRGVLDMDLMVAYNYHNWAGSRSGEVAMYTHLLPRAYAKRPLKHMLVPSKLQ MPISFIYGEDGNDWMNSSHAAKVIPGLTQHTVLHKVASAGHQVFMDNPEGFNDALISS IYAATSSSFAN H257_07105 MKRALYAIVALLLLPVIAVWSGVSWILGQSGRFAALVALVIVLT LAGLCIFRDSIAIYDLPAAFALVLLLLTTSFVPKSFLFHVTLVVAFLSYAIARHQYSF ASSSTATLVALTACSCAGVVWTFVCANSLWIPANADALHHTETAVYKSTLKSAPFHMT IVAGLGTVHVPYAGPSKAPPSNVVLIHGFAGGNALWAASLAHLAQHFNVYAVEWLGVG RSHRPRTSFKTYEEADAFFVQSLELWRSAMQFDSMVLCGHSMGGMFVTHYALLFPQRV EQLVLISPCGLPDVEHDPHWLIDWLWKLQLTPMDLVRSAGPLGPRLMRFILTARLSRQ PDSNAIKRGVLDMDLMVAYNYHNWAGSRSGEVAMYTHLLPRAYAKRPLKHMLVPSKLQ MPISFIYGEDGNDWMNSSHAAKVYKLSLQERYIYIYMALNIGV H257_07105 MKRALYAIVALLLLPVIAVWSGVSWILGQSGRFAALVALVIVLT LAGLCIFRDSIAIYDLPAAFALVLLLLTTSFVPKSFLFHVTLVVAFLSYAIARHQYSF ASSSTATLVALTACSCAGVVWTFVCANSLWIPANADALHHTETAVYKSTLKSAPFHMT IVAGLGTVHVPYAGPSKAPPSNVVLIHGFAGGNALWAASLAHLAQHFNVYAVEWLGVG RSHRPRTSFKTYEEADAFFVQSLELWRSAMQFDSMVLCGHSMGGMFVTHYALLFPQRV EQLVLISPCGLPDVEHDPHWLIDWLWKLQLTPMDLVRSAGPLGPRLMRFILTARLSRQ PDSNAIKRGVLDMDLMVAYNYHNWAGSRSGEVAMYTHLLPVWGHDCVIITT H257_07106 MPNHSSEPKTRQEKKGNKAKRSFELHGKYSAKHTRRVEAFTAQH NAAATSPHARGQPNLRAVPAEATK H257_07107 MPNHSSEPKTRQEKKGNKAKRSFELHGKYSAKHTRRVEAFTAQH YAAATSTHGRGQPNLGRATPTTHQATYY H257_07108 MFPLGEVARGCRASPPSPFSTTPPTASMTAASSVHHIEPDSELA PSSPIHSTIVRLHPLVIAGPSGVGKGTLINRLLAKYPSLFGFSVSHTTRGPRPGEENG IAYHFVTKDVFDEAVAADAFIEFARVHGNGYGTSKKSVQVVQDAGKICVLDIDIQGVQ QVKLAKDLPVHYLFVAPPSMADLEARLRGRGTETEDKIGLRLANASGELAYADEGHFD KILVNNDLDQAFQELEQTLAAWYPDVHFNDHAVKSSGNPQV H257_07109 MTLAHLRSTPLHSVEHTIDVSSHHHHAAAPMTPDVTYCQLTPTE EKQLHHDDHICPPLFPHEGLPRWPGVSTATAFLRSLDLFGTSMFAVGGSLAAANTGCD LIGCIIVGTIAAVGGGTWRDVIVLHKQPFWVQEWEYLVLSGAVAACMFLTWRLLPPGQ TLWGAALKTSTGDAGVLLEWGDAVGIGVCAVIGAMNGIRSDCPMFISALCGMITATFG GLTRDVVLNQPVRILYSHAEVYAIIAFTGAATYLSLHRMAPKQQAARILFCVALVVVL RQQAWTHGWRMPVWKVNDDDSL H257_07110 MLAHIRPSVETTGPLTPDVHWCELSPAELKTLHPEQPPHVVPNK QHTPYEGLPRWPGLSSATAVLRILDIIGTSFFAASGSLAAAMSGCDLVGCIIVGCITA VGGGTWRDILLLHKQPFWVQEWEYLVLAVAVSVLLFLTWGLLPLGQTVLGATLKAANG DAGVLMDWGDALGIGAASVIGAMNGIRSDCPVVISALCGMVTATCGGFTRDVVLNRTV RILYSHAEVYAVITLTGAAAYLSLQRLLPKHQALRIAFCVLLVIVLRQQAWTHGWRMP TWNTHKPSL H257_07111 MASTRNAADMPASDKTAPPQKSLFKHSFAGVAAGGISTAILYPL DLVKTRYQIHENSPRAFRSLGQAFASIVKSDAGGSRYNLRALYQGMSPALYGTTLSWG LYFLFYEHAKSFYAEQTVLPAWAGHFASGIQAGAMCVPLTNPIWLVKVRMQVQGTHPS QVPYKNVANALQRIVAEEGVAALYKGVVPALFLTTHGAFKFVAYEWLKTEYNDHIGTP LGIPQTLVMGAGAQAFASTATYPYQVIKTRLQQGGPSADKYKGTWDCTKRMAQLEGLR GFYKGLTPNLIKVLPTGALIFAVYEYVYQLLDGPK H257_07111 MASTRNAADMPASDKTAPPQKSLFKHSFAGVAAGGISTAILYPL DLVKTRYQIHENSPRAFRSLGQAFASIVKSDAGGSRYNLRALYQGMSPALYGTTLSWG LYFLFYEHAKSFYAEQTVLPAWAGHFASGIQAGAMCVPLTNPIWLVKVRMQVQGTHPS QVPYKNVANALQRIVAEEGVAALYKGVVPALFLTTHGAFKFVAYEWLKTEYNDHIGTP LGIPQTLVMGAGAQAFASTATYPYQVIKTRLQQGGPSADKYKGTWDCTKRMAQYVWFG EN H257_07112 MRPLTDEEMKTVFEKISKYIGRNVQHLVVRPDEKFCFRLHGDIV YYVSEKLMRQATNIGTEQLLSLGTAVGKLTKSKKFHLRITFLDHLAQYAKYKVWIKPN SEMSFLYGNNVVKSGLGRITEGTPQYAGVVVYSMNDVPLGFGVAAQATELCKDLAPTD YVVLHQADIGEYLRVEDEMF H257_07113 MSSEGKIQSVQTFGRKKNATAVAYCKNGRGLIKVNGCPIELVEP EVLRTKTYEPILLLGQQRFANVDIRIRVKGGGHTAQIYAIRQAIAKSLVAYHQKYVDE ASKNEIKNILLSYDRTLLVADPRRCEAKKFGGPSARARFQKSYR H257_07114 MPSGDIVVDSAVDIADASPAATSHLHSASTEFLYLPSGEILAKP SSYHAGSSVVAMPPPMIDIPSSRYASSMYHHPPSTSAASPMSLQEQALSPSSNVPRRR GHHGIDTENKHGTAHAYQQRILELETLLQNEKKRSLDKMRLLLDEQDKSHDLQTRFSS LQTKIKTLEATISRHDTHTRDLQASVAAANARQASLVHEISDKTKHVDKLTQDLATAQ KTNALLLANTQPAASRPSPPLPTVATSYTQTEAYIPSASNQVLSNPHNNPESLESSSI PTITMATPAAIPSTASPATIPPPHHAPTPSTDAPSSSTSPPPSTSSMESVLDAIRAWK DMADAWSRNPPVTSTSSSSLDALLGQFPALPRRIPSELGPSKDAAAVAMLQRRLALVD KEYRITHTKYIELKELCARQCVREADLQNFVNEHRLRGQCSLRLPSSTTGPNITSAAA PGPSLHDAKEHAETIRRPMDGHVKVVIRRRDQSTVDDSRAPPKNQTTIGRPPPLNVVA SKELMRRHQRIPTPRHAKQPKKATPAIGRPWV H257_07115 MFATKLLATRSPTTAAKSFRHGAKVVLTTPRRVHMQPFSTAGPP LPPPAAVASSTRESLSPREGLPRWPSLTSATGCLRTLDWFGSVVFAVSGSLTAATAGC DLLGCTLIGTITAVGGGTLRDALVLNKQPFWIEEWEYLVFSGAAAAGAFYLWGQIPAG DEVIEGTGLTLKSADGGEGTLMDWGDAVGVGAFAVIGAMNGIRAQSPLLVSALCGMMT STFGGMTRDTLLNRPVRILHPYADTYAPIAFTGAAAYLAMRAVAPQYQGLRIASCVAL AVGLRQQAWTDGWRLPHWDVEGVVAHSAHDPRTQ H257_07116 MLYFLLRLATHLPRLCRLALAFVSTALASFESSGQLSFVHEGLP RWPNLWTPTGCLRFLDWFGTVVFAMSGSLAGASSGADLNGCILIGTVTAVGGGTLRDA LVLNTSPSWVDEWEYLVISAVSATVAVYVWHRLRPGCPLWLGLTLKAADCGEGDLMQW GDAIGVGAFAVIGAMNGIRGNRPMIVSALCGVMTATFGGMVRDMLLHRPVRILHPYAD TYAPIALVGAVSYLGMQAVVPLQQFQGCRILISVTLTVFLRQQAWTNGWRLPHWNINQ EVVQMNQDPRVIKMMQKTMSDGNLSDTNTDDTFASSDDLTVNV H257_07117 MLRTTGGAPELSPSLIRRRQKDKEMKRKKREMYAQEVSFLRNQV KALRLNVRTARMLLPWREVAEALYDDTAAATVTNTNLRSVLRRLQIFHGHVQHLVQTS QPFSVAPALQLESWKDTHLFRGDDMRRSGFEYISQLALHTMPSVLLAPAAVPAPSDPS YIQAQIKHGVVYVDVLHACTVPHATLDDVVGVAWAGLNDFVRQAIGTDDCVKPLDRND DEISYVALKGTKDVTYNSLHRLFRLHPVGDHHSQPHDSLKHSLNPPPDVVPRAVIVAR SILADEVHPYPTDMLMCDSVEWTVIEPVDDYTVGVRVLVRFSQPIDSSGQSPLSVAQW LHKLRGGRGDRLDVLEKMTDDHLLDKIVGFFALHHHSMQAMICAKFNRIVPPTQPPSS SRRS H257_07117 MLRTTGGAPELSPSLIRRRQKDKEMKRKKREMYAQEVSFLRNQV KALRLNVRTARMLLPWREVAEALYDDTAAATVTNTNLRSVLRRLQIFHGHVQHLVQTS QPFSVAPALQLESWKDTHLFRGDDMRRSGFEYISQLALHTMPSVLLAPAAVPAPSDPS YIQAQIKHGVVYVDVLHACTVPHATLDDVVGVAWAGLNDFVRQAIGTDDCVKPLDRND DEISYVALKGTKDVTYNSLHRLFRLHPVGDHHSQPHDSLKHSLNPPPDVVPRAVIVAR SILADEVHPYPTDMLMCDSVEW H257_07118 MTSTRARLERFYSKYNPDKKGEIDGVMEKYKGREASLFEALVRK YGPEPDANEEITFVDAMTLRLLAFYEKYNPDKVAEVPTVLAKYAGKEKQLFEALVKKY GPEPGDELDDDDDESEDDSEVGSEDEEDGGDVEGKGGPLSRQIVYCPIDNLPPEYCEY GPSFHECKPWLVEHVPTLFIQKYNRTISDLVDVEAQIAAGVEGITLEAEGSKSVKKKK TKADAEGNAKAGGNVYVERFQRQKKKFVTVVAGLDEYKSLDLKDVAKKMGKKFACSAS LNKLDGGKAQIQLQGDVQHELPHWLVEEFDIPEANLYLLEKGKSERAF H257_07119 MSTARFTFIGLLWAALASCIMGATIEGRISYPANVPPPVSIDGG LPSLQVVLDGGVRSTLSTHDGRFSFYDVPAGRYTVDIHSPVYIFSQFKVDISTTGDIR VLEFKYPGTPKLAVSHPLVVDALAQVQYFQPREKFSVIDLIKNPSFLALIVPLFMVWV LPKLTESMLDPEEFKQAQEEMGAAADPSSLIKGFFGGGGGDAKDDEDSD H257_07119 MSTARFTFIGLLWAALASCIMGATIEGRISYPANVPPPVSIDGG LPSLQVVLDGGVRSTLSTHDGRFSFYDVPAGRYTVDIHSPVYIFSQFKVDISTTGDIR VLEFKYPGTPKLAVSHPLVVDALAQVQYFQVRQVENTIVGRVLTLVLQPREKFSVIDL IKNPSFLALIVPLFMVWVLPKLTESMLDPEEFKQAQEEMGAAADPSSLIKGFFGGGGG DAKDDEDSD H257_07119 MSTARFTFIGLLWAALASCIMGATIEGRISYPANVPPPVSIDGG LPSLQVVLDGGVRSTLSTHDGRFSFYDVPAGRYTVDIHSPVYIFSQFKVDISTTGDIR VLEFKYPGTPKLAVSHPLVVDALAQVQYFQPREKFSVIDLIKNPSFLALIVPLFMVWV LPKLTESMLGT H257_07119 MSTARFTFIGLLWAALASCIMGATIEGRISYPANVPPPVSIDGG LPSLQVVLDGGVRSTLSTHDGRFSFYDVPAGRYTVDIHSPVYIFSQFKVDISTTGDIR VLEFKYPGTPKLAVSHPLVVDALAQVQYFQVRQVENTIVGRVLTLVLQPREKFSVIDL IKNPSFLALIVPLFMVWVLPKLTESMLGT H257_07120 MLAARRASRIATASPSLRRGLSSSTTSIPHDKERLIIFDTTLRD GEQSPGATLSFKEKLHIARGLHAMGVDVCEAGFPIASPGDFDAVSAIAQEIGPLSRPS NPDFMTICGLARATENDIQRSFDAIKHAPNHRIHLFLATSDIHLKYKLKISRDECIRR AVEAVQFAASLSHQVEFSPEDAGRSDPDFLCDVLAEVIAAGATTLNIPDTVGYTVPDE YGALIGYLIKNTRGAEKAVFSTHCHNDLGLATANTLAGVLHGARQVEVTMNGIGERAG NTSLEELVMTLQTRPHLYPVASHIDSTHIMKCSRMVSHYTGIAIQPNKAIVGANAFAH ESGIHQDGVLKHQATYEIMLPESVGLTENRMVLGKHSGRHAYSKRLAELGYADLTAAQ LNHFVEKFKVLADEKKTVTDADMEAIVNDDLFKPETFWTLDAVHVTAGNLVKPTATVT VTFKDGSEVSEAAMGSGPIEAMFSAISRATGCGDLKLNEYTVESITDGTAALGDVTVR VSEIETNEAADGLNPQTGVSRRRQYVGHGANTDILVASATAYVNAVNRVLGAREQAVK RQEKAKRIVEMKPKAYIVASV H257_07121 MVDLLGWPFGLLLSFIASIVGVLGKIMIKLSFRPSVALESSEAT CWWGGGMALIVVVNPALCISAYKFAPQSLLAPMGGLCVVWNTVLSPYILNETLRTRDM IGATIIFLGCVVVGGVGSHQTHDIPINELASHFTSAPFLVYITTYIVVLMILLRCASP AFFQAWGYHHRRRDDEISDDPNVIFKSTCCRVSLASMAGSISGQLYCMCALLRLTHND PREVFTTPLVYVVGLAALGFAMSGLYLMNMALHLYDALFVIYIYEATLLMGGAISGIC FFGDMKDLSGWHWGVYSAGIAFILVGIVVLSTGDRPLSLSEGDHHQYNDDLHAVKQTL L H257_07122 MAQHSEFVPTYPSVNYSSLSNDQLDALRAGSTDGVVHIFNNIVC PFGHRALWIALEINAPFQVIEVSLSNQPASYGEKFNRYETVPFLLDNGFPVYESSIVA QYLDSKFNHGNLHQSSDPQAASVVQLATAKFEARPFYVYLQVGGARAEADVRDVLTEL ETIYNQHGKEGPYLLGGTLSSAEINLVPFFFRFNVLLKHYRKVDLFADYPRLKAALDA AVVRPAFQQTAREPQYYIDAYAGLVSRAATS H257_07123 MTTAADHVPTYPSVDYASFSDDQLDAFHTSPDLRLFSNINCPYG QRALWTALEVNAPFQVVEVSLANQPDSYGEKFNRYGTVPYLLDNGFAVYESAIVAQYL DAKFNQGRLHRKDDVQAATLVQLVIAQFEARPWYQYLRTGDEKAKEQGVEILKELETI FTVNAKAYRDQGPYLLGAELSSAEINLFPFFYRLDVLLGHYRKLDFLADFPALRAAFD AAKARKTFQQTIRTPEYLIQQFAPHFNPTP H257_07124 MAQHSDFVPTYPSVNYSSLSNDQLDALRAGSTDGVVHIFNNIVC PFGHRALWIALEINAPFQVIEVSLSNQPASYGEKFNRYETVPFLLDNGFPVYESSIVA QYLDSKFNHGNLHQSSDPQAASVVQLATAKFEARPFYVYLQVGGGRAEADVRDVLTEL ETIYSQHGKEGPYLLGGTLSSAEINLVPFFFRFNVLLKHYRKVDLFADYPRLKAALDA AVVRPAFQQTAREPQYYIDAYAGLVSRAATR H257_07125 MYPHASQRTGDCMASPQMQVSGQPARITLLERPKVELIEPAFKD GQMRQHGFGFVVLLIDCSKANILDLAAATSTAVHWARVAYGRDTKQVNKCTLDQVQVG LEHTQPHFTSLNDDQVQVGLEHNGKVRLCVFKANLHLVTPQSNAHGKRVKIDHILALT DNQVVALIKADGKLNVFSCVMGGLEVQAPSAPSSSTCSPPPRPTASSSSDRGAFPTSF TTAPTYTNLPSSSSTWTFGRGAQSRSISGLGYAHVPFYGYLGGRDEGTLFTCLWTECA PSSPLVRTGDDLPRPAKDHRELPTSTSFPYMLHPEKDFSLLKVAFP H257_07126 MSGKGDAAAKKAKSSKRNSLKGGNRKFVTSAEELEARNVVEEVR QEKRRVRRDDGESDDEDDDEEDADGVVFDRIVEEKEDEIEEKKVPKPKGVAGIIQVQN PNFAL H257_07127 NSNLIMSGKGDAAAKKAKSSKRNSLKGGNRKFVTSAEELEARNV VEEVRQEKRRVRRDDGESDDEDDDEEDADGVVFDRIVEEKEDEIEEKKVPKPKGVAGI IQVQNPNFASTKAIKAKDIDPNAEAQPLTRREREAIEKEAKAANYMKRHLAGQTDEAK RDIRRLEEVKKRREDAALRKKQEEEAAAELAKKAAKVSVKGGDDEALDARAIKALKPN ALKDHLKERGLSIQGQKNDLIQRLIDYENEKSL H257_07128 MNSQVQQQLLNAVGNQVLESLHAQEERLDAEIKQLERMEEDDLD KLRERRLRALKSAAAQKQAWKNAGHGSYSELSNQQEFFDVIKDSECAVVHFYNATNAY CPIMDKHLTALAESHLESRFCRMNAEKADYLVQKLGIWMIPCVALIKNKSVVHMLQGL DELGGTDNFSTHFLAYFLSTKNVLKFDGTPPESVTDTGVDGPKPAGPIKQSVFDYNSD DDDYD H257_07129 MMEPTKRARTTAASPSGDHVTRTTSWSSNMPTACELRSFGLEGK AEALATARHTWFADMTKWREQAFKHIEAHESRGHMQQKQKNFRRQMLHLSK H257_07130 MTDLVATALGYPTVVIGVFGLVSVVGGVLVYLFTIKPALSPLNV IPGPKSTHWLFGSLKEIIDTKWSKGHFPQPALSWVKKYGGAVHYRAFLRHRVLITDPE ALKHVFNTKADNYPRSTDSRLFLREIIGGDGLLSSEGDAHTHMRKMLMPHFGFAKVRQ FIDVFAGQTQALSSQLDRLADTNAPVDMHDLFTKLTLDIIGLSAFGYDFQSLTNQNER VMAAYKMMNQPPSILFAVGRVYLPFFDRWPLRAIQRRNDAKRMLFQTVDDVISAKLKA PRRRTGAATDLVDLMLDNQSTEHKVSAEEARTHVMTFLTAGHETTSSTLCWVFSMLAT HPEMETKARSECHDVAAANNGRIEWKSLGELKYVTAFIQETLRLYPTIAALATRETAT DDYLPMASGKSYFVPKGTTIAVNTGALHRNPMYWSTPDEFVPERFLEGSEPFAADKVL RHGQGNTYYYMPFSAGAKNCIGMRFAMAELQVVVSNLLLKYSFKVTNQADLNPKQDGV SIKPVKLDMMVHRAATSFSTVDSP H257_07131 MRAKKDLTDNDRTAILQQQLLARMVDHKTLPRGALADVAVSFGV DRSTVRRIWHRATVDLSDKLRPCQPVCSRKKGRSGRNLKHDSVAARLKLVPKARRTKF RSIAAAMSMPKSTLHDYYRRGIFVKYSSTVKPALTDSNKAVRLKWAIDHVHPAGPTRL HLRQHDRLCPSPPHRTVKSKTFITKVMFLSAVARPRWDHDKDEWFDGKIGTWHFTERV PALRGSRNRPAGSMVTKPVSVTREVYTTMLLDNAIPAIKAKWPQGETKGVIIQQDNAK PHVPPSDPRIVAACTGGGWAMQVRFQPPNSPDLNVLDLGFFRALQTLQERNYSRNIDD IIAATVEAWQDVMRVESNNCYKIPHMKKAKLAAVGMLPEVVCVDRDLFDDGCRLLSAT DIDKKIDELALEVAQAMDMSEFSSQMEKLSVDGELDDDIDLDLALLLGIDQLL H257_07132 MLPSLSMLATAGAIAAAVALGAGATASIALMYLFVIKPARSPVN GMPGPASAHWFFGNVQEIYDIMWTQAKFPEPLLSWVQQYGGVFRYRFMMKNRILLTDP EALKHVLTTHSDIYPRDDGTRMIFRDLIGGDGLLSSENATHAQQRRMMQPLFRHDNIK SFLGMFHHHVRRLQDEHLARLQLSPPSTPPANTTQTVDMHELFTKLTLDIIGVSAFSY EFDALAPHQDKYPQTKQVSVVEAIELLITPPSLLYIVGILLLPWFPRWPLPAQNQRRR ARRRLFEVVDAVLASKLSPSAKPPPSATSLPKTIKMLDLVDLMLTDTNDHKVSIDEAR IHVMTFMLAGHETTSTTLSWVFTMLAQHADVEAKVRAECRHVLAANNHSWDWKALGEL KYTTAVIHETLRLFPTASMLATRVCVQDNDMPTLASVNEHAKPVFIPKGTQMLVHTGA MHRNPKYWSRPAEFVPGRLPTTISTFIYPYYYYYYYYYYYYHNNSTRPCFVDRFIEGT ESFEADKGLRGGQGNTYYYMPFSTGSKNCIGMRFAMAELQVVVASLVARHSFRLTPDA NVEPSFVGVTMRPKHLNMTVHLVD H257_07132 MLPSLSMLATAGAIAAAVALGAGATASIALMYLFVIKPARSPVN GMPGPASAHWFFGNVQEIYDIMWTQAKFPEPLLSWVQQYGGVFRYRFMMKNRILLTDP EALKHVLTTHSDIYPRDDGTRMIFRDLIGGDGLLSSENATHAQQRRMMQPLFRHDNIK SFLGMFHHHVRRLQDEHLARLQLSPPSTPPANTTQTVDMHELFTKLTLDIIGVSAFSY EFDALAPHQDKYPQTKQVSVVEAIELLITPPSLLYIVGILLLPWFPRWPLPAQNQRRR ARRRLFEVVDAVLASKLSPSAKPPPSATSLPKTIKMLDLVDLMLTDTNDHKVSIDEAR IHVMTFMLAGHETTSTTLSWVFTMLAQHADVEAKVRAECRHVLAANNHSWDWKALGEL KYTTAVIHETLRLFPTASMLATRVCVQDNDMPTLASVNEHAKPVFIPKGTQMLVHTGA MHRNPKYWSRPAEFVPDRFIEGTESFEADKGLRGGQGNTYYYMPFSTGSKNCIGMRFA MAELQVVVASLVARHSFRLTPDANVEPSFVGVTMRPKHLNMTVHLVD H257_07132 MMKNRILLTDPEALKHVLTTHSDIYPRDDGTRMIFRDLIGGDGL LSSENATHAQQRRMMQPLFRHDNIKSFLGMFHHHVRRLQDEHLARLQLSPPSTPPANT TQTVDMHELFTKLTLDIIGVSAFSYEFDALAPHQDKYPQTKQVSVVEAIELLITPPSL LYIVGILLLPWFPRWPLPAQNQRRRARRRLFEVVDAVLASKLSPSAKPPPSATSLPKT IKMLDLVDLMLTDTNDHKVSIDEARIHVMTFMLAGHETTSTTLSWVFTMLAQHADVEA KVRAECRHVLAANNHSWDWKALGELKYTTAVIHETLRLFPTASMLATRVCVQDNDMPT LASVNEHAKPVFIPKGTQMLVHTGAMHRNPKYWSRPAEFVPGRLPTTISTFIYPYYYY YYYYYYYYHNNSTRPCFVDRFIEGTESFEADKGLRGGQGNTYYYMPFSTGSKNCIGMR FAMAELQVVVASLVARHSFRLTPDANVEPSFVGVTMRPKHLNMTVHLVD H257_07132 MMKNRILLTDPEALKHVLTTHSDIYPRDDGTRMIFRDLIGGDGL LSSENATHAQQRRMMQPLFRHDNIKSFLGMFHHHVRRLQDEHLARLQLSPPSTPPANT TQTVDMHELFTKLTLDIIGVSAFSYEFDALAPHQDKYPQTKQVSVVEAIELLITPPSL LYIVGILLLPWFPRWPLPAQNQRRRARRRLFEVVDAVLASKLSPSAKPPPSATSLPKT IKMLDLVDLMLTDTNDHKVSIDEARIHVMTFMLAGHETTSTTLSWVFTMLAQHADVEA KVRAECRHVLAANNHSWDWKALGELKYTTAVIHETLRLFPTASMLATRVCVQDNDMPT LASVNEHAKPVFIPKGTQMLVHTGAMHRNPKYWSRPAEFVPDRFIEGTESFEADKGLR GGQGNTYYYMPFSTGSKNCIGMRFAMAELQVVVASLVARHSFRLTPDANVEPSFVGVT MRPKHLNMTVHLVD H257_07132 MMQPLFRHDNIKSFLGMFHHHVRRLQDEHLARLQLSPPSTPPAN TTQTVDMHELFTKLTLDIIGVSAFSYEFDALAPHQDKYPQTKQVSVVEAIELLITPPS LLYIVGILLLPWFPRWPLPAQNQRRRARRRLFEVVDAVLASKLSPSAKPPPSATSLPK TIKMLDLVDLMLTDTNDHKVSIDEARIHVMTFMLAGHETTSTTLSWVFTMLAQHADVE AKVRAECRHVLAANNHSWDWKALGELKYTTAVIHETLRLFPTASMLATRVCVQDNDMP TLASVNEHAKPVFIPKGTQMLVHTGAMHRNPKYWSRPAEFVPDRFIEGTESFEADKGL RGGQGNTYYYMPFSTGSKNCIGMRFAMAELQVVVASLVARHSFRLTPDANVEPSFVGV TMRPKHLNMTVHLVD H257_07133 MRRHLVVVAVSIMCVSRFGVVSGLHWNSKYTRTVDPNDKAPPIL DGTALTPASRLTFYLKLVLPTMSPSTLRLPGDLDPYDVVEDVQRTMRQMQHDVTATTS TWLSTFVAKINKDDTYD H257_07134 MGKAKGKAAAAAAPLPTGMAVGAKSTSAKASLDALFATKKTAAK VPKDAGASKLKTTAVVAGKQDKQTAKHQLDALFSGIKAKKQQKIDEDNAVRRQEDKEL AEKRAYRKHLEALEAEHKQKNNDSSDPRPVRYDADGLPIYSEESLRLNQGGETADCPF DCWCCF H257_07135 MATTQMFEDIFEIKQLNPEGKKFDRVTRLLCKGVSYELELMLDI NSEVFPMKVHEQFTFALVTTLDLEGKPDDGIFDQSGKPTLLDRYDYGMYGKVFQYDHE GGNMVAIYASFGGLLMCLRGDQAHLRNIHNGTRVYCLMRRQ H257_07136 MLLGSRDRSLYYFPFDTRMSKKAGSKKAVVVAADEFPDEPIALL HFDEKEDRLQVNEQAMDILQRIDGKIAVIAMAGLYRTGKSSMLNWLLGKQSGFRVGPT VERCTRGIWLWGRPQQHTLANGEQCWVLMLDTEGLGGLEASAQYDVRIFSLATLLCSK LIYNSQGSVDEKAVNGLSFIANMTKHIRVRAEEGDGQSGGGDEISSFFPSFTWVVRDF TLELVDEDGDEITPTEYLERALAPQPGLTQAVMERNRVRHMMTAFFKDRDCFTLVRPV YDEGMLQQVDSIPITDLRPEFQSQLAKLKASIFNHLQPKTMNNKPLNGSMFAGLLVAY VDAINHGSVPTISSAWDGVTATECKKAMKTATESFRVAAGLLELPLDADELTAALKSA EADAVAVYRSSAMGDASTKYESDLAEKIEAEKTTLKKQNRAASKDFCDRLLQTLFAEI IQPRFSDDKNAYEDMQEFAREWLKFREVYLEKARGGAKLDALLAFSETKHAEAMRLLL SRQEDKFEKKLRALEAEAASVKETLGAIGGREQVYKQQIESLQSETSDMMGEKARYAA DIESQQRMIEQLQSKLQAEAAGKQHMDLEKEQVELQLNALRGQDREKEDELARLKAQY EKLMKEKERTELELSMASGEVDSLKHGQKCGCSIQ H257_07137 MGFLDGLVLRASKFGKSHGLRPLTSKRANKRFYKGKGCRNEGVH AKLGGYTLDVDKLLDLQVPDLTGFKLKPYVSPLVTRVPPS H257_07138 MVDNMEAAELLLSLLFGLASVTYGYCVIQFVMVVFSIMGFLAVA KSYGVERMATFYVALLYFVAFFVVFPYVPVRYHEIAFIIAKFALHAGALGWTVQLLFE VLPEFYLASMAVSVMVSAWIWGYLHHTARVCLIFTTSILGSLVVAGAVQDWAVHDNIV VLASDWFHLGNAVFFTIVGVFFQLKGTQEPLERPANFEFVMRPSVAATEMSDVDLPST PHSPTHGFVTVPYVEVVVHPDVQIPQPPYVPPSSLPEEVEANVEVPPSKSTAVVQSTV L H257_07139 MIESQPVGGGGGDHLTANDGDKLDASRGNALNEDEDDANEIDSE DEGDPDALDLDDLERSLTPLQVSDTSLQRMSRSESAEIFSKKYVERHQVKSDMLLDEK TKRLNKERRRQLHIQKWQCKTTKSPFKVNLVADNERLDEENRVRMLEQARRVRELERK TKQIKNDIILKALQETSDLDALRREKRVIIEEEKRLKALLDLEKTNSHRKMDMLAAQN AEKRRKQEKIEYRMKQRKDQLHDRDERYKDLLKSKLAL H257_07140 MTPAREVKDADQAPHHHPPPPPMPSTAADADATSDATTSVKSAD GAKSPADEKTRKIPPIVFVPLLGAFLVITIVPSVLSNVINKSPTPTPAPAPASNGGSS GTTGFGEGMATPTPSSATPTTTKAPTTPVPPTGPPTFSLSTTLAATPVNDIAFHGPSQ TFLGVDDSIVWTWSLLPPAVATPFYGIRGGSRLAIDAAGNVYVVETAAFNQYVTQYRA ASNYTLSMTLATPTANLPAVSVAATPSTPAMDVVAATSLGALLAWNQSAPTTFGAVVM AAGSFDQASDMTSRGGLLYIADGTADCIRRIVLATGAADVVAGQCNTRGFADGAAASS LWKHPTGIAIDYYGDLYVSDTGNHIVRKIDLATNVVTTIAGTPSSAGLRNSATNASTG LFNAPQGLAINPTMAWKSTSGMFALYVADSGNACVRKVAWG H257_07141 MNDVVECLREFDAGGLHPNHNVVVARVDVIYVVRIYPQLLDAKG MWWLVALFGYGRRRSDRTVAVLLFELALVQVGFEPMVLAETVQQAVILDRADVQAHFV AIEALVVDEVFRV H257_07142 MSTLTSADMGELKRPSTRLHAPPGGGTSWSFGDDSGTSDAPKAK AKGRGGNSGSNVTSDASPFSHYPPPAPTSTSSSSSANLAAAPTAPTTTTTGTKSTASH RSFNGAVRIAVLKTKADETVVDDFALNFTNALASSVAYDIVTVPSIEDLPYAANKLAV HGGFDGVVVFGLLNPSDALFPVLSTSILPALVQISIAAVKPIVRAIFVGEPRVASVKA KGGYGAEFAASIEDLVQLGGFVHRPTSAASVASRGASAQGTHHAHHHAHGASTAAAAV VHSNNNVFPDAIKAAPRAVQDTLTALRTSLYEHGARGIVGLGRKFRIMDDDNSRTLDL AEFSKAIREHGLPLSSSEVADLFAFFDGDRSGHISYDEFLTGIRGDLNDRRRQLVLLA FAVVDADGNGILDLDDIIAKYNADKHPDVLSGKRTKHDVFREFLDTFDGGEKDGKVHP TEFVRYYANVSASIDDDDYFELMIRNAWHISGGDGWSANSTCRRVLVTLEDGSQRVQE VENDLGVHGNVAAIADALKAQGVQVSAVEASGYVDNVKAKPGKKLQHGAGESSIVFG H257_07143 MIMLKRKKEQAEREAAAAAAAAAAAAPSGTDDGVPAPADDSTAS TADGAAPPAPGGVKIMGLGRGTRKSKEKKRTPGEIRIQKDIAELDGGSAAVVSFPDSN DLTNFQVRITVDTGLWTGAAYNFTFKIPSFYPHEPPKVRCVTKIYHPNIDLDGNVCLN ILREDWKPVLDINAVIYGLIYLFYEPNPDDPLNREAAEMFRNDPRRFQDLVSRSLRGQ FVQGIQFDRLV H257_07144 MRFRTWRIAGVLLVALLQAAATTASALDQESYSSDSSTNTVVAA AAANNNDYPPPTTYPPPTTYPSPSMKKCSPKPTPSPKKKSCAPKITTTPPPPPPKKPS CAPKTTTTPPSPRKSCAPKTTPPSPHSLNPQVQNPDPGVTKPPSACVGFWASCDTARA CSTGATCVDGTCRPVRVGFEQCGGKDWLAESVCGTALGWTCVRQAPFFAQCLPEASLQ CHS H257_07145 MLLHFRRRAGGVFLRPFSSAASSVRSLLDIYEDAVVSGEIQQDP VQVQALQHLQALQDQLVASPPPPPPPVTTDPSPSLWERLRSTISPAAAPSSSREPNGP KGVYLYGGVGCGKTFLMDMFFDNLPLQSKRRVHFHKFMLEVHANMHKLRQEGHVGDPI PHLTADLMRTSRVLCFDEFQVTDVADALILRRLFSAMIDAGSIVVATSNRPPTDLYKN GLQRVLFLPFIDLLEHSCVVHSLEASSTDYRKLKGQAQLHEMYRHPLNEANSRLFYES FKTLVNNEVVRSVKLCTQGRVVDVPHAAPKHKVCLLSFPDMCDKPLGAADYLVIAEAF HTVFLQDIPRLHVHNLNQTRRFITFVDCMYDKKVQLYCAADAAPMDLLVQGTSSKHVI DEMFAFDRTVSRLLEMQSESYGTLSASTDLPSTSHRLAFLRKLQHKPQLHYLDVKCIW DLYNPTQATELECHEVHVLLEDLLELHVGVRDLNSARVDPLFRLRDTLSFARFQRLLT SGQEEKKAAAWWELGHVLDDRLARLEQEDNDGAVPKEVTSHENWVV H257_07145 MLLHFRRRAGGVFLRPFSSAASSVRSLLDIYEDAVVSGEIQQDP VQVQALQHLQALQDQLVASPPPPPPPVTTDPSPSLWERLRSTISPAAAPSSSREPNGP KGVYLYGGVGCGKTFLMDMFFDNLPLQSKRRVHFHKFMLEVHANMHKLRQEGHVGDPI PHLTADLMRTSRVLCFDEFQVTDVADALILRRLFSAMIDAGSIVVATSNRPPTDLYKN GLQRVLFLPFIDLLEHSCVVHSLEASSTDYRKLKGQAQLHEMYRHPLNEANSRLFYES FKTLVNNEVVRSVKLCTQGRVVDVPHAAPKHKVCLLSFPDMCDKPLGAADYLVIAEAF HTVFLQDIPRLHVHNLNQTRRFITFVDCMYDKKVQLYCAADAAPMDLLVQGTSSKHVI DEMFAFDRTVSRLLEVDTP H257_07145 MDMFFDNLPLQSKRRVHFHKFMLEVHANMHKLRQEGHVGDPIPH LTADLMRTSRVLCFDEFQVTDVADALILRRLFSAMIDAGSIVVATSNRPPTDLYKNGL QRVLFLPFIDLLEHSCVVHSLEASSTDYRKLKGQAQLHEMYRHPLNEANSRLFYESFK TLVNNEVVRSVKLCTQGRVVDVPHAAPKHKVCLLSFPDMCDKPLGAADYLVIAEAFHT VFLQDIPRLHVHNLNQTRRFITFVDCMYDKKVQLYCAADAAPMDLLVQGTSSKHVIDE MFAFDRTVSRLLEMQSESYGTLSASTDLPSTSHRLAFLRKLQHKPQLHYLDVKCIWDL YNPTQATELECHEVHVLLEDLLELHVGVRDLNSARVDPLFRLRDTLSFARFQRLLTSG QEEKKAAAWWELGHVLDDRLARLEQEDNDGAVPKEVTSHENWVV H257_07146 MAEIAYYDGDLSDALTKLDQIMAKLSKAPPGVKSEVLAEAEKKL KEVIDLKKGFSLALRQVTDREDIKTYREKNDVYAARVEELTREVKWAKTETDRNGLFG DAKAKAAKVPTGNTDMLNKAQELQGKTEVSLKNTQKMIENSKEVALATGETLREQRNQ LNAITEEVMRMDDGVARANKLMRTFTRRMATDRLILFFTFLVFAGIVGIIVYSKANPN QTTFYVPDQVKPPDPGVIANATGINTFINNTLNSITG H257_07147 MEREPCPWRILEDMGSAFGMGAVGGGIFHSIKGARNSPPGERVR GAVFAVKARSPMLAGQFAIWGCLFSSYDCSLAAIRQKEDPWNSILAGAATGGTLAMRS GPRAALTQAVIGGTLLALIEGLGILLGKMVTPPPQEFTDEHGVDTSEQQLAPPSF H257_07148 MGPTDASKVNDDDDLLDAGSPTGTLRWTPLQYACAAGDIAVATT LLENDNNLVHQVGQTQGKYTALHVAVQSGHKDIVQLLLAHGAVVDAADTRGFTPLHIA ATMGLLDMVALLLQANATQQPSKAGTLPIECAHATGFDEITALLGPSTPSTSPPSDSG VRDWLASIGLGQYAHAFVQAGFDDVNFLHENGLTTEDVVALGVVKRGHQLKLKRLYQI DRFIHKPDDDTDSHYTSSDNSSEDDSSEGDDDE H257_07149 MTTNNDAWSWYRNVLGAPQFVCAPMVRQSELAFRLLTRRLGCQL AFTPMFVASDVVAAAAGSMDATIDVSHQFFATADEDRPLIVQLAGNDPITLATAVALL QHRCDGIDLNLGCPQRCARTGHFGAYLLDDPDLVEQVVRAMVHVAKVPITCKIRIQQD IRASIDLARRLEDAGCSMLTVHGRLRTQRHHEGTCNWDAIRQIRQSVAIPVLANGGIQ SRQHAVECIKYTGCAGVMSATALLQNPAALSASPTSVYATALQYLALAREYPPLHADT TRDHILTMMRSKCQRQFIDLWGVIQHVQLPDQLEACVVHVASRHDDTVVVSTLFNDWE ALPSFKDIKSNWTSRPNGWDVDHAVELRGWANEDY H257_07151 MQGELLGCVQSGDGSPCLRPSGIPPFVEMYKQLQRNDQTLALIL ETILKGVREIVNENGVCAGNITRTVLESSITNALSLVSQSFGQFIVPTTTHNVPPTVR LALPPHPTEIDAIDAFAATSGLFNVISGETTSKRLRRDCQLKVTTMVRLVRQQDATKY SRPFKKRKLTSPKEPPALGRSLLL H257_07152 MARQQDFTIASARRNRISAQTRSGYSSGINQVKKWVVLAGLHDL LAPCAESSDGTTLDLHAFHYEHFLDFIEWTVQNKDVEVGTLSGYRSAIQSLYKDQGLP VPLEYGEDIKEVFSGLRKTVAQDLQAGAKLYRGKRPMSFAVFETLCEKSVELFDGGFA HLFLILSWNLMCRSKSTETVRFDHMSCEDDSIGFTFFKTKTNQEGTKNKDPKHCYANP FKPSICLYVALGVYLSCNSRITPTELFPGANQKDRFGKSLAALIEAPKTGAKKDIRTH SIRKGAATFVSSGSTGGPSIVSVCLRCGWSLGNVMERYFRYEAAGDQFTGRVVAGLPI KSSDFAVLPPHFRDPSATVVLSALQVVFPLLKPQHRPSPSAASVLPPRHAMRSTTLFT NGTMQGELLGCVQSGDGSPCLRPSGIPPFVEMYKQLQRNDQTLALILETILKGVREIV NENGVCAGLALPPHPTEIDAIDAFAATSGLFNVISGETTSKRLRRDCQLKVTTMVRLV RQQDATKYSRPFKKRKLTSPKEPPALGRSLLL H257_07153 MVDGPMPSPVVPSMATTRSNAKRPRADDGRYTESRPPPPLRVSP RPTSAAGTGGVAAASVAKPDKLADDLHPSLMTERQQVAFLLRQSAQAMTASSDDSEDD RRPTRTSRQTKAPPAATPSAANKENETSRRRKAAAPKKPRPIARASVIDIPSSIKVDT ARCCLCTSWTDSLFLCGHCDKKYPTQKALGHAV H257_07154 MSQFAHGIRSFDATSIPDPTATSSSEEAVDGHNTTHVLGVAHSS ASSLVVHLQSIVWLTYRKDFAQMVPYNFTSDAGWGCMLRSAQMLLCEALLRNLPIHAD RRFQWNDASAELPSSVVQVLRSFVDSPSTKCTFGLHRLVQVGMQYDMLPGEWYGPTTA AQVLRDLVNEQTHFGNLNLCMYVPQDGVIYTDEVNKLCITSFVEPGVATPSLHPSMFY DPLFNPPQVDVAADWTHSLLILVPLRLGLDKINATYIPALTAFFSFPQSVGIIGGKRG HSVYFVGARGHQFHILDPHTVHPTPDADDAAFPTATHARTIHSTDHLVMEVDYIDPSL ALGFLCKSRTDYDDLTAHVAALAATHTCPISIAAARPDYAQDSSELHSVSGDSATDGG DIDDEDDYVLI H257_07155 MLVLQTSLVAVLTAASGLATAVGPSITLNGWFSCTEETFWHPPS SSNRRRLHEAAAPFGSPPVPDGAQCGQYSVPLCHPGVCNSTDDTIQVFVKRLVGSTSK VLWVLQGGPGASSVNMEPVMIELFHQLNEQVTIMTMDHRGTGRSTRLECVAAQAMESG SPSGRNVNDDELPNCLRDIHTRYGHPEAFSVTSAALDLVAVIELDQRDQEVFLYGVSY GTLWLERFVAVQPPTMTNVHGFVLDGVVPHHGTRRLYMHDWDTNMDSVGREFLALCDA DSFCQSQFPPPSSSIAQSMQALYRDTHPSAAYIKDMLDGPYGLKVVLSVLLTHSSLRL LIPVLVHRLHRGTPGDLDVVTYMLDILSDLWTGHDDESLSYDSDLLYSTVVFSELWQH PTPSEASLLNTFVRGLFGYGVVGMFPSYCVYTNDPTPDCDAHRANDVSFTYALDRYAN QPVVAPTEASILVLNGGLDPQTPLAYAQAQFDAIQGAKKLIVFPTAPHAITYVTWLQE QQGPPTTCGVLLVTSYVQVGGNLDALDTSCVAKTRPMTFRIPQQLGHVFPLVLDGQLF DGPLATSTTEVAATASPSSLPPQTTLEQPTTLPPSTAATTTTNKEGAGVVLGNTPTAA PLPPPSSSTNMAESMVGLLGCLLVVTGIVAVKYFVEAKRLRRHMPLEEDVA H257_07155 MLVLQTSLVAVLTAASGLATAVGPSITLNGWFSCTEETFWHPPS SSNRRRLHEAAAPFGSPPVPDGAQCGQYSVPLCHPGVCNSTDDTIQVFVKRLVGSTSK VLWVLQGGPGASSVNMEPVMIELFHQLNEQVTIMTMDHRGTGRSTRLECVAAQAMESG SPSGRNVNDDELPNCLRDIHTRYGHPEAFSVTSAALDLVAVIELDQRDQEVFLYGVSY GTLWLERFVAVQPPTMTNVHGFVLDGVVPHHGTRRLYMHDWDTNMDSVGREFLALCDA DSFCQSQFPPPSSSIAQSMQALYRDTHPSAYVEYNNDMSISVGVSFRGWVVCRAYIKD MLDGPYGLKVVLSVLLTHSSLRLLIPVLVHRLHRGTPGDLDVVTYMLDILSDLWTGHD DESLSYDSDLLYSTVVFSELWQHPTPSEASLLNTFVRGLFGYGVVGMFPSYCVYTNDP TPDCDAHRANDVSFTYALDRYANQPVVAPTEASILVLNGGLDPQTPLAYAQAQFDAIQ GAKKLIVFPTAPHAITYVTWLQEQQGPPTTCGVLLVTSYVQVGGNLDALDTSCVAKTR PMTFRIPQQLGHVFPLVLDGQLFDGPLATSTTEVAATASPSSLPPQTTLEQPTTLPPS TAATTTTNKEGAGVVLGNTPTAAPLPPPSSSTNMAESMVGLLGCLLVVTGIVAVKYFV EAKRLRRHMPLEEDVA H257_07155 MLVLQTSLVAVLTAASGLATAVGPSITLNGWFSCTEETFWHPPS SSNRRRLHEAAAPFGSPPVPDGAQCGQYSVPLCHPGVCNSTDDTIQVFVKRLVGSTSK VLWVLQGGPGASSVNMEPVMIELFHQLNEQVTIMTMDHRGTGRSTRLECVAAQAMESG SPSGRNVNDDELPNCLRDIHTRYGHPEAFSVTSAALDLVAVIELDQRDQEVFLYGVSY GTLWLERFVAVQPPTMTNVHGFVLDGVVPHHGTRRLYMHDWDTNMDSVGREFLALCDA DSFCQSQFPPPSSSIAQSMQALYRDTHPSAAYIKDMLDGPYGLKVVLSVLLTHSSLRL LIPVLVHRLHRGTPGDLDVVTYMLDILSDLWTGHDDESLSYDSDLLYSTVVFSELWQH PTPSEASLLNTFVRGLFGYGVVGMFPSYCVYTNDPTPDCDAHRANDVSFTYALDRYAN QPVVAPTEASILVLNGGLDPQTPLAYAQAQFDAIQGAKKLIVFPTAPHAITYVTWLQE QQGPPVRERTTDPMDRSSFPLHLIR H257_07156 MPRITLELLRKRSEHNEGMVSTLEEIALHQEEIEKIEVIGTLCR KLRILYLQNNIIEKIEDLTHMKDLRYLNLALNNIKKIEGLGSCEFLEKLDLTVNFIDV DTLEESIRHLKPRVHLKELYMLGNPAQSDWENFTHYVVASLPQLQQLDGKDITKSDRI KALQKLPVFKKELAALIQAKRVRDTADMDVIPVVSGAATDISKAGATDNQDEKLPYTP ETRRNMYMELAEQKEEEDARKRSNMPKERDYAREHADALAKARHVQVEGGAAVRQCNE GKWAFHMDEESKPGFVLVEVGVPKFLDSSLIHVDMHPTYVSILIKNKLLRLRFPDEVK CDQGTALRSKTTGSLQLTVEKVDPASIQRARRARQRRQDEKDEDAKSNQATHDAAAAR RPGTIQDQMLKSAAVSVRGLVARPGDAARIAEKRIDAVSTKILPRGAADTLDNNDEDE PPMLF H257_07156 MLGNPAQSDWENFTHYVVASLPQLQQLDGKDITKSDRIKALQKL PVFKKELAALIQAKRVRDTADMDVIPVVSGAATDISKAGATDNQDEKLPYTPETRRNM YMELAEQKEEEDARKRSNMPKERDYAREHADALAKARHVQVEGGAAVRQCNEGKWAFH MDEESKPGFVLVEVGVPKFLDSSLIHVDMHPTYVSILIKNKLLRLRFPDEVKCDQGTA LRSKTTGSLQLTVEKVDPASIQRARRARQRRQDEKDEDAKSNQATHDAAAARRPGTIQ DQMLKSAAVSVRGLVARPGDAARIAEKRIDAVSTKILPRGAADTLDNNDEDEPPMLF H257_07158 MKLVRFLMKLTNETVTIELKNGTVVHGTISGVDISMNTHLKVVK MTVKGKNPTSLDSLSIRGNNIRYYILPDSLNLDTLLIDDSPKQKVKAGSAAAGGAAAA KGRGRGGRGRGRGRGRP H257_07159 MQNAPLLDKFHRMFLYRIMGSPRRSRSKMGRARKVIHDLIVHTR GLVRNRGIEDPGWLECVNRYPPPPMPRVDRDKIPTISFPQDRLAELYAAKSGYATDDE TAYEFADEQLTLIEMGIPEKEAYATLATKYQKVEAERFLQNFYQMRGQPFIPSTKPEE MAKRWEEDEAAAIKEGMRMELQDKQELESDTWMIRK H257_07160 MEVKLHALLDRYEDLAAILVSTSEGVPLLKVEHEEHPSDKDDGS MFEYAETVLPSVFAAAAEQAGKLKFGSVSAITCFFDSTALIHINHLPLVITLIAAQGA SLGALFDLADDLKALLLPLKKVVESTDAN H257_07161 MPSDVSYFFGGPLAINMFIAGGALNLMIFRSMGFPLEKVFGIHA DEVPSPRGLITFSAFLTTLLGALYYVFKLHSIALIGRCHELVLVVYCVVVLALLTLPC NVLHVKFRRFLGRTLRRCLFPFTWVSSGGIVFAQTETPFVEVYIADGLTSMSKIFGDV AVAILMMRQSVVGVRDDMYTSKMKHHLLPYLATASPYMIRGVQCLISYHRAALVNDKF LHVLNTFKYGTGLCVILVGALPVLLSPSSMSDQRLLDTETLFLLCACCNSLYSLFWDV VMDWGLGQPPIPATGGTTDKPSAHNCDTDHDLKQSSKAATSSSPPLWNATQPNLHAYL RHTLLYQPKAVYFAALAIDALLRVLWVTSNWHWVDVVGADFKMVAQVAEVCRRCMWNF FRVEWQCVKLGWLPPPVTRSTYYVLKGDEDGDESLLALSASVPTSPTSPPVPHLIMSN DKHSVTTLHHHVKQGGVDKHV H257_07162 MDHAQFTTTTATDAAMTLPTSATRTTALAKDRGGMHVAAAASTL WGGLTTSTDRYTVDLVQWQSPNDLPERRLMVQRIIAMTRRHKRRVLLGIDDTWDEKTP SLAKRIELSLYSRAASFEEYKDLNTLRRRLQSLVSLSYHEAASMKACKRSQTDDVAPR SVKQKIGPPAQPTSSSVFLLHNEDLVRHIYGFLDGKDVLRHTAVSKKAYSLLPSCVHT LSLSVDTLARAFELQSTRFLIQLVNLEALDVYSHRKKPCTTDKSHDAESSNVALHAWG CSELDISQTNVGESAVLELATAISRGAGSKLRRLRLVSAFTNTCHRNAIHALCAALQR GGCPRLEDLLLGGNSFSDCGTVDVAALLSEFGAVPNLVRLDLRRNFIGESGLKRIMQA LGVGAVAKLKYLCMGGNIITDNSVSPVVALLSSTLCPQLRFLGLEDNFISPSGVQSII DAAVCGGMMPKLHKVAAAE H257_07163 MLASPSSTDDSRCQEDDDGNDGHPFNNEVRTKQYTKNWSSRLLT RMKSVVLSPFLEVELDMSTRLAQYKSVLAACLVDRNVQSHQFSMDLMRMDKEWSVRVR KLACHGTSRQLVERHRFLHHLLVQELRLAIEVEACVSQILDTRCCECDAFMELATSCP PSDVEGIVDLSFFAPPSQLITTHPEYIRLHSRQQCLVSVIAQARDALQRAAPSPPPIL SSSPEPLAMTSSTAADLLCILRAMVLAYPRDDLHPHDDFPLLSFPTPAAHASFSVHLE SFRRAVSTTHSTTGRLVRRWHVKLRQDIERIVVQEEEEEVSSNHSTARDAAFLDASCP LISPARIIVHCPTTRANPFAAVAIDTARLPSPHVLAAFESFLARRIYHDLMLHQHQQH MKIDHEVMTSSSSTLAAMLHVGVHHVVFANLAPLTSAYEDNAVFKHLGHLKGRPKASA MPLLLRPLASLSGNDDMEWTPALLPQTMHALMCLCAETTPLGILSILMIAIRCLHEEV APYIPSMNADVLIPLLLQVFWMQLDVLPSIYRRLHAAATFSQAYVHDGAEVVYYLTCM QAAASHIYASTVESCQHCIAEHDVSIQWRDLGAPDIDSVGPNNDKEAIAELSAWLKHH SVADSTISIVSKESWML H257_07164 MTEQIEQLDVKLAKWNEMERRVQEDVANVSSVITLNVGGTIFQT AKDTLLRVEGSYFHALLGSGMWNPTPGMGGAYFLDLDPVVFRRVLRTGKVSTDGLNDL ELTSFKSMMEYFQLHE H257_07165 MQGTATNVGTTLDQVEASELETKLVAWNKLERRVKENLALVPDI VTLNVGGTTFQTAKGTLLRVDGSYFHALLGSGLWAPTQEGAYFLDLDPVVFRHVLLFL HTGDVSMVGLTFVERTVFKSMMKSLNLDGLQGWQWHPTDDFTLSTDSRTIARSVTSTR NVFDVGAVAELPPSGSCRIRVDNVDGPFRIGLGTITDQDDRSRPRRNQCYLYESDGRF FNKNHHVQSSALPNLKTGDVVTVRRAPLRIGFAVNDDLPFYVELVDPSEELVLVVGMY RIGTKLTSVD H257_07166 MIDERATWTDEKDAIWMTEMIYQVVVLGKCANSGFKKEAWQAAL SNLNIEHRVNYTKVQLKARNAEIKEQYAQVSQMQHFLEGKPRRWALGETKRFPQNLRC QQLYDGCHGKVRIINNTTGKYGQLNRQW H257_07167 MVQYHYWAYVLKSSKRESILSGIGWVNEVLRGSEAVVIDNFRMS SRTFHSLVDAVVKTGTLVGGREVCIEEQVAMFLYFIGHRASSRAIKCRFQRSGETVTR HLHAVMASLVNLCPTNIRIPHPGGAVHPIRVSACDVSRFQSRKGVIMNVLTACDFDFT FVMAGWEGTAGDGKLYDAALRMGLHIDDAKFDILDAGFALTTKARTSYRGKRYHLKEF ARGRQRPQSKEEFFNLRHAQLRNVVERIFGILKKRFLVLVCPVEYNYKFQVDLVLALC LLHNFIRQHGNDSFEEEVVDEI H257_07168 MLYATTKISMCNAVIMAHNIRRLGTPPSIAIVILASVKLDSHPT SEWSAALDAANAVQVPVEPWTSSSLQGRSSVWWESLTKLRIFEERGYDKVVYLDADMW LHRNLDHLFMLKRNNDVNDDPHEPPPRSHQATLDQPKATSTDVDSSMLWAPRAYYISP QPFFASTLMVFTPSNARFRQLYDAVTQSTRQDYFDMDVLNDVWDPVTFLPSHYVVLNS ELLNSPSLVFEFANASDRIAHTYAHHFSALPNGTYGKPWEVDRPVGHKWRTKRKAAPL FYQLFDMYLDANDRLCPWLQPRDVGRHPPQDS H257_07169 MHTLRAYVFERIVAPTTTRTAHYTNPPGHDPPLPTPLLRVLSTA SSPPFVVMSYRRACKTCQRQSPEDIGQCQCEGIYRIPPHNPPSSPLLTPPSTNRLEWA PPPPVLPHHHQYDAHRLGSVEREDLSAMDAGVIPLVQVGDRDMETALATVYYFVSSFP LLPAQIPPLERLWNLPPNAASPFQAMHWWLLNHPPTTTVIPRHYDHILAAVNAVVAHA CVHQLPQARAYLRLHATSLLHKADLEHTYRELVHRIYAALDTVVAPLGMTLFSLGHVI VEICDEVPLSTTSPVAVAAAMSSCLTQVRRHMSSPRAFEWFVAQMREMYMAMEVPSLM EVVRPRASSALLDGTWGFDRIVPSTHEMPPPPSWLCPSMLTVLRATTMGLMGFHLTTE ASTSSCSVTIQEAMLSDDQVPSSEFILDQTHRVVRVFPNGETTMGVVSHLLAGDYIGA YHRGDGREGPSMAISLFSWPLHGVAAPDEGHAFLGPSKSMCFQVKLHASLVDLNTLHV HMHVFAAPERPMSNGAAVDYWGMDATQRQACYDTPYEELVVELRGQYQRRTNTVAWSN R H257_07170 MTTTSADFAASLLQSIEASQRTFAGGHLVPSLDKENKTVLHATL VWKDRDLVNSTTLVSSTQHVLANNTVVSGLPTSIPSQYVSYSPSNKRSVVITDVVDDK TTLAQFAFYSHHRLVHVHRTPKELHGALYLGVSEGGVCWSEDESVVFYLAEQKEADAK SFWSSANAAADPSKDASSSIGHQYDHKEDWGEQYVGKRTSRIFTMDIRSGKCAEVAGI PSSLACSEVAVVPRANTIVFTAIDTQAGRRLGLIYCFNRPKALYSLELTPSSTATRLP LHVAANTRSARVSPDGTKVAFLGTADVVTHNTCNMLCILDWTTKEERVVVPIVDTPSD SAFRGLYMLALFRRCWSHDSKFIYVNTEVGTRVVWKAIQVDTGAVHTPVYALGEENTG SETLVDVFETHALVAVSTPQTPVGIQWVQLQRGVQVVSRTPVDIQDASPHVDAWSIES IAPVAATSSRSLSPLAQSATPLLPTVSSDAPYDALVLWPSTATSVVGFGTTTSTSSTN GFPVVLDLHGGPHSHSPATFRASYAYLCALGFAVVTVNYRGSIGYGRHALESLVGRVG SQDVTDCHHAVSHVLAKYAGRLDASRVHVSGGSHGGFLGAHLIGQFPWFYKSAVLRNP VTNIASLFFTSDIPDWGCAVTGVAAFESIATNSAVVTSSTDRTATVARFWELSPMAND LAAIQAPVLLGLGAKDRRVPPTQGLQFFHSLQHHGRTVRVQWYPDDCHPLDSVKAYAD FAVQWGLWLLQHNK H257_07171 MSRNNNGTNGWNDTEFKNDESSFEAFAASSGPLTLPDFGGNSQI DLGAIAPVFGIAGTTAPSAADYLEYDARGRGFHERATHIIGMSYFGGILGGGAIGVVE GIRNAPSSKLKVRLNSLFNAAGHRGSRAGNALGILALMYSSIQELADTAELERFVPVD KAVPVLAAGATGMLYKATAGTRPMVMAGAIGAVVMGAFQFGSSLLRL H257_07172 MPDSLNNPFLQVWVTQPIRRLPRSAWIHHLRVAAYRYRVHMTLI AVTSLSDVPLPPGHDLLGPVSPVDRLTAKSPKHATFVDPKKDLKRVRSVGFEGADIVE FEPTIFTTTVTSGGVPVGLSNMERRRIRRRLDSFELERKDERVCRQNYMEEGYLDPDE RATILGNAGCEASSFETVEAELNLIIAHRKESNEMDVQCIYGLGEYGAEDDIHLSAYD DDASDDDDDDGGPSPFHCTYFATTADTTHEWKQTLDIIARTDGMEEGGVWHNPFRPSP EHADRCPSTKDDTWMDSDALTACSSVSTACEENYSDLDLSSTDVGDVSVL H257_07173 MYRKDVPFAAHKLVTRRKPRQELSDEQRKELVEAFDMFDTNKSG SVDYYELKVMMRALGFDVKKQEVVKMVEEVDVHRSGRVHLDDFMEIMRRKITSRDPDE EIIKAFTLFDDDQTGEITLKNMRRIAKEMGESLTDDELQAMIDEFDSNQDGVISQDEF LAIMKQSSMY H257_07174 MGVRTKTVKRSAIQIIEKYYARLNLDFDSNKRVCDEVAIIPSKR MRNKIAGYITHLMKRIQRGPVRGISLKLQEEERERRLDFVPDVSAINVDTIELDNDTR DLLAHLEITLPGIRAVQGREH H257_07175 MAAVNTKKPLRRATYVKVKDLTPATKGHCLVVQVYALGHVTEKP RYDGSVVRIVEATVGDETGTVVLSARNGQIQLLDVGRVLVIRNANADVYNGFLRLNVT QWGKIAPHPDGIASTPNAPSSINTVNNISSVEYELVPLDDDHGDDHNATHT H257_07176 MISLSSPAGIAVALSASIFGSFAKFKELLGDAPGTKSSSRANVS AGVLESMLIVTPFDMIKTRLQKDGGGQGAVKRPRHLLASEGVTALWKGDVPTMLRQAC NFTVFAIDEFEPVSVHGQEPKYKGFVHAIGVISNAEGYMALWKGLVPRKEVPHCVKRS WTREQQAAAPCMFSRRASIEPIGTSREEASPPRRHRRASAGEVFAPLLSLLGVPPASS AMSMSAPPTTQSRRKTTNTPVYRFHEDGLRVLAIPPARRRRRKPTDDAVYTPMETTMP QHPDVLFHPSSSGLSLKAASSHTTFGSQSDTESASDFRAASAPCDAVVRVCLICDCTA SRFPSPNELIPSPCKCSLSWVHLNCLQEYREASGRNSCPVCFTTWYQGYAQVSFRRSF SMNLFGPGHK H257_07177 MATLNAFDVLMKRKTTDTPMKSTGFSMAKVSRKRRSTYPPVVME ATEPRVDVDLPRKKHKQHQQLFLDLGQKDIGERTCSACGLLYMHGVESDDRAHAQYCK KLGQGIVISGWRSERVHRTLDTKAARIIEIRGDDSSAHVKKLLQIKSLLDDALGLVDE GAFLKQKHFVYLHQNRVVGVVSAEDVATGYELDSSSEIVSIEPSSQGHKVMVGVSHVW VHPSFRRQQIARALVDVMRQGFSYGMTIPTSKVAFSQPTNDGRLFAAQYCAPHPLLIY SS H257_07178 MFLARTFQHGWTRRSMSTSTGGGFHFPSPRSLQSLVKLDDLQQE TPDAIRRIWGEYHDAKSDALATVLTAAELETLIARGKKCSFFVFPVYRVNSETKEEGF FTMLSQFQDKCFLLTTLDAYRENPAQAPPCLTVSLFDDLVPSKELALVRGDVANVLDK PEASVLLDAVLARYVDDDLFSTVEAFNLKPHEFNFDAYLAECKKKRDDSRE H257_07179 MSPTLDKLRRAFAGLAPRVLDAELRIQSSSSVARVSALLVESED AHGSEYVSSRDMRRTFVSGFDGSAGTALITHDHAYLWTDGRYFLQAEHQLSKDWTLMR QGQKDVPTVEEWVEANLHAGDALAIDPLLTSVATARRLVTSASKSNASVVCCEWGANV VDQVWADAQPARVPSDLQVLGEQFTGASVATKLSNLRVDLAKKHATAIVLTALDDIAW LFNIRGSDVEFNPVVMAYALVTVTSATLFVDAQALTPDVLAHFGSHVQIQSYDTVVAE IEAYAASVPKDTASILVDPAQCNVAVFSAIPPALRKEAPSIVLRHKAIKNPVEIQGMK SAHIRDGAAQVRFFHWLQEAVTSGQVITEVSADKKQQQFRRQMDHFKSLSFATISGSG PNGAIIHYHADDEATCGRVTTDQMYLNDSGAQYLDGTTDVTRTVHLGQPTAHEVHCFT HVLKAHIALATAVFPNECEGVKLDAITRAPLWKVGLDYRHGTGHGVGAFLNVHEKGVL MSFKLNPTGLLISEHMIVSNEPGYYEDGAFGIRIENLMVVVEAPHVGKSAYGKFCTFE TITLIPIQRKLIDVTELTAHEIAWVDTYHADVRAKLSPLLEGGALAYLEHETRPLVQ H257_07179 MSPTLDKLRRAFAGLAPRVLDAELRIQSSSSVARVSALLVESED AHGSEYVSSRDMRRTFVSGFDGSAGTALITHDHAYLWTDGRYFLQAEHQLSKDWTLMR QGQKDVPTVEEWVEANLHAGDALAIDPLLTSVATARRLVTSASKSNASVVCCEWGANV VDQVWADAQPARVPSDLQVLGEQFTGASVATKLSNLRVDLAKKHATAIVLTALDDIAW LFNIRGSDVEFNPVVMAYALVTVTSATLFVDAQALTPDVLAHFGSHVQIQSYDTVVAE IEAYAASVPKDTASILVDPAQCNVAVFSAIPPALRKEAPSIVLRHKAIKNPVEIQGMK SAHIRDGAAQVRFFHWLQEAVTSGQVITEVSADKKQQQFRRQMDHFKSLSFATISGSG PNGAIIHYHADDEATCGRVTTDQMYLNDSGAQYLDGTTDVTRTVHLGQPTAHEVHCFT HVLKAHIALATAVFPNECEGVKLDAITRAPLWKVGLDYRHGTGHGVGAFLNVHEKGVL MSFKLNPTGLLISEHMIVSNEGITKTARLAFASKTSWLLSRPPTSASRRMASFARLKR SR H257_07180 MATTTSSALHEPLLDKDLHDDDGDATDDDDDDDDDNRKSQAIIL ARLKPMGAVATDGMASKYADTFTPPLERLMTEKEFRVAIGAINQTVADYFPCMCCVVY AYVGYALTCGLILCCARPCTSEVDLHVRRVLRRINRKDIFQNHGIRWRLRRTRWTSWI EVSHSPEHDIRRIVVTIPDVRRMSVPIPSSSHRPSSRPASPVGGSSTGPSIPNDRRPS SPL H257_07181 MDAHILACVRGQVVTSARFLDRSPYNHKKQPEAYMRERKATEVE PHTWHSTEAPSAMVVLTSRDLLCAIATYQNGLFPTLLPVFTSGHWMSTFADNEFVAMH LGPHGRVREALLHCILLDNLDLLRLLLRCFPRYPTDDYRFHEVVDAAIALNRPTMARF LMHLKPRSRCISRALSLAVKNGHAHILDEFLDGVDKAGIDVIRP H257_07182 MAKRGQVMVRWSAVAEAVQSQDGFDRPGFDGKRAQNRFTLLLEG HRHKDEEGKRASGTDEGYGEKFQLLDDLLSAFDDWKNEEKVRLEEVQQEPDRVDAMAA TIRDEAMKSLGKRKKAGQDDGEAGSGGGSAMTKMMKMMHDDSKADLEFRMRVYDSDLK EREIIREKEFEDRRCERELRAEQLRFQHEQLRVQHEMMMKLLSTLGQSQ H257_07183 MVLAQKDLALLLAHAKTKRQRRFVSAVIAAQVVERPLIPDVRFD LNAMSDANALLEFRFDVAGVQQLGFLLGLPAVVITTARNRVLRDEAICILLSRMAFPT RLFDMARTFGRSRPVLCDVFLHVLNEIYDRWNHLLYFNYKLLQRNVDRYCAAIHRKVT VPDGLCAHFFGPIEGRRHDTTMLRERRLLGYLANHPEVFSRKVIYGDPAYGVSEHMLS GFKGNGLSTMQRDFNKWMSRLELFQLQCF H257_07184 MADFGELVLVLGDMHIPHRAVDIPEKFKKMLVPNKMQHVLCTGN MVTKEQYDELRGLAPNVHIVRGDFDESSNYPDKKVITIGQFRIGLCHGHQIVPWGDVD CLAALQRKLNVDILITGHTHQYNLHVQHGKWFVNPGSITGAYSTCTQDVVPSFILMAI QGPKVVAYVYELKDGDNVVVSKTEFVKQHK H257_07184 MADFGELVLVLGDMHIPHRAVDIPEKFKKMLVPNKMQHVLCTGN MVTKEQYDELRGLAPNVHIVRGDFDESSNYPDKKVITIGQFRIGLCHGHQIVPWGDVD CLAALQRKLNVDILITGHTHQYNLHVQHGKWFVNPGSITGAYSTCTQYVQPTLHLPQI CHVHHDGRRDVVPSFILMAIQGPKVVAYVYELKDGDNVVVSKTEFVKQHK H257_07185 MFSTNNEEIDFLERLRKRDREKLKLKQKGHLPVMDRETLVQLCV DNDGYETPDLNDNLFAHFKGFQKIEGLEPFFNLKALWLESNGLSVIENVDCLVHLRCL YLNKNRIERMENLHALTALTTLDLSENAIHTIEGLGALTQLTSFNISKNELEHVADIE ALKNYKSITNLDLSHNKLRDPSILHVFEAMTNLKALRLTGNDAVSKTKYFRKTYITTL PQLGYLDRPIFAMERHTAAAWKEGGAAAEEIARQAFIQHEHDERRRSLQEFRDWQADI REKKLAELAAPKEAGGGDDDSEKATQQSRRMEQARADSAADKALVAGNGIVALGAAFW AEEDAKQRQKQQVNGATVEAKDGAAAVLSETEHQVTDANPPEEQVVDALKSRPVYETD YMLDVQDIALDWATISDDEKVVMAEEEGFTSDIMASEAPYRPSALQHTAPHSLFATSA ASLPPPPPPSTVERDTWESLQRKAGTAAFASLLPPQHLPSAYSNDNSTNDDDDDQVPV TRDGLWRQLTRFTNVSQLD H257_07186 MSSQSKTMPTQPDHPPKVTPATDLNAYAMAVLKQLKLMLLAHKQ EINDLHAQPTESAKPNGLWAVESETKDMLRKVKFNGKYISAFKVKFQTVCQLRHIWEI VDGSYILPDDAAEVEAFEYEDKTNLA H257_07187 MFSTFKAAAVLALVATASAEGIFDFYEDITSICLADQAQATRCL NDAQPIKYQLAQAVARIRTGTYCTAWLWGSEGHLVTNNHCVPNATVANRTRVEFGSEC STCADPNNDIRGACVGTFVANSTTLVFTDKSLDVSVLKLNLNPGVNLTQYGYLQSRAA NVTLDDQIYILGHPRGKPKRIAFLNDDGTHARITNTSAKSLCREQDTLGYNVDTEGGN SGSPILGAHDNKVVALHNCGGCQITGQNTGNKMTKIVALLKSQNLLPKDAVADDHC H257_07188 MASKGVGHLVDQTSRQSRFPPPGGQAGKLILPFADDLLAYVRER RRGEMHVRDFHLMRWIRRNYFEWITIYLESKSSKSTGYDSLSRLLQRFCTQHHLSQRV SSVSKVRQEVLDVVWLGYAAHLWLKSSDLLDAHETGVYFDMPPGKTYAEVGKSSKVGK SSKDS H257_07188 MASKGVGHLVDQTSRQSRFPPPGGQAGKLILPFADDLLAYVRER RRGEMHVRDFHLMRWIRRNYFEWITIYLESKSSKSTGYDSLSRLLQRFCTQHHLSQRV SSVSKVRQEVLDVVWLGYAAHLWLKSSDLLDAHETGVYFDMPPGKTYAEVGKSSKVGK SSKDS H257_07189 MAPINTNNVLIRLQEQSVRECSFLEASFVDYVEVARLNEERVDD VANPILEKFVNDLGPEDRGGGLTGWALCCNVRRTSWVHDLTILHTRRDLHTTNLTKSA CEAALPDHGELLAKYMATWACLVDMGYIGVDHTLRGIHPKRRPQNGTLDAADVERNRR LSSDRVVVENFFGRVCSFIQRTTFALTNFHLSLMPARTEDEDYYALVMARYQGMANER KRKRAESQPAIA H257_07190 MEKVSIHAFWLCPRAQALWSTLLLIRYGDPTARRIDYIGPLQST EQSRPASWMLQAPQWTGTSFDNIATKGGFSFDPLDSASLDGAMRRTPPMSHFQALATY HAHRRHTLRASVYEALVKQCRQAIPRFVLFDGAARMDTECGGSGAIAMSLHAPLLSEY DAHYLSTATTNNIAEYDGLVRALTLAATMRLTHVQVNGDSNLLMNHMRGLHRVRHPGL RDFYIQARTLAAPDDCRDFGTHATRRPLSPSEKATFYDYLDLDLQHHPG H257_07191 MHVYAYATGTTELKTAAIQMYTEVDLSIWTSPNMTVAMATVYVV KPRWPCHLRLRWPWNCSNLSFLCPDPICTSTNTITRVPHHECQPCGTTLLPRDTRWTR MPTRPTSVHVPAAWFMTLWMLRWMRWFGDRTLRWSVSKGRYTNMASDANPT H257_07192 MIVPVRCFTCGKVLANKWETYLSLLRADYTEGDALDELSLKRYC CRRMLLTHVDLIEKLLHYNTGAIERGGDD H257_07193 MMDHVDLSTGMTWHPAGSSSSKKRKQASVATSAASSPSLTNFAF QCAFDTTQAAVNSVLQKANHACFDQVLDSFVERSSSSTNPHLLPLQPFPVAAVIAGTD AGAASSGLWTDPLTRRLKHRFPFVVGVQRQFTNGRQMLEYVASMVFAEAANRVLEGQW LQIEMQRQEKQLTSRRSYRLPPRVRHGADVPVIKWDTVAAILRQVHDIVAPVMHHSDD VTIQAEMLHMELQSAAEASIADCRANFDAACACMDRLLADSTDRIQYLRKLVGPDTAT ERKLLELHQWLVRQYLSVVEHELKLEAVDTKRSQLRRLLRTIATAHATDQRSGAEDEI GTVPPPLCVPSVLVIVDQYESMDDRMFADFLHMWRDHSTSSLPPLRIGLVLGLSSQFS PAYRRMSPAVASMVSVVPFVLEDSFKSFADICQTLVLASFPVTLSGAVFTYLHSTFTQ THSIQAFLAALKLILYHHATPNPSDPSMASSLDLHRVLALFPLPPDAADAARLPATVR AYVQSLNLSESAALAAEINGGLNAENECVESVVEDAVERVRQRRLLWQCMWTCVDMTW HMCTTFEPEWASLDVAAVALALDGHLMGSIVAQHMQSFFLHHVGVRALHSLVLEWRQC VARFDMDGCDAPALADVASAIHDMVDVLAFVQETAESAETAQMVPEVRRDVWSLLSVR MLPWLVPDASPVGRACSFDDVAVLERHLSSHKETAMAEAFESGANRASWGRDMHVLHD FYRHTAGMWINVAEWLDDFTARCNSKKTKKKAVHARFLRGVAAMQYLGLVRRVGKGDD YVEKMMFL H257_07193 MMDHVDLSTGMTWHPAGSSSSKKRKQASVATSAASSPSLTNFAF QCAFDTTQAAVNSVLQKANHACFDQVLDSFVERSSSSTNPHLLPLQPFPVAAVIAGTD AGAASSGLWTDPLTRRLKHRFPFVVGVQRQFTNGRQMLEYVASMVFAEAANRVLEGQW LQIEMQRQEKQLTSRRSYRLPPRVRHGADVPVIKWDTVAAILRQVHDIVAPVMHHSDD VTIQAEMLHMELQSAAEASIADCRANFDAACACMDRLLADSTDRIQYLRKLVGPDTAT ERKLLELHQWLVRQYLSVVEHELKLEAVDTKRSQLRRLLRTIATAHATDQRSGAEDEI GTVPPPLCVPSVLVIVDQYESMDDRMFADFLHMWRDHSTSSLPPLRIGLVLGLSSQFS PAYRRMSPAVASMVSVVPFVLEDSFKSFADICQTLVLASFPVTLSGAVFTYLHSTFTQ THSIQAFLAALKLILYHHATPNPSDPSMASSLDLHRVLALFPLPPDAADAARLPATVR AYVQSLNLSESAALAAEINGGLNAENECVESVVEDAVERVRQRRLLWQCMWTCVDMTW HMCTTFEPEWASLDVAAVALALDGHLMGSIVAQHMQSFFLHHVGVRALHSLVLEWRQC VARYAPYRTYIHVKSST H257_07194 MAITSRVGAILVGVLLVVYVGPAVYLAIVRTGLFVACVGAIAAI TNPSDASFAVWLAASHNQNTAASAGSALSVLTWMRSAIASWSLLSEEDAASWVRHNMV VFTLVHVPAVERHAVGCFGLWIWCDTSYPLSWLTRRYGPTIVALTQGGTRSGLHVNHA AASSTLPVVAHPAQYVAKARTFLAQCDHAAAADAYLEAAAAVTTTSQSLHYRLDAARC LASSVDKHKSMGRVERLFRMASEEFASNGYFDEAGQCLLELADAFNHQRPTDDHMADG WLSRQSALYIEAMAVFDAGDVGAAAAAAGMAAAAVYATQAMKMPRDAETRTRWLATAS AQYHAVGVRYHPSKLSKQASMLSICCFVDDMERARRAFCSYAESATSFDAPDWLLHGL FEAYEQWNPLVLDAAVAAYDASDFAPMVVWQKQALGQWKAKLVAGDLT H257_07195 MNVALVRAVCRPVRVNPTESLGVCGGHWHEIDGAEKATCLAFNP WGTLLSVGEKEGLVILWDFSSIPNIIRELGPKTVSSSIPDIKQATSCAWSPDGRILAV ACELKAASGSARKGTLLLWEVATSTLIAAVACDSVATHVVFPPLSIQSPLWTFFNVVG LALIRKGANSYMSTSMSSIRSARP H257_07196 MNVALVRAVCRPVRVNPTESLGVCGGHWHEIDGAEKATCLAFNP WGTLLSVGEKEGLVILWDFSSIPNIIRELGPKTVSSSIPDIKQATSCAWSPDGRILAV ACELKAASGSARKGTLLLWEVATSTLIAAVACDSVATHVVFPPLSIQSPPSSGDESQE TMSSVLLSCASGDLQTISWRTAARTTDDSPSTLHYIDPSKAPSLHFHTCTIDTVSIFA AVGSGESPAAAPSTAASARNTAPVVMAKFGADVIFVASMKGGIAMLNPHTYAVIGGLS SVVLSSADLYVDATSLLVPSTKGVHEVHLLPHAPWMEEGRVYTAGAAVRAPWIMASKS PDLQFVLGIPQPRGLFVGEKGMFMWPCRRPSSEEGDQEEDCSNNNAHDMYHDHRFAGE MVAVAWHPTRESLTVVSSAGSVHVLEVQYESPWPGAMYPPGFVLINDNVVYEEPEDEF DLVEPRPAARQDDPAVAVDVISIDDDQHGDGRMTWLKYLPASPLTTWNHEAPAFEDDD VSSIFSCMKARPPSVSASAAAPAAPSKSKKRKSGGR H257_07197 MRRSGRARVIGPSASLNLATHALLATPTPPHLTSQRSLSPKSKK HLSHHQHSQSPQHPSGHALFTHTSASQGDGMMRHANPLETHGLFLGSDDNDDDDDRRS AAAATQHHFFRLLMSRTRSVAENEDLQDWLLQTYNAAYLTLMALSHGNPQFFRNLLYS VTVVSRGAADFVVRQDETPTGSVFIVMTGQCTEMIRRHRFNLTTCTSSNTSSLRQKPA TSHDVDDLTWRTLHPGDLFGLESIYFQFPFHYISLRADGCVERNRIGVSTLHPTHLLV LPTYPQDKTSISTSFRSPSPMDAAPAMSSSRLAAAFLAQTFLLHSLPQASIEFLASHV TPLQVTKDEYLYTAGQPPSIYFVLAGELRVYTVEDVVVTTDGESEVVTRRVELQILKA FDCSGLAEVCLNHSGFLNYCVATADAAVYILPTYALFAVVKTGMPILTVFVEYFTRQR NWLTPGMQRKGPIPCPRCGLPGHMSDSLVCQHTAHADLLDDHSTAPTRRQTSARNVFL HRRIIASERDIMLDESGLQLELNDADGAGSIHKAMRRMDRALGLLVPSQTFHTRRSSK VEPPPLLTNSPSTPKTLPTMNSRSRVKLKLPARDRQLVDV H257_07197 MRRSGRARVIGPSASLNLATHALLATPTPPHLTSQRSLSPKSKK HLSHHQHSQSPQHPSGHALFTHTSASQGDGMMRHANPLETHGLFLGSDDNDDDDDRRS AAAATQHHFFRLLMSRTRSVAENEDLQDWLLQTYNAAYLTLMALSHGNPQFFRNLLYS VTVVSRGAADFVVRQDETPTGSVFIVMTGQCTEMIRRHRFNLTTCTSSNTSSLRQKPA TSHDVDDLTWRTLHPGDLFGLESIYFQFPFHYISLRADGCVERNRIGVSTLHPTHLLV LPTYPQDKTSISTSFRSPSPMDAAPAMSSSRLAAAFLAQTFLLHSLPQASIEFLASHV TPLQVTKDEYLYTAGQPPSIYFVLAGELRVYTVEDVVVTTDGESEVVTRRVELQILKA FDCSGLAEVCLNHSGFLNYCVATADAAVYILPTYALFAVVKTGMPILTVFVEYFTRQR NWYQLRRFTALNHYNKRIDYRLTPGMQRKGPIPCPRCGLPGHMSDSLVCQHTAHADLL DDHSTAPTRRQTSARNVFLHRRIIASERDIMLDESGLQLELNDADGAGSIHKAMRRMD RALGLLVPSQTFHTRRSSKVEPPPLLTNSPSTPKTLPTMNSRSRVKLKLPARDRQLVD V H257_07197 MRRSGRARVIGPSASLNLATHALLATPTPPHLTSQRSLSPKSKK HLSHHQHSQSPQHPSGHALFTHTSASQGDGMMRHANPLETHGLFLGSDDNDDDDDRRS AAAATQHHFFRLLMSRTRSVAENEDLQDWLLQTYNAAYLTLMALSHGNPQFFRNLLYS VTVVSRGAADFVVRQDETPTGSVFIVMTGQCTEMIRRHRFNLTTCTSSNTSSLRQKPA TSHDVDDLTWRTLHPGDLFGLESIYFQFPFHYISLRADGCVERNRIGVSTLHPTHLLV LPTYPQDKTSISTSFRSPSPMDAAPAMSSSRLAAAFLAQTFLLHSLPQASIEFLASHV TPLQVTKDEYLYTAGQPPSIYFVLAGELRVYTVEDVVVTTDGESEVHPTSYHYLIMAR GGTATSYYYTCVSCLNCHWSTCRSLRGAWSCRFSKRSTALVWQRCV H257_07197 MRRSGRARVIGPSASLNLATHALLATPTPPHLTSQRSLSPKSKK HLSHHQHSQSPQHPSGHALFTHTSASQGDGMMRHANPLETHGLFLGSDDNDDDDDRRS AAAATQHHFFRLLMSRTRSVAENEDLQDWLLQTYNAAYLTLMALSHGNPQFFRNLLYS VTVVSRGAADFVVRQDETPTGSVFIVMTGQCTEMIRRHRFNLTTCTSSNTSSLRQKPA TSHDVDDLTWRTLHPGDLFGLESIYFQFPFHYISLRADGCVERNRIGVSTLHPTHLLV LPTYPQDKTSISTSFRSPSPMDAAPAMSSSRLAAAFLAQTFLLHSLPQASIEFLASHV TPLQVTKDEYLYTAGQPPSIYFVLAGELRVYTVEDVVVTTDGESEVHPTSYHYLIMAR GGTATSYYYTCVSCLNCHWSTCRSLRGAWSCRFSKRSTALVWQRCV H257_07198 MFQHSTNITLSKRLLNAFVRGNDSGLRLAVDGPHATIVHTLVTM CTRVHDALDCLSSPLDVADASQAICTFVTSLDMHKSDADALLQMYVECRRLFYKLDAV LACLVRRVLWLSVLVNCHTRRSFVKGCLAYCHITIPSLVDAIEKLKLMTLCAKIALAS QCLPQMDEFVKASIVLMAELPSSDSESPAAYEQDAMHAMTDLLSLLVVVPSPSDPLYF VHGFRSAISKFPWQSALGNRARMLVHVVTFLAAWVPDQDLPYAIGYVPANDVIFGGCA NLPLSLSDMLASVVQEILAHVHDLLQTHDDHIVNLHSEILLDLINALAASVELNAHAC GHLVKLMMGLVAHHAVLHDDIKKYWRNTKTFLVRGADHPPAALGPRHVAPWQQLGHAL HSVQML H257_07198 MFQHSTNITLSKRLLNAFVRGNDSGLRLAVDGPHATIVHTLVTM CTRVHDALDCLSSPLDVADASQAICTFVTSLDMHKSDADALLQMYVECRRLFYKLDAV LACLVRRVLWLSVLVNCHTRRSFVKGCLAYCHITIPSLVDAIEKLKLMTLCAKIALAS QCLPQMDEFVKASIVLMAELPSSDSESPAAYEQDAMHAMTDLLSLLVVVPSPSDPLYF VHGFRSAISKFPWQSALGNRARMLVHVVTFLAAWVPDQDLPYAIGYVPANDVIFGGCA NLPLSLSDMLASVVQRPSRKS H257_07199 MAYTSDRSSPDGGTDGTWQLGVKKLTLSESRLVRECVLSAVVEQ DDPLSLVVPSDKAFTRTAPLVSSTSTVPSKTLVQASSHPGTSSNDVVALEAGELDPLS AFMSDVRDNHGTAANATLPPPTSDSVIALSYVDPKNELLDEWTVLKDVVFQTFSAERF HITLHANDDATDSDVKKKVVYSNSTTSNNPVMQRARARLEQLENPTALDEPTTIEVSQ SEYISRIKALQQDFLNAWHDDQKVLALRITIKCIKLLGDTSFPKFYPCMFVLVSDVLD CFGAHVFNRIKAKADDHHVLPHGFTCVDVDIEAKETCRNWFYKTACIRELLPRIYTEI ALLRCYRFLCDGEYPHIVARLSNMIKGVGDVTVALYARTYLALASSRVLPATNSTNVL LQSMQDYMYIMHRFGLDKAVQYYTQTESTESDLQAIHAPGVHWLMKSIALAATEQHVD LLFHEYKQYAENSMVLEQMVTAFPGKLLAKHTMALVQLIRQTNHKEELFRCLSLKLVE APPPAHDKLVFLNEVWSTITRLDDVHAYLRCAAAFVALLVAHYSVQYIYI H257_07200 MKVPSSLAIAAAFAAASTPDPKFYGINYDTRTSEWGGCKDTTEI DTDFVALNQLTGRIRIYGIDFNCTKLVLKTAANRGFKVWLGMSSEVGVDALKGLVEDG MINNDDVLGVEVSSEALNRYYVLGPGNTAGTSDRHGIETVLDHLRTVRSYLRSQNLTF PVVITDTMDMYSMFPQLYEAVDMVAVNHFSFWENKTAQEGAHFTFTRFQEHQFLAKRA GKLIELHATGWSSVGEEPISWDQGIFTRDLRNLVALQNLNSYYFTAFDSRFGTNKIER SFGIKDVNRDMKFNMRLRVKPLYPVRLWAAGGNVIKAYGYWNTDDSANKNPGRVYAAK PYIGPPGNLNDEIWQWDLEYNRLYSTSSNMCLESFGNEARTLYTAPCSEDEPNQKWKY ANKTIVSQNHARFCIHVDVDHPPNDDGTLEVAIFPCNRLPHQEISLQGTSFEALEIKI KAHGGILTETLGNLTWQTTRVKGLQNLGRQTWTYNPVTQLIASSSRDYENRHCLEAPW RINSARLVFKLCSSDVNQKWVVNDITGQIHHATHIGFCLDGHNNVDGLVYLAWCDKNN PNQQWSIKPLRA H257_07201 MKVPSSLAIATALASSSVAALDAKFYGINYDFRTSQWGGCKDFH TIAEDFNILKEVTNNVRIYGTDFECAKNVIEAAHNSGLKVWLGLWSEVNSTTKIDSFP SQNNALKELVNRTKLINNDDILGIQVSSEALYRYYVLGPGKTTGSNDRHGIDTVLGHL KTVRSHLRDHNLTFPVVISDIMDMYSKFPELYDEVDVAAVNQFSFWENKTAEEGAHFT TAGENPVVKEASPWAQGVFTQDFLTLVARQNLNAFYFAAFDLPFNPTDIERNFGIHYA DRALKRWVEEVHVSAPLEAVRLWAGDNVIKAHRYCNADDDSVNKNFRRVYAAKPSVGR SGVWDDEIWLRDPDSNILYSKSSNLCLESSSENDTQTCARPPAPT H257_07202 MEYEAKKRKASEIAEYADNSVEGRSSKADRDAKAAVKPERLGQL EQQAAADLKLQEKNLVREEAVKKARAAEDAKAKEKRAEEAKAIAAVAAKAADEAAKEA AESASKAAIGGVTDTTSGDGEHPNAAGVRGMQGKSNVKGAHGHVDLGYVRDITRRLTV VGEFDETRDDWGAQSFELQVLWMPLALECMQLARVSFHRFDEFKGAMRELHEREHLER WTVDVGQIELCNDVAFS H257_07203 MADGHTASQPRIIVDVPINFYGFDSVEPFYIIDLDERWDLIIGM FWLESHQPWIDWKAKSMHKVTLLNASQPWIDRRGADRLPPSTTNPLGPPLVAMTNDLA PPSPAARSLAPAHTAQTDPATVLHNPPFVPPTESPYVVIAKITVEEEMDLFSISRADE SDLAAPLKSQTWDSLRSNHITTSSKSLRTSFPTRCPADSPSTTAFNTRLISCLALSIA SRGNDHYLILRGPQGGGSRPREHFPSQQPDLLCEEARWEMAYCTRL H257_07204 MSFTNTAAHQAVFALLRRGFGDNDTAQLLGWMTPKNQARLVEGI VSTIELSAAEAKAAQKTLEEQVTLMSSHGRNLEDPLYVAREKIATLEDVGYPIHFSSE VNQAGCCQIRRSGGRAEDWAFFKCLTDRHCFLSFTDFETELKEMFLPPNSGFRYRSQY LACKQGKRSLQEFIHDLRFLAANINDDESPRSPYVSGAQPARAYPDTFEEPVRSALSE SFSSSFAHASAASSDMDVSMLTQASDDRTCFNCGRPGHFSRACPTSPCGVSCAYIARF PVPRHISTRIVLRAVHVTASIANVMTPHVRLSVPPQARVRRETVAPSRREAIY H257_07205 MEQGKQAAEKLAKNIPKSGSPLAALVQGLVVTGALGYGLYSSLY DVKPGERAVIYNRLSGVKDQAIGEGMHVMLPWFERPVIFDVRTKAHQVSSLTGSRDLQ MVNVNLRVLTRPIKEELAWIYRRLGANYSETVLPSIVNETAKQVMAQFNASQLITQRE HVSRLIARNLKERALEFKIDVEDVSVTHLSFGREYTAAVEAKQVAQQDAERARFIVDK AIQEKKSTIIRAQGQAKAAELVGEAIKKNRAFTQLRRLDAAKEIAQVMSRSQNRVYLN SESLLLNLLQDSTTGQFK H257_07206 MADDFPEENLNEDVALLRDAWANELNAPELLTFQTDLISDMVEQ VQNQQSYVDEMSADVATLTEERSFTNKLYQVRLHSVLQYHPLLVVLITMQMEIDRIKY MLASYLRLRLMKIERHTRHVLHASMDKLSPGEVDYAHSYKDLYESHCRDLLLSKLPPD HQQLDEPHMIDHPDLDTFVFCQSNQDIGNVQIDDRGSEHVNARKDDRHVLRYRVVSSL VEEGKVKLL H257_07206 MADDFPEENLNEDVALLRDAWANELNAPELLTFQTDLISDMVEQ VQNQQSYVDEMSADVATLTEERSFTNKLYQMEIDRIKYMLASYLRLRLMKIERHTRHV LHASMDKLSPGEVDYAHSYKDLYESHCRDLLLSKLPPDHQQLDEPHMIDHPDLDTFVF CQSNQDIGNVQIDDRGSEHVNARKDDRHVLRYRVVSSLVEEGKVKLL H257_07206 MADDFPEENLNEDVALLRDAWANELNAPELLTFQTDLISDMVEQ VQNQQSYVDEMSADVATLTEERSFTNKLYQMEIDRIKYMLASYLRLRLMKIERHTRHV LHASMDKLSPGEVDYAHSYKDLYESHCRDLLLSKLPPDHQQLDEPHMSNIFHRTKT H257_07207 MAGTKKRNEYEPIRDRTGPSASRYSSQQQARPTPDSAIPIQIPP YDIEAGKFAILERQKHVWATLDSRARKIQLLAILQAFIGILVSMDSAWYTHIFGIAIG FVGLVAVRGDKPDVLMVYLFLCVIEFVKNMGYIQDVWSHWGDAPPATAIRTASNGTDG SNIQQYDPTGYAKSVNRWVAVGLENKYLIFQLVMVAIEEVLLIVRRSHSLLHWNSLVH VSHVSLCSGMLLSTPRCSTFNFRAPFQMKPFILFFHVFLLVTFSLINSSKFRLIVISI Q H257_07207 MAGTKKRNEYEPIRDRTGPSASRYSSQQQARPTPDSAIPIQIPP YDIEAGKFAILERQKHVWATLDSRARKIQLLAILQAFIGILVSMDSAWYTHIFGIAIG FVGLVAVRGDKPDVLMVYLFLCVIEFVKNMGYIQDVWSHWGDAPPATAIRTASNGTDG SNIQQYDPTGYAKSVNRWVAVGLENKYLIFQLVMVAIEEVLLIPCVFVLGYASVHAAL QHF H257_07208 MTSTRKFRCDDLFRFNNVNLDVLTETYNMPFYLQYLTKWPDYFL LQEDPNGTMMGYVMGKAEGKGENWHGHVTAVTVAPEFRRLGLAKNLMDYLENVSVELY DGYFVDLFVRVSNTPAIGMYEKIGYSVYRRVIGYYSGDDDGEDAFDMRKALPRDKDKK SIIPLPYPTSVWTGFSAGCALAAAVLMGLFMQTPPDNTTMMLSVVVSVVVALLVLRVL YMCLQRILPKAMIADLTYVD H257_07208 MTSTRKFRCDDLFRFNNVNLDVLTETYNMPFYLQYLTKWPDYFL LQEDPNGTMMGYVMGKAEGKGENWHGHVTAVTVAPEFRRLGLAKNLMDYLENVSVELY DGYFVDLFVRVSNTPAIGMYEKIGYSVYRRVIGYYSGDDDGEDAFDMRKALPRDKDKK SIIPLPYPVMPDSLMD H257_07209 MSSNALNVEGAVHELCLCPAHCNLPPVKTKALTCSSYRRWPAPS YIRCTRRVARRPLLTPTKTSWSLFTFCGPTALLDVALVATGREPSLPKGVFLFVTTQR VKPYSTLPATMTFLDIYKHFQPSRFHGEFVLDQLPSWIAMYTAIEMALHKPLDHNAEL QTVGWSNVSGVDSRLTGVLVFALELVLVVTDYFASLQTFIAIDLTMEWMVHYANLWFT FVIMDVLNLEAGIVLGTIDARLASASCRGNAIFTFELLSCNIETGLSCSMHIRIQVQT HTIVLPHSSSTVG H257_07210 MNSVGAIARTPNAKHRLSLRYDDERLPFLTADQGSRSNGGTSTT SAATTHHAVAPESDGSKYLKIGLYGLINAVILVPLMISFSQIIFRDPVFQPYLADLVK LTMVSGAVHQICFSVLSTLPFAVGQVQDAGLIFLSAIATSIVHSLHKADTVFVMEEVL ATTLFTLCGSTALLGVALVITGKLRWASFVQYLPMPVVGGYFAFIGFFCLQAGVAMMS GKEVKEITDWAQLTDLKSFYLCAPGIVAGIFMWVVTQRIQHFTILPMCMLTILALFFG SMAVSGTSFQDARAYGWIAPLPNATMNFLDIYKHFQPSHFHAEFMLDQLPSWIAMYFV VAFSSSLDVAAIEMALHKPLDHNAELQTVGWSNVISGLTGGFTGSYIFSSTIFSMKSG VDSRLTGVLVFALELALVMSPFSIISYVPKLFFASLQTLIFVDLFMEWIVHARKSMYV SEYLIVWFTFVVMVLWNLQAGIVLGIVGAAFNFIVSYVESTSIRRVWKQSHVKRQFKE RISLLKSRGSIVTLELDGYIFFGSSVNIMAQVRKHVLLTTDELLHQRSTRSLSTSVMA TPNFNSHRLHGRTSTRNNAATPANMGLMALDDMNEYLSEYSADAPIPACRTRFLVLDF DRVTGIDVTAVRSGFNMIKQMLVENGISLVFANLPKHIEAMLRLHDVFDDNDMNTNSC DNVPTKAFETLDIALEWCEDGLLAKEVPVSDSASRLLQSGRIMQLLDVLLPKGGGGSV EHVGGDKVDDVTGPLYVQTQSFEKGQLIYTCGGDVDGFYVIGKGLVDVYLPSTEHKKM GPGFTGRKRIMQVTNGGLLGDVDMILNKKHSFTAEARSNCFIFFIPTAAMDTMKQQHP NLAARFDKAIMRSMALHILEVRVADE H257_07211 MEISQPQSARFLSDNMELLGFGDPCSALIQAVKELFENALDAIQ YTEAGMIAVRLKEVEHDVNVLEIVCTDTGSGMHANQVAQLCCNVFATTKSSRSISASQ CGKFGIGLKAALLYSQNHASIVDSSLKVTSTLNSSEFYYAELVIDPEAHDNGALVRKS KRFHVSEAHKSFSGTEIRLQLPRPHHLVDALHVLMPYFDNLRYCLATSVSVDFSCDIP GFNQYQVCCASQLSPLERFLDAFKCPYESLAHADVDWKSFHANCLALSPYLDETNLDG RCPVTIHIMRFANHVPLLPRKDVYACAMTIAVQRSDWKALGYKCVVGTSMYAPLQLVP LSINERSASVQEPKHVVVAIDVDTCGAPAKFTSLTKTALVEASYLQGVSQCMALALDQ LARIYPILFRRANRSHTLATEYAPLIATAVSSILVNATYAGVNVEHFEPGRPLYGVQS RVELDQRVLDHMTSLLRPHS H257_07212 MLRLRGMRNSQDANPSKPPLKKNEENDENSGQGGRRSTRHKVKR LPLGTLPGPNATSIDKSTLNSKKRPLEDYNKGPTSRKRLDHDILTDEEYHPHEHASKS QVSRRNTVGIAYPTVRNDATPAASMSSRDASMAAVPGGARHSHTTETDGIVTPIPLAP PAMESIQDGTMVSLFSTGRGASLRVSAAKTKSYEALLSSDALDTGPVQPAPIAATPAL GVEVTGSTNPRPTALPPPSVMVSLFQTARGTQVNVSSAKVREYAAKLQESPVREEDHG VQATVDSTTVPGTVSLLDKASASTVDSRQPERSAQGTMSRTSPHDQDSTVSMFQSAKR GRPLHVSAAKAAMYAQTLFATESNVLQIDPSSFRLVSACQLDPVTISADKAYERLLCS HDKELQQLPGIPPLKNKVEALSTPQLSMSQQHGQDSDRVPHDDDSKLRNHRQATAHAT ELAESVDGPFSVVSLFTSGRGRPVEICVDKMQAYERQLFADDDDEPAPPTIEEVEACS NTPSSNITMVRYSSRLANNFKHHDQGSSMHTTRREDDHKSPATAFVPHVTSLNSDLMN ILMADKGDVCKERAVARGCTSRAPVDVCGTSINDDDTSTDCGETATLGNMQRSCTING SPQQHAPERNHLAQCPRERGQDEVDGVHPNGHQDASCTGIDATSCFETAAGRQVNVSM DDAALELLSDPMVDDNMQNTDVHAHLSKLQPAVPALPQLHSDTLASPISTHMSSVPFA TNHPRSRLHEYNARELKPSRGASTRRQPHRTSAFQAPRKLANPIAKIHSDPGRALAPP RRPVVVAASAVPFPVSISESWKFPQLAKCPRAFSPYSFESATTIHAISAENAHTVRFN HVGRPELLHCADGGMTWSAGDLYEYMVAQRHMVPSLGASRAWFVNHFRWIVLKCAAME RTFAAQLFGKYVTQAQVASQLCRRFHRELEKAERSILLKIFQRDAHPGNAIVLFVAAV YPTHWVLSDGWYGIAAVPDGHFKGVKTSLCGTKVVVWNASLVNDAEGIHPLEARVNQV LDDDSQPLKTFDKDKDPYLALHVNSTRRVHWATKLGMEPPRPSCGSSAAYLLQSLPLR SLKQDGGLVRSIRCVVLRVSELLVLQPKESTQGRHPRVIPDKFLHHMLSSSSDPLLTN HTTASTTSAPTPFVRLRVACSHGAWAVRAEITTQKRLRSSITTTAILTLWRPSEEMMQ IACNEGAEIFVTSVAVSWTHDQLGLTSSKHTTIQRIKSDKTAQAFVGYEPRSALTALD MRNKCGKDVDTCVYLVHVTNDYAFATDPSWAILCVKLPRWGAKVWKNGTLVCLRNVFV SHYDAHLDVLDCVMTDTATISTSPPAPSSYFWAPFLALKELFICDSATSTRVTALRRR ICTTILNHDPPPSTSSPIHVDDNVPINKKEGQVARPSTDMESRHVTGHAMHVYTLPTP IHDPVHGLLSAVLYVDTGAQVHEMLVPAHVTTQVTSAVACRQLVHVRVHLGGIDDDMI NSCRSWEALEPFHTRRVVAVNVQRVSPSSHIQVLLQRLGTNP H257_07212 MLRLRGMRNSQDANPSKPPLKKNEENDENSGQGGRRSTRHKVKR LPLGTLPGPNATSIDKSTLNSKKRPLEDYNKGPTSRKRLDHDILTDEEYHPHEHASKS QVSRRNTVGIAYPTVRNDATPAASMSSRDASMAAVPGGARHSHTTETDGIVTPIPLAP PAMESIQDGTMVSLFSTGRGASLRVSAAKTKSYEALLSSDALDTGPVQPAPIAATPAL GVEVTGSTNPRPTALPPPSVMVSLFQTARGTQVNVSSAKVREYAAKLQESPVREEDHG VQATVDSTTVPGTVSLLDKASASTVDSRQPERSAQGTMSRTSPHDQDSTVSMFQSAKR GRPLHVSAAKAAMYAQTLFATESNVLQIDPSSFRLVSACQLDPVTISADKAYERLLCS HDKELQQLPGIPPLKNKVEALSTPQLSMSQQHGQDSDRVPHDDDSKLRNHRQATAHAT ELAESVDGPFSVVSLFTSGRGRPVEICVDKMQAYERQLFADDDDEPAPPTIEEVEACS NTPSSNITMVRYSSRLANNFKHHDQGSSMHTTRREDDHKSPATAFVPHVTSLNSDLMN ILMADKGDVCKERAVARGCTSRAPVDVCGTSINDDDTSTDCGETATLGNMQRSCTING SPQQHAPERNHLAQCPRERGQDEVDGVHPNGHQDASCTGIDATSCFETAAGRQVNVSM DDAALELLSDPMVDDNMQNTDVHAHLSKLQPAVPALPQLHSDTLASPISTHMSSVPFA TNHPRSRLHEYNARELKPSRGASTRRQPHRTSAFQAPRKLANPIAKIHSDPGRALAPP RRPVVVAASAVPFPVSISESWKFPQLAKCPRAFSPYSFESATTIHAISAENAHTVRFN HVGRPELLHCADGGMTWSAGDLYEYMVAQRHMVPSLGASRAWFVNHFRWIVLKCAAME RTFAAQLFGKYVTQAQVASQLCRRFHRELEKAERSILLKIFQRDAHPGNAIVLFVAAV YPTHWVLSDGWYGIAAVPDGHFKGVKTSLCGTKVVVWNASLVNDAEGIHPLEARVNQV LDDDSQPLKTFDKDKDPYLALHVNSTRRVHWATKLGMEPPRPSCGSSAAYLLQSLPLR SLKQDGGLVRSIRCVVLRVSELLVLQPKESTQGRHPRVIPDKFLHHMLSSSSDPLLTN HTTASTTSAPTPFVRLRVACSHGAWAVRAEITTQKRLRSSITTTAILTLWRPSEEMMQ IACNEGAEIFVTSVAVSWTHDQLGLTSSKHTTIQRIKSDKTAQAFVGYEPRSALTALD MRNKCGKDVDTCVYLVHVTNDYAFATDPSWAILCVKLPRWGAKVWKVL H257_07213 MAASSVLPKLRLLRLQGIPIYDQLKLEEALFRVDPDNWFIWNER TKTTNIVMGISGKPERLLDVDAVVRNKIPVIKRFSGGGTVVVDDSTVFTTFVINTSAL PHVKPFPRDIMEWSSTFYTPLFRSLLESSSQDTFALREDDYVLGDRKFAGNAQSIGKD RWLHHTSFLWDYSALNMKYLQNPAKQPKYRAQRDHSDFLCKLKDVVGSQDDLFAAFSD QLERHFDVTPVTMSPCDMVALTSRDHRKSTKWVNVVVPAS H257_07214 MSAIGALKKLDQQKKPDSTPGGILGLLQSTVVKRARETFTWSTK QFWKWYQFGGRYTWIIATTLLFTVIPLGMEIMREGDVKEVEALRVQALKAQGYTAGQI AQMGYVDASTASLTEALQ H257_07215 MGGSSDQRAGAILLAVSVVSYVYYFLWVIISPFVDKDHIVQSFF PERYYAIAIPSVLLVVFLTVCSTFIGLVMIRSKPPKPKVE H257_07216 MQRFALTSRGVLRSATTAVSSFRAPSSVRSMSMDTIFRASTCNT MPIQASFGSSSIVPMYTPMSSVVPAPLECPEFNDSMAPIMAIKRTYQPSVLRRKRKFG FRVRKTTVGGRRVLNNRFNKGRKRLSA H257_07217 MGATTTDDAEGWMALDVDFETNEHELQFGIPGLPASWTLFLLGN GSPTHQLGLLTGSKTEVDVIDFSCINGSLDNAPVDIAEIREPRHRRQVWLRNAKGERL GYASSWWSSGDINSIFGQDRTFPIGSSIKDNKKELFRDMKSIFHGHSPALEAEFGHKG PFWGRWYLFRQGGKPLTLIYEVFSPGLCKYLGPIDAPLPRHHHA H257_07217 MAHDGVCRSKTEVDVIDFSCINGSLDNAPVDIAEIREPRHRRQV WLRNAKGERLGYASSWWSSGDINSIFGQDRTFPIGSSIKDNKKELFRDMKSIFHGHSP ALEAEFGHKGPFWGRWYLFRQGGKPLTLIYEVFSPGLCKYLGPIDAPLPRHHHA H257_07218 MTPKDALFMTLVFLKHYQTWEKHAVDFSLNAQILEKIIIRLINF VSPALYEAFVTMPSMKTLQDQGYTFAHYLYANGKHNLYGLKIAASADLMIFRNRHDIY AVH H257_07219 MSWKMLRMAAVLAAALHAISALDRPLYGIDYDTRRTEWGGCKSE ENIRKDFRVLKAITSSVRIYTVTDGCADRLLNVASELNIRLWLGIWGNVNATLDEFEP EFKTLQRLVLERRVRNDNVVGIHVASEALFRYYSQEKHDWAAEYSGVQKLFGYVKRVR DFLRAQDLAFPVTIADVMDSYKSVPEIYDIVDVVSVNQFSQWEGVAAADGVNVLFDRM AAIQHAARKAGKVVVISETGWSSNGTVAAIKEASPASSARFLHDFMRYTEQQNIAYYY FTSFNLAWDGASDFGEIEQNFGLFDEHRNLLPHVKSVTLGAYHKPVRIWHQGQVVKAD GPYTHSFGRVYLDAPAHGLSDSLDREIWFYDQQVGSFRSRSTNQCLAANETTLHVTWC QPKVDANQRWMFKSNAPTFPPTTTTTTTTTTTVAPTTNPPTYAPATTQHPDCGDCDNC QYSTSFYALCYANWSPVDCARMGATHHWCGSNGDDDDLFGRRRRLASSADEQSNDSDA EETQVGVLQVDQAAPEVCLRANVDAGAVDVAPCSSSSSSSTAPSSFEFSVRPLDTEKV TVQSLDSGLLLTSTPNVWTVATAARNQDKALLDTTQEWFYDPLLQRFRSNAYTNYDTC LDIVNDGSWLVQARGCDHSPSQAWSYNDLTGQVLSMGKLGRCLATTGDKTTVEFCDVA NPRQKWALNLAINEAQDGGATFTHLPPITTKPTTTTKPTTTTAKPTTTSAKPTTTTAK PTTTSAKPTTTSATPSTTTTAAPVTTTEEPPVRQFDYVAPSRHRVIARRTTTDPPATP P H257_07220 MKTVSALVLGLGASAVMALDRPLYGLDYDTRTSEWGGCKSYDTF VADFKVVSSLTTHLRIYTTTDGCIQRLLDAAAKTNIKIWLGLWGNVDVGDAFPSEFKT LQKLVKENRVRNDNVLGIHVSSEALFRHHHTTDWSNRTGVNKLIGYLNQTRAFLRASN LNIPVTIADVVDTYRAAPELYDVVDVVSVNQFSQWEGVLAKDGVNVLFERVADVVLES RKRGKSVLFSETGWSSGGNVSAIKESTPASSARFLNDFMRYTEQQNIAYYYFTAFNLA WGETEFAKVERNFGLFDEKRVINPHVKNVTLGKYHKPVRIWHDGKVVKADGHYSGSFG RLSLGAPAKGLTDTLDREIWFFDQDLLTFRSRSTNQCLDTYADADGNSQVHVYWCDGS NYNQKWRFRGDGTFQVVSKANPIVGGAATVDAKLGKGANRTNDDVTVPVGVLYASSLA AHGRCLRAVGDDIAMMNCQKDAANKFTVRPLDTEEVHIVSADAQWKLTEDYGRVTVST KSTAGADADAQVWFYDPLLQRIRNKANGRSCLDLVDDKIHGLVQGRVCDHTPSQSWSY NDLTGQVQHLGKVGLCLNAETDGQDLHVVFCDVAEASQKWTFDLVNQ H257_07221 MKTVSALAFGLAASTVMALDRPLYGLDYDTRTSEWGGCKSYDTF VADFKALGNLTRHIRVYTTTDGCIQRLLDAAGSQNVKLWLGLWGNIEPEKDAYPSEFK TLQKLVKQNRVRNDNVLGIHVSSEALFRYYQTDSWSNRTGVNKLIGYLNETRSFLRAN NINIPVTIADVVDSYRAAPELFDHVDVVSINQFSQWEGVYAADGVNVLFDRVKEVQLA ARKVGKVLLFSETGWSAAGNVTAIKEATPASSARFLRDFMRYTEQQNIAYYYFTSFNL AWGEESFGVVERNFGLFDEKRVINPYVTNVTLGKYHKPVRVYHQGKVLKAAGHNSTSF GRLYLEAPAHSLSGVLDHEIWFYDEDLLTFRSRSTNLCLDTYTENGQSKLHVFWCDGT NYNQKWRFRGDGTFQVVSKANGLVPDDSLSTEVDPKLSNGGAKRATEDVRVPVGIIKG SSAAAHGRCLRGVGNEIAMLECQNDADNKFTIRALDTEEIHITALDTNWKLTEDYGRV TVNSQSAESADLDAHVWFYDPLLQRIRNKANGRSCLDLVERKVKGLVQGRVCDHTPSQ SWSYNDFTGQIQHLGTIGLCLNVDEDGQELHVVYCDTALLSQKWTFDLINP H257_07222 MDTTFSRKAAAAAVLWLGGWLHAVAALDRSLYGIDYDTRTYEWG GCKSKVDMRQDFVVLKPLTSNIRVYSIDDVCFGRLLDVASEVDMRLWLGIWSNVNTTE DDFEPEFARLQQMVVDGRVRNDNVVGIHVASEALFRYYSRDAHDWAEEYSGVRKLFGY VSRVREFLRANDLTFPVAIADVIDSYKSVPEIYSVVDVITVNQFSQWEGGWSINGANL LFDRMQDIRLAARKAGKPILLSETGWSANGSTPDIKEASPASSATYLHDFMRLMEQQD MAYYYFTSFNLAWGQDSDTGEIERNFGLFDEHRNLLPHVKSVTLGAYHKPVRIWHQGQ VVKADGPNTHSFGRVYLDAPARGLSDSLDREIWFYDQQVGSFRSRSTNKCLTVSKEPG NDDGGEWGLSVSWCQPPLDDGRPASSSTQRWHVNAKQNIIEVVRPPPPVEDQEDATSS SLTCGACKNCQFANAYFSLCYVNWSRSDCKRMGADHHWCGSTGRKCLVGGRRQDDVAA GDCNVQDPAFRFHLRPLDAEELTVTSMALGRPLAATATAAGVTMELKGSIATTSTTWY YDPMFQRLRSKAIPSDCLDVVDEFGRVDTHFCDHRRSQRWSYNDLTGQLLHMGHLGRC LQAAGSVVFVDFCDLGVPTQQWTLDLIVHS H257_07223 MVGTTHRLVVDSIMNLMISTRLDLTCVALQLSQFLTSSGPATCR RPCSAYDHSIRITHM H257_07224 MRRNVHHSVEDLNATVQRVLAGESQVDVSAAINIAYRTLKTYVH KHRHDIYPTRLRKEPPPTLPLKCGDSFVDRRDAADWIPYRVKGDCVSRKEHRDECCVN NYYYRDDYRED H257_07225 MAQSYSTCAFHFCFKNIEIQQLKVLLEIPDPIITPQRYNASAEE LLALIVLLVCSGRTKGSKDALDMLCDLCPALLDLVMGRFKRPNMNDFLRKLDVGAPLK AEAVLCFSTDSDSLSSTTSLSSILYSKNVTMKCQL H257_07226 MSSKRKQNDQAAMEAAIEACDNGSSIAAAAKLHHVPRTSLQRHL DQRWNDEPPQRPGPKPRVPTEVENDLFIWIAKMQTKGRPPTQAYIVNKANQLAKKLKR PVVSRHWYKSFQKRQPLLTTRMAQTINRSRNAVTSTNIEEFFTKIPLWPSRQQRLRRV MCAIKIRGHLKERNYVKSIALLKNQEDSPWNVMNQSRDQGSFVTTELITPAAFDNLLQ HFQQEYTVLSGPRRGGRRPRIQHKHAVLAMLLHFYTAEVEAKSLQELFALTPSTFPGF KPCGDSTCSRAKGCDNVAIKDEAGLLDVKVEPT H257_07227 MKVPSSLAIAAAFAASSVAALDAKFYGINYDTRTTIDGGCKDFL TIAEDFNVLRRVTDYVRIYGMDFNCSKSVLEAARDNALKVWLGLWSEVKSTDAVDSFP SQYDALKRLVSDESFKSDKILGIQVSSEALYRYYVKGPGNKTGSSDRHGINTVLGHLK TVRSHLRDHNLTFPVVISDIMDMYTKFPELYDEVDVVAVNQFSFWENKAAEEGAHFTF KRFQEQETRAKRAGKLILLHEAGWSTAGEHPIVTEASPRAQGVFTQDFLTLAARQNLN AFYFAAFDLPFNPTDIERNFGIHYANRELKRWVEEVHVGPPLEAVRLRAGDNVIKAHR YWNADDDSVNENFGRVYAAKASVELESVLGIFERERHADLEYVGNNNTQTLGTSPCSN VTNDQKWSFVNGYIVSQNDAKFCIDVDVHGSCQTTPNGNLVVKMSPCNVTEEPTKPNY MYQAAHEPLEIGIKTDGGVLTELSGKVTWQTNRRTDSHQWFYDPVTRSIKNRASLLCL DASKRMTGGDVLLRQCNAANVNQKWVLNDITGQIHHATHFGFCLGAPDDVDGLVYLLW CDKNDANQQWNIKPIRLKT H257_07228 MRVNKRDLTNDDREAILREILLNSSNTSLAILPNGIAQVLAEKY ICHHSTIRRVFAQAKEQGVTTGNMKVSVANRKKGRNAIRPSLTDANKYSRMKFAFNFV RANMEFDDMMDYVHLDEKWFYITKTTRRAVARPRYDDATGTWWDGKIGTWPFVESVQA QRNSANRAAGTYKTKALTVTKDVYRAFLAEKVLPAIVSKWSCPKRLVHLQHDNARAHV SPDDAKLLAAFASNSTSDWTFANNPQLPNSPDMNILARTVDDLITNVHAAVDTYPFER LDRTFMTFQACLVETMKCFGDNAFKVPHLSKEKQARLGLLPENVRCPADTYDSVKRSL DSVDCTVMEKKFQEELDEARSMHELVQELERIALCDDETVDELMAGVGIDPISLDNDE H257_07229 MATKQELVDDLAEEEEALVPMELAACFPDMTPVDPAVEQAKGGK TLVAGCCAEFALGLSKLLAKYMDVFRLTLGRDPPVDMPPLKVHPTKNSNPVRCKARRY SLPQREFMQKHVEELEKAGFIYRNPTSRWACAPLIVRKPHTKDKFRMAVDLRPVNSQT EQIAWPMPMLEVVVDHLRGATCFFIDSVAYCQSTVQAMFAEQLYKCLLAWLDDLLGYH KTPAGLQLALAEVLEVCAKRGLKLHPKIRVDALQRLPEPKTGADLQQYVCALNWMHMS IPGFNVLVRDLTVVLERVFSSVGGKRTKQLAATVLLTDVGWNDTHVAALEATKKALAK VVELSHPKPEMRLCVFADASEEHWGAVITQVPPDQLNRKFEAQSHEPLMFLSGTFTGA AGRWAIVEKEAYAIVVWADHLLHPAAGFNLYTDHRNLKFIINPTAVVASGSPLKSICA ISQELLKVLPLRSSPFEWPTLVAIGTAQRDSLDTNTIAALSLEFSQLADHQIKLDTGD LWQLRLVNQVLWVPDEASELQLRPTLDSLVAFCWWTTMEKDVQYFVDRCLHCTSVSGG APRPLGEALHSAKLNGLLHWDYLFVGDSKTGDKYLFVIKCDASKLVWLFPSMEATAVF TKDCLLQWLAVFGVCYEWVSDQASHHHFTTARCPWANGTVEVVMRQLQLLFRACLSEW RMATTHWNEIHVVVILIMNQLPSPSLGGVAPVTAMSGRPAMSHLDTIILPGSLKSATL AEIESMQRGNSTKRRDRARKTHNKKRGMQMAQFVVGDYVLYQDVWQHHWPTVVTAVAS SWLYDVKNLITGDEREAHASRLKFYADKSLHVSEDFKKHVTHNSEGYEVEAIVDTRYM AAKKADEVLIKWRGLKDVENSW H257_07230 MDQYEAYMRKIRLANAQRPGGQQVYQMPLSGCIDLLSVERVAFW EIAKPSHELTGEDWQDFCLGARNGDPRLRGYAGSLNMEGFAESEPKLTVDFLMAAIMP PAVQKRVCELMKLYENRSYNKDARAFKNWLAEYMRHYGEFDPLGPYHHFTQKDGKCFK CLSAGHNVFKCPKVADGEARLLMDRAKAIWAEAQGEGKMEKAVTIAKEVKTVEPTLDA SFDSGADQSVIPPKTFQLLKDAGRDVVVTDLPTPVLVRGFVGPSHTVTQEVKLTLKFE TDVSSLVLANVKCWLFVGNLTAGVGDILLSRPIMHKLGYDPQSMLREAAAVFSEYDME YVESTSGVVW H257_07231 MDKDASSTPLSPRTGAGLVLTRTRSQEAVYDSAAPCASRYNHPA VDAQSSRLDTVQQAVGAQSQQKYEQLMVLHQQQQLQANAQMELNQKLMAELAYSVTCK TS H257_07232 MDSDSTAVDFSVVKSPRGDTPHPHTNLLASPHPIDSANPLSRAI FGWANALLREGNRRQLGPEDMWPLQESNKAAPLASSYASVYVSRGKSILRTFFAIYWV KLVAVGLMQLFTVACDLYGPAYVLQKVVQGVQQPVFNPTATSLLVLSLYGIQVVSAFV KAHMKFTNDVIGVQFGSSLRSMLFEKALKLNAKSRKEKSAGDIANLFSTDIISVMEFA ANMNLIWIVPVQISVVLYLLYLLVGWSIFVGLAVVLAILAVNAVVAIFMGKEQYHMYK AKDNRMKVVNEVFGAIQIVKFNAWEEKFLAKLTELRVAPRGMLVTFLFTTPVLVTISI FATFALWMDQTLTVKIVFSTLALFRSMQHALYSLPVVITSTVQCFVSVKRINAVLLMD EIDPSDVQTPASNAALKAKYATDRTVMAIDQGSFGWHTSAVASVHKDSATDKTPVAPE SRADDEKNVSSALFVDINLTIQQGQFVVLHGAVGQGKSSLCAALLGEMRKLAGTVFVG GEVAYFAQQSWIQNATIRDNILFGKPFDAAKYARVVEACALTKDMAGLPAGDHTEIGQ KGINLSGGQKARISLARACYSDADIFILDSPLSAVDAIVQNEIFTKCFLGLLRYKTIL LVTHSHDIIQSPHVHRTFLVQDGTVIETTPPRNRANSPKPPSSVAPLRPPTAYWVDTT EGTLVVNEERAVGRVSKAVVVEYIRAIGGWRSMAVMVFLTLAVEAIKVGSDMWLSHWS NQSTALSLADFRANTNHNILIYGILVLATSVVTLMQIASVLMYGLRGAKKLFSDMLHS LLEAPMSFFDTNPIGRVLNRFGDDIIQVDTAIPFSSAPMLIETAAVIAKLVTTIVITQ WMGLLVLPLMAVYGLLGSYFLAPLREVNRIQKTTRSPLLSLVSEGIDGSTTIRAFGPK YLRHFNRLHDILLEDFVAARFVGLTANQWFALRVELISCSIVFALLMGIVVMHDAISA GLIALVITYGLSIPANLAGLVNMWARMETALIAPERLNEYIRLTKEGERHTPLDEAST SWPSQGQVQFDRVSYRYKPTDPLVLTDVSFTVNGGEKVGIVGRTGAGKSSLMMSLFRM NDVAAGHIRIDGLDIADVGLQNLRSHLAIIPQNPVLFKGTLRNYLDPFDEYDDDQLWL ALKKVQLADRISSVAYKLLGPVEENGENFSVGERQMLCMARALLRQAKIVILDEATAS IDHDTDQLLQQVVRSEFASSTVLTIAHRLDTVLDCDRILVFDQGQLVQNDTPATLVNA GTGIFFELVTEGGYTLDKQL H257_07233 MTSRRVLGYVWSVFVAQWPTISSHTEAAVERIRTSELSDAVRDL SSFSLHMLLRFMQLVTALVLLLTSASAIYACMYYLVMPTKILQRPLYFDYGVHSLVLH ANEHVHYTHRDNVAASIIHLPTATLDLSTGPNGRDQWTYVDVEDAAPVAALTPGAKYD LVVDLELAQSATNVDIGTFMVRTEVLWNNITTARSARPVFVHPGHWVVDLSARLVWLL PTLVFGRHGYISQSQSILAINGYKDLRHRPLTAVRVELSHPRVQVARASLSIVAQLSG LRYLMYHWFTVTSTLAILNIAGAQVFGCFVLYLYLTFPPVDEPPAADHDDGDNEGDEE LLGDLASNDEEEEGSGLCGDFNTQVFTAESTAHLRWPSSQPHGAAKAT H257_07234 MSAADVAAVPTTGAEPLVTVTQVPESVWLHPVLELSAVRENIEA FKEESVAMLLARIGGHGIVPRTWNVGPDKKRKGIQVYWGDVENSEWPAMKTMGRIHAS ADTCARILTDINMAPRLDKFTRSGHVVHQFDDATDLRYFETHGFMVIDPRDFCVITTS KRLPDGRLAIASRSVTSIDDFGAKVGYSRGQALLTGYLLTPEPKDHANCDVIVYAHVD FGGSIPSSMIKMFGLAAPIKIVEQLQTIAVQVTSAATPSASI H257_07235 MASYSEWESVRDTIASEASKTVVVLFDAPWAAAKTKIALSNLEL LLGAHRTDLVYVRVDASHIDDDDVIDLGVGELPFIQVYTQGTLVAGLDATDALVARNL VRYIGWHAGDNNAVDNGTVVPAVDYAKLTSVVDAITKGETDFIANCANVSAAIWDAFH EAKRPVNWAGFYLNRPVENSDTRLLVLGPFHGKPACKRIQLHSGVCGAAASTRLIQRI PNVHDFPGHIACDSASASELVVPVLVQGDLIGVLDLDCPELNGFHQADADGLQAIVDL FANRTHWDSLTLPVRNLPLEPHPEH H257_07236 MEVIAKFTQDGLARAKQAHRLFVTLTYAQSLDGSIAAVRGQTTL LSGAASMTMTHQLRTMHDGILIGIGTLVADNPSLTVRLVQGPSPQPLIVDGDLRCPLS CKLFTHDACRKPWLLAVDGGWNEDKLARKAALEAGGAVIIMCAATPKGRVDLVDAFRQ IHARGITSVMVEGGASILTSCLMVQATDSQFLSHGIVTIAPLFVGGLKGVAALLPAYP RLHPVQVASLGKDVVMFGPFAPPQ H257_07237 MLWNVEQGVRDNGPTLNLILQTVWGLLSITALLSTAVPPLRRLS LHGRLQSTRSSSVFMVRKSWFGFFYLLGWTWNGLVLVLAILWSMSSSPLACSGPTLIC LVCLQCHLFRRMLESVSITQFGDSTMHAAALILGTCHYIMVSLSIVLDDGARDPMSLH WFDVLVLLGGLSLFLVASVHQMTCNAILASIKSSAISYAIPQGDWFDLTWSPLYWAEV LLYTSLVLLSQGRNSNLMRIALWVAINQSISAHRAKAWYVATFPAAAAALGHRATLIP HVW H257_07238 MAGADNVSVKLSGMDIAVEEKKFGRRNHLIAIEEDVQRQWEEAK VFEGTVKDGQKKFLATFPFPYMNGMMHIGHGFTITKAEFATRYHRLKGENALFPFAFH CTGMPIQAAANKLKAELEEFGFPPQFPVDAVVEPVAPAAAQTSENKAKGKKSKLVAKT GGVVRQYDILAKMIDDKELIPKFTDPTFWLQYFPPFGEAHLRRFGLAVDWRRSFITTD VNPFYDAFIRWQLNTLKERSRVSRGKRPNVYSPLDKQNCADHDRATGEGVGPQEYTIV KLQVKTPLPAHLSSLSGFNVYLAPATLRPETMYGQTNCFVLPDGDYGAYRINETDVFV ISRRSALNLAHQDFSRTWGQVECLLELKGWDLLGLPLLAPYAAYDTVYTLPLLTISMG KGTGVVTSVPSDAPDDYAALRDLKQKPAMREKYHLTDEMVLPFEVVPIIDIPGYGSTS AVAVCDELKIQSQNDKDKLAKAKDLVYLKGFYEGVLLVGSQTGKKVCDAKAGVRKELL DAGLAIPYWEPESTIMSRTGDECVVAHLDQWYLLYGTEDWKARVSSHIENPQTFETYN PIALGEYRSTLEWLKEWAPCRQFGLGTKLPWDTEFVVESLSDSTIYMAYYTIAHHLQS NVDGSQGGPHGIKATDLTKEVFDFIFLNGPVPAQSAVSEAVWRQLQAEFEYWYPVDLR VSGKDLIRNHLTMCLYNHAEIWANDPAKWPRSFFTNGHVLVDAEKMSKSKGNFLTLEY CFKEYGADATRFACADAGDSMDDANFSRDTANMAILRLTTEEEWIKKTLDDDLRTGAL NFNDKMFHAQMDQLITATADHFDKMQWRDGFQSAFFELQIARDAYRDICTRGEFGLHK DVIVRFIEAQTIMLAPICPHICEHFWKLLGHADSFVANAAWPAVTGPTDFTLLRAGDF LTKSLKHFRDAVMKGDAVKGKKKPAAIVEPKKPPTHAHVYLANEFPSWQQTVLTFMAT LFDKATKSFPADFMAKLKVLLNSHDALKKMTKNVMQFASFVKADAELRGQDAIELSMP FDQKAVLESNKLYLLKSLDLQDISFYNVDGHVAVEGGDAKKIEAAAPGKPTIYLYSID L H257_07239 MGSLLSTNEVVEGDCNMMTTQEISAFYANLHTNVSAKFVKKHRV KTSATAASVQAMLVQQTHPLFGPPTAPLIPFGRLSRANSVDSLHADTLTSEEVAVKDL NARCDHDTTALFVAIQAFQASCSSQDEFCHGLSHYRRAVKLWSHHLATCNAQLDSIPK LLTAKAGVAAAKFAYRHPTMDARLSQLHVAKVLEEGTPFYARAFSQHMTLLAKQQAWD ESLLCKGTRDTAQPSAFVDRSVVETIFNLVALAPFFDENLVLEAVQLADLFQVHPKQF WWTVVRSCVTTNQGELLLWMMPDMPIVSRKEHVQAFVDAQQFETAKRIAGDAKDPAEQ ANLLDVVQRAVVASTLQPDMEPPPVRPRQGSVASYDGSI H257_07240 MPRARGWVWEHYETKVDARGRQMTACIYCDSSLAGRGNPIRMTR HLALYCLAVPRAVKAKAAHAVNKPPAAASINPSVGNQASSIVSDDIKMEDFADHGDDW ASSDGSEDEGQQDKSRILAVLSPIQSEVHRSPHLAEKEQDGLLLSQYLAPTADELLAR ALSRTNLSAQDVACPEWRDFFASVGYVLPSLQTMEQLIATLSSQMRYGGANE H257_07241 MQDLLADEENQSRRTFCQMPFSAIYENAEKGQTSVANLLAFIKK KNTAERKCADAMLTYLGEVGELGEFEEPGTSVKGVLNQWHTYLHTLNTQQLTWTQVVD EHVARPLVSLKEASTSYIQTLQAELARVNDEYTAAEAQQRKAKDVCDVARQDFEDAVI RQDDALHEIGVPSFELQRLAYRVKTAELEVNRSVAEKAQAKTHLLQTIVSRDEMSMAV SVAYQRAEEERRDQLGACMKLWLSVEKEHIKFREKQLQQLEAHVVRMDRAGDVQLLIH NHRHPDNLHFQGKALSLLDWQWTNQEEMTPLSHTLPGSRTWTPPPPTTTTTAHLPHEP DVPHDLAALLSSHFDDQSEVLDDDQHDPPAAVADCSSAEARQLFVQTLNRQRSLGTKV ACPLKFRRLVQCFLAFFDACVLHDDTKAAKTAMMLSATFYMIPPDHHVADDIVAADQR HIRRYVQEDVKGHVIWSNPKFWEKALMLAIGEELHKTPRRCAWEDLPSNVPRSDGTFF PELYNIITIITMARRVGVLSREEAVSLVHNIVFGQLGSFTLSMLEMDVPITQIRYFIE TMCDAHELTEEQRFLLRANLREIAIKLGYTPNTNSS H257_07241 MQDLLADEENQSRRTFCQMPFSAIYENAEKGQTSVANLLAFIKK KNTAERKCADAMLTYLGEVGELGEFEEPGTSVKGVLNQWHTYLHTLNTQQLTWTQVVD EHVARPLVSLKEASTSYIQTLQAELARVNDEYTAAEAQQRKAKDVCDVARQDFEDAVI RQDDALHEIGVPSFELQRLAYRVKTAELEVNRSVAEKAQAKTHLLQTIVSRDEMSMAV SVAYQRAEEERRDQLGACMKLWLSVEKEHIKFREKQLQQLEAHVVRMDRAGDVQLLIH NHRHPDNLHFQGKALSLLDWQWTNQEEMTPLSHTLPGSRTWTPPPPTTTTTAHLPHEP DVPHDLAALLSSHFDDQSEVLDDDQHDPPAAVADCSSAEARQLFVQTLNRQRSLGTKV ACPLKFRRLVQCFLAFFDACVLHDDTKAAKTAMMLSATFYMIPPDHHVADDIVAADQR HIRRYVQEDVKGHVIWSNPKFWEKALMLAIGEELHKTPRRCAWEDLPSNVPRSDGVLS REEAVSLVHNIVFGQLGSFTLSMLEMDVPITQIRYFIETMCDAHELTEEQRFLLRANL REIAIKLGYTPNTNSS H257_07241 MQDLLADEENQSRRTFCQMPFSAIYENAEKGQTSVANLLAFIKK KNTAERKCADAMLTYLGEVGELGEFEEPGTSVKGVLNQWHTYLHTLNTQQLTWTQVVD EHVARPLVSLKEASTSYIQTLQAELARVNDEYTAAEAQQRKAKDVCDVARQDFEDAVI RQDDALHEIGVPSFELQRLAYRVKTAELEVNRSVAEKAQAKTHLLQTIVSRDEMSMAV SVAYQRAEEERRDQLGACMKLWLSVEKEHIKFREKQLQQLEAHVVRMDRAGDVQLLIH NHRHPDNLHFQGKALSLLDWQWTNQEEMTPLSHTLPGSRTWTPPPPTTTTTAHLPHEP DVPHDLAALLSSHFDDQSEVLDDDQHDPPAAVADCSSAEARQLFVQTLNRQRSLGTKV ACPLKFRRLVQCFLAFFDACVLHDDTKAAKTAMMLSATFYMIPPDHHVADDIVAADQR HIRRYVQEDVKGHVIWSNPKFWEKALMLAIGEELHKTPRRCAWEDLPSNVPRSDGVLS REEAVSLVHNIVFGQLGSFTLSMLEMDVPITQIRYFIETMCDAHELTEEQRFLLRANL REIAIKLGYTPNTNSS H257_07241 MQDLLADEENQSRRTFCQMPFSAIYENAEKGQTSVANLLAFIKK KNTAERKCADAMLTYLGEVGELGEFEEPGTSVKGVLNQWHTYLHTLNTQQLTWTQVVD EHVARPLVSLKEASTSYIQTLQAELARVNDEYTAAEAQQRKAKDVCDVARQDFEDAVI RQDDALHEIGVPSFELQRLAYRVKTAELEVNRSVAEKAQAKTHLLQTIVSRDEMSMAV SVAYQRAEEERRDQLGACMKLWLSVEKEHIKFREKQLQQLEAHVVRMDRAGDVQLLIH NHRHPDNLHFQGKALSLLDWQWTNQEEMTPLSHTLPGSRTWTPPPPTTTTTAHLPHEP DVPHDLAALLSSHFDDQSEVLDDDQHDPPAAVADCSSAEARQLFVQTLNRQRSLGTKV ACPLKFRRLVQCFLAFFDACVLHDDTKAAKTAMMLSATFYMIPPDHHVADDIVAADQR HIRRYVQEDVKGHVIWSNPKFWEKALMLAIGEELHKTPRRCAWEDLPSNVPRSDGVLS REEAVSLVHNIVV H257_07241 MQDLLADEENQSRRTFCQMPFSAIYENAEKGQTSVANLLAFIKK KNTAERKCADAMLTYLGEVGELGEFEEPGTSVKGVLNQWHTYLHTLNTQQLTWTQVVD EHVARPLVSLKEASTSYIQTLQAELARVNDEYTAAEAQQRKAKDVCDVARQDFEDAVI RQDDALHEIGVPSFELQRLAYRVKTAELEVNRSVAEKAQAKTHLLQTIVSRDEMSMAV SVAYQRAEEERRDQLGACMKLWLSVEKEHIKFREKQLQQLEAHVVRMDRAGDVQLLIH NHRHPDNLHFQGKALSLLDWQWTNQEEMTPLSHTLPGSRTWTPPPPTTTTTAHLPHEP DVPHDLAALLSSHFDDQSEVLDDDQHDPPAAVADCSSAEARQLFVQTLNRQRSLGTKV ACPLKFRRLVQCFLAFFDACVLHDDTKAAKTAMMLSATFYMIPPDHHVADDIVAADQR HIRRYVQEDVKGHVIWSNPKVSNQNAPQQDHGQHIICL H257_07242 MDEYLSLLTEGMVRNGVLVGETWESLCARKVKAPPSAVAASIPR SKELSPDEAQVARTLYATGVVDNPDLDLDDIVLEMLERRHDRITKSDVERADALRRFV LPTSSPPPLLKIKQSSNNSLGQPMVFTPIQFASYFGETNVVHALLCQHPSQVEDGLME ASRQGYLAIVQMLLSTLPLLRNPHAVGRAFVLATTHCHVDVMAHMYPHVNSDLLCKGD WCSRDQLGLRYIAAAVHAAVEQNSVDALTWLLQWNHLHKFELERAFMVAMRRATSQAS NEEVLRHLPLLCAFVHHQPFLASVMARDYKDSDQTNARQAKAVTSYLLADLHTNKAM H257_07242 MPRARRRSLVVDHFSCQFSGVVDNPDLDLDDIVLEMLERRHDRI TKSDVERADALRRFVLPTSSPPPLLKIKQSSNNSLGQPMVFTPIQFASYFGETNVVHA LLCQHPSQVEDGLMEASRQGYLAIVQMLLSTLPLLRNPHAVGRAFVLATTHCHVDVMA HMYPHVNSDLLCKGDWCSRDQLGLRYIAAAVHAAVEQNSVDALTWLLQWNHLHKFELE RAFMVAMRRATSQASNEEVLRHLPLLCAFVHHQPFLASVMARDYKDSDQTNARQAKAV TSYLLADLHTNKAM H257_07242 MLERRHDRITKSDVERADALRRFVLPTSSPPPLLKIKQSSNNSL GQPMVFTPIQFASYFGETNVVHALLCQHPSQVEDGLMEASRQGYLAIVQMLLSTLPLL RNPHAVGRAFVLATTHCHVDVMAHMYPHVNSDLLCKGDWCSRDQLGLRYIAAAVHAAV EQNSVDALTWLLQWNHLHKFELERAFMVAMRRATSQASNEEVLRHLPLLCAFVHHQPF LASVMARDYKDSDQTNARQAKAVTSYLLADLHTNKAM H257_07243 MADASAGCKQRLHGLRTSLHGFKQDLSTSLSMWAEDFDVLGKVL VRLIDKEVASRDEAVQTVNRESRGKKLLQAQVNRITCVWEKEHHELVTLRQTVALQNK TMVQLRERIAKNDRRSNDDASPSEETPRKDKVVVAEENVEENHLTPPMVSVTARRPRR FSVGSSTLTRTTEAYAAKRKHIPQQPQSILKRQKYDDVTDENSTKQVSFQTEYPTPPS QMHRTADTTARFPLRERLTTTKPAPMRVRRSSLGSSMTAAARVLTTSSTGLPRKRWN H257_07243 MADASAGCKQRLHGLRTSLHGFKQDLSTSLSMWAEDFDVLGKVL VRLIDKEVASRDEAVQTVNRESRGKKLLQAQVNRITCVWEKEHHELVTLRQTVALQNK TMVQLRERIAKNDRRSNDDASPSEETPRKDKVVVAEENVEENHLTPPMVSVTARRPRR FSVGSSTLTRTTEAYAAKRKHIPQQPQRYISFDGTTFLIMTCSILKRQKYDDVTDENS TKQVSFQTEYPTPPSQMHRTADTTARFPLRERLTTTKPAPMRVRRSSLGSSMTAAARV LTTSSTGLPRKRWN H257_07244 MVATSNLTRGHCIELDVLDPLASLRDQFHLPNDDVIYLDGNSLG VLPRTTATRLHQVVTQEWGQGLIQSWNTADWIGLPRRVGDKIGTILLGAGPGECLVTD SLSINVYKVLTSAVDLARETDPLRTVVLTDRDNFPSDIYIAQSVVSSRQGISVRLINP DDLLSSSLLTTSVAALLLTPVDYRTGRLLDMSAITAAAHASGVLVIWDLAHSAGAHPI DVHALEADFVVGCGYKFLNGGPGAPAFVWVHPRIVHRCTQPLSGWLGHQNPFAFVPEY EPADGIQRFASGTPSILALTALECGVDVLAAATPMGGMAALREKSLQLSSLFLDLVDL WIKGDKELEEVSVVTPREPQRRGSQVSLRHPTHAYAIVQALIARGVIGDFRAPDIMRF GFTPLYTRFVDVFDAAAALNDVLRTEAFKDPQFQSRRNVVT H257_07245 MEVEVAALERRVAALQSRLGFHASDDRAIPLQTRLHDLSEKLTR IEGSIDPPHMPSLHAAYQRHEKVLRPDVLETLSEHGSASSQQWKKAIVLTSQESVDKL AAQAQRLKELDAAVLHAKFPTLSQDVHLALNRVETQNLLVTRQVLAQHQAVESLLTQY AAIVHGMSKKFQLYDAHVRHLEKKAGVQA H257_07246 MAALFLANESVGTDDAFPSTFELIMQERMASGFKPAAQYFLTAI CDAYPHVASTLPVRHFHETYALVRLGIERYCLSNYDCMLVERFYGIKRMELTDTVDIG GKTASQLKALTERGRRRSLFWQVGVPYLKAKADAYYHSLLNTSPLSSHSAIPPSNDVD EEAAPSANQSRLHRFWWHWRRLRLWSELRSLFVDIYPAVHFSYEGLFFIYQWMYLFGH TKHFTPFLRAMQVVLARLTPQDVSTFETTRTRHRAQVLASLTPSASVPNASRSSWSHT VRRWMYQATWATLDYSQTIVVVAIVGFKFMEWLQSDMNLRGRPNATGGVFKETSSLPP PPPPTQPALTHSAISLSSETRRCGLCRRPRTNPASCVSGFVFCYPCIHSYVQDHGACP VTRLPCHVSSISRIYEEDTADA H257_07248 MAYSTVSLPFLKKNRNEMMMHDGDEVDGSSVDQFVSPSSFLLPP VADPALYCRTNLKIAYHEDNDQTLKFDKGTTTLAFCFKGGILAAVDSRSTQGPYIASQ TVDKINEITPYMLATIAGGAADCQYWQRNLAVQCRMYELRNRHRISIRAASKLIANTC NYYKAYGLSLGMMMMGYDNGEPTLYYVDDEGSRMRASKDKPKFSVGSGSTYAYGVLDT HYRWDLEDDEAIKLGLNAIYQATYRDSYSGGLCRVYLFKPDGYRKVQDIDVKELHEMF SGRH H257_07249 MSWVLPSAPFKLCDHPRREPPPAYDSVTIRRFNPSACACIATDN NHGHSTLQDGSCQLDNSAVSAAKFNADEFQCHRGDDGFRDALVTLLSAHYTQFNQATE LCTVVSMSYTYKLNPPCTGRVKYNSSDYECIGNEDFKG H257_07250 MPAVELTKMNLFQRYLSLWVLMAMVAGILLGYYVPSVPTALDKA TVHGISIPIAVFLWGMILPMMLQIDFHSVVAVVKAPTPIVVCSIINYAIQPFTMYAIS LLFFKVFFDSYLGQEKSDGYVIGAVLLGGAPCTAMVFVWSVLMNGNAAYTLAQVAVND ILLIILYVPTVKLLASASNIDMPWDTLLYSVGLFILVPLVVAVVTRQSLNDRGMQWLQ TKVIPLLDTLSMAFLLLMVVLIFISQAATITQNWVDILIIAIPLTVQTVLIWGVTYAA ALYFKLPFDVAGPASLIACSNFFEMAVAIAVSVYGTGSPATLATVVGVLIEVPVMLVL VAINNKTQHKFTPGHIQLQ H257_07252 MPTPTATIYLNFACGTCRKAHDLLTAFNADAAPHDKVDVTIVEY VKTKLDVATIKSLLALLFPDDPSPSPLLMMRTTSEEFRALKLDALDPVDDREALIKAM SADPLLIARPIFVKDGRAIIARPHDRLYELLKTDYIADQPHPVDDYC H257_07251 MYRAERTSSKLCRDRELEAAKVIHHKKLGETRSAIDTTKPKTSS MHHMMCNKRKNRLVEERMEEIEKHNNLLVNRMADVLNCSTWEFHPSTSVEDVSYTVYH SPRHSPRKPSAPSPAKKSLNDTFRTKKLKQIQAENESHNLRVRTTSTHYKTTKLQREW KQSVKYLKSICAYPLMPPSKGQPENDRFDYPPLRPLLLRSGDGDVVDQDDLLEFYSMS LPTIITPILENKLPAASSLMASHYSRGRQSKHQRPSFQPQRVGKRPGLPSLDPLSPRF KWGPNGSPYSPHNAPPTLSLDPSYNFKQCRVIEGVYFVLTVKSGRSPYGMTVVGYDGE TCRSYELVVSKEHVLKLLRDCISIREEFSVEMISRLLCDKLQFVPHVLYIPLDDASPS SSCSSMQQSSIFCMFHEVPIVPSLPPFLVSMASTEDGGVLFCAEHPITRRAYVLTKSG LDIVAYLHTKATTPAFSTLEAAAVAVLSYLVLQNGLLTWQLPPPKRVCVFKSARQLGH DYYLLHVCHEQPPGESGGHQVVIAYNADECASLELVLPSHVDQGNWESVLDSIVLDVK PVKCLRYAPPPSVAVPVHKKEELLVPPLRTTTDSVVATNKDNNKAAATIQATMRAALC RRLYLEKLNAAHVIKTSCLRRLYQKKRTKKRQHQIVLCSPLRNPPKGGKAIEEAAAAV TIQKVVRGSICRHAQALRKKRPATPMEVRQSCDNANVDKSVSVLQARFRGAQTRQKVV KLQQEQENAAKVLQARMRGTLARNHIERHHR H257_07251 MPPSKGQPENDRFDYPPLRPLLLRSGDGDVVDQDDLLEFYSMSL PTIITPILENKLPAASSLMASHYSRGRQSKHQRPSFQPQRVGKRPGLPSLDPLSPRFK WGPNGSPYSPHNAPPTLSLDPSYNFKQCRVIEGVYFVLTVKSGRSPYGMTVVGYDGET CRSYELVVSKEHVLKLLRDCISIREEFSVEMISRLLCDKLQFVPHVLYIPLDDASPSS SCSSMQQSSIFCMFHEVPIVPSLPPFLVSMASTEDGGVLFCAEHPITRRAYVLTKSGL DIVAYLHTKATTPAFSTLEAAAVAVLSYLVLQNGLLTWQLPPPKRVCVFKSARQLGHD YYLLHVCHEQPPGESGGHQVVIAYNADECASLELVLPSHVDQGNWESVLDSIVLDVKP VKCLRYAPPPSVAVPVHKKEELLVPPLRTTTDSVVATNKDNNKAAATIQATMRAALCR RLYLEKLNAAHVIKTSCLRRLYQKKRTKKRQHQIVLCSPLRNPPKGGKAIEEAAAAVT IQKVVRGSICRHAQALRKKRPATPMEVRQSCDNANVDKSVSVLQARFRGAQTRQKVVK LQQEQENAAKVLQARMRGTLARNHIERHHR H257_07253 MIQIHSQRTMMFRQSWLTAAGRFRALSTWHLKRLPLQVQVPTPC DGVIASAQTPKRIETLAAEIGIDAADELKTYGPFKAKVSLDVLKRLHHQPNGKYVVVG GMTPTPLGEGKTTCVMGLVQALGAHLNTNAFACVRQPSQGPIFGLKGGAAGGGYAQTI PMDEFNMHLTGDIHAVSAATNLLAAAIDARMFHERNLTDATLYQRLVSSKAPSSSAQF TPAMRRRLAKLGLSPTSTPDDLTPTERRRFARLALDPATITVKRVVDTNDRFLRHVTV GQGAAEKGQNRATGFDITVASELMAILALANDLPDLKYRIGRMVVGFSHADDPVTVDD IGVTGALTALLRDAIEPTLMQTLEGTPVFVHAGPFANIAHGNSSILADRLALKLVGSS GVVVTEAGFGADMGIEKFCNIKCRASGLAPDCIVLVATIRALKLHGGAASVVAGKQLP LEYTTERLDLIEMGSLNLAKQIANAQAFHVPVVVAVSPFSHDSAAELELARTLAIAAG ASDAVIAPYYAQGGAGAVDLAIAVQRAMQRRPDSGDRSEFATLYTNDMCVVNKANAVA RTMYGASAVEFEDSANADLIRYAAHGFGDLPICIAKTQYSLSHDSKIKGVPRPFVLPI HRVRLNAGAGFITLFAGDVSTMPGLPTRPSFMDIDVDETTGQITGLF H257_07253 MIQIHSQRTMMFRQSWLTAAGRFRALSTWHLKRLPLQVQVPTPC DGVIASAQTPKRIETLAAEIGIDAADELKTYGPFKAKVSLDVLKRLHHQPNGKYVVVG GMTPTPLGEGKTTCVMGLVQALGAHLNTNAFACVRQPSQGPIFGLKGGAAGGGYAQTI PMDEFNMHLTGDIHAVSAATNLLAAAIDARMFHERNLTDATLYQRLVSSKAPSSSAQF TPAMRRRLAKLGLSPTSTPDDLTPTERRRFARLALDPATITVKRVVDTNDRFLRHVTV GQGAAEKGQNRATGFDITVASELMAILALANDLPDLKYRIGRMVVGFSHADDPVTVDD IGVTGALTALLRDAIEPTLMQTLEGTPVFVHAGPFANIAHGNSSILADRLALKLVGSS GVVVTEAGFGADMGIEKFCNIKCRASGLAPDCIVLVATIRALKLHGGAASVVAGKQLP LEYTTERLDLIEMGSLNLAKQIANAQAFHVPVVVAVSPFSHDSAAELELARTLAIAAG ASDAVIAPYYAQGGAGAVDLAIAVQRAMQRRPDSGDRPTRSQERCMERPLSNLKTLPT LT H257_07253 MIQIHSQRTMMFRQSWLTAAGRFRALSTWHLKRLPLQVQVPTPC DGVIASAQTPKRIETLAAEIGIDAADELKTYGPFKAKVSLDVLKRLHHQPNGKYVVVG GMTPTPLGEGKTTCVMGLVQALGAHLNTNAFACVRQPSQGPIFGLKGGAAGGGYAQTI PMDEFNMHLTGDIHAVSAATNLLAAAIDARMFHERNLTDATLYQRLVSSKAPSSSAQF TPAMRRRLAKLGLSPTSTPDDLTPTERRRFARLALDPATITVKRVVDTNDRFLRHVTV GQGAAEKGQNRATGFDITVASELMAILALANDLPDLKYRIGRMVVGFSHADDPVTVDD IGVTGALTALLRDAIEPTLMQTLEGTPVFVHAGPFANIAHGNSSILADRLALKLVGSS GVVVTEAGFGADMGIEKFCNIKCRASGLAPDCIVLVATIRALKLHGGAASVVAGKQLP LEYTTERLDLIEMGSLNLAKQIANAQAFHVPVVVAVSPFSHDSAAELELARTLAIAAG ASDAVD H257_07254 MRRKSVGFSQFHKKHITTNATIRRLNCVPYDANPVHIESVEEKD SRKCAQLLLSILQSRQAFVRDSSGDVDLQTVGYFELDWVKDMSGLHVANLQKLTHHQS KGDAAKRLQRKHKQWIEEQRLMTSLGFAAGRLATSLTKPERQMLQDMLQVPVAGGYYT HMVTSPDQARSIAGHRRRYWETWTIGDGEKNEDHRVRRIESRSQWAKRRAWMDEDDED VVDLSHFDVGAPTSLPRHMTLGDFCPPPTVKAVGVPDSDTYDFCDIALHDDDDVTLST RQVQATCSMM H257_07255 MTQDDKRPNTAGGATRKPPGSQFTPAGGPRPGSAADAVSPVGED WASPLAAPPPPSTSGHLKIADIVSRNRRKMSSSADLIKDFEGAIRKVVDGKRSECDKL KYTVEKKRDELDKLKLALQDLRADCAALGMNEFPDPSDRLESISSVSRRPLYAKKTNI RALEEQLRLKGVDTIAVMRKTLTYEHIKKRHEGEKAWLIQSKADLTIELREKQQRLQE YHRVELSASEALNQVQTRLVQLKADIAAEIRLYETELHLRQRWVKEKAKFEAFYAKQV ADAAVTPAASACLHNVNTSIDKRKLQVDRKQPSKHWTKALTAVEYEVATREERQHKDA FWRLGVPGGVVNPTQIIAMCSTHASLKQELQLRQAEQEQILAATKTRIDEVKAELQQS QLGSVRSSDRDLHDAQAELAVAEKLLHKAREEYEYMQQVLEPVKAGIQQIVAQVIGKK VDTDNIHAIENALHMVEKELLIIVPDLTAPSNDESTSNNGLGGTSPTPHPAAPSPLTT LAPGDVTSKYNVRIRPKEHMQKNGTVATPGGESSTERVQTKKARDKAAGILEDGDSVI MDRATVKQLASTMTTQHAAKKKKG H257_07256 MKEKAVPGLFGKAKPLPGQHGYKVKESERLEHEASAMEAQLKHV KMGMAQQQEQRDKDKSKMKGGNRWRSAREDRGSVRQYAQDVKTKTPSTKSKKKKKGES YAAASRPKVGDWGVPQVTDWLASIHLGHHATTFEFNEVSGSVLLDLTPADLDYLHVTD PSDRKLLLQHIEQLKRSSGTASPSNQHRHIQSPALAAPQREVLDDKLHDAPVVVPSKK VAHWSQLTPLADTGVANPSGDVPVNLADGDFNEDDSHASFMNALLDWRATDQQNADDQ GKDDTGFWTNPLAECSEPLSVQGGELLGGHFDEEQSHANFLAALQAWRGNSTQQQHER PEQATASTGSPVEAKASCWQCYRLYKAGSVVQDAMSKHSFCSRVCLSNYHSEYARFYT PPSTTKTT H257_07257 MRRPSVSGGPFIDTPLLPLDKLALERSVSSPMNKQTAKSLLFGA SHSPMKVPSYSSSFTANDMYPFGRQNPFETDFVEHMLVAEGGFGKVFKCRSKIDNRQY AVKVEQVHFTPKAIFNPNEIRETILREVHLLAGLDHEHVCRYFNTWIFGKLVSTGRPD SAKSSTANMDNSDDSFGWSDKHKPFAPNQTILHVEGAPSFDSVASVSSSMCGFSFDRT STNGVDEVLLPPPTPQIPVSPVPPVKKELADPPTRLTSPRSNLSLQMDVYIQMALYHG NSLQHWLVERQSIDVNANLTIFQQIVSGLKYIHGQGLIHRDIKPANIFLTLDACVKIG DFGLATDSRLLESSLAGVGTPLYSSPEQTRGDVCSTKSDVFSLGLVLCELFCNFSTQM EKHVTLMEVRSPHGVVPSCVPPEIAAVVKQLVHPDPSKRPTCEAIELMDEVMPFLLHS MPTSTTDKTSHRYSRRRFSMDEPDSGVPVIPLFAPPRSLPHPLHSLLDELEKLIGQQE AALRQVGHTAAAATSWVVEALALSDRKRQVLAAVRHEVRGQPSSDYHEYEYHT H257_07258 MTSTTLDGESVGTALWVVQLCFIGSLAVAAIVYTFHYMFEVKER ELFQRKDPPRDRRDYMESRCLTD H257_07259 MSREMSLEATLAAAVARRQRDGTVRSLRVLPASNVDFCSNDYLG LSRNPALTLAQNPASPHGSTGSRLITGTSSTHVQVEAELAAFYGAESALVFNSGYLAN LSVMSCVPQDGDVVLYDNLVHNSCREGLRLSRATALGFRHNDMTHLEALLRAQSSSSR HVLVVVESIYSMDGDVAPIQTLVELCESYGASLVVDEAHSTAVLGPGGAGLVRALGLE RRVFCSVYTFGKGMGIHGAVVCGSATLKSYLVNYARPFVYSTSLPPHDMRLIRRAHQV CAAADAPRDTLQSLIGHFRAQVESSSCIPKAALLPSTTAIQGIVVRGNEEALQAAAFL TDQGFNVVAIRAPTVPVNEERLRIIIHAFNSRQEIDSLVDAVGRYFQHHHARQCAL H257_07260 MLPMVAHAQDSTWTCATCCENNPLTPALACCSQSSDNSVPYGGC IGLPCCKPGRLDSDKFSSLYLWKPCLPYVGCQGKDMCNENQSIAKSASRLSYPSDPRG ASSWTCTDPCCSTVTRDNTWRQLPSPSSFSPLYSSFIPNQLNAHVVVTFDMQDLRRVF FVNDEPSATSIQQVLLPLPTDWTWNGNSSTCGIQSHDASGRVTLGPMLSTHCNITLMA SQPYVLVDANTPSALNASVFSVHLVNLTSPRGMLVPHEPPKPLHFIAKLPNVEFRVFS SVLLVESIQPGVLSEGIFAPENVLPQAVGNATLRFTTSVALPRGTILRVNFSQSGFNF IDSQWWIGHQTDNSPSASFTPSVVPASSYDDKTKVWLLPLPLLVAARSSVQLQVARAR NPEAAFKDSFAAIPMDAIAPPSTFVVAQGTVTATLVRDVTASPPSSPYNWISLVLLML SLTFCLVMLYKHGLFWHPWHPIALFSDLTAVASVAGLVLGVVNNALWISGANNFTFFY VKTGVSSVAFTMLLSVCVHWGTVLSHRVRKLPIAALVGAFVLLNGLFYTFQLVVGLHH HDVVAQVYGAEQSVNMLAPTYPCKNGPTFQFVFSDIQPYYTQCYLGHLGLPDVHFFTW FSNATYSMFALLTLGILGLGYMVMQKGSKILHLISYSRQQIYLMKALRLYTALVALVT ATYLVAFSMQFVQVELPYYWWYLTTVWLPQCIPPCSFIFLQWNSATKSLRKENNSERS DTAEDAMVATPRITTYDPSTTLTLSSKSNAHDRHSLLHPDPFAVSSLTTNDTSSTTDE DDSAVVVGLSLRLVLPEPLPHGCYVAIESQSPLDSKWIRVGTTETLTASPPTTSTSSS SSSRYVVMFLSVVPVPASSGTVRFLVFAARDPSQRSSDSDDVSLNNDDMSVQYQGIVD GLEYLDDDASDSDYEQGGGDDNDPLLRLLQPPDRCVASFSVEGCFDYYGHSTTLRATH SRFQSSAACHLVVTVVPCRRRPSSNDQDMTTMVATYHLQDVLVVETLTESEYSNSIPG QYLDIVLARRTKAYFQASRELDQFLAMEHARLHQGVTAAAGMGSLYENLLEQIQGEAD RRQCRDWLQARVTVRKAYVSHLRRCRHATWAREHATSCPNRFKGSLLKKHVELACIPL NLHLQTMHVAPKPSNLPPSNLYPHRISQLHDVCLYDTTTVGAFAAHVYEFKQGGVFSL RQEASKCSISGGSQSSSSSLFPTTWQYLSQVERRRCDLEWTVHTRLDVCVPQALAALA TALTATLRRLVHQPLQFERLIQLGFVFHVESLLSTYGAELGMLEDMMEAMQTVGTFRI LLVPHADNASDTQGQVVESVDLYTNVDDAVAGHRRHDHAKSDDTDFVLRVVVRSRGKV LIPKRCLVSIHPLLFNVGINDKQSLANMSMAAYPKKLQDHINDSALQRLKSVVAAFCD LVPADTSARVLLQELTDAVHVSNSGRRKHPQVLQASSRLVRHLDGGRVTVCTSGKDRT AMAVTLEQGMLLSWHHDLALENVPDMVATMRSRGVRIENCRKNTGRRKFASFNPLQRS MVPEPYRCPPETGGRHLS H257_07261 MVRKWAYDLNVNTGSADPLGYNKHVALEHNAVTTTSANDTLDLK QKRASEIAQAPFKGLFQTGLMMYMSGSSINIFSIMITAMAIFNPLKALFNVNGAFASL EDGKMNLAQSKVTFVVANLVAIGVALYKCGTMGLLPTTSADWTWLLPVKQALETSASA TPVY H257_07262 MAVSYQSVSAADDFESRSHEVHPQDNAGFVSRILYTWATPLLSL GNARQLSARDIWPLQVDNQCKTVSATFEPHFQRTRSIVRAIVASYGWRFLVVGSMQVL TVGCTLYGPVVLKRILTALEVPDSFNLRGVLVSIVSLFVVKVAQAVITAHATFQNQLV TVKITSALQHLLFHKSLVLDAKCRREKTAGDIANMFSTDIQTMINFSIFANQLWLIPV QVAVTLYMLYDVIGWATFVGTGVIFVTLILNNFLATAQRNAFKELMTRKDARMKSINE VFGAMQIIKLNAWEEKFQDKIKTERDSELQSLWRIFTVSSSMTGCLYSAPVLVSVVSF ATYTIVMRQPLNATKVFTALTLFNLLKYPMLTLPSIIANLMQAAVALRRIMDFLNMDE KKTHIVLTPSSAPAEMVEVYANGNVDICVDNCSFGWDAGKPLFKDVNLTVKRGEFVVV HGSVGEGKSSLCAALLGEMDKFGDGTVFVGGQVAYFSQQAWIQNMTIRENILFGKPYD RVKYTNVLEVCALSKDLALFSAGDRTEIGQKGVNLSGGQKARISLARACYSDADIFIL DSPLSAVDAIVQNEIFTKCFLGLLRHKTVILVTHSPEIIASKCIDRIVEIKSGHLIQT TVVDSDKADQPILVSPLSGRRGYREDESSSPLSLDQPSHPKQWDLLLTPSVATPFPQQ FEGVPFTPYPSDVGSSGPSYEEQSTGKLVQEEGRSQGRVSSKVFDSYLQAIGGWKMLF VWVSFLGVWQVLSVSSDFWLSSWSASTKIVTPDEFLDQAGYYLCVYAALSFGGIIMTV LRTLSIYSSGLRASRLLFANMTAALMRAPMTFFDTNPLGRILNRYSNDINTVDTAIPF SISAFLAMIFNAIFALATTLFVVKSFGLLILPLLYVYKVIGSFYVQPAREMERVNKTT KSPLLNLISESIEGTLVIRAFGPKQVRRFQRMHFRNVDTNNEASFAAQVITQWFSLRI QLLSACLLLVVSVSLVLMRYYVSPGLIGLVLNYCFTILPMFEWIVSTWSQLETAMVGP ERVSEYCNIEPEAPRVISGAVAKDWPTTGEVEFAHMSFRYKENDPMVLKDVSVHIQSG EKVGIVGRTGAGKSSLTMALFRINELAGGSIKIDGVDISHVGVKTLRSSIAIIPQAPV LFKGTLRNYLDPFGEFADADLWGCLHKVRLADRISAVDGKLDSPVEENGENFSVGERQ MLCMARALLRQARIVVMDEATAAIDHETDQNLQRVIRTEFATSTVLTIAHRLDTVLDA DRILVFDQGRLAQCDTPAALIGAGAGIFYELCHEGGYLDKMVVPPQH H257_07263 MENSVRQKGGIDVSAGDERDYRHVELANGLCVLLVSDSEAEKSA AAMDVRVGHQSDPDHLLGLAHFLEHMLFMGTKKYPDENSYSQYLSAHGGSSNAYTSGT DTNYYFDVRPPYFEEALDRFAQFFIAPLFTPGATEREMNAVNSENNKNLQSDPWRLDQ VVKHTSSRRHPFHKFGTGNLVTLGTAPTEEVRAELIAFHARYYSARIMKLVIVGKEDL DTLEGWARSKFSEILDTGDKTFEYEGVPFEAPQLQRRLNVVPVKDHRSIEVSWPLPSL RSMYLEKPASLISHLLGHEGPGSLLSHLKRQKWANELSAGLMKEYDDWSLFCVEVEAT EAGLKHVDEIVDAIYQYLHLVQQDQIAPWVFDETQSIALMNFRFRSKETPINYATSLA TRMQLYPVQHIVAGSSLLYTYNPVQVESILSQLTPRRMRLTVVAKDFEGKTTDVEPWY GTLYTESALPPSLIQRWESPARTEALFCPHPNAFIPHNFDLVTTPTPGNVPVLLRDDA AARLWVKTDTTFLKPKLNICLALHSPLIYQSPTSVVLTDLLVRAIKDQLTEYTYDAEL AGMRYSLSFTATALELYGGGYSDKLPVLVQLIVANMVHFNMTDDETFHRLKDKTKRSY DNFERDDPYKHALYFSSCLLEDTKWMVAEKAAAIAHVTRADLMEHAAALFRELFVEAY YHGNVDAATATTLLDDALATIGARPVFPSQRVKTRAVQLASPVEYVYAIPELNVESVN SGLYTCFQLGRESMHLRATNEVFAQLLREPCFNQLRTLEQLGYIVFSSSHRAHGIEYF RMIVQSDVASPAYVERSIELFFRLVRTDIARLTSDEFQKNIQAVVDALLDKPKAASEE CSRFWSEISAETYLFTRRQDLAAVVRTLTIRDILTFFDQFILGPNRAKCSVHLLGHQH VVPALKSELGDVDAVLGPLASIALDLKENATPAIVYIKDIRRFKLGMPLFPERPSGPV ELAK H257_07264 MEHTTVCVNKYTLQFEDANLEASFQAFSHTRKKTLWLRSLIPAA ISHLVFAWGDSLEHDPSRLQVTLPARLLLIVMQCSTYFLVKWDLVKADEQLMFALALC HGIPTLLLFTLQHAMLHQWDALFVVFGLSFYTIPKVTPLGFVYSTMGSTITMVVYFAI ALFCRPPPDKIEIVLAFLYCAPVIWIFNTISYYSEYSSRERFVLRQRLSNERISLAVS RTLHPSSAWTSTSRHPPDVSGTTLFLGVLLWGVFTLGSFASVPDTFKFVDEETGWAWF SHIAGVTVFLLVITRRLTLLVVVPLTGAVLLWLMSLVLSARWIIFSAHSVGYTLLAAS AILTFGVFSTFLQAWHQLVAFLQRTCFLYPQLQDGLTQDFPLLDKIMSEYQAGFDPHV LAAARQSSHKQLLIHHPKGATTDAVVALPPDHDDHDEDDHHPMVSVLPSFKAGKCFFC NKNTIVHYVPTCGLWGKWMHWRMDANHVVAAQRGSSSSDKGGGLKPTVSMCTSYCDLQ SKNRHLQEQMRTLTGSVTSLKNQIKLVKEHESHSLAATVQLQRAMHEIADKHKHEVEC VAKAHAAKVQTVLKESNATLLALTQQRDQQRRHAQQLEAALDKETRRRIDADAAQAQL MARVERQNTRLAQLEEQLQTERRNAATTSSSSTRTSSSDSSTTMDNASLEMSRHVPKL TVEHSRRRMPYARVLEIEGLRESVGKSSSI H257_07264 MEHTTVCVNKYTLQFEDANLEASFQAFSHTRKKTLWLRSLIPAA ISHLVFAWGDSLEHDPSRLQVTLPARLLLIVMQCSTYFLVKWDLVKADEQLMFALALC HGIPTLLLFTLQHAMLHQWDALFVVFGLSFYTIPKVTPLGFVYSTMGSTITMVVYFAI ALFCRPPPDKIEIVLAFLYCAPVIWIFNTISYYSEYSSRERFVLRQRLSNERISLAVS RTLHPSSAWTSTSRHPPDVSGTTLFLGVLLWGVFTLGSFASVPDTFKFVDEETGWAWF SHIAGVTVFLLVITRRLTLLVVVPLTGAVLLWLMSLVLSARWIIFSAHSVGYTLLAAS AILTFGVFSTFLQAWHQLVAFLQRTCFLYPQLQDGLTQDFPLLDKIMSEYQAGFDPHV LAAARQSSHKQLLIHHPKGATTDAVVALPPDHDDHDEDDHHPMVSVLPSFKAGKCFFC NKNTIVHYVPTCGLWGKWMHWRMDANHVVAAQRGSSSSDKGGGLKPTVSMCTSYCDLQ SKNRHLQEQMRTLTGSVTSLKNQIKLVKEHESHSLAATVQLQRAMHEIADKHKHEVEC VAKAHAAKVQTVLKESNATLLALTQQRDQQRRHAQQLEAALDKETRRRIDADAAQAQL MARVERQNTRLAQLEVVRSDIN H257_07264 MEHTTVCVNKYTLQFEDANLEASFQAFSHTRKKTLWLRSLIPAA ISHLVFAWGDSLEHDPSRLQVTLPARLLLIVMQCSTYFLVKWDLVKADEQLMFALALC HGIPTLLLFTLQHAMLHQWDALFVVFGLSFYTIPKVTPLGFVYSTMGSTITMVVYFAI ALFCRPPPDKIEIVLAFLYCAPVIWIFNTISYYSEYSSRERFVLRQRLSNERISLAVS RTLHPSSAWTSTSRHPPDVSGTTLFLGVLLWGVFTLGSFASVPDTFKFVDEETGWAWF SHIAGVTVFLLVITRRLTLLVVVPLTGAVLLWLMSLVLSARWIIFSAHSVGYTLLAAS AILTFGVFSTFLQAWHQLVAFLQRTCFLYPQLQDGLTQDFPLLDKIMSEYQAGFDPHV LAAARQSSHKQLLIHHPKGATTDAVVALPPDHDDHDEDDHHPMVSVLPSFKAGKCFFC NKNTIVHYVPTCGLWGKWMHWRMDANHVVAAQRGSSSSDKGGGLKPTVSMCTSYCDLQ SKNRHLQEQVILFF H257_07264 MEHTTVCVNKYTLQFEDANLEASFQAFSHTRKKTLWLRSLIPAA ISHLVFAWGDSLEHDPSRLQVTLPARLLLIVMQCSTYFLVKWDLVKADEQLMFALALC HGIPTLLLFTLQHAMLHQWDALFVVFGLSFYTIPKVTPLGFVYSTMGSTITMVVYFAI ALFCRPPPDKIEIVLAFLYCAPVIWIFNTISYYSEYSSRERFVLRQRLSNERISLAVS RTLHPSSAWTSTSRHPPDVSGTTLFLGVLLWGVFTLGSFASVPDTFKFVDEETGWAWF SHIAGVTVFLLVITRRLTLLVVVPLTGAVLLWLMSLVLSARWIIFSAHSVGYTLLAAS AILTFGVFSTFLQAWHQLVAFLQRTCFLYPQLQDGLTQDFPLLDKIMSEYQAGFDPHV LAAARQSSHKQLLIHHPKGATTDAVVALPPDHDDHDEDDHHPMVSVLPSFKAGKCFFC NKNTIVHYVPTCGLWGKWMHWRMDANHVVAAQRGSSSSDKGGGLKPTVSMCTSYCDLQ SKNRHLQEQVILFF H257_07265 MPTTTTTKRPPAKLVYKAKNELPLVTPKESSNMNRSTSGIAGVL DSLKGKMDILDHEIKADQKGKKDYEDELFKLNTRKQDLTAHLTECQRWIDLFASKIQP LENSYSATTVDMRDEYNEAKVKHTNGLQVLIDNFNYHPVFKRYNDDFTAVPFRPK H257_07266 MRAVQREQTPSLRMTQQPVAVTDDLEHLFGSAQIAAPSKMDTMN PTDVNVIRALVSNTDLVDRFSHQIALYGKQRDHLKPEDTLRRFSLNGDNKLDFREFHP ALKRLFGHGISHTQSKELFGVFCPTSGKKLDIDQFCQIMGHWCQVAERIKDQQYLSRP SSAEPVAQSGDGLSSTLDNNANIRRGLELATKHYDKLNAVFLNMDVGCTGYLSKEEFE LAMTHLGVYLNTQEYERLYAQLPSSIRHESSDGIYYAGFLAMLGVKMTTLFQNQKLWE MMLQHGDVLRKYLTHCQKQGKSSMSPDHFRDLLGHCGITLSNGDFTGLRMRMQEFQDV DGHINLADFLAALNDKAAFLANSTGLVGCTGPPSPPRRGKKMVDTHDSTVYAAGKSTE QRNAEAQTCRGKSTDEMKKKHPTLSDVYNTSTSPASSLVQTYPTSLEDRIFMKMKTCQ DMGYTHCATLKSIFPGDRFGKVTRGQFRQSLAQLNLVSRHAEVEALFWQLDPAGRGYI GAHDLHIHLQKYQPTNEAGASQPTASPPSPKVQYRSSLRVDEKKFFDALQDKLPNVLA ACRSVDPAKTGCISRGDFIWALRQGGLILSHADATSVIATLSSRKDGVVLYHTIADSV AAVLQLPGANNQSTAKKTNRHHLSNTAVLLDQQAVASIEPATTLSETLKQPAQDDYPA RRSSLKLGYDYDQEPGPGDLTANLLSNASLHHVLSEPEKLERVVRQRHARRVLLIQNI LERRSDLKMCFDMMPYRQTPHGLVLLTVDEIADILTCARMNIPLKTPDEAKTLLREIV PPNLDQLSFVELIRVLTMAQRMERGPDDDDDLAGPSSSRRQNYALSPATCEVSIRAKL LQFSTLKDVSVMQWNTTGAIIVRHAFKGLSRDTVAVASTGTFDALCRNVDLKHICTRL ALDLTQTELNFLVSKVDADKQGCFSSTSLFQAFTQLLYAL H257_07266 MRAVQREQTPSLRMTQQPVAVTDDLEHLFGSAQIAAPSKMDTMN PTDVNVIRALVSNTDLVDRFSHQIALYGKQRDHLKPEDTLRRFSLNGDNKLDFREFHP ALKRLFGHGISHTQSKELFGVFCPTSGKKLDIDQFCQIMGHWCQVAERIKDQQYLSRP SSAEPVAQSGDGLSSTLDNNANIRRGLELATKHYDKLNAVFLNMDVGCTGYLSKEEFE LAMTHLGVYLNTQEYERLYAQLPSSIRHESSDGIYYAGFLAMLGVKMTTLFQNQKLWE MMLQHGDVLRKYLTHCQKQGKSSMSPDHFRDLLGHCGITLSNGDFTGLRMRMQEFQDV DGHINLADFLAALNDKAAFLANSTGLVGCTGPPSPPRRGKKMVDTHDSTVYAAGKSTE QRNAEAQTCRGKSTDEMKKKHPTLSDVYNTSTSPASSLVQTYPTSLEDRIFMKMKTCQ DMGYTHCATLKSIFPGDRFGKVTRGQFRQSLAQLNLVSRHAEVEALFWQLDPAGRGYI GAHDLHIHLQKYQPTNEAGASQPTASPPSPKVQYRSSLRVDEKKFFDALQDKLPNVLA ACRSVDPAKTGCISRGDFIWALRQGGLILSHADATSVIATLSSRKDGVVLYHTIADSV AAVLQLPGANNQSTAKKTNRHHLSNTAVLLDQQAVASIEPATTLSETLKQPAQDDYPA RRSSLKLGYDYDQEPGPGDLTANLLSNASLHHVLSEPEKLERVVRQRHARRVLLIQNI LERRSDLKMCFDMMPYRQTPHGLVLLTVDEIADILTCARMNIPLKTPDEAKTLLREIV PPNLDQLSFVELIRVLTMAQRMERGPDDDDDLAG H257_07266 MRAVQREQTPSLRMTQQPVAVTDDLEHLFGSAQIAAPSKMDTMN PTDVNVIRALVSNTDLVDRFSHQIALYGKQRDHLKPEDTLRRFSLNGDNKLDFREFHP ALKRLFGHGISHTQSKELFGVFCPTSGKKLDIDQFCQIMGHWCQVAERIKDQQYLSRP SSAEPVAQSGDGLSSTLDNNANIRRGLELATKHYDKLNAVFLNMDVGCTGYLSKEEFE LAMTHLGVYLNTQEYERLYAQLPSSIRHESSDGIYYAGFLAMLGVKMTTLFQNQKLWE MMLQHGDVLRKYLTHCQKQGKSSMSPDHFRDLLGHCGITLSNGDFTGLRMRMQEFQDV DGHINLADFLAALNDKAAFLANSTGLVGCTGPPSPPRRGKKMVDTHDSTVYAAGKSTE QRNAEAQTCRGKSTDEMKKKHPTLSDVYNTSTSPASSLVQTYPTSLEDRIFMKMKTCQ DMGYTHCATLKSIFPGDRFGKVTRGQFRQSLAQLNLVSRHAEVEALFWQLDPAGRGYI GAHDLHIHLQKYQPTNEAGASQPTASPPSPKVQYRSSLRVDEKKFFDALQDKLPNVLA ACRSVDPAKTGCISRGDFIWALRQGGLILSHADATSVIATLSSRKDGVVLYHTIADSV AAVLQLPGANNQSTAKKTNRHHLSNTAVLLDQQAVASIEPATTLSGAISFDAFISKEY VHPRLEQ H257_07267 MVTSKQRPASQATASYQSLPTTDHKPPRTSSAVHPYDQASFVSK LLYNWATPLLQLGNQRQLNPVDLWPLQPANQCHVVSASFEPHFRRHRSLVRTIFASYG GRFVGIGLLQILSVGCTLYGPVVLKHILSALEDDTSFDMHAVLQYIVTLFAANVAQAF ISAHSTFQNQLVTVKLTSALQHLLFHKSLALDAKCRREKTAGEIANMFSADIQWILNF SIFATQLWLIPVQVAVTLFMLFDVIGWATFVGAGVIIVVLVINNFVVVAQRRNYVALR GYQDTRMKSVNEMFGAMQIIKLNAWEEKFHDKIKTERAVELKTLWQIFSLSSCITALL YGAPVVVTIASFSTYTLVMGETLNATKMFTALTLFNLLKLPLMTLPKIIASMMQALVA LRRIMEFLNLDEKKTHTVLTPLSAPLEMVEVYASGNVDICVDNCSFGWDAGKPLFKDV NLTVKRGELVVVHGSVGEGKSSLCAALLGEMDKFGDGTVFVGGQVAYFSQQAWIQNMT IRENILFGKPYDRVKYTNVLEACALSKDLALFAAGDRTEIGQKGVNLSGGQKARISLA RACYSDADIFILDSPLSAVDAIVQNEIFTKCFLGLLRHKTVILVTHSPEIIASKCIDR IVEIKSGHLIQTTVDNTNKPNQPMLTPPRARRRGDHEDKDNHHQYREQPSQHKQSNIL LTPSVATPYPQQFEHGTPTTQPKETGTATQNDQNHPTGKHDQQEQRPQATNASKAYDK DVQARKKGKMRVAGVAIWQGRKMPADASHSRRSATRKETKTATPDEYLHQAGYYLSIY AALALGSITMTMVRTLSIYSSGLPASRRLFENMTKALIRAPMTFFDTNPIGRILNRYS NDINTVDTTIPFNISASMAMTFNAIFALATTIWVLKSCGLAMLPLIYVYKVIGAYYVQ PAREMERVNKTTKSPLLNLISESIEGALVIRAFGPKQVRRFQRKHFRNVDTNNEASFA AQVITQWFAMRIQLLSARLLLGMSTALILMRDYVSPGLIGLVLNYSFTILPTFQQMVA NWAQLETAMVGPERLAEYANIEPEAPRVISGAVAKDWPTTGDVAFTNMSFRYKENDPM VLKDVNVHIQSGEKVGIVGRTGAGKSSLTMALFRINELAGGSIKIDGVDISHVGVKTL RSSIAIIPQAPVLFKGTLRNYLDPFGEFADADLWGCLHKVRLADRISAVDGKLDSPVE ENGENFSVGERQMLCMARALLRQARIVVMDEATAAIDHETDQNLQRVIRTEFATSTVL TIAHRLDTVLDADRILVFDQGRLAQCDTPAALIGAGAGIFYELCKEGGYLDKVLS H257_07268 MKYFSPQALQLSIHAPERKRPMSTTIATGTWTSGEHKRFLAAIE MFPQGPWKAIAKFIGTRTSRQAQTHAQKYRERLLRQDVDMMSDHVSRKIRGRAAEEGV KIEVEAVDVVIGIEEFNQDCISQGFPDSELSMEEAMAYLVELVDCAKWAL H257_07269 MKYFSPQALHSSIHAPDRKRPSSTTTATGTWTSGEHKRFLAAIE MFPQGPWKAIAKFVGTRTSRQAQTHAQKYRERLLRQAMDKTCDPVSREIRGRDAGEVI KIEVEAVDMVNCVEEFNKDCLAQGFHEAELSMEEAMAYLVELVDCKDWTL H257_07270 MKYFSPHSMLQVPCSHTPKATPTSTGPWTSDEHARFLAAIEALP QGPWKAIAKDIGTRTPRQVQTHAQKYREKLFRQSKTPTKKAKAALKYDQKCIEATPSC DTSDDDASSLSMDDAMEFLVQLVADSSNWDLPDEPWAA H257_07271 MALSFAGVDDEVAPTSTRVWTVIEHTRFFAAIDLFPQGPWKAIA KDIGTRTPRQIQTHAQKYRDKLFRQSKAPKLPTRKIKSAIGDVSKVKTHVALLEATLP AASVLLYTHHPAFETDGLDSRPSTTTMTPSPS H257_07272 MKYFSTQAMFLGHASVTSKAAPTTTGAWTVIEHTRFLAAIDLFP QGPWKAIAKDIGTRTPRQT H257_07273 MGDVSKVKTPVELLQAIPTQVEIVVDGPSDQVVSMDEAMHFLVQ LVGFRRFD H257_07274 MGIRGCHWLMRFLGANAMPRQPMIRRCVSTIRDAKARLVGRGLA SSDVTTLLSHAHDPPLSRESLYLHPDKLLTPLESQRLELLTSRRLGGEPLAYVVGVKE FWSLPFKVTSDTLIPRPDSELLIETLLCLHAKDSPLRILDIGTGSGCLLVAALTEFPS ACGVAIDISPAALAVAQTNATTHGVASRATFIEQDLRRLNNISHWSTPPPFDVVLCNP PYISVDEIPHMDTDVVAYEPHEALFAAEDGLALYSDLRHPLLHRFLRPGGHVLFEVGF RQAQRVCDMYLSASFQRSNTLDQVIFQDIQGIDRVVVLQSPTHSKEFVQK H257_07275 MMTKSEASPLVKASTPTPCGFDLCGYRVGKVGACALASLVVGGL IAACIAGSTSQVEPPFCDPKTRHEFGYIKLPHKTNDQYFYSFFESRNDPATDPLVMWL EGGPGSSSTWTLFNVNGPCFIGDDVNSTEPNPHSWTNRANVIWLDQPTGVGFSQGDFQ DDDHNEGDVGRNVYEFLQGWLKKHPTFQSRPFFLTGQSYGGHYVPAAAHYIVTRQSAT NQTANTIPINLQGIAIGNGFTDTPVQLPMIVDMVESVKDQYNITLASPAELSQMRRDA LVVAHLIEQCQLPNETTSCLDALEMWGERLLAPMTTNPSRNPYDIRQVCDPNCSDYGM AKTGVYLNQPWIQARLGVNKSYSWNNATVAMAFIGDGGKSAVQYMPAVLESGVSVLLY VGDADLMCDYKGNDAWSKALKWSGQAAYNAAEVQDLVVHGNIAGQVRSAGNLAFVRVF NSGHGVPVDQPVVGLALIDRFFNRLALNDEV H257_07276 MSSLLAGIRFGAASDEDDHSKKDKKKKKDSKKKDDKSSSSHKKK QKDHDVATESTPVSESTLPTPTSALPRDEWMHMDFIGGPPRAKQLTADEARTAALESK KQGEIDRGLREPNSGLLYGLYDPKNEAAPIENAMAAPAALPSVGDGGASWKRKMLQRA KQKAAATGESLESIVRAQYGMALSELEAQAALGRQGDDDSHLRYKRPRRPQQHQSHGA TATANGKSGDKTVLADYASRMKFTTLSKETSGRHANEDQEDGGPIDYSKLPDDDDKRP HKRSRPDDRRRQSPHRPDTSRRSRSRSPDRRRRTQPPHRQVDTRIDSNKSTTKARAPT VVERTPAQQQDAARRAAFLYRQPPAITTTAMLEKSPPTSSTTTTVTTTAVVQAKPPSA TANSSSTTTTTSSPRVSSDDPVDLNKLAAQALRAKMRGNLPLFHTLTKQLNELEHSQH QVAAAPSKKHQKPAKAPRAMVRPREPADLPPPHRPDDVDVAVGSHQGKAQSSDVNMSV DEMVRQEKAGSGDTHMDAVYARNIVRLGTRYEGSELSAGKTGAASSFDEDETPTALKL HQSSRLTEKAFVAASDHAARSDRMQWDKAMQNCRHCPQSDRFKGHLVVAMGPHAYVAL PAASTVADLQCLIVPAEHVASLSGADEAVAADVHKFKVALVAMAASVDMSMVFLERTY DVSRKRHTFVECVPVPKDVGMDTPMFFKQAMLECDEEWSTHQKILDTTGKGINRTVPP NFAYFHVEWDSNSSGNQSFGGYAHIIEDAAQFPADFGLDTLAGMLDVDPPRLGRYIPN VAAENARVQSFQAQWAPYDWTTRAAAAIDSNI H257_07276 MSSLLAGIRFGAASDEDDHSKKDKKKKKDSKKKDDKSSSSHKKK QKDHDVATESTPVSESTLPTPTSALPRDEWMHMDFIGGPPRAKQLTADEARTAALESK KQGEIDRGLREPNSGLLYGLYDPKNEAAPIENAMAAPAALPSVGDGGASWKRKMLQRA KQKAAATGESLESIVRAQYGMALSELEAQAALGRQGDDDSHLRYKRPRRPQQHQSHGA TATANGKSGDKTVLADYASRMKFTTLSKETSGRHANEDQEDGGPIDYSKLPDDDDKRP HKRSRPDDRRRQSPHRPDTSRRSRSRSPDRRRRTQPPHRQVDTRIDSNKSTTKARAPT VVERTPAQQQDAARRAAFLYRQPPAITTTAMLEKSPPTSSTTTTVTTTAVVQAKPPSA TANSSSTTTTTSSPRVSSDDPVDLNKLAAQALRAKMRGNLPLFHTLTKQLNELEHSQH QVAAAPSKKHQKPAKAPRAMVRPREPADLPPPHRPDDVDVAVGSHQGKAQSSDVNMSV DEMVRQEKAGSGDTHMDAVYARNIVRLGTRYEGSELSAGKTGAASSFDEDETPTALKL HQSSRLTEKAFVAASDHAARSDRMQWDKAMQNCRHCPQSDRFKGHLVVAMGPHAYVAL PAASTVADLQCLIVPAEHVASLSGADEAVAADVHKFKVALVAMAASVDMSMVFLERTY DVSRKRHTFVECVPVPKDVGMDTPMFFKQAMLECDEEWSTHQKILDTTGKGINRTVPP VC H257_07276 MSSLLAGIRFGAASDEDDHSKKDKKKKKDSKKKDDKSSSSHKKK QKDHDVATESTPVSESTLPTPTSALPRDEWMHMDFIGGPPRAKQLTADEARTAALESK KQGEIDRGLREPNSGLLYGLYDPKNEAAPIENAMAAPAALPSVGDGGASWKRKMLQRA KQKAAATGESLESIVRAQYGMALSELEAQAALGRQGDDDSHLRYKRPRRPQQHQSHGA TATANGKSGDKTVLADYASRMKFTTLSKETSGRHANEDQEDGGPIDYSKLPDDDDKRP HKRSRPDDRRRQSPHRPDTSRRSRSRSPDRRRRTQPPHRQVDTRIDSNKSTTKARAPT VVERTPAQQQDAARRAAFLYRQPPAITTTAMLEKSPPTSSTTTTVTTTAVVQAKPPSA TANSSSTTTTTSSPRVSSDDPVDLNKLAAQALRAKMRGNLPLFHTLTKQLNELEHSQH QVAAAPSKKHQKPAKAPRAMVRPREPADLPPPHRPDDVDVAVGSHQGKAQSSDVNMSV DEMVRQEKAGSGDTHMDAVYARNIVRLGTRYEGSELSAGKTGAASSFDEDETPTALKL HQSSRLTEKAFVAASDHAARSDRMQWDKAMQNCRHCPQSDRFKGHLVVAMGPHAYVAL PAASTVADLQCLIVPAEHVASLSGADEAVAADVHKFKVVRTIITQK H257_07276 MHMDFIGGPPRAKQLTADEARTAALESKKQGEIDRGLREPNSGL LYGLYDPKNEAAPIENAMAAPAALPSVGDGGASWKRKMLQRAKQKAAATGESLESIVR AQYGMALSELEAQAALGRQGDDDSHLRYKRPRRPQQHQSHGATATANGKSGDKTVLAD YASRMKFTTLSKETSGRHANEDQEDGGPIDYSKLPDDDDKRPHKRSRPDDRRRQSPHR PDTSRRSRSRSPDRRRRTQPPHRQVDTRIDSNKSTTKARAPTVVERTPAQQQDAARRA AFLYRQPPAITTTAMLEKSPPTSSTTTTVTTTAVVQAKPPSATANSSSTTTTTSSPRV SSDDPVDLNKLAAQALRAKMRGNLPLFHTLTKQLNELEHSQHQVAAAPSKKHQKPAKA PRAMVRPREPADLPPPHRPDDVDVAVGSHQGKAQSSDVNMSVDEMVRQEKAGSGDTHM DAVYARNIVRLGTRYEGSELSAGKTGAASSFDEDETPTALKLHQSSRLTEKAFVAASD HAARSDRMQWDKAMQNCRHCPQSDRFKGHLVVAMGPHAYVALPAASTVADLQCLIVPA EHVASLSGADEAVAADVHKFKVALVAMAASVDMSMVFLERTYDVSRKRHTFVECVPVP KDVGMDTPMFFKQAMLECDEEWSTHQKILDTTGKGINRTVPPNFAYFHVEWDSNSSGN QSFGGYAHIIEDAAQFPADFGLDTLAGMLDVDPPRLGRYIPNVAAENARVQSFQAQWA PYDWTTRAAAAIDSNI H257_07276 MAAPAALPSVGDGGASWKRKMLQRAKQKAAATGESLESIVRAQY GMALSELEAQAALGRQGDDDSHLRYKRPRRPQQHQSHGATATANGKSGDKTVLADYAS RMKFTTLSKETSGRHANEDQEDGGPIDYSKLPDDDDKRPHKRSRPDDRRRQSPHRPDT SRRSRSRSPDRRRRTQPPHRQVDTRIDSNKSTTKARAPTVVERTPAQQQDAARRAAFL YRQPPAITTTAMLEKSPPTSSTTTTVTTTAVVQAKPPSATANSSSTTTTTSSPRVSSD DPVDLNKLAAQALRAKMRGNLPLFHTLTKQLNELEHSQHQVAAAPSKKHQKPAKAPRA MVRPREPADLPPPHRPDDVDVAVGSHQGKAQSSDVNMSVDEMVRQEKAGSGDTHMDAV YARNIVRLGTRYEGSELSAGKTGAASSFDEDETPTALKLHQSSRLTEKAFVAASDHAA RSDRMQWDKAMQNCRHCPQSDRFKGHLVVAMGPHAYVALPAASTVADLQCLIVPAEHV ASLSGADEAVAADVHKFKVALVAMAASVDMSMVFLERTYDVSRKRHTFVECVPVPKDV GMDTPMFFKQAMLECDEEWSTHQKILDTTGKGINRTVPPNFAYFHVEWDSNSSGNQSF GGYAHIIEDAAQFPADFGLDTLAGMLDVDPPRLGRYIPNVAAENARVQSFQAQWAPYD WTTRAAAAIDSNI H257_07277 MCAAHKKRQHQDSTWDKFRQVMSRFTANVEAVVAAFLLSSSSSA ASSSDDLSHLAAAMPSQHIPRRVLIPTGRVALRVMHERRQACNFHSISDDVDSDQETE GSEDDAFSCTGWDDSAEWRDQWSALVTQSRFLDEYVKHVSAHTIQRAMRKFLWSRQQH TIAAHLFRVRTPLKCLFRLHQEIELKHRAAGVLQHAWRHRPSGSKPNRLKRKRTDDHN LKPSDVCRQGALLAHAAFHNQDTKDMLVQALLC H257_07278 MSSRSARITNNSGNFATTSPSMALYSWCDALAATALRRPAVAVP RPSVSNTRTMHRAGRTSKQVMPPRNALKKQQESMAKSRASSVKGSGGASKSVAYSSIM QQAKLATAFLCVVPKLM H257_07279 MPSPSAASSTSGLYDWCDIAEVAAAQRKSISRKVNATTLLASKA SKKSKKHAMPSTRTTFRQQHEAMQRRHSSHAKPSSTSLSIMSAAASRFESHLSAAATV FAPQLPRLL H257_07280 MSIYYSSLIEYSSTSSSVASTIASCKWPRESQQGLRRLVASVMK SIERNAPVAPLTPQPGDGLSKKGQAKAKQRGDLVSFRHLFRYADRTDVLLMAVGTIAA IATGTSQPLRILLFGNVVTTFNPVDGSYDHMLHEVSKISLSFLAMGLAVVTTGFIQVV AWTYTSTRQSERLRLAYITGILKQDIGWFDVNNPQSLATKITESVLLIQDGMGRKVGD YWNCIAMAVAGVSIGFKSGWKLSAVVVAFLPVLVLGLACMMKTTARAVQNAIKAYSQA GAVAEEALANIRTVHVFNSIPATSAKYNDALQLAEAAGIKKGLMTGIGGGFTFMMIFT TYAVAISYGAVLVATDNLTQPGCMSDCYDGGKVLTVFFGVIMGAMALGQANPSMEAIV SARAAAFEAYGTIDRPSKIDALTTDGATLSSVEGRIELIEVEFQYPSRPHIPVCKGYS LTIEAGEKVALVGPSGSGKSTIVSLVERFYDPIHGVVRLDGVDLKTLNPRWLRQQIGL VGQEPCLFAASIAVNIGHGKPGATMEEIRDAAKRANALEFIQSFPLGFDTLVGDRGTQ LSGGQKQRIAIARAIVKNPAILLLDEATSALDTESERIVQASLDALLNDRKRTTIIIA HRLATIRNADRIVVLCEGKVVEQGSHEHLMQIDQGQYRMLVDAQSRKSPRKASNAVMK LTSSVDDDVAASPTEIPVPVAATTSAPASTPSSPKKKKTANQVPYGRIWTMSQPEVGY LVMGSMGSLITGATFPLWGYLLSSCIVLFFNFKLTADEMKLEGLKWSGYFLILGATYC VGNVAQNYGFSVVSERLTTRLRALGFAAMLRQEVGWYDFPEHSAGALQASLSTDCALI QKMSADLLKNVLNVVVCLVIGFTIAFYHSWQMTLALLGVFPLMGFASKMRAKSFNPQV KEDENEGDVMAGALLSEAIGSIRTVASFGMEDVIQAHFTKLVAMAGADNRRAALSMGF VFGLSQAMMFFAMAFLFWFGGYLISHRIIVFADMFSVLMSLMMSSFGLGTAAQALGGM GKAKQATANVFAIVDRVPAIECITNDGVKPTLVVGRIEFQNVQFAYPSRPDSLVYKDY NLVIEAGTTVALVGSSGSGKSTAIGLLERFYDPYAGRVLFDGVDIRTLNLTWLREHIS LVGQEPVLFVGTIADNIATGKPGSSQDEVEKAAQMANAHDFIQQFPEKYNTQVGDRGI QLSGGQKQRIAIARAILRDPEVLLLDEATSALDNESERIVQASLDALLDMKKRTTIVV AHRLTTIQSADLIAVAHDGRIVEQGTHTELLDIPSGLYRTLVARQVDGNANPATTTSE E H257_07280 MTFVASVLTTSFIFWQAYSQAGAVAEEALANIRTVHVFNSIPAT SAKYNDALQLAEAAGIKKGLMTGIGGGFTFMMIFTTYAVAISYGAVLVATDNLTQPGC MSDCYDGGKVLTVFFGVIMGAMALGQANPSMEAIVSARAAAFEAYGTIDRPSKIDALT TDGATLSSVEGRIELIEVEFQYPSRPHIPVCKGYSLTIEAGEKVALVGPSGSGKSTIV SLVERFYDPIHGVVRLDGVDLKTLNPRWLRQQIGLVGQEPCLFAASIAVNIGHGKPGA TMEEIRDAAKRANALEFIQSFPLGFDTLVGDRGTQLSGGQKQRIAIARAIVKNPAILL LDEATSALDTESERIVQASLDALLNDRKRTTIIIAHRLATIRNADRIVVLCEGKVVEQ GSHEHLMQIDQGQYRMLVDAQSRKSPRKASNAVMKLTSSVDDDVAASPTEIPVPVAAT TSAPASTPSSPKKKKTANQVPYGRIWTMSQPEVGYLVMGSMGSLITGATFPLWGYLLS SCIVLFFNFKLTADEMKLEGLKWSGYFLILGATYCVGNVAQNYGFSVVSERLTTRLRA LGFAAMLRQEVGWYDFPEHSAGALQASLSTDCALIQKMSADLLKNVLNVVVCLVIGFT IAFYHSWQMTLALLGVFPLMGFASKMRAKSFNPQVKEDENEGDVMAGALLSEAIGSIR TVASFGMEDVIQAHFTKLVAMAGADNRRAALSMGFVFGLSQAMMFFAMAFLFWFGGYL ISHRIIVFADMFSVLMSLMMSSFGLGTAAQALGGMGKAKQATANVFAIVDRVPAIECI TNDGVKPTLVVGRIEFQNVQFAYPSRPDSLVYKDYNLVIEAGTTVALVGSSGSGKSTA IGLLERFYDPYAGRVLFDGVDIRTLNLTWLREHISLVGQEPVLFVGTIADNIATGKPG SSQDEVEKAAQMANAHDFIQQFPEKYNTQVGDRGIQLSGGQKQRIAIARAILRDPEVL LLDEATSALDNESERIVQASLDALLDMKKRTTIVVAHRLTTIQSADLIAVAHDGRIVE QGTHTELLDIPSGLYRTLVARQVDGNANPATTTSEE H257_07280 MTGIGGGFTFMMIFTTYAVAISYGAVLVATDNLTQPGCMSDCYD GGKVLTVFFGVIMGAMALGQANPSMEAIVSARAAAFEAYGTIDRPSKIDALTTDGATL SSVEGRIELIEVEFQYPSRPHIPVCKGYSLTIEAGEKVALVGPSGSGKSTIVSLVERF YDPIHGVVRLDGVDLKTLNPRWLRQQIGLVGQEPCLFAASIAVNIGHGKPGATMEEIR DAAKRANALEFIQSFPLGFDTLVGDRGTQLSGGQKQRIAIARAIVKNPAILLLDEATS ALDTESERIVQASLDALLNDRKRTTIIIAHRLATIRNADRIVVLCEGKVVEQGSHEHL MQIDQGQYRMLVDAQSRKSPRKASNAVMKLTSSVDDDVAASPTEIPVPVAATTSAPAS TPSSPKKKKTANQVPYGRIWTMSQPEVGYLVMGSMGSLITGATFPLWGYLLSSCIVLF FNFKLTADEMKLEGLKWSGYFLILGATYCVGNVAQNYGFSVVSERLTTRLRALGFAAM LRQEVGWYDFPEHSAGALQASLSTDCALIQKMSADLLKNVLNVVVCLVIGFTIAFYHS WQMTLALLGVFPLMGFASKMRAKSFNPQVKEDENEGDVMAGALLSEAIGSIRTVASFG MEDVIQAHFTKLVAMAGADNRRAALSMGFVFGLSQAMMFFAMAFLFWFGGYLISHRII VFADMFSVLMSLMMSSFGLGTAAQALGGMGKAKQATANVFAIVDRVPAIECITNDGVK PTLVVGRIEFQNVQFAYPSRPDSLVYKDYNLVIEAGTTVALVGSSGSGKSTAIGLLER FYDPYAGRVLFDGVDIRTLNLTWLREHISLVGQEPVLFVGTIADNIATGKPGSSQDEV EKAAQMANAHDFIQQFPEKYNTQVGDRGIQLSGGQKQRIAIARAILRDPEVLLLDEAT SALDNESERIVQASLDALLDMKKRTTIVVAHRLTTIQSADLIAVAHDGRIVEQGTHTE LLDIPSGLYRTLVARQVDGNANPATTTSEE H257_07281 MVAYVASSRPSIPWPREVTPTFCDTTYHESGYIKLPHKANDQYF YWYFESRSNPTDDPLVLWLEGGLARFPSGPC H257_07282 MIWIDQPTGVRFSFSGGHDDHIEVDVGRNVYEFLLGFFQAHPKL QANPFYIAGQSYGGQYMPAVAAYILQSQDANTTAIRINLQDITIGDGLTDTVTQYPLL VNMAVENSYNITLVSPAQLEKMQNDAVHLAALVAQC H257_07283 MITIPTQNPYDFRQVCEVDVCDGLGGPKTTRFLNQPWVQQQLHV HKPYAMSNATVLEDFAVDEEKNAVHFVASVLARGLRVLIYAGDADLICDWKGDDAWTR KLQCSGHDGFNAASVTPFLVNDIVAGTVRAANEHTFVRVFNSGHCVPRDQPAVSATLI NRFLQNETL H257_07284 MSSEKTPLFHVVTKPRKAKASSKLVLYAVGVLGALATVGTILTS HQSSTALVAPVSNLAVVNNSVFCDVTKQQSGYIKLPHKVDDKYFYWFFESRSNPETDP LVLWLTGGPGSSSIFALLTENGPCTIDADLNTVRNPHSWTNHANVIWLDQPTGVGFSV GDDKDDDHNEEDVGRNIYGFLQGFLKKNPKFKSHPFFITGESYGGHYVPSAAHYILNQ SPDNDDVHINLKGISVGNGLTDTVTQIPYTADMVDNAYNITLVPPADVPALKEAAKAV GKLVEACQSPVNETQTCLRAVEAWSELAIDPLTRDSKRNRYDIREDCTNGCIDRMKNV LAFLNSPAVQAKLHVNKTWALASRRVSQDFSVDFMKNYVQVVPGLLAGGVRVLIYAGD ADLMCNWIGNEAWTKKLDWPGKASFNAAQVKPLTVNGKNGGQVRSSRNLSFVRVYNAG HMVPTDQPEVSLALINRFFNHFPLDKEHPSV H257_07285 MSPTDATDAPFKINKFDGTNFHLWKFKMSMILEEKDLWDVVIGN EKWEDQPDDAARMKFVKRMKK H257_07286 MVVEVAVAASSRRWRQGSSIGGMDAKDSLIAAATVPTAGASRPS ILIKAPRTTTVGRLRLESLEG H257_07287 MSTRPQTTALRGKRLAELYITRTTPTGNEWKYHRQHANPTCCAK GAINRNVTRWSSTYSMLKRYVAILTYIRLLVDRNILHLTPTDDQDDEIDFC H257_07288 MLDIRNLFDECMLHPSASKRLASNSGVVGHANFEVAITKILDQS IATMSNGQVASVDRLKMAPKVLDASQDKPLTLAQRAKKRLKGSADADSYVGCRFIRPT SNMCERFFSWAKYALNDRRRATTPQNFEQQMFLKVNCSLWDVTDVDNTAISLPNKVHG PKANDNKALPLASSGAYTQWYVVSYNI H257_07289 MLTHSHSLLVSGPSVLGTHLLKFANQLTTNEIELIQGLGRYNLP SGKVREIAEHQNPGRMYSDNLLYRLMDRGRRLFLGSDRDSMSKFFDLCYNVRASGGSF EYDLDTSMTLKSIILQSVAMATYSKAYPDFILCDGTHNVSKYTLKLMPFTVVDSLGRN TICGIALDQSENTEIVKQSLRVFGLNIPGATFMTDGGSAYPNVAIECNMIHVLCTKHF EKEIVANCSGLGPLAADYRRECFALIYDNMTPDDCDRRLSLALATFAQSDRACKSHKK QELRKFNLFQLFEHLVQLFTRQQTKALDELVATIMTKAEWSSYVEKRWRASYSIMHEW SFVHCDGDMWYVSDVPLVDVTEARHHIELNSAEAFPTCNCYDFLSSMIPCVGICQQLN VTDPHGVDDAYQLSPPSAASSNHSVSLDVYKKVHVPTSGAMRITKFRNACSRIEQQVA NNSHLYRLFMANLAAFENGIGDETVRGSLEPFHLPQDAALIPTLRPEAIVGNATGVVR PPVTSSSKKRKFDNVQAVELSSSIQNRSTKQK H257_07290 MIFLSPPPPSTPPIPHAMIFLPPFVLNPTTPSPTRRARADWTAP IRHMGLVYGRQLPPANQLGNVLTLRHNVFYYKKSKQSSHHLNKCEKFRSTMMALDPHE RPTWFAVSTKRQKKAKALSSMKAPTGISFGHPSAAPVKTLQESMRRYALPKLSQVDLE AIKKYFAMHFYITSTLFHRVGQFHLKRAFQRARPDIVLPNRQALANKYLDICYHEVKQ EKDRRLGAPDTQVCLTTDGWSDVNMEPVVNYMAANATMSVFLDSKYTEAQAHTAEWIA KDLEDTMAALPANVCGACTDNTAENP H257_07291 MSPSGTNLLASRPTTTAVATQTPKRFPKADRSIKPTSHPKLQAN PFYIAGQSYGGHYVPAVAAYILQSQDANTTAFRINLQDITIGNGLTDTVTQYPLLVNM VVENSYNITLVSPAQLEKMQNDAVHLVALVAQYDFWDANLCEPDVYDDLGGAKTTLFL NQPWVQQQLRVHKPYAMSNATVLEDFAVDEEKDAVHFVASVLARGCGKLQCSGHDGFN AANVTPFVVNGNVAGTANEHTFVRVFNSGHCMPRDQPAVSAALINRSLQNGTL H257_07292 MSSEKTPLFHVVTKPRKAKASSKLVLYAVGVLGALATVGTILTS HQSLTALVAPVSNLAVVNNSVFCDVTKQQSGYIKLPHKVNDKYFYWFFESRSNPETDP LVLWLTGGPGSSSIFALLTENGPCTIDADLNTVRNPHSWTNHANVIWLDQPTDVGFSV GDDKDDDHNEEDVGRNIYGFLQGFLKKNPKFKSHPFFITGESYGGHYVPSAAHYILNQ SPDNDDVHINLKGISVGNGLTDTVTQIPYTADMVDNAYNITLVPPADVPALKEAAKAV GKLVEACQSPVNETQTCLQALKAWDELAIDPLTRDSKRNPYDIREDCTNGCIDRMKYG LVFLNSPAVQAKLHVNKTWASGSPRVYQDFSVDFMKNYVQFVPELLAGGVRVLIYAGD ADLMCNWIGNEAWTKKLDWPGKASFNAAQVKPLTVNGKNGGQVRSSRNLSFVRVYNAG HMVPTDQPEVSLALINRFFNHFPLDKEHPSV H257_07293 MEHDMSNPSRLVHRHLYPISLKLHALALSDHICRSARSVHVCQC HTAPYQVNFLKKHKQPWLQTYMELQKEECGYDNFLKLLQCFCARYGHTHQQASFAKQT VTDLESTRTDFAARLYNENDGVPDECVYNVDETDIQYDMPPRYIWSQRDGTTKLSKGE KHSQSQAQTHERPTTPLSAKETAKAHQLVLDCMYLVFGEQDTATLLGGLSPENQARLV EGIGRLVDQAVTAAVTPLTALNAALESQLSIMAAHGRTFEESLARSATEVERLTYALH TSEQQSPSRLKPIKLEVTKFGGAESDKLLRWILQVETAANAQRILDDDTRVAFAMSHL KGLHVPAPQ H257_07294 MSYEKTPLFQAVTKPRKAKASSKSVLYAVGALGTLATVGTILTS HQSSTVVVAPVSNLAVVNNSVFCDVTKQQSGYIKLPHKVDDKYFYWFFESRSDPETDP LVLWLTGGPGSSSIFALLTENGPCTIDADLNTVRNPHSWTNHANVIWLDQPTDVGFSV GDDKDDDHNEEDVGRNIYGFLQGFLKKNPKFKSHPFFITGESYGGHYVPSAAHYILNQ SPDNDDVHINLKGISVGNGLTDTVTQIPYTADMVDNAYNITLVPPADVPALKEAAKTV GKLVEACQSPVNETQTCIQALEAWNERVMDPLTRDSKRNPYDIREDCTNGCIDRMKYG LVFLNSPAVQAKLHVNKTWASGSPRVYQDFSVDIMKNYVQFVPELLAGGVRVLIYAGD ADLMCNWIGNEAWTKKLDWPGKASFNAAQVKPLTVNGKNGGQVRSSRNLSFVRVYNSG HMVPTDQPEVSLALINRFFNHFPLDKEHPSV H257_07295 MWHRALRGSVASHRRGISSAVAVTTHKPSFSDNGKRVLCLNVGN LVCIDEFLQHGFTVDEASSHDVLGSLSTFGPSLAQYDALLTDDHTKLPMHEILTHATR LKLIGIPGSQTGHVNLLAATNRGVMVQNIGKKLAGTAAVEAEMVLSLLLHVARKIPQA MAATKRGDGGRDGFLGHELQGKAMGIVGLNETGQLVGELASAMGMHVYAYDPTISTEN AASVGVTKCASLTELYGKADVLTFHVPLTGLTRNMFDGAALAQCKPGVTLVSVGGMEG VIDEAILLPALASGQIAGVAVDLSTPSAWSAAIVAHPSVLPATSNSTKANLPARLYKM IAENMCATLDHRAFVGIVNGVFMPLTLVPEMKPFLALGESLGRFLVEILPTPAAIAHV TITTKGGRDIDITAPKARSAIQNAVMKGLLQQQQQRSGGNAAATSLTLLNASVAGMSQ GIDVRLTDDLDEDIIQHLNNAIQVQVELQSGEKSVVMGSVFGEEPRIVQVGEYNDFPA FKPHGTMLFFNNEDRPGAITGVLDQLAKSQINIASLGLARQPDKPQALGMLALDSDPA DDIVAKLRALQGITNVHVVRL H257_07296 MFTRFALVAAALAIASAKISPKLSREIETKGTLPSVVIVEFDPV TDEVLSKADSRIESVTTRSEKILTVLETLVENANRHQSGALEIIAQAQAKRELTDDFS AKTLAIANILVLTNPSPSLLESLATDANVKVIRPQHYGKLALPESVEDVAAPTAVEWG VVKIAAPSLWEKGFTGKGIVVGGIDSGVHYTHEALKDNWRSENGYFQPVGNSQFPVDG HGHGTHTMGTSVGAGGIGVAPDAKWIACEGCLPNTKCPEVAVVACAQYMLCPHDYQGK NPKCELAPHVINNSWADDHEIPDVPYYKGPVAAWRKAGIIPVFANANSGPKCGTVLSP GDYPNVIGVGATTSTDNLAQFSSRGPGPNNVRKPDVSAPGQRVRSSTNLNNSSYASVS GTSMATPHVTGAIALLIQAQPGITYDEIYAALTKTAETSTLTPNNQTCGGLDDSKYPN NNYGYGRINVLKAASSAPSPSTPAPTTTKPAC H257_07297 MLVCSRLSTSATTNEQLGIFLFFAGQHASCAQLQQRFQHSPDTI TRHLRHVVTCMNALAATYIQISPNDSHRPWKSTTTPSSIPSGRSVEWQWMELTFLCGH HLWLHSKDEKEYGRGQQAPQSKQELFNLLHAMLWNVVERIFGILKRRFPVLAYAVREE DDLDHELLHMLRTIEHQRYSVVRSCGPFTRTRFHHFLYEIKESRFRKLFRMERRSFNS IVALIEPQSVFAVVRG H257_07298 MIHQANVLGKRSNSGFKKETWACALVNLNSVPGCSFSMMQLVTT QLNLTVGLLLSTVSRSIKMETTKNPPSNEAPSSFVGCISIKAASSVCCYDNVESTVEM AVEVLQAEFECVFKENDMLKAIEVRLDNPTATPAATKHPDKPPRKAASSRADASTKRT ISQRVLWTKDAVGDGKTSMDAVIAWMSVETNYVRWKGGNKRSGSTKASLASEVVERLK SNRIHYRTAKDGIVDEDSIQKEVQRLCPYYYVLDEVMRDRASTAPLVTSDNLQDGNSS DKDVPPTPVSAPNSAKKRTAAAAKMDDWSEISARAYALK H257_07299 MFTRCPVKLDAQAMSKGLHYVVNQAKEHRRRGPNKSNQLQLNKS MTERGLSILASPISIQSANNQFINAVTSTWPNVDLDMQQRLQDASKPPPMSNSFAPLA LHDLVRVIEEEIQLHDGPITLEKKDAIHQALAAFDGNMNDLQPFINFDRTRNYTRNLV ATDNMSYSLIVLCWNKGKYSPIHDHPSDGCWIRHIQGIVNEVRYESDGEALVESANAL ISHGVSYMDDSLGLHKVGNPSEDVDAITLHLYAPPFDQCRLWHDPQDATNATTAIATY DTMFGNRPV H257_07300 MVWFSLKGLVRVIEEEIQLHDGPITAETKNAIHHALAAFDGNMN DLQPFVHFDRTRNYTRNLVATDNVSYSLIMLCWNKGKYSPFTTTLHYESDGEALVESA NALISHGVSYMDDSLGLHKVGNPSEDVDAITLHLYAPPFDQCRLWHDTPDATNATIGH CRYDSEYGNY H257_07301 MNDLQPFVHFDRTRNYTRNLVATDNVSYSLIMLCWNKGKYSPFT TTLHYESDGEALVESANALISHGVSYMDDSLGLHKVGNPSEDVDAITLHLYAPPFDQC RLWHDPQDATNATTAIATYDTMFGNRPV H257_07302 MISRSNVSRDGHMIVQDAPHPRDEHHTVKTTNSAQQAKAPALPR KVLTLQELVEVIDMETQLNDGIALHKKDDIKQALVDFDGNMDVLQRYAKFDPTRNYTR NLISTDNTSYALMLLCWNRGKYSPIHDHPSDGCWVRHIQGTLNEVRYWNNGETLVETS NIVITSGVSYMDDSLGLHKIGNPSQDVDAITLHLYSPPYEKCRLWLDPCDATKSSTAV ANFYSEYGERTQG H257_07303 MERSKRAATSRSERMAMLEFLRIPENFALLTGQATKGKPMKGGQ KLTRSHGLIRRVRGIIPPGTTMERAKQEWARLD H257_07304 MPQLSERAAVLADLDSMIRHVVAGWPGSVHDSTVWASSSPARHP DSFFDANQYKAGNSGFALCLRMLTPYRLPYAAESGNDAFNTAHTSLRVVCEHGNGRAT GVPTGRGGLSGVSVGRMGSSTSNGQMLSNLLSMV H257_07305 MRGIRGAVTAGLAAWSAQAKTILHISDVHLNLTLDEMNYGFDSS PRLLESALSYARSVLHDPDLLLYTGDAVAHIDHNESVLAKTVQTGFSMVQEYFHVKNV TAILGNADCLHDYEFYVTDPEKGGTNPTIGMVDAPWKQALSPSHFEAFDSRGYLWYQI EPKLVVISLNTVPYSVKHKPDTKYLDDPFNQFEWLRRTLVEVQTNGSYAYIVGHIPPI VDSYGGESQWELKYMLTYQAIVEAFPNIIKAQFFGHVHSIEYRVPANGKPGVPLFASG AISPLFGNSPSFTIWSYNADTFDVEDYAVYATNFSTSGDSNALEWTKIFSAKAAYGLP SLSSESLRALTHRMKADDELLHEYYRHSKADSTRLPPCTTSACLDKVLCTQTWFSTVL QYRECLDERSAERIGIPHWLHPFQRISWMWSLVLWAVVIVVVAVVVGVVHRALKRSSY QTIPGPKEFEP H257_07305 MVQEYFHVKNVTAILGNADCLHDYEFYVTDPEKGGTNPTIGMVD APWKQALSPSHFEAFDSRGYLWYQIEPKLVVISLNTVPYSVKHKPDTKYLDDPFNQFE WLRRTLVEVQTNGSYAYIVGHIPPIVDSYGGESQWELKYMLTYQAIVEAFPNIIKAQF FGHVHSIEYRVPANGKPGVPLFASGAISPLFGNSPSFTIWSYNADTFDVEDYAVYATN FSTSGDSNALEWTKIFSAKAAYGLPSLSSESLRALTHRMKADDELLHEYYRHSKADST RLPPCTTSACLDKVLCTQTWFSTVLQYRECLDERSAERIGIPHWLHPFQRISWMWSLV LWAVVIVVVAVVVGVVHRALKRSSYQTIPGPKEFEP H257_07305 MPTVVRPRPTHAFVHVLTCSAYVVVHDYEFYVTDPEKGGTNPTI GMVDAPWKQALSPSHFEAFDSRGYLWYQIEPKLVVISLNTVPYSVKHKPDTKYLDDPF NQFEWLRRTLVEVQTNGSYAYIVGHIPPIVDSYGGESQWELKYMLTYQAIVEAFPNII KAQFFGHVHSIEYRVPANGKPGVPLFASGAISPLFGNSPSFTIWSYNADTFDVEDYAV YATNFSTSGDSNALEWTKIFSAKAAYGLPSLSSESLRALTHRMKADDELLHEYYRHSK ADSTRLPPCTTSACLDKVLCTQTWFSTVLQYRECLDERSAERIGIPHWLHPFQRISWM WSLVLWAVVIVVVAVVVGVVHRALKRSSYQTIPGPKEFEP H257_07306 MNDLTSDQRRAVVDHLLLRIVKGPCKLQRGAIQDVARMFGRNRQ TIADIWKRANVSLGNGDLPARELVCEDVSSKKKVELGPKLTDVNKTAGVKWAMDFVHP TELRFHDMYDYVHVDEKWFNATRVKSRFYLLPGETPPHRTTQSKRFITKELWDGKIGT WHFTEIVPAAKSSRNRPAAIKAKWPTESSRSVVIQQDNARPHVSPWDAAVVSACTSDG WSMSLKCQAPNSPDLNVLDLGFFRAIQSLQQTHHSNTYQEIVDATNKTMSTRGRWNAT SLPCSAVSARSSWLPATTPTRSRT H257_07307 MTSEEWTSVTFDIYLLHMSTSEADLVNNMVTAHANWEGSRTLRK FRTYFFNTWLPYHAVYSTNRGPRFWKWQVFHSHRGCSYTNNPNEHFNRKLKDAIGRVK KHVPHLVQEVAKLVQEISTEATPWVQHPVQTERMKKYFKKLLASRRLRVNEVPRVRPV TWRVLHLPEEIAEGEQDEDIPRRAWHYNSVSRNVQRLESHNQPDIATQTGMVLPGMER GAQNLVSRIRRGNAEANVFPLRGDRNTRN H257_07308 MLESLHVQSVYNQLYLEESNDIYGDVVAEENDARTYNNPVIDRV IEDSGVDGFRMLTNFTPDEFEYHLSVVEMALQARWHDGRGRRPLSTPKDAHFMTLTIL KYYHTWQKHALDFDINAPTLEKMIMRVVDDVISPILYAHFVTIPTMEALRKYGTTLRN YPYAKYATDVKFQPSHRLSGRFGEQNHYFSGTHKLYTLKIEASVSAQSLLVDMGPHEP GSVADLTMFRKRLDVHAANMKKTPTEATVNGNGELFQALSTMWAVLVDKGYYGLTAPV RAIHPKKRPSNGALDRRDLERNSAVYSDRVIIENFFGRVCMLWKISYITFVLSEKIYD GIQRLTFALSNFHVGLMPLRDDDLHQYRAVLARYARMTEEKRSQRAATQRRYVYRRAE RLATESMRSSLVARGAFLSPTVNTRR H257_07309 MWVFLATMDSARVYEFWATLREYGITHKNVIKCNMDHDVPDLSL AKHHVMAVTLEIKNALATWIDDDPKHNSNTLFKMLKASIGQESFDGMSCPTLKQVQHA VHYMRSKDLLQKSTVPAAIEELMKWRLTDNIEDQVVHKPFVFGVEEEAGSFKLGDGGM QAFRVGLSTVDSFESTTLWSQPTTTFSTNVLGYPMFVFGYSDMAGSFHLLFVCITSQR AHADVAWLHCGH H257_07310 MLTDTTVVDLGYLVAKATCNQLPSLQQLAVRYHFGIQGDWLGCK TVIMCDGVPVAKMPKGYALTLEQRGSIVAFRKAKLTIPRIADEVGVCPKELFAAT H257_07311 MYIYYLNSSTSIVWRTVLEDAKRPFAQSSVVANHSGWIEVAPTR DNSVSFKAYCKVQLNEAATVATRLGRILHAMRGGSRHDKQDIEHAFTTMQDAFLCGFR VFETDIKRHMEDQRHGVVSRGKATPSPPWSWGDMAVEAPSLSAPSYAIRCEA H257_07312 MVKWKLRLPFSKSASMRRTSLILLVGILSSAFAASLGTYTTPRP GVCYSPFHLPEYPLIDTESSDELPSGIDTDFAQMAELGVTTVRTFYSTYHGHDVAPIA AKYQKQVNSAVLAVQNYSATIKSILVGNENIKSEDPFNASFIASQINSIRLRIKNETG RVVPVGTVQRTPDWLQDDPSILAMADASDVIGVNIYPFYDASFDPFQPQASLNGAWNA MAEKFGGDQKLLITETGWPTGGTPTVIAPNNTPSFNNAHLYYNAFMSWMQTHGRQGDV WYSMYDPRPEEKFDFDVEYHFGILTHDRQLKTKTTTPQPTPAPTPTPKPSEANTYSKP NC H257_07312 MVKWKLRLPFSKSASMRRTSLILLVGILSSAFAASLGTYTTPRP GVCYSPFHLPEYPLIDTESSDELPSGIDTDFAQMAELGVTTVRTFYSTYHGHDVAPIA AKYGLQLHLGVFMTTEDWYQKQVNSAVLAVQNYSATIKSILVGNENIKSEDPFNASFI ASQINSIRLRIKNETGRVVPVGTVQRTPDWLQDDPSILAMADASDVIGVNIYPFYDAS FDPFQPQASLNGAWNAMAEKFGGDQKLLITETGWPTGGTPTVIAPNNTPSFNNAHLYY NAFMSWMQTHGRQGDVWYSMYDPRPEEKFDFDVEYHFGILTHDRQLKTKTTTPQPTPA PTPTPKPSEANTYSKPNC H257_07313 MGLARLLADRARMVTTRPDLSFVLDGNKGWYALWPKKALDKFRH IGCPRTCTKSLWKAQSDIVA H257_07314 MSSVGLVLAVMLCIAQALPVPGVCYSPFHLAEYPLHGYESPYLL PAGMDADFKLMAQLGYTTVRTYYSNYYGYDIAPIAAKYGIQLYLGVYMTTESWYESQV TSAVNAAVNYPNTVQAILIGNENVAPYGTFTVEDIVTQMNFTRNRIFNQTNRTFPVGT VQRISEWLLSAPSMIQLGAASDVIGVNIYPFFDAGFTWTNPVALLQGSWNSMVTKFKL PKLLLTETGWPSGGAPATWAPNNTPSLANSLAYFQTFKQWMQDNQRQHDFWYSMFDSR PDETLWADMEYHFGLLTHDRSNKSTTTIPPTPAPTPQATPAPTPQATPAPTPQATPAF TPQATPAPTPQTTPALTPAPTPRPTPAPTYQPIPAPTPAPTREPTPAPIFPLTPRATP ASTISGTCSAIQEDTDYTGATIAAVQRSTADLCCSDCNANSQCKLYVWFQGTCYLKSN LGTKSQSTGRRAGVKQLVGTTCSVIFENTEFQGNDISSSVRSSAELCCGDCNATPKCK AFVWFQGICYLKSAAGVQTTATSRRAGILLSSTTPAPLPISSTPAPTTTLAITPAPIA PLTPSPTTNTCSTIEENTDYTGAVIGTSAQATAEACCGVCKTTSTCQAYVWYLDTCYL KSAVGTKVNLTGRRAASISATPISCSTFENDVDYSGNDIGATKQVSANLCCSDCQAVA GCQLFVWRDGTCWLKRAKGASVRVLGATAGFLPSSMSVESCGVVEPNTDYVGNDVVSV AGATTSDCCQACQSERTCNAYSQSQGKCYLKSGRSTVSTVSGVTSARVNKCSTVEVGV DYVGNDLASAAAPSAQDCCAFCRNTNGCNAYTYGWGTCFLKTAKGATRANSAAQSATV VA H257_07315 MLHVDLNDDLAEFIDVTFASGDNVVVVVVGQRDVANDARLQTTL AELPQQVLFRRQQSMVTIEEDVELHSINRPSLGSTSERDRRKQSMVQSNSIDDKTLGN KGLEDEEYYERIVTSISTQPLEHFMASLDDESAESVADSDVSSDVSYSSTTYDAESDG HHDDTTLFESIRASDEFHRVFEWMQEYRGWSRQPGIWGSYCYIEPRTPPEHQAAETSE ESASEFLGEVAAEAKWKATGDWHRALFAQPPTVNEPVVPLTTPSRRPRRQKTLCSMPG CSHAAATNRLCRGHGGGKTCSTAGCLGTAMGVLGKCKKHGGGARCSELSCSKSAQGGG RCKAHGGGKKCSFDGCARAAQRRGRCASHGGKIPCRVHDCPRTDRGGGLCEVHRVAAL CVFHGCHRLAKVNQLCAPHSRQQRSALNHQQSAEMSSAQATSSVYELLV H257_07316 MRLKREPLVRGPKANDNEAHPLASSRGLHTTLSHAGNRHGVLYC MHPASRGCAHATETITNVLFADLFDEDDDVDSFLALDEEVLFGSPLWEGRENDSFHTH NAPPQSNVANLTADALR H257_07317 MHPVGIVSVLVAAVAGATNYPPYQVPSFDLNTITTDGQHALVRA LETSGIVAVRGIPDFEATRLAYLHTATDCAASAHDLDQLLKKELVDGTQRRTFSMNMQ GLNDQVAERCPAFAIAHARYASTIDTATAQFAKTLDGINKDLTSDLLAPIVQEGLHLD HFHAYAKPAVTTTNAPHHRLSLELHADAGLMIVFAKSHFFTETTNGKLEQATEDKAGG LVIELDGSLVRPTLKDDELYFMAGEGLQHWGQFGHKFHPVVHGMVMPADVHGSPEVVR AFAGRMLLLPAQTIMRNTGVTFGEYTKATTRHLKSEASSGEESVMSLACPVGRVLRAS DSSCTLSIWSPGPGSTATKEECMRQCNIWGHADEAQLCLDMKCVKTGSDIPGGGNGCW MMCLPHLTPDQCPIPGKETCDEDNRVLNCVGGSPPTAPPTSTTLPSTAPPVTPPSIKP SSPVTPVTTSSPMTPVTNSSPMTPVTTSTPMTPVTPSTPLTPVITPPPVATIITPTPG TPLTPTPGTNPTTHPATPTTTSTPLTPSPPGSSPGTTNKPTEAPSLIPTPAPTDAYGS PVPTTTAPLLQVGAPNETTTEAPSTVAQSNTTDNSTDDGTTNTITTAKPKTTTATTAP AITAPPSSGVTNHGYPILCSALVMLVAAAFA H257_07317 MHPVGIVSVLVAAVAGATNYPPYQVPSFDLNTITTDGQHALVRA LETSGIVAVRGIPDFEATRLAYLHTATDCAASAHDLDQLLKKELVDGTQRRTFSMNMQ GLNDQVAERCPAFAIAHARYASTIDTATAQFAKTLDGINKDLTSDLLAPIVQEGLHLD HFHAYAKPAVTTTNAPHHRLSLELHADAGLMIVFAKSHFFTETTNGKLEQATEDKAGG LVIELDGSLVRPTLKDDELYFMAGEGLQHWGQFGHKFHPVVHGMVMPADVHGSPEVVR AFAGRMLLLPAQTIMRNTGVTFGEYTKATTRHLKSEASSGEESVMSLACPVGRVLRAS DSSCTLSIWSPGPGSTATKEECMRQCNIWGHADEAQLCLDMKCVKTGSDIPGGGNGCW MMCLPHLTPDQCPIPGKETCDEDNRVLNCVGGSPPTAPPTSTTLPSTAPPVTPPSIKP SSPVTPVTTSSPMTPVTNSSPMTPVTTSTPMTPVTPSTPLTPVITPPPVATIITPTPG TPLTPTPGTNPTTHPATPTTTTPSLIPTPAPTDAYGSPVPTTTAPLLQVGAPNETTTE APSTVAQSNTTDNSTDDGTTNTITTAKPKTTTATTAPAITAPPSSGVTNHGYPILCSA LVMLVAAAFA H257_07319 MSVPNDVNVLGYPSFDVPSFPLNGLTLATKHAMASALETTGMIS LREVPGFQAARRRYLQAAADCATSNPVDFDQILSKTLMDGTIRRTLSINMNGVHDAIA DRCPEFATSHAQYTTVVNHATAKFAAALDSINDDLTADHLLPIVHEGHHLDHFHAYSN TGSETSKTETSAPLSLELHADAGLMIIMAKPLFFARAEGGVRSVENPNKKQAGLVIQV DGNLVRPDLKDDELYLMVGEGLRLWGNFGFHFHPVVHGMVMPKEADASVVRAFAGRML LLPSTKKMTNTGLTFGAYLNATTRYLKHESGVESAMSLACPVGRVLQASDESCTLGLW EPDEGSTATKEQCMRQCNIWGHPSDDKKCVAMKCKKVGEVPGGGNDCWMMCLPHLTPD QCPPPGEETYPNNYSCPHNPQANPVPHS H257_07320 MKVLTILAFGAAASATQFNYPTFDIPAFDLTDDISPEEVSRLVH NLQTTGIMAIKNIPNFEAIRFEYLRSAAECSSTKFDQLLHKELVDGTQRRTLSTNTAG VTPEVAGRCPAYALAHTAYTKVLDQETIKWATLLDNVGNSTFKSSSSSSLASIAVNGS HLDHFHGYTNPVQDVPSSLELSLEMHEDAGLAILTSVPLFFDQTADGSVVQVPNPDEN TGLVIVVDGERVRPVLKANELVIMTGQGFESWGDFGHTFHPVLHGMIMPRNAPSSVVR AFSGRMLLLLAHQTMKNTGMTFNDYNHAVTRHLMAEDDSIVPLACPYGHQGGVHG H257_07321 MSLRSFLWSGLLCFLVMKVDAKRGCAMTQDCLNPNNTPDFNECI PKALEHVAPPQPMSGTGWANVTGGGTCVSDADCHKGTCVDSTCHCKVDGVTAGAHCDD FAIQCPEYKADACCSWQQNRALAANFKLISSVFGTAGGGCDAWYVTSIVVAWPFLHAM SSAANIMQLWCGLVCSPSQADFMDMHLPYPSNSFRQDPMTGVDHVKVLEVNVNLSTPF TCGLFDSCKSTPLVSVTDALKSSVGFLSYQAQTGSIGHGQYMYLRFGRNDTSYFHHAN LQCDNYTQVREPTVLAALPLQAQLLSTIADAAAPAQCPCSSCRPTCSNAPSNSSTHIT FVSNPISIWDGFNVTLVAGVYSGIAVFAAALYWLYRHR H257_07321 MSLRSFLWSGLLCFLVMKVDAKRGCAMTQDCLNPNNTPDFNECI PKALEHVAPPQPMSGTGWANVTGGGTCVSDADCHKGTCVDSTCHCKVDGVTAGAHCDD FAIQCPEYKADACCSWQQNRALAANFKLISSVFGTAGGGCDACAANIMQLWCGLVCSP SQADFMDMHLPYPSNSFRQDPMTGVDHVKVLEVNVNLSTPFTCGLFDSCKSTPLVSVT DALKSSVGFLSYQAQTGSIGHGQYMYLRFGRNDTSYFHHANLQCDNYTQVREPTVLAA LPLQAQLLSTIADAAAPAQCPCSSCRPTCSNAPSNSSTHITFVSNPISIWDGFNVTLV AGVYSGIAVFAAALYWLYRHR H257_07322 MFTRVALATAALALVSAKISPKLSREIELTGSVPSILVVEFAPI TDDVLSAADAKIESVSTRGAKIEAIYDTLVDYASRQQAGALDIIAQASHELKVIVSAK TLAVANILVLEGATPALLDRLATDANVQTIRHQYNGKLVAPHTAASEGSAPAATEWGV NKIAAPSLWEKGFTGKGVVVGGIDTGVLYTHEALKGNWRSENGFFQPVGNSQFPVDGH GHGSHTMGTSVGAGGIGVAPDAKWIACQGCLPDGSCPEVVLVACAQYMLCPHDYQGKN PKCELAPHVINNSWGDDNEIPDVPYYKGPVAAWRKAGIIPVFANANSGPKCGTVLSPG DYPNVIGVGATTSTDALAGFSSRGPGPKNVLKPDVSAPGQSVRSATAAGNSSYASWSG TSMATPHVVGAVALLISSKPTITYDEIYAALTKTAETSTLTPTNQTCGGLDDSKYPNN NYGYGRINVFKAASATPSPSTPSPTTTKPSC H257_07323 MSTTQTASAATDAQGVKVYVRVRPMSASEVEQGCDSAFAAEAAS ITLGPKTYAFDEVFNATESQDHVFTHSAAGLLDGFFEGYNTTVFAYGQTGSGKTYTMG VEHGGVIPHVVSDVFSRGKKLDTDKMTTVVLKMSYLEIFNEEVFDLLASTPTTSLAVR DDLKRGIIVAGLSEHVVRSTDEVNQLLLQGAGRRATASTGMNDTSSRSHAICTLSMHQ QPAEGMAKFSKFHLVDLAGSERAKRTLATGDRFKEGVHINQALLTLGKVITALSDKKA FVPYRESKLTRLLQDSLGGNSKTIMIACVSPADSNYDETTSTLRYAERTRCIQNKAVI NKDPGACEIKYLRQQVELLQLQLLQAKSGIPTMWQPPPPPAMTTTTTGSITSSLNTSV SCTSKADFTQDEGLLLLPQEAKDHQKKCMAQHPHDGAVNAADLANMERVIEEKEALMH MLNSVDESQIGAELEALIKQYEAKIQLLQTPTKTSQLAVATAAQTEVRRLQRLHQQGQ LKISSLQVELTSMKQMKASLQRKLKMEVGNAQKEHRRQSLAILQLQRKHTKKQMEIHK LSHLHAQQNTMLKRKTEELAKLQSSNKRVKPNEQPAAMTTDAAAQLVDDEVEVEMTLL GAKAAIKVEIEERAALAKTMRRTPPGGEAKLKIEQQLADKNADIRKLQQKLDVVERHH RSGTSRLCPASVGSCHKVIQALLATAVTAKARCLDLVDVDKQLGHVEEQLAQTQAQCA ELAAQVARLQVELQSRPAKKVRPKPKATTDIVDEMPSESEDDDEYDDDSDYTEDGGRS RRGRSSARKDRAKPSATVTPGDNDSADCCQCNGKCATKNCACRARSGRCGDKCSCKAH KCGNRQVDNDSILQELCGPNQVISVLEFASPMPKVPLPPMTTQYETAELDKENKHHGV HVLVTPPPPMVHNTSNQPTHQSKKGLTRRLQASSSSASHGAAVVPNMKKLYDPRPSDV PASSSSLTARLKML H257_07323 MSTTQTASAATDAQGVKVYVRVRPMSASEVEQGCDSAFAAEAAS ITLGPKTYAFDEVFNATESQDHVFTHSAAGLLDGFFEGYNTTVFAYGQTGSGKTYTMG VEHGGVIPHVVSDVFSRGKKLDTDKMTTVVLKMSYLEIFNEEVFDLLASTPTTSLAVR DDLKRGIIVAGLSEHVVRSTDEVNQLLLQGAGRRATASTGMNDTSSRSHAICTLSMHQ QPAEGMAKFSKFHLVDLAGSERAKRTLATGDRFKEGVHINQALLTLGKVITALSDKKA FVPYRESKLTRLLQDSLGGNSKTIMIACVSPADSNYDETTSTLRYAERTRCIQNKAVI NKDPGACEIKYLRQQVELLQLQLLQAKSGIPTMWQPPPPPAMTTTTTGSITSSLNTSV SCTSKADFTQDEGLLLLPQEAKDHQKKCMAQHPHDGAVNAADLANMERVIEEKEALMH MLNSVDESQIGAELEALIKQYEAKIQLLQTPTKTSQLAVATAAQTEVRRLQRLHQQGQ LKISSLQVELTSMKQMKASLQRKLKMEVGNAQKEHRRQSLAILQLQRKHTKKQMEIHK LSHLHAQQNTMLKRKTEELAKLQSSNKRVKPNEQPAAMTTDAAAQLVDDEVEVEMTLL GAKAAIKVEIEERAALAKTMRRTPPGGEAKLKIEQQLADKNADIRKLQQKLDVVERHH RSGTSRLCPASVGSCHKVIQALLATAVTAKARCLDLVDVDKQLGHVEEQLAQTQAQCA ELAAQVARLQVELQSRPAKKVRPKPKATTDIVDEMPSESEDDDEYDDDSDYTEDGGRS RRGRSSARKDRAKPSATVTPGDNDSADCCQCNGKCATKNCACRARSGRCGDKCSCKAH KCGNRQVDNDSILQELCGPNQVISVLEFASPMPKVPLPPMTTQYETAELDKENKHHGV HVLVTPPPPMHHHHRHLTAQQSSPI H257_07323 MSTTQTASAATDAQGVKVYVRVRPMSASEVEQGCDSAFAAEAAS ITLGPKTYAFDEVFNATESQDHVFTHSAAGLLDGFFEGYNTTVFAYGQTGSGKTYTMG VEHGGVIPHVVSDVFSRGKKLDTDKMTTVVLKMSYLEIFNEEVFDLLASTPTTSLAVR DDLKRGIIVAGLSEHVVRSTDEVNQLLLQGAGRRATASTGMNDTSSRSHAICTLSMHQ QPAEGMAKFSKFHLVDLAGSERAKRTLATGDRFKEGVHINQALLTLGKVITALSDKKA FVPYRESKLTRLLQDSLGGNSKTIMIACVSPADSNYDETTSTLRYAERTRCIQNKAVI NKDPGACEIKYLRQQVELLQLQLLQAKSGIPTMWQPPPPPAMTTTTTGSITSSLNTSV SCTSKADFTQDEGLLLLPQEAKDHQKKCMAQHPHDGAVNAADLANMERVIEEKEALMH MLNSVDESQIGAELEALIKQYEAKIQLLQTPTKTSQLAVATAAQTEVRRLQRLHQQGQ LKISSLQVELTSMKQMKASLQRKLKMEVGNAQKEHRRQSLAILQLQRKHTKKQMEIHK LSHLHAQQNTMLKRKTEELAKLQSSNKRVKPNEQPAAMTTDAAAQLVDDEVEVEMTLL GAKAAIKVEIEERAALAKTMRRTPPGGEAKLKIEQQLADKNADIRKLQQKLDVVERHH RSGTSRLCPASVGSCHKVIQALLATAVTAKARCLDLVDVDKQLGHVEEQLAQTQAQCA ELAAQVARLQVELQSRPAKKVRPKPKATTDIVDEMPSESEDDDEYDDDSDYTEDGGRS RRGRSSARKDRAKPSATVTPGDNDSADCCQCNGKCATKNCACRARSGRCGDKCSCKAH KCGNRQVDNDSILQELCGPNQVISVLGMSSRVHRSTVL H257_07324 MSYDHSLINGGYGPVHEGGGLVETVDDEFDGYDDNDTVSSSAII LLPQTQAATTTTASTQHSSWNYVAEPQLFRGLSNQGATCYMNSLLQSLYMTPEFRHRL YQSETARDHGDTADLETNIPFQLQKLFAHLQLNKDRKAIDTKALTKSFGWNSSDVFQQ HDVQELCRVLFDALEESLKGTPTEHLVNDLYQGQLKDYVQCANCGNESSRVDNFLDLS LVIRPFGSSTEMMKSVEEAIEYFLKPEMLSGENQWDCARCGTKQDAIKGLKFSKLPYM LALQLKRFDFDYTTFNRIKLNNQVRFPKYLNMNTYVHDTTQTSTTTTTSGGGAVARKM SLERQELNDKHDDMSPRSVGGDSASSSSLSPPTTSPPLDDDDDDTWHAAYNVDAVLEA SGPYVYELFSVLIHSGSAMGGHYYAYIKSFEDGQWYNFNDSTVTKITDAEVQTAWGPT SHQASTYGGYRSSGSTCAYMLMYRLVDRSRNEAAIADSQVPSFLKDLIVSDESKRLEK EKEREEKARLIQLKVFADDATTFKTMHISKLTPLSAVTEKACVLFDHITYDPALVRLR SYSEYTKMPQDTYTGREHCSLMQLQLYAHSSLFLEVRSSIDQPWVEYDGTALQLVVRK YEPLPSPHFTNPPFNLQISDRATVEDLVDVLSAKFELPRAQCRVLHMSANGYWSIQTS ILNPADEPEYMQRSLQMDIRLRHGSEVYVEACPSLDTWSDAKDLFETQAHMITIQVKC KDKAMASRVVQSGDGGGTAEDGGGVTWRFVVDRRDALQVLKDKLVAFLDMPPDTFKLC RGSSDKAQELKALDVSFKNLTLMDNSTLFVAPGRPLHVNEFHVQIQWYQPKAQKEEEG DDVARRLFLDDLAKAGDMSWLMTLIVSGEMLVDDVRASVAAALASRGIDAPAIRLRDY ANKRMNTVLMDGLKLQQASQLTLYENREFVAQILHEPEHLPRDHMLYNISVFDRATLT FGPSHEIVFGYRHDITERHWIDVLAAATAAATGLPEATMQFAKPFQTQEVSVLDVEDF TWTDKDNARRHANPHTLGLYGDRLLVSDRAIPLKELTADERAELSAVLDKATSTNVET LYHSNQPTYGPPAMPGYASSYKYAKPKEAALVIRTKPPPSKNAATTSVGNGGRDSGGG VPVSPGVHTDDDDDDDDDADSKEFERAGGAVLFDDLH H257_07325 MSPWYTMYKTRDAQSFVATVSVTPDAFDYILYYFKHEYLVLSRP GKSGRPPRIPKKYAVLAMLLHFSTAAVEGKTLHELFGLAPSTFCRVLRRAEEALARTL RRIPDASIRWPSKSRQAHWASKANEREPLVQETNTPSSRSSLIPSDSMAEMSLISKSA AISTARSCIT H257_07326 MLPPTTSATYAKFFFSLLEDTGRFECRLCTKHVAQQQGKGFTNL MSRLMTRHPDYPTVHSDALRASTPAIPVATFVSDTSKALFGWMDLVVTNHLPFSTVED ETFRKYFGLQATTAPTLRSTMNDVCFAVEAKIQQQLPELFGIELYSKKLDAITFVIGD NCSVNQRIAGLLNVPLIGCVSHHFNLAVQRMMEEHKNLSDRIHCVMLRARNVKNRSAL RLLTPLPPKLRNDTRWSSTYAMVARFFEIKDHLAAITDLRAIFPAPVEIDAMHSLRAF PSMSHHLSPRAAIVKHPDFETAVINVIDGAVGELTEVERSALSGFEIATVISPASQRP EASAGLAMDILRSKSAKLSDQVVMGENVIQGEGGVLALAATYDT H257_07327 MSDSDTDMETPAVGQPHNALQHASRHSNGAVDHTHAAYHLLEGH GGGRVQHHARLDHDSPTRRGGNAARTDNQGVAAFSSHPSGQTNARRSVPGLDVASMNS PASVVAVPSTRLALPLSSTNSGNNNVLLAALGGTPRGNSQPPPPLSRQHTHSFFPGSD ATNHDEEKPRHRADVLEPDDVHPPPGTSYTSATTTRRKRDRDGTASSNGGPWGDVSLI SSDNDEEKVPIIDPSERVEHRSTKLVRREIVIASPSNGMDDDNDMPPHKAQRPPPPPQ QHHLGFDVQPVDMILHVFSFLVYSDDVYQMQLVSKRWRQLTSTPSLWRALPDVTADGG INWLNFRNLGIKNKGTEGTCYRCFQRSTGRVLAMKRARVFPKGEGVPYYMLRELAVLQ GIKHPHIASLEMISLAKDELHVFFPYVDKTLHEVINPTSDPSGGRVLPEPQVRRFLHQ LLDAIAHCHRRGVLHRNLKPKHLLIDTKSSDELDDAELRISDFALVRATGIPRRTYTM EVVTLWYRPPEILMGVRSYSPAVDIWSIGCIFAEMAQGKPLFTGISEIDQLFQIFSKL ATPTAATWPSFTTLPNYRFEFPNWTSRPWSRLFPTLSDLGLDLLSKLLTYDPDERISA ENALRHPYFAGFQTFPTLVPSIPMADMRFAMRRAALADGGASNVQVIPEHIDLFHAYL RESEVAQWRDVNYLSRKRTQSLRPIHRSMLVDWLIEVVDVFEMCLRTAFLAVHYTDRY LDTEMVKKTKFQLLGATCLHVASKCEDVSYIGVEDLSMCADNVYTSVDVLKMEEHLLN TLNFTLSVPTVLDFVNIYQKMMPPLTQKTAMLAQYLSELALQEYRFLKYLPSCVATCC LSLAMYCIEGYPMTPQLQAACGYSYADIKECLVKLQDVYSSAHMNLLTVVKKRYTDED RCQVAQLLPPMTYNMTY H257_07327 MSDSDTDMETPAVGQPHNALQHASRHSNGAVDHTHAAYHLLEGH GGGRVQHHARLDHDSPTRRGGNAARTDNQGVAAFSSHPSGQTNARRSVPGLDVASMNS PASVVAVPSTRLALPLSSTNSGNNNVLLAALGGTPRGNSQPPPPLSRQHTHSFFPGSD ATNHDEEKPRHRADVLEPDDVHPPPGTSYTSATTTRRKRDRDGTASSNGGPWGDVSLI SSDNDEEKVPIIDPSERVEHRSTKLVRREIVIASPSNGMDDDNDMPPHKAQRPPPPPQ QHHLGFDVQPVDMILHVFSFLVYSDDVYQMQLVSKRWRQLTSTPSLWRALPDVTADGG INWLNFRNLGIKNKGTEGTCYRCFQRSTGRVLAMKRARVFPKGEGVPYYMLRELAVLQ GIKHPHIASLEMISLAKDELHVFFPYVDKTLHEVINPTSDPSGGRVLPEPQVRRFLHQ LLDAIAHCHRRGVLHRNLKPKHLLIDTKSSDELDDAELRISDFALVRATGIPRRTYTM EVVTLWYRPPEILMGVRSYSPAVDIWSIGCIFAEMAQGKPLFTGISEIDQLFQIFSKL ATPTAATWPSFTTLPNYRFEFPNWTSRPWSRLFPTLSDLGLDLLSKLLTYDPDERISA ENALRHPYFAGFQTFPTLVPSIPMADMRFAMRRAALADGGASNVQVIPEHIDLFHAYL RESEVAQWRDVNYLSRKRTQSLRPIHRSMLVDWLIEVVDVFEMCLRTAFLAVHYTDRY LDTEMVKKTKFQLLGATCLHVASKCEDVSYIGVEDLSMCADNVYTSVDVLKMEEHLLN TLNFTLSVPTVLDFVNIYQKMMPPLTQKTAMLAQYLSELALQEYRFLKYLPSCVATCC LSLAMYCIEGYPMVRRIYIY H257_07328 MSFKLSLFSDDGKLMPLANDDEMFILERPGVSFTCTTASGSKFK ANGRIYITTQRLVFCADKGTTQHDTFFEAFEIPLDNVRRDKFNQPIFGACNISGDVFP ATDQTNYDDVTPIHWKVSFNNGGTGTFLTVFLKLMEQKKKGDIDASFVAKQQQKAFVD PNDPSVIYVTQPVRPPRKPMASC H257_07328 MSFKLSLFSDDGKLMPLANDDEMFILERPGVSFTCTTASGSKFK ANGRIYITTQRLVFCADKGTTQHDTFFEAFEIPLDNVRRDKFNQPIFGACNISGDVFP VQYISSLDVIYYCSYYISILGIITTTLQPTKQTTTT H257_07329 MMLMLRLPLRLTRQCVRYKKTKSARAEVPGNKGQALQMAIRQIE SNFGKGALMQLGASGVAQDIEVISTGSLSLDIALGIGGLPKGRVVEIYGPESSGKTTL ALHCIAEAQKKGGTCAFVDAEHAIDGHYAKALGVNIDELYVSQPDSGEQALEIADTLI RSGAVDVVVVDSVAALVPRAELEGEMGDQQIALQARLMSQALRKLTGSLSKSNCMLIF LNQIRQKVGVLFGSPEVTSGGTALRYYASVRLDIRRKTQIKDGDNVIGNETVVKVAKN KLAPPFKVATFDMLYGKGVDRVSEVLDLGTDIGALKRSGSWYAYKDEPIGQGRVKAKQ FLVDHPEIASGIEADIRQTLLARSPTNIDDEVPSETSEEVDTPPDAASLVEGPPLVED AEPRVDDAPATVSPHS H257_07329 MMLMLRLPLRLTRQCVRYKKTKSARAEVPGNKGQALQMAIRQIE SNFGKGALMQLGASGVAQDIEVISTGSLSLDIALGIGGLPKGRVVEIYGPESSGKTTL ALHCIAEAQKKGGTCAFVDAEHAIDGHYAKALGVNIDELYVSQPDSGEQALEIADTLI RSGAVDVVVVDSVAALVPRAELEGEMGDQQIALQARLMSQALRKLTGSLSKSNCMLIF LNQIRQKVGVLFGSPEVTSGGTALRYYASVRLDIRRKTQIKDGDNVIGNETVVKVAKN KLAPPFKVATFDMLYGKGVDRVSEVLDLGTDIGALKRSGS H257_07329 MMLMLRLPLRLTRQCVRYKKTKSARAEVPGNKGQALQMAIRQIE SNFGKGALMQLGASGVAQDIEVISTGSLSLDIALGIGGLPKGRVVEIYGPESSGKTTL ALHCIAEAQKKGGTCAFVDAEHAIDGHYAKALGVNIDELYVSQPDSGEQALEIADTLI RSGAVDVVVVDSVAALVPRAELEGEMGDQQIALQARLMSQALRKLTGSLSKSNCMLIF LNQIRQKVGVLFGSPEVTSGGTALRYYASVRLDIRRKTQIKDGDNVIGNETVVKVAKN KLAPPFKVATFDMLYGKGVDRVSEVLDLGTDIGALKRSGSWYAYKDGRSTIYIFNGLN RCCLT H257_07329 MMLMLRLPLRLTRQCVRYKKTKSARAEVPGNKGQALQMAIRQIE SNFGKGALMQLGASGVAQDIEVISTGSLSLDIALGIGGLPKGRVVEIYGPESSGKTTL ALHCIAEAQKKGGTCAFVDAEHAIDGHYAKALGVNIDELYVSQPDSGEQALEIADTLI RSGAVDVVVVDSVAALVPRAELEGEMGDQQIALQARLMSQALRKLTGSLSKSNCMLIF LNQIRQKVGVLFGSPEVTSGGTALRYYASVRLDIRRKTQIKDGDNVIGNETVVKVAKN KLAPPFKVATFDMLYGKGVDRVSEVVIVWTDYSIYYIYIFLD H257_07330 MSAMMGSRAAEYEQPEAADEYDGGDGAHLQGPCGISALEQSGVN ASDINKLKEAGFHTVDAIAMATKRQLIGIKGITEAKADKMLKASREMVNVGFTTAADV MQSRKDLITLTTGSSALDELLRGGFETGSITELFGEFRTGKTQLCHQLCVTCQLPVDK GGGEGKALFIDTEGTFRPQRLVAIAERYGLDGDSVLDNVAFARAYNSEHQMQLLSQAS AMMAESRYALVVVDSATALFRTDYSGRGELAARQQELAKFLRALTRMADEFGVAVVIT NQMTANPDSGMFAKDPLQPIGGNIMAHASCTRLRLKKARGENRVMKVVDSPILPEAEA MYSITEQGIQDEQN H257_07331 MEEELEQKMTFVLADIDAQFSKAHEAATKLLRRVRRHSQTTRQM HAHCRLFHDLFVQLQDQAAATPSSSHASPAHHHDRPGNRMNMSTYTDIQDDMEAAEHY DSFLDADDEFVDATVQLKTTTLVQPRGSVPAATTASSTSLNESSINISMDITGSPMPP RHHLSRPPVHVHTPAAASSSQQEASSHPTPASPSNSSTASYVHLPLLPTPEMPSLSHR VQLLQAPPPSTSHAGGLDESDSFLGVPSDIATPDMHVLSRPCLSPEASSPGFPTSPSP SHPAPPFASSSSPLNTSVIATSSPHAHHAVQTPPWHATPGAPSSDFRRRFSAASEYRT PLKSKPPFAADDDDEDMDHDMAYPNIDSPVLASPLLSTKLKVLTPHTPLSNRIAGAST QTPYRSPYASTMLSSPSTPKIPIFDLALFPVAFQKGEGAYQMTRLYSYFRNNPTQAMT LAGLVDKLDDCESERLEILLDTLVSRRLLRPFVVEGEMYWQSSFK H257_07331 MEEELEQKMTFVLADIDAQFSKAHEAATKLLRRVRRHSQTTRQM HAHCRLFHDLFVQLQDQAAATPSSSHASPAHHHDRPGNRMNMSTYTDIQDDMEAAEHY DSFLDADDEFVDATVQLKTTTLVQPRGSVPAATTASSTSLNESSINISMDITGSPMPP RHHLSRPPVHVHTPAAASSSQQEASSHPTPASPSNSSTASYVHLPLLPTPEMPSLSHR VQLLQAPPPSTSHAGGLDESDSFLGVPSDIATPDMHVLSRPCLSPEASSPGFPTSPSP SHPAPPFASSSSPLNTSVIATSSPHAHHAVQTPPWHATPGAPSSDFRRRFSAASEYRT PLKSKPPFAADDDDEDMDHDMAYPNIDSPVLASPLLSTKLKVLTPHTPLSNRIAGAST QTPYRSPYASTMLSSPSTPKIPIFDLALFPVAFQKGEGAYQMTRLYSYFRNNPTQAMV CILYKPQVMTVYLYVDVGWPSR H257_07332 MTEADCHALPRVSTMPESSGNDPSRDEDPAATHMVASASTASII SITSEMSVAEKDRLPLASHLAKAAQTGASNFVLAYNVRAGIALLSRIVQLIQNRKFRD IVNLNSLLSEKHLNFRVEAVSMGLFIGCFTGGYEALQAVLRKLRPDLPAATRTVVSGI VAGSGILCLNPSRRRSLALYTFVRALQSVYNIAKARKLWHFWGSHWPHGDALLFGVAS AQVMYSFILRPEILPKEYFSFIHRAGPVAMRVLQFTQRTLRGAAVEPADVIRFLDDKA SPVVYNIAHNHPESLPCSLIHHGSASCTLGFGMTFWNAARRTFPLYLSLNIVPRVVLD LHRFVKAPVTTVLKGTWGGVRSTAFLGTFVALYQATVCVQRLMFRSDSKATYFVAGLI ASGSILLEAKHRRSELALYVLPRALDLLYITLRDKRVLAEMAYGEVMLFACSMGTLMF CFEHEKQHLSPFVERLLNRFLHSTVKSSSTCISKS H257_07333 MVITSQAQDAPVSLSGLFAASSDSEADEFENEFEIQNISIGDDK YRIRVFSFHEANANKVWPGMFSLADFMDSHVRYSTGRIMELGAATGALAIHLRSSPRN YDVMTSDICDDGAVAANIEFNSILNGQAIGCHYAHTWGTGWAHPGQIRFVIASDILLY VSAYGALVETLLEIFAVNQAEEFLMSWRRRIADSSIFFDLMKAAGFRVHHHGSCIYSF FKPDSTSPYLQSLLLLPPHSLNKQK H257_07333 MVITSQAQDAPVSLSGLFAASSDSEADEFENEFEIQNISIGDDK YRIRVFSFHEANANKVWPGMFSLADFMDSHVRYSTGRIMELGAATGALAIHLRSSPRN YDVMTSDICDDGAVAANIEFNSILNGQAIGCHYAHTWEHPIYNVPILGQSQCDSRVAP MERSWKRCSRSSR H257_07334 MPGSSTPPVPPEYDEGRAKVTVASNVFFSQHQELQRDMTVLLLQ HLQAKAASPSTHLRILDALSGCGIRAIRYALEVPRVVYVVANDVDADAVASIEANVLH NHVIHVVQTSHMDAVDCMWKAARTTKFDVIDLDPFGACASLLASAIATVSSGGLICAT DTDMHTLLGKTSHAHATCHAQYGAVPVTAAYGKELAIRIILGAAASLAAAHHRVIEPV LCTAVEFYVRLHFRVHNVPPNAPEPASLAIVHQCIRCAYFRLRPLGHTNSNDGSCDND NGDSVACPVCGSSLQIGGPLWTGPLQDKDAIASIITTETCASTAQPSPATSLLRSIHR ELMDSTDDDLVLFISMPKLFRPFKAILKATPSKQTFQTALETLGYATTTTHLDPMGIK TNANMMVVYSVVVTWLHAHGCANTAPILPKPNVHFAPPVKCTVDHTWQRPDARSTGSN DVIGQTFHVSAVDALTATLALARPHDCVILHGHRYVLTSPLLIPSHVTLQGRMSSGDD SRPETTLVGQVVVRAASHVTLRHLHVQYPAAPSHIVTPTTTDAQPLSKRPARVHPVLI TSSSHVQLDRCRVSCARRAAVLACVGIVDGSASITLMRSTIHAGPQAGVCVAGCGVDV QSGSSCHVVGTRVADCGKSGLFVHSFGSVRVEQCHMDRNGMAGVEVTTHGTAWLVRNV LSRGKKGGMLVHSGGRVDLADANVVTRNALAGVDVRGVGSMAVLRRNHVCNGRASGVF VSDDGHVELHRNVLVGHKRAGIETNGDGDAVVYTRQGHAEAKNEISGNGIPVLGATRD VMQAGMPMEGMDD H257_07335 MQSQPMHSQQDNDPDAFSYAFVEQDEEDDYLKGGDGNVDMDHIH MQQMQLQMDQLSSTLMGNLDLEDDFEGGHGMDNVPVQLPSHACAYCGLHDPASVVKCV STDKWFCNSRGNTSGSHIVQHLVRSKNKEVSLHPDSPLGETILECYNCGCRNAFLLGF IPAKQDSVVVLLCRDPCLQMNALKDMSWDMTQWLPLIDDRSFLPWLVKVPTEHEQLRT RQITSSQIAKLEEVWRDHPQATLEDLDRPGVDDEPNSVQEHYDDGYQYQNIFGPLVKM ESDHDKKMKESQTQDNVFVRWDIGLNKKKNAIFTSNRPDADFRLVPGDEIRLRLGGGS AMVYGKDWEGTGHVLRLDESEVTLEMRNSHVPVEITDGYCVDFVWKATSFDRMQSAMK TFAVDDTSLTGYLYHKLLGHEVEMQTFRTKGHAATGLSVPGLPELNPSQLLAVKGVLQ QPLSLIQGPPGTGKTVTSASIVYHLVKQNNGQVLVTAPSNIAVDHLTEKIASTGLKVV RLAAKSREAVTSIVEHLTLHTMIKSLVSPDKADLRKLMQLKEDQGELSSQDEKRFKSL KRNAEREILQAADVICTTCVGAGDPRLSNFRFRQVLIDEATQATEPECLIPIVQGAKH VVMVGDHMQLGPVVMNKKAAKAGLNQSLFDRLIRLQHRPFRLRVQYRMHPCLSEFPSN MFYEGELQNGVSTSDRILKHVAFPWPNPQKPTFFYICLGTEEISSSGTSYLNRTEASN CEKVVTHFLKAGVLPSQIGVITPYEGQRAYIVNFMQRNGPMRSQLYKDVEVASVDSFQ GREKDLIILSCVRSNEHQGIGFLSDQRRLNVALTRAKYGVILLGNPRVLAKQELWNDL LNHYRDQSLVVEGSLNNLQPSFMHFPRVDKRTDRGGGGSRSRHQDFQPPRSQTFGRQD PLPPLDSRFDPRYDGGLFPPSSSIGKMPPPRGGPGAYQPNVAMGPLTQLEEANHHHAL HLGGLDIGADGPFTQAAAATQSFSQFSMGVGPAGGMSQDPFQYDYKSQTMSQDISSAK STTLTGGFY H257_07336 MACAWGEAGDECCPYVTIIGTSIASVLASVGCMALALYIWQPRV TPSMPSAQDDGPVRITLPQHLSAGSHSTPMALDIHIYPPATGITATTTSNMSNDIVML PSSSRRHKQTRKMSKSRPVSSSSTSSHHRQPSLRPTQDQPYHHHHHPMDSINNYFSTG RPSSASYRQSTVVSHFSTYAFSELSSEASNNNASSVEATSTYIPIMDEPRHVCDGYDP RRLSSRTSHESSQFVDTSSQVSSNASFLTTTKSFEKLSLASKADDDSSRQARSTKPST STRPENARRLSTRARVDSDMLSSMTGTPFVVPEDAALSVVSSTAAQVTSSPRERPRAP GLDDNGDDCSRRRSRW H257_07337 MTVVEEAAKVTVAPLVRRLLVGLGNPGEKFKMTRHNVGKMAATH FLQQHTASPWTDGKGVHGEVLTAQISFADAKGDDLIDQISERRKKRTLEEGVPYPVAD VHGLLPSTFMNRSGMSVRSYMDLHRFRLKNNALVMNKHDELLVLTDDISLPFGTCRFK AKGGHGGQNGVRDVIKCVATEKFARFKIGIGCPTWFHNPSNKGNPPPGLQLDQYVLGR FQPHEQDDMAKLMAYCTALLHVYVHRGLNDAMMVANSGSMATYQASAPKQKKSNPPRS STK H257_07338 MPSGGLPAILRAAKPFFLIAGPCVLESEAVVMQIANRLKDIRDD LGIPVVFKASFDKANRQDLASYRGPGLEEGLMLLQHVKATTGLPLLTDVHETYQVASV AKVVDIVQIPAYLCRQTDLLVAAAQTGKLVNIKKGQMTSADTMLLAAKKVTATTGHSD VILTDRGTMFGYGDLVADPRNIPRLRRHGGLVVQDVTHSVQRTGGDSTSSGGDREFIP TIARMAAAVGVDGLFIETHPEPARGLSDATTMLPLHELQPLLEELMGIALASKAKQGR H257_07339 MTSHRGSRVKLHTMRDVPPSSSRRLLGSNQRLVTTQPNIPQLSS DAQMLMKVLGKRPDMRTVEEIDMMFEWVLKNGSTNKLFSGIQDVICKTICREMTLYTA PPNSVICYQGDFGDVFYIIISGQVGLYVEEHDKKQSKPFEDDLKQLMQSALLDDMSSS HATTSDRHFVGHNPHLPKQFGKFIRFIGSGGTFGELAVMEPTAQRTCTVVSTTLTSFI CLKRAAYQRLVRASNGDTVGFTQYEFLEDLFYFDSWSHGDVQRFSNKLRQVTVAADSF LLRHGNEANVMYFIYSGIVQESMPMVCLMDEHGVAIKYTPVDEKSKKAGKERASNNGA PSTLIIQQQQSPHSDATTTPDHVVQGVPLSELKRKRVSVEIALYEEHDICGEHALVYN QTHSKVDLRAALVMDRSTWLDVFLVDRLESVVAALALFKQVAQARDHWRDTRLAIAVS HPRLLFTISTRAMMRHAHVLCGWCGSGDHNTADSRCSKVIAAKEKADIRKKRKGLSDK QKSEQLALERRRVLHAKSTLKPEHVTNPPSLKMRFRVAATAIVSSVQIHNATLRLLTP REQILKDWQVAANNQTRICESHGFVTTPKLQVPDDVRKKGEARPPTHPSSTPPPRPRA LRPSYLPEDDNDIGLDDVHHGMGAIAPIPLSMQNNLSKDNMTAQFRFNLVQQLKKVQS VEGYHATRAQVLDELSTPPTNESPRPRRPKVPRNRQRRRGPQSTRVFRRVDRMLKKLW PAEHRLPQVEDSLKDITIRHED H257_07339 MTSHRGSRVKLHTMRDVPPSSSRRLLGSNQRLVTTQPNIPQLSS DAQMLMKVLGKRPDMRTVEEIDMMFEWVLKNGSTNKLFSGIQDVICKTICREMTLYTA PPNSVICYQGDFGDVFYIIISGQVGLYVEEHDKKQSKPFEDDLKQLMQSALLDDMSSS HATTSDRHFVGHNPHLPKQFGKFIRFIGSGGTFGELAVMEPTAQRTCTVVSTTLTSFI CLKRAAYQRLVRASNGDTVGFTQYEFLEDLFYFDSWSHGDVQRFSNKLRQVTVAADSF LLRHGNEANVMYFIYSGIVQESMPMVCLMDEHGVAIKYTPVDEKSKKAGKERASNNGA PSTLIIQQQQSPHSDATTTPDHVVQGVPLSELKRKRVSVEIALYEEHDICGEHALVYN QTHSKVDLRAVTDVKALVMDRSTWLDVFLVDRLESVVAALALFKQVAQARDHWRDTRL AIAVSHPRLLFTISTRAMMRHAHVLCGWCGSGDHNTADSRCSKVIAAKEKADIRKKRK GLSDKQKSEQLALERRRVLHAKSTLKPEHVTNPPSLKMRFRVAATAIVSSVQIHNATL RLLTPREQILKDWQVAANNQTRICESHGFVTTPKLQVPDDVRKKGEARPPTHPSSTPP PRPRALRPSYLPEDDNDIGLDDVHHGMGAIAPIPLSMQNNLSKDNMTAQFRFNLVQQL KKVQSVEGYHATRAQVLDELSTPPTNESPRPRRPKVPRNRQRRRGPQSTRVFRRVDRM LKKLWPAEHRLPQVEDSLKDITIRHED H257_07340 MHSPPSPQVLVSPPDATPPPGLNISLHELMATDPCMNPPPSSTS EHHYDTSSPAIWTTDLMLAHNSSSSSLRNLAATSTTTSTSNGLPPLFTYNDIIPDTIA MLPLLRLPPSRVATSPEVAVESPPDSFRRRICDVAGCTSQARAYGKCKRHGGSKRCAS VGCTKSVQSRGMCIRHGGGSRCAVSECNRAAQSQKLCKLHGGGRPCLVDGCVKKAHLR QLCRQHGGGAKCAKDNCDKWAQRNGLCLTHAKRDDEDSLALTEAASIVV H257_07341 MKAVRETKAGTFKVPFRRGPKPCLPQSCETDLVAWIGAMQQDGY PVDRQDILVNANQLVRRLDASLAVGAGWFKRFRERHPQLKNRVAQVISHARNAVTMEG VNMLFDSMSDAITNHALTPDHIFNMDETAFASRKKSKSVVALKGSQNVWAKTEQMIKR YNLFKNCGVPKSFVEALWIERQIVVRSEALYLPTKAKKKSTRKRIDVGGRILTLALLH NMDKTKAERQEAAKRKKALLVKRGNRAVDLRQNCSSNVLFLPNQSCAIDWVVVLTTAR PSYPPTLIVTLSSLGSVVALAGMMVLDMHYRRGSHARQCVMMLRFRPQRSSTAGGVSH VELSKSFHGRKTDTYHGTTNSVDNEYIIHSPQSHQTSTCTGYDQQSDCSESVATASVF TALGTGRETNSTHWRDSSSTYNASVIRGGSSDHAFGLFTRP H257_07342 MNHHIMSSVRRTLIQQLPQPPLPPSTMRHIEPDDIVISVGVLVG LAKLVIVFWRLVSMSRSVYAARKEQHMQLLRKAFTLAEHPHDDSSEVFKQRNNNDDDA SYSSTLDKMPDALRVPSASFPEPPRHDERSDLLPCHPYASSYQRRSSAMNESMSYFVA LGEKFEMKGLRPFRIDDSLLHADELVAKGAYGEVWRGRYLGAVVAIKTLVSTHPQTID HITVFVNEIQLMVELHHPCICRVLGASWLPSGDIQMVMEFLVRGDLTSHLAKTTPSSC GWDDMVLLALDIADGLTYVHHRHVIHRDLKSRNVLLCDRVTDEGRRVGAKLTDFGLSR TVKDYNTSLSCGVGTYRWMAPELFLGSRYTSAVDVYSFGMILSELDSHQVPFRNLRTD DGHILHEMGIMDKVRLGLLRPAFSASCPEWIATLGMQCVDMEPSRRPTAEQVKATLQT MLIDSSLFE H257_07343 MWRSLVVAPRRLALSTHSNRQLSSTTKSYALRSSIFIPTTREVP ADAAIPSHQLLLRAGFMRKSSNGIYMMLPLALRSLAKLEAIIDTHMHAIGCSKLSMPN LLHSDLWKETGRWDSSGPELFRVHDRRDVAHCLGPTHEEVFTSLVASTVTSPKALPLR LYQIGRKFRDEIRPRFGLLRAKEFIMKDAYTFDVDRHGAEVTYNLMVQAYHAILSELD VPIVQVEADTGNIGGSLSHEFHVLSGFGEDAILSCGTCDYAANVEKARGVVGGGGSTP TTLADVLAHDNDDVAVTYFQATAADSTKKVLAVLSPAGRHVNVLSLKPHGVDVDTLTP LPAICGDIASRPVQYFVDSAVSVDGLPTDAIVGEFRQAKEHDGCPSCSNGTLVEKRGI EVGHVFYLGDKYSKILKANYVDASNKVQPMEMGCYGMGVTRLLAATVESLHDTHGIVW PQAIVPYRAVVVGLAKKEDDDVAVAAKAIAGTLATVWPDDVVLDDRWGERPGLKLTEA ELIGYTWRVVVGKRFASEGLVEVLHRPTMQMNHVLVDNVQAHIQQTQ H257_07344 MPRRNLSEFPGRQEPSSMTVEEAPTQVNSEGVELVEHSSHESTS ITTPPPPPQATEGTAPPQSSLSPDEVYARALDQQLNRPPGLVMAQPVLQVPFNCGSCG TTHDVQRAAPGSQFQCTVCGVMNLLPAAAHTQFVMVEPTPFLCNLQ H257_07345 MENPQPPSPSIHPFLNTTVASPSDGDIFQPDYCDTPRDTKPNAR PFTASASSDTLYTCVHYGRNLQTLVAAPPTSFVVCRVGRVLEPSNASEFWSCPHGEKH VYSMAYTQFASSRIVDSSFDPTFNELLVVNIPPHASADGAGGGWGVRLDLIQRPSANN QGDDILLAFASLPLDGFRPGAELSMTLAFPRQSQTTTTEQAEIFVTMFRQRRDAAMST LWHRLELTIDAMPATHGSLKHEQTIAVVQIVPSATTSVPLLVESPLPFPTIRCHADFA KANESTNNCRVTPGGHGDSTMFGWRYPALFDFSIVPNAFFIQVALYAPKATSTYVCVG SSAVTTLAVPSRQGSSVPWPSILMRMSDAPWEMPLHGMLRWWEGASWQDFRKQRVVCT NRLQATNQSRTVPQWMAAIARGLNRHPISTVVDTGGIVGMIAAMYQCRDTASDSNDQT QLPSKKQDDPAVGNWQAQLQGLVAEIAAKQAFMDKMQMEMDKRTNAIKSCGVEIVDLR KNVQRKDQLIQALQLKLHNYEVLEQRQQEEIRLCLDGHGVSTTSFPVLAQHYTKLQSK HNTLEASHAQLSKKLLEARNFEAELLETKIQYKALEAAHLSQANFIQKANAEMQKLAV YKQTIATQETVIGKLENLVESKLAEAKASSVGPNVHAEIFRLRLENSYLKEQLTLRSA SSMQEQPHALSKANLEPLAAGKSTFSTSSRALQPLTSTTPPRRLKSPNVITTPPSTRR LRPQPNQCDTLTSVAPPQPETTDASTNTEPSSPVAAARMSVPSTDHDDNDKTEDVLRV KIRVLEDQLRLNTTSAAQEIAALKAHVFELELESQLH H257_07345 MENPQPPSPSIHPFLNTTVASPSDGDIFQPDYCDTPRDTKPNAR PFTASASSDTLYTCVHYGRNLQTLVAAPPTSFVVCRVGRVLEPSNASEFWSCPHGEKH VYSMAYTQFASSRIVDSSFDPTFNELLVVNIPPHASADGAGGGWGVRLDLIQRPSANN QGDDILLAFASLPLDGFRPGAELSMTLAFPRQSQTTTTEQAEIFVTMFRQRRDAAMST LWHRLELTIDAMPATHGSLKHEQTIAVVQIVPSATTSVPLLVESPLPFPTIRCHADFA KANESTNNCRVTPGGHGDSTMFGWRYPALFDFSIVPNAFFIQVALYAPKATSTYVCVG SSAVTTLAVPSRQGSSVPWPSILMRMSDAPWEMPLHGMLRWWEGASWQDFRKQRVVCT NRLQATNQSRTVPQWMAAIARGLNRHPISTVVDTGGIVGMIAAMYQCRDTASDSNDQT QLPSKKQDDPAVGNWQAQLQGLVAEIAAKQAFMDKMQMEMDKRTNAIKSCGVEIVDLR KNVQRKDQLIQALQLKLHNYEVLEQRQQEEIRLCLDGHGVSTTSFPVLAQHYTKLQSK HNTLEASHAQLSKKLLEARNFEAELLETKIQYKALEAAHLSQANFIQKANAEMQKLAV YKQTIATQETVIGKLENLVESKLAEAKASSVGPNVHAEIFRLRLENSYLKEQLTLRSA SSMQEQPHALSKANLEPLAAGKSTFSTSSRALQPLTSTTPPRRLKSPNVITTPPSTRR LRPQPNQCDTLTSVAPPQPETTDASTNTEPSSPVAAARMSVPSTDHDDNDKTEDVLRV KIRVLEDQLRLNTTSAAQEIAALKAHVFELELESQLH H257_07346 MRSPARSGATPRHSRSPAPSASTPHSATPKAIGHRGASFRVPSI ALADDFSDKSSMLGGPPASSSADAASAAARLTRNTIYGVINAILAIPTMYGYAAIIFS HPDFATFMPILTKLVMLSSVVHQIMFCLLSTLPFAIGQVQDAGLIFLSAIATSICVKL GPEATLEAKVSTTVVTIGLATALLGITLVALGKFKLAGLVSYLPMPVVGGYLAYIGLF CLFAGLSLCTGLVINDFTSTLQLLTWNNIVLCLPGVVGGYVFLFVSQKCDDAFSLPGV IVGVLFAFYVLLFGFGYSMADARDAGWVGQLTPDANFSDMLSLFSLKDVQWHVMPSQI TTWLGMTFVVAFGSCLDVAAIEMDMGQKLDLNHELASVGWSNVVSGLLGGYTGSYIFS QTIFTYRSKTNSRVVGLVVILSEFALVVMPMGLLSYVPRFFFAATLIFIAIDLMVEWL VLVYHKVLLREYLVLWLSFIAINVVDLEGGMVIGIGFAIMNFFVGYSQVRQVQRVHKR SAAVRNFVSRTIIAEERDSIVFLELHGYLFFGTAVHIMNDVKKELRVLVHPSHAAALQ PYGALEQGGAVTLEHLDGTPLAPSESTNRLPTRYLVLDFKRVTGMDATAARSCFLILR ELCASHRIEILYANVLPEIQTLLLNNEIADESNFHRNGDAAVERCETDIVLNSRNNSF FRPNETLPLLLNRFVGRPDDSEFFNPLAEYFTKVVVSHDHSFYKISDASGAFYILAGG GVDLYMNKDGSVDHGESSSITMLEKVCVGGMFGEVDFFLDQPRHMTARSNVDSTVYCL TRDEYMRMKAQQPMLWNELRDLIMRSMALTIGNNNWLAM H257_07347 MAARGKLGTRNSVILDIPAALASSSYGSHNSSEVCFSEYYYTLV FPNTPHDLTDVAGERISFAQAKAIIREVTCGGEANKTHAVNEFIQAWVEKFRSVSPID VDTIPVGYFRELMRNIVIQRLESIPGLSLVTFTSSSSSETKGNLVEPPKESNNDAEYI YCLIRVSRDLLFQQADLHNLLVPIWPEVDPGSEYWDIHGPETVWTVDDAERELNRMFL QGETQADEAQLFDDESPAMVSRRIHAIQRIADRSIQPCYHPSPHLYFPFRNHPAYQYL YRHLESSCQANMPFRIVDKIRVTKGLIDGEFNCDLLRKHNFLVHHMCVHSRDPSDEDE VTLDMLCDQWGSLTSFWHAWSHGHCRHLLGVLYYQPIDLIRHYFGEQLALYFAFLSFY AAQMVPLVALGLAAPLMKFVLRASHLVYYNTGLAVFNCLYAARLLRKWKVQQRWYACI WGMEEVVFDNSVRAEYVGSIRISPINNLPEIHAPASTQGIRRLQSSTLLWMAIAIDGA LIYGVFALQHYVEAQWTSHSMSVYSYIAIAFIINLSQSPFHAVVVYLNEWENHRTTNQ YDVALTVKFSTFQTLNYFGPILFSMFFKPYLFGCNSTKLPSLDAAACATETANLLLVV LLFNFLLSMRDIAGPLVDSISHAVRTRYQYATRLQSTYVPPTLDAEVQLEAYDGVLFD YAQIAITFGYVSWFAAASPQAAIVAFAITLVQIRVDAYKLCFWMQRPFPVATATIGGW TIYFNMICLGGVVVNAATVVLAEMLTHQAHMKWEAIPTKNWLSHLFSLTILMAVLYAL ALTMTIYDTDDRSKLHHIKSLQTRQEYLRNVYLYQLTKSTSSRERPRGGIYLNGVYRY IISGDVDDGDQVDELRDELHVLERAIRLERPDESETIGTFHVVVVGANILPVMDRSTK ALDGFVKVKLKLGDKVLPSKHKTAVKRKTRSPVWNAAFEFKIVSLDTLVHLEVMDWNM VGKSDHVGLATMPVTSVLPTSTDFADADIDGTCDMVAVDIPVDLADGLLESMAKDIPK FGRPVLHVSMGVKLNAQGCVHLRHHNRKRRVQAILQDMQTYLVWKSDDE H257_07348 MKYATSVTDFQVTGILCLAMYSILGLATASRIYLHIRHNSGTAR VLFHVVLLKVIVFSMPKSAAYIWMPTTESWILTYVTSLYAMLLLNLALSYVCVEWAGV AATGQNIGMGPQASGPCSLRNVVIVVNVSVFAWAVVTCISIVSYPDTSVGGDAFRDSP LRSGLVVVGCTMYLATTILLIVQGLKIRTRLLQSQRFVSEQDFNRSMVKLVLSVGVIT GTTWIRLLFKVLAAFGVSGFADMPLLPFEVWSELVPTVFPVLCLLYLQRRLPLEATSP DTGCATTTLPHTTTSTLSV H257_07349 MPSWRRFECPVMRMVDCCTISSNVQGPICVLSLCTKRSWLGNVR AVEAWIEALKKLNHSQPQGPPMQQLKSRLVVCRASSLPSSFSDTHMAPVKTETRLDVF SR H257_07350 MTNASFSAAAAYIKPEAWFLPIQSTQTSDSKRDELSHRTNETQK AKRMVNDAVKTGGILVVLVGDDKGLTNATY H257_07351 MPTMDGLPPSARGGHTTLLAGQRIVVFGGHYFGGEGSFVYLNDT HALDLETSTWCQVKCEGKPPAPRYNHTATLIGTKMFVFGGKGAKGALYRDMFYLDLET WHWFSVNWTTESPSERFSHSALAVGNKLVIFGGWDGTNKTFKDLWVFDTESFAWIKPK VTGTPPPPRHGHTMVLLEDGRILVFGGYALKTTPGDITGEYFNDVYTLDTETMVWTRP RTTGDFPLGTFGHSASVFDGHKLYVLGGWSGTERSPLFLGDKPLQETAKTLAREQRLQ SGADVSVKSFKSDLAKSKYVHVFDCAAMEWSSPLGAGVPVSNRYGHTCTVVGPHLFLF GGWDGNRALHQLVVADLPTTAHA H257_07352 MLTLVGSRWRPSLIRRHRVFSNHAAESRLAKWEAEKARQEQAAA QAVSVELVLPTLTAPFAVTATTPLHAMAQYVQHTGEKIFPVVGASITTRENPHQSQVW DLSRALPSDAHHVRLHSFDDDSMKSLFWHSSAHVLGAALEAKFGDDVLLTDGPAQQDG FFYEMYLEKQRTLSEADFADIESHMTRLIKQKAPFERMEVTRDVARDLFAYSKFKCQM LDNIPSTEAVTLYRCGTFVDLCRGPHLPHTGMLQSIALTRCGASHWTHGPELLQRIYG ISFPDKPRMKEWKTLQDEAKKRDHRVLGKAQGLFLFHQWSPGSSFMLPHGTRIFNKLS DFIRQEYRRRGYDEVVTPLLFKQELWETSGHFENYKEDMYLVTPGMDHPHMHDHAAPA DTPTNLNSVAEVFGLKPMNCPGHCIMFKESKVYSYRELPVRFADFSALHRNEASGALT GLTRVRRFHQDDAHIFCRPDQVQSEIQSCLGFIKHVYGVFGFDFKLRLSTRPASYMGD IALWDTAEAQLQTALNSFDMPWSLNAGDGAFYGPKIDIAVFDALKREHQCGTIQLDFQ LPLRFGLKYDGNDGKEHTPIIIHRAVLGSIERMMAILIEHTGGKWPLWLNPRQVAVLP VTDDQLDYANQVHATLHNDAKMYVDVLSGGKTLNKRIREAQVAGYNYILVVGRNEVES GQVTVRTRDNVVQGATPLPTFVAHVLQEVATMT H257_07353 MFSNQVMPVANVICARREIKHQVDMLYENLQSPKGLCNITSPRT FSHLQFNAKKHTQAQAQQARIDHDNILLMEKMAHIMISSSATTNTSFKPGTCLDRNQL PKIDNHNNYTLVHGYAKTRQREAQRIAKENALHRQRIDAQKPTYTNAQYNADNAAKNV HLSRMHRKLVWSKPTRAELKQEERREAAAVTREVDKARVYASPTPTVPGANADIVSPV ARNRMCICIDGFDPSPPLVAPSGRTFPQSSTGGMFPRPPRKARHTALPSKEVNKGSEE IDLILQENEEALAPCPISTPSVDAAYSDTLLNDEYEVDEFCAE H257_07353 MQHHVAADVFAPAVQREKAHPSARYVRLSCLRDLMSQRHSFPAQ QARIDHDNILLMEKMAHIMISSSATTNTSFKPGTCLDRNQLPKIDNHNNYTLVHGYAK TRQREAQRIAKENALHRQRIDAQKPTYTNAQYNADNAAKNVHLSRMHRKLVWSKPTRA ELKQEERREAAAVTREVDKARVYASPTPTVPGANADIVSPVARNRMCICIDGFDPSPP LVAPSGRTFPQSSTGGMFPRPPRKARHTALPSKEVNKGSEEIDLILQENEEALAPCPI STPSVDAAYSDTLLNDEYEVDEFCAE H257_07354 MSHSDDALYMPTYVVPKSVAHLLDSDSGEEGDAHNVTPIKRRRV DHKQPQQSSKAATSRVARRLVPDVVDVDATAAAASSDIIVLSSEEDDDGDLEVLRQVA NDPEAIRFRELAMRSAAAAAAAVISIDSDDDEKQPQPGVPRPPKATSFLTLAVLWTSR TGSAESDMYTLAPMDVFQVLLDAFCAKLNVPTHLVRMSFDGSPVLPYETPEDKEVADG DQVDMVVDWDQVKAPRAAANAVRVRVQRVGSKKTQVFTIAPEMTVKKLLESFCSLHNL VPATVVLKLFGEVLQEDVTIESCLLDADDILVAESSAEAVEMAPDESNTVTITLRFAD NDVENHRIDLASKVETLVAKVSRKRHVEASQVKFVIDGDAMHPHQPFHSYDLEGDEII DVKLATV H257_07355 MTPRQSGVAPMPAEDTMEIANVRFPSLCSADRYTVQITTTNGTT PIRIELSSTKTQAHWHVPTHMSIISEQRGRMCNIWDLSNHTPSEALYVLPTNVVATTL KRALRSCRDLDTNQDNDYTIDLVHPVNRSSYLVLMLAAFGGFTATYTFELTLLEVPSK RLSALSTSEVTEALQSQVRALKQELQVLQKDFLQAQQPHRTSPTTSPLPYLPPSPMLH VATTLSTAMDDPVRWTVHTSVPPALFTLGQHATSIVVLKTGLYHVQARANKNRRVHLH VRANGRHMVDPSPCHFFTKKTSLEFVSRSTNHAPAEVRIVAVHVFD H257_07356 MGPAPSSLRVHGEFRKYIRQWNILDVMVARMKYKLLTLRYCINM EQLSRILGRQLPDPLVALIFKVFAPKSIRVSPAVPVVDALEVFVGLILVCQATLPQRI AFMFDLADVQGKGQLSSSELSILLGGVARSIVKMTSPPFAVNMPDTAQQIAISSISTQ AKRVDGIDKASFCTWALNNMLLSSYLRACTGQDLPRMYIGLEKTNTFLGYVEFDSLPQ MKATSVDGLRKLAAAQLSVVLPPEFAFLSHGRRVAKFNEPNVKAWSLIPFALLGTPGM HLDDPSYRGKATPDVPHPNDRPAQMFQFRHHDHIVESYSVHVQTIQPVSKHHHFRLRR CCVYWRPSQYWCGDWLLDQSRLASTSRARLCHRLKKQLRLPMRGMVVKDVHGRIVSNN PRLSHVQSKLRLLALETQLASAAAPSADVQGSAMIVDLLEDQDSNHQNIPTSGRHRSR LVKSKVKHTKAKWHVVDGHATLPNAWSSTTTTLALESDQVQSFPVVWIRSLAETSSPL DDMDISHKNATDLLHAIVQTLADKRAINVPDVLGRTMLHHAAVYGHYRLLDVLLAEHA LVNVGDAQRNTPLHVAASRCRLKEVSALLTNGASTTALNVHHQLPLLLALYAAHRQRH KVKSNDVLTKYTVIEQVVDLLWDRTPAQWWHVPDAYGVTMFDLEQSIFGDIFQAARAG LVPRIQHLIESRKVSDINTPMSVLQRTALHEACERGRYNACDYLIRHGADVFATDLRG STPLHVAARRGFDKIVELVVHQYPKSTLLQDINGKTALHLAIEASKSSVALYLIHRMS NVHIQDAFGYTPLHVACLMGKQDVASALLAAGASPATNRLLIPTLPTDAPKNRLKSPH LLGLYWKRHMGTTIMIEHSAECLLRGWAVQLFTPHSAAYFELFKLLLHTPGGWSVLST DCQNQPMAHHLVARLADNSSVVVQCLDELAKAQLLSINNLDPQGNTLLLQECKRVCRT NHQSLAVVRCLLHYGANQNLPNNARESPLLCAAFYGHDALLELLLDTVPPDFASKSAQ VANSPLHLACLGGHLTTIQILLASGAVLNACAEESPLCYGIRSGKPDVVQLLLHRGAD VNVWCPLSRSVGMFGHQSRLREPMDMGSPLTLLLHLVSTRVFQSPTYDMPPTQPELLA ENQHMYLSKEEFAHREIWRTWQTIGNMLGTKLQDVDAAVKVHVYASDIAKACELGFWA LASQLLAARHIPFPRVCDDVDVKEAIHYAAAAGQTAIVSALIASGVNPNVKVKHVTRP LGIARRVQPAKFAVGPLYFAYSRGQLVTAAKLHLLGSSVESLPRLRRAIVLDGGLNGW MKLQYVVGRRLDSQQFSQHVSMVRQLEFATRVQWTLVHVACQRGSLDLLQLYVKAGMS LHDITPNHGHTPLTLAIQYEHLTLAQWICNNHAAAVQVKAPHLPLATACGLPAQSDVK RPLVRQLLHQNLVAVSDMGGDGHTALDRAALVGDSVVVDQLLSEAHAKPTVRTVVAAL NGHCDDVVQRITTSWHSMEGATFDHLLRIFILASSQSQWPLLLHLLTLSDSAVDPVAT WIRRAASCCLILHRAAAANQTNVVKRLLGQGVPADLVVVEIPGTKSPLWYASIHGALN SFLALALHLPHHQSCLPAILQHRAHHTWTLNCLSLPLHSIDMTDVVRIGGYETCTWRN LSGFSCYQAESTKPLRIIHRCIQYWIAHLQHQPLNQDNQTLLHLAAKAGDIPTIHALV QAGAALDVVNNHMQSPAMVVAQRCDATGTKVLEYLWPLLSDEQKAKTCQACTQSSPIN LATLSWCLQETKFFKGMHYAPAILSGQARAVDLLLAASIPLEFTAWPNLVLVLQSRQG KHCQGLMTSLLAVLDVSVSLPVVASIVVAAASFHWWHIVLHLLQLFSIPLTKALNQND SSKRRRSVLHLAVICGQHHVVQHLLQQGSKITTDSKGQTPLHLIAWIGDLGLFQVFTR CVPADQLVAALNVKDSTEHTALHVAAIRGHLAILEAFQAAGASAELRTAKGWTAALLA AKYNQLHIVMKFVLQKPAAVLLETLQGESITVVAAKYGAFRVVSWLVLTLNMTPPDMQ ALVSMDGRTLVHYAALYSQLSFLTTHSCLNQTINTKDSYGCLPLHYALMQGRVEVVQY LCWNGSHVHGAIQSPLLSSDSFDIAMLLGWSPLPGWFSHLLTSNAGQSARQPPTSATR HLNLRTWPFPSTSLLEFAAASGLMTTVSYVLSILRYMPMLCAGTLEVRQRIFMTAVAR NHVDVVDILLTSDIVHTIEDPLDHTQVQSQYFGDFIDIAIQQSAHRGLEAMTLCLLRH WKGVGLTRAPAGTDVVPSCFDFAFQFAVVLQHACIYGHLDLIRYLVVRGGATILGYRV DEGPALVYAFAFGQLDAVRVLMQLGAEISALDTYHAPSLKRWFEYKEPSMVQIEWQVA SPILRRRRPSFCGPVEQYEVLERLPKDMLETIVKASIGSV H257_07356 MGPAPSSLRVHGEFRKYIRQWNILDVMVARMKYKLLTLRYCINM EQLSRILGRQLPDPLVALIFKVFAPKSIRVSPAVPVVDALEVFVGLILVCQATLPQRI ACMYRGIRRSTQLTPSSIVMFDLADVQGKGQLSSSELSILLGGVARSIVKMTSPPFAV NMPDTAQQIAISSISTQAKRVDGIDKASFCTWALNNMLLSSYLRACTGQDLPRMYIGL EKTNTFLGYVEFDSLPQMKATSVDGLRKLAAAQLSVVLPPEFAFLSHGRRVAKFNEPN VKAWSLIPFALLGTPGMHLDDPSYRGKATPDVPHPNDRPAQMFQFRHHDHIVESYSVH VQTIQPVSKHHHFRLRRCCVYWRPSQYWCGDWLLDQSRLASTSRARLCHRLKKQLRLP MRGMVVKDVHGRIVSNNPRLSHVQSKLRLLALETQLASAAAPSADVQGSAMIVDLLED QDSNHQNIPTSGRHRSRLVKSKVKHTKAKWHVVDGHATLPNAWSSTTTTLALESDQVQ SFPVVWIRSLAETSSPLDDMDISHKNATDLLHAIVQTLADKRAINVPDVLGRTMLHHA AVYGHYRLLDVLLAEHALVNVGDAQRNTPLHVAASRCRLKEVSALLTNGASTTALNVH HQLPLLLALYAAHRQRHKVKSNDVLTKYTVIEQVVDLLWDRTPAQWWHVPDAYGVTMF DLEQSIFGDIFQAARAGLVPRIQHLIESRKVSDINTPMSVLQRTALHEACERGRYNAC DYLIRHGADVFATDLRGSTPLHVAARRGFDKIVELVVHQYPKSTLLQDINGKTALHLA IEASKSSVALYLIHRMSNVHIQDAFGYTPLHVACLMGKQDVASALLAAGASPATNRLL IPTLPTDAPKNRLKSPHLLGLYWKRHMGTTIMIEHSAECLLRGWAVQLFTPHSAAYFE LFKLLLHTPGGWSVLSTDCQNQPMAHHLVARLADNSSVVVQCLDELAKAQLLSINNLD PQGNTLLLQECKRVCRTNHQSLAVVRCLLHYGANQNLPNNARESPLLCAAFYGHDALL ELLLDTVPPDFASKSAQVANSPLHLACLGGHLTTIQILLASGAVLNACAEESPLCYGI RSGKPDVVQLLLHRGADVNVWCPLSRSVGMFGHQSRLREPMDMGSPLTLLLHLVSTRV FQSPTYDMPPTQPELLAENQHMYLSKEEFAHREIWRTWQTIGNMLGTKLQDVDAAVKV HVYASDIAKACELGFWALASQLLAARHIPFPRVCDDVDVKEAIHYAAAAGQTAIVSAL IASGVNPNVKVKHVTRPLGIARRVQPAKFAVGPLYFAYSRGQLVTAAKLHLLGSSVES LPRLRRAIVLDGGLNGWMKLQYVVGRRLDSQQFSQHVSMVRQLEFATRVQWTLVHVAC QRGSLDLLQLYVKAGMSLHDITPNHGHTPLTLAIQYEHLTLAQWICNNHAAAVQVKAP HLPLATACGLPAQSDVKRPLVRQLLHQNLVAVSDMGGDGHTALDRAALVGDSVVVDQL LSEAHAKPTVRTVVAALNGHCDDVVQRITTSWHSMEGATFDHLLRIFILASSQSQWPL LLHLLTLSDSAVDPVATWIRRAASCCLILHRAAAANQTNVVKRLLGQGVPADLVVVEI PGTKSPLWYASIHGALNSFLALALHLPHHQSCLPAILQHRAHHTWTLNCLSLPLHSID MTDVVRIGGYETCTWRNLSGFSCYQAESTKPLRIIHRCIQYWIAHLQHQPLNQDNQTL LHLAAKAGDIPTIHALVQAGAALDVVNNHMQSPAMVVAQRCDATGTKVLEYLWPLLSD EQKAKTCQACTQSSPINLATLSWCLQETKFFKGMHYAPAILSGQARAVDLLLAASIPL EFTAWPNLVLVLQSRQGKHCQGLMTSLLAVLDVSVSLPVVASIVVAAASFHWWHIVLH LLQLFSIPLTKALNQNDSSKRRRSVLHLAVICGQHHVVQHLLQQGSKITTDSKGQTPL HLIAWIGDLGLFQVFTRCVPADQLVAALNVKDSTEHTALHVAAIRGHLAILEAFQAAG ASAELRTAKGWTAALLAAKYNQLHIVMKFVLQKPAAVLLETLQGESITVVAAKYGAFR VVSWLVLTLNMTPPDMQALVSMDGRTLVHYAALYSQLSFLTTHSCLNQTINTKDSYGC LPLHYALMQGRVEVVQYLCWNGSHVHGAIQSPLLSSDSFDIAMLLGWSPLPGWFSHLL TSNAGQSARQPPTSATRHLNLRTWPFPSTSLLEFAAASGLMTTVSYVLSILRYMPMLC AGTLEVRQRIFMTAVARNHVDVVDILLTSDIVHTIEDPLDHTQVQSQYFGDFIDIAIQ QSAHRGLEAMTLCLLRHWKGVGLTRAPAGTDVVPSCFDFAFQFAVVLQHACIYGHLDL IRYLVVRGGATILGYRVDEGPALVYAFAFGQLDAVRVLMQLGAEISALDTYHAPSLKR WFEYKEPSMVQIEWQVASPILRRRRPSFCGPVEQYEVLERLPKDMLETIVKASIGSV H257_07356 MGPAPSSLRVHGEFRKYIRQWNILDVMVARMKYKLLTLRYCINM EQLSRILGRQLPDPLVALIFKVFAPKSIRVSPAVPVVDALEVFVGLILVCQATLPQRI AFMFDLADVQGKGQLSSSELSILLGGVARSIVKMTSPPFAVNMPDTAQQIAISSISTQ AKRVDGIDKASFCTWALNNMLLSSYLRACTGQDLPRMYIGLEKTNTFLGYVEFDSLPQ MKATSVDGLRKLAAAQLSVVLPPEFAFLSHGRRVAKFNEPNVKAWSLIPFALLGTPGM HLDDPSYRGKATPDVPHPNDRPAQMFQFRHHDHIVESYSVHVQTIQPVSKHHHFRLRR CCVYWRPSQYWCGDWLLDQSRLASTSRARLCHRLKKQLRLPMRGMVVKDVHGRIVSNN PRLSHVQSKLRLLALETQLASAAAPSADVQGSAMIVDLLEDQDSNHQNIPTSGRHRSR LVKSKVKHTKAKWHVVDGHATLPNAWSSTTTTLALESDQVQSFPVVWIRSLAETSSPL DDMDISHKNATDLLHAIVQTLADKRAINVPDVLGRTMLHHAAVYGHYRLLDVLLAEHA LVNVGDAQRNTPLHVAASRCRLKEVSALLTNGASTTALNVHHQLPLLLALYAAHRQRH KVKSNDVLTKYTVIEQVVDLLWDRTPAQWWHVPDAYGVTMFDLEQSIFGDIFQAARAG LVPRIQHLIESRKVSDINTPMSVLQRTALHEACERGRYNACDYLIRHGADVFATDLRG STPLHVAARRGFDKIVELVVHQYPKSTLLQDINGKTALHLAIEASKSSVALYLIHRMS NVHIQDAFGYTPLHVACLMGKQDVASALLAAGASPATNRLLIPTLPTDAPKNRLKSPH LLGLYWKRHMGTTIMIEHSAECLLRGWAVQLFTPHSAAYFELFKLLLHTPGGWSVLST DCQNQPMAHHLVARLADNSSVVVQCLDELAKAQLLSINNLDPQGNTLLLQECKRVCRT NHQSLAVVRCLLHYGANQNLPNNARESPLLCAAFYGHDALLELLLDTVPPDFASKSAQ VANSPLHLACLGGHLTTIQILLASGAVLNACAEESPLCYGIRSGKPDVVQLLLHRGAD VNVWCPLSRSVGMFGHQSRLREPMDMGSPLTLLLHLVSTRVFQSPTYDMPPTQPELLA ENQHMYLSKEEFAHREIWRTWQTIGNMLGTKLQDVDAAVKVHVYASDIAKACELGFWA LASQLLAARHIPFPRVCDDVDVKEAIHYAAAAGQTAIVSALIASGVNPNVKVKHVTRP LGIARRVQPAKFAVGPLYFAYSRGQLVTAAKLHLLGSSVESLPRLRRAIVLDGGLNGW MKLQYVVGRRLDSQQFSQHVSMVRQLEFATRVQWTLVHVACQRGSLDLLQLYVKAGMS LHDITPNHGHTPLTLAIQYEHLTLAQWICNNHAAAVQVKAPHLPLATACGLPAQSDVK RPLVRQLLHQNLVAVSDMGGDGHTALDRAALVGDSVVVDQLLSEAHAKPTVRTVVAAL NGHCDDVVQRITTSWHSMEGATFDHLLRIFILASSQSQWPLLLHLLTLSDSAVDPVAT WIRRAASCCLILHRAAAANQTNVVKRLLGQGVPADLVVVEIPGTKSPLWYASIHGALN SFLALALHLPHHQSCLPAILQHRAHHTWTLNCLSLPLHSIDMTDVVRIGGYETCTWRN LSGFSCYQAESTKPLRIIHRCIQYWIAHLQHQPLNQDNQTLLHLAAKAGDIPTIHALV QAGAALDVVNNHMQSPAMVVAQRCDATGTKVLEYLWPLLSDEQKAKTCQACTQSSPIN LATLSWCLQETKFFKGMHYAPAILSGQARAVDLLLAASIPLEFTAWPNLVLVLQSRQG KHCQGLMTSLLAVLDVSVSLPVVASIVVAAASFHWWHIVLHLLQLFSIPLTKALNQND SSKRRRSVLHLAVICGQHHVVQHLLQQGSKITTDSKGQTPLHLIAWIGDLGLFQVFTR CVPADQLVAALNVKDSTEHTALHVAAIRGHLAILEAFQAAGASAELRTAKGWTAALLA AKYNQLHIVMKFVLQKPAAVLLETLQGESITVVAAKYGAFRVVSWLVLTLNMTPPDMQ ALVSMDGRTLVHYAALYSQLSFLTTHSCLNQTINTKDSYGCLPLHYALMQGRVEVVQY LCWNGSHVHGAIQSPLLSSDSFDIAMLLGWSPLPGWFSHLLTSNAGQSARQPPTSATR HLNLRTWPFPSTSLLEFAAASGLMTTVSYVLSILRYMPMLCAGTLEVRQRIFMTAVAR NHVDVVDILLTSDIVHTIEDPLDHTQVQSQYFGDFIDIAIQQSAHRGLEAMTLCLLRH WKGVGLTRAPAGTDVVPSCFDFAFQFAVVLQHACIYGHLDLIRYLVVRGGATILGYRV DEGPALVYAFAFGQLDAVRVLMQLGAEISALDTYHAPSLKRWFEYKGTSLFVCGRFTY VRE H257_07358 MSSSPTVPQIYRRVLQLANKFPSIKQKQLVQDIKLEFHENKALT DPAKIKEKINIAIKGIQQLNQYVALDPNAQSWSVDMDKDPFGQNHPDRPASINP H257_07357 MDLPAASKFGQKLAHTDKHVRDKAVKSLTQYLVKKKEWSDLDLD KIWKALFYCMWMSDKPKIQNELAENLSQLMHAFPDSSLKMRFLHSFFKTIHREWHGID GLRLDKFYSLIRKILYQSFQFLQSEWDQAQVFSTHMSSEILSKLPNGLRLHLCDVYVQ ELFKSIGATVPSENLVMLLEPFFTLISTETDRIVTKRVNDMVFQVLLTEFQFQDTLKE VDAVATTDSEDNEDDNDPAAQAKVFGAAKLAEVQHRIFDLAAAAETQDRNRNILYSIY SALYAATKVDSAKKDRKRLASTEADGEGASSSSSKKKKRRKNKGTAAADVADPMVASP QKEVKEDASPTKSPQKSQKPQKKHDPSPQKPIKAVEPPSKDEQVPKKEEISLQKGARK GHLPTETKKATTKNVTADVAPKKGGKAPTTQKEQAAAYPRCTSCGGFGKGLVPVNKSM CGHCERRSKQEAKAKAVTDKKRKASSVVAETADVDASKKVRFGTNKALPYELSMKRMK KSVEKELVKPATGKGVLKVKEIVATATSNVKKNTTLNVKRARAADFF H257_07357 MAHEDLSCVDKHVRDKAVKSLTQYLVKKKEWSDLDLDKIWKALF YCMWMSDKPKIQNELAENLSQLMHAFPDSSLKMRFLHSFFKTIHREWHGIDGLRLDKF YSLIRKILYQSFQFLQSEWDQAQVFSTHMSSEILSKLPNGLRLHLCDVYVQELFKSIG ATVPSENLVMLLEPFFTLISTETDRIVTKRVNDMVFQVLLTEFQFQDTLKEVDAVATT DSEDNEDDNDPAAQAKVFGAAKLAEVQHRIFDLAAAAETQDRNRNILYSIYSALYAAT KVDSAKKDRKRLASTEADGEGASSSSSKKKKRRKNKGTAAADVADPMVASPQKEVKED ASPTKSPQKSQKPQKKHDPSPQKPIKAVEPPSKDEQVPKKEEISLQKGARKGHLPTET KKATTKNVTADVAPKKGGKAPTTQKEQAAAYPRCTSCGGFGKGLVPVNKSMCGHCERR SKQEAKAKAVTDKKRKASSVVAETADVDASKKVRFGTNKALPYELSMKRMKKSVEKEL VKPATGKGVLKVKEIVATATSNVKKNTTLNVKRARAADFF H257_07359 MFERQVTGLLTQLLGNYVEPSCFRHDKVNVGVWSGYVVLQHLEL QRKIYPTLGVAVVRGVLGQVTIKIPWNRLVYDSVLVTIDDVYILLRNVNREDVVPPTA EMEQILKKKLIEELYMQKLETDQGGGSVDDSFLLRLRTKILDNLEFHIRRIHIRFQDA TSGDHPFTFGLTMESLHAQSTNSNWQPTYVDSTSSKEPMIYKSFELNHLSMYLNPDCA LHGDPFFDGTSCSLEQFTDAFSRTIPMRLDVARPQQLTPRSDFPDKHHFILKPVNASA HLKTKRDPTAAHDSHYPTVPTLELQVLIDEVALQLEESQYCDLLFLLSALKTPHQAQM YDKYHRFRPLVSVFEAPRAWWLYAIQCIQSDVANKRTGWSWGYMKDRRDDRLQYVALW QRLQLAAMPEHFLGDAQAVALQLQVDAIESRRSVEDVLLFRYLADVELKQHHAASTTT TTTAAAAVSSSYSLWNLVKWTGLDYSSVKEAQESGQELERQELYRILGYDPTEKSVPS TSSTEFSVISIQLNQGSIALLNDPDTKYLRTSSQYTRRYNPQTFFMALFAQVHTDIVQ RSGENMNMEVSLQTVELYDESMDGYCILKRRVPVSNLNVEITMVAPVFRLSYENGQHQ QTLKLFMEPLEVIYSPTAICWAHLSTFSTAPEALGLWAEMEMQALNEFVNVKARTEAK VEYAMAHRIPIVVDVRIQAPVIILPSSDGEMLQGARLILDLGHVHFRTERLSNLNVDI TSISTTTVGSGHHQRAAASVMMTNSTNFSKQLTDEAESGEGATRWKEEFYDKFTCAMT NLNVMLLPPSVPYSPELLMHSTTPFSLVDPFHINFTMRKSVLPLDATLYQLYLHVDLP ALTLHVSMGQYRHLTNVLARFKHQDPTRAASTGPSSALLTPRFPPSSPPPWDACNPTG EVETQSVMSDDTWFSVEYGDNDGQGTAMDDGRSMSLPSGGLLSSHSSIIVTDPSVIPL VVVPPPPPPAVVPTTDRPHPPTTAAPVRGLKKVLDRRVCVCTVTIPIVQVHFQKADED AAAGRVTCVLEGIKLRFAKRTLSTALRLRLGSLAVDDHADNFVPMHHVLFSLTTQPVL PYTSLLPKTARRRGRRQKVAHRLPPTSSVESADDLLDINLTSEMHDPTELDVTFGHLH VQFDQSRIAALAAFVLPLVHPTAIEDPKDGAFIPPLSLNDDMSSPHDGGGPPMSLTES VRLDLEKARHALLHQAKQDEQTAVSENVYNVKVHMRSLSICFSNHMDDPLMSMALLDA RLDVTLAQGSVAATGRVGNIQVIDLVSSDQLHMKRKDKRFLFQEVVGLAASQDSAVPS LATATLTQTTDQGHVHLHVEQVRCVLSTRFLLKLLHYVCEGPLMDLLRHPTQSLRPMQ PFALSQHLGANYHVRNPNMQHTRGPARDVFFSPALTFDDVPVHNDPPRPAALDVPSST IKWTLDVDMHDPFLVIPLHKSAKHASLELTHGVVLQLGRIRASWSPLKTSVETNKLVL RSLHDDFEFIRPLHVHFALDHPRHVRLHVSDLHFQLSEVHAAMLVELYFQGFMPLALY NHTPVVASSSHRHQFTTTSEAAWHAAVTCDAVGVSLFTVRTTGDNLVQADGDDDDDQA PHSSHWGLILDMFALLPHDTTPVATFTVHGIQATAKHDPHHLTSVDVTIAQLELQHSI VSPGCTFQYRHHTAKHSHSWSCDAQAIQLVVAPDFIERVVVVGVETVSHVEHTLTRHQ NQTATSELASSVYDDTESEYQWTERGRSNSIASSIHVLNAPPSVAVPTTSWQFEVACK LVQIKLGSLVLAGQLALKQDPSQLFVRLQHVLVKNTTSPHGGGGSNNLLHPLEITLRH QPHFPIDAALQHNLRPYHTHEPDTLLYTSVQCSPVSVSVHLQDMHAISDSISTLTTLV DDLKRQLRQADVFPPSSSGEGIPLNNSDRLQYSLLHQLSEEDRKHSDLHGGGAGHVIS AVHVWRHVCIDLPSIQVSVEGHAAASSLLCLDIEPNEFEWIHTPHVRSLTWKWHVHAT YLNNRLLSMEPAVEPMTVHLKWWQVVGATPLQIEVKSVDEVKLNCTHALLDTMRLLTR MTLEKELASCVLKNDTGVTFQVWTASGPQEMGPGLDLPLQPSTTLHLRFPEYKQLEIP TSELGRRVYRLSSVAVVGSSAVDCVVDISVQHGCKLIVVQSTWLLDNKTATPLHVQLI FPTGGIIPRPPYHCTLAPQQSLAVPMSLVSFRGTHVYVKPDGVQTWTLVDAQVVHCGT SFVLYATWTDRSSTRRVLSFCAPLVLHNALPTPMEYRLTRAPGRFESGKLDVGEKCVY HSSEHDGLTLEIRTKGFSWSQSTELAAGTISMLDPMNHSVLFVTIDVDTSKAAQWEVS VFVPYWILNQTGLDLEYQHELAFLGTEHINPFAAGQAMPKASLADTESVVVPPAAVKR KHQLLPSIPPNRGLLDLIPKKVSIASTSAPLLAVCHTHQRHGILKMQLRLKGKSAAWS NVISCHISGATGECTVRDHGHTYVVGFVLEHGHGWYDRTQVLTLVPRFLLINALDDHA LDVLMDESTSTSATLERHAQLPWHFAHAHHRNTIRIRFAAPGWVWSGALHLHTTGDQT LRLRNTVDRTTYLIRISIKLEGPQYRIVFRSSTNVPPYRIENFSLETIRVHQSRVRIS DILLPHQTCEYTWDEPLKPHLLVVDMLPSQADDNSRPIRIGVFSMDDIATFPTKSLAV EVRADGPTRVLRLTDVMTPFPRPPMGKKEPAGWQQYVGSPVVEFQLKLHSLSVSLVDS KPSELVYVSWNTVAFQATWTEHMAQLALHVSVHSMQIDNQVRTTRYPVLLNFTDSPAL DATIVRETTYTSIEFLRYVNVMLQPMHWRIDGTLINQLAAMFVSPEDVQHNTAPTRED RVRDFDASIVGLLGVPRETTVSKKLYFEKFELAPIQASLSFATSSSSTTTSSSNTYTT NAVQVAGVRQILQAAGKTLTKIHNAPLHWRALRWQHMFVPRETMLNQMSLHYQHEAYR QAYVLLGSVDVLGNPVKVWHNLRGGLESFVWEPLRGWQESPQAFGFGLVKGTSLLFRA FVYAILDFNTRIASSMLLGLSDACHRIDTYTGYPVAKTFYQDIAQGASGVVVSPMHSY DVQGWSGILPGVLAGMLGLVLKPLRGFTQGFVNTTTTLRDGIQHDTQAYVMRMRPPRY IDPRTHLLTSYSYVHASGEDIKYGIVQARHEDYVGHIMSAERHGCLLVTKHRVLSLQV HLHPHHSVTYTISWEVLSDDLIVVAYCSPETIVLYHKPSDIADLAVPTQVITLPPTQA LSVYYMLQQMTPTSLNQTDRSQLNVHFVDKCR H257_07359 MFERQVTGLLTQLLGNYVEPSCFRHDKVNVGVWSGYVVLQHLEL QRKIYPTLGVAVVRGVLGQVTIKIPWNRLVYDSVLVTIDDVYILLRNVNREDVVPPTA EMEQILKKKLIEELYMQKLETDQGGGSVDDSFLLRLRTKILDNLEFHIRRIHIRFQDA TSGDHPFTFGLTMESLHAQSTNSNWQPTYVDSTSSKEPMIYKSFELNHLSMYLNPDCA LHGDPFFDGTSCSLEQFTDAFSRTIPMRLDVARPQQLTPRSDFPDKHHFILKPVNASA HLKTKRDPTAAHDSHYPTVPTLELQVLIDEVALQLEESQYCDLLFLLSALKTPHQAQM YDKYHRFRPLVSVFEAPRAWWLYAIQCIQSDVANKRTGWSWGYMKDRRDDRLQYVALW QRLQLAAMPEHFLGDAQAVALQLQVDAIESRRSVEDVLLFRYLADVELKQHHAASTTT TTTAAAAVSSSYSLWNLVKWTGLDYSSVKEAQESGQELERQELYRILGYDPTEKSVPS TSSTEFSVISIQLNQGSIALLNDPDTKYLRTSSQYTRRYNPQTFFMALFAQVHTDIVQ RSGENMNMEVSLQTVELYDESMDGYCILKRRVPVSNLNVEITMVAPVFRLSYENGQHQ QTLKLFMEPLEVIYSPTAICWAHLSTFSTAPEALGLWAEMEMQALNEFVNVKARTEAK VEYAMAHRIPIVVDVRIQAPVIILPSSDGEMLQGARLILDLGHVHFRTERLSNLNVDI TSISTTTVGSGHHQRAAASVMMTNSTNFSKQLTDEAESGEGATRWKEEFYDKFTCAMT NLNVMLLPPSVPYSPELLMHSTTPFSLVDPFHINFTMRKSVLPLDATLYQLYLHVDLP ALTLHVSMGQYRHLTNVLARFKHQDPTRAASTGPSSALLTPRFPPSSPPPWDACNPTG EVETQSVMSDDTWFSVEYGDNDGQGTAMDDGRSMSLPSGGLLSSHSSIIVTDPSVIPL VVVPPPPPPAVVPTTDRPHPPTTAAPVRGLKKVLDRRVCVCTVTIPIVQVHFQKADED AAAGRVTCVLEGIKLRFAKRTLSTALRLRLGSLAVDDHADNFVPMHHVLFSLTTQPVL PYTSLLPKTARRRGRRQKVAHRLPPTSSVESADDLLDINLTSEMHDPTELDVTFGHLH VQFDQSRIAALAAFVLPLVHPTAIEDPKDGAFIPPLSLNDDMSSPHDGGGPPMSLTES VRLDLEKARHALLHQAKQDEQTAVSENVYNVKVHMRSLSICFSNHMDDPLMSMALLDA RLDVTLAQGSVAATGRVGNIQVIDLVSSDQLHMKRKDKRFLFQEVVGLAASQDSAVPS LATATLTQTTDQGHVHLHVEQVRCVLSTRFLLKLLHYVCEGPLMDLLRHPTQSLRPMQ PFALSQHLGANYHVRNPNMQHTRGPARDVFFSPALTFDDVPVHNDPPRPAALDVPSST IKWTLDVDMHDPFLVIPLHKSAKHASLELTHGVVLQLGRIRASWSPLKTSVETNKLVL RSLHDDFEFIRPLHVHFALDHPRHVRLHVSDLHFQLSEVHAAMLVELYFQGFMPLALY NHTPVVASSSHRHQFTTTSEAAWHAAVTCDAVGVSLFTVRTTGDNLVQADGDDDDDQA PHSSHWGLILDMFALLPHDTTPVATFTVHGIQATAKHDPHHLTSVDVTIAQLELQHSI VSPGCTFQYRHHTAKHSHSWSCDAQAIQLVVAPDFIERVVVVGVETVSHVEHTLTRHQ NQTATSELASSVYDDTESEYQWTERGRSNSIASSIHVLNAPPSVAVPTTSWQFEVACK LVQIKLGSLVLAGQLALKQDPSQLFVRLQHVLVKNTTSPHGGGGSNNLLHPLEITLRH QPHFPIDAALQHNLRPYHTHEPDTLLYTSVQCSPVSVSVHLQDMHAISDSISTLTTLV DDLKRQLRQADVFPPSSSGEGIPLNNSDRLQYSLLHQLSEEDRKHSDLHGGGAGHVIS AVHVWRHVCIDLPSIQVSVEGHAAASSLLCLDIEPNEFEWIHTPHVRSLTWKWHVHAT YLNNRLLSMEPAVEPMTVHLKWWQVVGATPLQIEVKSVDEVKLNCTHALLDTMRLLTR MTLEKELASCVLKNDTGVTFQVWTASGPQEMGPGLDLPLQPSTTLHLRFPEYKQLEIP TSELGRRVYRLSSVAVVGSSAVDCVVDISVQHGCKLIVVQSTWLLDNKTATPLHVQLI FPTGGIIPRPPYHCTLAPQQSLAVPMSLVSFRGTHVYVKPDGVQTWTLVDAQVVHCGT SFVLYATWTDRSSTRRVLSFCAPLVLHNALPTPMEYRLTRAPGRFESGKLDVGEKCVY HSSEHDGLTLEIRTKGFSWSQSTELAAGTISMLDPMNHSVLFVTIDVDTSKAAQWEVS VFVPYWILNQTGLDLEYQHELAFLGTEHINPFAAGQAMPKASLADTESVVVPPAAVKR KHQLLPSIPPNRGLLDLIPKKVSIASTSAPLLAVCHTHQRHGILKMQLRLKGKSAAWS NVISCHISGATGECTVRDHGHTYVVGFVLEHGHGWYDRTQVLTLVPRFLLINALDDHA LDVLMDESTSTSATLERHAQLPWHFAHAHHRNTIRIRFAAPGWVWSGALHLHTTGDQT LRLRNTVDRTTYLIRISIKLEGPQYRIVFRSSTNVPPYRIENFSLETIRVHQSRVRIS DILLPHQTCEYTWDEPLKPHLLVVDMLPSQADDNSRPIRIGVFSMDDIATFPTKSLAV EVRADGPTRVLRLTDVMTPFPRPPMGKKEPAGWQQYVGSPVVEFQLKLHSLSVSLVDS KPSELVYVSWNTVAFQATWTEHMAQLALHVSVHSMQIDNQVRTTRYPVLLNFTDSPAL DATIVRETTYTSIEFLRYVNVMLQPMHWRIDGTLINQLAAMFVSPEDVQHNTAPTRED RVRDFDASIVGLLGVPRETTVSKKLYFEKFELAPIQASLSFATSSSSTTTSSSNTYTT NAVQVAGVRQILQAAGKTLTKIHNAPLHWRALRWQHMFVPRETMLNQMSLHYQHEAYR QAYVLLGSVDVLGNPVKVWHNLRGGLESFVWEPLRGWQESPQAFGFGLVKGTSLLFRA FVYAILDFNTRIASSMLLGLSDACHRIDTYTGYPVAKTFYQDIAQGASGVVVSPMHSY DVQGWSGILPGVLAGMLGLVLKPLRGFTQGFVNTTTTLRDGIQHDTQAYVMRMRPPR H257_07360 MESVEDALKYLAKQFESANVARVQWQDEKKQFQAQLHELEAQKK IQDDYAKELVLQVKMLEYALQQERGRYMAIIPAPKDKATNRVERANTSPFNSPRNAGG GGGGGGKEQTVFRKGSSNLSSSSSNASLKHSETKGESEVSRGGGGRNEISRNDVSVTR SKEGETFISRPRVNSKADKILPPPSVAAPVKGPPPVEVTTKVNGSFRACKMKLKLSGH LDGVRAICFHPTDPLLVSGSEDCTVKIWNLASKNTEVEPVATLRLHTESVLAVAAIKP EHSSGLGFRNGLVATGSKDGTIGLIAFPEITDKPVEPLAYDEYYKFLVHRMEAHRDAI WGLYAHPYTNLLFSASADACVRVWGISAEPTLKCTLGASVRQHPSGLGHNIDGVLVPT CVSAVPTNAATVIAGYTSCTIGQYDISSERLIQLMVPSETDVRITAFREAQVNCMVSH PTMPLVVAAHQDRRIRFYDLRAGQCVASVVAHQDAVSSLALDASGLYVASGGHDGSLR FWSVAERTCVHEQSAHRPKYAEAVHSVAHHATRGFVATGGADSVIKVFQ H257_07360 MESVEDALKYLAKQFESANVARVQWQDEKKQFQAQLHELEAQKK IQDDYAKELVLQVKMLEYALQQERGRYMAIIPAPKDKATNRVERANTSPFNSPRNAGG GGGGGGKEQTVFRKGSSNLSSSSSNASLKHSETKGESEVSRGGGGRNEISRNDVSVTR SKEGETFISRPRVNSKADKILPPPSVAAPVKGPPPVEVTTKVNGSFRACKMKLKLSGH LDGVRAICFHPTDPLLVSGSEDCTVKIWNLASKNTEVEPVATLRLHTESVLAVAAIKP EHSSGLGFRNGLVATGSKDGTIGLIAFPEITDKPVEPLAYDEYYKFLVHRMEAHRDAI WGLYAHPYTNLLFSASADACVRVWGISAEPTLKCTLGASVRQHPSGLGHNIDGVLVPT CVSAVPTNAATVIAGYTSCTIGQYDISSERLIQLMVPSETDVRITAFREAQVNCVRVM IILVMWSSWCCGYMGGRWCRIRRCHWLSRRIKIAAFAFTISVRDNAWPPWWPIRMPCR RWHWMRRGCMWPRVATMDPFGSGVWPSAPVSMNSRPTDPSTPKQSTVSPTMPRGDSWP LAARTA H257_07361 MHSVNQTLSPRSRLVLGGGWGQRPRSMSAELHETNTTFGDVPKY KLEISESQILDRDFTRHRERKTKIICAIGPSCWSVDMLGKLLDAGMNVARFNFSHGDH TVHGTALANLRAAVAQREHCHCAVLLDTKGPEIRTGALKHHTPIHLIAGQTLTITTDG DFEGDTSRIGCNYPHLATSVAPGSRILCDDGTLQLDVTACRSNEVDVKVLNSHVLEER KSMSLPGAKIRIPGITDKDKHDLVHFALPYAVDIVSGSFVRSAANVRAIRACLGDAGK HIRIHAKIESLEALENLDEILAEADGIHVSRGDLGMELQPEQVFLAQKLIIRKANIAG KPVVTSTQMLQSMTKCPTPTSAECSDVANAVLDGTDAVMLSAETAKGEFPVQAVATMS RICIEAEGSLNYSRLYAKTRDATPRPVSVCEAASSSGVEMALDVQAKLIVSLTDSGSS TLKIAKYRPEAFVVAVTASAHVARQLAGLSRGISVLRAMTGGTDDLILKAIEFAKSKG WIDDGDMVVVLHGLTEDARTSVVKIIQAHAHGYALPLHQPNAVSRLQTFVV H257_07361 MHSVNQTLSPRSRLVLGGGWGQRPRSMSAELHETNTTFGDVPKY KLEISESQILDRDFTRHRERKTKIICAIGPSCWSVDMLGKLLDAGMNVARFNFSHGDH TVHGTALANLRAAVAQREHCHCAVLLDTKGPEIRTGALKHHTPIHLIAGQTLTITTDG DFEGDTSRIGCNYPHLATSVAPGSRILCDDGTLQLDVTACRSNEVDVKVLNSHVLEER KSMSLPGAKIRIPGITDKDKHDLVHFALPYAVDIVSGSFVRSAANVRAIRACLGDAGK HIRIHAKIESLEALENLDEILAEADGIHVSRGDLGMELQPEQVFLAQKLIIRKANIAG KPVVTSTQMLQSMTKCPTPTSAECSDVANAVLDGTDAVMLSAETAKGEFPVQAVATMS RICIEAEGSLNYSRLYAKTRDATPRPVSVCEAASSSGVEMALDVQAKLIVSLTDSGSS TLKIAKYRPEAFVVAVTASAHVARQLAGLSRGISVLRAMTGGTDDLILKAIEFAKSKG WIDDGDMVVVLHGLTEDARTSVVKIIQAHAHGYALPLHQPNAVSRLQ H257_07361 MHSVNQTLSPRSRLVLGGGWGQRPRSMSAELHETNTTFGDVPKY KLEISESQILDRDFTRHRERKTKIICAIGPSCWSVDMLGKLLDAGMNVARFNFSHGDH TVHGTALANLRAAVAQREHCHCAVLLDTKGPEIRTGALKHHTPIHLIAGQTLTITTDG DFEGDTSRIGCNYPHLATSVAPGSRILCDDGTLQLDVTACRSNEVDVKVLNSHVLEER KSMSLPGAKIRIPGITDKDKHDLVHFALPYAVDIVSGSFVRSAANVRAIRACLGDAGK HIRIHAKIESLEALENLDEILAEADGIHVSRGDLGMELQPEQVFLAQKLIIRKANIAG KPVVTSTQMLQSMTKCPTPTSAECSDVANAVLDGTDAVMLSAETAKGEFPVQAVATMS RICIEAEGSLNYSRLYAKTRDATPRPVSVCEAASSSGVEMALDVQAKLIVSLTDSGSS TLKIAKYRPEAFVVAVTASAHVARQLAGLSRGISVLRAMTGGTDDLILKAIEFAKSKG WIDDGDMVVVLHGLTEDARTSVVKIIQAHAHGYALPLHQPNAVSRLQ H257_07361 MHSVNQTLSPRSRLVLGGGWGQRPRSMSAELHETNTTFGDVPKY KLEISESQILDRDFTRHRERKTKIICAIGPSCWSVDMLGKLLDAARFNFSHGDHTVHG TALANLRAAVAQREHCHCAVLLDTKGPEIRTGALKHHTPIHLIAGQTLTITTDGDFEG DTSRIGCNYPHLATSVAPGSRILCDDGTLQLDVTACRSNEVDVKVLNSHVLEERKSMS LPGAKIRIPGITDKDKHDLVHFALPYAVDIVSGSFVRSAANVRAIRACLGDAGKHIRI HAKIESLEALENLDEILAEADGIHVSRGDLGMELQPEQVFLAQKLIIRKANIAGKPVV TSTQMLQSMTKCPTPTSAECSDVANAVLDGTDAVMLSAETAKGEFPVQAVATMSRICI EAEGSLNYSRLYAKTRDATPRPVSVCEAASSSGVEMALDVQAKLIVSLTDSGSSTLKI AKYRPEAFVVAVTASAHVARQLAGLSRGISVLRAMTGGTDDLILKAIEFAKSKGWIDD GDMVVVLHGLTEDARTSVVKIIQAHAHGYALPLHQPNAVSRLQ H257_07361 MHSVNQTLSPRSRLVLGGGWGQRPRSMSAELHETNTTFGDVPKY KLEISESQILDRDFTRHRERKTKIICAIGPSCWSVDMLGKLLDAGMNVARFNFSHGDH TVHGTALANLRAAVAQREHCHCAVLLDTKGPEIRTGALKHHTPIHLIAGQTLTITTDG DFEGDTSRIGCNYPHLATSVAPGSRILCDDGTLQLDVTACRSNEVDVKVLNSHVLEER KSMSLPGAKIRIPGITDKDKHDLVHFALPYAVDIVSGSFVRSAANVRAIRACLGDAGK HIRIHAKIESLEALENLDEILAEADGIHVSRGDLGMELQPEQVFLAQKLIIRKANIAG KPVVTSTQMLQSMTKCPTPTSAECSDVANAVLDGTDAVMLSAETAKGEFPVQAVATMS RICIEAEGSLNYSRLYAKTRDATPRPVSVCEAASSSGVEMALDVQAKLIVSLTDSGSS TLKIAKYRPEAFVVAVTASAHVARQLAGLSRYTNYASVFLNVVIGASRCFAR H257_07361 MHSVNQTLSPRSRLVLGGGWGQRPRSMSAELHETNTTFGDVPKY KLEISESQILDRDFTRHRERKTKIICAIGPSCWSVDMLGKLLDAGMNVARFNFSHGDH TVHGTALANLRAAVAQREHCHCAVLLDTKGPEIRTGALKHHTPIHLIAGQTLTITTDG DFEGDTSRIGCNYPHLATSVAPGSRILCDDGTLQLDVTACRSNEVDVKVLNSHVLEER KSMSLPGAKIRIPGITDKDKHDLVHFALPYAVDIVSGSFVRSAANVRAIRACLGDAGK HIRIHAKIESLEALENLDEILAEADGIHVSRGDLGMELQPEQVFLAQKLIIRKANIAG KPVVTSTQMLQSMTKCPTPTSAECSDVANAVLDGTDAVMLSAETAKGEFPVQAVATMS RICIEAEGSLNYSRLYAKTRDATPRPVSVCEAASSSGVEMALDVQAKLIVSLTDSGSS TLKIAKYRPEAFVVAVTASAHVARQLAGLSRYTNYASVFLNVVIGASRCFAR H257_07361 MHSVNQTLSPRSRLVLGGGWGQRPRSMSAELHETNTTFGDVPKY KLEISESQILDRDFTRHRERKTKIICAIGPSCWSVDMLGKLLDAGMNVARFNFSHGDH TVHGTALANLRAAVAQREHCHCAVLLDTKGPEIRTGALKHHTPIHLIAGQTLTITTDG DFEGDTSRIGCNYPHLATSVAPGSRILCDDGTLQLDVTACRSNEVDVKVLNSHVLEER KSMSLPGAKIRIPGITDKDKHDLVHFALPYAVDIVSGSFVRSAANVRAIRACLGDAGK HIRIHAKIESLEALENLDEILAEADGIHVSRGDLGMELQPEQVFLAQKLIIRKANIAG KPVVTSTQMLQSMTKCPTPTSAECSDVANAVLDGTDAVMLSAETAKGEFPVQAVATMS RICIEAEGSM H257_07361 MHSVNQTLSPRSRLVLGGGWGQRPRSMSAELHETNTTFGDVPKY KLEISESQILDRDFTRHRERKTKIICAIGPSCWSVDMLGKLLDAGMNVARFNFSHGDH TVHGTALANLRAAVAQREHCHCAVLLDTKGPEIRTGALKHHTPIHLIAGQTLTITTDG DFEGDTSRIGCNYPHLATSVAPGSRILCDDGTLQLDVTACRSNEVDVKVLNSHVLEER KSMSLPGAKIRIPGITDKDKHDLVHFALPYAVDIVSGSFVRSAANVRAIRACLGDAGK HIRIHAKIESLEALENLDEILAEADGIHVSRGDLGMELQPEQVFLAQKLIIRKANIAG KPVVTSTQMLQSMTKCPTPTSAECSDVANAVLDGTDAVMLSAETAKGEFPVQAVATMS RICIEAEGSM H257_07361 MHSVNQTLSPRSRLVLGGGWGQRPRSMSAELHETNTTFGDVPKY KLEISESQILDRDFTRHRERKTKIICAIGPSCWSVDMLGKLLDAGMNVARFNFSHGDH TVHGTALANLRAAVAQREHCHCAVLLDTKGPEIRTGALKHHTPIHLIAGQTLTITTDG DFEGDTSRIGCNYPHLATSVAPGSRILCDDGTLQLDVTACRSNEVDVKVLNSHVLEER KSMSLPGAKIRIPGITDKDKHDLVHFALPYAVDIVSGSFVRSAANVRAIRACLGDAGK HIRIHAKIESLEALENLDEILAEADGIHVSRGDLGMELQPEQVFLAQKLIIRKANIAG KPVVTSTQMLQSMTKCPTPTSAECSDVANAVLDGTDAVMLSAETAKGEFPVQAVATMS RICIEAEGSM H257_07362 MISVQDTLRCHWASPSDVVLLPSLVKSFDATTLPPMSLQSHHHP HHQSLPSITSLLHRHHQSDPPSPDTGCHSTSPQPSLYHAMAYQTLHNDDATCSPNSVA CSPHQLLSTHKPRWRLSKYCTVESCERVSQRNGLCHRHGGKRSCKEESCRAKDRGNGY CIRHGGGRPCDVANCGKKARRQGMCTQHYRVVHPN H257_07363 MSLGLQIPDNKLSPSTPPPWAHRANQSIHYCKEHFTRHLARLHD QSPLSWHYHAFMLHPLSRFRRFWDTVLAFAVIYECWLIPFTLAMTWWTPSHPVEGLHI SLDIFFVVDLLLNFRTAVVVYGELVQEPRDVAKIYVKSWFVVDFISVFPTDVVVAALF PDNSLSSTSTRGVKMLKYVKLPKLLRLSQLVRRFRRFQRYEGSLTILCAFVFVLHIAA CIWIAVVSPCDEPLSRDLAAGFCNPNAVFDVYSLGVYYTVVMMTSMSLDVVFESDNPL CGRYRESSNSTAVIVPAATDPLPSALLALSTGYAIVGVILNSVVIGSSVFVVESWNRA GYQFRKRIDMINHEMEFLQLPEYLRMRIKAYHQYLWTHQGSATEKVTLLQDKGMSEPL RKEIAVFMYRDMLSKIPLFETASDQLLGFVCLCLTTVIFLPQDKIITRGEVGKDLFIV ARGCVIVLAGDVVDDSTITKLTLKQKQQQSNISIVPPITRLSSVTASSKVVSASSSKY AQGNDGHGGDVVLLEGSFFGEMGLLMEVERTRTVVAGSICELGVLSKKDFTLVMKQFP SFANEIKRLVAERSHDPLTRRDTPTNPSGKRSMSRVKLTSLKANSAPPPLRRRSTLAA LLNQHSYSRKQSATTTRARSTRTIRSTSSRFATSFPDLLHGTSATSEHLHRLDAKLDR MEKLLQELLARPPTTDTAFS H257_07364 MLATDKTAGTTKWNHTHSLGENTVPLFERERRQDTQLVQRLQAE LTETKRLLETQTRRQNDLEYVNEDLERRLEYEARERINLDAMKADDEKRWVQERLHLE EERKVWERKWDDECKRRAIVEERLRRAEKELYRMHQKKYDIEKTVRREESEKRKHEVY IVRSIEKDNNNLYRPRQSGASSAPPSQAASAASYLSIAPPPSSSSTSMLNPRDAKPAT VRQRQALSSAMDFFGL H257_07364 MLATDKTAGTTKWNHTHSLEGENTVPLFERERRQDTQLVQRLQA ELTETKRLLETQTRRQNDLEYVNEDLERRLEYEARERINLDAMKADDEKRWVQERLHL EEERKVWERKWDDECKRRAIVEERLRRAEKELYRMHQKKYDIEKTVRREESEKRKHEV YIVRSIEKDNNNLYRPRQSGASSAPPSQAASAASYLSIAPPPSSSSTSMLNPRDAKPA TVRQRQALSSAMDFFGL H257_07365 MLKDGALVLVGVCVALQVQALLRVIRTKKSSSSHDGDANEGVDL ADPIKHAHRHHSWSDKELTYFEKDQRSTGMLVLMRHGQSVWNRKPARPDFVWRYAGTV DIPLSEVGMQEALEAGHALQHIPLDLVFCSQMDRARTTVALALSSHDSGKTPVVVHTS PLSAPVFDDLVEPHPTRFVLPVYVTSALNERHFGSLQGVPSTQHPPDIGGAIRNDYRL KFPGDKGESCADVEARVMPFFVACIQPHLAAGKNVLVSTHGFVIRTLIKVLEGLDDAT YLAHMAMEKSNPEACRLLAPTGVPLLYAFEKGVDEDGGRLVPVVTENRRARARSIARS LSKDNMVLDT H257_07366 MRLTAELILSARAHINPLRERELDLRGYKIPVLENLGATKDGFD CLDLSDNEIKKLENFPRLKRLRMLLLHNNKVHRIQDNLAESIPNLSVLLLTNNSIANL TDVDTLRCFEHLDILSLPHTLSLVGNPVARKSYYREYVIHTLPHLRVLDFKKIRPVER EEAVRLFNSLAGKKIVEGATADDSTHTNDAVALPASPPAAPAGRPTLTQLKNAIAQAT SLDEVNRLETQIKALSPPRHDQSPPPATSSPPRVVVTAPSAPVSTTSPRNQAAPLSPT KKEAAVVQSPPRKKAAADATPIHPVIAPTVVSPKHEVKHEKTPVPAVPSPKQSPRKAA AMPAHAPSEDMEVDQAPPAAVQTPVKSPASKRAKAASTPASSMKVIELREELKRRGLP TKGNKAELVARLESANE H257_07367 MLPRTLMIVPRRLAASWRRRTLVHRFPSIQALPTMNVPSVCYTS SNSNAHQSPARTAEAANTMSIATSHDQQYLSFYKYTIVDPMDLPRLRKSMLQEWRAMG VLGRVYIAEEGINAQITVPVSQHTAFRAYLRNHPHPVFHDVFFNSGGELTDDNNDRQP FHTLHVRVRPHLANDGLTTPLDMSNRGQELSPSEWHDALDDPRPKRVLDCRNYYEHDI GRFDHAERIMVETFKDTFDTLDAMLHDTPKDTTCMIYCTGGIRCEKVGAYLTQKGYTD VKRLEGGIVHYAQYIKQAATITSKFKGRNFVFDQRLSNSNTAITDDVLAHCYTCGDPT NSHTNCSNTLCHSLMLQCPSCATLLDGACSIQCQNMKYVMDKQPRDVRRKFAKQYSYQ FQTHLVPNGRLRRPGPSTPRRLHGRSFSTYAVAPSDDLNAFVVDHAASGDKQMLEKLR ELRAAIDSDWPVASTAKMIPEAQAVYMQFMLRSIGAASVLEIGSFVGYSALAMALALP DPRQLVTCDIDPLAHAWAAKYFDSANIPIDLRLQDGLQAVESLAADKRQFDFVFVDGN KASYATLYDAIVRHKLVAPRGLVVFDNTLFRGQVVLHANGRGHVKEKIAAKLLAFNQH VAQDPRTQSVVVPLWDGLTIAQLVEN H257_07368 MAIDPTTYSKAWFDRSTSAGRRRCNISRFVVPWNNAYITGLHET IMIVNAVQQFVVPIKSIPAQGSSVWTSIVASIGMVNLLFYASMFTVSLVRSDASHFDQ RPPQPRKVGTVLLYSLESTMLHEFLGPLAAVDLISPPWLVRKHIC H257_07369 MACRRPLEVGILYDVAGKPALMLGGHYFGGEVSIIYLNDTHVLD LETLGWDETFIFGGKGAKGALYRDMFYLDIETWHWCSVNWTTESPSERPGRRKQTGDF CGYSTVHFRLLTEPQ H257_07370 MSLWATIYTGLAAVATAQVTPSSCLSWTDPTSTFSTTAAAVGMG VISTRLTLHDFTDMLPHPIAECIGSVNASDLLAAVMPSLKDPVCATAYVSLLTWKGEV SSALVSVAGEVLTDKVFEAICAPFVDVIPCLESVLLQTVMPLVTSQPCCSSVLSDVAR NFGAPLDVIVSKATRLVADVVCSTQSPGFYNQSSQTCAFTLLESFLAPTRKDPSVLFS NTLNAMQVPNDQGVAAMSGKMFKGTLNITKPALFTAPFLPGSCVRPANSLLTWVRNFP ILQESTYGLSLSQLFNDNSCLPGGPLMSIFTSGDSPAMLGMKAMVRNNASCFHLANGY PTDDFDAPALTLFTSTLHEIALAKNPATTTPPTSTSPSSFKQESNAAFQILPSWLVVA STTAIICSVIKL H257_07371 MSTSLGHYGAVSLDIKKAHETSNKPARSAVAHSLILQQEAGEAR CIPEAASQKVQLAITSSIIINVILAIAKTYAAITSGSLAVLSSLVDSILDLTSQGLFW FSDRYMHTPNAKYPAGRRRLEPIAVVVSATLMGMAALEVVQKSVTTLIEGFGGQLPEL DMSIPTVAVLGIAIIVKLMLWFLCGQIAYVSPSAAALAQDHRNDVFSNAVAVTTSLVA HYNSELWYFDPIGAIAISIYITLSWVATGKEQVERLVGLQADQDFIDRVRTIADAHHP KLQSDIIRAYHFGNNFLVELEVVLPKDMSVQESHDISLALQIKVEALDEVERAFVHVD YQSRDYDEHKDPTARLD H257_07373 MSLSQSWTLDNSNNDVAAELHQVLLGLPGPVFVTAGDLADAFTF RVTTDTQAVLDNIELFTEWGSPLLQVTAASFDATKFPNATFLGELTVPSHRLQGIECA GNGACIVATGTHTVDADLSLKVSGSGTLFVSTNDITARFIKVENEGAGNVQWSANRVA SQSLKVSTTGSGSVLISSPAEIVPQAVDVQVKGTGSVYFGGKPFNAPTVSSGVSGTGN ITFLPTAVCGTHNISISGVGGVYAGSLKAQNTSVSITGGGRAVVKSVNALYTNGAGDV TYVTPKPAIIEAERSLFNKGPVLTTVNFYAPFASLQLPAHEATQVGVKVLATQTPTTA VPEFSSVPSTAGETTGSLAPKVSQGAAPSSSTDVAATDFNGLLWFVGGLGLAIVVVVV LLRRHLGNKRKEYTPV H257_07372 MTPFLSEINEDMLRQFEDEFDAQKSKVEQAREMLKHKKSLEIQK QVESMRATVANLDKDVLESRENRKSLRNRLMERKALMKTHMAEHQELRRCTWNVERTH QLEGAAQRRLDLERLQDERKRMESLLDAATIELDAIESEKRRRQETVEVQMKRILDKG TVLKQQLEDGHLDIPEVRRTIQDHGHEQFVQECHGLRRLQQQQRVLDQHKRQKELNVE RAKLVADEDILRQQMHLQAADPTGLIGATECDDCVDDVVAQACQILATGDATLSALQN SIVEKTNVVNTNAAAAAIPPAYNGSLGPQSYPPWLEASREMAQSLIMEVVTALPLSMF ETMRSLKQQRKQWKCTQRRLGRAHRRLVTATAIQTFHQTLVDDVVDDMLRDLHGEFIS VTRRVSLLVTTSIVKTLASFREQTSTSAPQTTQLVSAMQEMQRRRNVEDGKLHTPGRL FPRHILTQSLVEMLPVGDVPTGGTKKKWFGGKGGDTESAKSKPLRQPPTTPPHLKISR QVLIDAIARVNALPDLVALEASCWKHVLFQPVANLVMPITAVCVHFCRVQNEFVLCAG GAKGELALVDVGTSTVLRQRLDPPQPGRTASIQSFGSQFLVYAPFQLKLWTSRPVKGM ALSVVFNLTKADLALPGRELQDVTTGCFVPAASLSGTSSSIVVGTADGSILRLNRSTD DVRPVFGASSLESPNPNPLNNSRQEHFQFHRAAIVFLACVGTTPSSLTILSVDVTGIV CHWTNANWTGFGWFEPAQSLQLVGPGVVQSAQLTTDSSRLVVFTFDAATRQGVFIQLT WQPLLAAMSTVIRIGCMSPPPPFTILPPLAALSRTACDSVLVMGSTLAMYSLGTGQVL TPASASSMECKSQAVSVTCSDGYVVGCGANGKIMAFQMQDLSSIDAVHAARRLAQPFN HQTQRQSRTIVRVQHAGDRNMVRAMVVSILDRILVERWQPPPQETVVSPWMSA H257_07374 MYTVAWRLVVLFLLLACRPVMASIFFKQDTVEDSSLCGEPEPRH TGFLNGLYYIFYEARVRSVVAESVPLVIWLSGGPGCSSLVGMLFENGPCIVGEDSPTT ISLNVNSWTQAAHMVYIDQPRGTGFSPARASLRSWSEATAVDDLVSFLDEFYTAFPTF ASNELYIFGESYAGHYIPDLAHELLLRNSRAFQNLKGIGIGNGLTSVEALFDTLGPFV KSVNNDILLTEANDYVESCEEAIQACQDPAIGGQGDCSKLPFAGDSVRSLVKQRHGPG LSTRAQPLQPRPQMPFRHVSAMLPFPAIVHICELPSDDGQAGDIWSLVGPLQQRCVCR SFGQGLFRRKRRQSGHVVGSWHSSAHLCWRQGPCVQLDGPRQVDTRDDVARSRRIQRK CHVRIRFQGRKAWPSPIGARADIFSSVQRWPYGATGSTGGRARRLPKVHHQPSSCVES LHFVLIS H257_07374 MYTVAWRLVVLFLLLACRPVMASIFFKQDTVEDSSLCGEPEPRH TGFLNGLYYIFYEARVRSVVAESVPLVIWLSGGPGCSSLVGMLFENGPCIVGEDSPTT ISLNVNSWTQAAHMVYIDQPRGTGFSPARASLRSWSEATAVDDLVSFLDEFYTAFPTF ASNELYIFGESYAGHYIPDLAHELLLRNSRAFQNLKGIGIGNGLTSVEALFDTLGPFV KSVNNDILLTEANDYVESCEEAIQACQDPAIGGQGDCSKVTVCEALSSNVMAQVYRQG LNHYNLDRKCHSDMFRLCYRFQPLYTFVNSQATMAKLGISGHSWAPCNSDVFAGHLDK DYFEESEGKVATLLDHGIRVLIYAGDKDLVCNWMAQDKWTREMTWHGHDEFNGNAMSA YVFKGEKHGQVRSAQGLTFFRVYNAGHMVPLDQPAVALDVFRKFITNHPLV H257_07375 MRTHVLMLWCLASICYLTQGHNAVANATSLCGETEAVHTGSLNS LYYVFYESRIVPSDHAPLVIWLSGGPGCSSLVGMLFENGPCLVGEDSSTAKVNPSSWT NAAHMVYIDQPRGTGFSLPRQDREDWYESDVAVDLVGFLDAFYDKYPSLEANDLFIFG ESYAGHYVPDFVYALLHRPASVANSPHANQIVNNLKGMGIGNGLTSPSAMFSTVGEFA AGISKGQLTAASPEELQKCHIGIQRCQEAAQGGFGDCSMLQPCDNIMANLLDQVRGAN LNYYDLRAHCNDDDAFHLCYRFSPLYDFVNAPSTRAVLGIQDKTWTPCSHDVFAHYAK CDYYSESETKVANILDHGVRVLVYAGDQDLVCNWKSQDKWTKDMAWAHQADFVKQPLG KYMYNNTDVGELRHYNGLSFLRVYSSGHMVPLDQPEVALDMLERFVSNRF H257_07376 MSDLQPACKAFQEHVLQNDSTVLPAHLKQRALSVYHKHSSDLLH ATALLLADDDEALADAVCVYFRPYILELCAHLLQVKLNDAHEVLAQTFARVLHTTTRV WPLVKPYLESTKSFFVQLASLSTSRQRRAATTAKLFLQVKPIECKVLWNWTPFFALCN STDHVTQQNAKIAASILLHMDNSTRNAFLTPQAGTTHASSSTDSQHLALPASWSHVMS VAKSLPPTLCNVCGIVVPFKATSGAAGPTYPPLIETTSTTHALRSLAIALSVERAILV TGTDGCGKTALIRDLARRTGHTNLVELHLDDQMDSKTLVGSYVCTDIPGEFSWQPGAL TQAVTEGRWVVIEDIDRASMDVLAALLPLLTTNELMIRGQAITASPGFQLLATSRKSM AAMPKGFPSSLWHHIHLTPLSMDEIQLVLVEGYPQLSAAVVSQMLETFRVVSQESSRA IRQSYGRQFSLRDMLKWCRRLQTLLGTIEAQHFLTQERRESIVREAWDVFCMGIRDPV QRVEAAVAVANLWQVPSEVVEHQLVNHRPVFTSHHKEVQVGRVHLSTMFTHQSATTGH QIPFVLTGHSLRLMEQLAATVATHEPTLLVGETGCGKTTLIQYLASALGQTLVVQNLN VQSDSADLLGGYKPVDMYQLARPLYMDFVALFGATFPSSSNAGFLQVIQKAFDAKSFK KMSQGMLKAVKMADATTKKQKTSTTTTTTAHQWTAFQSDLSRFIRQHQQVESSFAFAF VEGQLVQAMKAGHWILLDEINLASADTLERLSSVLEGEHSGLSLTEKGDVDLLKPHPN FRVFAAMNPPTDVGKKDLPPSLRNRFTQIYVDECVCPRDLTLIVNHQWKEIANAPIAD TVEFYLQSRQMAVDVLNDGARQRPRYSLRTLSRSLLMTKTMLQKGYSVQRALYESFSM GFATQLDASSRVLMVKSIRKTFAPNLKQKELDHPPPKPRKVDDEFELISSYWVPQGTL EPFDQAIADPVTNLKKFVLTPSVELNLRHVARSVVIGKYPLLLQGPTSAGKTSLILYV AARLGQKCVRINNHEHTDIQEYLGSYVSDKDGKLTFQEGVLVQAVRLGWWIILDELNL APSEVLEALNRLLDDNRELFIPETQTTIQPHPRFMLFATQNPPGLYGGRKVLSRAFRN RFIELQVDEVPPKELQQILQERSALPPSYCSLLITIMLDLQRIRAQSSVFAGKAGFIT TRDLLRWAQRQPTTKQKVAEEGYFLLAERLRKDEDKLVVQQVLEKHCGATIDLDALYN GQPDLSQVIGQDEPNLVWGTPEHFAQVQTKLSATDGKGNNSGLSSISITSSLRRLFAL VGRCLQHQEPVLLVGDTGAGKTTVCQLYSLLFDQSLHILNCHQHTETADFLGSLRPVR GKDAVLNQLHTLLQQFTTLATSFDVDTSALDAVDTTNVMQLFPVLEPLLAKTQHIDDT SLQTVVQSLLKLKQRAIALFEWVDGPLVTSMKGGDLFLVDEINLADDAVLERLNSVLE PARGLVLAEKGDDAEHITADPKWRILATMNPGGDFGKRELSPALRNRFTEIWVPSLSS VSDLAIVVRDRLPAASVHLAPSVLQFVQAFNGHFSLHGWKVTLRDLLSWLNFMHVSTL PPSMAYVQGAALSILDGLGLGSTQSLHAATSARTTAYGLLLASLPPPVPDVLPSTQWE TQDTICGVSPFFIPRGPQAPVPLPFSLAAPTTMKNLQRVLRALQVSRPILLEGSPGVG KTSLIHALAQLSGQTLVRINLSEQTDVADLFGSDLPSTDPDATSPFTWCDGVFLRALK AGQWVLLDELNLASQSVLEGLNACLDHRGTVYIPEIDKSFHCPSTFRVFAAQNPLRQG GGRKGLPKSFLNRFTRVVVDTLANDDLNIIATALYPSIEPSTIEKMIAFNALVHQDTM VQGSYGRQGAPWEFNLRDVFRWCTLASTLTTPSVTWYIPMLYTSRFRTVQDRVCLERR WRQVFGDTATSDDVPPPLFHITPDSLQVGVAVLPRASFSDLSSLPPLLTQWLEPTEAL MHCVRLQWPALLVGPSGSGKSAIVKLLASLTGHRLHELGLSSGTDATELLGCFEQVDV QRRVQEVQTELSYAVQKLQQQCILQQEFASVAQLADAEYAVLERQRNWKGHKSELDPM TVTLLQQLLALVVQVVDKHTAIVLPLSLDSIQEKLDSIKLLASTAGRSSCFEWVDGTL LQALEAGEWLLLDNVNFCSASVLDRLNSLLEINGELLVNECGVVNGTLRVVKPHPDFR IFLAMDAQFGEVSRAMRNRCIEIALLPPNVISTKSNLDMLSLMQSVSSIQFPLSIYHQ FQTFHEDMMQQDRSISWRHAYNWSQLTQAYVDHGFATQQACQQAMLDIFGIAIDLVWP PEPHYAPPSLSTDVFVRDASAGMTRLQSRLALYLETPNMSNNAVLDVVQLLCGAAAAH WPKSLLLSNHESWTECAKTQHSDELATAMLPWAIYRSGQHDGFASPLVQHEFAKYLAD ESSLQHTWNVLASHIKALNAAMPGLDIHSLYGNRPAREILRAEVLQHGDDTTKALWVK VEAVLQTLEMLESTWWHRYEEQTLLGIKSSGEKQSQSKKSKREVKPKAGHTVLNQSYQ CFLHGSDAVDVDNDVVPVVYPFLSALDTCVHEFVQRTLHHQLTRHQVSAVQSVLHLRF AWSHVLTTEEASVSFPWHSFLVGWKWLVKAWQAFGELCVTDLTTSVVHVHDMVERMEY AIATCIGCARAKDTLWKRGGHRQLPPCLTTWTAISAVEKLAAACSNVPSSVNQLSLVD LLCTNNSVFQFQPALVLSMPPSYIQEVLHALTTYAWFIETSGQSFDTLKQLPDALRHQ LETIQAKYVANHSHLLVYLQPQDDDDDVNQDATVLLLSEDESPVIASWIQIQLAPLRE FRVLELELHIVSTLATLLVEKSDAEFAATVQSLVRNLEAFLTLQRQVHTRSAVTLVPH QDLLWRAHKYVQDDGVVVVTVADHAEFRDVVAKHLNSAMVYFHERLWNSSVNSLDAIS SRVYHTDADAVTLSKVGGFLRLFQAVETTLAMQYLESSSKMPIVDVTVSTTKLKQAAD HWAIAHQAPNLFGWSHDYLWSLVLATLYIFVPRSHQVYDLLASYIQESSESIPTIVQQ LQAASTDTRFTLSLPVLEAFLTLYHSYKEHALLRHGVSWTLVGLWRFGLLTPSSPLDP ALIPLIKRDFLLGRMAQWAVHHTVDACMTNLTPQDYSVRDPQPLSDKIDKLQLKAIER PCDKNLFGDLFQDIVRFATSIMTKKVVHWCQHVDDDDKNAAQLVREIKMFQQTTDSFV ARLQAKYTDYRDVVEPVIASVYHAKDGLSLVAHVLEAKATAHVQTLARTVLCMPSPDT HHATAEVLLKHSDTLNEHHVSAVDRLTAALNQVELHYRNQASLRPHAHHAMVDTAPAI FDAFLQLWSENQAKLEREKEQADALYKFKTRTLEIESEEQLLEKEYRQQFPDFAKAFS DLLATDTLDGANPNSEDEKEPSPLPDHVVHFVAACHERLFVHTWATGTSLKSAWIKKY ALGFHLKPSLNSLLDAQLDTDTRGGHLVYTQHVLDEQAACDTVPFLQLSASYVANIDF HRDPHVKEVVLVRKPLQRMMLRLQSLLIQWPDNAILQKLLLVSNRLRQMSNQVPLAQI LVGVELLLKNAQEWQAIASKDVSIQEEMAALSALVVRWRKLELYSWPQLMVIKERSFQ LEARKAWFHLYSLLTAKPEIQEVGAVNLNWMVVPAPLESSWLDSWRYKLFDTMEGFLR SCTVGQFQTRLVLLYSFCSQLFAAHYAETSAETYRLACMMYHLYRYYAQHLTYNLHPM WARLRQPIQTKLDEFVKISKWDEQTYYSLALSAEKSHRKLMKFVRDYEEVLNMPMQAF LDRVIDGNITSEKYDGIQALQSTWIELKTRQDPIEVDDEDAEDVEIPIWRVVAVPHID KSATFDLPEALTQVAESNALRWLLQLPSLSRKIHKFATHELLSDKTLRVNQQGRHLSE ELCTAVLLRIDSLKAETAPKGAKKKALIDLLAELKNQGWSTLKTKTPPQQAHIQSLLE LEIPRVEASLRLHASAHPSTADDPLPIWAQADSYYYRYLAQLQALRYTVISGYNKDIS WSEVDKMSGYAENMLHSILQQRASLESMAHTHEGLLQHLHVLTQGPSPWIHAQETLKK WHAQQTEDLLQLLQWVDELRLAHPHHPLLETSKGLLRQCGKDLKRTQLPQTSGVPTRP MDDGNFDTSTSYGFQDKSPSPIVGFSPEVLARDIQLLNPEPLLKNAREIALICAHVRA VLVETSLTSITAPLAAVEQRNLEWVQSHQRDDADDVESTTFTDDQVEFVTSFAQRLNG VVESVLVSIQKACDYVAHDGKVDETPTLHKCQDYLLELVHSTRVNHLSTQMDELWQFL KKDMRSEWSHVYQSCVRWMQALLPSWKLVVAWHQQLLSDVVYVHKSMSKCDYVMIRIF RTLLSNGFCKAPEESEEKDGGGNMNFEDDVEGTGMGEGDGKKDVSDQIEDEEQLLGLK GDEPQEPPEKKKDEDQDKGLEMQNDFDGAMEDVPEDDDKDDDDKDEDDKEELDREMGE FDEDNIVDEKRWGEDSDDEDDNIDKEKEKFDDQSKMDEGEALEDEVRGKDGDDDEKDK KEEDKPKEKPPADTAGNDDDDESKEDEDGNMPDDDGVNEDTEDKYEDEHEELAPRDAL PDDEEQKKDEDEFADDMNLDGEDKEEEEAADIDETMPDEDQPEDGEDGDPTADPDQND DDEETKEDEPEAVPLGAGNEEQEMDVPSNDDPETDKTDDQPPPPPHETEKNEESKSAG AVAGVESKDGQDALEPQDRDENNDDDDDAAEDADRPDDEETTTEQQQGAQSNPNGSEL KPVVGAESQQEQSRERKDLNPYRNPEKAQEHWRRRMEILDTNQTQNESQANEKPDDKD EQGGVGEMADEDDKNVDLALAPTDDAAVPEGMMEEDEDDSNDLDTDKKDDDDAAKQPE PVKEDKPNDQPKAAAPQDPLDAKPSNKNKPDGLTADNVDVEMDNPDDDDDSENDLASR DFDMNADEFAPVVASGVDVGDKDAQTELLEADEVDIDALRADLDASMQLQTVDSIERG TALWSTYDQITRAGAQRLCEQLRLVLAPMLRSRLQGDYRTGKRINMRKVIPYIASSFR KDKIWLRRTKPSKRAYQVMVAIDDSESMADNHAGRLALEALTTLCKGMTQLEVGEIAV VKFGEKVNLLHPFDMPFTDDAGARVIRAFQFNQTKTHMVDTLEAIQGLLNQAKQNASG HGNTEITQIVFLISDGRFDKDGRTKMQKLVQAAMEQQQLIVLLIVDHPKDGQGICDTQ SVSFVKGKVEMTPYMDNFPFPYYVIMKNTTMLPETLCNALRQWFELLQGSD H257_07377 MDDDEAFNFGGSNASVSLQTHNEIWTDIKHICREIGKPKGKRGP ALIAFKGMITSLKHQQVLHASGTWSFVIAALVQVLDEDVSAIVKKKSIAKKEIKLDLE SMMNDLLDYAHTHTSPASSTAVLDRDSVGLKTLRSLVSSCGSILAKTSLENTAAEASA FRIFDKLFKFRAYCRAVSDSHLKMVLEKSLYLMNDRTAKNALVASKIALNILTYYQSD LHPKLPELMEYLHQWFLHASSDGNSSRTSQSTYATNIPGDTVLTRNVLSCMVLLMQQY GPHVTPFLSSHEAMSYVQRHLNDEVLALSQEPAEFLIMYYRLVHASAAPPTSVQNRQL KQLHASCVLDDKILTNIVRHIAHNATNVGVMEKSYLWLSVVADVVFYMDQWGVGELLH QHSVTKRRRHMTDLETIVGHLQGGDHSIPPVLPVSQMSSTQQLKGMTLARLHWLMLVQ ALLARHGHWLVGHQLESLQALANVLIQSLNDATMDGHCKEQTLHCLLALSLYMRPHDD PTRWRALWQTLVSDFSIRNMTKCDVVLQLLSALVALEYVPMATLERDLGAILTFPAFA SPSVYATLLLYLIQTKVDTDMCDNAWTRQEVATYYMSTLAHQIFAPDGLGHAAPVLVA AIGSVFADGRTFLDCTPYLVSSFWVGCVTCDLPPDCAKSFSKRDADLFEVPPLAHLSS LAGYFARHSRTKDSPSAFAASRIAFPPYVQLQITLEPAAYLTARRADVMYSTVKSQSG RSPAVVLDEMHRLLTDVVEKCDTSSSDQHLVYVEALMNVGVVIAGVLDVYCLDANVLM KNVLTAVWSRMSKWMASPNAVRLLHKLLCMLQLLGRSVSVDSDGKGKFPPSCRPILSF IGTNVEEFITNRHNADVPDVDDDDIDTSRWASLSQKHNLSRLCCLRIHHLLQFEHRRT ASMSSQSAKQEDTSQLIRLVVEQTYMTASECLALVPSLWRPLTNEGLSTIVFLLLRAL PSHPVDVCQALEGVAAVVSRQQIKSASASYDLGLVLDALLKLRKPRKLRRALVLAYEQ LFVMDVDQFVQFSGFMMASLCDQDIQVQVASISVLQSAYAKYDEGVVAIFNDVEALLK EKGRHRFVSALACFISAASADILVSRVLALYSLWFDTDPELFQACTVALAQHFAYPSP LAMLEDVCWSTLCHYVELGPQLRAKRNVWQFPMALFGAQLPRLADSSVVPSILTLLVL LDEANTDIHRSLEQVQTDVPNLAAIQSSVRVVLAWARRLEPSIPTDGHSTLVVLQYMM HLNAYDPELYPLHWPTLESMVRPLPVNLVDVVLAVHAWVKEHKLESFASEPIKFLCDA VDALSKSACNGHALSHRIVLHVLVQHVDRFDVAGALKRVCDLWMTRDPDLFGQNLNHL IRDLVRRYAALPVKVQEVVEDIAHAIARVPKLGKFLATLDPFPSQISPGLNQLQRSHN VDEPVMIQTLAGKLTRPPLQSTPLTMETLLSLQDIVAANTDDHHIPTIVHGLLSNTYQ VAVREADSAKQVQLATCVGLMGAVFPADLLSHDVARLDVLYLHLFARPSLAALSQAKD PWLELVGKLLECLLLLLFDSDGRVVHTAHDTLKALLQLNDIHSAVNHMKSHAHGPKAF LQNFFPAATTVVRALPTRRTTVPRWAPVDHPSFRHWICHICSVLLMQSPDPILGACVD VCSVNDRLAIFLLPMAIYSILGTDAPDLQRLEQLILQDATSLPPDHGQVLVQTINYVR HLQTMKSGQSVWALPYMQVAELALRCNMPYSALQYVELHLEHTFGTIAPDQALAPGVA NILLQAYKQVNALDAIDGVRQVGHSLSDQLTMYTLENKYSDCLPLYDVLARQKGAGYQ KGLARTLHALGYTNLLETYLTTHDSSEISKFQYQMAWKHSQWSLKVPTAEGSSTAKFD GLLYGCLKSMASNDGAQFQQLVVQAKASILIRQNVSFTGLEMTKTLHKSMVQLETVAK LEAVWKVKEEQSQPSILGSSVADVDAQFHELAGNWSRRHAVYADGSFDTYSTLLQVEK CCLTILDGAAYLPAWQLKLAKAARKANRVAIAFNALSELEQTPLGPSDHIAYLMEKAR LYYSINERSRALEIAKDVHRQLTAEKNQGLALAQANSTIGKWLADMKAERSEVIHTTY LSAATHIFESMSAETQCGHEASKAYRTLADFTFDIYNQVKTRVESNEWKRGKKVADAQ EAELRLFDATSSAQKAHSRHGVLRKQVEFDKRERHAVESSVDRFLTSTLKNYGLSLRY AANGDMTPVFRILSLWFRHFQHTLVNDEMADIVQSVPSYKFIPLSYQVISRLGTTSTK SNIVQELVRRLATEHPHHTIVQLLALKNGSKRGTAAYRDNIGVLKTEEAGNVLNFVKR SSPMLAALVENMEVLCDAYITLALHDTKEYERRGLKKIALANILVGRDRVPFDNCLRL RKCNQSVPARPAVLTVAIKPRPDGDYSNVPRVQSFEKDFTVTDSGVHKPKIIYCYGSD GVRRKQLVKGNDDTRQDLVIEQAFDIVNSFLDEDPNTRRRHLQIKTYKVTPLDTVAGV LEWVDNTMPMGGYLNGKPVDAHMRYHPHEWKHVQCRSYLQKATDKYAAYLDIQQHFTP VFHHYFLEKYPDPATWYSRRAAYTRSVAVTSIVGHVLGIGDRHSQNILIDEATGELVH IDFGVVFDQGMTLITPETVPFRLTRDVVDGMGCNGVDGVFTRCCEETLKVLRKKGNAL ATIVEVFIHDPLYNWTLSPGRALQVQKDKADNDVQMLVDAADDDDDENVADLAARVLL RVKQKLQGYEDPTGEAMSVEGQVKHLIQVARDPHNLCKIYPGWGPWL H257_07378 MSIPQWTAAWRPDIRRLLHLLQEPIDASSSQASAYAVDELQVDF YVRKLHAHVYLEPSTERMKNAAEGVVRTLEFHHRNRHAARISTIFFGDGPQPRPSLRI PTRVACLLLELANSPLSITPTELDEIDLVRIACESRSSMAFHDEQRTRAATRALVHEL NELTMEDPWFASRNDDRDDDDTALWASDDDDDNVSTAQFEGRHPPPPPRTFAKNDPDH EPSSSTTDDGVDNDATKGDASSSVFSLTREPELPLEKESYRSTKPWLLYQGYAATLEQ HTTPLRFLLKTIVPEHVFVDHVLLALLGCTSTTFQATPTSMEARPFWSSMPFAAFSLA PSLPSVSHLTPACLYQSLARWSDVATSLSFIRAAAAHVGSIPASTLQGWSHALRALVQ DADRAVSTLMQLTMVETRRRPTLICLWVELQPLVDDAMWLRQVVLHMLEPCEHIQSPT TRHVTATVLSSLSGLLELYTVLDDTKHFVMLATWFRHAMKPYLARLDEFLQGHPAAVE LGFESVSIAKRGAFIQTLFQSIQVPPSTAPPSFLVNMSSLVLEAHAYTILTRPETTPT VLPASAHASDYPKPQTPPSTLPPWSQSYDHAHIPRGLPRHDMISTTTSTQPPSRLDWL PHSNADVGVPPVSFNEHLKTHLIEPLTAKCMVIGHVLATQVKLVWRVVDHWQTLRLFV LQYQPDTSSWLSDQLVQHIMVPTLRSRWRDSYALNALYRQAIAMASMSDPYLHDAAAR ITLTLHNDLPTTAPGITSLNAIGFHYDAPGPLKILFRSDVLSRLSKVGILLLQVQGVE RTLVSIKQTIMRKLPNVPAMHGHLVEVAAMLHFVKQIHMYFRQQTGSDMWDSVVDAME QATLVSEMNDAMEQSIAHMLERCFLVERHASVHEYLMQLVHHIVVYMACFEDILSEQL LQGNVDEAIAASGLAAAASKFKRAHGYVMIALQSMATAGSASHLHELVLQLNYNRMFP H257_07378 MSIPQWTAAWRPDIRRLLHLLQEPIDASSSQASAYAVDELQVDF YVRKLHAHVYLEPSTERMKNAAEGVVRTLEFHHRNRHAARISTIFFGDGPQPRPSLRI PTRVACLLLELANSPLSITPTELDEIDLVRIACESRSSMAFHDEQRTRAATRALVHEL NELTMEDPWFASRNDDRDDDDTALWASDDDDDNVSTAQFEGRHPPPPPRTFAKNDPDH EPSSSTTDDGVDNDATKGDASSSVFSLTREPELPLEKESYRSTKPWLLYQGYAATLEQ HTTPLRFLLKTIVPEHVFVDHVLLALLGCTSTTFQATPTSMEARPFWSSMPFAAFSLA PSLPSVSHLTPACLYQSLARWSDVATSLSFIRAAAAHVGSIPASTLQGWSHALRALVQ DADRAVSTLMQLTMVETRRRPTLICLWVELQPLVDDAMWLRQVVLHMLEPCEHIQSPT TRHVTATVLSSLSGLLELYTVLDDTKHFVMLATWFRHAMKPYLARLDEFLQGHPAAVE LGFESVSIAKRGAFIQTLFQSIQVPPSTAPPSFLVNMSSLVLEAHAYTILTRPETTPT VLPASAHASDYPKPQTPPSTLPPWSQSYDHAHIPRGLPRHDMISTTTSTQPPSRLDWL PHSNADVGVPPVSFNEHLKTHLIEPLTAKCMVIGHVLATQVKLVWRVVDHWQTLRLFV LQYQPDTSSWLSDQLVQHIMVPTLRSRWRDSYALNALYRQAIAMASMSDPYLHDAAAR ITLTLHNDLPTTAPGITSLNAIGFHYDAPGPLKILFRSDVLSRLSKVGILLLQVQGVE RTLVSIKQTIMRKLPNVPAMHGHLVEVAAMLHFVKQIHMYFRQQTGSDMWDSVVDAME QATLVSEMNDAMEQSIAHMLERCFLVERVHIALC H257_07379 MARTVALVFSSEYAAALSSLPVHPNRDCMTFSLLQHTGILSHLH VIAPDVASQSDLERFHTAGYVVALQGETSSTAAVMLEYGLVDDAYTFPGLFAYCTFVA GASLTAAKLLVSKTVDISINWGGGRHHAKKDHASGFCYVNDVVLAVDYLLQHVPSSKV LVIDIDVHHGDGVEEAFYFSSQVFTLSFHKLARGFFPGTGSTASIGRGAGRHRNLNVP LDDGITDDQFLEVFELVVQAVAEAFEPSHVVMTCGVDTLSTDPLGTFNLTATGLCWCL EVVKEMELPLLVLGGGGYNEADAARCFAALTATAVDQPLPQDVPDHDYFPKYGPHFEM RTTSVKSRLNKNTVASLEATCQHALDHISHLATTG H257_07379 MARTVALVFSSEYAAALSSLPVHPNRDCMTFSLLQHTGILSHLH VIAPDVASQSDLERFHTAGYVVALQGETSSTAAVMLEYGLVDDAYTFPGLFAYCTFVA GASLTAAKLLVSKTVDISINWGGGRHHAKKDHASGFCYVNDVVLAVDYLLQHVPSSKV LVIDIDVHHGDGVEEAFYFSSQVFTLSFHKLARGFFPGTGSTASIGRGAGRHRNLNVP LDDGITDDQFLEVFELVVQAVAEAFEPSHVVMTCGVDTLSTDPLGTFNLTATGLCWCL EVVKEMELPLLVLGGGGYNEADAARCFAALTATAVDQPLPQDVPDHDYFPKYGPHFEM RTTSVKSRLNKNTVASLEATCQHALDHISHLATTG H257_07380 MLLMLVPAAMVAGLDSQPEHDGFTTYCWKSKGNPLAKDLNETMK LVESHGRGCPVQLRLETTGTMVTKDGELVVGVLEALRVKWTAVASDVTSSANAFNLTR FYTIVTPISQYVQIPHSNLHACEFGPRPCTPFDRGENPTDNTPNQEMNFTTGKAQFEE PDLRFSKPGQYSLLAHVVLPGEAPSIRYDFVVYKKIVVKDVAPPPPPSESVPVASTNN KNTLYAVLGCVATLVLIAVAALVVNLQKRKNYASSKEVDIEEATTTVPNLTTSYPSTH NRPDTIYSQDQTFSFAPSSEHSSCSDRRMRPPMSTFEVRLGHHYHQPPPLPPPAHRDQ FHYDDIEYRQPPPQYDPDRMWTTGCAALEWCPSAGSFDLHSAALLHGLSKYHRGEFED SQRSLTQAVESGTATVMSAQVKHSSGRLWSAYESLLNMTSCEDHQQAAPHQHCLGRWY VLAQVYIDLGLRGKACDSLASILQFNRWHNGARALSSTAGCPRVHDAIADVAFVPPVA FPWSRPLHQDDNIQQLLRTTNSRSAMMLGCLEDHLVAASMERGCVPVLLNLGAMMHAR KHYARAFEYYMQVLSLDPSHSIALSNCHAMWHSMTNSYEPSVIYLHSGARLDHRLLPE IPTPDDLDALALQAADAHGRGRRHIALAKLTMLVLFQPHNPFVLNDLGAVQFQLGLVG DAIQSFQRALTLHPRYTQALNNIATLAQEQGQNDVALSYYGRAMAVDSTDAHVRYNMG NTLMAMKRYDHVVRMYTLLFGLTEVLHWTASDMAELEDNDRSLSRQVLQPLGTLVAAM LVAYPAHMRFNCVQSVLTNEFDVHFRLNTSFEQVVRRTQLAYDRSWNVFGLALQATAA MPRPEQVYQAITTSCSSMINKDNERHAHHFTRLTPPQDPTIQRTSQNITILSLVYLAV QYYRTADASRQLELDTCLRSNLANDHIAQVHVLVEGAPGDLTPFVNGHGGSKLHVTVV PDRLTMRAALEYANKTRPHAQWVIANADIHFDSSLRVLVDGPRLPRNQVVALTRWQYN EVLNEVMFHPRIDSHDAWAVFPAGLPRALLPQVDFYLGYLRTDTRFVAALYACNVSVV NWGFQVRAIHRHAATHRAYTSQHTAWGADAYLLLSLFSHDSIILTAP H257_07380 MLLMLVPAAMVAGLDSQPEHDGFTTYCWKSKGNPLAKDLNETMK LVESHGRGCPVQLRLETTGTMVTKDGELVVGVLEALRVKWTAVASDVTSSANAFNLTR FYTIVTPISQYVQIPHSNLHACEFGPRPCTPFDRGENPTDNTPNQEMNFTTGKAQFEE PDLRFSKPGQYSLLAHVVLPGEAPSIRYDFVVYKKIVVKDVAPPPPPSESVPVASTNN KNTLYAVLGCVATLVLIAVAALVVNLQKRKNYASSKEVDIEEATTTVPNLTTSYPSTH NRPDTIYSQDQTFSFAPSSEHSSCSDRRMRPPMSTFEVRLGHHYHQPPPLPPPAHRDQ FHYDDIEYRQPPPQYDPDRMWTTGCAALEWCPSAGSFDLHSAALLHGLSKYHRGEFED SQRSLTQAVESGTATVMSAQVKHSSGRLWSAYESLLNMTSCEDHQQAAPHQHCLGRWY VLAQVYIDLGLRGKACDSLASILQFNRWHNGARALSSTAGCPRVHDAIADVAFVPPVA FPWSRPLHQDDNIQQLLRTTNSRSAMMLGCLEDHLVAASMERGCVPVLLNLGAMMHAR KHYARAFEYYMQVLSLDPSHSIALSNCHAMWHSMTNSYEPSVIYLHSGARLDHRLLPE IPTPDDLDALALQAADAHGRGRRHIALAKLTMLVLFQPHNPFVLNDLGAVQFQLGLVG DAIQSFQRALTLHPRYTQALNNIATLAQEQGQNDVALSYYGRAMAVDSTDAHVRYNMG NTLMAMKRYDHVVRMYTLLFGLTEVLHWTASDMAELEDNDRSLSRQVLQPLGTLVAAM LVAYPAHMRFNCVQSVLTNEFDVHFRLNTSFEQVVRRTQLAYDRSWNVFGLALQATVS SLTYIY H257_07381 MLTRYINSYFRVTQNDLREDSIEVRWDVTYTYFISYGCRLFALV WLFMLPPQRGPMQELKKKGGRSKLAGAILIVVFVVCLSVSVTSSIMAIYPATRCLRIA GGNGKLDPKTGKCPIAASRKG H257_07382 MQRTAVDLEERVSYIQSVTKGQGDKEDDFAAIKTPGELEGGAIV AGGALDLFSREAFGLFAQYAAVGVIYGMIPSLNYPIFNVYLNLEGYQTSAYSQLVTMG WSFKVFMGLFSDCFPIFGYRRKSWMLIGWTLTMICLTVMTFSSLGDPYCDRVKAKAMN STACSRVFSRASEKEKDLFNLGAPDQGTKFIMLSMIVSLGASRWLSAAVS H257_07383 MSNAARNSTAPSPRPLLEPSGNHSGVLLQGANESHGSWNSPAST LPPTAAPPDDADVAAHPSTGGDWPPPGPQRLRARATEPTCLPGPVAPSRTTPLPPSMP PTPSSLPVIRGFTAATKQHTKAAFVPPPADAIEAILLELSKPKKDRVDILAKINLARP YTPKVAMARFTVDTGDALANQSHEAIMSSLFASAQTDTVKSLLSEFVQVTRLRRGGIM VSVTTSNARKALGGQTLCMGKKYLIPVQEEHPLDPLCFIDITGIRDNFDATQFYRKLT QLGVDVVYHSHRAVIPGTGCHTNAWRIYFSDAAIPDQLRINGEPINQIKYPRFYYRVY FKGTKGTPFHGVNGVSSHCVDIEVKRPHEEATDDQTVNTPGPKSSVIGNAITATSKKA KKSTKPMQPKEDVAITESITSEIPPPLRQFDSTLQQTIRPENSTGAIGPVTSEYVSVF EDDAEADMDTEDTFMDNNQSMEVDVPYQVVSGRKKRKELTRSPPKTLAEYATPNFFDV LRAYTGGFNNLQWRKDQDIVTIIPTFHRQEISDVTRNQCVTTMHQVQSTLTFDVESMT LERLQTILEESIKQLHAEELADMDRTFAEAVADNLSDLSELVLKGQADRVWTQVQKKH LSANVALHAMAKSHPTQLESVIMPWRNLTLHNWSQ H257_07385 MSVGDAIDTGTMSKFGLLFRSLGLKQLLLFDSGNLLFLGSVNLG KQREGKDPSTDINPFSPSPSFSLGGV H257_07386 MKIMGVKHFMTTAGRAQSDGATERQNRTLEDALRCQVSYLGHDW SEHLGTIEYAHQGLIQASMGLIPFEVDTGRKLLKMALQNLDKAQPRQKSYYDKKRSKL EFCEDEFVMLANATSPSSMRNHQVINPNAIKLELPKSMKRLHNVFNVDRLKKCPGQTD RFTNRPIPKATPMLLDDSGHEVFIVEELLKQRQFNRKKEYLVKWHGLPEYEATWGLDG TSNMFPISSDWFKICERRYKRRSR H257_07387 MEAALEAEFDALDAEMRTYDSWPSVKTKSRCLVEYASGKSAGTI CGSIHWTNHMPNHVMNKHNEVWANTENKAELYERLAREDALPVLRQVARKRVGKAFAA RLTTKLPPTNVVNIDYDKNEQSALIQRCLEQGCSISAVLEMQAEVYRLLGGNPIACLK ATLQQHQRKHVAQSPGLGDVGCDDDGTVGIDGEGTVRASGVVATEIATADPICEHHGE HSSQGQTAATSLKRVRQPPSQKPNKRSK H257_07388 MVLKSGKLEKHSQTIFGSKWKVKWVHLDEATLKCYPMVVNQQVF QGAKSKLIQLDEYTVSMLDESKFHRKHCFQLTGKQKQKTKIFACSSDRDCLEWVGAIL NPHVAKKSNQADDDDDGSEDNTAVRRAKAAFAKLDAGNSGTIDSTRLHELLDTISFQS LHMGWHGGSDQVGVIQHVLDPHSTGKIARTLFATWARAHFVEAAAPPAAPSPVPTPSK PSTGVTDWNEMYWELLRSASPVVPKGLSMSHMMKEFHDAADSFARSVVDDLLLHPAAS SAIDPPSPHHDLVGYNDCTLFAANGLYAVVYPPPLSSSQQAAKSLGHDIRSSRVLLSA LEAVEGAATDLAIPVQCVVDYMGVRVLVVAQVLQASTTTCHIMADTHAAASFRRVLHQ LHVCDLDDNVADIVPFETHLVQSQGRLVLSKLRHVCAPDVLHESDDANGFDQTPSKHS ASLTAWKLRPEYIRQYHTPLYSNAYRQESTPAQDNIVACASHYMQKSVLPACVHRLES SPATLVGQNFFDSASFTSMLHADGINMRYLGRIYDLATLKHVRRLVMTEMVARVAKVL LRAMLREAPTHWRALATDLFNVLCGAGPDAVDFYQSQIQPTVELKFGVATPHNLRHEL HMPQLLLALQHHTGVQMDLPVAINSQFLVDDARHPPFRTHHAIAVTSSVTLVCTTTSV CQGMIQDTVVSDSMDEDALEVALTNLKLALAVEQACPTDSRHVRLCHLLVQAAEVSLR VDAADDAETFASLALEEGPTGHALRSRAYVVLMRLHHAKEDMASALRSFEKALEAATW HLGASHPVVLGVLLSLVAIWIDRQEWLKALNILDHCTTVVKEAYGRYTIQYAQLRCQQ ARVLHTLGQLDQAVSMFEDALAIYEELPSCVVESADCCSAMTAIFLDMASLQPAFNMA MKTHDLRVSSGDTDAILASWMQLGACSKALHDDFRAIEYYKSALALVKANQAAISDAV QCIQDISRQLLALVFQTLSPEAKQCVDKVSRKEKAVSNDLLEFVVAQLYALDAPQYLD MIFQDLLREAGTPSMALFEDYPSDMQLRGAQHLLNL H257_07388 MVLKSGKLEKHSQTIFGSKWKVKWVHLDEATLKCYPMVVNQQVF QGAKSKLIQLDEYTVSMLDESKFHRKHCFQLTGKQKQKTKIFACSSDRDCLEWVGAIL NPHVAKKSNQADDDDDGSEDNTAVRRAKAAFAKLDAGNSGTIDSTRLHELLDTMGWHG GSDQVGVIQHVLDPHSTGKIARTLFATWARAHFVEAAAPPAAPSPVPTPSKPSTGVTD WNEMYWELLRSASPVVPKGLSMSHMMKEFHDAADSFARSVVDDLLLHPAASSAIDPPS PHHDLVGYNDCTLFAANGLYAVVYPPPLSSSQQAAKSLGHDIRSSRVLLSALEAVEGA ATDLAIPVQCVVDYMGVRVLVVAQVLQASTTTCHIMADTHAAASFRRVLHQLHVCDLD DNVADIVPFETHLVQSQGRLVLSKLRHVCAPDVLHESDDANGFDQTPSKHSASLTAWK LRPEYIRQYHTPLYSNAYRQESTPAQDNIVACASHYMQKSVLPACVHRLESSPATLVG QNFFDSASFTSMLHADGINMRYLGRIYDLATLKHVRRLVMTEMVARVAKVLLRAMLRE APTHWRALATDLFNVLCGAGPDAVDFYQSQIQPTVELKFGVATPHNLRHELHMPQLLL ALQHHTGVQMDLPVAINSQFLVDDARHPPFRTHHAIAVTSSVTLVCTTTSVCQGMIQD TVVSDSMDEDALEVALTNLKLALAVEQACPTDSRHVRLCHLLVQAAEVSLRVDAADDA ETFASLALEEGPTGHALRSRAYVVLMRLHHAKEDMASALRSFEKALEAATWHLGASHP VVLGVLLSLVAIWIDRQEWLKALNILDHCTTVVKEAYGRYTIQYAQLRCQQARVLHTL GQLDQAVSMFEDALAIYEELPSCVVESADCCSAMTAIFLDMASLQPAFNMAMKTHDLR VSSGDTDAILASWMQLGACSKALHDDFRAIEYYKSALALVKANQAAISDAVQCIQDIS RQLLALVFQTLSPEAKQCVDKVSRKEKAVSNDLLEFVVAQLYALDAPQYLDMIFQDLL REAGTPSMALFEDYPSDMQLRGAQHLLNL H257_07389 MAKPDGDLAGVDAQQDQQPSPAMSHGMSPAPMARRTRGRSSTSD TIDGEQSNDGSDDVNGRRDRKPTKKRKLGKDSKAAKRKQPRDQDDDDLDDDESVADLC EVCHINENDDLSLICDACDKVFHTYCLNPPLEQIPPGEWVCPQCVLTIDPEAPQKSHV NSGLATEAASTYSPSPSLHRASSAEIPAFPAALLRDSPQRLTEPSPVLRPLAPAPAAL ALRPIRPQQSPPRHDQPLPAAPSSSNGFLPSSFHHSGDLHQPSRHSPPPFDAVGDLQE QNHQLQRENQKLLDYIDRQTRRIEELEEALRAMQYKNRKLEGQLVDAQAQLAHSAYHH GPTYVSQGYLPRPDDADYRASTLYPLRVTNNGSSHHPLRRVSDFPHQSYRDDDYAVRS SAADDSSARSHHHHLQPHQLHAKSSRTHDDPSYYRDAKPSLLSNGSFPSTAAMPYPPS ASASSVPYSQPPVYQKSSVSKHLSNHHHHMSYPPTSHHSTYHASAPRRGSDGYKAHTQ LPPPPIYFRHHEATNAAATDSFLPRPPLPDLKAEQEPPPPLSKVAIPVYSSDEEDEPT RDARRRPQDDHDDDAANVLSSMKRTEASSERLNMSTPTATDAANAAASTLAILKPTDD EVEEEGVASGGHRIGLYTPRSRRLMLDRYLLKRSKRLSRHKWFKYPVRKTLADTRPRV KGRFVKHDLIEDEDGDVSPPRKGSSRDKANDEFEANMAGFDYIQAFALCVKESKDAVD SVVIDILRNKQRKGELISWDSWTLGLEASLTALLPREYATSPFPAIFGELVYILGDAE EDANQANFCRALQAGAIYVAVCLSLFTATHSPKAYESRLSPILSHYSHTTKKLWQVEP NVQKKHTFAMMKSIVDGIAGVIAVGYHDGKQYLKPVSVPQILDDGTVSEGSASS H257_07389 MAKPDGDLAGVDAQQDQQPSPAMSHGMSPAPMARRTRGRSSTSD TIDGEQSNDGSDDVNGRRDRKPTKKRKLGKDSKAAKRKQPRDQDDDDLDDDESVADLC EVCHINENDDLSLICDACDKVFHTYCLNPPLEQIPPGEWVCPQCVLTIDPEAPQKSHV NSGLATEAASTYSPSPSLHRASSAEIPAFPAALLRDSPQRLTEPSPVLRPLAPAPAAL ALRPIRPQQSPPRHDQPLPAAPSSSNGFLPSSFHHSGDLHQPSRHSPPPFDAVGDLQE QNHQLQRENQKLLDYIDRQTRRIEELEEALRAMQYKNRKLEGQLVDAQAQLAHSAYHH GPTYVSQGYLPRPDDADYRASTLYPLRVTNNGSSHHPLRRVSDFPHQSYRDDDYAVRS SAADDSSARSHHHHLQPHQLHAKSSRTHDDPSYYRDAKPSLLSNGSFPSTAAMPYPPS ASASSVPYSQPPVYQKSSVSKHLSNHHHHMSYPPTSHHSTYHASAPRRGSDGYKAHTQ LPPPPIYFRHHEATNAAATDSFLPRPPLPDLKAEQEPPPPLSKVAIPVYSSDEEDEPT RDARRRPQDDHDDDAANVLSSMKRTEASSERLNMSTPTATDAANAAASTLAILKPTDD EVEEEGVASGGHRIGLYTPRSRRLMLDRYLLKRSKRLSRHKWFKYPVRKTLADTRPRV KGRFVKHDLIEDEDGDVSPPRKGSSRDKANDEFEANMAGFDYIQAFALCVKESKDAVD SVVIDILRNKQRKGELISWDSYVLTIGTLPFTLHFVDGRLDWRRR H257_07389 MAKPDGDLAGVDAQQDQQPSPAMSHGMSPAPMARRTRGRSSTSD TIDGEQSNDGSDDVNGRRDRKPTKKRKLGKDSKAAKRKQPRDQDDDDLDDDESVADLC EVCHINENDDLSLICDACDKVFHTYCLNPPLEQIPPGEWVCPQCVLTIDPEAPQKSHV NSGLATEAASTYSPSPSLHRASSAEIPAFPAALLRDSPQRLTEPSPVLRPLAPAPAAL ALRPIRPQQSPPRHDQPLPAAPSSSNGFLPSSFHHSGDLHQPSRHSPPPFDAVGDLQE QNHQLQRENQKLLDYIDRQTRRIEELEEALRAMQYKNRKLEGQLVDAQAQLAHSAYHH GPTYVSQGYLPRPDDADYRASTLYPLRVTNNGSSHHPLRRVSDFPHQSYRDDDYAVRS SAADDSSARSHHHHLQPHQLHAKSSRTHDDPSYYRDAKPSLLSNGSFPSTAAMPYPPS ASASSVPYSQPPVYQKSSVSKHLSNHHHHMSYPPTSHHSTYHASAPRRGSDGYKAHTQ LPPPPIYFRHHEATNAAATDSFLPRPPLPDLKAEQEPPPPLSKVAIPVYSSDEEDEPT RDARRRPQDDHDDDAANVLSSMKRTEASSERLNMSTPTATDAANAAASTLAILKPTDD EVEEEGVASGGHRIGLYTPRSRRLMLDRYLLKRSKVIVFNS H257_07389 MAKPDGDLAGVDAQQDQQPSPAMSHGMSPAPMARRTRGRSSTSD TIDGEQSNDGSDDVNGRRDRKPTKKRKLGKDSKAAKRKQPRDQDDDDLDDDESVADLC EVCHINENDDLSLICDACDKVFHTYCLNPPLEQIPPGEWVCPQCVLTIDPEAPQKSHV NSGLATEAASTYSPSPSLHRASSAEIPAFPAALLRDSPQRLTEPSPVLRPLAPAPAAL ALRPIRPQQSPPRHDQPLPAAPSSSNGFLPSSFHHSGDLHQPSRHSPPPFDAVGDLQE QNHQLQRENQKLLDYIDRQTRRIEELEEALRAMQYKNRKLEGQLVDAQAQLAHSAYHH GPTYVSQGYLPRPDDADYRASTLYPLRVTNNGSSHHPLRRVSDFPHQSYRDDDYAVRS SAADDSSARSHHHHLQPHQLHAKSSRTHDDPSYYRDAKPSLLSNGSFPSTAAMPYPPS ASASSVPYSQPPVYQKSSVSKHLSNHHHHMSYPPTSHHSTYHASAPRRGSDGYKAHTQ LPPPPIYFRHHEATNAAATDSFLPRPPLPDLKAEQEPPPPLSKVAIPVYSSDEEDEPT RDARRRPQDDHDDDAANVLSSMKRTEASSERLNMSTPTATDAANAAASTLAILKPTDD EVEEEGVASGGHRIGLYTPRSRRLMLDRYLLKRSKVIVFNS H257_07390 MTKPSKMAGHTQHMHHNLPPMTPIPLTGPRRIGSWPLEEIKYTH FLSALFKSSRLENVPSGTSLRQWLGKQLNCAPMRLSKKFDKVSGMLGLVKFEVRQDVL DAMTPAQRRAQIKEMHDLRTKLEVAVAREMAGLKPLAHHLEKHGRPMVSASVMHASPK KNRARKFTNDDNDILFKGRLSLTSMLQSTGDIVPRKSNRNCVRRSYSYDSSDESEVGI KDEHMEPSMEPLPFHPPTATSAAADWNAAAECHITGRPSAALTLEDLEWIGQFMDDLP SLDTTGDAFWTSIREIDCLNPYSTQLLDTSTEQTPST H257_07391 MLSRQTQAVRRMSASAKVWINKDTKVICQGFTGKQGTFHSEQAI AYGTNYVGGVTPKKGGTTHLGLPVFNTVQEAKDEVGAEASIIFVPPPYCAQAIIDAIH AEIPLIVAITEGIPQQDMVKVKWHLNQQSASRLIGPNCPGIIKPGECKMGIMPGYIHS KGKIGIVSRSGTLTYEAVHQTTSTGLGQSTVIGIGGDPFNGTNFIDCLERFTNDPETE GIIMIGEIGGTAEEEAAEWLAEYGDPNKPVVSFIAGITAPPGRRMGHAGAIVSGGKGT AEGKFAALEKAGVAVTRSPARLGTTMLQQFAKRAGN H257_07392 MLKRKRDDDTENEIMHVNGRHHAMGINDRPSAVVEVAVGVSVLV FGKHAAVVRYMTNSAEPPLVGVEFLRPVGNCDGMWNSKRFFQCKLNRGMFVRKDQVEP FSTRDAAACTLQAMWRRRKQTLAFKTLVLTQTWNTLDNHQEQLNLKRAEQTKSACDAL SILAKELVLSKPPLPRTLSELPTTYDGPELHWPLTLPNVLSMMEHFKLGHVMHATYVM EVLAQATPLFKASPTLQHVDVGPTDRLTIIGDLHGQLQDLYCILLTNGLPSGTNKYLF NGDFVDRGHFGAEVVLVLLCFKLLYPNGVFLNRGNHESRNQNSWMGFEEEILRKYNTT PTTATTNQAVTVLAGFQDMFDTLPLCALVLNRIFIVHGGLFSARHVTLDQLNHVDRFR EPPLHSKLDQDRLFEEMLWSDPRTIHGQQASVRGAGVEFGEDVTNEFCSVNKVALVIR SHECVPEGYAVLHGGRLITLFSASKYCGTQMNKGAFCSLKADCRPEIQQFIAPPLHTY SRVKPMFPQYNSVGGDLHLAHEVVNEADMSADTTMHASLEEDSLRMIAERICEHKSDL FRYFTQHEHAARNGRVSRVVWADALKAELKLDLPFLLYQSKLVDVEADNTINYSKFLS RYRIENPAMDSTGWQANMIATICKKLYRAMGAGSIQHAFQIFDTDASGSIEYDEFAHT LKQLDTGLSDKQIYELMRTADTNDDGRLDFKEFVSRFEVIFKHVRAPNRLASSPPTSP LASSCAAADMMSPSKLRRRKSSMDADMPGIVPSSSSSTSSTTSRPMSPLPPQMYNQHP LDKDTMVALLDIGKAVLSLDQSLDAVFQSFDTNGDGVLQRDEFEAALTSLGIAMPAAL LHKVVAAIDLDGGNTIDYKEFLAAFSVADMRTDRRGVGDEMTWQDTILQQVAGLFYQH RIHIRMCFRLFDQDNSGCIDRDQFRTGIAEFNTILDSPLSADQIDALLVHLDKNGDGV ISYKEFLEGFQVVSMES H257_07392 MLKRKRDDDTENEIMHVNGRHHAMGINDRPSAVVEVAVGVSVLV FGKHAAVVRYMTNSAEPPLVGVEFLRPVGNCDGMWNSKRFFQCKLNRGMFVRKDQVEP FSTRDAAACTLQAMWRRRKQTLAFKTLVLTQTWNTLDNHQEQLNLKRAEQTKSACDAL SILAKELVLSKPPLPRTLSELPTTYDGPELHWPLTLPNVLSMMEHFKLGHVMHATYVM EVLAQATPLFKASPTLQHVDVGPTDRLTIIGDLHGQLQDLYCILLTNGLPSGTNKYLF NGDFVDRGHFGAEVVLVLLCFKLLYPNGVFLNRGNHESRNQNSWMGFEEEILRKYNTT PTTATTNQAVTVLAGFQDMFDTLPLCALVLNRIFIVHGGLFSARHVTLDQLNHVDRFR EPPLHSKLDQDRLFEEMLWSDPRTIHGQQASVRGAGVEFGEDVTNEFCSVNKVALVIR SHECVPEGYAVLHGGRLITLFSASKYCGTQMNKGAFCSLKADCRPEIQQFIAPPLHTY SRVKPMFPQYNSVGGDLHLAHEVVNEADMSADTTMHASLEEDSLRMIAERICEHKSDL FRYFTQHEHAARNGRVSRVVWADALKAELKLDLPFLLYQSKLVDVEADNTINYSKFLS RYRIENPAMDSTGWQANMIATICKKLYRAMGAGSIQHAFQIFDTDASGSIEYDEFAHT LKQLDTGLSDKQVSSRYITPLKCLE H257_07393 MNVCSLPQVDLTTPTTTMTIKSEPVLHSMRQQPYEVPRRSIIQC TCGSESVEGYTGDWVQCWTESCGTWFHATCVMDALRPLTPFQCAPCRRGGQAAVTSTD LLGQPRPNMNAFLYMCCKNNASRAFHQAMASVRTDFLKYTQHDECNGFVVAATVGAKA ILEDLTVLFTTHQVQDARSVNLWKQTPLHVAILGGHLPCIPLLATFDPRWFHVPDAYR ALPTDYMMHLYPAHVEAWLRDMPSLPFIVNAITGNSLVHSLCTILPDNFSTLLRLLPK KLLSAVNHHGQTPAMMLVPRPGVRREHFHAMMQHGSSATTPATRTWWTQVDDQGHTVL HLALIHKLAWVLELADLTAFRSFQLLHMAAELGLASAVTLLVQAGFSPLESLRSGATP SETSNSTIPIMLASTPSCVLELLRVETLAQLECVVELSRSSRRHGPSVYRVLHAMVIH MPLFDFVQSVALANPRVYLSSNCLFLQRFRKCLRLDVKLLQLSMHVAGVLKRMTGRTT LTIPSDDKLWTSIQQQSQRDDVDWNQPVTLYVVASKAVYLTTDDHMWKLLAMQLRQLG PHLFDMSLAFFSLLGKLLGHMVLVGQKLPQSVLPPLFLNTPAEFKPELAAAFKAGMDL VMGGGALDNWNGFERFALLHRVVFLLSFDQWKHSKVHYDAPFHPNHPTILNLWTVLEN HLVPVEQLIWRLRCGQPFRITPAPIVGIVEKDVLGVPEDLSLDKLQLDVVLFLRGLRK QPIPTTDDCSSSVSAPPIRR H257_07394 MAAAATSSEVVVRGTALLAELQPEFPDVVALDAKAAQCVATGDV FAGLVCWERALFLRQHYLGFESAEVWAQARAMCEFCTTAAADALRRNDMDHARRLLSK ANALSTQDDVLAIDILNQLACYYRRAAKLRVAYDYLKKAMAIDRRLYPPCPAKAAETR LNACAILSQLGRHDKALELAQAALILLQTQAMGAPAVEKTDAIDVFAAQAVAHHNIAV EEEFLQKPAQSLHSYRRAVSLAAVHCGDSHPLTTELAASLAAAEAALAHKKKHKLFKG GRDSQVTVAYAASGKSPSAKAKSVPQAAKKAYGMDESTVSTQALQAELQPKKSVNMDQ FDSAAFADNFDASLVATVDQAATGEVLEPGGTSATGGTRDGMMALLTPRGTAGDESRG DGGATADSKAATEVKTSTEFEDETRDGNELRHDDDKHGEADHIIADEKEGPNPLEHEH TNDAPTYTRDEDDDAGEAKAEAKERMMDALDKESMKATEKPGDGHVVNVNAHEDDVGL EGDDTSYDDGGDQLIDLSDDDEGKHDDVADTKVTTA H257_07394 MPKQHNASRLEMCLLGSCVGSALSSYVSTTWVSKEVWAQARAMC EFCTTAAADALRRNDMDHARRLLSKANALSTQDDVLAIDILNQLACYYRRAAKLRVAY DYLKKAMAIDRRLYPPCPAKAAETRLNACAILSQLGRHDKALELAQAALILLQTQAMG APAVEKTDAIDVFAAQAVAHHNIAVEEEFLQKPAQSLHSYRRAVSLAAVHCGDSHPLT TELAASLAAAEAALAHKKKHKLFKGGRDSQVTVAYAASGKSPSAKAKSVPQAAKKAYG MDESTVSTQALQAELQPKKSVNMDQFDSAAFADNFDASLVATVDQAATGEVLEPGGTS ATGGTRDGMMALLTPRGTAGDESRGDGGATADSKAATEVKTSTEFEDETRDGNELRHD DDKHGEADHIIADEKEGPNPLEHEHTNDAPTYTRDEDDDAGEAKAEAKERMMDALDKE SMKATEKPGDGHVVNVNAHEDDVGLEGDDTSYDDGGDQLIDLSDDDEGKHDDVADTKV TTA H257_07395 MSDKFDASAKDIAVGLSVLVFGKHAGVVKYVPDTRSALLGIELN RPVGDCDGIAADGRQYFKCRPLHGIYLREENLTPYSAKDAAAVRIQSMWRRRKTVLAF TTVVLSSMWNMLDNNQEQLNMKRAEKTKSASQALSLLAKQTSKSEIKISHSVSSITDD YAGPRLVFPLRLHNVLDLLEQFKKSVVLHSKYVMEVLLEAKKVFNALPTLQEISVADG EKLTVVGDIHGQLKDLFTIFTTNGLPSLKNKYLFNGDFVDRGAYGTEVMMTLLCFKLL YPDSVFLNRGNHESRNQNSWMGFEEEIWAKYDGTADGDPCRASTVYDTFQSVFDSLPL CSLVLKKIFVVHGGLFSCDNVTLAHIKAINRKREPPLHQSGFEDKIYEDMLWSDPRTI PGRQPSERGAGTEFGHEVTNNFCAVNRVALVIRSHECVPEGYDVLHGGRLITLFSASR YCGTQMNKGAFLTLGSDLQPEIQQFYGNPLVESEWERPVDEQAKMHENLEGDALRMIA ERICDHKADLFWYFTQHAHEKSGTVPRLVWAEALKSIMGMELPFLLYQTKLADAEPDN SINYSKFLSRYRIENPAVDASGWQESIISTICKKLYRAMGAGSIEQAFKVFDADDSGT IEYDEFMNILKSMDTGLSDQQMFELMRTADTNDDGRLDFKEFISRFDVIFTDVRLANS QSFIGPPPAPTSLSAPSKLVRKMSERAVSLTPAPKQDVDEGTMSALVAIGRAFFKLEG SLLEIFHRFDTNRDGVLQKDEFFQALSALALTYDDELLVKIMGAVDLDGGDTIDYKEF LAAFAVKDASEQAALDKGAMTWQQSVLQQVSNMFYQHRIHIRAAFRLFDVDNSGTIST DEFRAGISTFNAIMDSPLTDEQIEALLAHLDADGDGIISYKEFLEGFQVVDVRLQE H257_07396 MKASVVAAAAVFGLTAYLTTACTMIAVGKKATVDGSTIVTHNDD AGSVTADLRLVVVPAKAHHDSINRSVYRLQGGYPRVVAADRSPQYAAKAGENESTPLG FIPQIEKTYSYIAQEYAIVNQVQLSIGESTCNARTTGWPTSIPGGRAMFGLGELTSVA MERCDSARCAIRLMGSLAETYGFYSEYGGTPDAPGFDSEALGITDRYGEVWVFHILAG PNGNGGAIWAAQRVPDNHVAVVANHFTISAMNLTDSDWFLASSNVISTAIDHGWYTPK NNASHADFSFKAAYAKPPTVSPLLYTDGRTWRVYSTFARSQNVPATFGYMKDYPEYPF SVPVDELISLEAITTLLRDQYEDTEYDLTQGLAAGPFDSPLRYSGYTTGVHGGWMNPI SVHRTLYSYAVQAKQPPHVTNTAKPAAMSDNEAPRHHPTTKVHIHEIDALLGMLWFGQ SAPHGTVYLPFSCAQTSLPESFHDRAGYQGEFALGSAWWAFNLVNNWRTIRYNAISHD VNKFIATYQKEAFSLVQRRDSRDNDLRHGDLDALHNGFASRVVDARWKLAWKLISKYS DGYVTPDKEGPMKSLGYPAWWLNQTNYVQWVKPPVDVVVPLAAHLNRQHAAPESVAGG LIVPLLGGFVLGFVAHVLYQNHRRYEYRALA H257_07396 MKASVVAAAAVFGLTAYLTTACTMIAVGKKATVDGSTIVTHNDD AGSVTADLRLVVVPAKAHHDSINRSVYRLQGGYPRVVAADRSPQYAAKAGENESTPLG FIPQIEKTYSYIAQEYAIVNQVQLSIGESTCNARTTGWPTSIPGGRAMFGLGELTSVA MERCDSARCAIRLMGSLAETYGFYSEYGGTPDAPGFDSEALGITDRYGEVWVFHILAG PNGNGGAIWAAQRVPDNHVAVVANHFTISAMNLTDSDWFLASSNVISTAIDHGWYTPK NNASHADFSFKAAYAKPPTVSPLLYTDGRTWRVYSTFARSQNVPATFGYMKDYPEYPF SVPVDELISLEAITTLLRDQYEDTEYDLTQGLAAGPFDSPLRYSGYTTGVHGGWMNPI SVHRTLYSYAVQAKQPPHVTNTAKPAAMSDNEAPRHHPTTKVHIHEIDALLGMLWFGQ SAPHGTVYLPFSCAQTSLPESFHDRAGYQGEFALGSAWWAFNLVRTRSVLVVTLIVLI V H257_07397 MADLPITFGEVLNLGALGVNLEYVKFGTCAMESDKFITVCETVN GQANVVIVDMAAGNNVQRRPIAAEAAIMNPLTKVIALRAGPQLQIFNMELRAKMKTHQ MTEAVVFWRWITPNTIGLVTAGAVYHWSIEGDSPPQKQFDRHANLGPNTQIISYETSP DNQWLLLVGISAGEGGRIDGNMQLYSKDKKVSQVLQGHAGTFAHIKPPGRTDEAQVLC FAGTKDGAPLQLFIMEVGANAAGQSFRLPPQPIPFAADAVNDFPVSMIASPSDDIIYL ITKLGYLFLFDIHSGKPVYRARVSQDTVFVTCLHSPTKGMLGITRRGQLLQFSINQQK LVPYVVGTLRDSQLALSLATRLNLPGAEELYFTEFNRLVGLNDVQGAARLAAVSPQGV LRTPQVIQRFQQMPQQPGQPLAVLQFFSVLLELGTLNKYESIELARPVLQQGRGQLLQ KWLSEDKLECSEELGDMCAQSDITMALSVYLRANVPEKVINCFVQRGEFDKIVAYASK TNYRCDYTFMLQNLVRANPQGALDFAQKLAVAENGPLVDIASVVDIFMQVSRIQETTA FLLEALKANRPEDALLQTRLLEINLLGGSPQVADAILSNNMFSHYDRPRVAQLCEKSG LFQRALEHYTDLADLKRVVVNTHAINHEFIVTFFGTLTGEVSVELINALLGHNMRQNL QIVVQVATKYVEQLGAKQIIDLFEKYKSFDGLYYFLGSVVNFSQEPDVHFKYIEAATK MGQFKEVERVCRDSAVYDPVKVKDFLKESKLQDPRPLIHVCDRYDFVEELTQYLYSNN LLKYIEVYVTKVSPQKTPQVVGKLLDLDCNEDYIKTLLSAIPQTPVDQLVDQVEKRNR LRLLQPWLEARVSQGNTEPATHNAMGKIYVTLNRDPQAFLINNPYYEPSVVGKFCEKL DPALAFLAYQRANGACDDDLIRVTTENSLFKDLARYLVHRQDLDLWGKVLVKAVEGEA EAPSRRALIDQVVQTALPEAKNPDEVSTTVRAFMNAELPNELIELLERIVLQGTEFST NKNLQNLLVLTAIKACKEKVMDYVNRLDNFDGPDIARIAVGEQYQLYEEAFVIYKKSK CNSDAIGVLLDHIQDMTRAYEFADRCNEPDVWSKLARSQLDTNNLHEALASFIKAGDP SSYAAVIATAERDNNWADLIEYLRMARKSVKEQHLDTSLIYALAKCEKYAELEEFISA PNVAQIQNTGERLFTEGMFNAAKLLFQNINNNAKLAICYVRLGKFREAVDAATKANSV GTWKEVNYACVDVNEFRLAGLCGLHIIVHPDHLEELILNYERRGHWAELLKLMEQGLG LEGAHAGIFTELAILYSKYNPTKLMEHIKIFHSRMNVSKILRACEKGLHWDHAVYLYK EDGQFDNAVRTMVDHPVAFAHDLFLDCIQRVRNQEIHYKAINFYLEQHPLELTRLLQV LTPNLDHARVVHQLRKSDNLPLVVEYLKDVQKENLSAVNEALNEILVEDEDYAGLRDS IDSYDNFDQISFAQKVEKHELLEFRRIAAYLYKKNKRYGQSLKLSKADKMYKDAIDTA FDSGDAELAEDLLRFFVAAHDKECFCATLFTCYKLIKPDVAMELAWRNGYVDFVMPYL IQYVKNLNDKIKVLDERTKPKEHDGQHHIDGGIPGAVDPAFQLGLNPVMAIAATAYDP SAAYGGYGIQQPLGYGGIPQGGMPLGGGYGQQGGIPPQQYGGGYQY H257_07398 MHTQPNVQLHLDLDLTTDMTKKTKNKWLRELTDMMERHGFKLKR NENHLVWRNDRLSIQIATSSSPSDLNAIRQIERDIRRKLSIDHPWYKVPCAA H257_07399 MNVTGYGISGHKNSFNTGVKIGNYVEDQYGAKLAQESVVCAMNG ISEMRAKFVDPQTQQSRETVAPSTDDLTESYVRQNGLSYNLLFPHGPEGKEGKSIDWC TTNQLLHGPAHVQSRSVFENGSSIGLAHIDARNRNDKAVELQKKLAREKRLQQSYMST TQASSALVRKAS H257_07400 MPPPEDADEWTLPRVKFLLETQEVNARSPLTGRSLLHDASIRGM KDVVLHMLANTECDVEVRTMLGCATPLHLAVHASDRSIVFLLLSHGANPNVRDRFGST PLHYCTKRSVAVHLIQFGARVLAANKKRKTAAFMIQSNEEADSALKTYINELADAEYT VRRQQQR H257_07401 MSDFLLDAVAQFLKGDQWLEPVNAFMHANKLAFVGLAGPQESYS LQQHAVFVEFKDLAERLLEGIVQDLGCEPSTFVAALEEAVVNEAGGPKEEEVQLLVKT LLAYDDFHGFCALMQTYVEWNAVPSMPPDENEMQFSGADEFQVVDGAAAFDATKYFGS HEWILQEVVARSLLDAQASGQLGDDDAAYLPWADAIMMMKAHYSNQTTVAASDVSDGT GEATTATIEVSTESKMAAKMRSLEATLVHERLKVDLLVAQRLSERNAGMRLQMSALCQ RSSSGSGAATAGTTLSAEDELCHLCDRMETIQVELKAIKKRCFGFKSVSQAHLDEIYL FLKEKIHFKHDLAQCESEIADFIFSRIQPSDSAIVPDLLQWLLLESEAHEVQVEVQSR SFLSPRAEAKEVDLNDRWVQHWSGDDGTYYYLNSVTGESRWDPPMSKDGEPIVGYWDN DNQWVPYAFLAPGDTPPVDMTADSKGDVTPLEQKLRPLETKPWTPSADLTMDVEASLQ KVLQEHADESKKLEIALQVEHARQTQDIQRRKAQRRKERKVKKAEAHDGGDAKTLVAP PPPVDLSALNALSGCQINICLPEGGKFDLLNLLSEADERVRRERRGDAGPKNDGAPAS LNATSLRYLAEKLAPTSKLIEIEDV H257_07402 MGPKKGKKKEKKGKKGKDGDSKEDDLSREVEAERQELIKEAKRL AERSKKEEEAFNEFQQQREKINYFWIVEKKNLEDKKAELRNKERERQDLEEKHQVEIK VYKQRVKHLLYEHQNEISSLKIESETALKIGQDDHRTTERELKTDKRSLKLDLKEMEL SHEDYLKTLKQEQDKRITVLRQEFERHAKELQQKFERKRKTFRDDLESQRRVDTLRIE ERKNSHIAQLMSGHEKAFGEIKNYYNDITHNNLDLIKSLKEEVAEMKKKEAQDEKLMF EISQENKRMSEPLKKALQDVERLRKNIKHYDEDKVALKSAKAELLVLEDQLGSLSWEH EVLTQRFGQVQTEYHTLHGQFQTSIYDVQQKNGLKNLLLEKKLESMGDVLEQKDAQLN EVLAHAQLDPSIAGQVRRRLEDIMEAKNQEVRDLEKELAIVLRLLTDFTKAAEAKLVE YGTPVDELGFSPNLNPTHLPKLGLKQATTLQSSAVGGQASAITTSGRGGGHSPFTLSP LK H257_07403 MRSRVVASNASMRPLSMWIASRSTPGLSGMPENLAARSFWPYAA LRLSLSMEMPISPSEFKLPWTLPIMLRFKSSFESSGMPMLIALSSTSYVGMGPASSLM PYRFLKLFIHAGVTPGHDPLSSCLRDAWALPVTCAMSSAAFWCSASCVTGFWWHFSVI IHRYNSRSTAGYASSSATLRVLRRNDAKCLASPQKRMMRSLFFLMSEICWREIPRRAA MSTGFSPLSRRWRTCCFWYNDTTTRLRLLVVAGLATTEDAAMAADGEGLMADAVLLRA PRWPFPEAMADPPSPSPVALSSSPSSLNPPSSALRPSGYVTSSSGARPSTASLRSFIG KAAFVIRLKSKNGVGWLGKSTFSKCGADAVL H257_07404 MAASATLTTRLRDTLDLLCQINEIQPALFVTRKLRDLGAEVDES MPEFTRFILATLRTDIHDFHSNPPRFHYPRREFHEHFDYVTSKCNPPLRQTQAMRLLC TIQFILLPARRWVDEYADDTVSVDPFVLFERYSKHLSPYVADPIDPTNAVQRDAKEFQ RHMDEFLVHRKGPLVHEKLVALLALYPMAWFQCRVIRFLNAVEDHLYPSNDAEMMSFP PGTTATPYRVSRRSVQRPMDCSSETWRTLHQCLQAAVEDTVTRQIQVQQPQEQVQQSQ EQVQQPQPQVQQSQDQIAASPDAPRAAGKRRLRTLDDDDDEDEHDMVKREKMVDKAEI KRGDVNGGGSVVSSPESVSGNMKDDKKWKEGGGEGPRRRKRRVVWSAAEEDAVRHGVR RFGESSWTEIKRHYPNVLLHRTPEQIKDKYRTIQKRLSKGTPS H257_07405 MAKVLGVPSSSSVGLLDVLPAVGTFCVALPMYVATAFPSVPGGD SGELLAEACKAKGGVAHPPGYPLYLLLLQAAFKLELFHGLTPAYIANLENALFAAVAA AAITHFVYLYTNKTNAFAAIAGGLMFAFTPLTWEYAVGAEVFALNNMLLAILFVLCAV FKRSHSISAASLGALICGLALSNQHTAILYEVPMIAWMLWHGRNVFRWYHLFCFGMLF VAGLTPYLHLMNVSETPSKGSWGNASSWMGLLRHLVREEYGTFKLSPIKPTNLTETPW ERGLLYLHDAREQFIGVGFILAFIGLWRADNAPEATPAVADATTSSSDTHTPEQRSLD DLLLLTLLHYLVCFNALANLPLYIPLTRSIHSRFWMQPNMVIAMFLGIGLARMQGNVI RGLPSFIPTSMGRFLVIGSSVALVGLQISTHFRQSNHSKSGVVMSSYGNALLDTLPPH SVLLSYTDINWNSVRYLQECEHKRPDVTHLNFQLMPYSWFSRQHDLYPGITFPQLIQG VSTERGSKGFEQLMRRFVMQNMYAINMYLDLHAVNESALGKDGYYNGFYVTPHGMLWK IHEQKKMPTYAKWNKESKTLFQMYNQSFALAHSAKYPDGSWEYVARKIYFDGLYQKAL HSLQYWIDRTAKKGKDVTYDDLDGYMFGLRDIVKALNGIYHVAIPVQCVTYPRKDIVK NLALTYVRYHGALVLAEHQPQRDLPISKQLIHDVKVEAVRISNEFIELSPKDKDLEVF QTFVDTADDPEAATAAAKKAKKSSKKKKKARPHQVVDEEL H257_07406 MSVKTQTEVRDITRIERIGAHSHIRGLGLDDALEPRNVSQGMVG QTDARKAAGIVLRMIEEGKIAGRAILLAGKPGTGKTAIAMGIAQALGEDTPFTTIAGS EVFSLEMSKTEALTQAFRRSIGVRIMEETEIIEGEVVEIQVDTPTGGAGDKIGRLTLR TTEMETVYDLGAKMIDQLTKEKIEAGDVITINKESGKISKLGRSFTRSKDYDAMGPQT RFVQCPEGELQKRKEVVHVVSLHEIDVINSRSQGFLALFAGDTGEIKDEVREQIDTKV SEWREEGKATIVPGVLFIDEVHMLDIECFSWLNRALESDLAPVLIIATNRGITRIRGT NYKSPHGIPIDLLDRLMIISTKPYTESDVRKILNIRCEEEDVEMSDDAKDLLTRIAME TSLRYAIHVIMTASLVCTKRKGTEVEVVDIKKVYSLFVDVKRSTQFLMEYQHEFMFNE IEDDDEDDEMA H257_07407 MEAALRTIAQVERGPDHHGLTLLIIDPQIDFHPGGSLAIPTANE DAVRTAAFIRAHTKEISQIIITLDSHQRQHIAHGVFWQDEHGVSPSPFTLILSSDVAS GKWKPRSPELQEYAYEYTKALEQGGRFQLCIWPEHCIIGTPGQAIVDSIHSAALEWSV LTRRPIHYVNKGSNCFTESYSALRADIELPHDPSTSLNVPLVESLKKSNAVVVCGQAR SHCVNFTVRDLINVWPTERASDIVVLLDCTSNVPGFESSGQAFVDDMGAKGVRFIQTT TDDAFLPAAPPRVV H257_07408 MADVGSSSAVRILRVKLNKATNLVAADYGIPGLVEGKSDPYVVL SIGEKKFKSTCISSTLNPVWGYEAFEFHLTERDMYREALIVEVYDHDLLTPDDLIGKA YIALAQFEMEQGGVVEAAWPLDIPDEFTKQNADSLLHVTVEVITGVETQDQIVETMLE FESWSLLQGWKHLSKEYTSQPPIPQGYESALGWVVTLHPFSGPDIIDDLDMNGWFYAS SRNKGPWYKSTKNHPTAMYRKREWTRSFTKMRVATQYQTKEQDETIDEILHRYGLSND TKNRARH H257_07409 MLGQTSTHMSYSLQYDTLEQPMEGRTDDANHMVQQLIATPLVGK RRMGEWAQAEIDYAYHMSTAFKAGLFDDGIRNRESVRLWLARLLNCAPMRLSKKFNRK SKLLGMYFYKKNHAALDAMSPQDRMDRYDAMEAARLRFIKSIGGGYKQQHTQPTLLCR RPHVRSPKKATDRTTAVQSSPKSVSCVELQMGSDILSDQGMAAMIMDDGLPVWRHSMD LSVIDMTDFDYLFDYVGIEGNCGDMMEVVVC H257_07410 MVHTFQGLLEKPMNAPEAIQLVATQLYGRRRMGAWSQAEIDYAY HMSRAFKEGLFDGGIPEGESVRLWLARLLNCSPMRLSKKFDRESKLLGMCFFAKKQVA LDAMSPQERQDRYDAMESARLRFMKSLGSERYHQHVQPTFALRQQKRAAVERTPVQTV KQETSKMDEVVACRESLDLYSIDMVEFDRWLSEFDDTALKYELRELEIVV H257_07411 MVLRLTDAQNATILAIAETIVGPLPDDVAKDIVSEHMDTVRNLS EGTDEAAGTPTEDELLATTQVHPRELGLLASVEVMLHKLPKDRWSEFEKVLYLLSTGW GTKVVTASARMVPFHELTVGEREDALRNLTLSSFAKVRSLFQVFKALVSFCMFAKTRS VHGKLNPLWENLHYPGRPEEKKRPPRSQFWEPTFEDMTAMAKVAGKGNPITLETDVVV IGSGAGGGVVAAELAQAGHRVLVLEKAVYFHPADASFNELQGFWDHYESAALLTSEDL SMMLLAGSAWGGGTFINWSASLPPPHELCHEWATTYNLPYFKSQAFQDAISTVCTRAG VSAEAVLHNVPNQVLLDGAIKLGYPVEAVPQNTNGHVHECGFCTLGCPYGEKQGSHVT WLRDAADAGAKFVDGCYVDKVTYVKDAASGVEATVLNGSVRLVVKAKTVVCCAGSLHT PALLLRSGLRNPNIGRHLRLHPVATVHGFFPHKQVQSWSGSILTTVCNVVRNIHGHGY GARLEIPASLMGLTASLLPWRSNADMHRLMLQYPQLVNMIVLARDLDSVSSVVIDADG RPRIHFQLGSKDGQSLVEGLVAGIKVLLAQGAVEVNTTQFHLPPLRLQSKEDLANPVE CATTQRWIAQVRALGAVQNSIGIMNAHQMGSCRMGSTPEMGAVNPDGETWDVRGLYVA DASLFPTASGVNPMVTTFAMAYSVAQFIKANLSDRSNCGRKIFSTSSSTSWWAVWWAI VWAKDGHLRRALKVLVVAFALWRHHTHVWSFLKKRLGQHAIKR H257_07411 MVLRLTDAQNATILAIAETIVGPLPDDVAKDIVSEHMDTVRNLS EGTDEAAGTPTEDELLATTQVHPRELGLLASVEVMLHKLPKDRWSEFEKVLYLLSTGW GTKVVTASARMVPFHELTVGEREDALRNLTLSSFAKVRSLFQVFKALVSFCMFAKTRS VHGKLNPLWENLHYPGRPEEKKRPPRSQFWEPTFEDMTAMAKVAGKGNPITLETDVVV IGSGAGGGVVAAELAQAGHRVLVLEKAVYFHPADASFNELQGFWDHYESAALLTSEDL SMMLLAGSAWGGGTFINWCLFPSNKLFAIYTRLYRTYRSASLPPPHELCHEWATTYNL PYFKSQAFQDAISTVCTRAGVSAEAVLHNVPNQVLLDGAIKLGYPVEAVPQNTNGHVH ECGFCTLGCPYGEKQGSHVTWLRDAADAGAKFVDGCYVDKVTYVKDAASGVEATVLNG SVRLVVKAKTVVCCAGSLHTPALLLRSGLRNPNIGRHLRLHPVATVHGFFPHKQVQSW SGSILTTVCNVVRNIHGHGYGARLEIPASLMGLTASLLPWRSNADMHRLMLQYPQLVN MIVLARDLDSVSSVVIDADGRPRIHFQLGSKDGQSLVEGLVAGIKVLLAQGAVEVNTT QFHLPPLRLQSKEDLANPVECATTQRWIAQVRALGAVQNSIGIMNAHQMGSCRMGSTP EMGAVNPDGETWDVRGLYVADASLFPTASGVNPMVTTFAMAYSVAQFIKANLSDRSNC GRKIFSTSSSTSWWAVWWAIVWAKDGHLRRALKVLVVAFALWRHHTHVWSFLKKRLGQ HAIKR H257_07411 MMTFPRSKFQYSFHPLRYTCSMTAMAKVAGKGNPITLETDVVVI GSGAGGGVVAAELAQAGHRVLVLEKAVYFHPADASFNELQGFWDHYESAALLTSEDLS MMLLAGSAWGGGTFINWSASLPPPHELCHEWATTYNLPYFKSQAFQDAISTVCTRAGV SAEAVLHNVPNQVLLDGAIKLGYPVEAVPQNTNGHVHECGFCTLGCPYGEKQGSHVTW LRDAADAGAKFVDGCYVDKVTYVKDAASGVEATVLNGSVRLVVKAKTVVCCAGSLHTP ALLLRSGLRNPNIGRHLRLHPVATVHGFFPHKQVQSWSGSILTTVCNVVRNIHGHGYG ARLEIPASLMGLTASLLPWRSNADMHRLMLQYPQLVNMIVLARDLDSVSSVVIDADGR PRIHFQLGSKDGQSLVEGLVAGIKVLLAQGAVEVNTTQFHLPPLRLQSKEDLANPVEC ATTQRWIAQVRALGAVQNSIGIMNAHQMGSCRMGSTPEMGAVNPDGETWDVRGLYVAD ASLFPTASGVNPMVTTFAMAYSVAQFIKANLSDRSNCGRKIFSTSSSTSWWAVWWAIV WAKDGHLRRALKVLVVAFALWRHHTHVWSFLKKRLGQHAIKR H257_07411 MMTFPRSKFQYSFHPLRYTCSMTAMAKVAGKGNPITLETDVVVI GSGAGGGVVAAELAQAGHRVLVLEKAVYFHPADASFNELQGFWDHYESAALLTSEDLS MMLLAGSAWGGGTFINWCLFPSNKLFAIYTRLYRTYRSASLPPPHELCHEWATTYNLP YFKSQAFQDAISTVCTRAGVSAEAVLHNVPNQVLLDGAIKLGYPVEAVPQNTNGHVHE CGFCTLGCPYGEKQGSHVTWLRDAADAGAKFVDGCYVDKVTYVKDAASGVEATVLNGS VRLVVKAKTVVCCAGSLHTPALLLRSGLRNPNIGRHLRLHPVATVHGFFPHKQVQSWS GSILTTVCNVVRNIHGHGYGARLEIPASLMGLTASLLPWRSNADMHRLMLQYPQLVNM IVLARDLDSVSSVVIDADGRPRIHFQLGSKDGQSLVEGLVAGIKVLLAQGAVEVNTTQ FHLPPLRLQSKEDLANPVECATTQRWIAQVRALGAVQNSIGIMNAHQMGSCRMGSTPE MGAVNPDGETWDVRGLYVADASLFPTASGVNPMVTTFAMAYSVAQFIKANLSDRSNCG RKIFSTSSSTSWWAVWWAIVWAKDGHLRRALKVLVVAFALWRHHTHVWSFLKKRLGQH AIKR H257_07411 MVLRLTDAQNATILAIAETIVGPLPDDVAKDIVSEHMDTVRNLS EGTDEAAGTPTEDELLATTQVHPRELGLLASVEVMLHKLPKDRWSEFEKVLYLLSTGW GTKVVTASARMVPFHELTVGEREDALRNLTLSSFAKVRSLFQVFKALVSFCMFAKTRS VHGKLNPLWENLHYPGRPEEKKRPPRSQFWEPTFEDMTAMAKVAGKGNPITLETDVVV IGSGAGGGVVAAELAQAGHRVLVLEKAVYFHPADASFNELQGFWDHYESAALLTSEDL SMMLLAGSAWGGGTFINWSASLPPPHELCHEWATTYNLPYFKSQAFQDAISTVCTRAG VSAEAVLHNVPNQVLLDGAIKLGYPVEAVPQNTNGHVHECGFCTLGCPYGEKQGSHVT WLRDAADAGAKFVDGCYVDKVTYVKDAASGVEATVLNGSVRLVVKAKTVVCCAGSLHT PALLLRSGLRNPNIGRHLRLHPVATVHGFFPHKQVQSWSGSILTTVCNVVRNIHGHGY GARLEIPASLMGLTASLLPWRSNADMHRLMLQYPQLVNMIVLARDLDSVSSVVIDADG RPRIHFQLGSKDGQSLVEGLVAGIKVLLAQGAVEVNTTQFHLPPLRLQSKEDLANPVE CATTQRWIAQVRALGAVQNSIGIMNAHQMGSCRMGSTPEMGAVNPDGETWDVRGLYVA DASLFPTASGVK H257_07411 MVLRLTDAQNATILAIAETIVGPLPDDVAKDIVSEHMDTVRNLS EGTDEAAGTPTEDELLATTQVHPRELGLLASVEVMLHKLPKDRWSEFEKVLYLLSTGW GTKVVTASARMVPFHELTVGEREDALRNLTLSSFAKVRSLFQVFKALVSFCMFAKTRS VHGKLNPLWENLHYPGRPEEKKRPPRSQFWEPTFEDMTAMAKVAGKGNPITLETDVVV IGSGAGGGVVAAELAQAGHRVLVLEKAVYFHPADASFNELQGFWDHYESAALLTSEDL SMMLLAGSAWGGGTFINWCLFPSNKLFAIYTRLYRTYRSASLPPPHELCHEWATTYNL PYFKSQAFQDAISTVCTRAGVSAEAVLHNVPNQVLLDGAIKLGYPVEAVPQNTNGHVH ECGFCTLGCPYGEKQGSHVTWLRDAADAGAKFVDGCYVDKVTYVKDAASGVEATVLNG SVRLVVKAKTVVCCAGSLHTPALLLRSGLRNPNIGRHLRLHPVATVHGFFPHKQVQSW SGSILTTVCNVVRNIHGHGYGARLEIPASLMGLTASLLPWRSNADMHRLMLQYPQLVN MIVLARDLDSVSSVVIDADGRPRIHFQLGSKDGQSLVEGLVAGIKVLLAQGAVEVNTT QFHLPPLRLQSKEDLANPVECATTQRWIAQVRALGAVQNSIGIMNAHQMGSCRMGSTP EMGAVNPDGETWDVRGLYVADASLFPTASGVK H257_07412 MTLLSDQQKTTLAAIVSAFVAPLSEEDATGVVAEHLKDVQDNSV ARTKALEAFAQMPPPDLGVVDTIASKLAFFPADKRNEFLQVLDLLATGWGTYLLTGST RFVPFHDLSLADREHALLNLSQSRFALLRSLFRALKALSHLCTFAQQVTADTGDTNPY WEPLQYTGIPSEKPRPPRSHFHEPSFEDVDALAELANGGAIELETDVVVVGSGAGGGV VAAELAQAGHRVLVLEQGKFHHPADSTFGEMEEYEKQYADSVFLVSEDGSMQMLAGKT WGGGTAVNWSASLRPPPEVLDEWVHKHELPYFGTAAFQEALDIVCKRAGVSTDHIKHS VPNQVLLDGCAKLNFPVHAIPQNTNGHTHSCGFCSLGCPYGEKQGSHVTWLQDAAAAG AKFIAGCKVDKVTYTANVATGVKGTVLDGKVSIVVRAKTVVSACGGVYSPALLLRSGL QNPNIGRNMRLHPVTTIYGFLPTKVVKSWEGSIMTSVTDVVSNVHGNGYGARLETPTS LLGATSSLLPWRGNLDFTRLLLQVPHLSGVVTIVRDCDSPIQVKIDATGRPRVHFELG PKDAKSMVEGMIASAKILLSQGAIEVNTSHLSVPALRLDTPEDRANPVECETSQAWFR QLRATGIPVNSLGIFSAHQMSSCRMAATPAKGAVNSDGETWDVQGLYVADSSVFPTAS GVNPMITTFAMGYSVAQSIKANLALEEAMGAPRTRPPPLAPPSLWSRLMSWFV H257_07413 MSRFLRAATHAGKTGVGFNVQIVLHKLTSSDPDAKELEMVYPVV TRGSHKVKGTPAPILSSRQVHWTEETLSFHCTMYRTKQATFQAKSFTVDVVKSKGDAV LCTFEVDLAQYTSEKNHGSNSYSLVVQPKKAWGNGSLMMSLATALDTDRPVTASSTTA SRTQHDQGDTSECERSSVAESPPKRLPSHIVSSRRMTFQSTCHEPGHDDELTSNSPVD ESEASSATPNHHDDDVQAPPSSVSFAAQIIKYDRANKDLQAKLDAALLQLSQAAKPPS VSDEDVGYRAKYDALAVAHAAVQDELVSVKEKKAKAEKEVVLRRTELQAAMESSSRVN VVQLERIRHLTSVNEELKGKVQEYIRTAAESAPIVALRHVSSWSEGVGPQALAKPVDA DLTYTPVAASNTVAAELLRLQQDKKALEEKATRMQCDVESLENQLFERSSELQQVLEL HAHCNHSLSLKATELAKAHEEIVRLQMQRTSAATISVDHQDNTSGEADALVEMLQRTV AELQEEVSILQTKNSQLRDAKSKVETELCKRVAELKRSRSTSATSIFDQAQQEQLSAV SQAQAQRIRELEAQLAKTTEAVPPPSAEIEVVRNVLSSSSSATRPNDDDLLSKRQAST VFDAEVGYLKQQVRQLKDEHDRVQNELAEKTGELHRALDMHARMQRDSVQQLNQAEAQ LEAEGHRLLVLQAQIDCLKQEKDQWMGEKYALEKSVAVESSEKQRHLEALAALKVVNE EVIVARIEEAHALHTAELTSLKSDMAATIDENQQLQAEVKALQSKSSCEHSDTSALRA QIQELKHDLQHMQYELSEKAAEMVDWQRQYSSQDQHESELQVLLDEKTQVCCGLQTQL DESQLEIQKLKHRIDQQVDATNDEMEQLLQQRNDEWMAAKDELAKCTWELNQLKAQQA DEKAKGDGGGGEEEGSDVKQVEEEVKLAKDQLTSCELELESLKVVNRDLEAKVVALEK QLSASTSVDGGIDQLKKENEYFQTELVQTKMKLALLQEQHDDLSSEHKKIEKEWLLLK IQSAESALKAKKK H257_07414 MAIPTKFIVAVVCFDHRIGPEVEFVYPPPEEHREDESWQNIKDV LPLLALPSRHEAASDKTSSTCFFFHIPSKEQSVAVESWKGVAYYDVIHPHAVHGLDDD TLAKFSRGAIQKSVVVVSKTPYQAYVKAKLEAMANQFFAQSSFGDVGLVVELHDALSS VDFEALSWPQLHVGLPLPALVRATDVHLAGLLRLLLAEGRIVFYSSSPEVVSSSVLAF LSFLPGGAGDFHATPSRPHVHRYRWAKYGLPLAIVHPSSSFRIEPYLISTYAAILFHQ PEDTGGFIAGTCDPMCLKFNQASTAAAPVVDAVVDLDVHQVVFHSSSRATAAATLGSQ TRDFFNRILAANTALLHDESRIDWVGSEGWIRQQVQVYLEQLLMDVAVEPCRPMRSSF WNLLTSSQIESQVSAEHNPAWLQLWYGTFNYNQWRKSHRLHDHTTLPPPLPPPPSSGK RSYTYPNGDTYEGEFVDRKRHGQGTYVVANTSFSYDGHWAHDLRHGVGTLRSMQGMYC GAWVRNEKCGHGEFSSVHETYTGQWRHNVYHGQGKLIQPQWDYEGEFSHGHFHGMGKC TFRTHSEWKRYRGEWCRGEFHGFGTLEYGNADVYVGDFISGKRHGQGTLTTAGGSSYS GEWKGDMQDGHGRSYSSVSGETKEGTWKCHQPVEGKHAEWVILYPNNDKFIGTTQSGR PWGQGICRYENGSVYTGAWVDGLREGLGIFCDYQGRTFEGEWRNSQPWKEQSISPYVD VSLEDKTTIDGQADLVPPTEDGVHVFVYANGDVYSGTFRKGCRHGVGKYTSKLSRHVY DGEWDMDQRHGQGILTSGSSDFIYDGDWCRDTRTGKGTCVIRGAETYTGDWEENRFHG MGMYTAADGSVFEGEFVHGIKQGMGKLSTADNHVYHGEFVHGERCGVGTCTYANGDVY TGEWKANQRHGEGTMVFRNGERYVGQWANNVRQGYGCHMDAKGTTKDGAWRGDLPVDG DWHIQFASGSSYNGECVGGKPHGHGVCKYTNGDVYSGEWMDGARSGWGVCVFANGHVF QGEWTANHVSLNGKGTLTMANGTVHAYAQ H257_07414 MAIPTKFIVAVVCFDHRIGPEVEFVYPPPEEHREDESWQNIKDV LPLLALPSRHEAASDKTSSTCFFFHIPSKEQSVAVESWKGVAYYDVIHPHAVHGLDDD TLAKFSRGAIQKSVVVVSKTPYQAYVKAKLEAMANQFFAQSSFGDVGLVVELHDALSS VDFEALSWPQLHVGLPLPALVRATDVHLAGLLRLLLAEGRIVFYSSSPEVVSSSVLAF LSFLPGGAGDFHATPSRPHVHRYRWAKYGLPLAIVHPSSSFRIEPYLISTYAAILFHQ PEDTGGFIAGTCDPMCLKFNQASTAAAPVVDAVVDLDVHQVVFHSSSRATAAATLGSQ TRDFFNRILAANTALLHDESRIDWVGSEGWIRQQVQVYLEQLLMDVAVEPCRPMRSSF WNLLTSSQIESQVSAEHNPAWLQLWYGTFNYNQWRKSHRLHDHTTLPPPLPPPPSSGK RSYTYPNGDTYEGEFVDRKRHGQGTYVVANTSFSYDGHWAHDLRHGVGTLRSMQGMYC GAWVRNEKCGHGEFSSVHETYTGQWRHNVYHGQGKLIQPQWDYEGEFSHGHFHGMGKC TFRTHSEWKRYRGEWCRGEFHGFGTLEYGNADVYVGDFISGKRHGQGTLTTAGGSSYS GEWKGDMQDGHGRSYSSVSGETKEGTWKCHQPVEGKHAEWVILYPNNDKFIGTTQSGR PWGQGICRYENGSVYTGAWVDGLREGLGIFCDYQGRTFEGEWRNSQPWKEQSISPYVD VSLEDKTTIDGQADLVPPTEDGVHVFVYANGDVYSGTFRKGCRHGVGKYTSKLSRHVY DGEWDMDQRHGQGILTSGSSDFIYDGDWCRDTRTGKGTCVIRGAETYTGDWEENRFHG MGMYTAADGSVFEGEFVHGIKQGMGKLSTADNHVYHGEFVHGERCGVLVRMQTGTCIL ANGRPINGMEKARWYLETASATSVNGPTMFAKDMAATWTPKARRRTGRGAATCRWMAT GIFSLRAAVRTMGSVSVASPMVMACVNTRMGTCTAANGWMGLEVDGACVCLQMATCSK ASGRLITCR H257_07415 MPRRHHGGVTTNNDALPQPPLVEMVFLGTASMVSSPTRHVAGIG VVIGGDCWIFDAGEGTGTQLAKSSVLQSAVSRVFVTHMHGDHVFGLMGLLLSVGSTGD PRIIQVVGPPGLRRFLRRNLADTQSNMKCQYRVDELCFEQAKDEDALYLPLETQGLNV LPCADGTWRVPPSPSTIKQHQHRHPHDDDDNSSPMEEPFHVCAAALKHTLEPCYAFVI QERDYPGRVHLTNECKARLLHDDNQAFLKARGVANPLSVLSRLQHGHAVELADGILAP DDVVTERRIGRRLAILGDTCDSRAVARLAVGADVVVHECTNAFVESLDGGGHTSSEQV EAATYVHGHSTPRTAGRFAQAIQCRHLILTHFSRRYKDDGSMEPVMDTIRRQCGALYD AGKIECAHDLEAVTVKIPKEDRYTDTDQAYKDAATAADEAKAHAQAFFHAHESLLLQL SRRSRRLLE H257_07416 MVAPSFESFSPTTLADVLPRAQVLRHDIRPLWNSPRIAGPAFTV RLPPGDNLMLHVAMYEAPPGSVVVVQSGDVSFAAIGGNVARIAKERGIAGLVLDGVVR DIAEIRALGFPVFARGIVPFPGTKTRRGALNERVVCGDVTVDAGDVVVADEEGIVVVP TSRCQEFFRAALVRQHKENTEDYEEWKLNHRARLQDAYYSHIEQ H257_07417 MEGQGVRSLFGPPSSSESEGWEVVDQEDRAAAPHAASRQALSIN PHAEVNKPQFPVRVPTKNAVPSHVVEDLLAEHHRIHGRQATLDLYADDADDNDGGAWG TDSPSNLLGSDELDYDDEASPAKAQTLHQTSSWNQHIELSPRQPPAGAFGDDDLDLDD DDDDLSTTSHPDVARGPSTPTAAEPSYDLHTSSPFSESAEPPEGAFGDDDLDLDDDSE GDVDQPIVTEQVEQDEVPFHAHVPEQVEVTSHNRVHEVLEHINSDNAPEVHSFVIAPE LHAIPLSPYDAPAGAFGDDDLDLDDIDETEQVDDVAEPPVEMDIPEVSHIDTRYHLHD GVAEASPEESPTHRDSWTGEAVGVDDDVVGTAMYAEHDQLPPHPLHHPPAASPPNPLP PVSQSEKVAASLDDSSVESHEQPVLLASPPPLPFALDERVETGPPLHDHPSEVVLETC FDRRADGDVDIPEAIPPHIVDPPSVQEAEDWHPESVHVIPPTVTSVLDRDQDAANVLS NSDPPAAVELHAEIEFDHHHSAASLFASHVEAYDTASPFDLPQGEENPADAAAPLHVM EQPQVAGSNNESPEQVVEASFQSTNVYMDDDTQTPVRFQSAQAAPPPPHHHHTSAPEV HGGTPPPSSSVDSAPPVLEVLDHSIESHPSPFDEADATGFFSPPVPPYPARGNVSSGY EAEGVDTAVPDASAVFSAGFGDDLVPEFHTPRPSSDQYESQSYATSSSPFDDQHGDHA AHSAVSHILAPPATSAFGNYEEITPPHETLFDAPLDSPEETTAVYDHDPFRSSAHGSP SDFDPQGTASADELFSSAPCFSGFDATPPRAPSHFANPSQYYSAPHVPTTTQPLDEFF STGMDLSSSFHSVGHDAAHLFGEAIGTDDHHPFGDPFASASAPHHHHQQQHHPDYHSA DELFPQGNDAPSVGHEEQCGHDQRHNYLYPPPTPVHQSYSAFQPPATYAEQTFESHEV EQSPPPEQHPHAVDQSGFLDEQPLPRSRSVIGYERHDYAPQVGHEDEFTGDDGPPPPS AQTFTAGGVLHQSDLNEGPTFGSPEQCDPVLNQQRTELYRSHPSSTSDLLLSPEVVRA QYATPPQPDNHSTGVTTSVTSNASPGSSTLLTATTYPETTAPSPPPHPTTKAAEVAST NRPPHQTPPVFQVSKLKLHLDENDVEDVPTADSLFSPTNGNEISSVFGASAFDQPPSS LGGFANNATTLFSQTSSATAVESFARPRPSYEPSPETPFASETPFASISSANEYGHTT AASFEEPAAADLFAGSVSSTPFDQQLDFAESTEHQHPFGQAATHQDDAAQGFGQYPEP SPYNQSAANAFNQAAEPTHAHKSTPYNTYSTNAQSSYTDQAGHGGDFNQPAAATRHYD AHSSYDAQYGQHQPPTGYGYAQPGYQQPPVYHQPVAQTFDQPAPPSYPQAPGHGFSQP AYTQQQQHNYHQQQQGHDHHQQQQGYNQSHQYTAHPSPHPSHGYPGETARPAAVKPAI FKPQVAQAQPVAYEQQHVPRMSRELQQQYKPAASIPHPTTPAVFNPAPKTSNRPKDPS VVPRTCLAAFGFGGTVCVMFPRRKLKLLSTALPRNSPRSLQGSSHSFDEPLDESRKGP LDFYKMDSLHHGSDGFYEKVRGFPGPLLPSTSDEAILKYMGAQGSVHEDERVLWELMH VFVKSKGKVSNRDATDMLLLPLLQNSLARRSNAQYDAALPPILNTRATDESNATIRQL LLNGDRKAAVDVAVAANMWPEAMLMASMVDSALYKSVVESFVSSRYGSGDPLRTMLMV LGDLGVQSVQEVPNNHVSKDQLPTTDSLLLGNWVAQVQILIANPTTNTNTVLVELGDR LLRETNNVWAAHTCFLLAGVPVEAPNARMTLIGGHATGDVRFFVRPHTIQWTEVYEHV TSPTPLVPFQGYKFIYATLLADAGCCDVAFKYVDAMRKTLETWTLKLKMPSSPYLDNL KMQLDVFDDRLRFFMGQERVDAAEVQVAKKGGGLFSSLTGFLDKTLDKIVNDTPLPPA KTVSMVTGTAFAPHMFPPAPPASNNSQTGPGSHNSGIAAPGSQGGHSSASSRGPYAAA PGSQGGYGPPSGTSSHNHKGFAASPQAAADASGFGIDSQNDPSSWNTPPHHPPAAPTS SHEAVAEHSALPPRSQHSNAAAAPVSAPTHAQPVPHPPLKKSSSFSMDSKSHVVANKP EAPDTATSTSISKAKTPPTSQKKSGWGFPSLSLPSLGIVDMLRRGADPVGDATVAKVG RDMEAYFCQEKKRWVFPGEEATDDAAGPPSAPPTSFAAAAPSSSASSAPDDPLAALMA PPPMKETTPLAAMMAPPARSMYGSQRGGAAAVKRAPARPQYAVFKPSPAAASSVSDDP PGSANDNSSLPPPPGSSGGQ H257_07418 MALLDKRFYRPLHIFSVGLCSVLTVKLVLFTEYKSPMGLPDQEH VFTGIQKYTQEQLDKFFKVDEVAQQRKQQAAKNDADK H257_07419 MPRNSGRRKVASQASLEADARSTPMEPEPQPGDHEAILESMATI VMQVDLNGILTYMNRHTQLVLDCDASTGIGKAFVHTFVVSSSRKTVVDASHSAASTTR VDSRATVNKHLQGVLGTAEPAVFQCSLQTYGSPSTTMDVLFSASPRMDSSRNVIGCIL VGTDVTSSAPEVKATSSSEHVYARILERANAPIFGVDHLGRVNIWNKKTAEITQFPAS EVMGLHLVDTFIAPAYRGPVGEVLNQALHGVETANFEFPLDTKHGARQLEILLNATSR YDEVGNIVGVVGIGQDITDRVAQEQEYSRLIDKANAPIFGVDSNCCVNIWNRKVAEIT QYTMDEVVGENLVEKFISEEFRQGVRHVLSLALRGDETADFEVPLVTKTGRKVNISLN ATARFDQMGHIIGVVGIGQDITDRIAQEQEYTRLIDTANAPIFGVDQNGLVNIWNKKA AEITQYTQQDVMGKNLVEKFITEDYRKAVGYVLSRALQGTETANFEFPLITKTGRRVE ILLNATPRFNELGKVMGMVGIGQDITDRIAQEQEYSRLIDKANAPIFGVDADLRVNIW NKKAAEITQFSNEDAIGQNLVETFISEEYRLAVSEVFAKALTGTETANFEFPLITKSE RRVEILLNATPRYNELGDVIGVVGIGQDITDRIAQEQEYSRLIDTANAPIFGVDSNMC VNIWNKKAAQITHYSISEVMGENLVETFISPEYRPGVADVLSKALNGIQTANFEFPLI TRPGTRIEILLNATPRNDLHGNIVGVVGIGQDITDRISQEHEYFRLIDSANAPIFGVD TNGNINEWNQKIEFITGYQKDAVFGMGLDTFIIPDSRSQVKQLLNQALIGIDVGEMEL PMITKKGTFLLLLVNASSKKDIHGNIRGVIGVGQDYTARKQMEAAKVNFLASFSHELR TPLNGVLGMLELLKEQKLGKVPERYVHMAYVSGSLLLNLINDILDLSKIEAGHLEIQS APFHIEDLLDYTIEIFKFKAHERGLKLSVVLAPNVPEVVIGDVVRLRQILLNLLSNAM KFTLKGTITVKCSVAPSNPDQPSHHKRLLFQVIDTGVGMDAEEKSRLFSLFTKLERTR KNNPTGSGLGLAICKQLVELMDGQIDVDSELGIGSVFFFSVAVRVIPDELLPKLAPQL EPKQSNSIGGFSPSARDESVVPKQARILVVEDNDFNWEVVKCFLHGGDDHYLQWEING KDAVDAYVSHHESFDMIFMDCEMPVMDGYAATQAIRQFEAENGLPRMPILGLTAYAMC GDREKCVDAGMDEFIVKPISKSGLLKAISYWMRKRYIPNLNLAESGLLLDGYPDLATS SPRSSVETTDLQPPSDFFTNSSRKMNVNLVHASNHTQNLDLTRAISDLELEDPMMIGR HRVMATYDTSTIDDTTSNSGGKPCNQSMFSLGVSSSSSNMRSSSSRSPIPHTTSPMSK TYHTFEQAKHAAAMMKGVDLARRRHTSNSLPDVTKLLRPLAQAVADDDDDDAAAAEWQ RQQATTTTHSQHHTSTRQGSLPPVPSVLVNTSSVLDIEIPDGDPVDYSTGVSQCGGNE DLFLKLLEKYYLGLDAAMHKLEKAHHNQDVAVVRRDAHSLKGSSAYVAAMRVSKAAFR VQVAAEHVQNNKALPDSTATFEASYRQLIQELKALKGYLRRNFQFARPAVNPSSSSSS RGPTSDTTPKGAGPCQVM H257_07419 MPRNSGRRKVASQASLEADARSTPMEPEPQPGDHEAILESMATI VMQVDLNGILTYMNRHTQLVLDCDASTGIGKAFVHTFVVSSSRKTVVDASHSAASTTR VDSRATVNKHLQGVLGTAEPAVFQCSLQTYGSPSTTMDVLFSASPRMDSSRNVIGCIL VGTDVTSSAPEVKATSSSEHVYARILERANAPIFGVDHLGRVNIWNKKTAEITQFPAS EVMGLHLVDTFIAPAYRGPVGEVLNQALHGVETANFEFPLDTKHGARQLEILLNATSR YDEVGNIVGVVGIGQDITDRVAQEQEYSRLIDKANAPIFGVDSNCCVNIWNRKVAEIT QYTMDEVVGENLVEKFISEEFRQGVRHVLSLALRGDETADFEVPLVTKTGRKVNISLN ATARFDQMGHIIGVVGIGQDITDRIAQEQEYTRLIDTANAPIFGVDQNGLVNIWNKKA AEITQYTQQDVMGKNLVEKFITEDYRKAVGYVLSRALQGTETANFEFPLITKTGRRVE ILLNATPRFNELGKVMGMVGIGQDITDRIAQEQEYSRLIDKANAPIFGVDADLRVNIW NKKAAEITQFSNEDAIGQNLVETFISEEYRLAVSEVFAKALTGTETANFEFPLITKSE RRVEILLNATPRYNELGDVIGVVGIGQDITDRIAQEQEYSRLIDTANAPIFGVDSNMC VNIWNKKAAQITHYSISEVMGENLVETFISPEYRPGVADVLSKALNGIQTANFEFPLI TRPGTRIEILLNATPRNDLHGNIVGVVGIGQDITDRISQEHEYFRLIDSANAPIFGVD TNGNINEWNQKIEFITGYQKDAVFGMGLDTFIIPDSRSQVKQLLNQALIGIDVGEMEL PMITKKGTFLLLLVNASSKKDIHGNIRGVIGVGQDYTARKQMEAAKVNFLASFSHELR TPLNGVLGMLELLKEQKLGKVPERYVHMAYVSGSLLLNLINDILDLSKIEAGHLEIQS APFHIEDLLDYTIEIFKFKAHERGLKLSVVLAPNVPEVVIGDVVRLRQILLNLLSNAM KFTLKGTITVKCSVAPSNPDQPSHHKRLLFQVIDTGVGMDAEEKSRLFSLFTKLERTR KNNPTGSGLGLAICKQLVELMDGQIDVDSELGIGSVFFFSVAVRVIPDELLPKLAPQL EPKQSNSIGGFSPSARDESVVPKQARILVVEDNDFNWEVVKCFLHGGDDHYLQWEING KDAVDAYVSHHESFDMIFMDCEMPVMDGYAATQAIRQFEAENGLPRMPILGLTAYAMC GDREKCVDAGMDEFIVKPISKSGLLKAISYWMRKRYIPNLNLAESGLLLDGYPDLATS SPRSSVETTDLQPPSDFFTNSSRKMNVNLVHASNHTQNLDLTRAISDLELEDPMMIGR HRVMATYDTSTIDDTTSNSGGKPCNQSMFSLGVSSSSSNMRSSSSRSPIPHTTSPMSK TYHTFEQAKHAAAMMKGVDLARRRHTSNRYVQ H257_07420 MTVNIFVVALMVLALASQDTLASVRDLRGYGSAKHVVLIGLDGL DVRCLHQALANGSAPHLEYLRNHGVYTDKARNNRPAVSLPNWASILYGAGVMFHGVTS NGWTYCTHDEDDVNYTPPYLDECVVYPDLFTVAKQQQPDFTTALFYEWVNFDSILPNE TVAIDTRRFIDSSDCGGTTNASILLTDEALTLITATAMPQLLVLHYDEADACATESSC FADIGQFAIAAADANIGRLLDAVASAGLANSTVFVVVSDHGRNEDGTHHGGKAKSNFE TQWVVYGQDIIEGSRELKSAISIEDTAPTVAHFLGFDAPLEWHGRVVREVLLQANESL YSAAKSPWGTCLNADCGNRKLGLPDVQKVVEVNWTMGIVSTCVVVGGLCLAMFVRFYS YRRGYEEV H257_07420 MIICWRRLDGLDVRCLHQALANGSAPHLEYLRNHGVYTDKARNN RPAVSLPNWASILYGAGVMFHGVTSNGWTYCTHDEDDVNYTPPYLDECVVYPDLFTVA KQQQPDFTTALFYEWVNFDSILPNETVAIDTRRFIDSSDCGGTTNASILLTDEALTLI TATAMPQLLVLHYDEADACATESSCFADIGQFAIAAADANIGRLLDAVASAGLANSTV FVVVSDHGRNEDGTHHGGKAKSNFETQWVVYGQDIIEGSRELKSAISIEDTAPTVAHF LGFDAPLEWHGRVVREVLLQANESLYSAAKSPWGTCLNADCGNRKLGLPDVQKVVEVN WTMGIVSTCVVVGGLCLAMFVRFYSYRRGYEEV H257_07421 MTTLTPSLAKMRAQFEKQERLSMESALEIIHTAHDVMDKEPNLL YLNAPVVVFGDIHGQFFDLMKLLDKCDFFQPSFADSGHTLLFLGDYVDRGAFSCEVML FLLCMKTHYPSRVYLLRGNHECESISSFYGFRVECKAKYGLSVYYHFTQCFKALPLAA VVSASSNGHHRVFCVHAGLSPSLLTLADIEALDRRQEPSTSGPLCDLLWSDPIPEADE SDNPLDEATNMEAQADASPVESPSSVESNEPNTAKWVPNTVRGCSYYYSCSAVYDFLT TNHLMCMVRAHELQDEGYLFHFASPAYAALDSRPVKDFPPVITVFSAANYCDTYHNMA AYLTIQRTARRFDVEQTTHVVHPFPRSFHQSQGIWSVFQATLPYIPPSNDFFEIMAQL NHVVDEDDPPEAPSRRQSTDNNDADNDDVGLSLDEQDAASTISAASDDSRRHQRRLAR RNSNEKHPMAISKALDAISSQWTAKHMAADHLTTTTTTKAKGCDGSSSSEDGQTRQLA FTPQEVDIIKLIFSLMDVDGDLKLGQDEIARFIQRILGDTISDKKAKRYLAALDCNHD GHVDLDDLLSCAAMLKARHDATSRLFDGGDGRRGGMLPWTVLQTMSVVAIGVGLPYAA RLWQWWKTQVSSRRRWLKRAFGSTGSGVVVFVGLAWMYMTWQRTRRRKT H257_07422 MLTRLLARSAAPLRTAAASGRFLSTASHDDFKPKYHAAPSSDKD DVLKLIESHVNTYPVMLYMKGTPSSPQCGFSRQVVQILHAQGVSFDSVNVLDHEEIRD GVKEFSQWPTIPQLFVKGEFIGGCDIVTDMHKSGELEELFKGIVKA H257_07423 MVGRPGIAPPVGTTSAVKEREMDGRRKPQRRKHKPVALGPRFNK DAAKERSKDIVNDVSVFDDTVLTPYLRLGTCRYFVIKSFSEANVHKSVKYGVWTSTDT INMTLDMAFKSDLACIRPILLFFSVCGSKHFCGIARMTSAVNFDLNFGLWEKQKYEGY FRVEWLVLKDVPNHVLMKVQLNQKSFPRACDGDEVAYNEATEFMHCYMSYPSTTTLLD DMAYYNDQQVALEGKRNLSIHAHDGDADDLDSFLIPAVIPSS H257_07423 MVGRPGIAPPVGTTSAVKEREMDGRRKPQRRKHKPVALGPRFNK DAAKERSKDIVNDVSVFDDTVLTPYLRLGTCRYFVIKSFSEANVHKSVKYGVWTSTDT INMTLDMAFKSDLACIRPILLFFSVCGSKHFCGIARMTSAVNFDLNFGLWEKQKYEGY FRVEWLVLKDVPNHVLMKVQLNQKSFPRACDGDEVAYNEATEFMHCYMSYPSTTTLLD DMAYYNDQQVALEGKRNLSIHAHDGDADDLDSFLIPAVIPSS H257_07423 MVGRPGIAPPVGTTSAVKEREMDGRRKPQRRKHKPVALGPRFNK DAAKERSKDIVNDVSVFDDTVLTPYLRLGTCRYFVIKSFSEANVHKSVKYGVWTSTDT INMTLDMAFKSDLACIRPILLFFSVCGSKHFCGIARMTSAVNFDLNFGLWEKQKYEGY FRVEWLVLKDVPNHVLMKVQLNQKSFPRACDGDEVAYNEVWCKMIIAFAQRT H257_07424 MSTPAAAAPKRTVLAYIQDNTGWGFNLVVSNILFWLTGFPIYRD YGYSNVHYNEKGGIASGGEGSGVFVITLVLMLICYLVYFGRMISFLTEKGEIKAD H257_07425 MSGDGYDRHITIFSPEGRLFQIEYAFKAVKESNLTSVAVRGATS CVVVTQKKVPDKLIDPDSVTNIFKITPAIGALLTGLYADAKAQVQRLRYEAHEFENKF GYPVPVHVLAKRLADISQVYTQHASMRALGVVTILIGIDEEKGPQLYKVDPAGNYRGY KATSAGVKDQEATNYLEKKIKANDSLDHEGTVHCAITCLQSVLSADFRPSEIEVGVVV QGERFRKLTEEEIDVYLTAISERD H257_07426 MGHRASKEVECDLSLERLEEIRMLTQLPIPDIIKMRVQFLKWSP SDDMTQDQFFAIPAVAVNPLRHRLFSLFELSASQTIAFQDFASLMAIFTYHGSRDSKL RLSFKLQDMDGDGRITKPDLIAYMKLVVDFGDKLDVLASRTLEEASTDASGDFLSYDD FAKVVTTTDDYETKLLLELS H257_07427 MGISRDSKHKRRETGGKRKFFRKKRKFELGRQPANTKLGAKRVN PVRVRGGNQKFRALRLDTGNFSWGTEAISHKTRILIVLYNASNNELVRTNTLVKNAII QIDAHPFRQWYEAHYGVKIGVKKSQEGETEEAVKQSSHVQRKLATRQRTRELDPRLDE QFVQGRLLAAISSRPGQSGRADGYILEGKELEFYQRKLATKKQKK H257_07428 MSVLKRKRGVGAKDTPIDVDEEEEVDTPSVADPYGCLLHACTLE DHHPVNAPKKSSGTPTKKPRAARQKPNCHDNPNCLFGFGEYADGNWKSTPSALVDIGP DPSDMLRTHLDDDTSIHNNGNAASRPCGLRNLGATCYVNSMVQCLFMNLSFRRAVHEW EPKETQRVSPVLLAQMQALQRLFAHMQLGIQSYADPQEFASTLELNNVVQQDAHEFTK LLLTHLQYIFVYSKHRAHWNHIDSHFRGSMHYVTTCGRCNARSSRSSSFFELSLNIKG HSSIHSSFQSYLAPEVLDGDNQYYCETCQAKQNATRHIEIEESTLPPTLMLHLMRFVY DVKTCTKKKVQDAVEIPAEICMRDLLPSSKVSYRLVGMLNHRGTTASAGHYTAHIFEP STAAWYLFDDTQVDPIRRPPASFLAASKEAYMLIYSRVPRAQDKPKPDAAMPSPVRVA EVQAANAALQQNVTAYAARVVDLTEAIAVRKAKYEYHMETPLGNAAKFYWIDASVLKA WVLGDDIGHSVAATTSETTEYVCPHNKLRPDKSRQLKRVSEQLYRELCSVVHAGPSVV LSSENYWCDECVETSASASIVSQCTHDRLKRNLALLAKDSDDQFVISRKWISCWKVCV HHALGLKQPPSKVLEAQDWLASTAINEDLQCDHGNLQPKLKKQVRSISAALWAELAAE YSVSAVFPTQSTVECPDCVADASTQQVAHTHAKNERNQLLSDYPALFRLYKRPLDRVD WVAANEPVVLMSSAWLHEWKQYIDDVAEDAPSDISSLARCDHGKYAVPSWILEQMVDP IRRLKWPDQLQHGDDEVKKMDMAMVSADEWADLCLVYKAASSAPLSFVMTESQGGGQW GVLDDKHGFVNQPDIRCQLCELKLETAKVALSVDFQNQPVTVVVLREEEAIPSTSKQG GDDEDELESRAAAQRRRRSSRSTRRTNPTYRVTCSADDTVSLLKHRILEQCETAPSHQ LLYFGGTLLDNATSLKHAGIQAHDVVYLKALTDETPSEVLDLVTHDGEDDSQVGFRHS AMSRRGDTATSSWVCDACTFVNESPLHRLAVCEICHSGV H257_07428 MLRTHLDDDTSIHNNGNAASRPCGLRNLGATCYVNSMVQCLFMN LSFRRAVHEWEPKETQRVSPVLLAQMQALQRLFAHMQLGIQSYADPQEFASTLELNNV VQQDAHEFTKLLLTHLQYIFVYSKHRAHWNHIDSHFRGSMHYVTTCGRCNARSSRSSS FFELSLNIKGHSSIHSSFQSYLAPEVLDGDNQYYCETCQAKQNATRHIEIEESTLPPT LMLHLMRFVYDVKTCTKKKVQDAVEIPAEICMRDLLPSSKVSYRLVGMLNHRGTTASA GHYTAHIFEPSTAAWYLFDDTQVDPIRRPPASFLAASKEAYMLIYSRVPRAQDKPKPD AAMPSPVRVAEVQAANAALQQNVTAYAARVVDLTEAIAVRKAKYEYHMETPLGNAAKF YWIDASVLKAWVLGDDIGHSVAATTSETTEYVCPHNKLRPDKSRQLKRVSEQLYRELC SVVHAGPSVVLSSENYWCDECVETSASASIVSQCTHDRLKRNLALLAKDSDDQFVISR KWISCWKVCVHHALGLKQPPSKVLEAQDWLASTAINEDLQCDHGNLQPKLKKQVRSIS AALWAELAAEYSVSAVFPTQSTVECPDCVADASTQQVAHTHAKNERNQLLSDYPALFR LYKRPLDRVDWVAANEPVVLMSSAWLHEWKQYIDDVAEDAPSDISSLARCDHGKYAVP SWILEQMVDPIRRLKWPDQLQHGDDEVKKMDMAMVSADEWADLCLVYKAASSAPLSFV MTESQGGGQWGVLDDKHGFVNQPDIRCQLCELKLETAKVALSVDFQNQPVTVVVLREE EAIPSTSKQGGDDEDELESRAAAQRRRRSSRSTRRTNPTYRVTCSADDTVSLLKHRIL EQCETAPSHQLLYFGGTLLDNATSLKHAGIQAHDVVYLKALTDETPSEVLDLVTHDGE DDSQVGFRHSAMSRRGDTATSSWVCDACTFVNESPLHRLAVCEICHSGV H257_07429 MYTAKPKTTLKAKQAQDAAKVKVKKGPSVEECVARRDFTGAATI LEFNVKSDDTKVEDKRMHLLWLAYCYFHLGHYQRALDTYEEVRDPPEDVFLFRACCMY YLQLYKEATKEALKGPSSNPLQNRILFHCAHKLGDEDKLLVYHQKLSQCKEDQLSLAA IHYLRNHFQEATDIYKRLLLENRDDIALNVYVAMCYYKLDYYDVSLEIMQTYLQAFPD SVIAINVKACNQFKLYNGNAAKDELRALTDKGYNIENNDLVNHNMVVFDDGQNALRTL PQVVDSFSEARLNLVIYYLKHGKVQEAFDLIKDIEPSTPQEYILKGVVHATLGQQTNS RQHIKTAQQYFQLVGSSPTECDTIPGRQCMASCFYLLKQFEDVNIYLNSVKQYMYNED DFNWNFGVSLCNTGSHAEALETLLRITKDEYKHDYCYISWLCRCYILNANPSSAWDLY LKLDNSDESFNLLQLIAHDCYKMGEFFYAAKAFDVLERLDPDPEYWEGKRGACVGVFQ KVVAGKASHVELEEVFGMLKTNNNPQVEYIVRIMKKWCTENGISL H257_07429 MYTAKPKTTLKAKQAQDAAKVKVKKGPSVEECVARRDFTGAATI LEFNVKSDDTKVEDKRMHLLWLAYCYFHLGHYQRALDTYEEVRDPPEDVFLFRACCMY YLQLYKEATKEALKGPSSNPLQNRILFHCAHKLGDEDKLLVYHQKLSQCKEDQLSLAA IHYLRNHFQEATDIYKRLLLENRDDIALNVYVAMCYYKLDYYDVSLEIMQTYLQAFPD SVIAINVKACNQFKLYNGNAAKDELRALTDKGYNIENNDLVNHNMVVFDDGQNALRTL PQVVDSFSEARLNLVIYYLKHGKVQEAFDLIKDIEPSTPQEYILKGVVHATLGQQTNS RQHIKTAQQYFQLVGSSPTECDTIPGRQCMASCFYLLKQFEDVNIYLNSVKQYMYNED DFNWNFGVSLCNTGSHAEALETLLRVQCTYNLCLPMRYSTTG H257_07430 MASAGRASVSGQKFRMTLGLPVAAVLNCADNSGGKALMIIAVCG IKGRLNRLPAAGVGDMCLCSVKKGKPELRKKVMPAVVIRQRKSWKRRDGVFLYFEDNA GVIVNPKGEMKGSAITGPVGKECADLWPRIAANAGSIV H257_07431 MDATTKDSVAVAADPAHDSTLPGDNNNPEDAALTETPAVDLPPR VDVLHQLHATEVEQAQGSALLQHCKDGAMEAVAKLVREGAPVCFVTTTGWMPLAVASF NGRVEVVNYLLSIGAGDYYKLLKQQQLAQNQQTSIAINKQSSTDKSKKAAQQNTPLHW ACYKGHAQVVWALLSWGYSVDDVDTCGNRALHLACSSGNFDIVQIVLTHAPDLTAKNM YGNTPLDMTTDSMCRKLLKKIHTQTTCDHCKEPFGRDRRQSLCQQCHNIYCNVDPCST SVEVPWNSHDSAVRVVQYCRECNLGLAVVERDLEQVLAAKLKAVDDSLSGIHAIELDI RAAALLPPPSEAAPLDAEGVAAVSTPAADIPKDFFVKQLTEALAKLESDVSDVEALKT AISEAQNKAANPRLVQEANDAYKRLVSHYKLVDEIKRLLVERPVNVRSSIECLRSAWR EAKSAHVSPILLEASTRVIVMAEGEVSLYGYYMLSARIGLGSKAYLNDMTKLSHGIAA VEDKGVNDTLLRNAKVLRDKLYAEMAMEVALMLFDEQLDPSTNLPVYVFNDGKRCLTL LDALSHRNHVVTMAMDTAVKMEDTTPISHVLMDQAKELLVKLKKDMKDEQKHEEERRR LEEEEEAAKKAKKGKKGKKGKK H257_07432 MQNHGAAASAEARKEHMKSIKEEKAAQGTSTHQRLINNFTANAK HKLAAKREIHDMVNYVIFLALFLTVAITSTNGDDLFKFSKLVRSHLVVKNFQLVNTSV YKSYADVSNLDEFYEYLVGPFWGAIYGSDSYDGDETVYAATNASNSSVNYNRGYIGGV GRILGTIRLGQVRVAGQACDNLATLGSTWCLPEYSTSTASTDSFGLGHELYEAVHTHI DEPSYISITNRVYPGPAFTVEIPNVEAAECNVVTKANCTVYTMLEELRHNKFWDLATR AIFVDFSVYNPHCKAVAVVRLFLEQTDGGGVMPSVSIRPFLPYVTPQTFQDMFAIVCE SLLYLVVFHQAYVAVHGLRTVGFKYYAIRANIANDINIVFFFIVLGLKVLTHASLPTE FQDDVYVNLRSSANYDYLSRSVNSLNCFLSVLKVFKYLSFIPTFSILTATVSGAVDEL IGLFVMIAILLFGGSLAFTIAFGSMLRHYSVVINSFYSLMGIFTLKFDAEEIFDGNRV LGPVFFVVFVSLIILVIMHMLIACFANAYLEQKETQLFAKDMKLHTLGADVMDHILHN MIFATPFLGKHVFLPLYIYGMKAMSAQPPSAVQAMTASRAHSIRERQSHRSIREKPEN MTNRVFPIHDTQTSSVGPLDEAAVAAKIDNMLREMTDERTALALDVERVLQHLEASKS TESEVHAQDLGVLLAYVHDLEEHLNSKLQAILQ H257_07432 MQNHGAAASAEARKEHMKSIKEEKAAQGTSTHQRLINNFTANAK HKLAAKREIHDMVNYVIFLALFLTVAITSTNGDDLFKFSKLVRSHLVVKNFQLVNTSV YKSYADVSNLDEFYEYLVGPFWGAIYGSDSYDGDETVYAATNASNSSVNYNRGYIGGV GRILGTIRLGQVRVAGQACDNLATLGSTWCLPEYSTSTASTDSFGLGHELYEAVHTHI DEPSYISITNRVYPGPAFTVEIPNVEAAECNVVTKANCTVYTMLEELRHNKFWDLATR AIFVDFSVYNPHCKAVAVVRLFLEQTDGGGVMPSVSIRPFLPYVTPQTFQDMFAIVCE SLLYLVVFHQAYVAVHGLRTVGFKYYAIRANIANDINIVFFFIVLGLKVLTHASLPTE FQDDVYVNLRSSANYDYLSRSVNSLNCFLSVLKVFKYLSFIPTFSILTATVSGAVDEL IGLFVMIAILLFGGSLAFTIAFGSMLRHYSVVINSFYSLMGIFTLKFDAEEIFDGNRV LGPVFFVVFVSLIILVIMVLYLQHVSIIYSYSSLLCTATIILINYEAYIHSTCSLRAL RMRTWSKRKRNCLPKT H257_07433 MPAAYMNRQDMCRMRQGIRQNVTMTDLQHSNIPLPPQHPTTHPS PPKSNAIKSSMPSPPNLFKEAWHQQMPRQPRPRRGKPPPYNQPTADNVSLGEQMQHLK QYYEERVVVLVQRCTEAETKLGVLTSERRDIDTQLQHLQALAHSQHDQLQTARQEYAS LLDWCREREAHYQRTEHDLAATDTLNQTLVHQVEALNAARQAFEVEWTKQRNTWAQRQ EELDASMTHLLQTQAVLRNDILTKDATIQDLLVARDHMESQRLHLKSVNAGLVDQVTK LSHRAVVLEGQVQMEIEFKRSTVAEAEALTQQLHAERRDRQALAMQTQLENQQHRRDF RDRDMACRELTHRCQRMTNDLVVATTRYEKAEAALEHLTGATEARKEDLRQLQADVQR LQAQLADARAAVESKDVALVAAKRDLSGLQARVVAEEAKKLEALDDVNALNQRIATLR RDNMHLISRLESPIPLSVRA H257_07433 MPAAYMNRQDMCRMRQGIRQNVTMTDLQHSNIPLPPQHPTTHPS PPKSNAIKSSMPSPPNLFKEAWHQQMPRQPRPRRGKPPPYNQPTADNVSLGEQMQHLK QYYEERVVVLVQRCTEAETKLGVLTSERRDIDTQLQHLQALAHSQHDQLQTARQEYAS LLDWCREREAHYQRTEHDLAATDTLNQTLVHQVEALNAARQAFEVEWTKQRNTWAQRQ EELDASMTHLLQTQAVLRNDILTKDATIQDLLVARDHMESQRLHLKSVNAGLVDQVTK LSHRAVVLEGQVQMEIEFKRSTVAEAEALTQQLHAERRDRQALAMQTQLENQQHRRDF RDRDMACRELTHRCQRMTNDLVVATTRLERRTSVSSKQMSSGYKHSWQMRGPRWKAKM WRWSRPNEI H257_07434 MKRRNSHRLNVVASMEASRHASLPSMPRRQGGGRGMTGALNTMR QVQDEYASAGDSSTFPSPQRRSRAAMPRLPPTSRVDGTKPPKRGQKKSVDSNTVSHIP PLESVLTSDTSGTFEVTATDDVVTVTGCFSDDDLATPIPMSFQLPKAQVMADHALPLL FPVDEMLAAQTAAVHALVHPPEVDVSTSVVRSSGGPMFNIVVKGTVKELVAEANKLSW DAAKECKRQFMHDRLYYERKKDRIAPDYDRLFKHYAPMVASVVAALRQSLPATHTPRM FVARTLAFVQCLPYERAEGGFRRPFAVLTDLRGNCGSKTTLFLALLHHAYPTLDKCVC LIPQHVFAGVKLEKVEGDIMVGDENQFVAVEPVGPGRLPVGQLRPTSTPSLLR H257_07435 MVEKHHCRQCNTWIQGDRISIRNHEASQRHKERVTANATHRAAE KEKADRNESERLMELEEVECNARARFAMDMRGRSTAVASDRYDVPIGAGPVLLPPQHE EDDDDIPPPPPPRRHKMTPPPPPPRPQHVAQQHQQHHHTYQAAAEAAPRPVVDVYYPP PAGPPPPPSLPPPSIPSNAWHPLPPSSPPHSVYPPHHPQPPPPAMNPPQSLPPSHVEP SIEGVEKSKGFYTVRGVVYLEGQAHESKLTKAKPCEVWIEDEESWVPVTITRIFTTTV HDTNEKFKHYHVQRQDDPAAPPIEGVRSDALRIPLNLPVGMVVPTERDQTADFLHRVQ QVVAGDSSCRVSEPVAAKSAPDYGGWATVSVRVVDEAAEEAAIEADAKAFQAQTTAIQ VERDNELLMEESLHADNAMGAFNPWGGRYKGIALDETSKNDPEEQDNEGGRGKANHVV AFHVKKGKRANGKRRVADDA H257_07436 MRKAIFELGRAVRETGQAVDRLGLRVLGSSLHREKFSRHRQIMA LYDKAPVIAHDSWVAPNASVIGDVEICNDSSVWYGVVIRGDLNKVSIGNRTNIQDRAV IHTSSTTTPGLAPGTSIGNNVTVGHGAILYSCTIENNVLVGMGSIVLDGALVESNSIL AAGTVVPPGRRIPSNQLWAGSPAKYVRDVSEDEVADLVKQASEYTKLAETHSDEFLPY GTAYLDAERIKAQGGSL H257_07437 MGWVILPALRVFEPGFILVSSGFDASYMDPLGNIMVSLQQMLWA NGGCSRWCPTPAAADSCFATKGGYSDFYVPLCEALLGLRER H257_07438 MCTEFPFVLLVRRVVEQTGTTHGVMVAHCPLADALASSVGEGWV YPLGRNIHALRLEWTLDKPVQAMSSGIHGGGSVSGLSSRGHAWTPRV H257_07439 MEAGTVQLPTRRITVYDNPERVQRLRANAAHDLKRSASKEVVEM INYIVFLGLFLAATFHGRNDNSFSTRVAYLSQLQDKPLAHASAFRSFYTMSSIRELHD YLRGPFYDTIYRAGSFDGDTNFPKGSYYNDRGYLAGYGRIVGPIRIGQTRVQGQNCTG ALALVVPPLFPRGCYPELTTATESLDAFGAPNGTVYFARTAMPSEPYFVTKSARVYGA PRFVLEIPSAEPADTCDDATKVGCPVYDQLVSLATHKFVDVATRVLFIDLTTYNPNTD EQTSVRLLVEFTKGGGFTPQVECMSYRLYRNNTTSDMVRLGLELLVLLLVVVQLRQEF QLMRRMKWVYLSMVANVAHVFSLVVFAPLVALRVLCYMHLPSPTAIDLTAFTNFRTSI WYYALADAVTSFTFFLSWLKLFKFLAFLPLFAPLTKTVTKAAKQVAGLILIFGVALTG SALSFTMAFGLDAENYKTVADSCLGLLKILQGELEFEELHTSNRVLGPLFFVIFVTLM FFVILNMFIVVISDAYVETKGELELMKAMHMDTLSKQVLHHILHDVLFNLPGCGARLQ RRYGYVLDSLKAITEDKKLAIDECRKTTAKSTALHANSVKHVKFKRKVKPEMVQQAHL SIDDYVHELSALRASLAQDHPHLLQPQPPPTTPQD H257_07439 MSSIRELHDYLRGPFYDTIYRAGSFDGDTNFPKGSYYNDRGYLA GYGRIVGPIRIGQTRVQGQNCTGALALVVPPLFPRGCYPELTTATESLDAFGAPNGTV YFARTAMPSEPYFVTKSARVYGAPRFVLEIPSAEPADTCDDATKVGCPVYDQLVSLAT HKFVDVATRVLFIDLTTYNPNTDEQTSVRLLVEFTKGGGFTPQVECMSYRLYRNNTTS DMVRLGLELLVLLLVVVQLRQEFQLMRRMKWVYLSMVANVAHVFSLVVFAPLVALRVL CYMHLPSPTAIDLTAFTNFRTSIWYYALADAVTSFTFFLSWLKLFKFLAFLPLFAPLT KTVTKAAKQVAGLILIFGVALTGSALSFTMAFGLDAENYKTVADSCLGLLKILQGELE FEELHTSNRVLGPLFFVIFVTLMFFVILNMFIVVISDAYVETKGELELMKAMHMDTLS KQVLHHILHDVLFNLPGCGARLQRRYGYVLDSLKAITEDKKLAIDECRKTTAKSTALH ANSVKHVKFKRKVKPEMVQQAHLSIDDYVHELSALRASLAQDHPHLLQPQPPPTTPQD H257_07440 MERINRKTMMLMGVNEDEMIVILKKIEELKGFSAQYEIKDMIQY LMFLALFIVVTVDISGHFAPDSPYRVTAMLNAQLRDKPFRYQDIHVKKTFDTIKTVQE LHQYLTGPFYDVVFAGDSFDGDNEFPHGDLYADRGYLGGNTRLVGPIRIGQIRVKAEV CGGAMAAVPGLFTDPVQCFNTYSASTESTTTFGYHFNYTALSPKPAEPRFYSHMHHWY GSPTFGEMVPSTEADSCDFETKVACPVYDQLVSLKEHKYFDKATRAVFIDLNLYTANI DHTTSARLFAEMLPGGGVTTQVEFLTYRLYPWHDTADFIQSGCEGLIYVVVLVKLRGE VALARRVGRRYFSMFSNLAIVCNYLLFLFVLGFRALSFLTLPSALSDSAFVDLRTPTI YFGLARSCQSFNCFLSWLKLFKFLSFIPMFGQLTKTVTRSAGKVLELVVIFVLTLVGA ALAFYLAFGNFATNYHTFQSSFYTLLHIVTGEMSLTDLRLANRVLGPFFFISFVFLMM FIILNIFIVIVSEAYTDTKKELHLMKEMALDTLSKEIAHHFLHEFVYRVPILGVHVFQ PLIEGTSKAIAKVNLNATEVTRRLRNSTASVGRNSIVGLAEANAPVVPTTTHEPVAAE TSLLVAESCSDATDVAAPVVAITKPTLTSPLTLACYDKLVDIERLLPACLERLQAAND LGTLKAWLNHCRSLKAQLDEIATASHHVGR H257_07441 MSSNRGASTYEIADKIPPRRQYFREKQREYRRKMIADGATAKAQ CVHLQSILVRLQTARLPSMAPREASDGPLSWHSIAKVFKSEAHRVLTDRQSLITQTQE FESLRQAMQHFVMMNIQPPMSRSNTWQNATLLADPSARILGKEWLTKQMYHNILEAFS LFPVVSYDDELVQFDLEEDDGVFTWKEQIQFTWPGTVQMFRRLVETNMLAVNFPHYVE ATSPYQVVQEITANTRQFHTITPTGNFVNWLQGHFVEADRLIMVMRQVEHDELYICHP LRKQRHDMLWTEVRQVSPTHIVLRSVSRVSQLFRPATGFMSVDEFATLKGVDLTGIDD GLKGAYLRREMIRGGHEEFLPWRQHFMDVMHQSATQ H257_07442 MREMRGAGVARSERRIELVQSVLHAQIVQQGVNRGMSANAATAE AGGTLPRSLSSFQRVKSSFPSLVVPEFTPITTLTNPSVQMQPSSRATMPHDAPLPDHL HGPLFMHLSQSWKGRDLVAPDDTTVPAVRILPPPRLHLPSRIV H257_07443 MIVRAITTSTAAAERRTRLLKEAVRVQMISLAMSRGLTSVEAAS HVDGTMMSTREQQRVSASLVDAPESEENQAPPSSNLIREIVGTDDVIDSTAVACRPRS SAESVELSSKPSHPPNPHQPEGLDGDASALQYTDQAAQELMHQELSALTATELRKIVQ NHSAHHSDAVDSRLERDSAAAVEVNALADSMSLNNQLDDDMIHRVKHLSMCQQQQLSA IVHKQSLLHVTTQVLDMVHYMVFISVFVIVSMHGRHDDSPYKMTLSLMDQLQDKPWPS RVTSVTKTFRDNVDCIDELYDYLLGGFYDVVYSGGSFDGDNQFPSGNTYSSRGVLGGY GEIWGPIRIGQVRVVGVECTGMLIESSFLRNESTKCFPEYSAATASQDSYGLHGATYS YDAGPASIEPSFISHSARVYPAPSFNIYLPNHENALCNLDTLEHCDVYDQLAALKHSK FFDRATRAVFIDMTVYNRNVRDVSLVRLYVEAYPSGGMEPRGLFQTERLYAYSSSEDA VKLVGEALVLVAVTHQLYRMYMYPPLVQHASNHLHVFNLGLFYVIAVFKVLSYQSLPD IATISATEFLNFRQSAEYFWIAEAVASVVCLLAWIKLFYYLSFVPKFAQLMKTITKAS KEMLGLMLIFMISLVGSAMAFNMTFGMRLYNYHTFWRSFLTLVQVIINKVEFEDLVET NQVLGPVFFCVFVTLMLFVILNMFIVIITDAYIDAQRELEVMQDIQLNVTSAEILDHL LHDVVLKLQWVGPHVFQPLYKMSVRVHKAYYAQRNDTTSDNKIGVHVHDGTVAAELEA LVRMKHGALHQTKPIQHQHESFNGVAIPVESEESTHDDAQADDRQASTFAVIATEAAD YVAAAKLVLATLDPAIDQAKVQAFHDHLDTWLDFAQYK H257_07443 MIVRAITTSTAAAERRTRLLKEAVRVQMISLAMSRGLTSVEAAS HVDGTMMSTREQQRVSASLVDAPESEENQAPPSSNLIREIVGTDDVIDSTAVACRPRS SAESVELSSKPSHPPNPHQPEGLDGDASALQYTDQAAQELMHQELSALTATELRKIVQ NHSAHHSDAVDSRLERDSAAAVEVNALADSMSLNNQLDDDMIHRVKHLSMCQQQQLSA IVHKQSLLHVTTQVLDMVHYMVFISVFVIVSMHGRHDDSPYKMTLSLMDQLQDKPWPS RVTSVTKTFRDNVDCIDELYDYLLGGFYDVVYSGGSFDGDNQFPSGNTYSSRGVLGGY GEIWGPIRIGQVRVVGVECTGMLIESSFLRNESTKCFPEYSAATASQDSYGLHGATYS YDAGPASIEPSFISHSARVYPAPSFNIYLPNHENALCNLDTLEHCDVYDQLAALKHSK FFDRATRAVFIDMTVYNRNVRDVSLVRLYVEAYPSGGMEPRGLFQTERLYAYSSSEDA VKLVGEALVLVAVTHQLYRMYMYPPLVQHASNHLHVFNLGLFYVIAVFKVLSYQSLPD IATISATEFLNFRQSAEYFWIAEAVASVVCLLAWIKLFYYLSFVPKFAQLMKTITKAS KEMLGLMLIFMISLVGSAMAFNMTFGMRLYNYHTFWRSFLTLVQVIINKVEFEDLVET NQVLGPVFFCVFVTLMLFVILNMFIVIITDAYIDAQRELEVMQDIQLNVTSAEILDHL LHDVVLKLQWVGPHVFQPLYKMSVRVHKAYYAQRNDTTSDNKIGVHVHDGTVAAELEA LVRMKHGALHQTKPIQHQHEVLNCE H257_07444 MAGQSAKKAQKAGSELSARLNQSILAVNVVYLLYRVLWHFATFS TWHATGYALILTATYASYTMAVGSALEGTNNEYAMDVLLVTLVVQIGTIVSDYFWYLY LVVPGYVFYYVGKKAIGFFFPQGASSEDKTGGDAAVSKRMQKLEKRGVRQRAP H257_07445 MVLKTSDDTADASAAKWTLPREQAASTDVRCPNCGATHPNPDLA DTYRHCHSCAKVLRESSVCVLPHLQRNQSRRAFEGQNRLAVIAASFGHASDSKRAIAV LAEVQALVAHSTTHSRLHLPAGTPLMSSYFSKYADPAPSERKVLCLRYVVPNLNPDLT RHGEVRCEAGTDGALVLPLTLHFAVDSLPKLWINRATYGHRHSITPGLVFDVAERLTG LADLNGGDFVSVAATTDLTTLFGEPCDAIAKTLSVEYEIIGRSGQARQYELDGHLVQA IVIQNLPVVGPGVLIERAEYGWLPKDLDMKLTEVHAKAKLSTCSDDLSKWQALRNANS SPCHLPVAAVLQARIDKAQVPGSELNIGTDENLNALFGDPCPGLSRFLVVEYSLLGYG ETGSDDEIIKKGGGQSRNFCMCKGGKLRLHVTAEGFLVKPMSISSQEVFPSLIVSRAY FGHPTNVLKTFDVTEPVGVLAAKGNAAKSLVISRQMDLVAAFGDPCRGIRKALTVNYQ VLGMGGTLVLRITDANRLAGGLVLGYPLDKVDGGVDGKMGFSERLAISTTQTTKPTAR ERMQSSASQRLWSSSK H257_07446 MAPTAQWTPIEDQTLRDYVYLHGGKQWRGVLHLFGSSKTIRDCQ HRWKLLSQKSMGKQPWTDAEDQAMMSLVRGLGPHKWGVIASYLPGRSGKQCRERWCNQ LNPSICKAAWTPEEDKLLVALQVEHGNRWSLIAEKLPGRTDNAVKNHWHASVKKAKIS NLVPTPQTSPPSPKKSPSRHPSGSSLPFVESDIWNLQDLDLSTASCWMDTDFIWDNVM HTAEEPDDADAYQHVEWLQSVDIFPSNVEGC H257_07447 MTWHTPSLATAGNTSALSLSRDGAQNICAPRTTAPHTAQVRMWE ALSRTWLRSCLKDMWRRWDGRLGPGSTQHPSVARGTAPMQDGSAVGVCCHKCAAALNW TRPSKSTRRAKT H257_07448 MQLDDWDPTSCAFDELALETSMPVASSDSNLRFVRIQLHQVVDV DQIIASTCLGEFRRSTVYAELRLHGQGVSYTPSFRTTPIAAHGGRWENEIMDLRLTEQ DMYTRVLGISLYAVDLLGLSVCLGDAKVPLTPFELLKHQITISDDIHLTEDWHDASYE EVTTCRVQVSVAVWTCDDVNHSTTLEVWEHERFARTSWSSSHLLATDRHVYACGSVTS DKWSDVEPEVPREYVEVLGWAADKSQGDESGWFYASSFAGPWHNEAGHAFPCRRRRLV KLALLASVQTQKQVQFELLQHDHTQLVREFQDVQLLVQAKARELQLQELAHANELGHV RSAHKKAMQEAQDNFETQLAIAKAVQQDLHVKVAALQTQLRQAKVEAPPLATVTQPSK PASTTCLVRIQLHRAANLVAADSVFMGGKSDPYVLFSIGNVQQKSSMHRSTLDPVWHN EIFDFDLTRRTPAVLLVHVFDFDQFSADELIGSVSIPLHEVEETNDPDEARTFGLTIP PKFANKKPSTVTLQFEFGSWIDDDDDDTNHETDGAKSHDGPVQLVMWENERHHRGHWG AANLKATDRQAWSVGATSAAAREAIAPKIPLGMESHLGWAVDRRHGDPNGWFYAASFD GPWMNGPHASAVVRRRKWTQRCTRRRQKSRQVLSSPDDPPLHATAA H257_07449 MGLDSIDVHHQDGIFARWKWGKKKEEKAAAAAAAASASERRSRP SLPPQPLSTPHVPVSIRSAVGNEGSRSETPRGIFYSERGPATGTPRTRVARNSHSLLA HGPDLAHQSAVEGKLLLMHESTSLEWYKNRIKQLEGQVDGKECALCVETTAEATKVKA RLEADIERLRNRLSSLFDEKSAVDAQHEAAVASVREELLVLEGLHKDVTAELAAAQSS LAASIATVDSYKAEIILLQGKVTALEEASAAAAAAAAAAASAHEAATQEHSRSKSVFE GERNNLSSKIAALTAAAALATSAHDASLASHRENLSAVEAQLAALTATEATTAAAYAA SKDDVAAKEAQIHVLTAKLAALEADATAATAAHTASAEKLLAEREDLQAQLAALKALS TTDKSALGGEVESLTARLAVVSIQLAESTSSAAAMSAKLTESDTALADKSAHAEALQI ELDALKTQVAAAAAAATAAAAAHSSTSQQIEMTKKQVQDERDQLKVELAALISTHSTE KASLDASVAALQDHVASSDAKLAALSATQAATAAALAAAEADASSKTAQVSSLRADLA KLQGEAVAASAAAAAAALVHASAIESHAQNKKEVEAQRDTAQAELAALVAARAADQAG HEAALAVVTKQLDDVHAQVATVTAAQAAAQSELAAAQSDIESKKAEINLLTSEVSALS ASLAAAQAEITTTTAHAQVELAAEKASHEADVQALTAKLGAMDVKLADLSSAQAASVT ALATAEADTATSRAQVAQLTADLAALQLSSSEATLAHSTAMATHTQAKEQVERERDAL KQQVATAVAAQLADKAAHEATLLALQGDSSAQVVALQTKLSALEATHGATIATIGTLE EQVAAEVAEKAALAAQVSALTASHSQTVQGIEGERNELKEQVTTLQATRLADQAAHDA KVSTLEGDYSANVVALETKVASLEAAHHATLATVETLEQRVASQSTENASLVAEAAAA SAAQTQAKTVLEGERDALKDQVATLLAAQLGDKAAHEESLLSLQRDSSAEVAALQTKL TALEASNAVTVATVGSLQQQVTVASDDKAALVAQVSSLTAAQTAAEAKVVALEGKADE AKAREAALLVEIAALTAQLTALRGVQGEADSLRQAELERLAKEELDAEAAAVEAARLR TLAEEEELMKLKPYSTESRLFRVQATKCVDLVVADSVFTGGKSDPYIVFKVGDVKFRS TKVWGNLNPVWNDEVHEFTLTEGAMYTKSIEILVYDADKLGDDELIGSVKLPLMRFEQ HLPSGQEEELDLDIPPQFQAQGRSSKISLKFELLTEEMEKASKEQLLWENERLAKGKW EKSNLVAGERKAWSVGARSGVDRAAMQPKIPRGMETKLGWSLDLDHGGDEGWYYAKSF DGPWVNNPNGSSEVRRRMWMQRCTAIVVQDDSNQDSHDT H257_07450 MLRLVRRSGWRVGPRHFSAEVKPSDFSEVLRNLRASEHFDLVVI GSGPAGQKCAIDSAKHGKKVAIIDKKDMHGGVCVHTGTIPSKTFREAVLHLTAHRHKG FYGNAYTASTTGKRLSLEEILDRVKKVEDAETDLTRNQLTRNGIILINGTARFLPTED KKTIAVLSNDSYTEKTDAQRHNDANICKRVLTADKVLIAVGTRPARRPDLDYDGRLIF DSDQLLWGGVDIVPKRLIVVGAGVIGMEYASMISIIPGTQVTVIDGRKEILDMADKEV SDALCYYMRKRGSRFLTEESIVKVETKDNSEVLVYLTSGKVIVGDALLYTQGRQGNVA GLDLEAVGLAANKRGVLDVNNNFQTDVPHIYAAGDCIGYPALASTSMEQGRLASVHMR TSEPTNKLRPDAEAHDPAHPRTRMRSGEVFPFGIYTVPEISMVGKSEQQLSRENIPYE VGIARYEELAKGQMMGGMPGFLKLIFCPTTLKLLGVHAIGEGATEIIHIGQVVMSTGT LIGRLSPTPSLQHVVVGCVGGTMEYFRNAVFNYPTLAEAYRVAGLNGLSKIEALRNSE V H257_07450 MLRLVRRSGWRVGPRHFSAEVKPSDFSEVLRNLRASEHFDLVVI GSGPAGQKCAIDSAKHGKKVAIIDKKDMHGGVCVHTGTIPSKTFREAVLHLTAHRHKG FYGNAYTASTTGKRLSLEEILDRVKKVEDAETDLTRNQLTRNGIILINGTARFLPTED KKTIAVLSNDSYTEKTDAQRHNDANICKRVLTADKVLIAVGTRPARRPDLDYDGRLIF DSDQLLWGGVDIVPKRLIVVGAGVIGMEYASMISIIPGTQVTVIDGRKEILDMADKEV SDALCYYMRKRGSRFLTEESIVKVETKDNSEVLVYLTSGKVIVGDALLYTQGRQGNVA GLDLEAVGLAANKRGVLDVNNNFQTDVPHIYAAGDCIGYPALASTSMEQGRLASVHMR TSEPTNKLRPDAEAHDPAHPRTRMRSGEVFPFGIYTVPEISMVGKSEQQLSRENIPYE VGIARYEELAKGQMMGGMPGFLKLIFCPTTLKLLGVHAIGEGATEIIHIGQVVMSTGG TMEYFRNAVFNYPTLAEAYRVAGLNGLSKIEALRNSEV H257_07450 MLRLVRRSGWRVGPRHFSAEVKPSDFSEVLRNLRASEHFDLVVI GSGPAGQKCAIDSAKHGKKVAIIDKKDMHGGVCVHTGTIPSKTFREAVLHLTAHRHKG FYGNAYTASTTGKRLSLEEILDRVKKVEDAETDLTRNQLTRNGIILINGTARFLPTED KKTIAVLSNDSYTEKTDAQRHNDANICKRVLTADKVLIAVGTRPARRPDLDYDGRLIF DSDQLLWGGVDIVPKRLIVVGAGVIGMEYASMISIIPGTQVTVIDGRKEILDMADKEV SDALCYYMRKRGSRFLTEESIVKVETKDNSEVLVYLTSGKVIVGDALLYTQGRQGNVA GLDLEAVGLAANKRGVLDVNNNFQTDVPHIYAAGDCIGYPALASTSMEQGRLASVHMR TSEPTNKLRPDAEAHDPAHPRTRMRSGEVFPFGIYTVPEISMVGKSEQQLSRENIPYE VVQRTCITSDGRAIVSYAIDCTCRLASRDTKSSRRGK H257_07450 MLRLVRRSGWRVGPRHFSAEVKPSDFSEVLRNLRASEHFDLVVI GSGPAGQKCAIDSAKHGKKVAIIDKKDMHGGVCVHTGTIPSKTFREAVLHLTAHRHKG FYGNAYTASTTGKRLSLEEILDRVKKVEDAETDLTRNQLTRNGIILINGTARFLPTED KKTIAVLSNDSYTEKTDAQRHNDANICKRVLTADKVLIAVGTRPARRPDLDYDGRLIF DSDQLLWGGVDIVPKRLIVVGAGVIGMEYASMISIIPGTQVTVIDGRKEILDMADKEV SDALCYYMRKRGSRFLTEESIVKVETKDNSEVLVYLTSGKVIVGDALLYTQGRQGNVA GLDLEAVGLAANKRGVLDVNNNFQTDVPHIYAAGDCIGYPALASTSMEQGRLASVHMR TSEPTNKLRPDAEAHDPAHPRTRMRSGEVFPFGIYTVPEISMVGKSEQQLSRENIPYE VVQRTCITSDGRAIVSYAIDCTCRLASRDTKSSRRGK H257_07451 MHASTACMLRSMAVICAFIAWRSAWSAVTTLVRSCIRDVRNSSW SVPFLGLGLMIGSSPCMPRMNCGWLNSYMCPWRFMRRNPYELSCRAKLSNLMGLKYSG ITLRSNVGTSYTANDVPLSVHPAMDGAHFSSMLYSFFMYKRGFSSTWRSASVSLVDDS KWSSWSSSRGRFKPPCCGCTRLGLQLSMSVRAPSGLSSGFVITATVVAADDGRGVCER D H257_07452 MFSTAAKATSVDAIAASMNTMKICHGSKINMQEHDSWCKQTVRN PIVISVSEPETRGSYIKKYTTYAVRQDSSSGVRRRFSDFSWLHATLAGRYVGMLIPSM PEKVVYKSDACIRSRMRGLTIFVNQIMRSPYLRQDAAVVGFLGVADDTEWDHVKKSSS VLENAGVGHLKWMQCLMASVVPDDPDKFLVGIKRDVEHVEKCCADLSTSTKKIEEKCS ALSKDISELHLVFNQWKNVEFNACDDKHTELNAILSTTTTTMAGWHDVQYHQPVIHDL MLHEGIKYIAAQVRDFKDILKQRDAALAQHDKVTKPTPAVGVSKTHSYFPRYVATEPT AADMEASASRHEHIASCITRALFFSEAKRIKSLKAQLLRDTMGPFACAEYHVAKRVSI LYGDGVGQLYVGRRHFPDRNARGGQGGVGCRRCRRPSRQSSRRYFISRSCATSSYSAG CIQL H257_07452 MFSTAAKATSVDAIAASMNTMKICHGSKINMQEHDSWCKQTVRN PIVISVSEPETRGSYIKKYTTYAVRQDSSSGVRRRFSDFSWLHATLAGRYVGMLIPSM PEKVVYKSDACIRSRMRGLTIFVNQIMRSPYLRQDAAVVGFLGVADDTEWDHVKKSSS VLENAGVGHLKWMQCLMASVVPDDPDKFLVGIKRDVEHVEKCCADLSTSTKKIEEKCS ALSKDISELHLVFNQWKNVEFNACDDKHTELNAILSTTTTTMAGWHDVQYHQPVIHDL MLHEGIKYIAAQVRDFKDILKQRDAALAQHDKVTKPTPAVGVSKTHSYFPRYVATEPT AADMEASASRHEHIASCITRALFFSEAKRIKSLKAQLLRDTMGPFACAEYHVAKRMAT VWGNFMSAADISQTEMLAAAKGVLDAADAADPHDNQVDATS H257_07452 MFSTAAKATSVDAIAASMNTMKICHGSKINMQEHDSWCKQTVRN PIVISVSEPETRGSYIKKYTTYAVRQDSSSGVRRRFSDFSWLHATLAGRYVGMLIPSM PEKVVYKSDACIRSRMRGLTIFVNQIMRSPYLRQDAAVVGFLGVADDTEWDHVKKSSS VLENAGVGHLKWMQCLMASVVPDDPDKFLVGIKRDVEHVEKCCADLSTSTKKIEEKCS ALSKDISELHLVFNQWKNVEFNACDDKHTELNAILSTTTTTMAGWHDVQYHQPVIHDL MLHEGIKYIAAQVRDFKDILKQRDAALAQHDKVTKPTPAVGVSKTHSYFPRYVATEPT AADMEASASRHEHIASCITRALFFSEAKRIKSLKAQLLRDTMGPFACAEYHVAKRMAT VWGNFMSAADISQTVLFDFVFMMEATEDDGT H257_07452 MFSTAAKATSVDAIAASMNTMKICHGSKINMQEHDSWCKQTVRN PIVISVSEPETRGSYIKKYTTYAVRQDSSSGVRRRFSDFSWLHATLAGRYVGMLIPSM PEKVVYKSDACIRSRMRGLTIFVNQIMRSPYLRQDAAVVGFLGVADDTEWDHVKKSSS VLENAGVGHLKWMQCLMASVVPDDPDKFLVGIKRDVEHVEKCCADLSTSTKKIEEKCS ALSKDISELHLVFNQWKNVEFNACDDKHTELNAILSTTTTTMAGWHDVQYHQPVIHDL MLHEGIKYIAAQVRDFKDILKQRDAALAQHDKVTKPTPAVGVSKTHSYFPRYVATEPT AADMEASASRHEHIASCITRALFFSEAKRIKSLKAQLLRDTMGPFACAEYHVAKRVSI LC H257_07453 MTDNSPKIGKIFIGGLSYETTDEKLRSYFGAYGTVTDAVVMKDP ISRRSRGFGFITYADPGCVDRALAQPNHVLDNRRVEAKRAVPRAESARDASSTTSSRG GPASAASSSNGGVAGLSSSASSGGGAATKKIFVGGLHYETKDAEFKKYFSQYGKVVSA EVMFNRETNKSRGFGFVIFETEHSVDLVLQDGGHVLDGKSVEVKRAVPRTDAPPPSSS SSSGVPSVAIHTQAAVSTSRGSFSDDLSSTPKHLLSPRSNPPASPASPAPLASVGTFG GYAAAVRYGGGRVGHSSLAHGAPPGLGEIEAGLDGLTMDTFGSQQQHRHGPDQSRLFR THGDGYGHHHHPSYLSQHEYVAPHQLAPQWQQRHQQHQPPPHWQQPQQQQQHSWPSDD HHLPLRASSVDSNVFSMFTPPPHRAAPPPPPPTAAPSSSPTPPPRWDQGGFQFGGLGG GFTRTNTSPPTSFDRYGGTGAPVPPSLTRLALDDDEIPPLRFGDPAYLGIDARQHSTS TSHPTQPNPTAGHYLYERHEDDTHSGGGYYTQYR H257_07453 MLLRKIFIGGLSYETTDEKLRSYFGAYGTVTDAVVMKDPISRRS RGFGFITYADPGCVDRALAQPNHVLDNRRVEAKRAVPRAESARDASSTTSSRGGPASA ASSSNGGVAGLSSSASSGGGAATKKIFVGGLHYETKDAEFKKYFSQYGKVVSAEVMFN RETNKSRGFGFVIFETEHSVDLVLQDGGHVLDGKSVEVKRAVPRTDAPPPSSSSSSGV PSVAIHTQAAVSTSRGSFSDDLSSTPKHLLSPRSNPPASPASPAPLASVGTFGGYAAA VRYGGGRVGHSSLAHGAPPGLGEIEAGLDGLTMDTFGSQQQHRHGPDQSRLFRTHGDG YGHHHHPSYLSQHEYVAPHQLAPQWQQRHQQHQPPPHWQQPQQQQQHSWPSDDHHLPL RASSVDSNVFSMFTPPPHRAAPPPPPPTAAPSSSPTPPPRWDQGGFQFGGLGGGFTRT NTSPPTSFDRYGGTGAPVPPSLTRLALDDDEIPPLRFGDPAYLGIDARQHSTSTSHPT QPNPTAGHYLYERHEDDTHSGGGYYTQYR H257_07453 MKDPISRRSRGFGFITYADPGCVDRALAQPNHVLDNRRVEAKRA VPRAESARDASSTTSSRGGPASAASSSNGGVAGLSSSASSGGGAATKKIFVGGLHYET KDAEFKKYFSQYGKVVSAEVMFNRETNKSRGFGFVIFETEHSVDLVLQDGGHVLDGKS VEVKRAVPRTDAPPPSSSSSSGVPSVAIHTQAAVSTSRGSFSDDLSSTPKHLLSPRSN PPASPASPAPLASVGTFGGYAAAVRYGGGRVGHSSLAHGAPPGLGEIEAGLDGLTMDT FGSQQQHRHGPDQSRLFRTHGDGYGHHHHPSYLSQHEYVAPHQLAPQWQQRHQQHQPP PHWQQPQQQQQHSWPSDDHHLPLRASSVDSNVFSMFTPPPHRAAPPPPPPTAAPSSSP TPPPRWDQGGFQFGGLGGGFTRTNTSPPTSFDRYGGTGAPVPPSLTRLALDDDEIPPL RFGDPAYLGIDARQHSTSTSHPTQPNPTAGHYLYERHEDDTHSGGGYYTQYR H257_07453 MFNRETNKSRGFGFVIFETEHSVDLVLQDGGHVLDGKSVEVKRA VPRTDAPPPSSSSSSGVPSVAIHTQAAVSTSRGSFSDDLSSTPKHLLSPRSNPPASPA SPAPLASVGTFGGYAAAVRYGGGRVGHSSLAHGAPPGLGEIEAGLDGLTMDTFGSQQQ HRHGPDQSRLFRTHGDGYGHHHHPSYLSQHEYVAPHQLAPQWQQRHQQHQPPPHWQQP QQQQQHSWPSDDHHLPLRASSVDSNVFSMFTPPPHRAAPPPPPPTAAPSSSPTPPPRW DQGGFQFGGLGGGFTRTNTSPPTSFDRYGGTGAPVPPSLTRLALDDDEIPPLRFGDPA YLGIDARQHSTSTSHPTQPNPTAGHYLYERHEDDTHSGGGYYTQYR H257_07453 MFNRETNKSRGFGFVIFETEHSVDLVLQDGGHVLDGKSVEVKRA VPRTDAPPPSSSSSSGVPSVAIHTQAAVSTSRGSFSDDLSSTPKHLLSPRSNPPASPA SPAPLASVGTFGGYAAAVRYGGGRVGHSSLAHGAPPGLGEIEAGLDGLTMDTFGSQQQ HRHGPDQSRLFRTHGDGYGHHHHPSYLSQHEYVAPHQLAPQWQQRHQQHQPPPHWQQP QQQQQHSWPSDDHHLPLRASSVDSNVFSMFTPPPHRAAPPPPPPTAAPSSSPTPPPRW DQGGFQFGGLGGGFTRTNTSPPTSFDRYGGTGAPVPPSLTRLALDDDEIPPLRFGDPA YLGIDARQHSTSTSHPTQPNPTAGHYLYERHEDDTHSGGGYYTQYR H257_07454 MGVWTEHKTKDGRSYYYNKHTKESVWEKPDGFVPKVVAATDANQ APEWEERMDKKSQRLYYYDRVSKTSQWIKPEGDVPITSYVTKDKGQQGKVDAAASTST ADGLSASSSTTPTGTKDNATTPKASAIDSTATKHHHHHHHRHRHHHDHEIPDHEGGTV KPDDAAVASTAVQDADEEDTSKSKKRKKREKGASSSSTKTKSKKQHKAAADRPKKATS ILRDTYDVADTTLESTDEANHLLQVLGHTDAIMELDILTTINGFLRTHPDANGPEMLV KQLSSSYRGHAQMCRLVGTWLDAAIPPTTSRPDDDDVSADSLMFHTLKAAIVDRYDPK LLSNVLSDSIAEPDWLNTMLHDRKWRLMLIELAEKHKTCSLLQYAIRRISEAGHHKEI ASISTASDVFPVFNAVVADILKRIPFAGVDDVREDYLALQKICMHSAYSYIYTQQVLL QLDQTLSTSSVHVLPYRSKLERLRQTLHEAVLTAHGDQKLDSLHVLKRAGVSAAYPAL SEAIGLVLHEQKCSQVASELLKAVYTSPSPPPVAHIREHVILRRLTTALFHPYEAVES AGHRTSCAFVLAYAATVQDTRPLLATTTDDQAMSWDEAQVHTIAAALEAASTVCKSET TLSYNMNESDAVDKLVRSMAIPVVSMGILHWLQLLVASKTFYNGPFFHAAFPVVMSLL RQAIALHVGQWPTVFQVLVTSVRLQPESNPVKVLETKKETLRCMVHLMTCGYIFPVLH FIATQTDDLDQALLRHFIQLVLMRIAPPYSLRFTTVLSDILLHPKVSQALRTCPVETK AKLKEFAHVCQAEDELAADIRRTLSESYEDN H257_07455 MDGVDALPVAPKRKQDDSVVARPPKQRKGGAHDTGKKKSASWCE ESVARLFFLRYKTELANRFDSKNNNQKKEAYEMLASELSIDVNRVYSAKQPVRGEESQ SEQTIDDVTFDLWVDDSDFVGHYRGMYD H257_07456 MSDDDKVVVAAASSVGSDSGDDSITTRDSHHKQHAPVQPQPNLV QTVIFKLPPPIDTAMKKRGKQKRTRSQVQRIMGWYPPTAIQDMMQADQSTIEALARDR AARKVHEALDLQRALLPRRRKPDNADGNVTPQPSPARGGLSTLPSSTPNHTIQQVTAL DTARTMQAMTAEVAAIEAKLALLEQRDITSSASTVEYTLDSSAPSDFNFAPFSTQRQQ QLSALTSSTSGSPSSFGNLLGHFPRKQVTTQRILSKSLRELYRQVGAVPVNDDSYADQ RERDHFLDKYRYQVRATEGALRIQTVWRMHRFRVVFCRWRATKLKKKRRVFHMWAMVH SIDKIVRLRLCRQAFAEWAEEVECNIKLRLIELKLLQHSSTLYKLPTIVKNLFVTNSR EWLDGPQSLERRARTASTHTVMFVDHAFADVEDRSGGRRTCNRIYELRVEMEKARTAV ARKFVQTTFLQWKAVHQEHSRVVINAEMCLRRAFRMAFMKRPAWVGERVLVAYQMWYR YTVFKRHKRRCLEVPVFTTPLTQWDQWIKAYRDEQIRILEAQAKGPLAWMRRYFHLLV RFWQQRHAKKVATIKAKLHYQRRMVAELFESWHRDTVANLDTRGRLKHVFHAWRFYVL QKQHCRPQKRRVAIKAKQRQAARSWDAWKEVVARHDMIELDRLSKLLQPQVYPKMCQV LFYWADVASQRTKHHVFQRWKATLMQRKNFSKFWCTATSLYDRALVRTTFLALRSTVV PRTSSPPLSGQLVLTPDPDAILDALEKALPYMYRRDHRVEVEPYSLYSFHLAVRDGHV AAMEAMMQHDPLLVYGREPVYGNTALHVAVGRSDKHLGWFHQREVLGSLLSHGASAFA INHVGQSALLATSDLHMRHYIQTNGYGFNASNRPANIRLQDANTTPMWHALALMLVQV QTGGRHLGRACLGGWHSFVPRDSPSPTFGRDETTSRPAPFLYVMANKLLNRKRVQAVQ VRVGLVVSSNLQTTRAMLVRDRSVCPDECRLDWVGGGGIPVSVDLVPELSDELPSSFH ATHYFGKDTTGALESHLATDFVQHLMAKPSMQLKPVEDEVKALDAMVRATENQLLQLE RRGTKKHKNSAGVVDYESKKVYGSIDEEILQVQHHRAWTELRIAVKLAHPQPPPASSP AEADVIKALHNDLPSETDVLVKLDEMIAHQQTCIATVQTLVDASRVELQTHQDQYTTL LDLYTGDDANHMYSQLVTTRLAVDEHKVALQAAEKKLQKHQAKLEQLDTVLKLYSGPI DEQRTYTQDEYATLSSLLHLQQHTYDRLMHTRSKLESRYKDLDQESLEQPAQANLERS MRKSFRTTAKRLLVQHQVLTQMRRSSSALLDLKQHKWFVRSSGSPADSTPEESPPPLD QGALTKVAFSSDSVVRAGLQHTLDADKAATEVPSALVQAYRRSITLLFDAERQMVANE ADAQRRADVQSQASFVEINPVTGQVDPPPSSVMEDGGRRSVVRHPKHQRATVDDVTRL DATRRRGTLIVPSVGGAPPISHDDNGKMPVNKTRETLRRVLLTRGSDDPPNNSSPNDR LDDLDMSSLELDDLHDKSRRRIWGSRFEFANFTVAADTEDASIVALPGSSRVPQSARG GPISLWKADGMTGSVPAADMTFMHKHMTHDKHVTAEKKRTSPLRGGEGVPPMQRTGSS RSPVRHRHSTVEATPDRDDQRQRRRMSCSTSIETNDAEGPQLLPLLELNAAAALKASP LPSSRTRRNMITSRTEQGIEGPLVDGDAVSHTPPDESPQVSSCIDCEVPSIIPGNADN TPTPLDDPPASPQPPSLSPPSVDETDDAAFDDVDVLLSNFTTFSQTLRRQYLTHDPTS KPVHSSRLVYVAPPNSTAVPLRSMDDILQRDDSFRLRRAKPKHKYPKRTTSPLLPSIS GDTLTLAGKSSALLLRSSQSIPRLTKTVPPRYILPPKQLDLPSDLHLPDNQLNSVAED DDWGRRIAHIELQLFNDPPLPDNPPKTSHPYGQPAKLHVTTLDERPFHKAFEPKGRDN AAEAPDHMGLVGTRLERQHHTQSMPTLPFAQGGEVLQGSRLNSDQRNEVWLAFAEKPM SDAVQQAYSAVYPNTYPTIGPSGPSKDLSIYGSANHIHTTTSVPGVVAGSSVAQQQHV QPPSTSLPTQHTIVRPGQKSKDICFWQAVEGFKSIQNFATKGAAFQLPPAKLRRQRRG TEIYDEFLHNDSPHALTWLLRDHPDSVHAVEVVLEADGGCTRNIFDDLQRIVEVHMKA KQRRRS H257_07457 MEDTHVRRRKTRTRMPNFREIKLIRDRESKRIIRQQYIADCRAL KDELAELTGRLRALQNARTSVSSLPWHEVATSMSEAMEESLSESKRLQLHVARAKLVS ARLVQWIQSSVAPDLKMYWTSHHHMRRFSNLAEDSMHVHVSTRCSFVIIQTSIEADMP RVAYALFQATLGLKALTKTLARVCAYGKVV H257_07458 MPEQASTRQVLSPPGPPLHHPGDSLRPDYMSAHHIHSTDRPQCA RTASSSLIEQLAWMACGGVGAIAMHRDDPSLGKYTAHHVSTSTTTNITEYDDLIRGLQ LVKTMGLSHLTIYGDRKLVIRQMRGLYRVCHVGLRDVYRRARSLVVGFHCTWIHRIRE DNQAANLPSKRAPDEAKDFSSLSGPPLTPLTSIDFAAFLGQDLPSTLDRFFHDTSSFA CTIRVRNIHHY H257_07459 MPARGVTRGVKPFINDQTLTSSGLRELDAVVGGGYLLGSVVVVE SSVFGSFGSELARYFAAEGLANEHVVYTDASVVASLPLELSTAQKQLEGRNGLRISVV LTKG H257_07460 MEDTHVRRRKTRTRMPNFREIKLIRDRESKRIIRQQYIADCRAL KDELAELTGRLRALQNARTSVSSLPWHEVATSMSEAMEESLSESKRLQLHVERAKLVS ARLVQWMQSSMALDLVEFESANDIRKWDLPWKQSA H257_07461 MYWTNHHHIRRFTNLAGDSMHVHVSTRWSFVIVQRTIDADMTRV ANALWQATLGLKALTKTLARVCTVG H257_07462 MGDTHVRRRKTRTRMANFREVKLIRDRESKRIIRRQYIADCSAL KDELAELTGRLRALQNARTSVSSLPWHEVATSMSEAMEESLSESKRLQVHMARAKLVS DRLVQWMQSSVALDLVECESANDIRKCDLPWKQST H257_07464 MGGSKLQKFLAVRHIQTPLGPTTGLASSTRLSLNYIHHKKPNAL PEVWATFTVRKHGARVDNARKILQSVDDENFVTREPEAVPINIHMDELAVQASYDQAS RLRVLTFDPDDHALEQVILSRSAYDDAVEAERQLVADGEKQQSVRELVTSHTFKWLLS KSATETSGDDAALVCHLQNTMPLAQH H257_07464 MGGSKLQKFLAVRHIQTPLGPTTGLASSTRLSLNYIHHKKPNAL PEVWATFTVRKHGARVDNARKILQSVDDENFVTREPEAVPINIHMDELAVQASYDQAS RLRVLTFDPDDHALEQVILSRSAYDDAVEAERQLVADGEKQQSVRELVTSHTFKWLLS KSATETSGDDAALVCHLQNTMPLAQH H257_07465 MVLAAASCTTHLSLLLWILAWLACLCGGPNDVVIALFVRGSACR GLRIWRFLTLGDVASMYGGQPSSSSWLSMSTGLRSHRKLCHMWRYARSFSVSMTWRRR WALHAVQIRRAAASLVSNLLVQTRAIASGLALRLSSA H257_07466 MNAPFHDDAMLDDAMLVDALDAIEYMNGISKEAPIGDNGSASNG DEQNLIKQDPSSSAGSATTPQPTEMVLPTNVERTLEESNVASVDASDASPSASLVPVP GNPIDVAPGTAEFTPSPMTQDNSSSPPSDLKSKPTTSSPYVKVIVTKESGLLGFGVLP VKGVCGIQVSTLQPDSSAAKAGLRVGDVLLRLDDTDVSSMHMSSIIQILQAVLPGKPL HISIFRPSVAVPTTSPIYHSTLQPPSSLMQRAVFRDPSSAAAPSAKKAKTTPPSSKSW STMTSTASLQAESAALKKQLDVLTKELQAATADKAKLGEKNAMLRKRVQHLVISADEG KVQFKAECDRRIAALVQDNTQLQTALATAKAQARLDSRAVFDTDVTMDMKTQLEALTR QVGQFEELDKKRKETRKAHAQVEFKLADKYKANLHQLLVETITTELKTMSQMRAQSSL RTNAFGIAGTATVIPSHVKIQLELVRRVAVHRLFNVHMAADSLGFPLLSAVHVTLTDS SMSEVFGKQLMYEERPGLHLVAVAPMELQYDPTTEDLLVVCKWTEQNVIRELARNIRM H257_07467 MLQHQFQVELQALEASQKAARQAAHVKIQTAFEAMDGSLGQHVD GAMDHIIATQQAIQDACIQLGKVQVQRHQKVVRWKAELRRFQAQVDDLKLFEQWCERT EANLHLVCGKLEYVCHELNRLDDDTSTS H257_07468 MQSFTATQTRALRYFVALHAAYMQKRGMSLGGDDASPHGAPFDL RCPSFELHLENFYLLAVHKAADEVVADAQRDLHEVMVEEKLSLLPSVRSFITRERFDV AVYTDKQQVVPGMWLTSALSLHAMLALDETSPPKFRRTLVCCTKREPAPLLESDGTID LMVDDEHTIDFALIVKRLRERKAVCPYMIVYCDSGISLSGAVCIAYIMATHFLPLDAA MAVVRAARRLVEPSDVLLSSLQVYASKLKLDVDSVDLFRPL H257_07469 MLDRGSDAATGTDVVDVSADGSFDIQNQQRRGMPTWEALKAEGS SYFQKKDYENALAKYASALVDAPLDQHHVLHGNRATCLFQLKKFRDALVEIHKALALV PTWDKGLIRKQCILQALHKAQGPKQQPLGAVYTDKASVIPDTSESKESQLVWRRLMRG LESANQDCLDGVFAKLTNETDFRKVMYPGMTADDIRNHHMPRTLKQLLEDPWYEQEMI DLMPKIQAKANSVLDNVKRKGATVGDVMDAATEAILRPQVLREAFGREVLTMLQRVAT KKHAMLAQDASRIADPDAACALWDQLHEATLTSLLGADSAPRFFGVQDDFLGADFVPL VRSDVLRMHKQGQLVRGDGCHVRFLDISTDSNKSFADNFPALADLVEKLHALPHEINH KRRRRHDHHPTAIQLCAESTCATTLVALQAGESQPMRLDCGVDAQHDNGYRITCIYCI SGMPNPGLNDHEYKNSESGGGGIQLHTTSNHHATLAAVPDRIVVLQSQHVLHGITPVP DPSSPMYFVLFRIHGSLE H257_07470 MLGRWGDFDSDVLLNANLAQEDHAYLDVGGGTEYVPRRHTADEV PKPRDVVVPHRATKTAVPPTSTLAPPSLSRQSFHHVNAKCAMFAWMLESDSLRHTVFD ALTPVWEAAKVAAGHSTIMSPHVFDLVAQRLAKRYGGTVLPPHGVVKERHMHAAAFCT IVASMMAPVVTHTTIPECLFAVQSLVDEIVVGRRDGSIPVFRPMDAIEPVQYAPTLAL PPLSKSTLVSALYMEPGVNQSLALDIPRSCSSSVRQSSTHSGGGGVREMAVEARVLLL LLLLLLYIYLLTTMNVIFVVVHFVGHARRPIETKAPIAQVLECPSQRHGRMHPPR H257_07471 MKARAVRKKRNDAAGLKFCDVEWRFLRTAPSPSPTSNFEAGFID RLQADHSLGDACHDVMGSPRVLAILTGEAAKDDMLDSLMTELNTLREAKDHELRQAIA DHQQVHSFSTSFGPNLGVLKATAPLSIGAIAAAKALVKRGTSRRQRSSIHNPEDMAAA QATAKAAVQASLEKAHEDSTCFKADQSGNGTSDRPTTPHIIWRKTDRVHIATDIPSHL LPQTNQRASATTSGGPTTPRPPEKPKKSSYGAWYMPPQVWGRGMKHGTRRGDVDSLRN DERALEIRDQIPKLFIAREYRNFIVAKNDAVPSYLDSSS H257_07472 MADPALQVFECVRNLWATRCLSTVASLKIPDFLRDGPRTAKEIA EHFHLHEDSVFRLLRAVSTMGFLEHSNGNVFALTATGECLISDVPGSMRAYLVTMCAP GHWLPWGNIESTIESGVPATEMELGADLGSYYSKHPEEGHAFNEAMQHVNQMSAGVAL DAYPFEDIATDDMCIVDVGGAHGDFLMDVLNRLNRPSVRGILFERPEVVEAAAEHVSH EIDCISGDFFESVPPGDLYLLKHVLHEWSDSHCVAILRNIRAAMDTSSGRVLVVEVLV EGTEALVDMNMLVMFNGRERTEEEFTALFLQANLKLTQVIRTSTPFAVLEASAA H257_07473 MSTPTAADVTAAFTNNSLDIKQDAVATCVELCKEFALSAESLAE QWDVFAMNASIQVATIDSLGRFRAMLFDQTNKRAIKRETKETLKGKSMVKKEPLASVY GIHLPTVHETPKKRQASASFQSPDAKMAKASVFSPSSFQSPPSAEYASRANAGEVVDS FNTSLKSQINVDGVRGDAVKVECIHTDTHMSAANNFMYTSMSDRAIALDQLLVDFQTR MEAQLGIDCGAVGDPSPSHVTVCGRIVCEAPEGKLNASVVQLEGARKYCGGQRVLLDL SHVPSLEAFPGKLVAVEGIYNDSRHPMQVKRFIEPEPLPFAVTIANDKAPVRIFTAAG PFTPHNDPQYQPLSDLIAVAAKQKPDVVILLGPFIDANHAKDGVVAVDNVLVGFDDMF LFKIVAKFNTLLSALPNCHLILIPSVRDVHHPYVYPQPPFDRTVVFEGLDSPDFHSRV HLLSNPSTFTINGTVFGATTTDILLELGGAEFHRTQQPNQQQRLFRLTEQLLRQHSYF PLFPSTGDTPLDLKYMEQFQLPVRPDVLLLPSVLNRFCGVVQESLCVNPGQLSKGQAG GTYAELTILPKGHHETPEAHQVAQRTLVEVKRI H257_07474 MAESVAKQAGMEGNLQRHVAPTSSLNLMKLRTFVITFTTYATFH VARKSFSSIKGELSRELWMVSSLTSQSNMYGLMDMVFLGFYAVGLYVSGMLGDRLDLR KFLAGGMVGVALVLVAFGVAGLANIHFFWFYLVLWGINGAVQSVGWPANVAIMSRWFG QGERGLVLGLWSSCSSFGNICGGALVSILYGLADPTLAWKLVMLASGSFMLMQSAIVY AFLVPVPPKPHLHDDTDVQEASSKAAIDEDDMCAPAGISFSRAWMIPGVASYAVAYAC VKSVSYSLFFWVPYYLTAARHMDNAKANLFSILYDVGALVGSVVGGYVTDRMGGRRSL YIVSSLCLAGLDMQFLFGATEHMTGILLFLTGILMGGPEMLITTTISADLGTHASLAK NAQALATVTGIIDGTGSIGAALAQYVVGRIANCHSVCEDTALTDLTPRVCPTTCAWDS VFFMLQGCVVVGMLSLSGLVVQETRAAWHLPGNKLQHRPVLQSDDDDEDASLWHESKI SGPDTPGSSASCGSPVSSTTADDTSSEAGSLKHRV H257_07474 MAESVAKQAGMEGNLQRHVAPTSSLNLMKLRTFVITFTTYATFH VARKSFSSIKGELSRELWMVSSLTSQSNMYGLMDMVFLGFYAVGLYVSGMLGDRLDLR KFLAGGMVGVALVLVAFGVAGLANIHFFWFYLVLWGINGAVQSVGWPANVAIMSRWFG QGERGLVLGLWSSCSSFGNICGGALVSILYGLADPTLAWKLVMLASGSFMLMQSAIVY AFLVPVPPKPHLHDDTDVQEASSKAAIDEDDMCAPAGISFSRAWMIPGVASYAVAYAC VKSVSYSLFFWVPYYLTAARHMDNAKANLFSILYDVGALVGSVVGGYVTDRMGGRRSL YIVSSLCLAGLDMQFLFGATEHMTGILLFLTGILMGGPEMLITTTISADLGTHASLAK NAQALATVTGIIDGTGSIGAALAQYVVGRIANCHSVCEDTALTDLTPRVCPTTCAWDS VFFMLQGCVVVGMLSLSGLVVQETRAAWHLPG H257_07475 MWSPQYSDEDEDACDVSQSQDTIRERDEYVDNDPGLKVVEGEGL TEEMNAMKEVFMRMSEKKVRQKEQGQRDCVRVYSEAFQSDIERIFTTSKKSCIAEAQK LNESIEQTSTRLEEQKKELMHVQESYECSFRESIENVTSELERLKELRAKVVDAYELG KHEVVKAFEEAFGSVDTMTCRLQGHAAQIYSDTSYVKAFQSQVERLM H257_07476 MASTTTGAARQRRRGVKEPNDEGTVSEPEYNELRRRYDAASKTP PAAAEDGSESESDTATTDKPFREPRWNFNAKEKNVTLLVDEDKAKKWSSFKTRTLYTL LMVVGFLVIVFGFHQVGCCVLVFSLQATMYAELVSLMFKQQVENEMPKFRRLYYYWFF VCVFFVYGRALMPHFEVHEFDTLFGLYFLEKGFVNKHHTAISFALYVGGFVSFVFSLR KRKHYKYQFSQYAYCHVALLIIVAQSTFMISNMFAGLFWFLLPCSLIIVNDVFAYICG FFFGRTPLIPKLSPKKTWEGFLGALVVTILWSFGFSRFMLQFEMMMCPQVGFHLGDFK GCTPTDIYTPQVIAHFPFTVAPIQFHMVCFAVFASIVAPFGGFFASGFKRAFKIKDFG SSIPGHGGVVDRMDCQVIMGMFTYVYYTNFIQRPDRISSALRMLERLTTDEQLVVFQS LQNVLTEAGVLTG H257_07477 MATPPSPSSWLESTDYVSRFRLLEAANLTSVPAVRSPAPASVVE RLTALTLKWEDLSSLAQRALLWDMGFVRLNDGSTTLQQVYTRCSLGTSTPAGATMENL MVSKDAFLATDQSTTVIKCSSGSALYVRQNISNGVNLDVAANCAVAPTNPSKSSHSSM WAQDGLPPTDVPFPVIMRHQWNSTDGPPFLIFAVHTVPEKYDGEWPWGTCPTKQPGSL VLPCKVYEGAGSGMCLPSPSSRMNDWLEAIARSKMTLPPPIVTTTGPTPIPPPPPSLA TGAIVGIVAGAIAFLACGLWCLLLLRRRRRATDGHATAAPYTSDVRHSSGQPRSSAAQ HQPIQTNSSKLNNFQIDPYLCSRRLPYSSVVQTRMVSKGAFGEVWVGSLDGVTVAIKK VLDAKRNDVEELECFAEEIRLLASLSHPNIVTFIGFAWNTLQNLCCVVEYMQHGDLGS YLAAHQEAKWDDKLVLAVGIARALAYLHALTPNKIIHRDLKAKNVLVSDQLDAKLSDF GISKERTTDETMTAGVGTTYWTAPEVLSGHRYSELADVYSFGCVLNELDTHNIPYANM KGVPALTIVQRVTTMGLRPTFNDTCPKLIKDLAERCLATNPRDRPTAIEIVRLIELWK GW H257_07477 MATPPSPSSWLESTDYVSRFRLLEAANLTSVPAVRSPAPASVVE RLTALTLKWEDLSSLAQRALLWDMGFVRLNDGSTTLQQVYTRCSLGTSTPAGATMENL MVSKDAFLATDQSTTVIKCSSGSALYVRQNISNGVNLDVAANCAVAPTNPSKSSHSSM WAQDGLPPTDVPFPVIMRHQWNSTDGPPFLIFAVHTVPEKYDGEWPWGTCPTKQPGSL VLPCKVYEGAGSGMCLPSPSSRMNDWLEAIARSKMTLPPPIVTTTGPTPIPPPPPSLA TGAIVGIVAGAIAFLACGLWCLLLLRRRRRATDGHATAAPYTSDVRHSSGQPRSSAAQ HQPIQTNSSKLNNFQIDPYLCSRRLPYSSVVQTRMVSKGAFGEVWVGSLDGVTVAIKK VLDAKRNDVEELECFAEEIRLLASLSHPNIVTFIGFAWNTLQNLCCVVEYMQHGDLGS YLAAHQEAKWDDKLVLAVGIARALAYLHALTPNKIIHRDLKAKNVLVSDQLDAKLSDF GISKERTTDETMTAGVGTTYWTAPEVLSGHRYSELADVYSFGCVLNELGTHTVILKHV DIHSHGAM H257_07477 MGFVRLNDGSTTLQQVYTRCSLGTSTPAGATMENLMVSKDAFLA TDQSTTVIKCSSGSALYVRQNISNGVNLDVAANCAVAPTNPSKSSHSSMWAQDGLPPT DVPFPVIMRHQWNSTDGPPFLIFAVHTVPEKYDGEWPWGTCPTKQPGSLVLPCKVYEG AGSGMCLPSPSSRMNDWLEAIARSKMTLPPPIVTTTGPTPIPPPPPSLATGAIVGIVA GAIAFLACGLWCLLLLRRRRRATDGHATAAPYTSDVRHSSGQPRSSAAQHQPIQTNSS KLNNFQIDPYLCSRRLPYSSVVQTRMVSKGAFGEVWVGSLDGVTVAIKKVLDAKRNDV EELECFAEEIRLLASLSHPNIVTFIGFAWNTLQNLCCVVEYMQHGDLGSYLAAHQEAK WDDKLVLAVGIARALAYLHALTPNKIIHRDLKAKNVLVSDQLDAKLSDFGISKERTTD ETMTAGVGTTYWTAPEVLSGHRYSELADVYSFGCVLNELDTHNIPYANMKGVPALTIV QRVTTMGLRPTFNDTCPKLIKDLAERCLATNPRDRPTAIEIVRLIELWKGW H257_07477 MGFVRLNDGSTTLQQVYTRCSLGTSTPAGATMENLMVSKDAFLA TDQSTTVIKCSSGSALYVRQNISNGVNLDVAANCAVAPTNPSKSSHSSMWAQDGLPPT DVPFPVIMRHQWNSTDGPPFLIFAVHTVPEKYDGEWPWGTCPTKQPGSLVLPCKVYEG AGSGMCLPSPSSRMNDWLEAIARSKMTLPPPIVTTTGPTPIPPPPPSLATGAIVGIVA GAIAFLACGLWCLLLLRRRRRATDGHATAAPYTSDVRHSSGQPRSSAAQHQPIQTNSS KLNNFQIDPYLCSRRLPYSSVVQTRMVSKGAFGEVWVGSLDGVTVAIKKVLDAKRNDV EELECFAEEIRLLASLSHPNIVTFIGFAWNTLQNLCCVVEYMQHGDLGSYLAAHQEAK WDDKLVLAVGIARALAYLHALTPNKIIHRDLKAKNVLVSDQLDAKLSDFGISKERTTD ETMTAGVGTTYWTAPEVLSGHRYSELADVYSFGCVLNELGTHTVILKHVDIHSHGAM H257_07478 MIHRSMQRVVRPCVQVRHRSDKALIQSWIQPHVATPLHETRYDT LTITPSLADDLADSDPSIVNLRLNRPTKLNAFNMQMWNELESFFQQVEATPSVRAVVI RGEGRGFSSGMDLQVFAAMQEIMGTIPCDAKKRERLMQLIRRFQHIVSAPERSRVPVI AAVHGICWGGAVDFITACDLRVCDISADFSVKEIDLAIVADVGTLQRLPLLVGEQRAK ELSYTGRSFFGTEAERIGLVLEAHVDSSALFAHAASVAASIASKSPVTIRGIKKTIQY RRDHTTDDSLRQVEHWNAAMLQSEDLVEAFTAMTSKKTPQFRD H257_07479 MADTEPKMNAKERRKLRRAAEAPATPAVVDVPVKKDEVVVAPVA KVKAEIVVPVKKQVKAESSAAPIKAKSQPAPSATAPLVADVKPVKSKTETKQPPKPVE EDFIRLPSVASTTSSTTTPDESTAANSKARRLAARAAKRERTDDATGTADDAAIPAST AKARRLEKRGASRSANDASTPTKKVAKRKLKDPNAKSIHLTLFLGQLPYDATEDMIRK HFHEAGDIKIRMLTDKTTKKFKGTAFIEVADSAALGAALSRHHSLINNRRINVELTAN GGGTKSELRQTRIKDLRDKQKVKQVEKVQALLQKHVDDPACKLVQEDVDERMTDFLSW FDYDTAKLALDDFNRCVGDKVLNRRAFFMGILKRFRTTDGVEDERPPKRSDSKPQGGR GRGDFGGRGGGGFGGRGGRGGGGFGGRGGGGRGGGGFGGRGGRGGFGGRGGDRDGSRP PFKRTRRD H257_07480 MELQDPARPRTFAWQDGSSRRSPEHWKVPNLNCRSIWLCWFMDD SDLGICPFRFLTPVDVTNWRCLAKYRHVLTTLVQIAIDRQLAPSEAAIATLSRPQLKA LFVPSFRVLKLGVPMEVMSEMDTNSIAAVHKVLTSTDALHP H257_07481 MFKLNARGCIDLMVVVYGKREKSASWLVPGQMNTCQPTRQGMDG RVTSSFRRPSSATRVVEVTCPTSVPGKPVQRPAASQKRFPSQIFQATATSTNSLARDE ADDPTGPVTRSTPAKRLCPPTTLVSVAVDDWQFAEPSTAKPVVRRPTATDAETTTTTA SSLRHLHEWPDGSTHRLPKNFQFPKLSCDDLWERWLRGWPEMSIGPYRHLTLDDACGM KNHESLKRAQHVMTMLTDAAVSAGLVVSSASLALLRTSELQSVFAAPFWKLPLA H257_07482 MGLLAIHVPQEKPSHQPPKPPLHIQQTSPYFQPSTKLALFHYVD MGCASYQAYRMSRYLVNHRQSIFGWFLQGFVVSNIVWGFVLVAITAAYGQAPCPSTCV FSISPWFVCHCVHVDVNCARLAVDGDNATEFLRGDLLGPSVLIAEFRRCSLTSGLPSS SLASHQGLTGIFISFSQMRCWDGGLPPRRPRDLFFLRVKSSPPTRSIPDRFFQVWANV TSLSFSNLLIDDVSMEIANMLDLVWLELPGEWNCNRVADMAECVGDMQGYVKMVLMAA LYVFQFLVMDRVPCRAAGGHGGGGVFRR H257_07484 MSRLQRSSLRASVTSAQLTTLFKTPILVLAFTRHVTSAVYFASI SVTYKSANQEDLRSVQAYAPGIVGTIMGLLSLMHVYGLAWTVRRVCCLQRRGAASPVG RFLNSIMSPTTQLTLFHTIDVVSQSYQAGRMSFFLVDRRYAMSFAMLVSAYCLVTPWF LFTKHTVARRSLVLLINNALGFVLNSAFPIALFIFQALRLVILDRHVQNDDKFVTVSL LIGRYIMVSSPADLFSKIASQCLSCVSIRSLVQSVTTKAPSTRYPSRYSPPAAIVCGP PAALPLDSFSLQFRHRRHMLWYLIANLAWGITIVACAGAANFLRTPCPDYCMLATSPW LDLTCSCVHVELNCALRGIRGTTVDEYIHPRDIGTSLFTLNVRRCDLPHGVPIAALQP FQSLFAVFLYMTNITDWPLDPIGLTWPPSLTVLHIRGSNLQHIPQAFAVLPPNIVYLR VEGGHITSIPDAVFIAWANVSSLSLSNLHLAQIPSSIATFQELVSLELRGNELTNVPL IDPVTGTSPSLLTSVDLSANHFDHVPLDFVMLLPGVQFELSSNPIAALPPSLNPQLLT TRQLILDDTPFCNSAALSYCLPKCAKQCETELLGDYRCDMVCYSSACNWDYGDCSSFG LSLDV H257_07483 MDFLVGAAALLAFIAMPLSLHTVNEGYVGVYFRGGALLSDVTSP GFNWKMPFVTSCFHVQVTVQTDHVDNIPCGTSGGVLISFDKVEVVNRLRTKDVYDTIK NYTVHYDKTWIFDKIHHEINQFCSKHTLQEVYIDLFDTLDESLAKALQRDCNEWAPGI EIIAVRVTKPKIPESIRHNYADMELQKTKLLIAHETQRVIEKEAETDKKRATIEAEKV SAVSKINMLKEIAEKESIQKIHALEDIMHVDREKAWADAEYYKSTREADANAARLTEA FLEYTRILSLTNNTKIYFGEKIPTIFTANKE H257_07483 MDFLVGAAALLAFIAMPLSLHTVNEGYVGVYFRGGALLSDVTSP GFNWKMPFVTSCFHVQVTVQTDHVDNIPCGTSGGVLISFDKVEVVNRLRTKDVYDTIK NYTVHYDKTWIFDKIHHEINQFCSKHTLQEVYIDLFDTLDESLAKALQRDCNEWAPGI EIIAVRVTKPKIPESIRHNYADMELQKTKLLIAHETQRVIEKEAETDKKRATIEAEKV SAVSKINMLKEIAEKESIQKIHALEDIMHVDREKAWADAEYYKSTREADANAARLTEA FLEYTRILSLTNNTKICTFCNDRIPSLLY H257_07485 MLPRESLAVGGASVRPSRPRAAEVSFDLDAPSNSSLVFEPADTM TFTLLHATPSKTFQLLSVVNIHPTHTTLFSVKTLKPERYFIKPSKVMLGPREHIVVRI ELRQALYDEVLVHFSQDRKVVADRLLIQSAFNTNPVHDSTFQAAYVFKNEPKSKAKDA AWAAAWKTFPSTHIHAKNLVMQFEASPDFGKVPFRPTIDSTSTPFALGGTSINRRTEA AVSDLPPLFTTHESMYSIEEAGYDFPIPMQQTPRHPRRSHLTPASKPVVLYDPTRRSS VVGFQREKADLSLALTPSSESLVFAVSPHKSTSHSIMTIENLAPTQRVCFAVRIESKF RCKALPYRVGYIDGAGTASVQLELALSLHQDILHLLGRAEEIDPFKVRVQVMEMDAAA AAATAALPTDDARAQFLKALWQSPPNRMFVQKYTAVFVLDVMTPPPPVTEMPDSKEQH AKQEEGEEDGEDRLSVVSECASYATAFTRAPPMRPIMTPLEHLQYQRTLNRHLLSQND GDTVPPMRPTILELTEADEQYAQSKWQQHRQQEEDEPEAELTPGLDKKRSLPTLAEPQ DPPVIPPIESVVHDPAEVVPPTIEHNTTTSHIPSNAVAALTSPDKEEEKKEVANVVVM PEEKVADSPVAYDEPTGTFYIVPAPPSTSPLAASSLTSEPAAVAVVTSPVAASPPTSQ PVAVAVVTSPMAASSPTSKPEAAVVTSPVATSPSTSKPEAAVVTSPVATSPPASEPEA VAVVTSPVAASSPTSQPAAVAVVTSPMAASSPTSKPAAAVVTSPMATSPSTSKPEAAV VTSPVATSPPASEPEAVAVVTSPVAASPPTSQPAAVAVVTSPMAASSPTSKPAAAVVT SPVAASLPTSKPTAAVVTSPVAASPPTSEPAAVAVVTTSVAASPPTSKPEAAVVTSPV AASPPTFEPEAAVVTSPVQQIIVTRSAPTASSTPPPVATIVPRTTARSSIASSYLAAV SSSISSSSSLSSTRMSSEPPTTTTNPPSVHVVPATSVVGPGADVTSPSDQVGGVSTAP SSVSDVAVAGDATLLSSRSLEQLSSRSLVLSEGSQDDSTFHTLRPSVSMDPDTLLVEA AMASLDPIIESHEGHSFVEQPHVSPVCRQSSISNAHSNYGSSGDYDGSYDEVHEAPQY EQLEAEHADTSSLDDDSSEAHDDFHGHKDMCHPQVHDQKWTPRPSTIDDIPSGFSILT FPSEPPPVITTPPGKAAPMDCWEYDTEQQQQAVVEPSSPPDSLHDRVSINNLTLPAPL VHGVMTRSPEPSPPPPTSSTTPKSKSRFSLLKAFERNHGPSKPVGLVTLTPQTILYHM DVGSAPSGSVTLTNLTSSVVVYKVKSAHPLRYKVKPTQGFLEPKGHCVVTIDLHPTAY NDLLCLSNMELGDIRDCLLVEAATSPTSRTSKALRNAKTHGELLGLMRTLWDEVNKKD VNVSRLFCEYAFDDSAYQSFVATLDGPVVVDGPVSPAVDEPRTPPAIIKKGNGFGWPR SKTPTNKVLRNAL H257_07486 MADYYDVLGVPKSASQDEIKKAYRKLAIKYHPDKNPDNQAAAEV KFKEIGEAYSILSDENKKQTYDRFGKSAVDGSNGAGAGGMHPHFNQHNAEEIFQAFFG GQDPFNAFFQGQGGMQGGGQRVHMSHFGGPGFAFHFGGPGGGMPQQRGRRAQPRQAGQ AQEAPQQQASALSGGNLILIFLFLWIMGVPFSYLWILLMIYGYFNSAFGSLT H257_07487 MSEPSPETVPAATDYAAFGQESIKMLTDRASSKDTSIKWSLVKQ YDGIDISRGVVEGNDWNAIKAVGIVRCAARFLADRLTDPNEMKSFDNNTDVCDVLDDV DDHTKVLHVRAKAVFPTTARDFVVVTAIGHLADKSTIVIASRSVEHAHAPIDPCYVRA TTYLSGYLLRPTSPSSCEVTMLVHMNLGGSLPSFIINMLAVDAPIHLLGKLRTLYAEV EHDIDED H257_07488 MDTPTSMQSILEFYNNKDDDVNLTPEETRKVFQVLGLELTDDQI KLLEDIPLDEFMHSLEAQSVSRPSSAAGDIDELEEKLPPLPHMRQDNTISYNQLHEFL QNCHLDIPQNAILEFLSGCTPAANLLEADSLYVDKEGFHAFLQRHAASAPSPSKSAKN RNKKRKAKAKKRATSNAAGTAVAP H257_07489 MESTPTQQSDSRTLLSPTDVAGMRALFAYYDTNRDGNLSSVQAL RIFQLLGLSVNEAHVYDLEQMSFAEFVTIVDCHVRSTQTPDPAAEWAAVNHFRNDKVS PQQLAYFLANCDKLIPESHLQRFLMLYTTTDEQVFIDFPNFHKFLKDYRARPQSMPPI PSDVRPNAVQAHHIPIPEGGTNDDRSTKATLLEEDENDNNE H257_07490 MKTLPWLLASITAALGNINAIHDGSAASPREPDIDTVPAGVKCS KVRKCDDHNVCVVVCDRGTVAIAPWADRALALQRKLAYTHSLCEAQLPGTHNSAITIV DGYGVEDHVFQKLLAYIPWMPPHLSVHTNDQLFSLTDQLRLGARLLELDVHWVDNDLR IAHCGGFESPMLDELISWFNKVAKRLGIEIEWDSETVGCSPSLSSIPAHSQRPVQAAL DEIAAWLHQPENAHEFLLIYFDDEANLLKWHKVERLLDAIKSAFPIEEILRPNDVTGP WPTFDALLAQGIRVAFLSASNYSPVGDDLLFYKQSLCSWQEPDMPFAPYPDCRFDKSP LSTLTAKGVLFRPETSEIQYGLLNAMGHLGPNVHLIDEAELPKLLECNVNIPSPDNVT PRRMEAMVWSFAPSEPTAVGPLHCTAMMRQSPRWTSRPCAATADMAIACKATENATWI VVSDSQDKACPMGFAKTVPTNGYENRLLHDALLLVAAENKPSVGVWVPVPDVVISQVY GRGQQQGMRGEVYDSRVQSLVGSTITT H257_07491 MSHMLMNTTTGAHVCVLPTASTLACIHGLPMFSPLAVEADTSID QRLGDSVHVARRFQTLRRRTYRQVPAAVVYSDMTWRTGPSSAVAPVVSSCKVPSQLCR KKRAVAQSFRSAGLHRGRPAAYKALHDEAVGGASSDVYVVC H257_07492 MALTRRTSSLLSMRSGTATNILTEVKARTRKALQDAEKVHGECT RQSPIPGVASHSRVHVVDIHVADLLAQLSLYCMMGGEFDEALPLLHRKLVIHEKFGPD DSVGDTLQQLGTAYRLYANHTLAAQHLEKALDRREKKAAAAATASQDGGALSTSRKDN QKVAETLNSLALLYHVQGNAAIADDYHTRSLVRYAACVGEDDSQSEDIPWEVFKRMQS AAPSVAQNSMTLLKTNLRMAMQADAAVASTTSPKPKTFDLPVASHLKAAQDTTEQNTL RVRKHRSLSLGSSPLSAHGGPANDLQVQGWNNISVPRNNGSTTTTPSLRHHQHHVSAD TAAALTGPSQPLVPRGDRPVPHEPITSFAVLVARIENVKRSVAAGRSAVVEPDVNRLA CDIALIAAGDLRQVGLSMLGLLYDALKLSKQLDQRQVPVLEGYLDKKSSSLFRGWERR WFKLDTQTGVLTYFHSQDDQARGFAPRGTFALSRISHLVTHQHLRGSHYAFDIVVDMS TRSNPHACRTFELRCNSESDLNDWVNAIEKFQKVASDGASSSVAPSTRT H257_07493 MASALWTEFKALTSPYESIVLDWADPSGQYKQNPMVEWPLADFS SAAAIALAYLAFVIVGTLVMKSGVPALNTSALQFVYNPIQIALCSYMCIEAGIQAYRH NYSPMPCNAFNTTSPVMGNVMWLFYISKILDFADTFFIIAGKKWKQLSFLHVYHHLTI FSIYWLNFRALYDGDVYLTIILNGFIHTIMYTYYFVSSHTKTIWWKKYLTTLQLIQFV TMNVQAVLIISKECQGPPQNIAVLYLVYIQSLFWLFMHFFITSYCTAPRKEVADKKRN H257_07494 MGVRPLSGVPPLRRKDIATKDEFYSLMQTKLYAIVEAFLAEDDK DFRAFKSLWMDHRFSCIYHIDFGNITRPEIVYLMLRACLGSLLQALSLHEWSINQRRC NAQGGAFVWHVVACTYALYCTYECQITTIKQKILLDLDAAVQLAKIPTTWTHAWRQRH PTPIREMLRMLQQLETSGAFCKCVRGYSFPRPMELPSTSPPPALVDHQPTPPPYDTPT IGDNEHADSIDHALTVSQAVDVTDIERLHSEYMDAMTSFRLPSQDETKATNGHRRQRR RAPVVVYNMPPMSKQTQLTEFVQSIHKVLQNPSVEPSIAPDEEEPSLLYMTQPPTTQS TAVPDDAALAAILQADLESELLQDDIDGVMTTGRTASTTTTQVEDSSVQQLRVDNDGI LARTGDVTTQNDERVSSGDERVSSGDDMDDDGWADEFESELVMAPRPTTPPLDSANPR PPLVTSDVELSLSSSSDDDAAFEAEIEHNVRHNQPPNSGNVPPSSVWRKHPPHASATP VTPSAASVITTLDLSSLSDESSDDSAALDALEDDLMAPASPKQPPTIDPPATVTITDV HANRQRHPTKESHMAQKSSSPPVPTVVRSSRLPPGQPTARSLARARARAMMANPPSAS AAAHSTPQRHQNVSNGGELSRPPACLLSAPPDGPSRPIVIHGVPTTNTAPPPSQLAAA SVSSPIVAVVHDEATADRRVVSNTNDINVDFGSGVSSSSESSSSSSDEVDAMLGPSAT HPTDPAKGVPPTAAQQHNSPVDASPSVPSAATSTTATTSPGTPAGRRSVASSRDMRKP PAVVPPPPTPPRLHHVTTTQIKPTITKNCIEATPVLLQQSEIQPNPLIAPSAATDPVI EHSAQSLAAPPPVDVSDTPAGHPDVVAPDELRPATSERPRRPNDSATTEDKVTPRAPV LLASCDVQLDRRLNPLTPAAQRSSTVAGNSPGASATNSSAVSPPNARRAVDLHGQPTR GESSSDGLDELELELGVSSAPKSKAGLRNPRKKPQTTLITTKSRPDLSFVRLESTDDD ELAGLEAELGIQRPADDMNEASKGGPQPVIPTRGRIHAAKQLGNKHKKKREMDPPDRW SSDSDLAALNSELGIVAAHKAHKTAAMPPSNPPKRTRPLNPRTKRKKPASLDNSIDVN SGGTSNDEALAELERELDIVRPKLHTMTPRNSTRVAALNPNKKIKATSSLGRPKKKKA LSARPTKLDPTTMDESDDSGLAALDAELNQ H257_07495 MLLSVVAQVWYLHDLFPYLTNDMWWRDYFNATGAPIFLGDLYNL RLVVRGGWRCGGPNMPRDGNSLSTMRGSLLFMFCYTIRMEHALGVTQHLTIKAYPYEN RAMSWKSYLLYYGIWNDLAIAMQAKSVMVRNTSRYSCDETRLPTMVSVSAASSVKWQA EVGPFRSIDATLVAVPPSLC H257_07496 MAADDEELERGADLQLAQRHKVIVAGVLHGKKLWEAGLHSPGTT GAATLSISTNGHLPKESNIVLQFPDTGWGMPPQPNVIIKASLGRPAPRAKAVWTGSTL TITTLDEDIEAGASLIIVVSGVSTPACATPSSELIATTLEQKVVRNTIPASFRGGQII DGPTKVTIPTLAPGALLGTKRWAPFNACPSAVADVYLNFDTTGAIPNSGKILVELPTE LGEANSGDDRKGGGWSMAAHPRVTVKLRPPGSSKVGLIATWLQDQHVLEVVVAEGAIP MHTSVQLTIAQVKNPATECPPTVARVTTLMNQGGGVIDGPAKVDVSRISELRECDIAA AAAAFQAQEPKDGMLPVSKVVLALALMSISQSEASLEGRIKVTSVEQPGDPVAPAPPK KGAVDVTPPPPKFKDFVHLDDYLNFFTTIYAPAFKFGEELRTIAGRGQGLDRMRELIQ CGCDPNAKDGAGWAALHYAAEHGVLKAVNLLLEQPKLDLNARDVSGWTPLMCAASNGH VPVIARLLEMGADIAVQSAEGRTALHWAATRGMDAAVGFLVLGGANIEARDRSKWTPL HCAAIHGNVGCAKLLLDHGAAMAAEDALGYPAWEYWEAPAVTLLQAHLDKLEVLGMKK PP H257_07496 MAADDEELERGADLQLAQRHKVIVAGVLHGKKLWEAGLHSPGTT GAATLSISTNGHLPKESNIVLQFPDTGWGMPPQPNVIIKASLGRPAPRAKAVWTGSTL TITTLDEDIEAGASLIIVVSGVSTPACATPSSELIATTLEQKVVRNTIPASFRGGQII DGPTKVTIPTLAPGALLGTKRWAPFNACPSAVADVYLNFDTTGAIPNSGKILVELPTE LGEANSGDDRKGGGWSMAAHPRVTVKLRPPGSSKVGLIATWLQDQHVLEVVVAEGAIP MHTSVQLTIAQVKNPATECPPTVARVTTLMNQGGGVIDGPAKVDVSRISELRECDIAA AAAAFQAQEPKDGMLPVSKVVLALALMSISQSEASLEGRIKVTSVEQPGDPVAPAPPK KGAVDVTPPPPKFKDFVHLDDYLNFFTTIYAPAFKFGEELRTIAGRGQGLDRMRELIQ CGCDPNAKDGAGWAALHYAAEHGVLKAVNLLLEQPKLDLNARDVSGWTPLMCAASNGH VPVIARLLEMGADIAVQSAEGRTALHWAATRGMDAAVRMSSLHESIFIGMLFI H257_07497 MEEVSKKRAKRLEKKRERSEKKAQSVADGTWTNPRKRRNQRDFH CETRDSVDDMANETTSETKPDGFRYVAPYKHKFQVHVKARWFGKTLLQMFVEEFGGYS SEYYAAAIQHGFITINHLPTTASTLVKHGDLLQHVMHRHEPRVQAFDAATMVAFQSDD IVVVNKPSTIPVHPCGAYRHNSLVFILANDLHLHPVFPVHRLDRLTSGLLVLAKSADK AAELSAQLVERSVQKFYFAKVLGRFPSVAHVPPAIAAAAEVDAAVPSPAASPSSTSLS SFPFHDLPPHCTVTTAELDGHAYFHISAPLGRIADLENRHGIVATGKPSQTLVRWVGE SADGRHSVLHCLPLTGRQHQIRVHLQAVGFPIANDPLYGPPTFVDTIVVEASRRPMAS TNDTRDVAASHDPATVTAEQLAAVCESCQMGDHVTFNWEQQHCQGIYLHAFRYKGTSW DFSVPPPSWAALV H257_07497 MEEVSKKRAKRLEKKRERSEKKAQSVADGTWTNPRKRRNQRDFH CETRDSVDDMANETTSETKPDGFRYVAPYKHKFQVHVKARWFGKTLLQMFVEEFGGYS SEYYAAAIQHGFITINHLPTTASTLVKHGDLLQHVMHRHEPRVQAFDAATMVAFQSDD IVVVNKPSTIPVHPCGAYRHNSLVFILANDLHLHPVFPVHRLDRLTSGLLVLAKSADK AAELSAQLVERSVQKFYFAKVLGRFPSVAHVPPAIAAAAEVDAAVPSPAASPSSTSLS SFPFHDLPPHCTVTTAELDGHAYFHISAPLGRIADLENRHGIVATGKPSQTLVRWVGE SADGRHSVLHCLPLTGRQHQIRVHLQAVGFPIANDPLYGPPTFVDTIVVEASRRPMAS TNDTRDVAASHDPATVTAEQLAAVCESCQMGDHVTFNWEQQHCQGIYLHAFRYKVRLE SKIYIYFL H257_07497 MEEVSKKRAKRLEKKRERSEKKAQSVADGTWTNPRKRRNQRDFH CETRDSVDDMANETTSETKPDGFRYVAPYKHKFQVHVKARWFGKTLLQMFVEEFGGYS SEYYAAAIQHGFITINHLPTTASTLVKHGDLLQHVMHRHEPRVQAFDAATMVAFQSDD IVVVNKPSTIPVHPCGAYRHNSLVFILANDLHLHPVFPVHRLDRLTSGLLVLAKSADK AAELSAQLVERSVQKFYFAKVLGRFPSVAHVPPAIAAAAEVDAAVPSPAASPSSTSLS SFPFHDLPPHCTVTTAELDGHAYFHISAPLGRIADLENRHGIVATGKVCTLC H257_07497 MEEVSKKRAKRLEKKRERSEKKAQSVADGTWTNPRKRRNQRDFH CETRDSVDDMANETTSETKPDGFRYVAPYKHKFQVHVKARWFGKTLLQMFVEEFGGYS SEYYAAAIQHGFITINHLPTTASTLVKHGDLLQHVMHRHEPRVQAFDAATMVAFQSDD IVVVNKPSTIPVHPCGAYRHNSLVFILANDLHLHPVFPVHRLDRLTSGLLVLAKSADK AAELSAQLVERSVQKFYFAKVLGRFPSVAHVPPAIAAAAEVDAAVPSPAASPSSTSLS SFPFHDLPPHCTVTTAELDGHAYFHISAPLGRIADLENRHGIVATGKVCTLC H257_07498 MPPTTLSRVLLNAECALLRALKSMPEASIRFPDHATQIDWADAC TAREPDAPRIAFFLDGKNYPVQEPSNRDVQNAFYNDGNRSIVTPLKSGDIDKTSPVLR AAVERVIQLFVPDD H257_07499 MNARKPRTKSKDFSSEETTRLLDLVEEFKPIGGNMWDRVAFEYN PIPFDERTEATNQVEERLISSEETTRLLDLVEEFKPFGGNMWDRVAFEYNRTGLSNWP ERDSISLRHHFQGLNNKTKPTGTVYCPPNIERAKRLYSAIESKVGAMELHDQQEFGDA ASERSDAGSDGNIPQEVSEAVEELVTTPSE H257_07500 MTAECVTIDDLLLQEDVFSLTQDDLDAVSRRYANQSGLSSEDSR PSSTWTHMPRSSVASSTWTTGSHPSVIGGKSTNQPSMQQQGVRMSCESSTNSAWTLTE DSSWLNPPACVSILPSSDFTLDGNVLGDKHTITLHNTSPSRHIVYKLKVPNKCRSWYA ITPNQGILRPNESLPIVVEVAVTSSSSQLLETVPMHSSRTIVHAFRLDMIFVVDSIVD QLEYLDYGEQHRVQTALWDKALPSCIQQTMLHCHLQCTRLACLDADVLHKHHAKHDST KKQLSCLTRRHAHGASLVLTNSQPTTTVAFKIKSNCGLTATPSFGLIEPYGTIAVHVT PKRHTTNKLDLLRIETMPLVDVDTHVKSDPTRTLDDIRRLVQVAWSTIDAQYKTTQTM FPWEGDRRGAA H257_07501 MVQVGIIGATGAVGKEVLSVLFDRKFALTSVHLFASERSAGKTV ETPYGPLVVKLFSVDAAREMDYVFLAVSGTFALEHAEAIAAPGGAIVIDNSSAFRMES HVPLVVPEINGNTIGDRRLIANPNCTTAVAAVALWPLHCHFTIEKMIVSTYQAASGAG IEGMNELIESTKASLSGAAPSHAVFAHPLAFNVIPHIDAFQPNGYTKEEMKVTWETNK IFGESSIRISCTAVRIPILRAHSEAITIQTRRPISPDAARELLRQADGVDVVDDPAAT AYPMPLNATAKYNVEVGRIRQSIVFDEHGLDLFVSGDQLLRGAALNAVLIAEYVAHPY PSKFAKQI H257_07502 MQASKECSTTGGHATTDMLMHASKTTLAKGESTDHYLERITHVT LNNKKLSTLTNLDRCTNVKVLYLYDNKIAHLDSTLSSLRHLTHLHLQRNRISKMEHFE ALVHLEKLYLEGNRISRLAGLAHCTLLHELHLSNQTLGNDEEDGGGGGDGCGFTFEAH SMATLGQSLRILNVSNCNIRDPQPIQSLRRLESLDLSRNCIHSIDDVYGLVGNLRSLK ELNLTNNSVNATPKYRDNTIIFSHASLATLDNKPIDAKQRATMQTHMAFKHKKRHYDP NARAISSTNDDNPPMSLSLNVLGNNPWVSVSSPNQDHH H257_07503 MSITTPAVRVRLVRGSGTKKLVALKKMVSLDMRDEGIFIAGQKM AHPRQISHRRRLLKFYFEGCLETTIQFPTEDDLLHWVDHLHNAIPSLPIQTAASSAID LSSSPTAASSTTDALATQYLRDEIFRASVHRIHRWIDDATAGNS H257_07504 MALDGSTMVKVPPGDDGGGTVAATSMRKMLLTKQQFQHNGNNPS IVDTTLLAGGSTPWTPKSLGRAKSAIVYRQTLNDNLMFLERNIFFFQEWRTRFVSLQD DHILIYSTREKWEQGVAPDRVIRLNPMMLLSALRVDVQDESYDVDGPVVTRLFRRKLL ETDPLDQWLNGELRQGLVVNSRGSNTDTTVANNPDASARVIVEFATTNQHTFELWSKC LRRALQHQVKHQQHGNSDENDNQDASVSRTRASALSSSSSPPPSSSSTWVSPGQDSRV TLHQSEIWCSRLLQDDKDKAESELRRIIAMEKLVAQVTGPAMALLVYEKVSRVHELFA ANSRREIESKQFILPVQGDSEPAVALSADVLHFFRDHLKRKYAVFLMLALAYGISEDS IREAHERMCSENDAVDAGVGGGDGSEDPRDGHDLGFRDTPSVDLYNKYRTAAANYYKM NFGDPSAAEEEDAIMHLPVMLHVAIVRRDEDEVRAMAHILDTVKERLYDHIEDGTAGN NEENTQGS H257_07504 MFLERNIFFFQEWRTRFVSLQDDHILIYSTREKWEQGVAPDRVI RLNPMMLLSALRVDVQDESYDVDGPVVTRLFRRKLLETDPLDQWLNGELRQGLVVNSR GSNTDTTVANNPDASARVIVEFATTNQHTFELWSKCLRRALQHQVKHQQHGNSDENDN QDASVSRTRASALSSSSSPPPSSSSTWVSPGQDSRVTLHQSEIWCSRLLQDDKDKAES ELRRIIAMEKLVAQVTGPAMALLVYEKVSRVHELFAANSRREIESKQFILPVQGDSEP AVALSADVLHFFRDHLKRKYAVFLMLALAYGISEDSIREAHERMCSENDAVDAGVGGG DGSEDPRDGHDLGFRDTPSVDLYNKYRTAAANYYKMNFGDPSAAEEEDAIMHLPVMLH VAIVRRDEDEVRAMAHILDTVKERLYDHIEDGTAGNNEENTQGS H257_07504 MMLLSALRVDVQDESYDVDGPVVTRLFRRKLLETDPLDQWLNGE LRQGLVVNSRGSNTDTTVANNPDASARVIVEFATTNQHTFELWSKCLRRALQHQVKHQ QHGNSDENDNQDASVSRTRASALSSSSSPPPSSSSTWVSPGQDSRVTLHQSEIWCSRL LQDDKDKAESELRRIIAMEKLVAQVTGPAMALLVYEKVSRVHELFAANSRREIESKQF ILPVQGDSEPAVALSADVLHFFRDHLKRKYAVFLMLALAYGISEDSIREAHERMCSEN DAVDAGVGGGDGSEDPRDGHDLGFRDTPSVDLYNKYRTAAANYYKMNFGDPSAAEEED AIMHLPVMLHVAIVRRDEDEVRAMAHILDTVKERLYDHIEDGTAGNNEENTQGS H257_07505 MIPEDQAHLVEDIGCTIELTVAETTAASTAAHATMQEEVAQMNS HGRNLEDSLCVARETMATLEEQSSILTTHGRTLQDSLQIAQDKIARLSRGLESSDTVS APRLKPIKLEFAMFGGAESGKLLHWLYKSKLPLTPSASPTKPLAWLLRCRTQRDVREV HSCASPAQSDRDVHLRRVIEDLRANGHEANLAKCMFGVDEIPVLGNLMGVNGCGADPD KVKAISHLALKTDLHKYSHNFATIAQPLFRLFLKDAPWVWDYACQSAFEGLKLNRPDL GTPGLQQPFSVVCDALQFTIGCRVMQLDASGHPRRGSYQSRQPHAAERAYPVHVLELL SMK H257_07506 MQGKASGKGAAVKTESKVKGFHWDRSRIFTPAGWGGVDLGYVLD VTRCLTVVGAFDETRDDWGYQTANAAGVEHLRLFASAQSFELRVLWLLLAVECMHLAR VSFHRFDEFKGAMRELHERDRLERWTMNVGWIELCNDETLFEYLVAFLWALVGQGSGR HGHSPLTAGRLANSLSNFARVWRQKHGRLDARSVARIATRINVRCEPELTRPVAVHRN PTIALAWAFEIAWAIGGPAAAQVQGARLPASAWSSVWASPTASSSTLAREDAQVAARL SAARPVNPFAGYNPPLGPQQDGQVTEAEPQAAADYRAGARAVAAPQQVLGPMSRTATP GAPGEEEKSMAAEEEKAAAGSRGSFAVASSVVDVDNGGK H257_07507 MKFALLAFTVAAAALAQDHIVPPKVAWGDEAPVDGFEILGGQEA QLGKHRYVAGLKKSPNGETLCGGSLIAPNVVLTAAHCLKGKLRAVVVGTHYLTGFADG ELANITQEIKHPKGTDVGIVILDRNITTIQPVAVSFEFVPADVLAWVRGWGRVTSRAR APYSKVLKELSVTTWNNTGASAALFPIRLTDTMLGAGGVEGEDSCRGDSGGPLTIEEN GTVRLVGVISFGRGCGVHGNPGINERASAARTFIEPYLPN H257_07508 MDVHQTISKQKRIKPSKGGMVYARPGISRRFSKFRSLHDALTRD GLQLHPFTPPTPGLTFG H257_07509 MEGKGNTNALLPFRPTIAPSVDSESCLNNDIRFTFIGPMNDTTM PMRSSAVYVHPACDVTRQPRQPRGHFVWEPTALTCWQLLHTPEVQQRLWQKAVNRNIR QAAESPSMKRKRVSALKKKVKLRATKYKETKSK H257_07510 MSAAVSEVSAAIGDLGNVTNTIKEKVALFGSNLDTRANHEQITE LTKQGRDLVQATTAKLQTLVNSASGADKSASRKLSKDFKVQLTAFEQVCAKTVASEST AVQAIRSTSVRRNTERDLTNYNEDLIYTQASVQVYDEDDLVRREEDIITINHKLGEIK AAFQEVDGLVQDQQDIVVEIHDNTTAAAEDTSAALGQVQQADKKTGYATCTCCYLCTI M H257_07510 MSAAVSEVSAAIGDLGNVTNTIKEKVALFGSNLDTRANHEQITE LTKQGRDLVQATTAKLQTLVNSASGADKSASRKLSKDFKVQLTAFEQVCAKTVASEST AVQAIRSTSVRRNTERDLTNYNEDLIYTQASVQVYDEDGILSHYLQATTYLNDIVDLV RREEDIITINHKLGEIKAAFQEVDGLVQDQQDIVVEIHDNTTAAAEDTSAALGQVQQA DKKTGYATCTCCYLCTIM H257_07511 MVDNAPPTGGARQLSAAESLHFSSSEERMSSPTKMTEVPPSLLS VGADCIKVVVDTSVSEMAMLQHLYGTMDWYGHTITVKVITGATLIVLYLYVSGTSLIN LEAYDPVVVDHAIAAIALSGPVGYALLLNMYYSDVETPVGLTLLTLRISCCCIVGAAM TVFLAECFSRRLVFSLVLMGYGVWGIGYAWSVPLWRWYTYDVRRHGLVAFLPAGLQDT LLRMTLLEWLTDTSFADKLRDYFPFFLPLNNVEQQRVVHSLPCDTQTMMHTPGLVHLL PSALQDVLLPPTAGDSIDVVTTSSSRGATAGDDKSTTTTAQGTAMVGFDFHKLERSGA ATSSTNVLTEILHDKISNSMLSLVQMPSPTVLNQTAAISSFLFALQLSQSSKARVHFV ILLRVVCLAVLGTVASAAVSLRAIQVLTTMPSSRRYLALVQEIWMRRQSGQQRRLTDG GGGKATAALVTATKYGAAAMAAVWIVRKLQK H257_07511 MVDNAPPTGGARQLSAAESLHFSSSEERMSSPTKMTEVPPSLLS VGADCIKVVVDTSVSEMAMLQHLYGTMDWYGHTITVKVITGATLIVLYLYVSGTSLIN LEAYDPVVVDHAIAAIALSGPVGYALLLNMYYSDVETPVGLTLLTLRISCCCIVGAAM TVFLAECFSRRLVFSLVLMGYGVWGIGYAWSVPLWRWYTYDVRRHGLVAFLPAGLQDT LLRMTLLEWLTDTSFADKLRDYFPFFLPLNNVEQQRVVHSLPCDTQTMMHTPGLVHLL PSALQDVLLPPTAGDSIDVVTTSSSRGATAGDDKSTTTTAQGTAMVGFDFHKLERSGA ATSSTNVLTEILHDKISKYEVA H257_07511 MVDNAPPTGGARQLSAAESLHFSSSEERMSSPTKMTEVPPSLLS VGADCIKVVVDTSVSEMAMLQHLYGTMDWYGHTITVKVITGATLIVLYLYVSGTSLIN LEAYDPVVVDHAIAAIALSGPVGYALLLNMYYSDVETPVGLTLLTLRISCCCIVGAAM TVFLAECFSRRLVFSLVLMGYGVWGIGYAWSVPLWRWYTYDVRRHGLVAFLPAGLQDT LLRMTLLEWLTDTSFADKLRDYFPFFLPLNNVEQQRVVHSLPCDTQTMMHTPGLVHLL PSALQDVLLPPTAGDSIDVVTTSSSRGATAGDDKSTTTTAQGTAMVGFDFHKLERSGA ATSSTNVLTEILHDKISKYEVA H257_07512 MTSKFCNVSLFSMGNTVATKPLPTSRQRHPHRRTTLCVRPPSSP HVLDVSFNPSTRVAFTLVHGLAAMAVLHITNNGLVPVQLCVKPKHSRYYVVPMQDIVH AKRSMAVAIHVSARDGAAWIEAKKKKDTADGDTDVALEVEMVAAWSTPPEQLQPIKVV TFQSHCTTWTADDDCLAL H257_07513 MMRWKKLVVRDLPHDASCEEALSACGFPITYTDSVLRTPIFPST FFRWEGGKPAKNGRASVPSRLTLQFRKDPDQLEDTLRVLHGKEVTLANGSSIVLSVHV APNQRLPREKPRRRDNKSNTIAKDPDYLAFLDDLNDPDKKQQQSVVTANDVNEGGDDK VKDKPVSALVKFLNDRRVERRDKGGKYGQRGTSTGFPAETTSSSTRGGKKKHADGKKS AKDKRKEDSRPPKESRGGGGGAKKGKDKKQPRNNTSNGLFTAPDGGGATANLPPSTMD TMQPGMLRIMPKGGTLPTDQQLPLEGGGRGRPRGGGNRSRGGKKAAGRSDGGDPPGGG RGQRHPTNPPSSSSTSGKKQKVFVPKGAVQPPLPTG H257_07513 MMRWKKLVVRDLPHDASCEEALSACGFPITYTDSVLRTPIFPST FFRWEGGKPAKNGRASVPSRLTLQFRKDPDQLEDTLRVLHGKEVTLANGSSIVLSVHV APNQRLPREKPRRRDNKSNTIAKDPDYLAFLDDLNDPDKKQQQSVVTANDVNEGGDDK VKDKPVSALVKFLNDRRVERRDKGGKYGQRGTSTGFPAETTSSSTRGGKKKHADGKKS AKDKRKEDSRPPKESRGGGGGAKKGKDKKQPRNNTSNGLFTAPDGGGATANLPPSTMD TMQPGMLRIMPKGGTLPTDQQLPLEGGGRGRPRGGGNRSRGGKKAAGRSDGGDPPGGG RGQRHPTNPPSSSSTSGKKQKVFVPKGAVQPPLPTVSQNNVPCTL H257_07513 MMRWKKLVVRDLPHDASCEEALSACGFPITYTDSVLRTPIFPST FFRWEGGKPAKNGRASVPSRLTLQFRKDPDQLEDTLRVLHGKEVTLANGSSIVLSVHV APNQRLPREKPRRRDNKSNTIAKDPDYLAFLDDLNDPDKKQQQSVVTANDVNEGGDDK VKDKPVSALVKFLNDRRVERRDKGGKYGQRGTSTGFPAETTSSSTRGGKKKHADGKKS AKDKRKEDSRPPKESRGGGGGAKKGKDKKQPRNNTSNGLFTAPDGGGATANLPPSTMD TMQPGMLRIMPKGGTLPTDQQLPLEGGGRGRPRGGGNRSRGGKKAAGRSDGGDPPGGG RGQRHPTNPPSSSSTSVRTPAKYKWIWDILCQILNFCAD H257_07513 MMRWKKLVVRDLPHDASCEEALSACGFPITYTDSVLRTPIFPST FFRWEGGKPAKNGRASVPSRLTLQFRKDPDQLEDTLRVLHGKEVTLANGSSIVLSVHV APNQRLPREKPRRRDNKSNTIAKDPDYLAFLDDLNDPDKKQQQSVVTANDVNEGGDDK VKDKPVSALVKFLNDRRVERRDKGGKYGQRGTSTGFPAETTSSSTRGGKKKHADGKKS AKDKRKEDSRPPKESRGGGGGAKKGKDKKQPRNNTSNGLFTAPDGGGATANLPPSTMD TMQPGMLRIMPKGGTLPTDQQLPLEGGGRGRPRGGGNRSRGGKKAAGRSDGGDPPGGG RGQRHPTNPPSSSSTSVRTPAKYKWIWDILCQILNFCAD H257_07514 MVGKASSSSSYRMSSSQMIATSRGSTSELEMAGTDSISLALHPF EDLFETDWEGYVWKQGHVVRNWRNRYGILTGTCFTYYSSKEHAMADFEKFKGRVTVTG AKKDPSRSNGFVVTTSIDKVFCMYTKTPIETELWIRMIEKAIQVAYFQASLAASAQLP DLILEGNVSSCSADTRHLQSSFANLSMSSNQHLSLSFNGHMHPNPATNVMRHSLGNFA PSRLSMSHYNPSFSGNAPPVPSIRSSVEWKSRNVSTTSHFYHLWTTVVANYESKMQHP TVCCNELLVLFPLCSLDIVVSIHFAIPALPSAVYYGREGVVDFLFSLSQVVTLSDMSL HRVTLSSRPNVLLVSGVGKITNKATKTTYACEWKDEVTLSPGGRVLSLKLYMEVDPAA FHATDVEERMARLKSNLKATSSKRVLSLSMQHFAVKKVLGQGTFGTVVLSERKTTGEI FAIKILDKSSMSSYDKVRTRTEMRILRDVYHPFIAPLRFSFQSQSRVFLGMEFYPGGS LYTHMNKFSEDGDQRIKLPIDLARIKFYAAQLVLALCHLHACDIVYRDLKPDNIMLDK EGNIALVDFGLSKTNVRSLEGARTMAGSPAYTAPELLKPKRSREYGKAVDWWCLGILI YEMMFARRPFHHPNVSVLYRLIDKDPVKFPGRIPISHDAKSLIVGLLEKDPHKRLGAH HASDILTHPFFKGMAWDQVLKKKVSPPWKPDTTKDLSRRTNVDLGRIVMSKTPPPSTS MFSFFGWKPMDTLTKPKPNPIANPDAAGEFGRFSYVCDSTPSFLVDEDDMLEAAFSGR RSVQLEALAVEA H257_07515 MQYAAVLARDDSRGRGGGYSSNLSSSIVALPIKAKKQTKSQRKA AAAAAKGKQNEAQVIQRTEERLGEHVWELCFEYLDVASLCQSKLVCRHFRRLAMLPLP WMHLYSSAWLQHHPHSALPDAYRHCSWIQLWRMHVHRMSVDVKLSTRADVTSDPVSHV ITVVNNSMLRSLEHGAVESIRSLQPLASIPCAIALHRHVTYFEATSSSAMSLGMAYLP DRTKCLYGFGSDAHVGWHPLSFGYHTHQHQYSSSPKSALPFVVMHTGMDLVTMPLVAP STTTSSTSTTMWPPELLGPHDNVFGCGYDQDYERIFFTLNGVLLGMVPYDIPPGNYAA AVSMDVLYASVAVNWGHLPFAFAIEAYIVADHTT H257_07516 MAANSKDPNIQLLVFNGNKKGIRVWTQKFVQHLKALTTAKVGLC KVLPDAFTQQFKDPCGEDQSVHLLWAAVEKRYGESNANTVKTLVGHLISTANNDFPNM EVLFCDLKSARNTINVHTQKYLGRDMISEDLIVALVLGVLPNEYFGAQISLDEKGFNL VDVKAKLIGIFGTKSKKVVMGMGSQSNNIYHGYGQSKGNHAVNFVQSGDKKRKHGNGP TVGNDVSVRGQCFYCIGQCFYCIGQYNVGGQPHVKSECPKRKEDFERKEFRSNITQKP NSKRPKVGGTKIPCGIVEYGTGQPTPALNGPNEDHWVQEYVVEDRNDFLDLGSAGDIA VNNILTVDGMSSSMKQLSVQLDQKWLRSPPNRQCSLV H257_07517 MSHANIARLIIAKRFVAAISVSNSSPSSLSMISSASQASRSSSL TILYAAARGSKDNKEHGELGAVYPRRENDCHSQWSATLQTKSSRHHHKPFSSTLYTVL SVQAVERFGELVSDLDEGRLGLLAATGFATRYAMTVAEAFRQTQYALVAGAMYFPGKI RHRFREAFGATAWAQLHTLLVAVEGKYVILLVDPRPNGATWDVKKFRDVVYVAGLYEL EHL H257_07518 MKLYQSLLVGATAVCALDTFSVKVLVDTKTSQCELIESVHLTQL HRAIQSTTDTLEIVTGRQNLPTADEIILPQRGDATSQKFVEILGGSTNAVLSHLRRRC PQGALQSNNVERAEAARVAAPPVVRRIVNSGDPKNRIDIVFMGDGYTASEDSRFFSDI QRLTDEMFNGDTFTQYLPLFNVWAVYVPSNQSGIGVGGKPLDTAFGLYRSGTQLRGIF TRKTAYAREVCKVTGDSACDFPSLIGNDDYYGGLGGEFVIGTRSPTTGTVVLRHEMGH NFGKVGEEYDGGGAYVGANSAPSVQGVTWKHWLTNPSRSPRRKSCDFVPKVKFKSTGT FKRWGIYLSVTGADTQDSITITLDGKPLEWTTRGTQDRSFSEYRSTSGGFSPGDHVLE VTGYGSFVGPIAKQLCSVEVYEYGNEDEFKMDDPEYIGAYPTWDGYNVKTIRPSNEKC LMRNMTSPQFCNVCQENMWQQFLSRVTFIDDIVINGKDVSLKLIPLGQLRPVVIPNER YSIQWFNNGQEVTTFEDKFTVDVSTVAGATKDWTVKATLFTPKVRKDSKNVMSVERNF TVNTTFTPVPTSTTTPAPTTTATSVTPTTTTKAPATPSPTTSPVTSTPSPSTTAATAK PPSPAPTTATLTPTTSKPQC H257_07519 MSDLLISNESFAFALAPDSNSLYESSYLNIRLRVAWGSVLFNLH AVVLPMYERHTANYCISLIVRTLDAIAPIWRDKLLGVGSVGENTMTGRHSGVVTQLVA MATYPTVRAPPRRPDHEEGRRCCHGPDLDKGRLRFLCLPARPSIAKVRTGVFIHKVLN PYRDRLHQCWSPAKVEQIEEEHKMLFTAYRDDTNFRAKLDKHTHMTGFNAGWSDIDNN GRKLATIRSFMANLAVVFANTASVEGDFSILKGEKDEFRTSLGHLALEGIFQAKQFEQ IRKQYSLLLPSASA H257_07521 MQTEESSVIEEIDCGLVLDAVNKVLMRRAYFREKQRSHRRRERD DIHQLRKQAEELETQAQRLRLRVNPRRMQSRQPPEDSDGGLSWHAIAAMFRHETRLTL AENADLVKTLRSHCSLLTRMQLWVAHNDKVPSTPPTSIRWEKVMLSDDPAIRKLTKEW ATQQMYHNTAAAFQAFPTSFDSNEFSVCDLTVSDLWVNFVDYSQYVWHAPMEVAAYVL RYHLHELLSTRDMSSVGVSLEWTENTVLVQAKLPDGDSAAVVVAHFHEAGRCVVVMRQ LQSRDILRDDDGVPVRDGQSLVWFDLRRADDGRTIGRVKTLSAMHLKTSGEGSMEAVA MKMGLDLTDVPLPDRDETFRKFCMTKSKESEVQIRSLIRSVLERVQTELTVGS H257_07521 MQTEESSVIEEIDCGLVLDAVNKVLMRRAYFREKQRSHRRRERD DIHQLRKQAEELETQAQRLRLRVNPRRMQSRQPPEDSDGGLSWHAIAAMFRHETRLTL AENADLVKTLRSHCSLLTRMQLWVAHNDKVPSTPPTSIRWEKVMLSDDPAIRKLTKEW ATQQMYHNTAAAFQAFPTSFDSNEFSVCDLTVSDLWVNFVDYSQYVWHAPMEVAAYVL RYHLHELLSTRDMSSVNRHTVSLFKQRYNKHRWGYRWSGPRTRSLCKPSCRTATPPRW SSRTSTRPAGVSWSCGSSKAATSCATTTEYLFGTASRWCGLTCVGRTTGARSVG H257_07521 MQTEESSVIEEIDCGLVLDAVNKVLMRRAYFREKQRSHRRRERD DIHQLRKQAEELETQAQRLRLRVNPRRMQSRQPPEDSDGGLSWHAIAAMFRHETRLTL AENADLVKTLRSHCSLLTRMQLWVAHNDKVPSTPPTSIRWEKVMLSDDPAIRKLTKEW ATQQMYHNTAAAFQAFPTSFDSNEFSVCDLTVSDLWVNFVDYSQYVWHAPMEVAAYVL RYHLHELLSTRDMSSVNRHTVSLFKQRYNKHRWGYRWSGPRTRSLCKPSCRTATPPRW SSRTSTRPAGVSWSCGSSKAATSCATTTEYLFGTASRWCGMSCIYIYIYNVYSACPHG MNDSCERLSS H257_07521 MQTEESSVIEEIDCGLVLDAVNKVLMRRAYFREKQRSHRRRERD DIHQLRKQAEELETQAQRLRLRVNPRRMQSRQPPEDSDGGLSWHAIAAMFRHETRLTL AENADLVKTLRSHCSLLTRMQLWVAHNDKVPSTPPTSIRWEKVMLSDDPAIRKLTKEW ATQQMYHNTAAAFQAFPTSFDSNEFSVCDLTVSDLWVNFVDYSQYVWHAPMEVAAYVL RYHLHELLSTRDMSSVGVSLEWTENTVLVQAKLPDGDSAAVVVAHFHEAGRCVVVMRQ LQSRDILRDDDGVPVRDGQSLVWYVLYIYIYI H257_07522 MLLEDIEGDLILDAVDKVLQRREHFREKQRSHRRRQRDEFLWLQ TQAAGLEALATRLRHIACSNNRPKHDDGLL H257_07523 MAAFLREDTRLTLAENAHLVKSLRSHRRLLAPMQMWVAQNNAVQ LGPTTLVRWHQVTLTDDPVSRKLVKEWAIRRMYHNTAVVFRGLPSSCDDDVCITSVEY SQYVWDAPLEVTRRKCCNTTWTTCAISTNFDPTSVKLQTIANT H257_07524 MKCVPELPYDVEPKVMTFALKFLNSAPKEKLIAELDLADAVANK IIDQRDFGGYKNLDDIFEKKLLRKKKFNTFRDRLLTFAKENKPSDKPADDDNNAPKKG KKKGSAAAAAQAEALLLLQKAERPVFKESEPLRLRFGYLLAQPKPVIDVVAVELAQPV SVA H257_07525 MVKAAPELAYEVEPKVMTFALKFLNSAPKEKLMVELELPESTAD KVIDQRDFGGYKNLDEILTKKLMRKKKFNTFRDRLLSYAKDNKPSDKPADDDNNNANP TSKKGKKKGGAASAAHAEALLHLQKTEKPVFKETEPLRLRFGYLLALPKVEKPEETSE AEAAEVAQPLIVA H257_07526 MPQYTTTRIDQEVDLRVGADNSQFPPTTFPTTFLATAAKFASQP ALHYKRDGEWCACSSFLHSLLTLWFHLCRHHHTWQHYEATSFRFAKALLSQGLNRFDT VGICGFNASEWFFSFVGTVLAGGVPVGIYATNSAAATQQVCLHADTRIVVVDSVEQLE KFASLVPALPKLQAIVLCTVLFDTFRQLTPSSFAIVGNDSVPAGFECHIRVYSFEAFI ALGEAVDDVVVRARIASQRPGHCLSLIYTSGTTGRPKGVMVSHDAFMFAQASLMHPFT TDDFNNNDRMVSFLPLSHIAGQECDIGCQAVHGSHVYFAQPDALKGSLGATLKEVRPT FLLTVPRLFEKFMEKMQDVGRSTTGLKKVLVTWAKGVGAATVAASVFGQSGEVPWGFW LADYLVFQRVRQALGLDCCKFFYAGAAPLSQICFDYFAALNIPIYGVMGMSETSGIGF CNFPDQFKPLAIGTKNVGTEFRIDPSTGELDLRGRHVTMGYLKDKGETDRAIDADGWL HTGDIARVDADGFVTITGRLKELLITAGGENVSPAGLEDVLKQELPILSNVMAVGDQR KFIAALVTFRVVADGDGVPTDQLDALAVRVLEQDVGSSAKTVAQAKICDRVRAYIDAG LVRANARAISRAQHVQKVAILDKDFSIPGGEFTPTLKLKRSVVLNQYAHVVDALYQ H257_07526 MPQYTTTRIDQEVDLRVGADNSQFPPTTFPTTFLATAAKFASQP ALHYKRDGEWCACSSFLHSLLTLWFHLCRHHHTWQHYEATSFRFAKALLSQGLNRFDT VGICGFNASEWFFSFVGTVLAGGVPVGIYATNSAAATQQVCLHADTRIVVVDSVEQLE KFASLVPALPKLQAIVLWNDSVPAGFECHIRVYSFEAFIALGEAVDDVVVRARIASQR PGHCLSLIYTSGTTGRPKGVMVSHDAFMFAQASLMHPFTTDDFNNNDRMVSFLPLSHI AGQECDIGCQAVHGSHVYFAQPDALKGSLGATLKEVRPTFLLTVPRLFEKFMEKMQDV GRSTTGLKKVLVTWAKGVGAATVAASVFGQSGEVPWGFWLADYLVFQRVRQALGLDCC KFFYAGAAPLSQICFDYFAALNIPIYGVMGMSETSGIGFCNFPDQFKPLAIGTKNVGT EFRIDPSTGELDLRGRHVTMGYLKDKGETDRAIDADGWLHTGDIARVDADGFVTITGR LKELLITAGGENVSPAGLEDVLKQELPILSNVMAVGDQRKFIAALVTFRVVADGDGVP TDQLDALAVRVLEQDVGSSAKTVAQAKICDRVRAYIDAGLVRANARAISRAQHVQKVA ILDKDFSIPGGEFTPTLKLKRSVVLNQYAHVVDALYQ H257_07526 MPQYTTTRIDQEVDLRVGADNSQFPPTTFPTTFLATAAKFASQP ALHYKRDGEWHHHTWQHYEATSFRFAKALLSQGLNRFDTVGICGFNASEWFFSFVGTV LAGGVPVGIYATNSAAATQQVCLHADTRIVVVDSVEQLEKFASLVPALPKLQAIVLCT VLFDTFRQLTPSSFAIVGNDSVPAGFECHIRVYSFEAFIALGEAVDDVVVRARIASQR PGHCLSLIYTSGTTGRPKGVMVSHDAFMFAQASLMHPFTTDDFNNNDRMVSFLPLSHI AGQECDIGCQAVHGSHVYFAQPDALKGSLGATLKEVRPTFLLTVPRLFEKFMEKMQDV GRSTTGLKKVLVTWAKGVGAATVAASVFGQSGEVPWGFWLADYLVFQRVRQALGLDCC KFFYAGAAPLSQICFDYFAALNIPIYGVMGMSETSGIGFCNFPDQFKPLAIGTKNVGT EFRIDPSTGELDLRGRHVTMGYLKDKGETDRAIDADGWLHTGDIARVDADGFVTITGR LKELLITAGGENVSPAGLEDVLKQELPILSNVMAVGDQRKFIAALVTFRVVADGDGVP TDQLDALAVRVLEQDVGSSAKTVAQAKICDRVRAYIDAGLVRANARAISRAQHVQKVA ILDKDFSIPGGEFTPTLKLKRSVVLNQYAHVVDALYQ H257_07526 MPQYTTTRIDQEVDLRVGADNSQFPPTTFPTTFLATAAKFASQP ALHYKRDGEWHHHTWQHYEATSFRFAKALLSQGLNRFDTVGICGFNASEWFFSFVGTV LAGGVPVGIYATNSAAATQQVCLHADTRIVVVDSVEQLEKFASLVPALPKLQAIVLWN DSVPAGFECHIRVYSFEAFIALGEAVDDVVVRARIASQRPGHCLSLIYTSGTTGRPKG VMVSHDAFMFAQASLMHPFTTDDFNNNDRMVSFLPLSHIAGQECDIGCQAVHGSHVYF AQPDALKGSLGATLKEVRPTFLLTVPRLFEKFMEKMQDVGRSTTGLKKVLVTWAKGVG AATVAASVFGQSGEVPWGFWLADYLVFQRVRQALGLDCCKFFYAGAAPLSQICFDYFA ALNIPIYGVMGMSETSGIGFCNFPDQFKPLAIGTKNVGTEFRIDPSTGELDLRGRHVT MGYLKDKGETDRAIDADGWLHTGDIARVDADGFVTITGRLKELLITAGGENVSPAGLE DVLKQELPILSNVMAVGDQRKFIAALVTFRVVADGDGVPTDQLDALAVRVLEQDVGSS AKTVAQAKICDRVRAYIDAGLVRANARAISRAQHVQKVAILDKDFSIPGGEFTPTLKL KRSVVLNQYAHVVDALYQ H257_07527 MDGIDGVVKGVVYVFGFAATGWSLMKFVLSVPLRVSDTSVEEKD MEVVLKVRSDGPELQIFLREVKEQTDLTLRVKSDSSLLHDADDPTNPATYVLAGAVSP VVYQKLAESCGLRKRTTKGKWVHVDEANHKEFLDESVPLDADADATAAASSGVIPFTS GEKIDLILYQLSQVRVLLHPSESSTVLANGDLLFQKLQEKRVILSSFALHNAAERKAL METWVKHLVPFAKAPIHDIRDYCGVEVGFYVAFLEHYTRWLVYPAVVGALVYLYQLQY GLHNFATAFYALSVTTWAAAFVEAWKRRESELAWLWGRPLAEDEDGVVVERLGFEGPD EYDDVDGVRYKKFTDGDRFKRYAVTMPVLGGTIASIVLLMLGYFQFEAAVRAAVTPAN GFDGLWAYVPMVPSVLYSAAVFFIDAKYVQLAHRLNAYENHRTDADHTNALIVKLVLF QFVNNFGLLFYITFFVGNFELLQSTLGSLLITRLLIENIVETLIPFVMSKSAVKAKAG LAEQQNNASLTQEPSVKEVAVVNKVDVEALLPEYEGTFFDYLELFLQFGQITLFASAY PLASVCSLLNNLIEIKSDGFKILMAHQRCHRDHPDGIGTWVHAFTLLGYVAVATNVTI VGFNSGVLQRLYPGISPFYTLVAVVVVEHLIVSIMVGIAAVVPDVPQHVAEGRRRERA VARKKMQRDAEFDQRSARLQSAVGHKAFFDEATVDETEMGRLETDEAAGTTTIATDKW RKWVVEEKIRRRVLEKEISTMNAIYTAWIDAEKDKARRLQAELDALTTKKTA H257_07527 MDGIDGVVKGVVYVFGFAATGWSLMKFVLSVPLRVSDTSVEEKD MEVVLKVRSDGPELQIFLREVKEQTDLTLRVKSDSSLLHDADDPTNPATYVLAGAVSP VVYQKLAESCGLRKRTTKGKWVHVDEANHKEFLDESVPLDADADATAAASSGVIPFTS GEKIDLILYQLSQVRVLLHPSESSTVLANGDLLFQKLQEKRVILSSFALHNAAERKAL METWVKHLVPFAKAPIHDIRDYCGVEVGFYVAFLEHYTRWLVYPAVVGALVYLYQLQY GLHNFATAFYALSVTTWAAAFVEAWKRRESELAWLWGRPLAEDEDGVVVERLGFEGPD EYDDVDGVRYKKFTDGDRFKRYAVTMPVLGGTIASIVLLMLGYFQFEAAVRAAVTPAN GFDGLWAYVPMVPSVLYSAAVFFIDAKYVQLAHRLNAYENHRTDADHTNALIVKLVLF QFVNNFGLLFYITFFVGNFELLQSTLGSLLITRLLIENIVETLIPFVMSKSAVKAKAG LAEQQNNASLTQEPSVKEVAVVNKVDVEALLPEYEGTFFDYLELFLQFGQITLFASAY PLASVCSLLNNLIEIKSDGFKILMAHQRCHRDHPDGIGTWVHAFTLLGYVAVATNVTI VGFNSGVLQRLYPGISPFYTLVAVVVVEHLIVSIMVGIAAVVPDVPQHVAEGRRRERA VARKKMQRDAEFDQRSARLQSAVGHKAFFDEATVDETEMGRLETDEAAGTTTIATDKW RKWVVEEKIRRRVLEKEISTMNAIYTAWIDAEKDKARRLQAELDALTTKKTA H257_07527 MDGIDGVVKGVVYVFGFAATGWSLMKFVLSVPLRVSDTSVEEKD MEVVLKVRSDGPELQIFLREVKEQTDLTLRVKSDSSLLHDADDPTNPATYVLAGAVSP VVYQKLAESCGLRKRTTKGKWVHVDEANHKEFLDESVPLDADADATAAASSGVIPFTS GEKIDLILYQLSQVRVLLHPSESSTVLANGDLLFQKLQEKRVILSSFALHNAAERKAL METWVKHLVPFAKAPIHDIRDYCGVEVGFYVAFLEHYTRWLVYPAVVGALVYLYQLQY GLHNFATAFYALSVTTWAAAFVEAWKRRESELAWLWGRPLAEDEDGVVVERLGFEGPD EYDDVDGVRYKKFTDGDRFKRYAVTMPVLGGTIASIVLLMLGYFQFEAAVRAAVTPAN GFDGLWAYVPMVPSVLYSAAVFFIDAKYVQLAHRLNAYENHRTDADHTNALIVKLVLF QFVNNFGLLFYITFFVGNFELLQSTLGSLLITRLLIENIVETLIPFVMSKSAVKAKAG LAEQQNNASLTQEPSVKEVAVVNKVDVEALLPEYEGTFFDYLELFLQFGYVVS H257_07527 MDGIDGVVKGVVYVFGFAATGWSLMKFVLSVPLRVSDTSVEEKD MEVVLKVRSDGPELQIFLREVKEQTDLTLRVKSDSSLLHDADDPTNPATYVLAGAVSP VVYQKLAESCGLRKRTTKGKWVHVDEANHKEFLDESVPLDADADATAAASSGVIPFTS GEKIDLILYQLSQVRVLLHPSESSTVLANGDLLFQKLQEKRVILSSFALHNAAERKAL METWVKHLVPFAKAPIHDIRDYCGVEVGFYVAFLEHYTRWLVYPAVVGALVYLYQLQY GLHNFATAFYALSVTTWAAAFVEAWKRRESELAWLWGRPLAEDEDGVVVERLGFEGPD EYDDVDGVRYKKFTDGDRFKRYAVTMPVLGGTIASIVLLMLGYFQFEAAVRAAVTPAN GFDGLWAYVPMVPSVLYSAAVFFIDAKYVQLAHRLNAYENHRTDADHTNALIVKLVLF QFVNNFGLLFYITFFVGNFELLQSTLGSLLITRLLIENIVETLIPFVMSKSAVKAKAG LAEQQNNASLTQEPSVKEVAVVNKVDVEALLPEYEGTFFDYLELFLQFGYVVS H257_07528 MAALLLGLRVTLVPSRRVGCVVASPARIISQADGALGFLAVVRM QKADTLLTVSNTIQTIPAT H257_07529 MPSDFSPDRFADKLATCSETAASIQALSGWILFHRRAIAEMVDV WYSSYKVQKAAHQVVHLYVANDVMQTGMRKYGRDIPDAFAEKLMLAIAITMSEGTQKT QDVVRKLVQVWRERHVLSDEVIDTMSDMCSTVVNARKDALKDTMALAKQHAAATNNDD ESLVLQDIPDAVDSEMTRDTIRMIEELEGEVVSTDLLSDRMFQLSSNLHYFAQATTTS DDDETTITEWDQLEIPVFEIDLDGSATHVAAFRRHLENQLDKRTALTAHFTSLANHVV LDDMSLIEIAARMDDETKDMQALLDLCVEAAEAKEQKRKEYEAALRRRHSHPDVVSSL HQQHPHRLDHRRSDSDLHSFHRPPPPHHHQQHHHQQHEDMEWHPTDRHTTDARSRSRS RSRSKQSRSSHDHQRDDHRRRDDSHRQRFPPPPISHDPGHGRWNEQDRAKRPRQYY H257_07530 MPQLNHRRSAQGSLESSYTTAESPPIDDDIDGSSCPACTFINKP SSALCAMCEQFIGPPAHTIHSDGSWSCGSCDHHNENGMHECYYCDAPYVSAVASAVPL TIFCSRCGVRNSGTSGACISCNHTLLSRLESLKHEWTDTANFVARDLGLHVHVRCPGC MTVCAVPASACFRCGACHTCFAAPTVGDVTSFHVARLTRSLSSSVRSLFHTSDHPPPR PRSKPTALNQSFFWRLFQPSDSSDESDDDKPLFGSPRRNPPPSSADNARTIPVGIRLP QTPHVHRTDSHGVEYNHLPPPPSRQVPVHVMDSYVAPRKSDAIPTSFERDWDLRRMSS SAEEEAKQELDDDDDVLALTVSHTGGFHVSHAHTVHF H257_07531 MSGVANLVKEVEGCLQRQQSSRAAQLLAISYDSSAPRIQESDSA IDSICQSTLSNGYHDVVAPLLKAKRLVQQNKYADAYDMQVVGFVQFLELFRDQNNWLV PLLQRFTFDTRILAQHADAELSATRGIEVTDKLANAEQNLKKGFAMTLNDRAAPELSK KPATLYIVNQLFKIYFRLNKINLCGNVIQAINKQTFSIFDKRDQVTYMYYLGRIRMLE DKYTDADECFGFAWRHCHLECTRNKRMILQYLVPVKLALGVLPTPALLRQYQLTEYVD IAAAIRQGNLLAYYQCLEQYQDQFVQQGMYLLMQKLGLLVMRTLLKKVYLIGNKSDKV RLTDFAAAVALMGTSLDMDAVECVVANLIINNYVKGFLSHKLHVLVLSKSDPFPSISH C H257_07532 MRIVKTAEENEPADRPPDSTSDMPSAELEEEEANDPNSLASLLE RVLQKVSQLPGALEALSSDPQLLHDLQEHEFLIYPITPPVSSSQDASIVHRRSISSSS HRSNSDAQLSSDDEDLTESVDMTSELFGSEYEAELQAVESLQRGKSMNMVIPRGSSML VPAHELSTSPSYSMGMLSLSPGASSQDGDPVSAVWPRRPNEFAFSQPDETKDDDLYNE ALFSELDDDTDDKVFDMEDMHRHGDDDGDGDVEYDVMRLRIVRERHRTGFEPSHEFLP EAGTLVGATYVVESLVGEAVFSRTYKATDTRTQSPVCLKIIRNSKEYFDQGIDEIRIL TYLKAAAGDLDDHHILKLLDSFYFKEHLILVTELLKANLYEVSRPEWRQRYFTLPRLR KIAVECLEALQFLHALGMVHCDLKPENILMQNFETCQVKLIDFGSASFVSDELTYYIQ SRAYRAPELLKANLYEVSRPEWRQRYFTLPRLRKIAVECLEALQFLHALGMVHCDLKP ENILMQNFETCQVKLIDFGSASFVSDELTYYIQSRAYRAPEVILGLRYDDRIDLWSLG CILAELYTGRVLFEHNSIAALLSSMMGLLGPLPPAMVAASPQADKYFNKAMYLTDEDG RVVVPLQTSLWQVLDCGDAAFVSFLQTLLHLDPARRVSAAAALNHPWLQSNVYGISDF SCT H257_07533 MQLGGGMPAVNAPPPLPAQPLPSRTLLLCVCMHACAFLAVQAFL AYVSPTGALVMALMCIPFLYRLSYQAAAEWRGGEGSLDRISTPGERQRLLLSPSEINL LLTDRDFDSNDYERLLLLDENNVKKSHGATDFEINRLPVVTMSESMLNASKGGVVEDS KCSICLEELVVGTKARMVPCFHRFHPGCIDPWLKDKAECPICKYPAVA H257_07534 MNPSDVHVLVVEDDEFTRVATIDILRSIGYVITAVDNGGDALTK LTQEPNRYDLVLCDVMLPVLTGIQLLECVQKEVSLSHIPIVMASSNEEMDVVTSCLSK GAKDYLIKPIQYNTAKTLVRHVWLSRKSNNADGVSTNTNSASTHIWRDLEVLRTIGKG THGTVVLARRRGDGAVVAVKRVRLAATSENGRKQADNEVILLKSLYHVNIVRFYDSFI VDNDELNIVMEFSDGGNLRQVVKLRARKDGAYFPEPLIMSWFAQLVLAVSYIHGKNVL HRDLKAQNVFLTKKYVVKLGDFGISKALSGDDLAMTSVGTPESMSPEICRGERYGKKS DIWSLGCVLYEMTMLKRPFEAQSLPEMFTKICHGEYEPVPAHAAFSKELRLLVQLMLQ QDPSKRPSIEDICRFPFVQAPIQVFLSDHAAEFELALQTEAKMNQAPAVPEDNHHTKQ HVTPIPPPPPSSSAYDMEASRAHLEDSLRDMAISSQVVGLGLHKPTPFDLLARSFDSH PSPPTEADTLSLSDRLRCLVTPREVKTSFYSSMAMVVTGQDLVDAFRTNYAMQHHPHD RLHDEETLLDNAYHAIHDLLQSRALHLVYGNSPLGSVDNLFRFQIDSKDHAPLNTRYL TPPNSKQTGVGTSSKDQPLELCLQVRAMAATLHSNTKLFPAGIHLHWSLTKPAVDASC RQYREFLQAVSAFHNVGISKLTSKDRQVFFINVYNTMVIHGLIEVGLPQTSDQYKAFE RDVVYRLDGMDFSLSDIRHGILRCNRKPPSAFWGRQFEAQDPRLAYCFHTRDPRSLLM LLEQTPPFTKPEDAPILQPRQTDTDLEKYMRVFCMKQVVVEVATKTLRLPKVLRVYLD DFGASESELVGWLAQYMDQCPRDLLQYRIRYLYGIAV H257_07535 MESREYKLVVLGSGGVGKSALVIRLVTDNFLEDYDPTIEDSYRK QVEIDQRQALLDILDTAGQEEYTTMQDEWMREGKGFLLVYSVTSQTTFDEIKTVKDKI LRAKDINRVPLVLVGNKCDLDRNRQVSLAAGKALAAEWGCPFMETSAKERIRNEECFF EVVREIRKQDAAKRPAPKSNGTKKKVEKKGGFCSIL H257_07536 MVARPPRCAKAIPIDQSSLSLSGSCVLKCTSVAGWQHHVDTEVT GPMIFWSKAWKVCWRGGERTKPYLSKADSWNSTLKHLRVSCLTSPPVVACACMRSFSL FAASIAACM H257_07537 MSSSEEEVALPTSKPAEKNNAGLFSDDDEEISDVETKPPAKEDS KTPTADDAGSDNGEEMTELFGSDYESEEEFKASGIKEDPVRDADRDSPIPTTTATKPY GSSSAREVDDAHHDELRIPKGPKAPKKTNLFFTRPPNVLRFVPDAFTTESIKKEKEET GDEGIYRNFVRWRYKLDAGGRIMVDPKTGLPMRETNARIVKWEDGSYTMCVGDEVLTL TQQKVANSFVFVNEQSTDETVLECHGRVKSKMTIRPISTASTSHQSLKMSMRARHNKA VVRIQEYVSEIDGTLDKEQRAAVKQEQMKLENRKKQRQGYEYDRDRSSRLDSTFLEEG YDGMDDDDDNLSAIKQQYKAKSKATSGGRRAPTAAPGGLDEYRQQKQAQRHRAVEVDD DSDDDDDSEDEMITHTSSSSKRRRTVDEDSD H257_07538 MGHHGALLSDEEEGEIVEDELTKLEIKAAAILSKPLHEMPPLPT HSSKLPVVLPSPHDEKASRPAPPQSDVSSSGGDAKRRRVDSTKTSTNGGDKKVHDTYT IAERKVELSILLREPFQVRSSRVLLNFNHWFDSVLKYAWVPRISADDLQSILLHFVDP SLHPSSRYLEDWDDEYRPAKLCFVLAKGFHPDVLAKAVTAKASPLSFFTSCSSMPLSL STTAAMERNAKLKETPLPCLLARFPSPPINLDMATPETLFTSNVELTMLGIYTSDTPP PSAAAPPLKGGWTDELVFQPSGVFFRKTTNQTGDWRIDGDLLHLRWRVVAQHTNDDSN VTSVDVLQADDDSLRRFRTSDHLDATYAAAAAKPKRLLRLTLLRAAAVGSSSTHPPPA GTTSASDPSAATTPLDYYTLSQSDRAAHQYPMDVAAEQAALDKATQGQSTDVYVTTSG LPSLPEPVVLAIDCEMCETVLGSELTRVTLVDAAGAVVYDQLVKPRHTIVNYHTAFSG ITAETLDAVDVTLRDVQQTLLTSFLHANTVLVGHSVDSDLRALRLVHPHLADTALLYP HPRGFPFKPSLKMLAATFLHQSIQSADMAGHDSVQDAVAALRLFQLKVRHGPTFGLPP SPPESVAYMSLLDKCAARRLGVYSVAESSNDDAHATHPPWNLFASGEWADQLASTHLH IHSTTSETKCFVAPTVTSLAAAADQIRTHGAAQDVLWVEVDATRTDPAVYVATPHQWK QRQTNQVQALNDNLNVLHDALPPNTLQVVVPQAALGLFRHLRGARLKAKWGDGWDSQW TDRDLANLRYALSGALDSVVFLKHKP H257_07539 MGTPVVSAFNVTSSSRDLPTAAHTPGRHVQADNKADGGTSRRKQ LRMFTGGCIVLVAVVVGCAVGLTGGTTSSNGDAASSSSDPISSQGIVSNAATPAAHPT PDPQQPGALPHNATSNTTSTPPSALGYVLPPAISSPAPTPAPTTTRPRLPYRDTHFDW LNINEWQGDNDVSAAFAKGGFKPSAIEVSTFGLPFQQSPVLRTKQGWAGEKVIYLEWT VYAGSDANIWMLPTALTSQFGDPRWPNCGEYDIFEMFNGDAAIGHTGTTDAFWGGGLT AFGQSTMHMASGGCFAPYYVRQPNVGAQAAQWKVEMNVKIAMAVVFGADAGGQYIQQI RNPKITRGGADDTVDVSADGGIAADKIYNNANLYWGVAPVGACAAGHNPATGYPFWNP FRLVLQEQYQGRFDVHTVQVFTK H257_07540 MPAIQPVPTFATVGPMSPSATMTRVQPVKPRRPIPKFQTSMSLS AQFLNRITRSPSKSPFISTTASIRHHAWFRAIHPHSAMMKNWDVLSIALIVFTAVVTP FETAFAVATVDSFLFVLNRIVDICFFADAILHFFVMYYDEANSVWVSDRRKITWKYLT GWFLLDLISMLPFDVVGLAVDNNTVKQLRFTRVLRLLRLMKILKVLRGANNFRIWESK MSINYATIALVKFCLLVFMSSHWMACIFRMVVDIEEFVDPLGFKFNWMTEHTMGSIPI SKSSLGIQYMSALYWSVMTLTTIGYGDLVPTTPGERSLAITCMLIGGGTYAYVVGSVC QILNSMDASTTEFHQTIDTLNEYCHHNQLPSELSARLREYFHSSRTLLRERQHHNLLL TMSPGLRGEVALYNNQWIAKIEFFNCSNDFERNQFITAVALLLRHECFPPNEYVIREG EFNTKMYLVQRGLATKGKVMYTGGTFFGEDIILTLRQRKIAVRAFSYLHVQALSKFEL EELIYSGLYPEIQRNIRRQVLKTAFKNNFVKLSQDTIRRRNSNHRMRNSVSSNMPPMM SPMLRSTLGLNVPIGFYHDFLPKQSPPPAKLEKDNDTVDNIVEGVSNLMDANIAKFDE GLTKKIDQVLAQLERLEKYVAPNVIFSPAPAKTSTPPSTSPLERQNSTAYLEAVQQLE RGEIRSLVRANSNRRRSSLPSQIY H257_07541 MSSSSNSSQSSLPRCWWRRSGSLPDAKDLWVRQGTVATEQVRWS IDSSLPERARWTNTDRISHHIHAARSLEHLRRLSMISGESLSVPVATTDVGSSFHVGG GRDHLMHYDYLDRSQQMFSHLALRGTDYPMSQASGPSEIEF H257_07542 MAPPSPVQHEGYHGLQSPTSSLPSNDLPKNITWRDLPNPMGTAN WFSTFVMFWIEPMMRRGAKQTLMEEDVWKLCPEHTSAVLHSRFDGFWQHEKLQPHPSF AKAMMRTMRSQWYFNVALYALYAALMLLQPNIIKSLLQFLQAKSNDDQVPVHTSLGIS SGYALAALLTVHSFLSVTIIDFGQYVSSNLGVNAKSIVMDSVYLKSLKLSGFAKRNMS SGEIVTLSSVDSERLFQGFLLGPWVLVAPVTVLAVFIMIGFDLGALSGVVGGVVMAAL LYSGYTTSTAVGAVRREILTVQSERVKLTNEMLQGVRVVKLYAWESPLEARIASIRDE ELALLKKYQYVRVLNTVTLSIAPILSLVLCLAVYVAQGHELTPSLAFTALAYMNVARL PCTVFSSSIMFALEAWASSTRVGTFLLADEIAQPWHF H257_07543 MRVVWLACICLHNMIIEDNNTTEHLLTASEWNNDLVRRRSQQPL SIDEVTRKQERRFHCISINETLSCAKLNDQLNLGIDKTTIARYLLSAKKFEFIKMNKA PKLTEEHMKNRVKWAMKMVELGISKWGAVVFSDENKWSLDGPNGLKSYWHCVGLNVKT VFSHQNGDGSIMEWGGIWADGTTELAFLEGPQTLCMPGSKTRKPQLTATKDIAKLFDY PDLPDKLRQDLCVLTRHQRVVINTLRAQIPEAKNSDARNAIQEITNWKKSCEPSLNPT VPKLRQPACIPRSKTLKSQLAATKNIGQLFDCPDLPVKLRQDLRVLTRHQRVVINKLR AQIPEAKKSDAHLLIHRNDQTEELIEGVLDRKIQVYHKARKIKAEATWYQERLSRGDS PSTLIELEEELQAEFEPDDLQNRLRDQLYELKQAHCASLTEYVAKFRRICTQVCHRIS DCRSPPRSNQGRSQAQPQRNNISRHANRPQRPQRNTPSRQHNAQLTEVHSDTEDSDDD VEEVILGNNMDLAQQDSGEEESLNISTTQQASKVPARENKLMIVHGALDSPSVRILID SLGASKLLCRPGLAKTVIRSKEVRAEGFDGHCSGTKKVKEGFDVILGKPCFFRCNPVI DWRTHQIRNINSSEVEPARIEGWMIKVTTKTEPPQKLHPLVARVVDEFRDVFPDKLPN TLPPPRGIEFDLTMKPDVRPQHRPPVRLSKVGSLDTFGDDLLKKGWIELSNSDWVSNI FGVPSDDDTPTRWVLDYRHVNSQSIVPKVPLPNIEDVFDRIHGCRVFTKIDLASGYHQ MLVMSSARKYTTFRTHRDVYEWVVTPMGMAGMPGIWSRLMRRLFDKFDFVVVYTDDIC VFSRTIEEHADHLRSICTVFRTEKLYARPSKCSFGVDSVNVLGHTISKDGLHVDQYYR KFIPDFAEQNGVLVPQRLTVNHSEQVFFSTIEMDTKTKELL H257_07544 MDSSDEEIDAIISTTAQEAVRKAAAVYFRSWSGFRRGRQPNIDR NQAGGHQKIIDDYFGENG H257_07545 MLNCLHAKVRLYIRTTHRAEVKTSMSSNSAASTYEIADKIPPRR QYFREKRREYHRKMIADETTIKAQCAHLQSILVRLKTGRTSSKVPREASDGPLSWHSI AMVFKREVHQVLRDRQSLVTQTQELESLTKAMQRFVLTNIPPAMSRSNAWHSATLVAD PRARNLGKKWLTQQMYHNMHEPFALFPVVGYDEDFYQFDFQASDELNDPFTWIERIQF TWPGTVQMFRRLIETNMQAMHVPNYVQTVVEEVTSNTRLIHTTTPTGIFVNALQGHFV EADRLVKVMCQVEHDEAHACLPLQKQHHVMSWTEVRQVSPTHILMRSVSHVSHIFRPA TGFVSVDEFAALKGVDVTGIEDGQKDAYVQREIIKQKHARFLPWRQRVMALMHQRATN H257_07546 MLVIAHRLDTILDSDRILVLDQGRVVEYDAPHALLATDGGPFAQ LASHARLGQSGALAVLPDVEPDREYVAGVEEPH H257_07547 MMMVAFPILQTPPQAVEPSPMVAMKRSRLQELGVGEYATLDSPK RLVHDTSAWRNLPNPMGAANWFSLLTMLWMDPLIHLGAKRPLQEQDVWQLCPQDTAAH LNQTFQSHWGHEVRSQTHPNYTRALWRTLQFKTLWTTALYGFCSALSLLQPVVIKFLL EFLQASPFADNQPVHTPMGISSGYVLATLLTVLSFLSVTLQDYGQFLTSNLGVNAKSI VMDCVYLKTLHLSVGAKRAMSSGEIVTLSSVDSERVYQGYLGGPWVLMAPLTLVVLFV LVGIAMGPVVGLVGGMSMALVLYWGFISSKAVGEVRRQVLTVQAERVKLTSEVLQGVR VVKLYAWESYLERRIDAIRSDELRLLRSYQYHRVLNTIVLSIAPVLSLALCLAVYVAQ GYQLTTSVAFTTLAYMNVARMPCSVFSSSVMGVSEAVASCIRIGKFMSLNEVVLSVGS PLQTTPIEATVQMQHANFSWNIDPSGRQEASENEGPMTLKDISLTIAPNTLTIVVGPV GSGKSSLISALLGEIHQVSGSRLVTGRVAYVNQEAHYHFLPHADRILVMEDGMIVGDG TFDSIKQGFPHLVSFDQGDATCPQASEVEVTKGSSSDEDIDGGGTLISKEDRNVGGVA TATYVAYFHASGRNGGVVLATILAAYTLSQAELTLTDWFMGFWSSHTSNSNLTSASIY VVLAVLSMGLVWGRSLLVLRLSLRCSQAMHEKLFRRVLHGPVTTFFDITPVGRILNRF SSDLDQVDSMLPFTGVLFLQFAFQIAAIVVVCAATSPFMLVVYAPIVFGFAKVQLYYN KTSAELKRLESMARSPVLNLIAETVNGLSTIRAFGMTEVFARQNRTILDHSQSFFMLY RMSSRWMQMRLDWLSSGIVGGVAFIAVASKASIGITAAGLALTYAAQMSSLLSRFTFK ASTLENIMTCVERLEHYISPAKDVAISGIN H257_07548 MEDGMIVGDGTFDSIKQGFPHLVSFTDVSEDPSTLVMEADWPEE GASGGGGVVVKADEEKQAKAGDSKSGGGGGVLVQKEDRQMGGVSSGLYLTYLRSTGWN GAFVAVSIVVAFTISQTAVVATDWFMGFWSTHPGNDVTSVVVYVVLALVAMALVWGRS VYVLFLCVLCSKALHAKLFRKVIHAPVTTFFDITPVGRILNRFSSDLDQVDSILPFFG VLFLQFGFQIAAVIVVCAATSPFILLVYVPLVYLFHKVQVFFLLTSSELKRLESISRT PVINLISETIDGLSTIRAFGMTDEFATKSRTILDHNQSYFMIYRISSRWLQMRLDWLS AGILAGVSFITVVSKASIGITAAGLALTYAAQMPSFLSRMTMTSSMIENIMTCVERLE HYNSLDTEGDSVNASAVLVAPPKTWPSVGSIEFKSFSMRYRPNLDLVLTDVSFEVVGG EKVGICGRTGSDQGRVVEYDAPLALLATDGGPFAQLASHARLGQSGAL H257_07549 MAPPSPVQHDGYHGLQSPTSSLPSNDLPKDIPWRDLPNPMGTAN WFSTFVMFWIEPMMRRGAKQTLMEEDVWKLCPEHTSAVLHSRFDGFWQHEKLQPHPSF AKAMMRTMRSQWYFNVALYALYAALMLLQPNIIKSLLQFLQAKSNDDQVPVHTSLGIS SGYALAALLTVHSFLSVTIIDFGQYVSSNLGVNAKSIVMDSVYLKSLKLSGFAKRNMS SGEIVTLSSVDSERLFQGFLLGPWVLVAPVTVLAIFIMIGFDLGAVSGVVGGVVMAAL LYTGYTTSTAVGAVRREILTVQSERVKLTNEMLQGVRVVKLYAWESPLEARIASIRDE ELALLKKYQYVRVLNTVTLSIAPILSLVLCLAVYVAQGHKLTPSLAFTALAYMNVARL PCTVFSSSIMFASEAWASCTRVGTFLLADEIAQLEHRPQW H257_07550 MVPNQGSQRMTSKGRNYLPAEQETLCRAWLQVSLDLILGNDQKS SNFYDKVAEIFNQEHEARSACSLQINWRDTIQKQVSLFCGAYKKAVHNPPSGTNGIDH MRTALELYKLRSKKSAFRLHHCWLILKDAPKWSVAMEP H257_07551 MVGVIERAHFGRQPPRVKLSAAQRHLPSHVVNLSGVSRLLKRVA LPVPPPNEFPLQPSRVEAYHSALTRRFVDYLALVTQFTKSQCPSTPPDMFSHDVQCDG SAGRHAVNALWLQWATLFPGYQLSVVVIDVVAADNATANLTVRVEVQMTLGDRTSACL QTPHWRQPTDVALQGYFMVQFDGGSGGILSLQPQINFAPAFKPRGLYRRDRVARNSTQ VAFELDKAKKSKFALSFILNDEVVG H257_07552 MATDDTKNFNPPTTLRRVLRDRLILHYQIVMVTVIERAHFGRQP PRVKLSAAQRHLPSHVVNLSGVVPTSDVRRRQLVQAQRRSREKSRLLKRVALPVPPPN EFPLQPSRVEAYHSALTRRFVDYLALVTQFTKSQCPSTPPDMFSHDVQCDGSAGRHAV NALWLQWATLFPGYQLSVVVIDVVAADNATANLTVRVEVQMTLGDRTSACLQTPHWRQ PTDVALQGYFMVQFDGGSGGILSLQPQLIQNSSTYVLPMHKSIHTSHCQIVMVGVIER AHFGRQPPRVKLSAAQRHLPSHVVNLSGVVPTSDVRRRQLVQAQRRSREKSRLLKRVA LPVPPPNEFPLQPSRVEAYHSALTRRFVDYLALVTQFTKSQCPSTPPDMFSHDVQCDG SAGRHAVNALWLQWATLFPGYQLSVVVIDVVAADNATANLTVRVEVKFHIEGTSLRAL SPPCAVSSLCYRTLNNVVLAYTIVLAYGHIQVHFDGASARITSLQTNISCFQRAAVGT QRLKRRLGPASAPYVASTDKSKLSLHFILN H257_07553 MVANNEGAAGNDSMEVEGSGSAERQEVSSYWCHLCARNVQTRLN TESDEVECEGCGGCFVEEVEDDTPGQERAQDFVPHEGTPQETSPASQERSDNVEEEPR RSIRITRSSLPNMMHDIFQLSRQGSAGNSDEYGTRLRPRGDRTRLNSPCRASDERRGR VDRLFTSRGQPVEVYITGAGGPGTAGLMGALSGMFQSGGNSGGTLGDYAFGNISNIIN HLMQNDPNQHGAPPAAKTVLDALPKVHISQDEVDNKHDCAVCKDVYELKEEALRLPCA HDFHSHCILPWLKQHNSCPVCRFELPTDDQEYESTRGGDATSTPANSAMAS H257_07553 MVANNEGAAGNDSMEVEGSGSAERQEVSSYWCHLCARNVQTRLN TESDEVECEGCGGCFVEEVEDDTPGQERAQDFVPHEGTPQETSPASQERSDNVEEEPR RSIRITRSSLPNMMHDIFQLSRQGSAGNSDEASDERRGRVDRLFTSRGQPVEVYITGA GGPGTAGLMGALSGMFQSGGNSGGTLGDYAFGNISNIINHLMQNDPNQHGAPPAAKTV LDALPKVHISQDEVDNKHDCAVCKDVYELKEEALRLPCAHDFHSHCILPWLKQHNSCP VCRFELPTDDQEYESTRGGDATSTPANSAMAS H257_07554 MEVDGDVNAVAVLVDELRHDDPKLRLQAIKKVQVIASALGPQRT REELLPFLNETLDDDDEILLALAQELGEFVDLVGGPLNAYHLLSLLESLVAVDEASVR DTACKSMCKVVRQMTPEHITEHFVAVVRRLVTREWYTSRIAASGLFQVSYDQLPPATQ AEMRAMFIQLCRDDLPLVRKAAATALGGFASMMDPASATAEIVPDFLTLASDRQDSVR CQTVENAVALAALVPVDVKLNQLLPVVCAAAKDSAWRVRWSVGNKLPEICAAMGPDLA TSVICDQCLIPLLGDSEAEVKTVAASRAHGAAQHMSPAHLVAKVVPACVTLARDPSEH VRASLASVVMKIAPFLGRDVAVQHLLPLFLQLLSDESSEVRLNIISNLEKVNDVVGID QLSQSLLPAIVQLAEDRQWRVRLAIIEFIPTLASQLGVTYFEEHLMEMCMGWLCDVVF SIREAATLNLHRLAQHFGVAWAHAHVLPRIASMHVNANYLYRMISLHAAKVLAPLMST DQLQHSILPLVLQLASDPVPNIRFNVAKTLETMAGQLDDAAKQTLVGPVLHTLATDPD GDVQYFAARAIHLLQQH H257_07554 MEVDGDVNAVAVLVDELRHDDPKLRLQAIKKVQVIASALGPQRT REELLPFLNETLDDDDEILLALAQELGEFVDLVGGPLNAYHLLSLLESLVAVDEASVR DTACKSMCKVVRQMTPEHITEHFVAVVRRLVTREWYTSRIAASGLFQVSYDQLPPATQ AEMRAMFIQLCRDDLPLVRKAAATALGGFASMMDPASATAEIVPDFLTLASDRQDSVR CQTVENAVALAALVPVDVKLNQLLPVVCAAAKDSAWRVRWSVGNKLPEICAAMGPDLA TSVICDQCLIPLLGDSEAEVKTVAASRAHGAAQHMSPAHLVAKVVPACVTLARDPSEH VRASLASVVMKIAPFLGRDVAVQHLLPLFLQLLSDESSEVRLNIISNLEKVNDVVGID QLSQSLLPAIVQLAEDRQWRVRLAIIEFIPTLASQLGVTYFEEHLMEMCMGWLCDVVF SIREAATLNLHRLAQHFGVAWAHAHVLPRIASMHVNANYLYRMISLHAAKVLAPLMST DQLQHSILPLVLQLASVRHRMYL H257_07555 MDGITVMTPRNAASMAHGLDTFLDDDLGSSSLMFNARSNSGTDG GVSDATAVHNWDVASNLCISIDRESSPGLSPCDSIIDLPSPCLTAPVERKRKGTLETA PSNGAHPRTEKRMRVLTDADMAAPSTAVQFTGVHNENTRFTFTAFGSTARTLGAPCSF ASSTPFHMTRSTFVSSVPSSSTSPCMSANVPATPRLRCFD H257_07556 MWFVAVHIGAGNHESTNAAVDEANTCMRVALEAAARVLSAGGDA VSACEEAVVVLEDAECTNAGCNGPQVNLTTDGRVETDASVMSGSSNAIGCCGAVEGVR NPITLAVHLLRSQERRPQDRQPPLFLVGHGALAEAKDANLSTINYDDEPIHPRALIKH QDNATRYLLDTVGAICIDSAGHAAAAVSSAGIALKRPGRVGHAGCPRMGCCASNGSST SAAYAFSCTGRGEHLVQGALLQHLERQVASMSAKAQVNDVINNAGVAIEGGVIGLIGR PSSNDATNKRPRLVDGLDFVVAFTTPSMGIGMLSSSDPAPHMEILRNGPTGGLLSAHV CAVPPATESQHRSKRHRRTRP H257_07556 MWFVAVHIGAGNHESTNAAVDEANTCMRVALEAAARVLSAGGDA VSACEEAVVVLEDAECTNAGCNGPQVNLTTDGRVETDASVMSGSSNAIGCCGAVEGVR NPITLAVHLLRSQERRPQDRQPPLFLVGHGALAEAKDANLSTINYDDEPIHPRALIKH QDNATRYLLDTVGAICIDSAGHAAAAVSSAGIALKRPGRVGHAGCPRMGCCASNGSST SAAYAFSCTGRGEHLVQGALLQHLERQVASMSAKAQVNDVINDAFNDAKSSNAGVAIE GGVIGLIGRPSSNDATNKRPRLVDGLDFVVAFTTPSMGIGMLSSSDPAPHMEILRNGP TGGLLSAHVCAVPPATESQHRSKRHRRTRP H257_07556 MWFVAVHIGAGNHESTNAAVDEANTCMRVALEAAARVLSAGGDA VSACEEAVVVLEDAECTNAGCNGPQVNLTTDGRVETDASVMSGSSNAIGCCGAVEGVR NPITLAVHLLRSQERRPQDRQPPLFLVGHGALAEAKDANLSTINYDDEPIHPRALIKH QDNATRYLLDTVGAICIDSAGHAAAAVSSAGIALKRPGRVGHAGCPRMGCCASNGSST SAAYAFSCTGRGEHLVQGALLQHLERQVASMSAKAQVNDVINNAGVAIEGGVIGLIGR PSSNDATNKRPRLVDGLDFVVAFTTPSMGIGMLSSSDPAPHVRR H257_07556 MWFVAVHIGAGNHESTNAAVDEANTCMRVALEAAARVLSAGGDA VSACEEAVVVLEDAECTNAGCNGPQVNLTTDGRVETDASVMSGSSNAIGCCGAVEGVR NPITLAVHLLRSQERRPQDRQPPLFLVGHGALAEAKDANLSTINYDDEPIHPRALIKH QDNATRYLLDTVGAICIDSAGHAAAAVSSAGIALKRPGRVGHAGCPRMGCCASNGSST SAAYAFSCTGRGEHLVQGALLQHLERQVASMSAKAQVNDVINDAFNDAKSSNAGVAIE GGVIGLIGRPSSNDATNKRPRLVDGLDFVVAFTTPSMGIGMLSSSDPAPHVRR H257_07556 MWFVAVHIGAGNHESTNAAVDEANTCMRVALEAAARVLSAGGDA VSACEEAVVVLEDAECTNAGCNGPQVNLTTDGRVETDASVMSGSSNAIGCCGAVEGVR NPITLAVHLLRSQERRPQDRQPPLFLVGHGALAEAKDANLSTINYDDEPIHPRALIKH QDNATRYLLDTVGAICIDSAGHAAAAVSSAGIALKRPGRVGHAGCPRMGCCASNGSST SAAYAFSCTGRGEHLVQGALLQHLERQVASMSAKAQVNDVINH H257_07556 MWFVAVHIGAGNHESTNAAVDEANTCMRVALEAAARVLSAGGDA VSACEEAVVVLEDAECTNAGCNGPQVNLTTDGRVETDASVMSGSSNAIGCCGAVEGVR NPITLAVHLLRSQERRPQDRQPPLFLVGHGALAEAKDANLSTINYDDEPIHPRALIKH QDNATRYLLDTVGAICIDSAGHAAAAVSSAGIALKRPGRVGHAGCPRMGCCASNGSST SAAYAFSCTGRGEHLVQGALLQHLERQVASMSAKAQVNDVINH H257_07557 MKHLPDLASTSLLPWGLLSLYFVQSFLQSFPMTAYGDWLFNEIH MSPATTNFYYALTFFPWNLKPVYALVSDNFPIFGYRRKYYIVLCEAGAALSVLATGLF VRSVAGAFVVKMIDSSCEAFTQMMLGVVLVDVASGNHQKSGNVQAWANAAKNSASIVA LFVGIPIYATKSISSREIICWTSVIPVVGIVTALFFFHEPKVVPNSIHPTGPTTCGGL VRQKSQAFAAACKRIVSEQRSYMPVMLFFFLSSALPSGGSVWYQYTYSLLNDQKECLQ YSSLAAKLFVFVGMVGRVLACGIYAQMCQGRSIRIVFAISTVVSTVASLPQVLLAPPM ANLPISVCTFCTLESFVTSFAGEFALLQLLIVATYFCPTKATDGKGLTYALYLSFMDF GGVVSSFFSAIVINALGITEDPTTFVVNWANLSWVVVIGAVCKLAILAFLCLLPSRID AEDHQMQPLLSKGDQSPLA H257_07557 MKHLPDLASTSLLPWGLLSLYFVQSFLQSFPMTAYGDWLFNEIH MSPATTNFYYALTFFPWNLKPVYALVSDNFPIFGYRRKYYIVLCEAGAALSVLATGLF VRSVAGAFVVKMIDSSCEAFTQMMLGVVLVDVASGNHQKSGNVQAWANAAKNSASIVA LFVGIPIYATKSISSREIICWTSVIPVVGIVTALFFFHEPKVVPNSIHPTGPTTCGGL VRQKSQAFAAACKRIVSEQRSYMPVMLFFFLSSALPSGGSVWYQYTYSLLNDQKECLQ YSSLAGMVGRVLACGIYAQMCQGRSIRIVFAISTVVSTVASLPQVLLAPPMANLPISV CTFCTLESFVTSFAGEFALLQLLIVATYFCPTKATDGKGLTYALYLSFMDFGGVVSSF FSAIVINALGITEDPTTFVVNWANLSWVVVIGAVCKLAILAFLCLLPSRIDAEDHQMQ PLLSKGDQSPLA H257_07558 MACNRTTYGSLLHILETNGLTDHDTMSRPLKRLIGILNFAFQCR LVTSLPPPPLPPPGQTPLRKDGVVFFHGAARLDLASGGSGALVMQRDYPLLCDYDAHY IAGATTNIQAEYDGLLRGLQMAQTRGNTHLTYSVTANF H257_07559 MSDKFGSYVSSNERHTLETNPRLRGMNYTHAWVNHSENFVNPIN GAHTQSIEGVWEVRIKQYLKAMRGVHRKHLPGYLDEFLWRSWFFLQKADGKMVFKGLV IAIRKQYLK H257_07560 MNSSALSLKGSSELSLLVFNGKKDTFRVWSRKFMNYLEGLTIEL TGLWIGESDKRPQPVTKFEDWLVSVPALHQSEIDATEKYKHYLDWYRKRQDQKVRSLL VEPRAPKNNVPCGAIRAEVPLPRQAEVPLPASTSPAEESLADYLGLGLDDDFEASSPY YTPAQERMEAVAGMIGLSTVDAAIT H257_07561 MANEKKRKKVEAQRRYRMNRQDRAAMDAFCIMRFPTLSDETLAR RRYFREKQREYRRKLSADGAAMEAELVHLQLILDSLQAKSLPSVREASDGPLSWHSIA MVFKSEAHRVLTDRESLITQTKGFRALIASMQRFVMMNIPLPVSRSNTWPSATLVADP RTRNLGKEWLTQQMYHNIHEPFALLPAVRLDDEFFEFDFQSPDEHDDPFTFLERLQCI LPGTLSSFRRFFETKVRDVLFEDPHEVTEEVVANTRLFCTTTADGDFINTLQGQFVEA DRLVLVFRQVEDDEVHSCHFTLRQRSYRSWMEVRQVSPTHILMRLVSHSSRLFRAYEG FISCDEVAALWGINVTGIVDDVQKEAYVRRELIRLGNAHFLVWRRRFTALMQAS H257_07562 MSSDVGTTTRALSDETLARRRYFREKQRKYRRKLIADGAAIEAE VFHLQSILDSLQAKSLPSVRDTRDGPLSWHSIAMVFKSEAHRVLTVRESLVTQTKELE FFKRAMRRFVMMNIALPVSRSNTWPSATLVADPRTRNLGKKWLTQQMYHTMHDAFALL PAANHDEEFYALDLHESDKHDDQFTFLERLQCILPGTLASFRRFVESHRMRDTMFANS HEVTEEVVANTRQFCTTTADGAFMNTLKGHFYEADRFVMVLRHVEDDEAHACYPMLRQ RRYRSWTEVRQVSPTHILMRTVSHMSRSFRAYDGVVSSDELAVLWGIDVTGIEDDDQK EAFVWRELIRLGNAQFLLWRQRFTALMQASYY H257_07563 MDLRLEACFAFVKDNRRREVTREERLDILRLHAWFRSQGVAAAS KTVAEALGRSMHVVQDVWREYQQSQTVTALAPPANHYAHITTVPRTKRVAEMVQAFVR DRRSTRTRTTATDVSNYLREMSILEYEPNDKKEFAAALRSVQRYLQAQGYKANALARD VYVRKMQALVDAPDGPHVVYTDESYIDHHQAIYDPSDTMDLPSKEKHMGRRYCFVAAI LDSPTLESKDMAIDIFTGGKSTAKQPKDYHGMFDTEYYVAWFGRLLDDMDEGGITNTL IVLDNAKYHKSLPKTTPTSGRRKGVLVDACRAYGIPTSGHEHKSELWGLLATHIRAHV KPIITDMAESRGHSIVFTPPHHSDLQPIE H257_07564 MVATVEEILEAVRRVQCGELKAAVVRSSPVTRTTLFHYIKMVKE HGTVIKDKRVTKQVLPATIEDDIVEWIAAMQRRGRPVDREQVIRGWYQKIRTRNPILS SQLLSTGRNAVNKEGIISCFNSLIRACVGFEYDTSDVYNVDETSFKTK H257_07565 MTLKDSGKRQLGFSMYEALCQESLKTSDSGFVHLYLVISWNMMA RSESTEAIHIDYISFEDDAIGVTYFKSKTDQTGSKRRDPRHVYTNPSSPAICAFLALG MYFACNPTLNNGSLFPGASQRDRTQSLGLHSAG H257_07566 MVIVATASFSQLQCSPSKRNSIMLRSAAWTTATMVVAVAFTLVQ VLVWLVFSLCMLRLAWIDRWRIAAAAALWVVLGGKSSWKKLVEGLKHHCTPIHNGRLN DSVWLFMVVFSVVCTFKAGGTHAGWLTW H257_07567 MTFSNAIGFPLILGPLASAFYHVTATTSPSGVEICQGHSCFNPT FLICAALNAVSLCGSVQLHA H257_07568 MIGVGSGLLVNANLAFIVQARLGSPALVPTLVSVFSICNVGGRV AVRWVSDASVGILSRGHFLSGGLALMAAAHLSFLWGSLDSLYVLVAAAGIV H257_07569 MLPHHRGGRGKSGLGGGIPRSTWIKYGAIGIFSLLCIKFLFFVD PLSVEDLLPFQNKTMYQFTTKFGQFTVELFPKHAPLTVEHFEQLISSGYYKENAGIYR AEPGFVVQGGGFVHDKLSPLGNVQVEYSLPSEERMVVLARNKDPLSGNTEFSIMLADN SAINAPQGTSPGYTAFGRVYSGYPTVVAIANDMAEGYLAKKNKDQVVTFNSIDTVSQL APTTADLRAVSDAIHDAAATRFSVVMFSKTSCPYCKEAKRTLKDIGAEVHVVELDLLP PGTASQFQDTLDAYTGRRTVPNILLNGKSIGGGDEVEALHDAGKLVPLVQATGAMVKQ KVILESIRNHPVVVFTKSYDPYSKEVLAVLGIVGASPVVIELDTHPNGDAILFYLIQI TGRKTTPNVFVGGKTIGGSDDTKQLHATGELTLLLQRAGAL H257_07569 MRLVIGQFTVELFPKHAPLTVEHFEQLISSGYYKENAGIYRAEP GFVVQGGGFVHDKLSPLGNVQVEYSLPSEERMVVLARNKDPLSGNTEFSIMLADNSAI NAPQGTSPGYTAFGRVYSGYPTVVAIANDMAEGYLAKKNKDQVVTFNSIDTVSQLAPT TADLRAVSDAIHDAAATRFSVVMFSKTSCPYCKEAKRTLKDIGAEVHVVELDLLPPGT ASQFQDTLDAYTGRRTVPNILLNGKSIGGGDEVEALHDAGKLVPLVQATGAMVKQKVI LESIRNHPVVVFTKSYDPYSKEVLAVLGIVGASPVVIELDTHPNGDAILFYLIQITGR KTTPNVFVGGKTIGGSDDTKQLHATGELTLLLQRAGAL H257_07570 MSSVATRVSSFFKKLSSRRPTSPTELATESPTGPADDSNNEPSI VDVKVAIRPSVSSSPLEPLKEACPSPATLTFERVTLSELEMLGVLGVGTYGVVKLARH KPSGRAVALKVISKEFVVSNRQEKHIVRERFVHLRLRHPFVTTLYQTLQDDDCLYLVL EYLPGGELFTVVHSDSMSPLKQPQGGLPMPAVVFYAACIILALDYLHVQGTIYRDLKL ENLVLDAHGYPKVLDFGFAKPDAVSQRNGTMCGSIDYMAPEVVRREGHDHRADVWSFG VLLHELCLGTTPFARATPRDQMRAIQVDPVEFPNAFEDQWPDMCDLVACCLEKDPAMR FADMAAMKSHAVFECVDWHALSQKQAVPPFVPHLHGAFDTSRFEFAPDDPYVEPYDDD GNDWAKDF H257_07572 MAKVASIAALLVGSAAALTMTVSMSTELSCHPIEGDVDYVSTSL QLQRTSRDDAEACCDDCRRMEAVCDFFTWTDEEGGTCELKQLELPLTKVPRPGAKVSL LIPCRCSTGDKCNSSGVCTGCSLFGACYNYDKAGCTHGGGKMCDGLATTSTTKRPTTT KPASTSTSSTTPDSSPLTHVPSPSAPPESSTVPATSSSTPTIPVLVTGTSHAPVANVP ESRWLVLFAAVFALFV H257_07571 MARRCGVVGTVWRSACSCCHFKHDFHYSTSGGGCPRRRHLWTWP SRASWTTATCRHHVTAVHCSPPAVQGNASDQEDQTEQSSGGTTPHPGQLQPPPLLRKG PPVRARLGRGHEFEAELRRRKGSGRAVSVTSRVAIAALLSSFEA H257_07573 MADTRNNTGRWLITEEVYVDLAITCFFDGILDDCDNGVTLRQYI SRRLHCDDMRVTKKLRRNKVLAGRRVIETNYNRRHFVRKRKHVTQADLEAVTSMKLAY LAFEAAFREQKKPWRGYVLPTSTYLSHAASRVAIPALLNGLTIVPRRPSLRYSIDTSP H H257_07574 MADDNSDGAAVAVAPRRRMKPLLLYEEIVLGGAHTAKRFTCVDV CARFLACGATNGSVYIFARSQKKQGNTSSSSVTFRLLKMISPPSATSDRHQDPVTCLS FCPVQRYLVVGTSRGAVYAISLLDPARIGEKIEFSHPLHHGFSVSALLWDEPGARVFS GCTGGTVAQTSIRAGVSAIFGSSSTEFLLKEDTSIVQLDIHHSNATGDLLLVSSQTRV LVLNLSTDGGIVQVGTKLRQGNFGGCFFVDPDDKQVKVYSSRPGKRVWVADPASGTVS STLRFSMSVPPTPFFHGPNVAPADDVSAKNVTLSHVSLFKYIHESMDFTPDLPQLISW APNSSALVLLDPIGVELVEWHVDLGVIHDLKVLDASVFVVLHGDPGKIAIVRACAAIE FLELAVSNDLQKSIELAVKCNILDLSLLLNLQCKWVEHIKTTPDDAAKLAPQTLAELE ALVDAAATLVQEHTRPPELDIAPPHVVFKQRRDAPAADPPPPLPPSDQSLSLKLNFLY EKPALYDSVRTATTGCDMVPSQPKRHVSISDLGTNDKVVDEAWRARHLPPPSDVTLKP ATLFGEVDLETHLTNATSQLVSMLPERYFGRTSSSAATPTTAEDDEPAEFPPFDPPDN HVLQAKSSSSVQSVLKLAMAVSPSKGGERREGGDDLRLIVPETADGAADQAFDPRSGQ EVVLEAIATDLFLSELQFQSKRPLAQRLCLSTDNDNQDDTPSPCSSRPRPSIWRHQNM TTAAPKRPRTASDTKQQLIERTVAKQMGVCAVSHVQIKWTLGGTMRESADLAAVAAAR PVFASVQADFEQAVAAAATQLHLWPPATLTRLASCATNGHLGHGDLKRARRCLEAFVG SFDPTIDMALIQKRKQIDLIKKTQLKAVQLAGSHSHKEEEEEEEDLLPLTRNDWTLVR LLVSFYFVLLGPVYVETLSHGSMKNDEYATLCGGVIPELDVQYRLIRRDATQSSEYYS EAQVQAFLATYGNYINLDWAAMVCSVRQFPQALSDVLNLAISSSESIAADCEDLLHHV AEGIPFEFPRPSAVCVCLHLLHVLLKTTKQAAVDWCVRMYPRVSPWNVQWALFGASVD FDQTNSTYLSYLTALLQKAPASRGDASIITHWLHMHFAQHQAEDVLLAFLQYPSVYMH PDVSALCFKHERWSCLVQIVLHAVADPTTCKIGLVDLRQLVCSMLQSDGPASSLSAIF HAMMQLDNPGRPLQYILEEVEAYMQQDTALDGVSTDNRVYVGILHALFDACGPQLGLR LLDTCPTLIKLAPRQLYAAVVHMQALQHAHAEQVTHILEDIDTYIWSCSRSHHMGLAP QVEATFRLECGYYDLEKKNPPLPTTTTSSDTRVGMQDDSNLVRQYFESRSNDWGGEIQ LQDWHCVHCDLPVVYFGADGRNLDAVLLPCGHAFHDGCVPDRTCSICLQDNFRFG H257_07574 MADDNSDGAAVAVAPRRRMKPLLLYEEIVLGGAHTAKRFTCVDV CARFLACGATNGSVYIFARSQKKQGNTSSSSVTFRLLKMISPPSATSDRHQDPVTCLS FCPVQRYLVVGTSRGAVYAISLLDPARIGEKIEFSHPLHHGFSVSALLWDEPGARVFS GCTGGTVAQTSIRAGVSAIFGSSSTEFLLKEDTSIVQLDIHHSNATGDLLLVSSQTRV LVLNLSTDGGIVQVGTKLRQGNFGGCFFVDPDDKQVKVYSSRPGKRVWVADPASGTVS STLRFSMSVPPTPFFHGPNVAPADDVSAKNVTLSHVSLFKYIHESMDFTPDLPQLISW APNSSALVLLDPIGVELVEWHVDLGVIHDLKVLDASVFVVLHGDPGKIAIVRACAAIE FLELAVSNDLQKSIELAVKCNILDLSLLLNLQCKWVEHIKTTPDDAAKLAPQTLAELE ALVDAAATLVQEHTRPPELDIAPPHVVFKQRRDAPAADPPPPLPPSDQSLSLKLNFLY EKPALYDSVRTATTGCDMVPSQPKRHVSISDLGTNDKVVDEAWRARHLPPPSDVTLKP ATLFGEVDLETHLTNATSQLVSMLPERYFGRTSSSAATPTTAEDDEPAEFPPFDPPDN HVLQAKSSSSVQSVLKLAMAVSPSKGGERREGGDDLRLIVPETADGAADQAFDPRSGQ EVVLEAIATDLFLSELQFQSKRPLAQRLCLSTDNDNQDDTPSPCSSRPRPSIWRHQNM TTAAPKRPRTASDTKQQLIERTVAKQMGVCAVSHVQIKWTLGGTMRESADLAAVAAAR PVFASVQADFEQAVAAAATQLHLWPPATLTRLASCATNGHLGHGDLKRARRCLEAFVG SFDPTIDMALIQKRKQIDLIKKTQLKAVQLAGSHSHKEEEEEEEDLLPLTRNDWTLVR LLVSFYFVLLGPVYVETLSHGSMKNDEYATLCGGVIPELDVQYRLIRRDATQSSEYYS EAQVQAFLATYGNYINLDWAAMVCSVRQFPQALSDVLNLAISSSESIAADCEDLLHHV AEGIPFEFPRPSAVCVCLHLLHVLLKTTKQAAVDWCVRMYPRVSPWNVQWALFGASVD FDQTNSTYLSYLTALLQKAPASRGDASIITHWLHMHFAQHQAEDVLLAFLQYPSVYMH PDVSALCFKHERWSCLVQIVLHAVADPTTCKIGLVDLRQLVCSMLQSDGPASSLSAIF HAMMQLDNPGRPLQYILEEVEAYMQQDTALDGVSTDNRVYVGILHALFDACGPQLGLR LLDTCPTLIKLAPRQLYAAVVHMQALQHAHAEQVTHILEDIDTYIWSCSRSHHMGLAP QVEATFRLECGYYDLEKKNPPLPTTTTSSDTRVGMQDDSNLVRQYFESRSNDWGGEIQ LQDWHCVHCDLPVVYFGAGTACN H257_07575 MCSKLDLATLRVRKDVNELAKGKFVCAHATTQIEFPDGVDNLLR ILISISVGDIDSPYANGDFQFQFDIPRTYPFHAPLVYSLNKVWHPNIDIHSGQVMFSI LGKDWRPVLSINTILLGLQLIFLEPSIDFAINPVAAKTLVENAQLFRRQVQHTLQGGL YFGVEFLQHPRQRLHLANAPANRSHGKRERELEHDIEHMTISKSMDCEMTDEDSDHHP VPVFKRHRT H257_07576 MDMAWGDNSYADGYSLSHGFDDTDGGSLSGENKPRILLMGLRRS GKSSIQRVVFHKMSPHETLFLEGTNSLDIKYIANNSFVQFQIWDFPGDFDFKDDLVYG GQLVNEEMIFGNCGAIVFVIDAQDDPYSEALTRLHDTVARAHRYNPNVFFEVFIHKVD GDLFISDDHKIDCQRDIQQQITEEIQDGDLDIHMSFYLTSIYDHSIFEAFSKVVQKLI PQLPTLENLLNILISRCNMEKSFLFDVVSKVYIATDSNPVDMQSYELCSDMIDVVIDV SCIYGMKDDGDGDGLAYDSQSSSVIRLNTGMVLYLREVNNYLALVCLLRAENFTKQGI IDYNIDCFKSALGQVFSK H257_07577 MHDRLSELTGKAAAGDVAIDVAAENTNVARPKFMEKFFVDVEEM QADLGKITVATDRIAELNHQALLATATGEEQIISQELCLVIDTTNKIAARAKGLLELI KKETADKKKDKNAPASEMRIRDNMSTTLTRKFMDTMKDYQKAQQRFKADMKNKVKRQV QIVKPDASEHEIDMVMRSADPGAIYRSAILQGSNDSIKEVYMNCHDKYQDVLKLEQSV AELHQMFLDLALLVEQQGEMLDQIEFQVKTASNYIDAGNKEVTKALKSQKSMRKKMCC LLFFGLIVILIAVLASGAFKST H257_07578 MAASLVVDRLRLELDMLRRDTMLWWLHALPDITIQSLVQSHGGI SISADVVPSPELDLPADPMTIEFLVSSKYGSLPPVVRCPGSFVHGICDPKSRVVNLPM LSRVERGWSKSYSIASILHTIRRTFVRKPSLASSDGGGVGPWDIRSKYLQYMESKHHM TSTDVIVAHCVGVGSTSVGARDLMEDALVCVDELACGSSSTLGFHPALYCVADGHAGI TCADYLMRRLPEAVGAILGQDKSPREALYRAFMQVDSDYNEWALANNDMSGSTCICVL YDGLDKLYCANVGDSRALLIRGRQAIQISRDHRSVDVEEQGYIVSRGGFITNDRTFGQ LTVTRAFGDVDIKKQFGPSLCALPEISEWTLSPSGDDVVVLASDGLFAVMDNRTVASI VTAMLDNGAALPAIADELVRICVADRRGEDNTSVVVIELTTDSHDRRGDDVAAAARTD DDVLMVEGEKLLKADKSVDTLLHDLALQDDVGTPLVMTTSTKAEVLRRPTTVSRVALQ NDEELMDFLLDEQNFSG H257_07578 MAASLVVDRLRLELDMLRRDTMLWWLHALPDITIQSLVQSHGGI SISADVVPSPELDLPADPMTIEFLVSSKYGSLPPVVRCPGSFVHGICDPKSRVVNLPM LSRVERGWSKSYSIASILHTIRRTFVRKPSLASSDGGGVGPWDIRSKYLQYMESKHHM TSTDVIVAHCVGVGSTSVGARDLMEDALVCVDELACGSSSTLGFHPALYCVADGHAGI TCADYLMRRLPEAVGAILGQDKSPREALYRAFMQVDSDYNEWALANNDMSGSTCICVL YDGLDKLYCANVGDSRALLIRGRQAIQISRDHRSVDVEEQGYIVSRGGFITNDRTFGQ LTVTRAFGDVDIKKQFGPSLCALPEISEWTLSPSGDDVVVLASDGLFAVMDNRTVASI VTAMLDNGAALPAIADVRWFVCLVVGFTQDTE H257_07579 MSSAMMRINELQTEYDRTQKNKATMKHLCLIKAKIAKLRREVLE GGKSGGGGGAGEGFDVNKSGDTRVGLVGFPSVGKSTLLTKLTGTFSEAASYEFTTLTA IPGTVNYRGARIQILDLPGIIEGAKDGKGRGRQVMGTARTCNVILMVLDAMKPATHKK LIEHELEGFGIRLNKKPPNLVLRKKDKGGLNYTATVDQSELDQETVAQILKEYKIHNA DVTLREDVTADELIDVIEGNRVYIPCLYVLNKIDQLTIEELTIIDQLPHNVLISAHHG WNIDELLEKIWDYASMIRIYTKPKGRQPDYNEPVILHDAAPSIEDFCMRLHKSILKQL KYANVWGLSVKHQPQKVGKEHILMDEDIVQLVKKN H257_07580 MKVPATLALFATATARAAYPTYDIPAFDVQVGSEASSLVAALKS TGLVALKNIPDYSTTRTAYMQAAAECVTAPHENVIHKRLVDGTQRRTLSTHADLDLVP ESLTNACPDYVAALKAFNRVIDKAGVAFARALDATSTSIQNHAVETVVTKGKNLEHLH AYASSAVSSPDSELSLERHTDNGLFILMSAPKFFDAASNQPVDNPDANAGLLVTLNGT DFRPVQKDDELVVMVGQAFNEWGDFGHRLPAVLHAMVMPRSATPVNRIFFGRMVLLPE DAVMANTNTTFSEYAASTKRFLLGQDNDVATFACPIHRQLQSSDPSCTIGIWEPSADS AGNVTKAMCMRDCNVDASVAHMKKDFDRCLANKCIKTSEVANGGTTCWMVCVQKYSDD VCPSKSSTCVDDTLVCQGGTVPPKAGTSAPTTTSKSAAATSLLSAAVACVVVLAGSVA V H257_07581 MSSMWRVARWAAVQRRHFSAKKTPAFEFNSAAEYYTYLNEHHSR LPWGFSVGNTTFKFVPQEAPHLPAQMTLTLIKPHKPTSLFGAVFTQNACPGAPIKVGR KRLAEDTLGAIIVNNKISNVCANGGGVGDAQEVCDAIAQHLDLRGSQVLPSSTGVIGW RLPVEPIINALPSLVESLQDTSILPAASGIMTTDLYPKIRSADVCGGRIVGIAKGAGM VEPNMATMLSYILTDLSVPRELLRQLLAEVVEQTYNSMSVDTDESTSDTLAIVSSDQI PFDVDDTDAFRAALYDVCAGLCEDIVRNGEGAHHVMRVVVTGAADEVQAKGVGKSIVN SPLLKCAVAGNDPNVGRLVMAVGKYMGKHYKGVQHVAETMTISMGGLRIFECGEFTLN GDVEKELVQHMKKAQLTETTRGGVDHTSRDYPPHDHMVEIQVDLGLGNATAKVLGIDL THEYVAINADYRS H257_07582 MPRLTTTIPTTNVSELLLKYGISRQTLSKVWKRGQETRSQDDRA DVALKRKSRSGRRPKRTTDEVEAAVKSIPPHLRITFASLAASSGIPPSTLWRVLQTRK LQRRTSQLEPMMTDKHKADRVDFVRSFVRSTGNGPMRWDDMHDSVHIDEKWFYLMLVN RRYYLWHDEAVPIRKFSSKRHIIKVVFLTAVARPRYNYNSRTMWDGKIGIWPFVSVVP AQRKNKNRDRGTSVTTPVTVTKPVYREYLLKHVIPTIKEVWPGRRSEPIYIQQDNARP HVEVDDADVTMAGCSDGGAHCRHSRQ H257_07583 MLGNSGSKPVRTHEEIEVTIKAAPQEDRQTLRSLASHSGTSKTT IMRHMGVTKKFKAPHQAPTPTTRSDCELALRAAKSKRFNKKVMFLAAVARPRYDPHLR H257_07584 MLGHPQYEIWFKANLRCSQATFGRLVDWLRHELPERYRRLSYHS FEKKVAVVLYFLGSDGGYRETVAAFGMSKSWCVNVVSVLTVMNEKSLDQTTIVVTACF VLHSMFLYYNDGLFAIPNRRRDRNDQVQPFDQSESETNPFLRKTALTKRTAIARILY H257_07585 MDEDAKKVDAGNADYDDYDVRQDKPAKRAKKQFSLRYNSRLASR FDSKNNSGKRVAYVMLAAELSVEMQREFVAKQVQDKFAKMKTEWSVSKPSLPSPTGNL SKAQLPMHYDIMLEYWGTKVGNKRESLMSTDDCIEDDNVVVLDDEEDDVEIKTEEPQK KKQKKNNVEKKPKDAAASLEAGFNSIKEGLMFLGSSMSGVQPQATPGATLYDVLTAIK AQSDTMTQLLAQKKD H257_07586 MKKKTKYNRGTRHEDYWLFGGVDRTTKQWFGVVTFGDRTKPTLM ALIKKHIRPGTHIITDKFGSDVSTNQQHTLANNDLLAGMRYTNSWVNHSENFVNPRNG AHTQQIEGVWEVRKKQYIKAMRGVRK H257_07587 MRVVSLVYTAIFATTTVAAQCAEGEWEVSLRGSGTVYCVGSQPC SGQYHGDDAIGKFSCPQKGQPNMDSTIVLDYSTCCGVFGGNTLGCVAKTAGAVCKGPE PAPYSPKPKPTTSQVTTTVPVTTTEEPSLTTPVVPTTTTSSSNASLAPNSTTPAPEPT PSATSTTTTAVPGDTNSSTTKIPDSQVSQKPNVTSSDGQAAGDDSSSGGLATGWIIFI IVAVLALALLIGLVLFRKKQPPPSYMASPAGGSGELATAAAAAELETGGGLTPKQNVT LL H257_07588 MQAVVRGRRGCNGSEWRVGWLRRVGPLILLGSIAHGLLPLLVLV LVHLLSSLSQDRVRMHLVVGGGQAVNDQFRHRGVAKHGERFWETRQHAGRVKHRWRGF VEHRERADIPQIYRDTSMRRHGEESGVDGERRRLRDTSAE H257_07589 MVTMPTDDLLSPASKLLSPVTRLNKAKDIMNEEQRKRMAALSDQ RIREAIAKDPVTRTLRRPTSSATALEPSKLQDTYSVDTKNSIATDHRPEPQRRVHKND SVDITDIPSTVRSKSMELERSYELLRRLKDEDIRAKLRKQAVKENVVPTSNYHLKHYG LADSDRINIYAPFEGSSSTDVPKRMEPSLGQQPRERRLPPHAGASSSSRHAGPVNNDD DIPQLIRRKAVRPPPPPAHSRPTQPQAPTPSPISSKRKASKQPRVTRTTPMKTRLRAR QSSVPWAWLGHLTQGAGIACGLVTLALVLAQDHTPLVHSVAAHGMAALESTVQVLSLH HRSLLIAGLVVSLGLLLWLSQGLSASDQRTIERLVVCAKEELLLHATSNLPGHTAIPE AYLREAILDLLGYKGPTRVKADSLWPSVRHTLGTDSRIQCFQARSKRGLFLWEWVAPQ SQVAMNRYAAAVTTLRNEHVDTSM H257_07590 MTKLPVELFATFGVGLGVGLLLHKYALAASSKDAITSTKGLSIE DQQDEVRRLVRPNILKLTPYRCARDDYSEGTLLDANENSLGPPLHDDHLLRDEGLERY PCPYQIDLKTAVANLRQRDSSPPLEDKHVFLGVGSDEVIDLMIRIACIPRVDSILITP PTYGMYRVCADIHDVNIIESPLVIVPPSSSTSSSENSLASFHINPAHILATVTPSTKV MFLCSPGNPTANSLRVADVETLLSSPDYNGFVVVDEAYIDFTGSSESSLAPLVTKYRR LVVTQTLSKGFGLAGIRLGIAFGDPELIQILSNVKAPYNINKLSSKVALDALANVDRL HANVRVILDEKQKVIDALQASPYVKAVYASDSNFVLFECANAYQVYRKMAENGVVIRY RGNQHLLNDCLRATIGSPEENHRMLTMFASVSAEVQQA H257_07590 MTKLPVELFATFGVGLGVGLLLHKYALAASSKDAITSTKGLSIE DQQDEVRRLVRPNILKLTPYRCARDDYSEGTLLDANENSLGPPLHDDHLLRDEGLERY PCPYQIDLKTAVANLRQRDSSPPLEDKHVFLGVGSDEVIDLMIRIACIPRVDSILITP PTYGMYRVCADIHDVNIIESPLVIVPPSSSTSSSENSLASFHINPAHILATVTPSTKV MFLCSPGNPTANSLRVADVETLLSSPDYNGFVVVDEAYIDFTGSSESSLAPLVTKYRR LVVTQTLSKGFGLAGIRLGIAFGDPELIQILSNVKAPYNINKLSSKVALDALANVDRL HANVRVILDVRSSISMEIELQC H257_07591 MSKHEKKAKKKDEHEKKRKHKKDDRKEDRKKDKDKSSKKRKTSS VSSEDVERAMDIPLALKHMEALVVEFPDLVGDLTSILKMVDAGEAVVIGGIVNKDMKA RLAALFPLMGLVESGPNEAYSKHKFAKRNELLLDTFRRTLQPPPTPSPPLAMLKPKAA PRVLGPIGPSMPPPRPPHADDDDDDDVVGPALPGMKGFREASADVQERMRLQAEADDA LAWKRVRGEVIDAPTASKPILQREEWMLSLPDDESIQAALGGLGDQNARKFRGRDKDE RDDSWFASPAERDQAMREKAQWEMLGYVPGKPEVAEAAAAAETVRARERMQVVAVPAL PIARTDGDRSLLEKHQDALKKAGKPSGPVGWDRERDMASRRQLSGDAATALMQQAAEM SARFAAPKVTRTFL H257_07591 MSKHEKKAKKKDEHEKKRKHKKDDRKEDRKKDKDKSSKKRKTSS VSSEDVERAMDIPLALKHMEALVVEFPDLVGDLTSILKMVDAGEAVVIGGIVNKDMKA RLAALFPLMGLVESGPNEAYSKHKFAKRNELLLDTFRRTLQPPPTPSPPLAMLKPKAA PRVLGPIGPSMPPPRPPHADDDDDDDVVGPALPGMKGFREASADVQERMRLQAEADDA LAWKRVRGEVIDAPTASKPILQREEWMLSLPDDESIQAALGGLGDQNARKFRGRDKDE RDDSWFASPAERDQAMREKAQWEMLGYVPGKPEVAEAAAAAETVRARERMQVVAVPAL PIARTDGDRSLLEKHQDALKKAGKPSGPVGWDR H257_07592 MSNWDDLKREARVVERALEEKVSAFTTTAKKMERNARTHDEENP GDKTDQGLALEIERFLSTLTDIIDRMSRANDGSTTQEAVLQRYRELHFDFNTDFKRAL STMNEKLDAQKLFQRKEANPSVDSEADAFLKERGVLDASSSMANETLNVAQAVKEALL SQRESMGRSHSKGTTLSSSFASINHLVDQIKRKKVRHNAIVALVVAACVCFTLWWVVL SHL H257_07593 MSRPDVVGGSAKDQVRQFSAAIHESAELSFSDVNAHRKMLRSLC SLKILLSNATTSQRKDVAAGLVRAVVLAIQAYHTKHQNGSDCIVQLFCDVLALAYDGS AVSEGMDVLVSKTATLGSKLTIARAMSQWTYSQLHSAGSFLPELISLGTKHAKHADLY VRHAVVDGLTRALSTYGSYGLSFHGDAVKVAAKFLTDKYPEIRQASANLLQVLVDHAE PSDSAALDALYTVISKTLDDPAPELRRAFAALMGQLLGMYVLPCNDEETVGGAFTNAS TSAAVKKKATPSPFPSIDVAVVYIKDTFCSTSNGHGGIGGTFASGAVVLATLFHTSGH HLTEPHFQSTVTALLSFLDLPLPSANEYVRVRNALGFAFRAAAKSLNERDQHQWLRTI LSQFNDSSALSHHQRLVLAVECSHLFHALGEASVVYASTAALTLTNLLTHEKHSLRVE AAGALASLATAVPYRRQAIVDLVLERLTTLVDAALSLAASAADLYTLQGHSAALTHLL RAAKLQSGSSLNGLFSMTLYARILDLAERMLQSQFRRDDLADPIWLTCTRAGWELVGA LLPMHVPFTASQTTRLCSLWSTATTTQARDLSQELLRLEGALVALHMYLTTLPPDPIG LAPFFAQLLHATLTSVLSMGVPSKQRAKVAKHRVLAWLLKCFTLVPPTLVADSWMTLL DLVAEFTTAQSLTSLARSTLVPPTTTAVPLSDVASVVRLVAGDLPDPMYPDALNFTLT LLLPDTAMSDIELETAYLDHFVSTGLPRTSAFTYVRMVDASVQLFPSLFLVIPHELQL RVLQHFAGVLADPKVHLDVVVNVSALLLATVREAQSQSRRLMQPHVYHRATWPLTVQS MLLELLGSSVDAVRVAAAEALGLAASLMSESHIRSLVADLETHIGTHQHDHKSALLAG SALALAHLKRSCGSRCAVDVTLLYRLSQEHVFSMAQPLRTWSLRAWSLLLECVNTSGD YEDQYVAPSLHLMELQFVVGCRFVSAATAKKGPTLRASTSVCVAMGQVINSIVSALGP ELLDAGGNRIDQLYVFWDLLRMTPDPRVELEYLRFIEQLVLFAPSYFKTSDLTRVKAL LRQPAVSTPAECRAVMLLIVRILVERDPAVIHQENLHLVLFQALQVHEIAADYPLLPA FRGMCATGHHRHVPSNPVAELQGCLTALLVTDCGVQKLHNGNKACEWLLLCRGLAVGG VVSVPPPSTDDVGSPRGGRGGPDSPVSQGSPSTSSDIWRRTNHRICATLADIPCLHRR VREFAVHSVVAILDLVSTSAVASVHFDVGKARAAITRLASEHDNVNFVSLYVDELVTL ACQMSAMSMDGFELQRLQSAGMTLLNVVCQAMAGGVDPELPDETLLVQYQAQLSSTVR RAFASDPASPPFASSSTVVLGGYEPLQLEGAVTIAHVIANKIITDKVAVNRLVKLLLR QPDFSYDASMCDDTLRFRLALTTLGSLARVALVATADAIVLPRHVLTAWTDAVRDFCL LLVPPPAGATNFGGTFFTSTRDLDVLKAVAMAQTPVLLAALAQRSADRTTLLTAALLY FSARTTDVSGVLTVLEALPSMLDWTSITPDTYGNVLHTLLVLASHPDDRVEAAALRAI QALSTKDGATYIHAAMASVDSMARQTTLQQLHLATTVVLRSTTARHVKLASSSVVVEG MRTAAAGAAVLLPLDPSFGPAFILVANDVLRHFGLTCDWLEPTAVLYVDATLRCVVAA STSVDLHAVEAAQQLSPALATSLRVLVDTIEWLATGHVVPFAAKLVSSVTTHVGTIVD LSDFHDRIATTWMHQVSATSMDAAAVAALACSRTLAGIPVVSQRMGMAVVRLLHEDGV QLISKDVCDEVEAWMTVLMTGMEVHGAGEGWLQVVLPLLLRLSQPTTTGRMLISIATM FSGSFKAAVGRMGEDMRSALQTALRQALVDKTAAAAAAPTTSMSLDFSRYG H257_07594 MSIVGKENKTMNQLLMEGEWNIYYVSALYKFQQDREALTTDAMD LVVFLRTADDRDLKPHQGVSVSVRSNDDMAVFSIQEQVAGTGGASGQLVGAFVYYTPQ GHEGVPSLCAPQLLLLQGNESLVKKVCGWVQHRYQCVVALQSLRIRSICMEQFAQSLF LHIATESGDGHSNAVHAPLKLTLRREGDVKVVRSITISIPFHDILAKHELERERRDTL VEQDHMIRSLCLPYFSQLSEDLESYCLAAVSSDDCELDNRGIFRILRTDRVSELLSDL VDIFTAQDITIPETPETTL H257_07594 MSIVGKENKTMNQLLMEGEWNIYYVSALYKFQQDREALTTDAMD LVVFLRTADDRDLKPHQGVSVSVRSNDDMAVFSIQEQVAGTGGASGQLVGAFVYYTPQ GHEGVPSLCAPQLLLLQGNESLVKKVCGWVQHRYQCVVALQSLRIRSICMEQFAQSLF LHIATESGDGHSNAVHAPLKLTLRREGDVKVVRSITISIPFHDILAKHELER H257_07594 MSIVGKENKTMNQLLMEGEWNIYYVSALYKFQQDREALTTDAMD LVVFLRTADDRDLKPHQGVSVSVRSNDDMAVFSIQEQVAGTGGASGQLVGAFVYYTPQ GHEGVPSLCAPQLLLLQGNESLVKKVCGWVQHRYQCVVALQSLRIRSICMEQFAQSLF LHIATESGDGHSNAVHAPLKLTLRREGDVKVVRSITISIPFHDILAKHELER H257_07595 MTREKKRHPVVFVLIDGIGDMTIDISKYRSARGTPGTTLQAAHT PAMDAIALAGLNGLLDPVEPGMACGSDTAHMSIFGYPPTKHYRGRGSFEAMGAGLEMA PGDVAFKCNFAHLDRASNIVTMRRVDRNFHSWGTDLCPFIGSLALPSFPNVRVACKYA TEHRCGIVFHGPNLSDQITGTDPLKDELPLLKSTPIDPTLPSAVYTSQVLNEASAVII DRLSRHPLNVAREAEGLATANLVLFRGPGERINVPNFEATHGMKSFMIAPTCIIAGLG KSLDMDVIPVEGATGDYHTNLLNKANAALDCFRDRTYDFGFVHVKAVDDAGHDRDVHM KMHFLEKADEMIARLIEGLDAAIEEEATIIVTGDHTTPVLYGDHTFEPVPFTIANVRA AARVLRGDASTQGHVHSLQDNVVQFSEVDVAGGVLGRFTGDQVMTIVKQFRAQNV H257_07596 MAVETALHDGAASTSDEEDKASASPPSVWDQRPTKYKSVLWSVC GYVLLMELAERLSYYGINQGLKNFMQMKLGWSAVSANSLKSTWTSLVYMSPLMGAYIA DEKWGRYPTITTFGMLYLVGDGLLAVAAHPSVLAHRDVAEGIFIFGLFGCIGLGTGAI KSNVITLGADQFNPTDASETHQKATFFSYFYWCINFGAAFAYGYLASLSVNGSSIVPV DLGYFACFAICTCVMALALLCLRLGRARYIQVPPSSDAMSQLVRVLWQRRTRNVAASR IVWGFGLFLLSFGLNIVAAVSVSGRVVLSLLAGVASSVAIVCWVVYGQRQIGDDDDDN VTHDNVIVDNVIVDNVSAKTSSSAREDTDAVQKVVRILPFAAFTIVWGCVSDQIDANF QSITQQCDLRLTATTQVPGAMLGIFDPLAIIVLIPVLESGVYPLYERITLSPPTAFGK TSAGLALAAFWMFYVGLFEIVRRRSGPVDGNARDAGSGSPMNNLHWAWNVPQYVGVAL CECLINVTAYDIFYTEVPLHLKSTAQAINLFTVSMGSNFTSIFTLAFGQYIPNDLNVG HVEYMFFAVGTLALVNVAAYIVVMRRMQFGMSASGYGLVAASDDGTEERTLTASDISS DADRDTACSSDDDHPHSV H257_07597 MHRQTAMGSPSSPEKSPERMDPSSAMASEGLSLDALGSEDSVLN NLDPRFFTQHFDPVQHMLESLPTNPFALIDHLQSEIGAMDIAKDVVTSKLAEEIQRNY HTFIQGMNHVQEVDLDLAQALIQVKNGRRLLAIHKKDLVMSHLELVKLRRNRDRVHTI VDHASSILDCFKHEQDMVAAVHDKAFERAVRVCAALRHRATHLHQFSVLKQCIQRMHL ALPELRQHFHSALGDLLVSFDGHVYDELLRALAALDAHLSSSSPSKGTPPTGRSPMRA VVGTDDVVRVVVAAMDELTRGAVANLLDTTSTGASIAMVVDAVLNVYELLASLLHNLD LFEQWHEKRGERDDAAAADAFRQVRPLMWESLQRRMGDAWTRLTWPNDTKVEHVVGLT HATETLVAMGMDFAKTSSTDMIQGNTLQSAFVGTVLVFLTELLHDNMELMRMMMDTEK WERLSVDTANNIWRLLESRSGFTLTQPIPPCPSSSSPRTPTAWWNPFQGGAARSRYIN VPSMEKFVATCGPTCEADDQAPTHQMNVNATPDEQELFGSTHVVTWSTYSGFLRVCGQ YVKWVHEMAWVRTHLYAHLLAVFEFNLYTIFRRCCPDASISRLLARQTPTDLACHHLR DWVLVQNQQNHPPHHLPPDGPSDDDTLVRRIVAVESVAFQWHVLSTIIAAHDQQRHDV LALVVHEARAYVYAGLVPQAIHAAGIPRLIEHATWDVVGTRHNEYVVTLVRHCGLFWG TLQGSAVPVAVRDELWAVVVRAVMEALVDGYANVKKCSAEGRALMSMDLMALQNGLDL INHTVSNNQSSEHRWGRAYVHNYIKAYYFQEPELLAFIDANKGRYRKAHLVRLATDGV CGNLRKPAQKDLLHKIEQLYRDAKIESIASINQGNCSPSTSTRSTSTTTTSSPTTMST H257_07597 MHRQTAMGSPSSPEKSPERMDPSSAMASEGLSLDALGSEDSVLN NLDPRFFTQHFDPVQHMLESLPTNPFALIDHLQSEIGAMDIAKDVVTSKLAEEIQRNY HTFIQGMNHVQEVDLDLAQALIQVKNGRRLLAIHKKDLVMSHLELVKLRRNRDRVHTI VDHASSILDCFKHEQDMVAAVHDKAFERAVRVCAALRHRATHLHQFSVLKQCIQRMHL ALPELRQHFHSALGDLLVSFDGHVYDELLRALAALDAHLSSSSPSKGTPPTGRSPMRA VVGTDDVVRVVVAAMDELTRGAVANLLDTTSTGASIAMVVDAVLNVYELLASLLHNLD LFEQWHEKRGERDDAAAADAFRQVRPLMWESLQRRMGDAWTRLTWPNDTKVEHVVGLT HATETLVAMGMDFAKTSSTDMIQGNTLQSAFVGTVLVFLTELLHDNMELMRMMMDTEK WERLSVDTANNIWRLLESRSGFTLTQPIPPCPSSSSPRTPTAWWNPFQGGAARSRYIN VPSMEKFVATCGPTCEADDQAPTHQMNVNATPDEQELFGSTHVVTWSTYSGFLRVCGQ YVKWVHEMAWVRTHLYAHLLAVFEFNLYTIFRRCCPDASISRLLARQTPTDLACHHLR DWVLVQNQQNHPPHHLPPDGPSDDDTLVRRIVAVESVAFQWHVLSTIIAAHDQQRHDV LALVVHEARAYVYAGLVPQAIHAAGIPRLIEHATWDVVGTRHNEYVVTLVRHCGLFWG TLQGSAVPVAVRDELWAVVVRAVMEALVDGYANVKKCSAEGRALMSMDLMALQNGLDL INHTVRYYSTSTTSITSTTS H257_07597 MHRQTAMGSPSSPEKSPERMDPSSAMASEGLSLDALGSEDSVLN NLDPRFFTQHFDPVQHMLESLPTNPFALIDHLQSEIGAMDIAKDVVTSKLAEEIQRNY HTFIQGMNHVQEVDLDLAQALIQVKNGRRLLAIHKKDLVMSHLELVKLRRNRDRVHTI VDHASSILDCFKHEQDMVAAVHDKAFERAVRVCAALRHRATHLHQFSVLKQCIQRMHL ALPELRQHFHSALGDLLVSFDGHVYDELLRALAALDAHLSSSSPSKGTPPTGRSPMRA VVGTDDVVRVVVAAMDELTRGAVANLLDTTSTGASIAMVVDAVLNVYELLASLLHNLD LFEQWHEKRGERDDAAAADAFRQVRPLMWESLQRRMGDAWTRLTWPNDTKVEHVVGLT HATETLVAMGMDFAKTSSTDMIQGNTLQSAFVGTVLVFLTELLHDNMELMRMMMDTEK WERLSVDTANNIWRLLESRSGFTLTQPIPPCPSSSSPRTPTAWWNPFQGGAARSRYIN VPSMEKFVATCGPTCEADDQAPTHQMNVNATPDEQELFGSTHVVTWSTYSGFLRYATA CVASTSSGCTRWRGCAPICMHTSWPCSSSTCTQSSDDAAPMPASAASWRARRPQTWHA TTSATGSSSRTSRTTRRIICLPTVHQTTTPLCAASWPSNPLRFNGTCCRRSSLLTTNS VTTCWRWSCTKRGRTCTRVSCPKPFMRRASRA H257_07598 MKLPNAGSIFFLLCLINLLNYVDRGIIPGSPIQFQSFITTCIMG IPDMSLAHENMYLGLLVSAFIAGYSIFSIPFGYWAIHCRPFLLISVGLSIWILAMLLC GLAEPTHSLGLLFAGRVLSGIGESSFQAIVPSFIEDFAPPSKRTSWLGIFYCGITLGT AAGYFYSALFATSALRWPWAYYTEGLAMIPLVLLCMFCIPAKFDLPSGHQHMEPISFV KELGGILQNRLFMIMSLGSSAYVFSISGLAAFGPSLLIGLGLFEESSAAMVFGSIIVV AGTIGTLLGGYLLDRSCTGADDELFRLGMATRQAVAFLVVGTSVLLMSWVCLDQDLNM VSMVLLAVALTFLFGCVPASIVALLLSVEKRKRGLALGINTMMSHLLGDVPSPIVLGM FKDFHAPQCRTIPNDEVLHPDCAHDRRGLKLTLLLPYVWLLWAILLAGVGVCLANKRT PPPATIPQDTKQSQSGGTSKEDCWPVEVVMASSAILL H257_07599 MHLACFEMSAEVNEALSAPRNVVSIEVFSELPLTDTGRPELWPS EVEVYSESHVSLYDGNVKSVYSRGRCVITTHRLLWLSEAKRTHIYMCLSAIDRLSKES GFLSRSSKIRVDYLWQTSESKFLKLSFKTGGRDEFFSPFEAAMARKAWADTKAPSALA DRRLQQTRQFDASAAGIGGIMQRRQDEQKHTSELTTQSFSDLHALMDKAKDMVGLIER YVATSNAATSADAADAAPSTDDVSEMQSVLLNMGIASPVTRENSGDAYHVQLARQLAS FVKLPLDASGGILTLSDIYCLFNRARGSELISPEDLYHAAALQKPLHLGIHMRKFDGG LIVLQADSHNEDRVATRLETLATAAADGCVTSADVSRAFKISLPLAVEYLKVAEQKGK LCRDDTFEGLLFYPNRFPSFVDQLSS H257_07599 MHLACFEMSAEVNEALSAPRNVVSIEVFSELPLTDTGRPELWPS EVEVYSESHVSLYDGNVKSVYSRGRCVITTHRLLWLSEAKRTHIYMCLSAIDRLSKES GFLSRSSKIRVDYLWQTSESKFLKLSFKTGGRDEFFSPFEAAMARKAWADTKAPSALA DRRLQQTRQFDASAAGIGGIMQRRQDEQKHTSELTTQSFSDLHALMDKAKDMVGLIER YVATSNAATSADAADAAPSTDDVSEMQSVLLNMGIASPVTRENSGDAYHVQLARQLAS FVKLPLDASGGILTLSDIYCLFNRARGSELISPEDLYHAAALQKPLHLGIHMRKFDGG LIVLQAETWCD H257_07599 MILRIELPLTDTGRPELWPSEVEVYSESHVSLYDGNVKSVYSRG RCVITTHRLLWLSEAKRTHIYMCLSAIDRLSKESGFLSRSSKIRVDYLWQTSESKFLK LSFKTGGRDEFFSPFEAAMARKAWADTKAPSALADRRLQQTRQFDASAAGIGGIMQRR QDEQKHTSELTTQSFSDLHALMDKAKDMVGLIERYVATSNAATSADAADAAPSTDDVS EMQSVLLNMGIASPVTRENSGDAYHVQLARQLASFVKLPLDASGGILTLSDIYCLFNR ARGSELISPEDLYHAAALQKPLHLGIHMRKFDGGLIVLQADSHNEDRVATRLETLATA AADGCVTSADVSRAFKISLPLAVEYLKVAEQKGKLCRDDTFEGLLFYPNRFPSFVDQL SS H257_07599 MILRIELPLTDTGRPELWPSEVEVYSESHVSLYDGNVKSVYSRG RCVITTHRLLWLSEAKRTHIYMCLSAIDRLSKESGFLSRSSKIRVDYLWQTSESKFLK LSFKTGGRDEFFSPFEAAMARKAWADTKAPSALADRRLQQTRQFDASAAGIGGIMQRR QDEQKHTSELTTQSFSDLHALMDKAKDMVGLIERYVATSNAATSADAADAAPSTDDVS EMQSVLLNMGIASPVTRENSGDAYHVQLARQLASFVKLPLDASGGILTLSDIYCLFNR ARGSELISPEDLYHAAALQKPLHLGIHMRKFDGGLIVLQAETWCD H257_07600 MGTASELATDTAALHFLRTASKDSLVEALDISPLVADRILDQRA ANGFTSIDDIKKITLRKKELLAFHDALAHAATNTRPYDDKNPPLSPVNDPDPASTIKQ RGSFSEKTLERSQRPCPRRVDCNRHGR H257_07601 MSCVCHRHGWSGEYAQISATSSSGLIIAGSCESHHPVKPSSIRH FDMTASPPDNNDVNGITLDQTYVNGSGGGKGGAEATAAAPATRSLSLKVNVLTGLVVL VFLQLIALWILVFMYHVPIDSLKLSNDIFKTSDALKDKPLSFGPDGMLRAGAGTTAYL DAGVLPSDAIQYVQLARLPSATTSSSVLFSYYLPTKSQTVVTTATAAADKSLIVADVK TANIVTNQIRGTAILSGTAAVLLEQTSSGAVFVTPAVLSPSSVDVVPANRVAVTTGSV SNAIGAISPTQFAVSYFDAYNATGLWYQNVVGGTLHADGTVALSSPPLRFGDANFDDA TTQTSQSVTFAKPVGISSTPGTFLQPWWTPTASGSNKGLCLLLGQTNATATVKVSEVC NDQFTPSSFVDISVVAPATAVIALYNSKANAALTLLLVEVFGSNVFFRSSFVLEESAG EFDFGSFYSWYPAPSLALVGPGRLAVAFLNANRRGQPHTQLFSIRDGRIDPVTPLIRV SKDDFSLAGHGVNQTSGAVTIAAAAVSPEAYVVAFGGQLDALSPKRLTLVESFGPLVG IGAKGVVVQGAVKVGTGLTVGSVYYTTTRGDIVEGPPGGSVVYFSNNATAVADSRLGV AVDSKAIYIAPSPTK H257_07602 MPGGFAYLASPFVVGLVTLGECKQVDRACGDASVSQNRLEDSLL WRSSYPRAEYGIPRSRAMFDSPFTTLPYRTPIMLPPPIAQDI H257_07603 MPSSGGGKVYYVTRKVRGGAQLGKAVKGSSKDKKGKKDGKGMTD EERRLADSINRLEMSDYLKKLAVTKKAQLKEFMEKEQKISKMNKLKIQNHWRKIMRLV KVEALRKDVEIKSQNHERDVDRKDAIIQMLDRDLEEAEEQFQMALRSHLLNVDHLIDL QDGRLLGLEDEFEKDLHALEREFSVERDKIHKQHTVEKNELLSIMRAVETQEKEREAE ARQEHEQLREEIRNKNLEDINVLRITLDSNIEELEQHFETAHLNYLQNTDQRTQDFKY LTAKDQELSKDIEIKIRKIERLQANLTQWRTKIAQNVRECTDRNKALEEEKSQIGGHF QSLKAKMNRMRDEHKKRLTTLSHNARETNLKLTASQELAERILKLGELARKLETEREQ VLPFYHSTIEDAQQAADDVNALKQGIAVATSGSGAVDNHLKLGLIQPHGSFATGEAVS EWEYLDLFWKRYNKALLDAMAIQNEKARVEVENRELQAVLKQYLDGISVNEQVMSSIN PLLVVNGRLTLNQSNTPATTELTHTIVDANHMVSTNRVSGRHA H257_07604 MGQKKRRITANDRNYSGGSFNIKRAVVTPIANIMAAIGEAPPPG DGAISATPPPSLVSSTSVNQMVISSSAPRHSVPNAGGILRRISAGFVRLFSEMTVSVS ATTLTIPSTSTPSSSQVAPTPLCPVVEPINVNEDGSSRRASNSVSAIPRDASGSVGTA MRRISTTLTHMFTDPKPLTDSTPAVDGSPKGRGHSKSVSETLVAMVHRGPVDRFGQGN VTHTGLDASFVDEGLHVLIDGAPNSTEFAQLLLDKLTDVFTKYTKSDDFQMCVNSPQV AGEIKVKLVQGIAAAHQAVVPSFGGVSAAASVGIAIIHRHPKDAIARLHVINVGNTKT IVVRRQEIVFESASLMLGFHRPLRVPSVPPNSSPHSPPAQPTMLYEMYQLEAGDIVVS CTDGVTDNLYANEIIETIQVVSKYRNASWDWVAQEIAQVAANRVDQPLNRQSPFAKEA AGELYRSIDLDTELLGRYASLLRQDVNLTKTALFRNTNTRQGDDFPLDQLAQWAKAIV GTADDATVVISTI H257_07605 MSRRDHNDQANYSYGASKRGREDDNERDVKRRRGDNGDPFRGCR GIFAWEDEPDPTWKAAVESHGGGVPKFATKGECEEFVQKHCAPGSNPDFRNLQHIFTM LVTWTQIAKILIPAMEKVDKDYPFKAPADLGVRNDNRFHDTDVEKGIKWRMDLPFHRE TNRESTMHTLKYLFFHMRCGILVMIRNGRVVLFAPFANKDYENNWSEFLKFDSDDGTF EAYYGEKRNHYRRENIIRDVRKWWANGNIICNEHCKDSRRHEETQYWGDQFLSQLRDM LDDACKNRNIPDCEFFINKRDYPHLKSNLSEPYGFLFDRDDKDPSQDLPLEQFKYNSY APIMSFYISNRFADIPFPCSEDWEAATGLVFPPSFIHTHCNKCNCGDDRYCRNNFECF CEGDRKYHGISSVRDLFTASNFKKFDKAWEDKVDTAFFRGTATGGGTTKESNQRLNLA YLSNLWKKEPEFHHMVHPVPYLDAQITAWNLRDKKIAGHPMTFLRKDKFKGRGEFTAG KEHYIPMYEQSKFKYILYVEGHCAANRYAFLMRLGSVILKVSSKCVADEMWYTPLLVP MEDHVPIQEDLSDLAEKIAWCRAHDAECREMAARAKQKYEAFVSKEGIYDYMELITTH VAQRYSEVPTHWDYVRPVEHHERDPRKPSLQSDRDHSCVGSKNPRLCTGCEENKALQA SRRRKMDEQDAEYHSKRPKYQPQRYNQAASRPPPERTCRKCRRKITACSCKKDD H257_07605 MSRRDHNDQANYSYGASKRGREDDNERDVKRRRGDNGDPFRGCR GIFAWEDEPDPTWKAAVESHGGGVPKFATKGECEEFVQKHCAPGSNPDFRNLQHIFTM LVTWTQIAKILIPAMEKVDKDYPFKAPADLGVRNDNRFHDTDVEKGIKWRMDLPFHRE TNRESTMHTLKYLFFHMRCGILVMIRNGRVVLFAPFANKDYENNWSEFLKFDSDDGTF EAYYGEKRNHYRRENIIRDVRKWWANGNIICNEHCKDSRRHEETQYWGDQFLSQLRDM LDDACKNRNIPDCEFFINKRDYPHLKSNLSEPYGFLFDRDDKDPSQDLPLEQFKYNSY APIMSFYISNRFADIPFPCSEDWEAATGLVFPPSFIHTHCNKCNCGDDRYCRNNFECF CEGDRKYHGISSVRDLFTASNFKKFDKAWEDKVDTAFFRGTATGGGTTKESNQRLNLA YLSNLWKKEPEFHHMVHPVPYLDAQITAWNLRDKKIAGHPMTFLRKDKFKGRGEFTAG KEHYIPMYEQSKFKYILYVEGHCAANRYAFLMRLGSVILKVSSKCVADEMWYTPLLVP MEDHVPIQEDLSDLAEKIAWCRAHDAECREMAARAKQKYEAFVSKEGIYDYMELITTH VAQRYSEVPTHWDYVRPVEHHERDPRKPSLQSDRDHSCVGSKNPRLCTGCEENKALQA SRRRKMDEQDAEYHSKRPKVR H257_07605 MSRRDHNDQANYSYGASKRGREDDNERDVKRRRGDNGDPFRGCR GIFAWEDEPDPTWKAAVESHGGGVPKFATKGECEEFVQKHCAPGSNPDFRNLQHIFTM LVTWTQIAKILIPAMEKVDKDYPFKAPADLGVRNDNRFHDTDVEKGIKWRMDLPFHRE TNRESTMHTLKYLFFHMRCGILVMIRNGRVVLFAPFANKDYENNWSEFLKFDSDDGTF EAYYGEKRNHYRRENIIRDVRKWWANGNIICNEHCKDSRRHEETQYWGDQFLSQLRDM LDDACKNRNIPDCEFFINKRDYPHLKSNLSEPYGFLFDRDDKDPSQDLPLEQFKYNSY APIMSFYISNRFADIPFPCSEDWEAATGLVFPPSFIHTHCNKCNCGDDRYCRNNFECF CEGDRKYHGISSVRDLFTASNFKKFDKAWEDKVDTAFFRGTATGGGTTKESNQRLNLA YLSNLWKKEPEFHHMVHPVPYLDAQITAWNLRDKKIAGHPMTFLRKDKFKGRGEFTAG KEHYIPMYEQSKFKYILYVEGHCAANRYAFLMRLGSVILKVSSKCVADEMWYESLNID IYIYVERERVWCLIGGVFFILSNQRVSAASNIYILYITQPIGTYINICRERVRIWCLI GCVFVISR H257_07606 MVGVRAMAMAAALGMAALASGEDTNEYELKDEVFIVANTIRPSA NPTETYHYYTLPFCKPKERQWDDHDLGELLTGNRKIVTDYQVYFGIDVPYGKLCKVSM TPETVKAFSDAIEDDYVFEMYVDNIRLSAMVGQAVQKDAPPGKNVLEQHLNKFAAAST YDYYLNTHVHFDIAYNDADIALGYVDDTEVKSPVPKFVIVGVNMSLGGDNKKADNSEY QYALSDLSKKEASFTYSVKWRKEAAWTWEDRTVKDPLLPEVLEIHWLSIINSFVLVML LVAFLSIVMFRILKQDVSRYMDPEDGLDINEETGWKLVHADVFRNPPYLVVFCAYVGA GAQLFAMLFGVLALSLLDVFHPTKRGGIMAALAVAYPLTASIGGYRSASLYKQLGGQN WVWNIVACGLVIPGPLLGVFAFLNTVAIWNDSSAALPFGTIMILICLFLLVNVPLTII GGIAGRNTTSVYQPPCRTNKIPREIPQPPWYKAPWVHVVASGCLPYSAVYIELHHIFA AIWGRQIYTLFGILFLAFWMLVAVTAFMTIAMTYFQLVGEDHRWWWRSFWSGGVTGVF VFAYSIWYFYTSTDMDGFFQTVFYFGYSAIMSYCFFVMLGFIGFQSASAFVSTIYRSI KVE H257_07607 MHRAEVKTSMSSTRAASTYEVADKPPPRRQYFREKRREYHRKMI ADETTIKAQCAHLQSILVSLKTGRMSSKVPREASDGPLSWHSIAMVFKREVHQVLRDR QSLVTQTQELESLTKAMQRFVLTNIPPTMSRSNAWHSATLVADPRARNLGKKWLTQQM YHNMHEPFALFPVVGDDEDFYQFDFQASDELDNPFTWIERIQFTWPGTVQMFRRLIET NMKAVHISNHVETVEEEVTANTRLIHTTSLNGKFVNLLQGHFVEADRLVKVMCQVEHD EAYVCHPLQKQQHVMTWTEVRQISPTHILLRFVNRVSPMFRPATGFVSVDEFAALSGI DVTGVEDHLKVEYVQREMIPQAHARYLTWRQGAMALMHQSATN H257_07608 MSPKRGASTCEIAERFPPRRQYFREKQREYRRKMIADGATFKAQ CVHLQSVLVRLQTARPQSTTPREASDGPLSWHSIAKVFKGEAHRVLTDRQSLVAQIQE LQSLTKFMQRFVMINIPPPMSRSNAWQNAILEADPSARNLAKEWLTQQMYHNMHEAFA LLPAVSYDDDFFQFDIQASHENDDPFTLTEQLQFTWPGTVQMFRRLVETNMQAVHFTK NVEMVVEEKTSNTRMFHTITPEGIFVNSLERYFVEANRFVVVMRQVEHDEAHLCDTLH KQRHGMSWTEVRQVSPTHILMRIVSHSSHFFRPGGGLVSVDELSALWGIDMTGIEDDG LKDEYMRCEMIRRSYADFLPWRQRFMGLMHQSATN H257_07609 MYTQSDYFSQFVHRSSLRFRVRLALLRQQQRRSRLTIPAITFTL DDYSDADCIEKFRFTKASLRRLSACLRIPSRVVTTERTSCSGVEALCVRFAVPDRWSG LISMLGRSQSGLCNIFLHVLDHIHNKFDEIIFLDRYRISAQLVEYSNAIIAKGGEVQN VWAFIDGTVRECCRPSGDERHRTVFNGHKRRHAVKFQSLVTPDGIISHAFGPIEGRRH VLTILRQSNLENVLADDDRFAEFVVYGDPAYGYSNHLASPFGGARLTDAQRVVNKSMS RVRISVEWSFGQVVRYWPIVDYKKKMRIGNSPIAKMYKVAVLLTNCITCDRDPQSQQL PPLQHSYCITQRTPSQHHHHGTCRLYNSRRHGNTNTDMQPPVVVAYFISK H257_07610 MSSTDAAPPPPPPSSSNGSKVKAKKQQTAKTPSKVNVLPGWLDD SVDLFDFDCNVTHPEFTGQADALIESARHLRVTQMLVPGSTIEESQQCLALARQHPTT VFPTAGVHPYNATKPFDPSDFAILSSMAADPTVVAVGECGLDYSEGFPSPDLQLEWFG PQLSLAVSLQKPLFLHERLAHKAFVEALTPHIPTLPPACVHCFTGTADEAAVYIGMGF YIGITGFVCKQPHGAALQSMLSSGVLPLDRLVVETDAPYMGFPGCRSFEPSGPKRQFP NVPTSLPHVVQAIAGCLGVSAAEVARVTTRNARQFLRL H257_07611 MWEYGLSNYPFVPVEVGLNRRISYTLPSRIPQHCVRLLNASAAR EHWECTGLPELLVRNTASYPFHLPNTRLKWQGNVRSADKMYLPKIRASRDASQFLKRF KDSGIPHDVLAELLLEENRLQESNRALRSDRQGNALRATTWNDHQLLFYPTGELLHQL QVKRRRGETWHSACDQPISLVNPIQQIDLLGTIDAGTVPRAVVRGAASCHVITFHDDF SRHKVDAITFPSMLHHIAPSPHVHAEFSSLSSSGELYSWTPEAGARVVGHATPQQRWL RCAYSSHPCVLWMANRHAVGSYDYRVPAPEGFNCDWLVDYLPTPTEIVDIQRHPSCPF QLVVRTDNSVDIVDMRSPHKSIMQWQHPDLFFPSTASSKQPHVVGTLNILDISTPHQD RAMVISGSSGTNKVSVHWYHGHASHDPSMLSLRRSGSSASQLAAADAAFDIHLPDPTP SIHQIGVSALRTADGVCDLFQLTSLGDVYCQSIVHHAHTTLHMQTNLPCGRTLASRRV DIMPVPAQAFLPEHDAASLPPFRLLPVRKLHRVLVQSNSSVLPLLPPDDPLEDLPHRL AAVATPSMTLLGLMHTYRHVRPTYGQLGEAVRALAHVTLRFATPHSRSQYHTLRRVST AADPSLRMASCGCHSPLTTYLSTSSSPPPPLPCEHAQCPLPHLWVIHHTPPDQRHSIP PLTNQPLQYDPAAIATSSHAQIIVDLEAVYGRSTDALPQSPRRVQHQQKHMTTLSW H257_07612 MRCHYEVLGVERDATAGELRKAFHKLALKWHPDKIKDGQDVEAA TQVFQEIQSAYEVVSDPQERAWYDDHREQILRGDDGTHHDGDADDDRFDVMRFFSTSI YKGFKDDDRGFYSVYRGVFEEIDALDQAARGDSTPAPSFGSSTSEVPHAFYDYWRSYM TDQSFSWLDQYKTTDAPSREIRRLMEKDNKKARDTGKKTFSANVRALADYVRKRDKRM IKHVQEKEALRLSQAADKAAAAAARKLAFEAEKAAFQASWEAAATTSEYAAETLRAEE AKRRAKADAMVLVCHLCKKEFKSEKQAQNHLISKKHRDQMVLAGVDPSLLDELDELDA RTHDHNEQTTASPSEQTTASPDQQTTPKVVVLLTPEEEAAKRQERDERERKAADKRQE RKDKRKTQKKTSDGSVPIPVPALGKAKRVDDDDEFPCGSCAMAFPTLKMLQKHVKKDK HEMP H257_07613 MATEAKSLGSSRSQAALETCASNDFTPVLGLSASRSCVKIMTSS RSQSRVSNSPSQTKVSNLVVAQSEGEIARDFFVDCGTHTFPYGESYQMDPSLPGDAEV AAQREICDNVEDWTEALVDTIDDLLQWKDQMIALHALPTNAIAGLVLLSSRFCRCKGH LQAELARMLDMTHSLIGNLLLNSHIQQYKTNMIDMSVAMDNEKMVLAEEQCKLRAALA QLTLVKASHRMFRWTRLSSRLREKELTHELERQASLFDAKREEFHQVVKVQREQITAL TDTLASLQSTNAAHAAPKTKIVLMPATAATQAKAARLKSAPVRSVGFERPTPSQRGDA QWNDGKSPNTPPAKSKRQQPNQPLPLNNNQEKSIDGVVAVVKPPARPRTSGATPRGRR QQAQGVPATALEWRSPQDMSVEERRVHYKKSWQEQRQKQRDEATAKHLDHVKLDLHGV PPMQQEAPDTTDNDVLQLEVGEAATSVVALAHGNNALINSLGVRKDKPKR H257_07613 MDPSLPGDAEVAAQREICDNVEDWTEALVDTIDDLLQWKDQMIA LHALPTNAIAGLVLLSSRFCRCKGHLQAELARMLDMTHSLIGNLLLNSHIQQYKTNMI DMSVAMDNEKMVLAEEQCKLRAALAQLTLVKASHRMFRWTRLSSRLREKELTHELERQ ASLFDAKREEFHQVVKVQREQITALTDTLASLQSTNAAHAAPKTKIVLMPATAATQAK AARLKSAPVRSVGFERPTPSQRGDAQWNDGKSPNTPPAKSKRQQPNQPLPLNNNQEKS IDGVVAVVKPPARPRTSGATPRGRRQQAQGVPATALEWRSPQDMSVEERRVHYKKSWQ EQRQKQRDEATAKHLDHVKLDLHGVPPMQQEAPDTTDNDVLQLEVGEAATSVVALAHG NNALINSLGVRKDKPKR H257_07614 MSDHDDVRRSSSRSLSAGSADHRAVSGSEERRKDSVHRSVSRER TSSRSRDEKDRGRSRSRGGGGGKAGVSLLVRNLNKSTSADDVKELFNQYGEVRDVYTP LDFYTKQSRGFAFVEMTDLEGAEAAIKEIDSRELDGNRLTVVMAQEKRKTPQEMRKRD RQASSPRRRDRRDRSRSRSRDRRSRRSRSRSRTPPRRSSPRRRSRSRSPARRRSPPPR SRHRSRS H257_07615 MTQCIHITPRAYILPFLLLLLCHHLPLWVFWAALSPPTTNDEDA CDRTFSQWISQTFVLHLAIPVTCIVSIIVTFLSPIHRPHANRRTCFSETPLIVAVFLL YVALASWDAYGIHRSRRCFPASLHHRGGILAFDLTVSILVLLAWIASMCSASPLSEAD MRAKFTSRLRCLTYAFVCRRYDNDDETTDMFSFLAESMAQFFYVRRRDHETPLPLPDV LFALHLVAKRQASERQLLAPPSPSTVMTDPSIFDDAIQYGKLAGGIYGWRLFLYYNPL SCHKVLACCQSRDVRRHLNAVRAHSASRHGPRDDVSFVCYAGVTHTSVQYISGANELF KVPFSVCRDDVKKEVVVAIRGSFSWHDVLTDALGRTVPMAAHEATRDDGSTIYTHEGA LRSARHLLHELQSGTLRALFWDFAQSNCRRDDPNASWRVVLTGHSLGGGIAALLTLML RKDLSVHGFLFAPMAVLDGSTADWSAPFMTTFIYGDDWIPRLTTSNLMRLRNEIMVAY QHVSNALLLSVYLAWFNPTRLMPQIHPEWTDLELLEPDAATALAIPGTIFQIAPTQPA RMCPCEKLLGRQELECVRRHRHEFDRIWVTYRAVVDHFPHHYDQTLLRMQQSMRETQA HDVL H257_07616 MLLRSLVVGLLLAAAVATDTSSICDALEGCEAPPIQQPTASDSN HAGDFKDTGTRHGFTVPGACLGPAVLKQRIGELEGQLSNVREDLLATTGQMAGLKTML QGKVKALAAENTQLKVLVSELELQIDVNQRDLAVLHDKVRAKDNHATWQATVASSVNT AWQTSKRAVNTAGSKAKDLYDAHIRPTGIEAVSQATSLAKTAAVMYTKHASKHVDSVI DHVKTTASQQYAAHAPKVKDAFATVVAKVEQLVQTDPA H257_07617 MPRSNAWRSATLVADPRARNLGKEWLTQQMYHNMHEPLALLPAV RNEEEFFQFDFQASDEHDDSFTWMERVQFTWPGTVQMFRRLVETNMKAVNFPNCDETV EEEKTSNTRLFHTITPKGIFMNLLQGHFVEADRFIMVMRQIEHDETYICHPLQKQQHD LSWTEVRQVSPTHILLRSVDRVSHIFRPATGFLSVDELAALGGIDVTGMEDDQKDAHV RREIIRRSYAGFLFWRQRFMDLMHQSAAN H257_07617 MPRSNAWRSATLVADPRARNLGKEWLTQQMYHNMHEPLALLPAV RNEEEFFQFDFQASDEHDDSFTWMERVQFTWPGTVQMFRRLVETNMKAVNFPNCDETV EEEKTSNTRLFHTITPKGIFMNLLQGHFVEADRFIMVMRQIEHDETYICHPLQKQQHD LSWCVFTVTSGVVVDKGSAFSLHVGPRFGKYRQHTSCCAQSTVCPTYFDPPPGF H257_07618 MRPFGNNDYKIPHVKKSKLMARCMLLEVFGYDKEVLSANLALGD LCTEIELLKIAENDTQDEDKLNWDIKFF H257_07619 MRPFGNNDYKIPHVKKSKLMARCMLLEVFGYDKEVLSANLALGD LCTEIELLKIAENDTQDEDKLNWDIKFF H257_07620 MLLTAQVKRWKDGIKVLINQPERQNSERGGLLENAVHVDDVLVP QLAYLYGSDAKSIVMTPSVKFNCVMLDTTCGPPRHGARKKHE H257_07621 MALQCRCQPTTTRRVSELKTSVQKQLQLQWNKAFKSGVFRNVNL LRTFSAGHKLYVGLDDESKLSLAAKPVEAPRGGKPQVPRREGGREGAAKNNGKVGGMT YAIMPKTAEPPANKGCLIAMS H257_07622 MARATDIHEVPTRQSQTRTPGDKEVPWPKAKKKRETKHNVTDQ H257_07623 MKLGLVLGLDGAGKTMLLRQLSHMRKDEFHNTKLGSVFEKVRTR LFADSASAAEVDGIDVATLPTTGVEEETNAYRNLAYGPAFQQIQYKCAIVEQCRIELT RILARVLRFTEAGLWIFRQTEKLVIRSLCRSSSYDGVSGVREDFLSLPAKPVVRTRVK TNVEWHSIEHLHVAAAKRQKRK H257_07624 MRLVGFLSRSFRAHKGFVSSDELAALGGIDVTGIEDDDQKDEYI RRELIRLENADFVPWRQRFTSAMQASLQQHDDTSH H257_07625 MAYMEYHYSAFLLKSSKRVSILTGAMGAAEMLEGNESAFIENFR LPRKTLGALLFGLINRGVFVELESLSTGAVVPVPILLRQSVSSTNMQQRFQHSGETMS RQLRLVMHALRRLVPLYICQPPEDAPTPSVITANSKFYPFFEKCRMALDGTHIPVWVS ATEAAPFHGRKGVTMNILAACDFDLVFTFVLASWEGTAGDGKQELFNLRHAQLRNCIE RIFGILKMRLPILSKGIRYDFAFKVDVVLALCVIHNTQRCPASKHLVAHLGEGQMTKS PKVRGQAFH H257_07626 MTWLCSSLSFFSVSIHASFLYPERCIQNGTGYTNLTSYIEREHP EYQNYDATAPISEHNLFMPLFSHSVRNVYGWLAWITSSLLRFKFCENDMARRYSNIGP IRNKTLMKWMHQMCRWLEAKLKNTLPKSFAMSMAARGLNRRKLLQARSNYLDCHFLRP TSNVCERLFSVTKWAMTDRHRSVLPSNLEEQLLIHCNSFLWGSEDSRASWRVKLMSRV H257_07627 MVLNIAAMLTRLEDQATSDRVFLDQCLDDYPGIAEHQDNIPDSS CPVLERVSNDSGEESVREESVRVMTNFTRREFDVLWAVAELPLKARWNDGRGSKSNTT PMDALFVTLTVLKHYDTWEKHALDFGFKAPTFQKLILRVVEVVMPVFYTEFVKMPKMS ELRAQDHTFANYPYALYATDVKFQPTERPAGRHGEAKPYFSARHTLYGLKIEASVSPQ GLLVDMSEGHRGAVADLTIMRSRMDQHVGALAKSDNELSILDHGEKGYYGASVDVRAI HPKKNPPRGILDPDDVVRNRRRCVVVENFFGRVCSLRKVSYATFTWSTKFYDEIQRLT FALTNFHVSLMPLREADRHWYRSVLARYESMVHATAAKCAKSQRKSRLRRMQRIALSR GRNHSHVFTSQ H257_07628 MPSDAGATTRALSDKTLARRRYFREKQREYRRKLNIDGAVIEAE VVHLQSVRDGLQAMSLPSVREASDGPLSWCSIAMVFKTEARRVLTDRQSLVTQTEQYR TLMTSMQRFVMMNIPPPISRRNAWHNATLVAEPSARSLGKEWLTQQMYHNMHEAFALF PAVGNAEEFCQYDVQPSEDGGPIVSTETVQCTWPGTVQMFRRFVESNMRAVIFRDPQE VVEERTANTRLFHSVTTKGTFVNSLQGHFVEADRFIMVMRQVEHDEVHLCDPLRRQRH YRIEVRQESTSHILMRFVSHSSHAFRPANGYVSIDELAALCGIDVTGIEDDDEKAAYV RLELIRRGNADFEPWRNWFMGLMMQASLQQPAPRAN H257_07629 MASIRSTHATDASSTDTFLTIVDNTLARRRYFREKQRIYRHKLN ADVAIVKAQFEHLQSIRDGLQASMPPSVAPREASDGPLSWYSIATVFKREALQVLTDR QPLITQTQEYQSLTKAMQRFVVMNIPPPMSRSNAWHSATLVADPRARNLAKEWLTQQM YHNMREPLALLPAVRNDEEFFQFDLEASDEDESFVGLERIQCILPGTLASFRRFMESN SMREVMFKEPQKAVEECTANTRLFCTTTADGAFVNSLQGHFVEADRFIVVFRQVEHDE AHACHPLLRQRHYRSWIEVRQVSPTHILMRLVSHVSRSFRAHDGFVSSDELAALGGID VTGIEDDDQKDEYVRRELIRLGNAYFVPWRQRFTSLMQASSQ H257_07630 MRANFTSMLERLHAQSASDRIFLEESLTEFGLLVDMSAHEPGSV SHITMFRDRQDIHAAALVKDASESVINDNGELFQDFPASWAVLVDKGYIGLTASTRAI HPKKRPSN H257_07631 MLALRPMLRAGVAGMSKHHVRLLIGGSAILTYSIHSSPSRSLIM VNSENRRSSSEQATTIKFSGGDSNIVSVTMVRKSLWQRIASILRLLYHCGRLVLLGMP LLVTYPVARIADRDVAHWWWHLALTTGKHSSPAIIKFLQWASTRRDMFSPRFCNTFQQ FHANCEVHSWSHTLERLVDAFGPSWSTHMQLDPDPIGSGVIAQVYQGRWIATNEKIAV KVIHPSVQESVALDLDLLWVVAGWVDYFLPNLSARVGMASFAEVMTSQLDLRVEAANL LRFQSNFATTPNVTFPTPMPEFVTSSVLVESFVDGEHINTAVDASSATCDRRQLAATT VDTFLRMLFLHNFAHGDIHPGNILVTRNGAAGIALLDAGIVNTLRPTDFDDFVELFHH IASKDGVAAGKMLLEKSPRHHCTDQPAFTSAIAAIVDRATKNLSLREVPVGELLQDVL EVCQRHHVALQGRFVSVVVSIGVLEGVGRLLDPELDILKIALPILIQAKFQQRLQ H257_07631 MPLLVTYPVARIADRDVAHWWWHLALTTGKHSSPAIIKFLQWAS TRRDMFSPRFCNTFQQFHANCEVHSWSHTLERLVDAFGPSWSTHMQLDPDPIGSGVIA QVYQGRWIATNEKIAVKVIHPSVQESVALDLDLLWVVAGWVDYFLPNLSARVGMASFA EVMTSQLDLRVEAANLLRFQSNFATTPNVTFPTPMPEFVTSSVLVESFVDGEHINTAV DASSATCDRRQLAATTVDTFLRMLFLHNFAHGDIHPGNILVTRNGAAGIALLDAGIVN TLRPTDFDDFVELFHHIASKDGVAAGKMLLEKSPRHHCTDQPAFTSAIAAIVDRATKN LSLREVPVGELLQDVLEVCQRHHVALQGRFVSVVVSIGVLEGVGRLLDPELDILKIAL PILIQAKFQQRLQ H257_07632 MGIHCQGAGSMCNVKKMPMKASSLHHNVSCSRAFVDMHTLPLAP DYFNCPPLPTQEATRYAHLAYQHALHIVQASTVTNTRYHWNIDSDDADLKMYEGWDPF TPNTSTLHAGTTEFIGHLDEVAGYYRTHHVKGHGVVADTHTLYTIVDTPTASVRVKWL ATKTSSLDGFVAKKRRDFCVLEVKYKLLSNVVVLCWSSIDMKCCPPIDGMLRARMFPS GVVCQDAARRGYLHLSAVAHIDVQGLVPPLVALRATKDMCRAFAGVELCLRQSRLSAS AFLLARDLRPLDSRRDCFLCHRRFSPLRKKTNCLKCGEVVCTACNPSWSVLVNGHLTK RRACTLCSLASKPSKPVATPSIHRDDLVPSLGFRSSDATTIDRDDSMSRYSDSTMGYH T H257_07633 MANAKKRAGATTSDEASTKKSKGDLFQGVNIQSIMNAEGPVVKC VLLKADGSIEEVTVDMTPQKQEVKALLGGACHFLGQYEDIEVFLMCNPEAQEDESLPV TAQKMQPPFHGRLGEIRGDILIFRTDASGEQQDFTVEEYKAFQALTLPEWEPEDDDDD DESEPDEDDESYKKAALAYLTEEFVELHGRQPTDEERKDIQDKVEAEMANSTDHPDAS EVALQYFITEFVDENGREPTEDELNDLKERVAEEMEKLVVSDNDDDDFGDVEDIGELI KALMAEAVVRFTKEHGHEPDEDTAKSILKNVTRIALTLQGDDQDVQDEATDEGSDDDE GGGDEVEGKA H257_07634 MQQVTEVSSLAQWKAFVSTPKVTVLFFYAKNVSIASSDSGADWL GPANVLFTQLATLHPRLSFCKVDAEAVPALSTEFRLEVVPTFIISVSSNVVDRIEGMK VAEVAQAIDRASKRLADPTSAVPTDTKAAVLDDALRNRLLKLTTASPVMVFMKGSPNE PKCGFSRKTIGLLKDENIECGTFDILTDDTVRQGLKVFSNWPTFPQVYVNGAFVGGLD ILTEMKEEGPLADQFGIQPLNDDDVEKALFASLTDLIKSAPVLLFMKGTPNEPKCGFS RKTVELLRGHHIAFSTFDILSDESVRQGLKKMSNWPTYPQLYVHGALAGGLDILTEMA DEGDLADQLGVAKKEPKRDPSADLGALVNRAPVMIFIKGTPDAPQCGFSRQLIETLNQ AGFTYDFFDITTDDKVRQGLKAFSNWPTYPQVYVKGDLIGGLDIIQQLKDDGELDALK P H257_07635 MQLAQAQADSGHYNQGQVVLDVVMQALLHGLAECKVTMSGASAY HATGHALLKQKTQSHYTQPATHIDEADASSQNNSAKRNMLKLAL H257_07636 MVASRKKSRCGAPRKYDGDSVRNVVTNVPSYRRSNFRSLAAATG IPNTSLWNLLQANKLCRRTSRVKPMLSVKQKSDRFKFVQKFVRVGHGRYRVWHDVLDY VHLNEKWFYITQINHRDYLWHDEPIPQRKVQSKRHITKVMFLCAVARPRFDFGRRVMW DGKIGLWPIVETKVAARRSKNRDRGTPVTVPMTVTKPIYRRLLVDKVIPAIQAKWPGQ RGGTIYLQQNNARPHVAVDDAEVVAASRKNGWNIQLVAQPAMSPNFNVLDLGFFNAIQ SLQHQTAMRTIDDLIASVQDAYSSLASQVWDKTFMTLQKVMEEAFKLEGDNIYKLPHL KKDLQLKSGTVALRPPCDEDVTLELDALESRLDDEHLVGEIVGMLGPALNIVDDA H257_07638 MTWDESRRRYGGQTMGPNSEQLPRPPIEGAYVDEDYPTHETSEA EKRASEKAETRANLRTERRNRPDNTPDSEEGEKEYQEEQRKQAGRRRINKANRPPTEK RVDHAKVARAPHDRRMLDTSIPSSSGWGPLSSGPPTPSSGDSSGPS H257_07639 MSSNSSTDVTDTSSTDTFLTIVDNKLARRRYFREKQRNYRRKLN ADVAIVKAQFEHLQSIRDGLQASMPLSVAPREDSDGPLSWYSIATVFKREALQVLTDR HPLITQTQEYQSLTKAIQRFVVMNIPPPMSRSNAWHSATLVADPRARNLAKEWLTQQM YHNMHEPLALLPALRSDEEFFQFDLEASDEDESFVGLHRIQCIFPGTLASFRRFVQSN MREVMFKEPQKAIEECTANTRLFCTTTANGVFINSLQGHFVEADRFIVVVRQVEHDEA HACHPMLTQRHYRSWTEVRQVSPTHILMRLVGFLSRSFRAHKGFVSSDELAALGGIDV TGIEDDDQKDEYVRRELIRLENADFVPWRQRFTSAMQASLQQHDDTSH H257_07640 MPAGPKLTEEEQAMILALTAVGKGHREIERLLSRSRSAIAHFLR DPAGYNINKRSGRPPKVSAQDLRRLLRTASNSFLSSRELVDECQLAIKARRARQLLNQ AKHLHAKGTSTLAILEGNQDSGAYAYTLSDHLFPYIDYHFRRECIFQHDNASIHASKE TKEFLNEHNVHVMDWPAKSPDLNPIENIWGVLARAVYAHGRQFASKNELIAAILKAWD GIGQDLIDKLLKSMQKRCVSVLELKGSKTKY H257_07641 MEEDTESEVQASQRCKTAFRFKGCTDVELLKEVIHVRPYEGPHG EVRKRWTEVTEHLQRLYGDGITVNATRKRFDDLMTAFHANTMAALRASGTEQDYEERE QLLQDIHDLTDKEEKAKHNERRETNGEKIRDAAMSIMKRKSLEVVEVNGCEDAPPSKR QSRGSSQMVAKANEVKAEESDVNGRLS H257_07642 MDEGSILLTAAALLLNASEPHTRAIQIPRGRFNLMGQTDTMCEF HFRLGKAEIELLVALLEIPDPMITPHRYNASAVEALCILLNRLAWPHRLGTMVLLFGR SREALSVLSNGVMCHIYDRFKHLLRWDKDRLDASWMEKCASAIHDSGAPLDCCIGFID GTVRGICRPGKGVQKTAYNGHKRKHALKFQSITTPDGIIVHLYGPEPGSRHDAYFLER SGILAILSDVLVTDTKRYVIYGDPAYGANDVTVCGYKGARLDQYQSAFNSRMSAVRIM QVVKNCGGKPSVCSLLLLLF H257_07643 MTELRRARTGRSAHRGQPRSDFPDDIHLLSTSGNRHVVVFTLLT QPEEHQLSQHLGQLLLHQRLKQMTLQCRCQPTTTHRVSELKTSVQKQLQLQRNKAFKS GVFRIVSLLRTFSAGHKLYVGLDDESKLSLAAKPVEAPRGGKSQVPRREGGREGAPKN NGRVGRKAYAIVPKTAEPPANKSPWGAVLAPGKAETFLTAQVKRWKDGMKVLVNQPER QNSERGGLLENAVHVDDVLVPQLAYLYGSDAKSIVMTPSVKFNCVMLDTTPPSRTKRN GAARSRTPFKPFYRPRQPRRRLAGLSDLFAKHIDVFGEDVGDDLPVEVERLEVRIKPG STPVKCGMRSYPQLEWYDIPKQPGYVGFSPKNRAEEGSPRLTNDDRQQADQRLHEVDA LTKAYWPLREDSHTDTVAYCQSVVHQMFDKFFDLLDQGLTICAQFGLKLCPKKCHFFL HEAELCGKVISADRITHSPAEFKDSWICRRRRQRLTSNSLCVPRASIPGYNQLVDPLR RLLDVATKATGSSKKTTDLFWGAVATQVPPVVLNLPLQDQRAAPAAYFHQWVVFYPIV EKEAYAVVESCK H257_07644 MSSNERASTYEVAHKTLARRQYLREKQREHRRKLNADRAIAIAQ CVHLQSVLDGLQAARPLSMAPRKEIYGPLSWHSIAAEFKGEVHRVLKDRQSLVTQTQE YQSLMQAMRRFVMNIPPPMSRSNEWRSATLVADPRVRNLGKEWLTQQMYHNMHEPLAL LPAVRNDEEFYQFDLEASDEDKSFVGLESIQFTWPGTVQMFRRLVETNMKAVVEEVTA NTRLFHKTTPKGTFVNLLQGHFVEADRFIMVMRQVEHDETYICHPLQKQQHDLLWTEV RQVSPTHILVRLVGRVSHIFRPATGFVSVDELAALVGIDVTGIEVDQKDAHVRREIIR QSYAWFLSWRQHFMDLMHQSAAN H257_07645 MTLVVCLEALDRIAIPAQCPRLNVFVLNSPLNKIEEVLVVERME VKRGSVAALRARCEKVV H257_07646 MPSKRQKLERRKTSRPTRFEKELLRLSPLAALVVHLLSAYVCVV GGQCHGTLFRYEAAGDPFTGRVVAGVPINSSDFAVLPPHFRDPSATVVLSALQVVFPL LAPNTPTFIQCCSWYWPAWLSSWVFGECVASTSCHALDYAIHQRYDAA H257_07648 MLLTVESLLALASKVEPRSIADHMASVNAMKAEGILLPELARLN QDLADEVRRHDAAMQHFERNRDKAKVEAKPEVSTASPPKSPRIRRKASLHGFRLILDD DTDLSEGIKINPDSDGSASSTSSDLHPPQQSSSSSPPRVNYQSMFLAAAIQLLEDKRS SLSTEFAAAPRLSLPPDTLLAGYLRKAKDNSLRSCSKKYVVLTRGSLTYYSDHMDKAQ HVALVRFVCREVLSQRFQHKFAFELIATDNNSTKASKAKLVWMAKSEQERRVWVHAIR AVINEPQYHVLARGSGFDGDCYECILLRRHVQAATDKCSYLRTLQQSKRELHMPVEWI HKQEQVARHPRGRCGMDQVFKDLERDKVKINSRVFSGADGSECVLGALTRVFMQVQSQ HDVEDQKELTEAQALAIVRSLLLSCNRTQSGGDTYEAVEFLYHNPSLNVLCPNQLEAD PLEINVFLHDPCPAVSQYVPDGDVTDHWPRDITGGPRSSGGGHVRHLSGGAIATAAVD DLTEGKSASALHLFGKDQRVAAEEPPLLVFAGKKMHITIVASTSYKVCDLNPQGNSDD DTWAVLDAVFQQSFVYVPCYGVLEGKGSVRVKHQK H257_07648 MLLTVESLLALASKVEPRSIADHMASVNAMKAEGILLPELARLN QDLADEVRRHDAAMQHFERNRDKAKVEAKPEVSTASPPKSPRIRRKASLHGFRLILDD DTDLSEGIKINPDSDGSASSTSSDLHPPQQSSSSSPPRVNYQSMFLAAAIQLLEDKRS SLSTEFAAAPRLSLPPDTLLAGYLRKAKDNSLRSCSKKYVVLTRGSLTYYSDHMDKAQ HVALVRFVCREVLSQRFQHKFAFELIATDNNSTKASKAKLVWMAKSEQERRVWVHAIR AVINEPQYHVLARGSGFDGNICWCNRQFISQRLSSRHTTILVLGDCYECILLRRHVQA ATDKCSYLRTLQQSKRELHMPVEWIHKQEQVARHPRGRCGMDQVFKDLERDKVKINSR VFSGADGSECVLGALTRVFMQVQSQHDVEDQKELTEAQALAIVRSLLLSCNRTQSGGD TYEAVEFLYHNPSLNVLCPNQLEADPLEINVFLHDPCPAVSQYVPDGDVTDHWPRDIT GGPRSSGGGHVRHLSGGAIATAAVDDLTEGKSASALHLFGKDQRVAAEEPPLLVFAGK KMHITIVASTSYKVCDLNPQGNSDDDTWAVLDAVFQQSFVYVPCYGVLEGKGSVRVKH QK H257_07648 MLLTVESLLALASKVEPRSIADHMASVNAMKAEGILLPELARLN QDLADEVRRHDAAMQHFERNRDKAKVEAKPEVSTASPPKSPRIRRKASLHGFRLILDD DTDLSEGIKINPDSDGSASSTSSDLHPPQQSSSSSPPRVNYQSMFLAAAIQLLEDKRS SLSTEFAAAPRLSLPPDTLLAGYLRKAKDNSLRSCSKKYVVLTRGSLTYYSDHMDKAQ HVALVRFVCREVLSQRFQHKFAFELIATDNNSTKASKAKLVWMAKSEQERRVWVHAIR AVINEPQYHVLARGSGFDGDCYECILLRRHVQAATDKCSYLRTLQQSKRELHMPVEWI HKQEQVARHPRGRCGMDQVFKDLERDKVKINSRVFSGADGSECVLGALTRVFMQVQSQ HDVEDQKELTEAQALAIVRSLLLSCNRTQSGGDTYEAVEFLYHNPSLNVLCPNQLEAD PLEINVFLHDPCPAVSQYVPDGDVTDHWPRDMYELVW H257_07648 MLLTVESLLALASKVEPRSIADHMASVNAMKAEGILLPELARLN QDLADEVRRHDAAMQHFERNRDKAKVEAKPEVSTASPPKSPRIRRKASLHGFRLILDD DTDLSEGIKINPDSDGSASSTSSDLHPPQQSSSSSPPRVNYQSMFLAAAIQLLEDKRS SLSTEFAAAPRLSLPPDTLLAGYLRKAKDNSLRSCSKKYVVLTRGSLTYYSDHMDKAQ HVALVRFVCREVLSQRFQHKFAFELIATDNNSTKASKAKLVWMAKSEQERRVWVHAIR AVINEPQYHVLARGSGFDGNICWCNRQFISQRLSSRHTTILVLGDCYECILLRRHVQA ATDKCSYLRTLQQSKRELHMPVEWIHKQEQVARHPRGRCGMDQVFKDLERDKVKINSR VFSGADGSECVLGALTRVFMQVQSQHDVEDQKELTEAQALAIVRSLLLSCNRTQSGGD TYEAVEFLYHNPSLNVLCPNQLEADPLEINVFLHDPCPAVSQYVPDGDVTDHWPRDMY ELVW H257_07649 MAHGANEQAVMRLVSTLLLQPHEKTTIMLAEELAKLPRGDYMWM NVLLLHVYQLLMQSTAAADTRPSTVERLVVVLEKALVISNIQAIQESHTQCFKLLQCL LTLLPAAATDDIVSRCGDHLTDESRLVVLHCLELLVTAPFPLPFLASSVPFAAFIVSV LLFTAQKDPNRTCRVHALRTLTATITSIDDADVLTQVFPGLMSALFMIVQGDYKLGSR LPTQAIRCLTTSMKLVVADSRCSSLVTSPVYSLAFLDPNISTPSTLSPTTIAPTSFAD QSNGLVPRSPAWLRGTQTNLHTLITYVCSHQVHHSNAAIRQALASFCAELLEHCRLTL PSAFVPCYETLLALSQDPVAAVHAVAWSGLATIHANLSPSEQVLVHTNASAQCMAHLD ALKLKCAAASLDLEREAVTTLQVCLGYVTSSQAKWVVDVRRVLKAWTRILAVDALDAQ VLAYTSHNTKCKVAYYRKRFEHFRSDEAVAVALRVVRSFAATDDGHLLAYVDAIVAHV SDYPEDSLEWLIVLNQLVLGAAQVVDDDVPSPGMAQNEGLTVHVGAYLVDVVQQLPLW SSSYDESLSVVLEIVGSIAQALGVAFRPLLMHVLYPLVEQLGRPSPVVQQAALATLER IAFFCDSQSVSALLQANMDYVVDMLVSRLTQLDEYPHTPFVVQGLLRHGGSSVTSPPL PLLEEAVAGVIRSVDFHVATPQHALGLLRVMKVVVSNEPKQKPPAVETQDKPTTSSAS GPRVTTFIQDMNALFQLPPPSDDHTALHPTGETAKSSTSDNGSSVHGAMPIEHDEHQD DAADTPPKGMAKLVEDIVLRSTYFTAAPDTATACLAWQVLTDALAAVRPQHLRPLVHR IWPQLAHRVGDSSHKPKHLAAVQCISAVAGLCGDFISDKFVESVWPTFQTHLAQHAVP AQSTAVVLDNVVTSSTTTTSSTSSLQRPTALTDQIHLHMLVCLERLCRATDAVAFLVP DMATAAQSFLASSAPPPLQEQAVALFQALIRLNADLIFPRVAYMASLPLPPPPSVHFP AYAPDALDRIPDRVPRPALFAQNARILVQQLLRPPATD H257_07650 MASIGIDLGTTHACVGVWANGKVSVIANDQGFRTTPCIVSFASD DVVVGDSAVAKLHNNAANTVYHLKRVLGKKHKEVHEHDYVKDWSFDLAADTHGAPIAK ILDGSRDISSVEFCTIVLRKLKALAEDYTGVVVKNAVLTVPEGYSDEQKALLEEAATA ADLSVLRYISEPIAAAIAYGLDEDKKNESKLVVVVDIGGASTDLTLLSIDKGLFNVIA TASDHALGGEVFTNSIVEHCVKAFERQKKVTIAADNTRALHRVKVASEQAKKSLSTQS QVTIEVDSLADGQDLIVKLSRSRFEDMVSDHVRKVTKDIAALLDGAGYAKDTIDHVLL VGGSSRIPKAQSAVEDFFDGKKALVSIAPDEAVAFGATVESATLSETADWTLPTDPHN NVEAVPLTLSLGLADGTVYEMIHRGTVLPANVQEVFTTNADNQDAVYLQVYEGQRVLK KDNTLLANLTLSGIPPAPKGESEVEVSFNVTRKGQLTVKAHVRNAEGGSKALVVSSDS SRVVDVDAIVDAAEAAAEEDDAILAELEAKQEAEELAAAVGAGAASTGAQGEDMD H257_07651 MEAIRRVLKIDLPKYLKSLPIPSTVEGLTKLTPDEWQILAPFLV AVIVLLATIALSLFGGSKKPSRRVNTSIALEKEKVVDTCDIEDMFTKGSLKCVLCRCW KSKKFPFCDGAHNEHNKATGDNLGPLIVQKKA H257_07652 MVTRGVDEDEAYVCDPQTEVRQISPTHILLRFVSHVSQIFQPAT GFVSVDEQATLKGVDVMDVDDGLKDEYVRRELIRRRHAELVPWRQHLMERMRQNVTNC H257_07653 MVTRGVDEDEAYVCDPQTEVRQISPTHILLRFVSHVSQIFQPAT GFVSVDEQATLKGVDVMDVDDGLKDEYVRRELIRRRHAELWCVDGLWCGDHGALRSVL ASTLRWGHLNEGPNTNLAVPFLLAVWVISQPGHSGKEHQLSDKALGLYHQSRDLFVVR RWAKLNFLRTSARSRTATEASSDVLRSQ H257_07654 MSSKGGASMYENADKTPPRRQYFREKQREYRRNMIADMAALKAQ CVHLQSVLVRLQTARSPSVVPREASDGPLSWHSIAIVFKREAHRVLTDRQSLGTQTQE LQSLTKAMQRFVVTNIQPPMSRSNAWQNATLAADPSARNLGKEWLTQQMYHNMHEPFA LFPAARLDEDLFHIDVQMSDDGEPIMGVERVQFLLPGTVQMFRRLIECNMWQAKLYNS VVEEITANTRLFHKTTPKGMFVNRLQGHFVEANRFVMVTRGVDEDEAYVCDPQTEVRQ ISPTHILLRFVSHVSQIFQPATGFVSVDEQATLKGVDVMDVDDGLKDEYVRRELIRRR HAELLPWRQHLMERMHQNATN H257_07655 MEVVEEITANTRLFHKTTPKGMFVNRLQGHFVEANRFVMVTRGV DEDEAYVCDPQTEVRQISPTHILLRFVSHVSQIFQPATGFVSVDEQATLKGVDVMDVD DGLKDEYVRRELIRRRHAELLPWRQHLMERMHQNATN H257_07656 MSITQRNLMELAPFAKTARQRATLHALIAAYVIERPLIPAIRFN LDATTNATAILDYRFDIAGVKELGFVLGLPAVIITPKRVRVHREEAMCVLLGRLAFPV RFHTMTKTFGRSRSSLCDIFLHLVNELYARWGSLLFFNKKVVVHYLQNVVENPALPCG H257_07657 MATPTKRRNFTEDDDVMLLRQVSLEMPFQARRGHVMERWADVAS ALEMADDFRRSDIDAKRACNRFMLLLDAHRKANNQSQRASGVAEDVSEKTVLLDDLLA SYDDIKGAEAQRADETRHTAEQMEAMGSQVRAEALVSLGKRKRDKDADDAAQADLQFQ REKFETEVEERRLDRQLLAEQLGRQQQSMAEQFRQQQQSFIELMKLVVEKSN H257_07658 MVDIDVLVRRFCSMEAHFRHVQQCRMDDDATWLRRERLQEEIRA LPSDQRTLLKAIMRREMGFSCEPTPTQDDITLPSIEVCKMKTYVDMVERLPNAPPLRF KTKWPAMARKVQQRRWVKAMKIGRARDAVVVS H257_07659 MAAEINHRQSVLQRSALDQEDGLTFAYDKLSGTTMAAQFRSRQL LTTVSMAAEINHRQSVLQRSPLDEEDGLTLAYDKTDKPTSYAHGVELPHTVKSIYSSF VTAMVLTILESVS H257_07660 MLLVGYTIRSMYIGASKRRGYGPFMLCLFAFPLVMIQPTLQVLQ SLRYYNSYAPMTMLINVISWLGLLQMSTASMWNASLDQTVLEWWHKLYVYDNNAAAVA SSYLPSKPATVVEEEDCGA H257_07661 MPQSDPTMSATMRSRKPATSTSTAASGLTASDKDSKKEDVHDQE EMRLWAPNFHSAFSLLAVVRVLSALINIISDCDETFNYWEPLHNLVYGFGLQTWEYSP AYALRSYLYLLVHAIPAKLGAIFVFGNTKLGFFFGLRVALAFFSAASEALFYRGIVHA FGPVVGRYTLVLLAFNSGLFLASTAFLPSSFVMYLLMLFSYSWMVSDTTFSTTALVAG VTAVLCGWPYVGVMCVPFFFDSIARFGVVRPIATGLVVVSLILSLELAVNYHYYHKLV LPALNIVLYNVLSSGSELYGTEPWHFYAQNLALNFNVAAVLAALSAPVTAITVVFRRH TRRQALFLTPLWIWLVIMTLQAHKEERFLFPVYPLLCAAAAVSLKAIAAVFPRRLGSF VAVLALVVYAALSAMRIASVTTQYGAPLRLYQHMSTELLATRGATSTHAMVVVCVGKE WHRFPSHFFFPNHVRLQFIRSGFHGQLPAYFNTTYDVPPHMNDLNQDEPSRYVPITTC DFVVDRTVPAASAHPDEPGFESDPDWTELHAEPYLLSEASHRGLRAFYVPFYTPSHVT FTSYAVYGRTDERQPAQ H257_07662 MSAETLSNTFDDLTEVIHEMGSPERMEVPVLPSVDGVAVLPSAD DNAIRAPVAAHTDETTPVDLPPPAPTATTVSKLFKVASSSVSTRQIRKPLGKQQQQST EVIASKVNTTPPVTSRLARPSSLPKAPSTAEIKVKPAFFLARLPRAIYAPLFSYFPID SLKSLSEVSPEMYHMVNSNYAHWSSTLRQPDDPTSDTLLADAMVKIQAARAAARDALV GLGDVPALIKVEDLRVLRTYRNPPPAVLRLSKALVQLLRFSPPKGAGVDARAAAGDWG VIKTQFLDLKTIIRALKTAADAVVHVPLSVARSHLDEIVAVACGPDLDEIKFKRVCAA LVPTLTVTRKIAAAINVELRALDVTLLYKRRATVSHADVGEIVAKLTTDHAQPEASPA TSPTHAKAKRIVPKSTVVLKVGTAKAVAAAATPDKAPALVRKTTPRPVSAAPRPRLHV VASPATIAEHAASSSSNTSTPRASLSSARGTTTTTTTKRSAVDLGKPAVVASTVKKAA ATTLAADAPSSSEPHVPKRTPLSARALPSRTSALAKRTSTLNPADGSSGVKKAVSATP SPATSSMASLRADLEAAAKELQSQAAALATQTAHAAALAQTKGELESQLKQAQDDLAD VKVQWGLSQNQVQTQLATLLEVKCVQETLQFRLSELAAVDAARSAELDALALEKEQLQ LEVRTAHALATQSRADADEKAHVLECAESTYAQREADLRESLRAAADADRAALVREMD ELKVAMATLQAEHHAELEIKQRRLDQVMDEKTHLGASLAQHNRFVTAVQAEKANLVSS LQAAQSTTYSLGQTVVQLQDDLAREKKRVAAAEVAYEESLRWHEAQKGLEESYSSSTG SSSGRISVEQQP H257_07662 MSAETLSNTFDDLTEVIHEMGSPERMEVPVLPSVDGVAVLPSAD DNAIRAPVAAHTDETTPVDLPPPAPTATTVSKLFKVASSSVSTRQIRKPLGKQQQQST EVIASKVNTTPPVTSRLARPSSLPKAPSTAEIKVKPAFFLARLPRAIYAPLFSYFPID SLKSLSEVSPEMYHMVNSNYAHWSSTLRQPDDPTSDTLLADAMVKIQAARAAARDALV GLGDVPALIKVEDLRVLRTYRNPPPAVLRLSKALVQLLRFSPPKGAGVDARAAAGDWG VIKTQFLDLKTIIRALKTAADAVVHVPLSVARSHLDEIVAVACGPDLDEIKFKRVCAA LVPTLTVTRKIAAAINVELRALDVTLLYKRRATVSHADVGEIVAKLTTDHAQPEASPA TSPTHAKAKRIVPKSTVVLKVGTAKAVAAAATPDKAPALVRKTTPRPVSAAPRPRLHV VASPATIAEHAASSSSNTSTPRASLSSARGTTTTTTTKRSAVDLGKPAVVASTVKKAA ATTLAADAPSSSEPHVPKRTPLSARALPSRTSALAKRTSTLNPADGSSGVKKAVSATP SPATSSMASLRADLEAAAKELQSQAAALATQTAHAAALAQTKGELESQLKQAQDDLAD VKVQWGLSQNQVQTQLATLLEVKCVQETLQFRLSELAAVDAARSAELDALALEKEQLQ LEVRTAHALATQSRADADEKAHVLECAESTYAQREADLRESLRAAADADRAALVREMD ELKVAMATLQAEHHAELEST H257_07663 MATVDDDDTAAFLVSALCRQDPTPQGHSQRERLSSATASSDEPI KHEDEEDDPNDAMFFHNLDLAFAADLNLSNLPPPAEGCGPLSPLQLHPPPPQQPPPPL PPESHRFHLRLTTRFLPRYWKNGRKNLQCFPFCPEHGDFHDMKLQGKKHASIGVCRTP VHCDLHSPLWLPHVHVIGRIEQISPGVPMETPPLLRGLGEWHAFRHDGYDASQLGVRM YSNCGL H257_07664 MLSVTFQVSTVATMSSHHPTYTLAALLAIGGVVGYVKRGSVISL AAGVGIGAGFGFAGYLLQQGQMTNGHATALALSSITMAVMGVRAIKTKATVPIAVASL CAVSSAYHAQKFLEWAGQE H257_07665 MTSHSFVSPTAAASEDDPNNPSFQSRAQRRYVVGVCAMEKKSRS KPMQEILRRLVKKKQFDLVIFTDDMILNRPIEDWPICEAIFSFYSTGFPLAKAESYVH LRRPVLVNDLSIQHVLFDRRKVYEVLIRNGVNVPRHAIVNRDGPTPDVLDESENYVIV NGVQINKPFVEKPADAEDHNIYIYYPSSAGGGSKRLFRKVGDRSSEFYPDVNHVRREG SYIYEEFLNTQGTDVKVYTVGPNYGHAEARKSPVLDGRVMRDSVGKEVRYPVILNSIE KDIARKVCLAFQQTVCGFDLLRVRGTSFVCDVNGWSFVKNSKKYYDDCGLVLHNYLLT ALLRYRHHHRPSPRYNLSPKSSGGPSPFAQYATEPVLLNQHQPFIKEQHSMSTHSSPS DPFDLPHSLGSPQLLHHSRTSSTASNDDSMWLEKKEELRAVIAVVRHGDRTPKQKLKT RVWEPQLVNFYETRRTKDKFDEVKVKAVMDLQELLDIVRSLIKEYAPNVGSKGTVWEK EGGDSFEKLLQIKRVLERWKFAGINRKVQFKPRREYMPPSSGSGANSELLLIMKWGGD LTETGKRQGELLGNRFRNELYPVEEGGLLRLHSTFRHDLKIFTSDEGRVQMTAAAFAK GFLELEGDLTPILVSLVTTLDKDANKMLDHSGQADANEEIERTKAKLRKVMQQEYTSF EDMVASVAPLNTHSIVAALHDLQNPKESLGKLHDLIRLVKTEIKELAADRAALSLHDD RLNELYMGETYSLMSERWEKLYRDFYSIPKQEYDLSKLPDIYDCIKYDMLHNLNGAHA TTKYGRALFNMAELFVTCYVPQEYGMNVAEKQSIGIKVSQALCAKIRADIATAMTIQR EEKPSVVNSLYNGKSFLPLCTAAMDESDESESNLEHNGYRLDPSFAKELRIKSPGTQV RTRLYFTSESHMHTLLNVLRHQCPAWVARRTDENLTSGTSSQQDQPPKQRHAFDAAKM TMAPQAIEALDNVSELDYLAHIIIRVFEAPWLPEEDGNRFRVEIAFSPGLRGDPEGGG GVVLEAARSSSTSSAASSVGFTPPSTPLASSVPIAIPPSSSPNLFAFYDRKDDVISPS YDGGIPDNKIYLTKDMPGVVFDDMLAACVASVANNPVSYVPDSV H257_07666 MLKRLLVVGGSGALGRGVAARFNAAKWSVINVDFAANGDADVVN VTLDSGKSTLQQLPKVVEGLKNQKVDAVVCAAGGWAGGNIASPDAVANLSQMYSMNME SALLAAHVAATSLNPKGLLVLTGSAAALTATPEMVTYGMTKAATHHLVASAAPTLPTG ATSLAILPITIDTPTNRKYMADADFSTWTPVDEIARQLLAWSADPSTRPTSGDLVQVT TADGKSTWTSKGNPFA H257_07667 MADAATVVTTTLSSLMAQVQQHLGLSSAFRCRVKWAHAEALGAT TKAYLSLGAYGMVVLDITTPEHPHVVAEFLEGQVTLKHFTIERHIVYTACGKSGLRIF TNIDAAIDEIGALVHPRCGAKSVAVQGDIALVTFGRSGVRVLDVANPSSPVELGGFKA DSLHDPRFVLLRDGWGYVSFGPGGVRILDVTNASLPCEISSYTHGTFDARHMALHGSF LFVAFSYGGLKVLDVSNPFSPVEVGSHSFPSSAAANCVHVQGQHVYVALGPGGLCVLR FRPLEGFEWLGAYAQEGTDITSVHVTSDWLALVCTRQGSLNILDVRRPDHITVVSTFT PDIRRLVCQRSCTTM H257_07668 MSSVDLNGIIPSKGKGKGHAKGGAAANAKSGDSLYTWNEDRVNE VRSNKQWSHDPKYFRRVQVSPSATMKMLSHAQSGVEKGMSSPGGKPVEIMGLLLGRPS TDPANLNTLIVTDAFPLPIEGAETKVLADDQEVMNYMISLGEAIETTRKEKFMGWYHS HPFDVEVTSHCFLSSTDLSTQLQWQRSEDPHGNPWLAIVIDPLRSLAKKRPEMGAFRA YPPEFAAPVNETPDGQIVTDDTARVERWGSCWNRYCTQVLVGDDSTRLFVIRYYALEI EYFMSTLGANVLGVLSEKFLWMRTLSSTPTLERENRERFSERIGGLATKLDGCEASVG NAKGYSSRMNFGYYVPDTLKTAAASNEDSALDKCTQAANELSIEQLHGQTMQVAKQIL FN H257_07668 MSSVDLNGIIPSKGKGKGHAKGGAAANAKSGDSLYTWNEDRVNE VRSNKQWSHDPKYFRRVQVSPSATMKMLSHAQSGVEKGMSSPGGKPVEIMGLLLGRPS TDPANLNTLIVTDAFPLPIEGAETKVLADDQEVMNYMISLGEAIETTRKEKFMGWYHS HPFDVEVTSHCFLSSTDLSTQLQWQRSEDPHGNPWLAIVIDPLRSLAKKRPEMGAFRA YPPEFAAPVNETPDGQIVTDDTARVERWGSCWNRYYALEIEYFMSTLGANVLGVLSEK FLWMRTLSSTPTLERENRERFSERIGGLATKLDGCEASVGNAKGYSSRMNFGYYVPDT LKTAAASNEDSALDKCTQAANELSIEQLHGQTMQVAKQILFN H257_07668 MSSVDLNGIIPSKGKGKGHAKGGAAANAKSGDSLYTWNEDRVNE VRSNKQWSHDPKYFRRVQVSPSATMKMLSHAQSGVEKGMSSPGGKPVEIMGLLLGRPS TDPANLNTLIVTDAFPLPIEGAETKVLADDQEVMNYMISLGEAIETTRKEKFMGWYHS HPFDVEVTSHCFLSSTDLSTQLQWQRSEDPHGNPWLAIVIDPLRSLAKKRPEMGAFRA YPPEFAAPVNETPDGQIVTDDTARVERWGSCWNRYYALEIEYFMSTLGANVLGVLSEK FLWMRTLSSTPTLERENRERFSERIGGLATKLDGCEASVGNAKGYSCTSLTM H257_07669 MWVLEVESESMSLHLVQGEWSLGRSGNVFNFPKDKSISRNHAEF LVGGILDLENVAELPSFVLVDKKSRFGTYLNDVQISPNTPMPLRAGDKVSFGAKTTIL RVRYFKMVARTSRIQKMNRKMLLDGCKAIGMHVVTAAVDDVNYCITDPGKFVATEKVL WAMAHNHPIVASEWVQAILRRKSMAEELPPCDKFLPQHDASSSSSTEERHQPSYASDP RRFSLFSNYLVVFLTSSSMEALVPVMGGQVYAAYNAPDNDEQLVEHLGKSIKDHVLIV YPIGTSGGDGGASEKQQLPPSVPQTKQTSSSLQSRPSVVGHPSEWNNPALLRRVQRLH AAHLAYILHQELAASIIFTKPPTTMTDETVSQMLLQHASSERFEADDDTNQSVDETPL RLGHAYVPQAVELPTGAPLSTSDGTMTPAANTTRSMDLPVVERSTFNLVNATASDALD QQHTPRHDDWVDATLCSSKVGRDSVTGDFKPVYTAVEQTLRPLRVEGSSDEEPPVPQA HQNPQAALVDWRRKPFKRLYGDRQPRADPPTHDEADPACIGATVVYDTLVVRRSSPHD EERDGMTHVRYLPRYAAAVPSPLPSSASTHAKTFRKAHVVTMSSRRRLITHFTNVVPV NLERRREIAKEDDELEARERIAEALFVSAMPCPNKIRKRR H257_07669 MWVLEVESESMSLHLVQGEWSLGRSGNVFNFPKDKSISRNHAEF LVGGILDLENVAELPSFVLVDKKSRFGTYLNDVQISPNTPMPLRAGDKVSFGAKTTIL RVRYFKMVARTSRIQKMNRKMLLDGCKAIGMHVVTAAVDDVNYCITDPGKFVATEKVL WAMAHNHPIVASEWVQAILRRKSMAEELPPCDKFLPQHDASSSSSTEERHQPSYASDP RRFSLFSNYLVVFLTSSSMEALVPVMGGQVYAAYNAPDNDEQLVEHLGKSIKDHVLIV YPIGTSGGDGGASEKQQLPPSVPQTKQTSSSLQSRPSVVGHPSEWNNPALLRRVQRLH AAHLAYILHQELAASIIFTKPPTTMTDETVSQMLLQHASSERFEADDDTNQSVDETPL RLGHAYVPQAVELPTGAPLSTSDGTMTPAANTTRSMDLPVVERSTFNLVNATASDALD QQHTPRHDDWVDATLCSSKVGRDSVTGDFKPVYTAVEQTLRPLRVEGSSDEEPPVPQA HQNPQAALVGTCTLGVGHPVLRTSSPVGSDWRRKPFKRLYGDRQPRADPPTHDEADPA CIGATVVYDTLVVRRSSPHDEERDGMTHVRYLPRYAAAVPSPLPSSASTHAKTFRKAH VVTMSSRRRLITHFTNVVPVNLERRREIAKEDDELEARERIAEALFVSAMPCPNKIRK RR H257_07670 MRVQVVVELLPQRSTVCLSFDHADVTGNHVKAQLNAQTNVPFDL VQLLHGMTLLDDTCGVPHAPNVLRAVCGRGLLGGKGGFGAMLRSQGKGAGAKATRDFG SCRDLSGRRLRHVNQEIAIQKWNEEEGLRAQRKKDGIEDRELPVEETPSGIAGWHLNT PSWAEGFGKKASTRMKRKRKTIMCTSWIQARARATPPPNARRDWGCPRGRNCNYAHGE LELRGEELTLYKKEQKEKSLREKDLKRDQYVHAIAPAALEADVNDAFLAGLRQRKTLQ ASQDAIKAELQAKMVFTPGDAKWGGGVSSVAGSWLVPLNGNVHVKQSTAEENATVEGR GSFGTATVFGCALHRGKWYYEVELLSAGVIQVGWADATFEADEDEGDGVGDHMASWAY DGCRQVKWTGGESAAYGAKWAAGDVVGCSVDIDAGTIEFALNGTSLGVAFTDVHATDA TSMHPGGVFPAFSLETNERIRVNIGDRSFHYKPTDCTPVLDALVDVGTKAATSLVPTE QAISSNSEEEKTPPPPVHDAAAPEVDAPSLLTDILLDQEPAPSASPRLAVSPVQVNML EDLMAFESIDALKALGMDKLKHELKHRGLKCGGTLEQRAERLYSIRGKAIQDIPRNLK AKV H257_07671 MASADEKMDLSKRKIPRKTLNEQLPTRTRSRGKEKPVDVATSAS TRSDGVTPPRSRSTPGKERTSSSLLNAPPRTFSTALSSAKLLPVEQEQQLQAMLTSNR FEDAATWISGSTYLSEKYQLADVVRLVLDNRQFDLAGRLIREHKLAENQQLVTYFVME LVRSGRFHLAVRYAQELVPHFNAPVVDPSTRPMWTPSTLVKAMIRVQQYKPALKYTLQ FHLEGEFPVKKFVQGMLHEKTWLDAFTVILEHKLETAFSLDNLIDNLLGEQQWSAAIK CVKMAKRTAVYTGPVLVRHMIQSGDFLSTLHYLKAFDLTGDVALVRHMLDFMCRYGEL YKALKYSVKFGLAAEPAYDPRRLIDMAIDRRQFHVAQLYIKRLKLDDLYQDDLRHIAH EKDHLAASFRALMAEKRQRQLSPDVQARMQACLGDLYEPPSDEERDVVVSVHEQVFAK KQPKETTPSSLLERLRQFKVDDKQQLPSGGSRVFLTSFPAQNAFHSEGTLDQSFADVD RAFAYPSPPPASLPAFSPPLPPSQQPPQYTPPPPPIHRHQFYMPPPPPLPSQPPPPHP STSFAPSSFAPPPPTPFYGYQPPPITSSVASLAMQFHVHHPLPPPPPPPLPRQTHRSF VPSLSLKPSPVGPKQHQ H257_07672 MSYEHEIIHVEDKWAELLPTRIPLRYAFFRYLGFCIKVHGSAKN GHTSSKALKPASRASLRIKISSSQGIVLDEKIPAHFKDGVYYVQDISLQDEGLHTIHV WIESRFLTSVKPFAHELHVHQFMRLHDDPGALFAGPYGGLRRAIDPYLYKGRDYELRD NLVWTDDLLHQCLARSKSKLRTVDSKWWLRQFVEQGELQAKKQVQLRKATDQALQTPS QPARPRKQPRPSTWRLDEPTYVRAVDAKQRELVRAGDKREHDHYFQGRQKEVKRQRTG QIRIFVHEPLHANETQVVFSKLDLHRQLALYSQKH H257_07672 MSYEHEIIHVEDKWAELLPTRIPLRYAFFRYLGFCIKVHGSAKN GHTSSKALKPASRASLRIKISSSQGIVLDEKIPAHFKDGVYYVQDISLQDEGLHTIHV WIESRFLTSVKPFAHELHVHQFMRLHDDPGALFAGPYGGLRRAIDPYLYKGRDYELRD NLVWTDDLLHQCLARSKSKLRTVDSKWWLRQFVEQGELQAKKQVQLRKATDQALQTPS QPARPRKQPRPIRAGDKREHDHYFQGRQKEVKRQRTGQIRIFVHEPLHANETQVVFSK LDLHRQLALYSQKH H257_07672 MSYEHEIIHVEDKWAELLPTRIPLRYAFFRYLGFCIKVHGSAKN GHTSSKALKPASRASLRIKISSSQGIVLDEKIPAHFKDGVYYVQDISLQDEGLHTIHV WIESRFLTSVKPFAHELHVHQFMRLHDDPGALFAGPYGGLRRAIDPYLYKGRDYELRD NLVWTDDLLHQCLARSKSKLRTVDSKWWLRQFVEQGELQAKKQVQLRKATDQALQTPS QPARPRKQPRPSTWRLDEPTYVRAVDAKQRELVRAGDKREHDHYFQGRQKEVKRQRTG QIRIFVHEPLHGTPPFLPSLTAG H257_07672 MSYEHEIIHVEDKWAELLPTRIPLRYAFFRYLGFCIKVHGSAKN GHTSSKALKPASRASLRIKISSSQGIVLDEKIPAHFKDGVYYVQDISLQDEGLHTIHV WIESRFLTSVKPFAHELHVHQFMRLHDDPGALFAGPYGGLRRAIDPYLYKGRDYELRD NLVWTDDLLHQCLARSKSKLRTVDSKWWLRQFVEQGELQAKKQVQLRKATDQALQTPS QPARPRKQPRPIRAGDKREHDHYFQGRQKEVKRQRTGQIRIFVHEPLHGTPPFLPSLT AG H257_07672 MSYEHEIIHVEDKWAELLPTRIPLRYAFFRYLGFCIKVHGSAKN GHTSSKALKPASRASLRIKISSSQGIVLDEKIPAHFKDGVYYVQDISLQDEGLHTIHV WIESRFLTSVKPFAHELHVHQFMRLHDDPGALFAGPYGGLRRAIDPYLYKGRDYELRD NLVWTDDLLHQCLARSKSKLRTVDSKWWLRQFVEQGELQAKKQVQLRKATDQVCTYII D H257_07672 MSYEHEIIHVEDKWAELLPTRIPLRYAFFRYLGFCIKVHGSAKN GHTSSKALKPASRASLRIKISSSQGIVLDEKIPAHFKDGVYYVQDISLQDEGLHTIHV WIESRFLTSVKPFAHELHVHQFMRLHDDPGALFAGPYGGLRRAIDPYLYKGRDYELRD NLVWTDDLLHQCLARSKSKLRTVDSKWWLRQFVEQGELQAKKQVQLRKATDQVCTYII D H257_07673 MFGKRETNSIFGTIPFNPDDQQRTDAYLRQKLNSNVLSRRPGPG GRRLTYLESCKAIEIANEAFGFNGWSCRIIDCNVECKEKSDDGRWSIIYSSVVRIELK DGSSHEDVGFGTSDGMKDLGAALEQAKKASISDARKRALRLFGEYLGNSCYDKEHIKD VAANRANQSNLQSPTAIKIENGHTNMASTGTPHTPPLHPPPRRLPPTTTTTPSAPQFQ PPPRTPAVSGGIVPPAGSNNVGHTPPVPMGHGSTNPVNSYGLTTPNNSHPSLPHRPAV PPPNPVIKAEPKSIPFPPTRAADSSSRGQQMVAPPVHSWSHQPPPAPGYSNTGNHQQG AGTMHPSSSATYVDSASAKSIPRGGGGGGTTFHRPLPTNTLSSPSMPHPPRFQDDSAS TNRKRALDVYGNTSTTTTSSTTTTNDDGAVDDLDGLRLSQFEFDDGATTNSKKARS H257_07673 MKDLGAALEQAKKASISDARKRALRLFGEYLGNSCYDKEHIKDV AANRANQSNLQSPTAIKIENGHTNMASTGTPHTPPLHPPPRRLPPTTTTTPSAPQFQP PPRTPAVSGGIVPPAGSNNVGHTPPVPMGHGSTNPVNSYGLTTPNNSHPSLPHRPAVP PPNPVIKAEPKSIPFPPTRAADSSSRGQQMVAPPVHSWSHQPPPAPGYSNTGNHQQGA GTMHPSSSATYVDSASAKSIPRGGGGGGTTFHRPLPTNTLSSPSMPHPPRFQDDSAST NRKRALDVYGNTSTTTTSSTTTTNDDGAVDDLDGLRLSQFEFDDGATTNSKKARS H257_07674 MSNNNDDGAPAALSTATSPSVKIEGYLFIRIFKRRRRYCVLTGR TLCIFGSKDEAAAVDKAQAARASCCVVGVKDLSDLDKGTKETLVGTASFQNALIVSTL KSKLIVVEADTKTEKDRWLHAMMSLNYCTDDAERDVVRDSLNQPDFDAQRAVTLLYKY RDNAVAMDLIVGHLSQYAQSNIDDVEFYLQQIVHLLVHSCDAVRNKDKLVDAVLSICK AKTYTAHLGNSIHVALHLFWLLEAMLHDCHGTATYNIVAMLIMSIEAQVVNQHFELRD LVRLFHDVPGLKQSILRPVADAKHDETRAAQPTPLPSSSNGPVSHDTITTVATLSEAE KTTLLAWIEAERLKRYKYFHQERDFIVALAGISETMRHMEPRESRKAALPGLLARLVI PDMAYIPLGRASDPYCRVLRVLEAEGTVFSTHSRAPVLICFEVVQEASPPRAATGCTS QAATYDQDTLECIQATYAALFFRSASCDLTTDDNPPPEDAVSLASIKDIVDQNIQATI MRRTSKTAYDMSLAKLMEPTVFGESWAAKKKRLQVASPYGHLRGWNVVSLISKSHDDM RQEVFVLQLIAQIQGIFRDAHLPLWLRAYRIVSTGHSTGLIETITDAQSLDAIKKSKP STSLKDHFDQTYPAPAARDLATLNFMHSLVGYSLVCYILQVKDRHNGNILLDSEGHVI HIDFGFVLGIAPGGGWSLESQPPFKLTKDMVDVLGGVASPLFAQFVELFTHGFLALQR NAEKIITMVEIMMHKATYPCFQNRDVVKDLQKLRDRFVETLPMDDTVEHAVKLVKLSY KNKWTKRYDQFQKITNGILP H257_07674 MSNNNDDGAPAALSTATSPSVKIEGYLFIRIFKRRRRYCVLTGR TLCIFGSKDEAAAVDKAQAARASCCVVGVKDLSDLDKGTKETLVGTASFQNALIVSTL KSKLIVVEADTKTEKDRWLHAMMSLNYCTDDAERDVVRDSLNQPDFDAQRAVTLLYKY RDNAVAMDLIVGHLSQYAQSNIDDVEFYLQQIVHLLVHSCDAVRNKDKLVDAVLSICK AKTYTAHLGNSIHVALHLFWLLEAMLHDCHGTATYNIVAMLIMSIEAQVVNQHFELRD LVRLFHDVPGLKQSILRPVADAKHDETRAAQPTPLPSSSNGPVSHDTITTVATLSEAE KTTLLAWIEAERLKRYKYFHQERDFIVALAGISETMRHMEPRESRKAALPGLLARLVI PDMAYIPLGRASDPYCRVLRVLEAEGTVFSTHSRAPVLICFEVVQEASPPRAATGCTS QAATYDQDTLECIQATYAALFFRSASCDLTTDDNPPPEDAVSLASIKDIVDQNIQATI MRRTSKTAYDMSLAKLMEPTVFGESWAAKKKRLQVASPYGHLRGWNVVSLISKSHDDM RQEVFVLQLIAQIQGIFRDAHLPLWLRAYRIVSTGHSTGLIETITDAQSLDAIKKSKP STSLKDHFDQTYPAPAARDLATLNFMHSLVGYSLVCYILQVKDRHNGNILLDSEGHVI HIDFGFVLGIAPGGGWSLESQPPFKLTKDMVDVLGGVASPLFAQFVELFTHGFLALQV RYT H257_07675 MGRKSKTKASLLKGQTPPSSPKKAAAEVNPVPAPTSPRYADVIP ASPTYGSGQPLLHVRRNAYFEADRKCHDVLCGVLFGLFWIGMVAISLVAYKHGDMNGL VYPMDHFGHLCTEATPFAYYPHPSLDIKSPYYYGICVATCPAQGEFVNGMKVKYDTTD VFHRCVPSNLTAVGADVAEEYATILGKATTTFKLLSRYIEDIRKTWKPVVGVSCGVGF AGSVMWLGVLRLYPGTAVWGSLFVTMLALVLATVVSALEAHYIQSDAFTAAVDDSFVL QLGNDNEKSFRVVTVVLGFVTLVLVLVLAFMFSRIRLSVGIIHEASRSIAYMPTLYGI PVVQTTKLLILFVYFVVSCMYIASCGNISLADLRGAFSRHDGKAHVQAIQAAVPALAT EYGNGTTQGSNWMKACFAYNLFGIFWTQQLIEAVTVCTIAGAIARFYWCDSTDQLGFA VVKSYGHSFRYHFGSLVFGSAVVAVVQYFRVALEYVDHQTKHTQKYSVVKVVTCCCRT CLWCLHTFIKFVSRNGYIVIAMKGSSFCVAVVDSFHLVTANLLRIGTLSIVAAFVMVM GKVLISVGCTMLVFWYMTAQTVDVSSPFPPLVVTLILSYSVASQFFGVFEIAIDTILL SICEDEKINRSTAQYYASKHIRAYLDHTAEHAFDHHKHIEAAKRTDVMV H257_07676 MPDHSCGGAMGVVGVVLLLLLLPPWVEAVVSLPNLEFNAALGQI PHASSYYKFDLNPILDTKYVPGTFLAASCFQYVILYDLEGNAIDGLVMQTSWWSSGSI DENVFFQVNFTAESPIISKVVVRWHGYLAAKTYAVKTSYSGYDATFVVFNTFENVSPA WDRVDTVVPWSNSSVKFNFLRLEIQSPVQCNPNITVRCDNRRLASDQGPIYGIREVEV WAASQKSGAHASRVGSMAASVLVVLLVSL H257_07676 MPDHSCGGAMGVVGVVLLLLLLPPWVEAVVSLPNLEFNAALGQI PHASSYYKFDLNPILDTKYVPGNAIDGLVMQTSWWSSGSIDENVFFQVNFTAESPIIS KVVVRWHGYLAAKTYAVKTSYSGYDATFVVFNTFENVSPAWDRVDTVVPWSNSSVKFN FLRLEIQSPVQCNPNITVRCDNRRLASDQGPIYGIREVEVWAASQKSGAHASRVGSMA ASVLVVLLVSL H257_07676 MPDHSCGGAMGVVGVVLLLLLLPPWVEAVVSLPNLEFNAALGQI PHASSYYKFDLNPILDTKYVPGNAIDGLVMQTSWWSSGSIDENVFFQVNFTAESPIIS KVVVRWHGYLAAKTYAVKTSYSGYDATFVVFNTFENVSPAWDRVDTVVPWSNSSVKFN FLRLEIQSPVQCNPNITVRCDNRRLASDQGPIYGIREVEVWAASQKSGGFKHAARSGW PPNAISLSLRCPCLASWKHGGFGARRPVG H257_07677 MYDDYPLADAEVSDDDDDVEMVLKGGGFSPTTDLSVVTELPSTY LRASRVRSVVRIRSTYDDDEHMPTTPEQAHTYGATPPSHDAKNQRTPNTDSGNRQHHV AFILHRSPEMNVQRSAQYTVLLARQKERYWNVTPASVEFLVERSLDFVGSFRGRSTAK TVARYILWKHFTGLLDSKRSLERKLRAARMSKDPMASSSRRRDAPSSTITAPPSNDDT DGFARYKGVKPHSMAESVILDRLKQTLKCSTLKRDPATSDPHHRRKKAKTPKHTSSSR SVDGKRSFFTDTAAPSYADKAKQPMDPRRRVLVPSSPSVVSQQPPPADPRRRRRPLLN ELPTSSKASKSPIQEQHHHTLDRAYADFAASPKPRKRARTDPSPRPLYIPPTSHVTGA SASLPENWKWRPLTQDIKPIRPFDGMSVEQIEDHLRSIKVEGRVSRFRRFGALLQKLM DHPRNCGGVFNTPVDPVGMNLPTYTSIVRHPMDLGTIKARLESAQYASPEQIAADVRL VFTNAMAFNARNHWVHVNADALLAHFNETWSVDKLDESKAGGHACDVCCGHTCAACDQ RCLELLVPFSQCFGNCGTTFRKGSTYFVTRDGTRVWCAKCRNKSMKEERILQEDDECF QNPLLSRRNTLPTASEVSAWLVKRKMDVGVEPWVCCSCCGRWMHQVCVLFNPVEAAYD TANKFVCPHCQLQSRRMSSIPPSFLDCTSLPETELSRFLEANLGDAAGDAIDSLCVRT MTFTGQESHLPTEMVHLFQSNARVVRQHGTTREDLVVDVPDKLTHNTKTIFLFQKHHG VDVCLFAMYVQEYDDSVEYAPNRRSVYLAYIDSVRYMEPASIRTGVYHSILTSYFDYI RRHGMERVYIWSCPPQRSQSYVFWCHPPFQKTPSGDHLRSWYKQVLDKAQARGIIQSY GTLYDRHVADVAAQWKKDAAKGDGGGGSSFLWPGGTGMLPLFDGDFIPGELDRIARAL KAKHKPRRDKEPPTPPCLKDAFASFLTAMKAMRDDLLQVDLCRSNEGAVVPAKDPPTK LPPFVGSRFAFHQMSSHASYQFDSLRRAKHSTMMLLHQMINASVPQCNTFCHECALLI THADHWFCRTCAHFSLCDWCHAHHGPDHPHLLYRGLDDDEGT H257_07678 MVSRVYMGIVYLSVSFVLTKGHATTEHSTRVVPVVRRRARVSLT STSATLLDSAKAEPSSDAGVASQNSSTTSPPDAPPIPPPSSTLTSEMKASALTGVILG SVAAVLIVLAIVLICRRRQAQARAKIMFRGGPSTASTESDDPTKPRRRADPFSSVYAT DFASSEYRDSLSPDHNFDGIVLSDPFRRKDRVKPELDRPMPFFSYYHQASTQRRSSLE SQDSSIISYTESDKVRGTTTSSTADETPRQLPHTPMMAYQPNHRDDSMSSSVTASREM WRISGHSASLSSAGFDLLQSPSMGSAGVFSLPEKMTMLDDSVSQTSSRESYDI H257_07678 MPPQVPPSLRWISPSQLSTLEHSTRVVPVVRRRARVSLTSTSAT LLDSAKAEPSSDAGVASQNSSTTSPPDAPPIPPPSSTLTSEMKASALTGVILGSVAAV LIVLAIVLICRRRQAQARAKIMFRGGPSTASTESDDPTKPRRRADPFSSVYATDFASS EYRDSLSPDHNFDGIVLSDPFRRKDRVKPELDRPMPFFSYYHQASTQRRSSLESQDSS IISYTESDKVRGTTTSSTADETPRQLPHTPMMAYQPNHRDDSMSSSVTASREMWRISG HSASLSSAGFDLLQSPSMGSAGVFSLPEKMTMLDDSVSQTSSRESYDI H257_07678 MKASALTGVILGSVAAVLIVLAIVLICRRRQAQARAKIMFRGGP STASTESDDPTKPRRRADPFSSVYATDFASSEYRDSLSPDHNFDGIVLSDPFRRKDRV KPELDRPMPFFSYYHQASTQRRSSLESQDSSIISYTESDKVRGTTTSSTADETPRQLP HTPMMAYQPNHRDDSMSSSVTASREMWRISGHSASLSSAGFDLLQSPSMGSAGVFSLP EKMTMLDDSVSQTSSRESYDI H257_07679 MARPRPKTQAAVMPRKDEDVLEYMKSMAEPKDKRHRAKPSTRSA SRNVSTIAKRHRDLQAAFGFGCNVEEHIKFGKCLDGRCMQRLEWEVDKLSVGSHHFRD KDLSLHQACEFGNEFAVHHLVQLGPAFNAKNQHGQTALHVACRVGPVALVRHMLAANA VATPRSYRMDMNTQDNNGNTCLHIAAKANHLDITQCLLDAGCPWNITNNEGKIAADVC GRDQRIYHVLKQHAMAHDLGDEVLLARICTDCISSIHPLLQ H257_07680 MNSPITRASSSSKSLKRQTSSVMSPTKRARLVGNLTISVSANLL NRSDEYRGRCKYKSGRCPNERTIKFSGEPHTLCEEHRVKHNKNQRKSDAKRRGVVKVK RDGTVVYEDDDDACPVYMKKEQPSLERTTVVVKPEPTIKTEPSQDTTSMWTLQTRDSD PLDIEEIDMSETSSCWSEDEVEILKNIFDFDMTL H257_07681 MIGGRANYLTVQVALRACEGIGSVSVPLRMTLLFESGQVVDDQD IFRIMGSNTLVLNGDDSVATIHFRLDKVSRRKDGQRFKLKIDVDPTRSVLSGVTPVVT TPICVLSKRKSVDVPTTAPAANVDSNSPRKQLKHGEVVLAKQIAAMQAQLSRLMGLVE AQHRLLVRLSLSPAPKDIDTLLKEEDTSFHAAMLDTSDPVHSFLNQATMPLPLGTFCF DR H257_07682 MPKSNVPPRPDDPSYNHQFATVNGIRMHYVDVGPRGMSPPSPIA HRPVDGLILVLVHGGPTCGMDGGYERRPLYNPDNCGFGDTESPLSPASYSRKNIATDY ACFLDHLAIDRVVFIGRPRLGRRRGVAHVSVLPPPPPAVTKVPASVLDEDVADMLRVH ILPFFRLMFGTPIVRDYGSLYDNMKHLPTLEFTAASSSSYP H257_07683 MQQQAHRRTTRTTTRKSTGGGFLFGFVFLAVSIGLLFWNEGNVV RQYTSLQEGLASVVELDDTANVESKLVHMTGRLTTQNAQERPIVMDAEFGVAATGVYL HRIVEMLQWKEKRHDHTYTEDGQEKTDVSYTYKKSWSAQSIDSDAFHDASYVNPSYWE YKSKKISAPSVFLRGYRVPDVLLGQISTRDHISLDDASRLQMASILELSLHAPLKGGI PALKTMDILHNEFMSKEIPSNGKSNNQQHRDRANIGDLRVRFQVTPASAVSVLAMAHG GALHPYTTKSGDPIVLLEEGVMDAAAMIDRAQALVTMWAWGLRVVGLVLAVVGFNVLL QPVVDLAHLFINVPLLGTFVAAAASWSVSVMSGLLGVSTALVVIAIAWVWYRPLVALG LVLVAITPYIGMLKPSKAHVKLAVS H257_07683 MQQQAHRRTTRTTTRKSTGGGFLFGFVFLAVSIGLLFWNEGNVV RQYTSLQEGLASVVELDDTANVESKLVHMTGRLTTQNAQERPIVMDAEFGVAATGVYL HRIVEMLQWKEKRHDHTYTEDGQEKTDVSYTYKKSWSAQSIDSDAFHDASYVNPSYWE YKSKKISAPSVFLRGYRVPDVLLGQISTRDHISLDDASRLQMASILELSLHAPLKGGI PALKTMDILHNEFMSKEIPSNGKSNNQQHRDRANIGDLRVRFQVTPASAVSVLAMAHG GALHPYTTKSGDPIVLLEEGVMDAAAMIDRAQALVVSYSCPTIW H257_07684 MTERGGGAQQSDEACAAATCIQKLYRSRAARKLMVQLASSVYRR CYDANTGHSYYCNLRTGETAWDRPKIFGSGDAPTYEDNQPVTAAESMTADNKVDDEGN ETAPVANSTEAIPIAVSKFQLDKDAQTKIELTKLEGLVLIQRQAQAKADLERQNRKQV HWGRKQWDKKVRLEHEANRAARLQGIARDNKQAIQDLLDGKSVRTTSVKPQLESIREA CMRGHVDRVMALLNEGWSPNAESAMGLTPLLAACLGGHIQVVQLLLQRQADVNHRHIV TQRTAYMEACQRSNTAVVRELLRHGARIHWNDKQGRVASDGITHKKVLALHELASGVW SPAAASVFPAGFRAASMALAFVAKCQRRASVDAKVCAVKAAAACRVDLHKKLIQAKVQ FDHDVKVSQMQASATKRRDMATAADQRYDNTRSAILQASETASVALRRGSQARWLEEA NVMTILAYCPRHWFDHDAQTLYPQQPNGKRLTAEHIQPTRKWTSASELMPLPLRTALA HTSADIQDMCLEYAHIDATHTTDVDAGVLVLATTKQSQATVHVTVIEARHLPRRSNRS LIDPMVRVRVHGEDGRVVGGQQGTEPRAGEDSPAWDHAMTFDHIPSIRCELHVQVVDN TAGGAQVAGEIRLPLRQYVDQNDHDEWHVLPPTLKQTLVEGSSVRAVPATLHLVVRFT HAKTLVLTREIAKATKRRQQLLMELRAYIQAHLTRVLTILDPPKQTI H257_07684 MTERGGGAQQSDEACAAATCIQKLYRSRAARKLMVQLASSVYRR CYDANTGHSYYCNLRTGETAWDRPKIFGSGDAPTYEDNQPVTAAESMTADNKVDDEGN ETAPVANSTEAIPIAVSKFQLDKDAQTKIELTKLEGLVLIQRQAQAKADLERQNRKQV HWGRKQWDKKVRLEHEANRAARLQGIARDNKQAIQDLLDGKSKPQLESIREACMRGHV DRVMALLNEGWSPNAESAMGLTPLLAACLGGHIQVVQLLLQRQADVNHRHIVTQRTAY MEACQRSNTAVVRELLRHGARIHWNDKQGRVASDGITHKKVLALHELASGVWSPAAAS VFPAGFRAASMALAFVAKCQRRASVDAKVCAVKAAAACRVDLHKKLIQAKVQFDHDVK VSQMQASATKRRDMATAADQRYDNTRSAILQASETASVALRRGSQARWLEEANVMTIL AYCPRHWFDHDAQTLYPQQPNGKRLTAEHIQPTRKWTSASELMPLPLRTALAHTSADI QDMCLEYAHIDATHTTDVDAGVLVLATTKQSQATVHVTVIEARHLPRRSNRSLIDPMV RVRVHGEDGRVVGGQQGTEPRAGEDSPAWDHAMTFDHIPSIRCELHVQVVDNTAGGAQ VAGEIRLPLRQYVDQNDHDEWHVLPPTLKQTLVEGSSVRAVPATLHLVVRFTHAKTLV LTREIAKATKRRQQLLMELRAYIQAHLTRVLTILDPPKQTI H257_07684 MTERGGGAQQSDEACAAATCIQKLYRSRAARKLMVQLASSVYRR CYDANTGHSYYCNLRTGETAWDRPKIFGSGDAPTYEDNQPVTAAESMTADNKVDDEGN ETAPVANSTEAIPIAVSKFQLDKDAQTKIELTKLEGLVLIQRQAQAKADLERQNRKQV HWGRKQWDKKVRLEHEANRAARLQGIARDNKQAIQDLLDGKSVRTTSVKPQLESIREA CMRGHVDRVMALLNEGWSPNAESAMGLTPLLAACLGGHIQVVQLLLQRQADVNHRHIV TQRTAYMEACQRSNTAVVRELLRHGARIHWNDKQGRVASDGITHKKVLALHELASGVW SPAAASVFPAGFRAASMALAFVAKCQRRASVDAKVCAVKAAAACRVDLHKKLIQAKVQ FDHDVKVSQMQASATKRRDMATAADQRYDNTRSAILQASETASVALRRGSQARWLEEA NVMTILAYCPRHWFDHDAQTLYPQQPNGKRLTAEHIQPTRKWTSASELMPLPLRTALA HTSADIQDMCLEYAHIDATHTTDVDAGVLVLATTKQSQATVHVTVIEARHLPRRSNRS LVHTVQFPW H257_07684 MTERGGGAQQSDEACAAATCIQKLYRSRAARKLMVQLASSVYRR CYDANTGHSYYCNLRTGETAWDRPKIFGSGDAPTYEDNQPVTAAESMTADNKVDDEGN ETAPVANSTEAIPIAVSKFQLDKDAQTKIELTKLEGLVLIQRQAQAKADLERQNRKQV HWGRKQWDKKVRLEHEANRAARLQGIARDNKQAIQDLLDGKSKPQLESIREACMRGHV DRVMALLNEGWSPNAESAMGLTPLLAACLGGHIQVVQLLLQRQADVNHRHIVTQRTAY MEACQRSNTAVVRELLRHGARIHWNDKQGRVASDGITHKKVLALHELASGVWSPAAAS VFPAGFRAASMALAFVAKCQRRASVDAKVCAVKAAAACRVDLHKKLIQAKVQFDHDVK VSQMQASATKRRDMATAADQRYDNTRSAILQASETASVALRRGSQARWLEEANVMTIL AYCPRHWFDHDAQTLYPQQPNGKRLTAEHIQPTRKWTSASELMPLPLRTALAHTSADI QDMCLEYAHIDATHTTDVDAGVLVLATTKQSQATVHVTVIEARHLPRRSNRSLVHTVQ FPW H257_07685 MAALPNGSSRRPWTPPDHWDDVAKMGDLIPMGFQPDTRAKLVAA RVPLDPKYTMSDVEQWTPLHLLEACQARNLTVHMVIDLTNTFKYYDGVAEFTSRGVEY VKLKVEGFADVPTEDIVQRFIHVLSTWEDTFRQQPPSQGDLTPVVVVHCTHGLNRTGY LIARYLIATKGVTVKEALATFTAARPPGLIKHMYVQTLYDMFDQSDDAVLPELPLWAK NKYDRTKLALQAVNNMPPRLLPPSTPAAKPKWRRSDQSHLRRPPENWTDPPRFGAVVA DSPFLPMRTLLDDTYHHGAERWTPATFVAELGHDVRLVVDLTNTTKYYDGPSAFPSTI SYRKFALEGFSAAPSPTSVAAFLDLVDAFERDHPGKHLALHCTHGLNRTGYLVASYLI RRKQYSVQAALDAFAAARPPGLIKFLYIDALHDLHGCSADVVTYPTLPPWASKKYAKQ TNRRVPRLWPPPSFWTSMSPHGAWIPLQDSTDSVENADEADKGSRRDAADAPPDTAAV SVISSRVKVDVATSSSSLPPRRRRRRMMHLLPMKAMLDERYESDGSWTTASFASVVAD SFVHGIVSLDERDAYYPDAELPPTLRRAYVPVRSSQVPPQSDVDAFDRTLRTWQAEVG DDTELRVAIHCSAGGRTGFFVIHALVHFAKKSVDEAKAIYETAWPPGVVPKNLLKNLY HLERQSNRALPPPSAERRRCRSRSRDDSERRAYSSRRSHERNQTVSQNRFEGQYNPQW RPPSTYGNRWGDGRADQWSQQPQYPHHPHYEPHHPYSQPQYPHHPHHHHQSPEYQPEY YAYNQSTGSTDSYGRAERGSTPRRNGSRSPV H257_07686 MTMSCREVSRDSTEDDSIHTDFTSHGHHMEMWRQSLDIRQSLGQ LSADRRSSSSMIQSRREPRDLMLFVGKPAENERQTHYKGRLRMWPGLLLLVLVVGGAI AGITLGVKSSHEASRKRAIDVLSAERARRAIDSGVKDSSSSLPIDRDPDDNQVGNPKT YVNQRCSMLNYVSKTGRVFAVLPNNTETQIDMKGINWFGMETGNAIPLGLWTNENNGT TAYEIATYLAANKFNVVRLPVCIAHILADTAPRKGLVNLKENRAVDISSYMAALESII KALAYRNIGVLISLHTLTPQVSGGTWFDDSVGITKDKFLLSVDILTKALCKSDYWNVV GLDLKNEPHTATWADFSDGAETIGNRMHAGCTNWLAFVEGTNIEKHSTVIGGVVTEYS NWWGGGLQGVKTKRVMLDLPNKVVYAPHYYNSGVYPQTYLYAPGGDELSDADLRQRIS ETATDMFGFIAADKKEALVLGEFAGLYATDAHRFKTTKRTTDFLIEVMLRDGYAGGFV WSLNPESAYQYNPNGPGTWTEGLLKDDWRSSNTEFVQGMAALDKLPSLKALPCVHTTP SAP H257_07686 MWPGLLLLVLVVGGAIAGITLGVKSSHEASRKRAIDVLSAERAR RAIDSGVKDSSSSLPIDRDPDDNQVGNPKTYVNQRCSMLNYVSKTGRVFAVLPNNTET QIDMKGINWFGMETGNAIPLGLWTNENNGTTAYEIATYLAANKFNVVRLPVCIAHILA DTAPRKGLVNLKENRAVDISSYMAALESIIKALAYRNIGVLISLHTLTPQVSGGTWFD DSVGITKDKFLLSVDILTKALCKSDYWNVVGLDLKNEPHTATWADFSDGAETIGNRMH AGCTNWLAFVEGTNIEKHSTVIGGVVTEYSNWWGGGLQGVKTKRVMLDLPNKVVYAPH YYNSGVYPQTYLYAPGGDELSDADLRQRISETATDMFGFIAADKKEALVLGEFAGLYA TDAHRFKTTKRTTDFLIEVMLRDGYAGGFVWSLNPESAYQYNPNGPGTWTEGLLKDDW RSSNTEFVQGMAALDKLPSLKALPCVHTTPSAP H257_07687 MNVINSVKEYVTTVFDLGSNHTEAIDVVAIQHGAPDRQVRCTPF YVRFEMTKYLERRVEVYVNGEQAPGVYMELDSNGEAFFVHAATVDTDVSESIEPLFFT DFDDAPVPSSQASPHFNAPSPTNDLTAPRASMPFTADMRAPSIYFDAMHSVPPSLDKA MLQKSTSDYFDAQTESGPIPCSADCDTVHGLERSPDALPPALSLCGDLMSTASSADEA AAIFRKHQVSAESFRRHAAAILQDPSLMVMVRGEYRRYDLFAQALVVSAACFPCSSMM WDTASFPHTAAVDATRKQRRHTIEGTIFDLAAPTTRLDLSDTRDVAPPQEPRAASTWL RWFRSSAADHARLPESSPPPSPPPLDHHRSVYPSEAQLTAMNLAYGANTLEFRVQSTV CGDDDVRVTSTLFLWHASSKLVVADIDLVVGSDSFDRSASSAADFFTHVLGHGYQLIY LSSRRRSVRDDLPHAPLLGAFLGLALDTPDQTSVKLAMLQQLQALYPPDVNPFYAGLT NAHHAHAFLHSGLHPGKVLVMDGGRFRLAHQKVLKATDTSYADLKDPRTFDMMFPFVQ QQQHDATFQEEAFNDMNFWRLPPPRM H257_07687 MNVINSVKEYVTTVFDLGSNHTEAIDVVAIQHGAPDRQVRCTPF YVRFEMTKYLERRVEVYVNGEQAPGVYMELDSNGEAFFVHAATVDTDVSESIEPLFFT DFDDAPVPSSQASPHFNAPSPTNDLTAPRASMPFTADMRAPSIYFDAMHSVPPSLDKA MLQKSTSDYFDAQTESGPIPCSADCDTVHGLERSPDALPPALSLCGDLMSTASSADEA AAIFRKHQVSAESFRRHAAAILQDPSLMVMVRGEYRRYDLFAQALVVSAACFPCSSMM WDTASFPHTAAVDATRKQRRHTIEGTIFDLAAPTTRLDLSDTRDVAPPQEPRAASTWL RWFRSSADHARLPESSPPPSPPPLDHHRSVYPSEAQLTAMNLAYGANTLEFRVQSTVC GDDDVRVTSTLFLWHASSKLVVADIDLVVGSDSFDRSASSAADFFTHVLGHGYQLIYL SSRRRSVRDDLPHAPLLGAFLGLALDTPDQTSVKLAMLQQLQALYPPDVNPFYAGLTN AHHAHAFLHSGLHPGKVLVMDGGRFRLAHQKVLKATDTSYADLKDPRTFDMMFPFVQQ QQHDATFQEEAFNDMNFWRLPPPRM H257_07688 MAMTNVVFGDDYDEDAYKLMELPAEVCDSFTSGDEVYIVGDATQ RAVLCTPSQSFYLVKEDQSNLRMLVDSCQWEHATSEVDITIRGCSINHYELTEKPLNV SELKALLMEAPWTKDWCAKSVLSSPVKKKLRASTLYTLNDLMDKLQHSAYEVRQILRQ LRAVCIDGFWRLVDPSYSQHVMHEILDTMMQQDWDLSTPLSVDSLQVHLDAITPVILA HTLGGFSQPSATDDAFTLSPTKVAAFQATALFEERNEWPVAAFMEQWGFRVPDGVPID LSLLRGIAILRGDASSVVYFPQSRLSIDPKMRFHEMFAFQPKWTLAQLEPYLEQLVTG KLTQASLLLKYTRASRVLHSPDRLYSKR H257_07689 MAPKKGAAAAQDTNEKHKHPLQAVLFADSWTNTFRPISLELPKV LFPLANVPMLEYTLEFLASNGVEEVLIFCTGNTAAIEAFLSTSTTAQTVKTQIVMSPT CLTAGDAIRELDRMQLVRSDPFILISGDVVSNMDLRSAVEAHEARRKKDSSSIMTMVF KEAQVHHNIRPLTDELVVALDSLTHQLVLYETKHPSTAMAFLSNAAFQDHQQISFRYD LMDAQVYICSPDVLVQFSDNFDYQDIRTDFVRNEAQNYEMGNKIHAHIISKDFAARVH DPRTYSAISHAILQRWTYPLVPDNNLLGLHSTYSHQRHDIYKETNVVLARTCSIRSTS ILGEGTSVGAKSVVDKSAIGRNCKLGANVKVLGSFLWDDVTVEDNVTIEGAIVCSGAI LRQGAVVQEGCLIAHGVVVGPNFVVPSHTKLTTVRRVAVDDGFSDDDEYADDATEEAT DEAWNPAHVGVGGVGRVWTLEDEGGADSDVDDDDGEDAATRLEQLKLTLIGAPDLVAK QRNRLASWDALSSSDDEEAAAPFDDEDPLDGFVRVVMDMVVSGDHGGDGVDNLFLEIK SYKFAQNRSFADCLAAILPGLCNLIPRANKTDMQILALLKPKLEKWSSVVLKCVMGDV ERVAIVEILAAYCLEPANAATYSGLFRFILQIAYDLEWVTEDNIFEWEDNQDAPGHPS LVQDAAVQEFLEWLRGDDESDDEDDDE H257_07690 MPAIHHYQVVGRKAPTETDPNPPAFRMKLFAPNEVLAKSRFWYF LHQMKKMKKTTGEILSVNELREKNKRIVKNYGVWIRYNSRSGTHNMYKEYRDVTLNSA IEQLYAELAGRHRARPRSIQIMRTAILAAKDCKKPNTLQYHNSAIKFPLSHRVPRPSL KKFRTIFKANRPSTFRN H257_07691 MASKEALVARKNDREAQLRLVYATVEAYVPTYEKALDTLQKHLQ HNMTADALGQWFDLYVRVVDLAVEIRRGEVRHCTERTFKYKSRTKTCRASATTNGLGI DDGNALIIELDSIYRHLKKRKPMHLDTLESQLDILDRDTVGPLDKRLSECKKQMEGLH SAYYSSASLIVHGDVHVELIVRHDDSIILLRKQRSCVEYATCSCPMDAAPWDSFAINV TAAPPPAANPATNLGPPTVSNVSLNDLKKSYGVDVDERERSLEAFQNEVEQQHTSTGL PPSKRPRVSPLPPPPLSSTSALVHTSQLPSNRRMS H257_07692 MHDMDVGSEELTAFASAIQNSSALKSSLQTLHLRSVNVDPAMWA LPLAAFASLSGLQAVDLSYNTLARHGCHVQALLTQCSTSLTSVNLEQCDLGAIEKHVV AGLTACAEAGRLQRLSVADNVFTRGFLGAFMQIMAGLDLHMLNLRFVCEQDSNDRSTA LEFDKLVVRHLHLDFSTLILDTTFLASMVKAVAHPACVLETLEMPSMRHPADASLMEL MTQVATYGRIRRLNLRGLSHVPLWGWESILYRGIKQCAVLEWTVRDAFAAQCIALLQT AHAPALKEMHMSVESSSESSSSRIVSQAQEWRQALAVALPRLTKLEFVAID H257_07692 MHDMDVGSEELTAFASAIQNSSALKSSLQTLHLRSVNVDPAMWA LPLAAFASLSGLQAVDLSYNTLARHGCHVQALLTQCSTSLTSVNLEQCDLGAIEKHVV AGLTACAEAGRLQRLSVADNVFTRGFLGAFMQIMAGLDLHMLNLRFVCEQDSNDRSTA LEFDKLVVRHLHLDFSTLILDTTFLASMVKAVAHPACVLETLEMPSMRHPADASLMEL MTQVATYGRIRRLNLRGLSHVPLWGWESILYRGIKQCAVLEWTVRDAFAAVVRWRCSL TYMRCSNASRSFKLPMPLR H257_07693 MSPDKQLEHAVHDLNLVFADALVHKREYKRALRYYSSSLAFQYP KAPTPASSDMTSSEARVRFQIAKCMHHLDDIPSAIRMLDRIPPSRRTLGMNMLSGNLH LTQGSTRQAEVGFLAALTANPYAVEATLALAEIAGKNEERKPNPLDDSDIVHMYTELG ETGGIDATDAAWLQSLVDAHIQLHSHQFQYALESLDDMERVVATTHSLHCHLYRASVL MELECPEQAMASFVHARHCDAHNVLYMDVFAFLLKQAGLTMQLNSLVRDLFTITEAHP QVWLAAACYSDLKGDQPAALQLCDRAISVDPSYARSYICRGYLLLALDRPEYAVKSFS HASKLAKTMDAYEGMSEGLCSLCLKGADKYADAINIARLALMYMPHSPRAYLLLGSVL SLRPESRDKARKAFEKALSMRPKLLRAHFGLVDLLIAETKYPDAIARLESLTQYVGRD VVFAKLGDVHTLNQSFGLALPQYHHALALNPNCVTALRGVDRVEKLLRGDGDASQYDD VMDDRRLSSGPA H257_07694 MNHPGNVREQLHMDKQQLQHLNSGHLNTTDGTNSDHPGQLPSSQ HPANRHHHQHNQHQHQQSSSPLPSHVYSTSQTTWTAQELHLLHKGLTAFPSERYDSIT RCIKVASTIPDKCIRDVACKIRSIHTSQAKQAMHHDQRAMSPMPPLSSSKRQKLDNND LHMVPLTIKKEPVLSHEDAVRKGLQENDHTLHSMRANLASGQLRSNLELMAHFRGTCN AVLKRLGAMCPAVPPLPLHLDMSLLQSHPTPPASSHNNQDDV H257_07695 MEDSRRSTNDLGASFSRGSIAFTRDDRSARFEVDVVQPDHQVVL APLRIGEEGDDHVYITASTENSNSYHSSSHDNPPSSSLSSRPTGINTQYSAHPTVFER PTDVDATSDYRLYDLPSRGVQPITITYVEETSRSESPKDYKGRLRVWPGLMLLLSIFT LSSVAIAFGAKKSYDSSKSRMISVQAAAAKRRAIQDGLGDDISEVADDGVVNNPRTYT TKSCSLPNYQSKNGQIVAVSPNGTEVAVGIKGVNWFGMETLNAVPFGLWQNDQNGTTV FDIASFLQRNGFNSVRLPVSVGHLLDDTPPAVGLVNRQANRAMNLKSYMTTIQSIVQA MGYRQITVLISMHTLLPNDNSGGLWYDKNIPEALVLKSFDLLAKGLCSDTYWNVIGID LKNEPHLATWGDGIPATDWALGAAKLGNHMLSVCPQWVGFVEGINGGPQTGIIDGKSW VYYNWWGGGLQGAATKAVEFNVPHKLVYSPHYYTTAVSPQDYFYDGKWQLMVELSDDR LRTRVADSMYAMFGFLAGNDAAMVMGEFGGLYTNDKHPLLTTRRTTDFVVESLVKAKY AGAYMWSLNPESAYQFNPITPGSYTEGLLLDDWLTPNKPFLKGMEGLNMLPNLRLFPC FLDKKP H257_07695 MEDSRRSTNDLGASFSRGSIAFTRDDRSARFEVDVVQPDHQVVL APLRIGEEGDDHVYITASTENSNSYHSSSHDNPPSSSLSSRPTGINTQYSAHPTVFER PTDVDATSDYRLYDLPSRGVQPITITYVEETSRSESPKDYKGRLRVWPGLMLLLSIFT LSSVAIAFGAKKSYDSSKSRMISVQAAAAKRRAIQDGLGDDISEVADDGVVNNPRTYT TKSCSLPNYQSKNGQIVAVSPNGTEVAVGIKGVNWFGMETLNAVPFGLWQNDQNGTTV FDIASFLQRNGFNSVRLPVSVGHLLDDTPPAVGLVNRQANRAMNLKSYMTTIQSIVQA MGYRQITVLISMHTLLPNDNSGGLWYDKNIPEALVLKSFDLLAKGLCSDTYWNVIGID LKNEPHLATWGDGIPATDWALGAAKLGNHMLSVCPQWVGFVEGINGGPQTGIIDGKSW VYYNWWGGGLQGAATKAVEFNVPHKLVYSPHYYTVCIFRYRERPHLAA H257_07696 MSFSGFALKGKKKGATAAGLAQKSSLGGFRVEKERNVDEKKEIV VGFSTSGDALLKEPSVGSKYGPMVIPCIKVNWTEDQAAAEALLADIRNSSRQEQEESE ESTLVIPIAGGTVENTSDINKKDAPMLTRNRIPGMDKIVGETNKFKHDLSMRPDELDI HSDAFDAVPIEEFGAALLRGMGWTGKDRVEPTGSKVQMRHYRLGLGATPKPVIEAPKK KNFIPKPEPRERSSHHGNSSSTRNGSRTNGHKRSRSRSRDRSSKKR H257_07697 MSNRSESVKVVVRIRPLSTKEKQDGRTYIVFSKPEEGEISLNNP ESDDREPPKKFTFDASFGHDSEQMDVYQHAARDIVDSVVDGFNGTIFAYGQTGAGKSH TMEGYNDPPELRGIIPNSFKHIFDKIGSIPKTQFMVYASYLEIYNEEIRDLLAADPQN RLELKENMDTGIYVKDLISRQVTGVAEIDAVMQHGKKNRSVGATLMNQTSSRSHSMFI ITVETATTGVDGKDHICVGKLNLVDLAGSERQAKTGATGDRMKEATKINLSLSALGNV ISALVDGKSQHIPYRDSKLTRLLQDSLGGNAKTVMIANCGPADYNYNETLSTLRYANR AKNIKNKPKINEDPKDAKIREFQDKIKELREALAAQEKGMGIGGPHAMVDGKEGKTTM MQAAAPRIIEKIIEKTIVRREGVSEDELKKLQEDAVREKNELKKKAQVEMKALLASQS KTEEERDRLAAQLQEKAQQKEQLEKKKHEMLAQLEEYEHKVIVGGQLMDKAAKQELEL RDAQQKVDEQKRQEMQLARELAEREDSNMVLEEQFSSLQEEVDVKTKKLKKLWAKHKA ASTEIEDLRAEFQTEKEDMLETIRELTRQLKIKQVLLQHFVPLDDAYAIEKRSKWDPD ADEWTLEHLETRPTSLRPKRPVARRGVRRAETDFARRNRQLDRGNPRWKGDNVIVLEL EMPERRTKDYESGMMGFWLDRIASNEDDVEIIVLDENMQGSNDDKDSGASGPTMSCDT DDKETRSKDKTGKKSTKTRPPTASRRRKEAE H257_07698 MKFDVVIDMSVQQTAVDRKIHASPTSTGRSFVGNTSHYAVCLWL SLWFALNMTVTIVNKQALTVLNLPVTLTCVHMACNSIGAYLYVHLSCRGGARGRPLPL RPDQAITMFFFSFIFVSNIILGNWSLGLVSVSLNQIMRALVPGTTAVLSTVVLHTTYT MAQILALVPIALGVYIACSRDIASTPMGIAITALAILFASLKSVLSSKFLTGHVTVTP VQLILHQAPLSAVWCAVVMILGGEWDVLQSTPWDVVRPAVGLYVVTGAFSFALNVTSF AANRCTSAVTLAVCANVKQVAVVALSVVIHGDDVVSGQMLWGCALVVIGGVWYSYVAH HPFTKQLSKS H257_07698 MKFDVVIDMSVQQTAVDRKIHASPTSTGRSFVGNTSHYAVCLWL SLWFALNMTVTIVNKQALTVLNLPVTLTCVHMACNSIGAYLYVHLSCRGGARGRPLPL RPDQAITMFFFSFIFVSNIILGNWSLGLVSVSLNQIMRALVPGTTAVLSTVVLHTTYT MAQILALVPIALGVYIACSRDIASTPMGIAITALAILFASLKSVLSSKFLTGHVTVTP VQLILHQAPLSAVWCAVVMILGDIILPGVYIFCRRVGRAAVDAVGCRASCRGAVRCHR GIQLCSERDQLRRQQVHVRCDTGRVCQCQASRGGGAVRGYSWR H257_07698 MKFDVVIDMSVQQTAVDRKIHASPTSTGRSFVGNTSHYAVCLWL SLWFALNMTVTIVNKQALTVLNLPVTLTCVHMACNSIGAYLYVHLSCRGGARGRPLPL RPDQAITMFFFSFIFVSNIILGNWSLGLVSVSLNQIMRALVPGTTAVLSTVVLHTTYT MAQILALVPIALGVYIACSRDIASTPMGIAITALAILFASLKSVLSSKFLTGHVTVTP VQLILHQAPLSAVWCAVVMILGGTRGII H257_07698 MKFDVVIDMSVQQTAVDRKIHASPTSTGRSFVGNTSHYAVCLWL SLWFALNMTVTIVNKQALTVLNLPVTVLPPRSFIWSSLPSYTQLTCVHMACNSIGAYL YVHLSCRGGARGRPLPLRPDQAITMFFFSFIFVSNIILGNWSLGLVSVSLNQIMRALV PGTTAVLSTVVLHTTYTMAQILALVPIALGVYIACSRDIASTPMGIAITALAILFASL KSVLSSKFLTGHVTVTPVQLILHQAPLSAVWCAVVMILGGTRGII H257_07699 MMEADAAVDDDLMHGPGAGYLWVVSPPTANNDDTPQRFWFEITT FCLQWRVSPRSEQHAANCLLLTDVTQVAPVGSTNLRVASLSSTLLLKAPTRDVRNMWL RSVGDAVFCSGRPPGATPADMLHECMSKGDTAYLRHILSTHASLAASVDDDGNSLLLQ ACKGGASVDVHYTLLQYGVDPSAVNLEDESPLVLVAASGAVDLIRLFVESGRVHVNQR CASGITAVLAAASTGQTLCLQLLVDYGGDVGTVDDRGWSALHYAAACPRGVHAVTWLC EMAPSLVHSPAHHDGHTPLHVAARFGYDDIVYVLLQFGASVECTNHDLDTPLHVAMAH RHAATTAMLQAMAFPSLVDGDNTQEHWGVPYYEEEQKSATTMDSQPNSVDETIAHAWV ACTTEDGQAYFYNTVTFESVWELPEDASATLQHQDGVVSEDGHGHGPGGHGDEQLLLP ICMVPTICPLYEMDNPDVHAKEMLRRKKERETRRSSRSKLIVPRSDKPK H257_07700 MLISFVEVDGHLFYCPLAEFSSTYDTPRLEGRLVVADPITAETP LKNTKDVAGKIVLVQRGGCDFLSKTIQVQKAGAVAIIVANTDEENPQLAFVMDAGLTR SGMNAKIPALMAPFATAQHLLDLVGETSGMKLNVSIVLLDATEASAVLDAQERGRQQR AKEADALRMQQEREKKQREATMLLRSRLVKDNQVAKALVDQIIPPVTVQLAPSTTSTL AESDDISMFAPVLVPAAPPVAPSTAPSAGAMTAEPVKISSTSSSKLDGVIQSNTTGLL VLDVQYYCAMPHVGKHSNMDRATSSKEYYFDRIKSTMVPNIQSILHILRQRSMEVVYA TIESMTKNGRDRSKAHKMAGIHVSKHSFDAKVLESVAPTDYDIVIPRTAISLLGTTNA DYILRNLGLACLVLVGVSTLGTLEATVRDALDRGYTALVVEDAVAFDTPEEHAAVMKS AAKMGAHVLQTRDFLVEVQATCPPRLAVDAVSM H257_07701 MRAWAMGVGALVAAGVTSSLPLDGWYDCAYFTFGRRRRLDASAP PSVLSTYPWTPRGFSKHATVGRQRTATSAAAAGSTPSAQCATITVPLCHDGVCSSVRT IDVFVKRIPAASGTNSTSVWLVQGGPGASSVAMEGFLVDWYTRLRGQVSVYTMDHRGT GRSELLGCDATQTETAGSVGGSSVTLSELPACLQSMQRRYDYQPVAFSITSAAKDVAA VIAALDDAHVFLYGVSYGTAVVERVMHFSPSVVRGFVLDGVQSEAFPTWADAPTFTNW DRDFSVVAGRFLDLCQDDAYCRPKFAPLGGLRPALLELYDGLDGGSHNCTAFVKTWGA PDDAPPSSTLRTLFGSFFPDMTLRLLLPVFIYRLHRCTSNDMDVLTFALDQMYGGGAS SSPGDGGDDAPDSAMLYKTIVYSELWQRPTPGLLDLYQDFADSTMSSGIYPQVVEYCI FAGATSSEQNCADLGTMLPDGVDASVNFTYTPDAYFNVTAAIPPHASVVVLSGKLDAQ TPHKYALDQFQRMTGTAKRLFPFDYAAHGTIVTTPVATTPNNPTCAVSLIVSYVQNQG DVDKIDTSCLARVLPLVFQWTQGAAKDTFNVTDAFDGVVGQDVPTTPPPSTTSAIPPM HTDEATVSYLTGLVVACAVLGVLSLILVALLRTLHKLSRLDAVSSVAVGKV H257_07701 MRAWAMGVGALVAAGVTSSLPLDGWYDCAYFTFGRRRRLDASAP PSVLSTYPWTPRGFSKHATVGRQRTATSAAAAGSTPSAQCATITVPLCHDGVCSSVRT IDVFVKRIPAASGTNSTSVWLVQGGPGASSVAMEGFLVDWYTRLRGQVSVYTMDHRGT GRSELLGCDATQTETAGSVGGSSVTLSELPACLQSMQRRYDYQPVAFSITSAAKDVAA VIAALDDAHVFLYGVSYGTAVVERVMHFSPSVVRGFVLDGVQSEAFPTWADAPTFTNW DRDFSVVAGRFLDLCQDDAYCRPKFAPLGGLRPALLELYDGLDGGSHNCTAFVKTWGA PDDAPPSSTLRTLFGSFFPDMTLRLLLPVFIYRLHRCTSNDMDVLTFALDQMYGGGAS SSPGDGGDDAPDSAMLYKTIVYSELWQRPTPGLLDLYQDFADSTMSSGIYPQVVEYCI FAGATSSEQNCADLGTMLPDGVDASVNFTYTPDAYFNVTAAIPPHASVVVLSGKLDAQ TPHKYALDQFQRMTGTAKRLFPFDYAAHGTIVTTPVATTPVYDDIRFKNGRSMMDWSR FARRSARVTYDGYG H257_07702 MERTGEFTRITQLFSDAPIIMARHRPLSKYARVAQQISDAISQT ESLLKDLSNLATKKHITVEDDPTKQISDIVEIVKKSIPPMLKDIETFEGAVAGDNQQQ KHFYIVCSSLKTRMSNCGKALQEGMQTRASVIKKQSERRTKFGFTGQAPSVQISTPLT IRNKLQAAPTPSSYPTYQQLSQQHPSNVSDPHLSPSPAGVPGADASAPGMLRRRPFMN PSAAGASFAQQQHQQSLMRHRTAQSRLNDAQHMEATIVEIGGMYSRMTGLLASQEETL GRIEDDMTTAHANVESGYDELMKYFSTVSSNRSLIIKIFVVLLVFTYLFLVVL H257_07702 MERTGEFTRITQLFSDAPIIMARHRPLSKYARVAQQISDAISQT ESLLKDLSNLATKKHITVEDDPTKQISDIVEIVKKSIPPMLKDIETFEGAVAGDNQQQ KHFYIVCSSLKTRMSNCGKALQEGMQTRASVIKKQSERRTKFGFTGQAPSVQISTPLT IRNKLQAAPTPSSYPTYQQLSQQHPSNVSDPHLSPSPAGVPGADASAPGMLRRRPFMN PSAAGASFAQQQHQQSLMRHRTAQSRLNDAQHMEATIVEIGGMYSRMTGLLASQEETL GRIEDDMTTAHANVESGYVRCYSVLLVPKIWLTIVMNRQIYRFFFFYMSSSVTLIGVT HEFNVELVQEWSI H257_07702 MERTGEFTRITQLFSDAPIIMARHRPLSKYARVAQQISDAISQT ESLLKDLSNLATKKHITVEDDPTKQISDIVEIVKKSIPPMLKDIETFEGAVAGDNQQQ KHFYIVCSSLKTRMSNCGKALQEGMQTRASVIKKQSERRTKFGFTGQAPSVQISTPLT IRNKLQAAPTPSSYPTYQQLSQQHPSNVSDPHLSPSPAGVPGADASAPGMLRRRPFMN PSAAGASFAQQQHQQSLMRHRTAQSRLNDAQHMEATIVEIGGMYSRMTGLLASQVWTL H257_07702 MERTGEFTRITQLFSDAPIIMARHRPLSKYARVAQQISDAISQT ESLLKDLSNLATKKHITVEDDPTKQISDIVEIVKKSIPPMLKDIETFEGAVAGDNQQQ KHFYIVCSSLKTRMSNCGKALQEGMQTRASVIKKQSERRTKFGFTGQAPSVQISTPLT IRNKLQAAPTPSSYPTYQQLSQQHPSNVSDPHLSPSPAGVPGADASAPGMLRRRPFMN PSAAGASFAQQQHQQSLMRHRTAQSRLNDAQHMEATIVEVDSSKQMRCFLLDCVDWRH V H257_07703 MSGAVPAVPLPADYFPRISLHSTVVAAFEDAARVQVTADLNRLQ AETTSSHVGTASSSFSRRQPFRTNEQGYSVLERDGASIRVRMQSPQTPRGTGSPTISF ACHVDVVGLPSELLDVMYADNTLALREWGRTFLSNWFVDAAILHCIHRHSGGDGPRTF AAVTWLALQLPSSIQTDLCLFQSMGTCDNLPYITWTSVEVQICPSQEHLKFRRSRLHM TMFLQATTTGTRLTCFGSMEQLHLTTSQVERGMAAAVLRMRSLDGILEGHRLAKKHPF VPRSQWVPDSARRACYVCRASFTLFRSRHHCRACGEIMCKKCTVVRPVPNQLDGLERS AKVCLRCVAMPRSVSYRPRPSRPPPSVGRQPHMHRSSLHETNPLPFDHEAGGSRGRSS LPLDIRPRLSVRASRMSAKAPTTDPMQLLSSSSSTLHHQTFFGSAKAMSLPSTTRFDN VDPMAAQLWQISCRAQATWTLAKDTTSRNTTPVSTPREGGPDNLRDSLVKVNQSLAEQ AYLLDAIDRASRGRFSATSSPLSNPAVDSLRMSAWGDELGRFEVIPDDLDR H257_07704 MKPPMRLLVLVALHLVPTWCRRGHRPIVQPDGNNGGCMLAFGID GLQMPCQVADFGGFVRPSVSQPVRVVLADPLHACPSSSSNVVPSINADDEPTDVLVVV VRGDCSFVDKMEYVERWGGRYMVLVNSDNTFLRMQTPQWVESGTVAVAVRQNDGVRLI DLARNSTTLSFLSPLFTITLQPNVLQCLHRIEALLAINAPRTAVDTFALCAPHATITS VVSKFPPRLDQTPSPNEEYAGFYNQVASLFRQSPFHSTDFVRHVLLESSYFAVYYAAS ATALQYHNLGTASLLTGHFHMAASNFVLTSTANSVTTCDAALAFFLAGDYIPAHKWYS QCNIGLLPLLSTQRLNMLTYCSSAASSTHSYDVPAASLRSLFASPLTPSDVACLVAAT TADTQPSNATCCSPRWDVHMGVTLHFDSVFVQNLYETITLMGVFLDELGAFHESLVHF GHGLRLCGKASGLHIRMATSVPIVFDSKVDMDTFILDFPRRVLSLDMLGVSHDVARYN RPEFAGHLQWTITPPTMFVGYQGVDVAPLQAAVATMYDRLYPVLSRPLDSLPPPPLQI PNKTTVGFVSSWFRTHSVGKLIQRVIESLDRDRFEVVVFAASHFFPLKGDDDPITTAI ERAANRFVQLPSDQEMAMHVLLREDLDVLVYPEVGMDAWVYFLAGRRLARVQCMFWGH PITTGLSTIDYFIASEYFFSDFYDAGGQPNPHSDYHMYGGASYSEQLVLFADLSTYFP PPAAAVPPDPSSSVRAMLHLPPSPSRIYLCPQTLMKLHVDFDAAILGILDRDPGGTIV LLYSNKQVLWKDQVHRRLRQSTLGRRVVFIETMPYAMFMQLLAAVDVMVDPFPFGGGV TTLDALAVGLPVITLPSRQTVLQLAAGFYRYMSVSDSLVAASVDEFVTFAVAMATNAT LKGATQAAIRHRYHVLFHDDNSSKTHTEWNTFLANIT H257_07705 MATKPKAPAAAPPSTAALDTAFGTCRDVDEFEKLNRIGEGTYGT VYRARDKVSGEIVALKRVILHNEKQDGFPITAIREIKLLKRLHQENCVQLKDVVVGRK RSGVFLVFEYCEHDLSALLSNVHRPFTESEVKRVLMELLRAVEYLHAINIIHRYRQCS IVVVHIVRHVQGFRDLKLSNILYDGFGRIKLADFGLARETGFPSPTSMTPKVVTLWYR APELLLGAQSYSSSIDIWACGCIFGELILNRPLLNGSTDLEQLQLIYKTLGRPTERIW PGMSSLPHADKLDLFDSSLYVYNHIPKLFETHLSAAGLELLQGMLTYDPSKRITASSA LRHPYFDERPFPKEVGMMPTFPSQHDDMPPPPPPPTTSVQREDHHFGRAFGGGHQVVV GNKKRKIV H257_07705 MATKPKAPAAAPPSTAALDTAFGTCRDVDEFEKLNRIGEGTYGT VYRARDKVSGEIVALKRVILHNEKQDGFPITAIREIKLLKRLHQENCVQLKDVVVGRK RSGVFLVFEYCEHDLSALLSNVHRPFTESEVKRVLMELLRAVEYLHAINIIHRDLKLS NILYDGFGRIKLADFGLARETGFPSPTSMTPKVVTLWYRAPELLLGAQSYSSSIDIWA CGCIFGELILNRPLLNGSTDLEQLQLIYKTLGRPTERIWPGMSSLPHADKLDLFDSSL YVYNHIPKLFETHLSAAGLELLQGMLTYDPSKRITASSALRHPYFDERPFPKEVGMMP TFPSQHDDMPPPPPPPTTSVQREDHHFGRAFGGGHQVVVGNKKRKIV H257_07705 MATKPKAPAAAPPSTAALDTAFGTCRDVDEFEKLNRIGEGTYGT VYRARDKVSGEIVALKRVILHNEKQDGFPITAIREIKLLKRLHQENCVQLKDVVVGRK RSGVFLVFEYCEHDLSALLSNVHRPFTESEVKRVLMELLRAVEYLHAINIIHRDLKLS NILYDGFGRIKLADFGLARETGFPSPTSMTPKVVTLWYRAPELLLGAQSYSSSIDIWA CGCIFGELILNRPLLNGSTDLEQLQLIYKTLGRPTERIWPGMSSLPHADKLDLFDSSL YVYNHIPKLFETHLSAAGLELLQGMLTYDPSKRITVHPMYNRHRFDR H257_07706 MTQPGVGKWTRDEHMRYLQAIKLYPQGPWQSVANFVGTRSARQT QTHAQKYREKVSRRKRGLLRKRAMSDDWMAENLSPGEDKTGAAASSSAMSFSGAKFYP HSQMKALPALSALSDQPPLQHMKSYYDSQQHPSSTHASFPHHSRLPVLEPLHPPGGYA TADKAFPGSFQSSTGYAPSTSYHHHHHHHHQQPPPPPQNYPSYTSQSFKQEYKQEYLH TPSSYATSSALFPPSSMHDYHVGHRGGPPPPLDDLMQLFVDGEFMAQHR H257_07707 MLPTTTSIVCNQVPQHLTSQVFDLLQLQWPIHVHTRRRGLEPQP NHTRVLVVTNSGLPDEAVVGHALVRWTTPAVCLVVSVVVHKDSRRQRIGRTLMLEVEG FVRRESQGPVTIYLWTTDQGTFFESLGYVVCAPYVEERNAFLSVALETKVASTTPRAV PEVTWLRLAIV H257_07707 MLPTTTSIVCNQVPQHLTSQVFDLLQLQWPIHVHTRRRGLEPQP NHTRVLVVTNSGLPDEAVVGHALVRWTTPAVCLVVSVVVHKDSRRQRIGRTLMLEVEG FVRRESQGPVTIYLWTTDQA H257_07708 MQRRRKSKGKEQQLPSAIEEDSPQAKHWKVSVAADPVMWLVGSA VLGVYSQTIYPSIAGGDSGELVAESCHLGVSHPPGYPLFNMLNYLVVNMPGAQTKAWK ANLFSAVCDTICCMFMYATILKWTSPASSSTASAWLTKVAAATASITFALSPLIWTYA ISAEVFALNNLFAAWLLFLLLSYAQSGSVYYANLGAFVCGLAMCNQHTIVLFEIPVVL YVLWTQRRSLGMKTLSTYALYFVVGLLPYAYMPLTSLWNPQPGSWGDVTTVAGFFHHI RRGDYGTFRLFSTDRDTESLTTRMILYFQDALTRQGGYILGPLAVAGLVTTPPSAPRV AGFRWAVAFMYTFYLIVFHALSNMPLTEGLLYGVHMRFWQQPNIILFVWGGVGLDAVL QRAWRTSGAVAAGLGVVLSVAAMVGQGMTWQHVGNQSHAWFIPNYAKALLDPLPPHAL VFVNYDLQWTAMRYLQRCEGFRPDLTVLNLSMMTYKWFATKHALYPSIVFPGDRLVPA STIQDGGFSLRELLQANMKRVSRGGIFLGGQLNFPDPSFESHFITVPFGLLDRFHPAG KPVYKSLKTWYRHNARIMAKVQSHLVQLPSEADYTDDTWEWTVVRSSTLSTIRYWSLL QARDFHMKQLGAATYLLDEAIKSGRPNITWLAECARPLEHSLRHEPRQFWSDSLLKNL GLAYAYIVRSPDEFAPTDADPLEPHVGHHLPDQTKYKDRATDRMLQVWREWIALPSAR ADPGYDAIADIVRKFTPTT H257_07708 MQRRRKSKGKEQQLPSAIEEDSPQAKHWKVSVAADPVMWLVGSA VLGVYSQTIYPSIAGGDSGELVAESCHLGVSHPPGYPLFNMLNYLVVNMPGAQTKAWK ANLFSAVCDTICCMFMYATILKWTSPASSSTASAWLTKVAAATASITFALSPLIWTYA ISAEVFALNNLFAAWLLFLLLSYAQSGSVYYANLGAFVCGLAMCNQHTIVLFEIPVVL YVLWTQRRSLGMKTLSTYALYFVVGLLPYAYMPLTSLWNPQPGSWGDVTTVAGFFHHI RRGDYGTFRLFSTDRDTESLTTRMILYFQDALTRQGGYILGPLAVAGLVTTPPSAPRV AGFRWAVAFMYTFYLIVFHALSNMPLTEGLLYGVHMRFWQQPNIILFVWGGVGLDAVL QRAWRTSGAVAAGLGVVLSVAAMVGQGMTWQHVGNQSHAWFIPNYAKALLDPLPPHAL VFVNYDLQWTAMRYLQRCEGFRPDLTVLNLSMMTYKWFATKHALYPSIVFPGDRLVPA STIQDGGFSLRELLQANMKRVSRGGIFLGGQLNFPDPSFESHFITVPFGLLDRFHPAG KPVYKSLKTWYRHNARIMAKVQSHLVQLPSEADYTDDTWEWTVARDFHMKQLGAATYL LDEAIKSGRPNITWLAECARPLEHSLRHEPRQFWSDSLLKNLGLAYAYIVRSPDEFAP TDADPLEPHVGHHLPDQTKYKDRATDRMLQVWREWIALPSARADPGYDAIADIVRKFT PTT H257_07708 MQRRRKSKGKEQQLPSAIEEDSPQAKHWKVSVAADPVMWLVGSA VLGVYSQTIYPSIAGGDSGELVAESCHLGVSHPPGYPLFNMLNYLVVNMPGAQTKAWK ANLFSAVCDTICCMFMYATILKWTSPASSSTASAWLTKVAAATASITFALSPLIWTYA ISAEVFALNNLFAAWLLFLLLSYAQSGSVYYANLGAFVCGLAMCNQHTIVLFEIPVVL YVLWTQRRSLGMKTLSTYALYFVVGLLPYAYMPLTSLWNPQPGSWGDVTTVAGFFHHI RRGDYGTFRLFSTDRDTESLTTRMILYFQDALTRQGGYILGPLAVAGLVTTPPSAPRV AGFRWAVAFMYTFYLIVFHALSNMPLTEGLLYGVHMRFWQQPNIILFVWGGVGLDAVL QRAWRTSGAVAAGLGVVLSVAAMVGQGMTWQHVGNQSHAWFIPNYAKALLDPLPPHAL VFVNYDLQWTAMRYLQRCEGFRPDLTVLNLSMMTYKWFATKHALYPSIVFPGDRLVPA STIQDGGFSLRELLQANMKRVSRGGIFLGGQLNFPDPSFESHFITVPFGLLDRFHPAG KPVYKSLKTWYRHNARIMAKVQSHLVQLPSEADYTDDTWEWTARDFHMKQLGAATYLL DEAIKSGRPNITWLAECARPLEHSLRHEPRQFWSDSLLKNLGLAYAYIVRSPDEFAPT DADPLEPHVGHHLPDQTKYKDRATDRMLQVWREWIALPSARADPGYDAIADIVRKFTP TT H257_07708 MQRRRKSKGKEQQLPSAIEEDSPQAKHWKVSVAADPVMWLVGSA VLGVYSQTIYPSIAGGDSGELVAESCHLGVSHPPGYPLFNMLNYLVVNMPGAQTKAWK ANLFSAVCDTICCMFMYATILKWTSPASSSTASAWLTKVAAATASITFALSPLIWTYA ISAEVFALNNLFAAWLLFLLLSYAQSGSVYYANLGAFVCGLAMCNQHTIVLFEIPVVL YVLWTQRRSLGMKTLSTYALYFVVGLLPYAYMPLTSLWNPQPGSWGDVTTVAGFFHHI RRGDYGTFRLFSTDRDTESLTTRMILYFQDALTRQGGYILGPLAVAGLVTTPPSAPRV AGFRWAVAFMYTFYLIVFHALSNMPLTEGLLYGVHMRFWQQPNIILFVWGGVGLDAVL QRAWRTSGAVAAGLGVVLSVAAMVGQGMTWQHVGNQSHAWFIPNYAKALLDPLPPHAL VFVNYDLQWTAMRYLQRCEGFRPDLTVLNLSMMTYKWFATKHALYPSIVFPGDRLVPA STIQDGGFSLRELLQANMKRVSRGGIFLGGQLNFPDPSFESHFITVPFGLLDRFHPAG KPVYKSLKTWYRHNARIMAKVQSHLVQLPSEADYTDDTWEWTARDFHMKQLGAATYLL DEAIKSGRPNITWLAECARPLEHSLRHEPRQFWSDSLLKNLGLAYAYIVRSPDEFAPT DADPLEPHVGHHLPDQTKYVRHA H257_07708 MFMYATILKWTSPASSSTASAWLTKVAAATASITFALSPLIWTY AISAEVFALNNLFAAWLLFLLLSYAQSGSVYYANLGAFVCGLAMCNQHTIVLFEIPVV LYVLWTQRRSLGMKTLSTYALYFVVGLLPYAYMPLTSLWNPQPGSWGDVTTVAGFFHH IRRGDYGTFRLFSTDRDTESLTTRMILYFQDALTRQGGYILGPLAVAGLVTTPPSAPR VAGFRWAVAFMYTFYLIVFHALSNMPLTEGLLYGVHMRFWQQPNIILFVWGGVGLDAV LQRAWRTSGAVAAGLGVVLSVAAMVGQGMTWQHVGNQSHAWFIPNYAKALLDPLPPHA LVFVNYDLQWTAMRYLQRCEGFRPDLTVLNLSMMTYKWFATKHALYPSIVFPGDRLVP ASTIQDGGFSLRELLQANMKRVSRGGIFLGGQLNFPDPSFESHFITVPFGLLDRFHPA GKPVYKSLKTWYRHNARIMAKVQSHLVQLPSEADYTDDTWEWTVVRSSTLSTIRYWSL LQARDFHMKQLGAATYLLDEAIKSGRPNITWLAECARPLEHSLRHEPRQFWSDSLLKN LGLAYAYIVRSPDEFAPTDADPLEPHVGHHLPDQTKYKDRATDRMLQVWREWIALPSA RADPGYDAIADIVRKFTPTT H257_07708 MFMYATILKWTSPASSSTASAWLTKVAAATASITFALSPLIWTY AISAEVFALNNLFAAWLLFLLLSYAQSGSVYYANLGAFVCGLAMCNQHTIVLFEIPVV LYVLWTQRRSLGMKTLSTYALYFVVGLLPYAYMPLTSLWNPQPGSWGDVTTVAGFFHH IRRGDYGTFRLFSTDRDTESLTTRMILYFQDALTRQGGYILGPLAVAGLVTTPPSAPR VAGFRWAVAFMYTFYLIVFHALSNMPLTEGLLYGVHMRFWQQPNIILFVWGGVGLDAV LQRAWRTSGAVAAGLGVVLSVAAMVGQGMTWQHVGNQSHAWFIPNYAKALLDPLPPHA LVFVNYDLQWTAMRYLQRCEGFRPDLTVLNLSMMTYKWFATKHALYPSIVFPGDRLVP ASTIQDGGFSLRELLQANMKRVSRGGIFLGGQLNFPDPSFESHFITVPFGLLDRFHPA GKPVYKSLKTWYRHNARIMAKVQSHLVQLPSEADYTDDTWEWTVARDFHMKQLGAATY LLDEAIKSGRPNITWLAECARPLEHSLRHEPRQFWSDSLLKNLGLAYAYIVRSPDEFA PTDADPLEPHVGHHLPDQTKYKDRATDRMLQVWREWIALPSARADPGYDAIADIVRKF TPTT H257_07709 MASARLNVVDEFMGYLKDPESAIAVAVIKVLTGVIQHSEASTMM EMESALQDAASQLKAAAAANSPADNKNIATHSTSAQSLSSISVTAGCQLFLRYVTRCF LEFDDFDQCKSQLIDRGKLFAETSSTSRKRIVDFGHNFVRDGMVVLTHGASRVVTKVL LQAAKSKHFSVVVTEGRPNGAGYKTAELLSNAGIPTTVIVDAAMGYYMERVSMVIVGA EGVVENGGIVNKIGTFSCAMIAHAMKKPFYVAAESYKFARLYPLNQHDFPHSRRTDES VVSMLAPSCTCDPELVLPSHPLLTAGSPACDYTPPQYISLLFTDLGVLTPSAVSDELI KLYQ H257_07710 MQFALLATLGLALSVVRAAPCDESQLAANQVAINEWTLNDACAI ALGKTTSETWYSAFQSVDTGSINTFTTQYCGSSACVALTTSTNAKYTTSKPLNQALNQ LLNLPPSLPLNLLLNLLLNRPLSQHQNQPLNQRLN H257_07711 METQQLVPPYEVTVHHACRLKMYHEGGREVTEDLEAQIAFGDGG FHVERLDEARCVDGQHQVFVKWLRLDDEESSWEPAVNLLDDIPVVYRKWAAANKEDSP VAATPSAEISFPHHTVRVA H257_07712 MANSTKVLALKESDNVWAKMISPSFHLTIVAVASATGPTTGDLF KYPRTTISCFISTSKKRKSIDVGGRLITQDFFNEIIDDQPNRKKTKKPTKKSSKKNQF CPK H257_07713 MPSREGHIEHQYTSAELKASMSSNGGASTCEPPMPRSNAWRNAT LAANPTARNLGKEWLTQQMYHNMHEPLALLPAVSCDDDFFDIDVQTSNDGDPFTRSER AQFTWPGTVVEETANTRLFHTTTSNGIFVNSLQGHFSEANRYYR H257_07714 MKAMQRFVMLNIPPPMPRSYAWRNATLAANPTARNLGKEWLTQQ MYHNMHEQLALLPALSCDDDFFDTDVQTSNDGDPFTRTERAQFTWPGTVQMFRHMIES TMQAVVEETANTRLFHTTTSNGIFVNSLQGHFSEANRFVMVIREVEEDEAYVCDLQHK QQHYMSWTEVRQVSPTHILLRIVNHASHLFRANDGFVSVDELAALRGIDVTGVDDGLK DAYVRRELIRRGRADFVRWRKHIMDTMHQCATN H257_07715 MAAPTSRLSPLANKSSSTSRRYPPMHSHPYDWNVLQRQATQCLA RPLYRPPRCQSNQLQTRSARLMADPSNILRELKRYLPPLSTPDEATANDPLDPAAALL PLPPPSTSPPPKEPQASPPATLAPPLAVAPQPPAPDNLRLLAAPPLSIYHPAPAADSV ACICASSRAHVEELAVGEAHMLDQALVGSTEIPLGDNRAPCTCTCAAADIAACPLGGE RPDIPDCPRDRDRGIAMDSDGFAGGDPRDRLSVETPMLLSMPPTALSDKRLHKRCKVL KHCFIIAKLDPPHVHSPALQAPPFMQLTHGTLELIKPVKTHPRQLHTFHGKQHPQHLQ LKRLDAYKQAQRVTSRTYPKSTPPHTAGVKIRERSQWNANSRSNASSRARPVRSGPPN SAFALDSVFTEAPLPLAFPCFPPTPAALLDCIVSRCHRFGLFGALCLRLHHFSCSCPL GGLLTYQVLLKQLLHTFVAHCLMALFTKSAAACYSSYSRFTAAFASSLGATPSSSSDS AMTSTIYTCCSAASVSSTLSSTSSAILAFVCHLQS H257_07716 MSDATTNDKSVTDLKFNGRKVMSTALKARIIAHLNSKSTEDDYK RIMDDKKPLNLAHSDWLEFKPIIKDIDVAADMPPSATAASLEAEKIKRFYYIEHAGEP DQKLIW H257_07717 MSMSSNGREKNWCVASVDLMLDKVESILPMGKNAWRKVEVEFNT AASVFPHRDAESLKCKYQQLRNNPKPTSDPECPMDVRRAKRIARDIDNKTDVLAREDD CEVDDEVGSIGNGQNNQTKPSYAAKTEFEARQPDRTPNFQTHPELVQGNPCTYKE H257_07718 MSLGDSSRESTDKSKSRESLGVGLPPWIREIGDSMKSKKRELLS HATTLYASSTHTISALRPTTFNADMAAHSLDQLKSIFPTWDASTLQLMLEAHGYVMDD AITSLLQMEDETEQEHNMRQGWSSQSAASLPFAYVVKHPLPDDFLRVPGEGAMPSSPL HAPPMTAFDDVDEDIASMPLHPEDLTRQESSYAHDDGTVYGREFIDGDTMMMPPSSPT PMAIPVVVETCDPSPFARACEGDRRYPRTRRPLGRPNSRTDSLFAKLTVIKRSKLDIV SAEDRIRTREPHRLLDCLTKASGLYRSGLIGSDELENLRSMIVTRMSSKGHGGDATST TSTTSGHNAMMITDHQWNCLVLKCKNLRHALSIRIIKAITTSQHTEYEIRTSDLETGV VVFTRRRFKEFHKFHRKLTALTTRVNGFPFPSRQTGLGKKEDPRVAAQRQPALEAYLR LVASLVTPSPLTGARAGALSLLQTFLSLPDSATLFHNTTLPGIRALRVYAFHVLQDIT TPEGKVCRKFLAKPVCTSAALDELGQVLDNVQSYMMEHRMADMKTHVHAFITSSARKT PSGKLEMCDEVEEEEEVVAYEWISDAIRHEVEECVCVPVLPALWTALEASMRTKEAAV KARMARLAKQSQASFEISDHTASVSGWRDAIRVLKEVDAMYLPVDKMRKLLECALTVH RTFQREHGHSQVLSGDDFLPIFIYVIVNADMSNPLVLLRVLNVLSDPEKRMGESGYYL ASYEAALEHLMADE H257_07719 MAAEEAVVRARIAALKSLLDTQDRQSATSMSYGHARYNNAPHPR HVRHTSRAPVRRNPSFPTPHSKPRHLTWTPPDESSSSSSSSSTTHLSSLAPSLSTAMT TTNPPKRPASVTTLALEDGMYRKMGRGFKLRREDTLPKSAVEVSAAIATTKQKQPSPP PPPAPVVISSMPRPLPPPSTLTRRPTPLHRGSSAPVPHPRTELCLFYIKHGECKHKHA CLFVHDSRTISMCRPFLRGACPHASACRLSHTPDQHKMPDCAMFLKGLCSREGCMYRH VNVSRQAATCDAFSLRGYCADGATCQHKHEFAKGTSSSRILYRQGGQTSVAYGHMLHV LNDDSMGNDPTPPVEGSLNLRPTIRFTPKVV H257_07720 MVYQGRRRALVQAAEAAAATLPLEKTKDNEDAKSEDGKETDTKE AVAATAAALLTPTKPTITARRIFEPLPETAQVTRIREKLARKHADAAPLTLLPVPPSA EKSSTHKVDLLTPPPKGKRKREDDAKAPPSSSQRTIIHTCGRGVDAKRAKVAVDAQMK RVQSREQAAAATKVQK H257_07721 MDFQKLPLKQFPQATRTVSPEKTYWSKLRAPHELKQVAMISSIE ANPAAPHEFAVSTSTRVQLYSTASNDIVRTFSRFNDVVYSASFRGDGKLLVTGNANTQ VSVLDVGSRTVLRIMNGHKGAVRSAKFSRDHVHIFSASDDKTCRFWDLATGTPVAVMG DHTDYVRHAVQHPSPSSQVWATASYDHTVKLWDMRATPGKTTFSSTLSMDHGAPVEKC LILPGGNLLLSAGDNTIKVWDLLSGGKLLHEFSSHQKTITSLTLDGTNTRLVSASLDG HVKIYDLASYEVLHGFKYGDGVLSAALTPTNSHLAVGTVSGMLTVRRRITTDDVPATD ELPVVRGGSYKYFLRGTKAKPTDADHIITSRRHAKCAPYEQALRSFDYRKALDNSLDT RNPTVIASMLEELRLRQGWQSALAYRNEEALEPLLSFCIRYVTDPKYAALLLRVCTFL LELYSPMLGTNQSSAVLEGLFFKLKNRLKEEQVVQTSLLQVMGMVESIMTAQSTAHSR HAPAVVSDDLPPLNTLGH H257_07722 MSSTPDGADVEMDTSMAEERTEPITPSNYDAEGEDVDMESHGTE LPPPSTPPSRAQPTTVAEESVDVPSTPAPTTPVSRTSLATPFGVSASVVSTPQQPSQP KKDTPRLMISKLAVENFKSYAGVREIGPFHKCFSSVVGPNGSGKSNVIDALLFVFGKR AKKLRLSKVSELIHKSTNFQNLKEARVSVYFQDIVDTGDGDEDYSVVPGSQLVVTRTA NSTNQSKYFLDGQPSTFTEVTTLLRQRGIDLDNNRFLILQGEVEQIAMMKSKADNPHD EGLLEYLEDIIGSNKYVEPTEEALKQVESLNEARVDKLNRVKVVEKEKGNLEDAKAEA QEYLEKERDVYVKTNVMFQCFVHESTSNRAECQTKRDGMQAKVDAEMARMAEHRKALE VMQGEYDDVHAAYATVKADMETVEAEFAEFEKRDVQVREEIKFAKKKVKDHDAVYAKE QKKQADLESTIADNEAKQPGLEESLEHDKTDLHTAEQSLEDMIESHKEESARLRVIME EKQSAMLPFSQEVLTLRASIGTLETEMALLRESTTQAKEDLTQSKAAIKAAEKSVVDV QAQATAMEAEVHTMQTRLKAAKAELVEAQTQEAAVNKDYQVAKAKADDATHSIQSHAT QNRMLKSLMNAARPGFELEHAGLVGRLGDLGAIDAKYDVAISTACGSLDNLVVETTHG AQQCVAFLRQHNLGRATFIILEKMGYLHNKCTDTRFHAPVPRLFDLVRVSDQRFRPAF YFALRDTLVAKHLDEATSIAYQGRSAKYRVVTLDGQMIELSGAMSGGGNRVRRGGMSS QLQSNISSDDLAALQKEAETLKSTLYNIRSARSTIEQEVRRLEDTIDGHTRRLPKMAM EIEAASSRASSLAARVKLLEKKVHLTPDEVKRAKALEKQIKTLDADRAEKQAVVDSMQ AEVDEFKHKILNIGGVPLKKQRQKVDDLTKSIDSQTKALTKLRVDVKAAKKALEKSVA TQVKMENDQVANTAKLEKLRLEYKQIEDSAAVVCDKHEAAKALLEEHSSVLDDKRKAF DTLKKTVDGLASAEVDLLSQLDECEKLVAENDQKVKYWTAKLTELLTKYERDEEDFEL LLDAAQSDDDKERARGLPTIDASELASCNKEQLKYEISILEQQRDELKAHVNMGSIAE YKKKEKEHMLRMQDLEQATEARDGQRRAYEELRRLRLDEFMAGFRVITLKLKEMYQMI TLGGDAELELVDSLDPFSEGIVFSVRPSKKSWKNISNLSGGEKTLASLALVFALHHYK PTPLYVMDEIDAALDFKNVSIVANYIKQRTKNAQFIIISLRNNMFELADRLVGIYKTN NTTKSVTINPKHYAQPAAAAPHTPRTPHKTPSSSHV H257_07723 MSRSVNFNTSPSANATAVKAQVDVDALDQSLIALLEAYLDEQIA TSVVDEEANMTLLKLYSIYSTPVDHQLPRAIQILAKGLTVLPSNFFLGASYLVSEPLR KNKDIAELLQAGSLLQTCLFPAFWQLPLASAKKIPGFDAAVREYIVSAIARSHDVVAA AFVAEQLHLGSKEVEALVTAHGWTVQGASFVVAANADNQMRPKKFKEDIAFTDLLDTI NVLSR H257_07724 MVDPANVVPKRVVIVGSGVTGLVAARTLSKYSDCHVTLVEAADR VGGHAYTIDGPTGERMDIGFMVMNDLTYPNLIKLFSDVGAAVEPSDMSFSVFDESDSW SFQSSWSWTLDNIFRPRLWQFVIAHKEFAARGLAFLADDTPRTTSTRDFAAGLNQRFV DKWLVPFISAVWSTSADGAMDFPIQPLLKFMHHHMFLTLETVKWTTPAGRSETYVQKV LDMCPHVVVRTSAPAARIDTTTKHLVLESGEEIPYDALILACSAPQAAALAPPPSTRA WLAQFETNSSRIVCHTSSRAGMPTVRADWSAWNVSSTPAAGPQVTYWISRLQTLANQS VYLSLNPSSTPEGTFFETELAHPVMNAAAYQGQLDEVKFQGHGDVYFAGAWLRNGFHE DGAVSGIIAARRAIGREDIPVEFPTLAGLPAVPVVGWSHHCRFAPSKHAYAINYDMHL YRFDTRSPPANFCRVDHFGDASVPLDTCVRRELAARINYWPLGKIEAVANLRYLGLGF NPITPYFCHDEAGTLTALLLEVHNTPWNERCLYAMRVGPHDVLDPAIHPKAMHVSPFN APPSSAAAGIAVSQSEYEFKLVGKSSITVTLRDQVSKSVIMTASWKVDDTTSHTVQTG SWRTVIQIYIEAVKLVVNGLVMYSYEAQPKVSITSPLLVVPVLVFGAVAAVQYHQGLV LSALLFALLGPLVAVHHRYHGAMLPISILAVLVGLCAFANAATHSTLRVHGGVAAVAA LTTSLFATPDHFQYMRLLSYANAGMAVVCDSVLPHWAPLCAAYMSMLFLWTSRHSTSN VCGSVATAVQAASAIHLASEALLNPAAFPLVESLHGLLATHLLYGLLAPSSNWEFYLH ICMVLLVGVLHLVWEQPVLFSWPVLTFLIGVQITLSTAYAYLSRSKGFLAARDRVVIR GMRAALSYATNGQVLVTTPHESEQVAPPSAAVLVVNQPFAFFEALLSNGELGLGEAFV RNEWSVGPHTTLVQILSTLAAANLQDNLLLLVLPYMTPSFYLRRAAFLLRFGALDRTQ SANSIAEHYDDGDALFRAFLGEDMVYTSASWDGLAPDAPLADAQRHKVQRILELSQAK PGEKLMDIGSGWGYLVHAGRQRGILATGLCNCRSMVAAARARYGDPLFALQDYRDIPA TYDHAAVTAVEMIEAVPAAHYADFAAACHRALKPGGRVVMQVIHAYAFNNPVARTRAP TPLGTFVTTHIFPGQQVPNLEFLHEAFLEHKFKRVFTETRSHDYADTLMLWAAKLDAA RHTLPPTVYRKYKYYLNWCEVGFRIEKLHLSRVVFEKPVDANYSAPRPPPQPIKLKSL H257_07725 MADYSKWDKLEDSDDEAQVQTNRPPAAAASSPVVPTALQQMEAL RNKAQIHMEKGEFAQGCVIYKDLLQGAGADTPAGFIESCRMNLSIALIKLDRNLEVIP VLSEVLTANPKLVKALHFRGHAFMSAGFLEEAEKDLKAAKTALPDDEGVDGDLAALEV AKVADVHLKALMAKANETFQAGDLQETIKNFTTALAEAEKVKRRDVCGAIYGSLGMVY FKDEKFREAIPHFVKALQDLPNPERRIEFLEGLAACHTSLGEGEMCIKALESAIMIGV QAGAPAPRMVKLLLHAVRSCGMLKLTEPGIKYATKAREIATAAEQWEVVFQCNEWIAR LHTENEDPESALIHITEAFQEGVARTDLTSCLQLMHIQLHVLKVTDPMKHINLVESTH AFFKSQNEVKGVLGCLEVLLVYNINQFKAATNDHDNDPAAYLSALEDLWGQLRAVPFA PLEHEEKFGVLKLLQLHADFNVEHDSKDACRKVLHEVLALANSMAPIPPQHVIAEVYK KLTALSEDPAEQLENITAVEKSLRQFQIDVDARIQNDQKSEILTKIAGDIADTLCNKA FVQAEQGLIDDAEKTLEETSALCETNSIQNSRINCLSQIARGILCLKKGNQDGAEDNF RKGVALAETVNDDEVLKQVHELVNDAKAKGGIQVTTNTPVKEAVQVPKKAAAAASKPA TPKTYPGTAIRKKDAAGFDLSDHIPLLVFIAFLAIFFHLVSQ H257_07726 MQRVVSTKAIQTSGVKAEQDPSDLRDAEIELTDEKDGAWAEGKA PIVLITKFLGLLEDEETELAIELAQQILGFEPSNQLVTSLLKALHLKLVVDQQEHATD EGSGDDNSDDDSSDEESTDNEDDDDEHPDDAAAAEALATEHARDVEL H257_07727 MARAVRWCSTGVTEEQVANAVVLCAAITSDDIPTFLESDSSAAS LSDGLSSRSLVALDDFVLVESELSVFCCIKPTSLPQQLLDMTERQTTFRYGGRIHTAL HKRATHILHLLQRPHDPQTRQSLLDIVRDKGKTLVLCGHAATGSTAHLCFLALVYSAL PRGLRLTLDAIDDAHQRDASSSSPACPPSLKAQRDLLLTELSLQDLGVRSIAFGSPCI STADVTSVLRATSLTQHMLTVVNEFDCVPNIFDIAQTASLVSTTTRRFVEVSRALKFV LTLLPNVARVVGQSTMSATPSSMTQLALSYYTNQTWQLLHKAFRTFQTRLVPDSGMQA LGPCGTYAFLAKDSLVVTYMDDPDAVAATLRAAMQAVSMHALRQHIVPSYVTHVSKRV AQGCSADMNHYERLHIAPDASAKDVRSAYKTHALKWHPDRWPTAAGRDKATVRFKLLA EAHEVLSDPEARAAYDKRLKQQQQEGSSWGDEFWQRGTVRGTSLDDALRVFYMAQDKL ESHLSGLSSRGVVAVPKVNTPPRFQPNNHDNLFAADKMRVVRPDKSVTYVTAEDMLAT DRAAGTRGGGGLAMAASAVGGAVVVGAAVAVAVHAWTTYSDSARRRRQADAIRRMPFP MLQRLLTDADGGASSVASTSLPPCEPRSPHPTDIIHGHDHEMAVVPANKSTEIAALLD EFYDCVDDVGWAEVHEEAEDEYFDCLATLEPDRDEATMFPRGAYVLTPFGIGTVVADL NDEAYYYVVELVSGQLSYVQRHDVVRGAAAMVAHKERQMETVRRRVADHVVVAYSLET DGATTSLGSMVAAGTDAAVDSGVKAAGGVALASGLERMVPGLGLAAAPLTVAAILVDV GKEYLEFRQKKSLTSASERLAMQTFWLKAGHHVASGSTAAAGATIGLYGVSSAVGYWT GAAAMGPAGLVAATGAAVVGGLLGYAAGASVYANSTREHFTSLQHASAEIDRLEVGAK VLFTQFDPLGTGYISTSDCKLLVQQLTMSQVAEGGDDEGPPSVEGGGGGVSWGVYWEW VSAKAVAKLNTLEQTRCDSTKHGRWWDRYQRYFNFASAPAALLPPPPCDPSCPMYPSV IRALAFHPPSKQVKPNKTAVNSIVELAQVDALVDSHSLSPDEAFCLQLYLQSTDESTR HQARQTIRTLTRDLDQHMEHSGDDASHVVISSAFSAEDTTGQEEDEEATEQLDVLCSL LSNRGLGRLLVDHRVDVPPDTPHDQLHGLALTHLAPRH H257_07728 MSKGLNAHHQQEISKFLKFFRSRLATHIENIDAEFEDTLSDRLS SDDVYSQKDVTDVLKSLSFAVKANARSELQDTINMTALLLRQVFHEAQDNRLNIELDT GIVEDKDMLEKVERMSVAEWAADERRPDGKKQPTKPSRHHDEEFEVLEKDNHSLRLRV EQLQKDVAKAAKDKQRAQDDMDELRQAAHASRHVDALEKELRESRDIIADYERSAKEA NQHITQTKQFQQLKKMVGQKNDALKALRKRLLKFEPDADIDDVDDDDE H257_07729 MTGYTHEQHVEATERALQGERQTDLSRIYNVPYRTLKLYIKKMR DTGSIVNCNDRAMSNHLVRCVKRGNHLHDHIDHQEGLAASHHTSSASLAAACVEPIHQ PPPRLKRRSVGFQACISFRSTTGAPVKASRGSLRVGEGPASRFRVLPGPWSSCSSSAE ALTRHDRVALQLVSTDPEDADAFLASAPAPLHGRDAKIVTLTGAAWDDEKRDEFAPTA HLFAAFAWELHPVRTDERLLCDGTRLQLVQEHGQDVFEVVASVGPQDLTMRRRIPSKT MHGTKKSPTTGGLTVVLKLHDTDQNKSNNRQQGPTRLPPEKRKPWLVEALANLKDQVA NNEQAKQAFHRDMQKNMAAYAASHSLDTAREEAASEDYYGRKLKTKPKVKLRSKSVLA FVQTFHRIKQHHSRRDGSPPPELTDRDRLRLMQCYNPQVYGRVGNPAVLSKSSRSMKT LVDGMERIGEAPPTPLLASSSASTVKPRAAAPTTQGNKSTAAPEYFPQPRP H257_07730 MSDEDEVTYDIHADGNSKLTKSLQKAKAKSAKQGKDTTAADTST HVVTPTSAPRNSITAAFLAKGEDSEDDDEDGFASILQFEPHVAIPDEPIPTSSPPPRS PSTHRSMVGTTASIPRSQNVAASILRGIASTSTTIGPSQGRIRQLPVKSTPQKVHATQ SFEAAFDRPSHWLKTPPLQSLPKRTARTTASKRLPPTTLLRSTPPPHASTPLRRSMAD MLRQATAGGVDRMPDTSRSQPRNEDIEDAGHVASETMLGTSQRELPFHVPPKEKRRKR LSDVSIPGDIGDVLQRASRKASRDATLFHTNAHQALSTSSMVDTPQSRPSITLCLLRT RLHADFVVFTAYIHDVSASVEQPSSPPPLPPLLPIRPNVVVDAVFAKSALAQLHDHVL ITLYAPFHILSLAGPYPFPLLLGTQLFQVTDANHSCQTVLPAFDDDWA H257_07731 MKTRMAIDDISAMVGSIQKNVVNMRVTNIYDAEVNKTYILKLAT PGLPKVFLLLQSGIRFHTTAYARETASALPLQFTMKLRKHLRGKRLASVTQCGSDRVI DFCFGDGDQRHHLILELYAAGNIILTDHAYTILSLLRTHAYDDQVKVAVKQQYPLDND LVVGNIGGTSSQFSSGADLMLFVQRYVQEAASKEKSKKQYSLKQILLSKESGYGNFGP TIVEHCMVEAGILPSLKVKTATSHVHVPLSKAEAQALVDSLQSAPTLLEELSSTQVAV HATDVADDTSRRHGFIVVDGAGHFEEFTPYLYAQHRGKPIVEFHTFDQAVDEYFSKIE AQAVDAEKKQAAHVAETKVDKLKKHQLEQMEAFERTQQVAAAHASLVEQNQHDIENVL LVVRSALATGMDWNDLDDLIKQEQHNGNPVATLIHKLDLSQNRLSVLLCDQEAEDDAV AHCISIDLSLSALANARELYSKKKSAVKKALKAIDATDLAIQQAVKKHDKKQAQQQLQ RKTMYQRRKTMWFEKFHWFITREQYLVLAGKDMQQNETLVKRYLRNGDVYVHADLHGA ATCIVRNKVSGGNIPASVLEQAGCMSVCRSNAWTNQVVAGSYWVHADQVSKTAPTGEY LTTGSFMIRGKKNFIPASRLEMGLAIVFRIDESSVRNHIGDDQGLLGPEEENDDDDDV TAKEVLARQRDMKQEAFGEVSEDSIPAAVVEGDQDGDAVGHDDVVAKEEEERTKEDVK TFDHHHVADDRMESILDEQEETVGPGKAKPGNGKKTLSAKERRDLKKKKGTAADKVEL PETMAAPVAKAPPAPVRGKQGKLKKIKKKYADQDDEERRLRMAALGHAVIAPPDERTS VAGDEIVMEEDDSCTANDGDATGLSTGIPAIDQEKEALYQRQRERKAQLLEQEEEEAQ NATFLDTFTGTPMANDLLLFAMPMCAPYSTLERYTYKVKLTPGTQKKGKAVTFAVDHF LKLKPKPTTTNGTTEAPATAKEQGGGGEKKGGEDGGAAGAPDVDPLEAQKELIKCVPE ADLVGCIVGPVKVSAPGLNSATMKKSSSKPKKAHKNKSTK H257_07732 MAQALRQLMWGPAAAVFESEVEARWKLEDFNFSPIEAAPSWALQ QQHGGPCGVFAPVQALLLARLGGNIDAKLTIPDRDLLLANVLADVLVQATESPSDKIW LIDATQVSPSSDSFSPFDVPRADLPSRVLSFLVHPKRGVLSFVYSLMLTRGVSRLQDD MDDVESSLTSGQFGHGSQELLNLMLTGRATSNVFDGVVPMGDSGLVLRGVQSRAQVGY LTHLEALRYCTVGTFLKSPIFPVWVLGSTSHFTVLFATDASLCTESASDALLATVQRA FQSFDTMGNGYIPIDALGQVLAKLHVDPAILANEFQLGRLYSKLQVPGAGIVLWEDFW RVVSILLDTNDLQQALDGKRKEGSSRPRSDSDVARALQAQFDADPTSTTTSSSTAIPD DVDTASVEDRWATLDDEQEQVKQVVLYHVNGLVDASKASAGKFPRCVQFEATIPTKNR VGYSVPMVDTTHTTGGHGCPIEDVLQTKWPGISVNWHGQRPPTLD H257_07732 MAQALRQLMWGPAAAVFESEVEARWKLEGNHFNFSPIEAAPSWA LQQQHGGPCGVFAPVQALLLARLGGNIDAKLTIPDRDLLLANVLADVLVQATESPSDK IWLIDATQVSPSSDSFSPFDVPRADLPSRVLSFLVHPKRGVLSFVYSLMLTRGVSRLQ DDMDDVESSLTSGQFGHGSQELLNLMLTGRATSNVFDGVVPMGDSGLVLRGVQSRAQV GYLTHLEALRYCTVGTFLKSPIFPVWVLGSTSHFTVLFATDASLCTESASDALLATVQ RAFQSFDTMGNGYIPIDALGQVLAKLHVDPAILANEFQLGRLYSKLQVPGAGIVLWED FWRVVSILLDTNDLQQALDGKRKEGSSRPRSDSDVARALQAQFDADPTSTTTSSSTAI PDDVDTASVEDRWATLDDEQEQVKQVVLYHVNGLVDASKASAGKFPRCVQFEATIPTK NRVGYSVPMVDTTHTTGGHGCPIEDVLQTKWPGISVNWHGQRPPTLD H257_07733 MAVTNIRRTSLFQLSMRGAFCDVYELRFLPPTEAAELAHQNGRF PVGKPRLTSTSSTSSIFDVPWKPNASSRLCSVCTDAFGVFRRRRHHCRLCGNLVCNAC SYARSFVRKPSLGSYAKLERTCTTCSSTLRQLMDIGDSRVKLTVSAIPLFHRSKSAPV KGSANPSTSNASISASATAEPTEPSPSSSVYIVSSAWYSGHLAKDKACIGPISNHTLV SFFEGKLRPNPTVRSQDYSFVDEREWLSLVKTYGGGPTITTALPSSKWIISFPSHQLK HQEHAHLVSSYADTVTSVAIEAKSSDLPPAVPSPHVRARRAAAAFAEAASAARREAES MATRKSMASVADFEELRQSLSSRHSMY H257_07734 MAAGHPSDPPLHAAILSGSRNRAPRGEGSSGDVNRRRFSLGLPR VTLSIGQLQNDGHRVVAVEFALIVIMLSNTKKRRFFDRVDEIYTLPKRQSLEPPPPIA QATTSTAQTDVSTPSLLHHDDAVYFVAVGSDMTRTRLDIWKAQLRKLGVHVDEQFRPG ATTIAVASTTLTRDRLATVCPGIDSVRRLVPPTWVIQLLQTKQVPLQCEWHGDDEKEA AAAPPSSLPPPPPPLAATGDQSPPESEFHECSSTPTATFRETERSQEDDDDDWAARRE KFYATNPAMRAVHAEEAANPLKINMDAFVCTTSSVLKSNVNAHLTGPFEQLVEYLHVE NDEWRENSYKRICSILKQLPNRVTSAHSLQPQHGLNSTGIAKIREILETGTLRKLEAK RSDPRLQVLLQFSNIWGVGPATATNLYRAGYRTLDDLRAKQDEVLTHNQRIGLKHYDD FLAKIPRSEVQEIESVVKQHVHSLLPRAVAITCGSYRRGKSQSGDVDVLISDPTQDDC NIMPRLLADLHAIGFLTDDLTTVEEHKIGGSDSYMGVCRLGEGRRYRRIDIKVYPRNL FGFAQLYFTGSDHFNRSMRAFAKMKGYSLTDKGLYKATRSKGVKKLKQGPNVICRDEK DVFLALQLPYKRPDERNCMA H257_07734 MAAGHPSDPPLHAAILSGSRNRAPRGEGSSGDVNRRRFSLGLPR VTLSIGQLQNDGHRVVAVEFALIVIMLSNTKKRRFFDRVDEIYTLPKRQSLEPPPPIA QATTSTAQTDVSTPSLLHHDDAVYFVAVGSDMTRTRLDIWKAQLRKLGVHVDEQFRPG ATTIAVASTTLTRDRLATVCPGIDSVRRLVPPTWVIQLLQTKQVPLQCEWHGDDEKEA AAAPPSSLPPPPPPLAATGDQSPPESEFHECSSTPTATFRETERSQEDDDDDWAARRE KFYATNPAMRAVHAEEAANPLKINMDAFVCTTSSVLKSNVNAHLTGPFEQLVEYLHVE NDEWRENSYKRICSILKQLPNRVTSAHSLQPQHGLNSTGIAKIREILETGTLRKLEAK RSDPRLQVLLQFSNIWGVGPATATNLYRAGYRTLDDLRAKQDEVLTHNQRIGLKHYDD FLAKIPRSEVQEIESVVKQHVHSLLPRAVAITCGSYRRGKSQSGDVDVLISDPTQDDC NIMPRLLADLHAIGFLTDDLTTVEEHKIGGSDSYMGVCRLGEAVPPHRYQGVPSELVW VCAVVLYRQRPL H257_07735 MSQKVADTAVLPADVATEPVGEPSPFIQACKSGYVDAVKAFLAE LDVDVNEADQDGCTGLMAATMEQSLDVVDLLLLDSRVLINAVDNERDTAFMIACATGN VPLVTRFVQESSLALNHVNARGMSGFVVACMLGQKDVVIYLKDQEQLNVQHLSMGLYF AGVGGQPDILGLLASLPNVDVNIADPMHGNTALIVASQFGHEDAVKRLLEQESIQINH ANKYGGNAFRSACDRGHIAIVDLLLNRPEFALDDLNEGFVAAVANGHLELVRKLLAFP QVDVNYAVQPPDSTVLLTPLTVAASSGHVDVLEELLTRPSIRVNPLDQTFASPLVQAS YNGHVEVVNVLLHHPDLDPNQRNDYNNNALYAAVAHGHEAVALRLLAVPGFQVHNLNT AHQNAFMIASCLDQASVVETILALPDVDVNVADVDGKTALLLAVAKAATNVLPLLLAH PSLDVNQTDNNGSSALLVACATGRGDVLRLLLAHPTIDLNLASTDTSTVVLAAALNGA ADMLAILVQDKRADVNVVDKLGNTALIAASHTNRPDVVRVLLSRTDVDLSVQNLEGKT ALDIATSNYFQDVVDLLVAHR H257_07735 MSQKVADTAVLPADVATEPVGEPSPFIQACKSGYVDAVKAFLAE LDVDVNEADQDGCTGLMAATMEQSLDVVDLLLLDSRVLINAVDNERDTAFMIACATGN VPLVTRFVQESSLALNHVNARGMSGFVVACMLGQKDVVIYLKDQEQLNVQHLSMGLYF AGVGGQPDILGLLASLPNVDVNIADPMHGNTALIVASQFGHEDAVKRLLEQESIQINH ANKYGGNAFRSACDRGHIAIVDLLLNRPEFALDDLNEGFVAAVANGHLELVRKLLAFP QVDVNYAVQPPDSTVLLTPLTVAASSGHVDVLEELLTRPSIRVNPLDQTFASPLVQAS YNGHVEVVNVLLHHPDLDPNQRNDYNNNALYAAVAHGHEAVALRLLAVPGFQVHNLNT AHQNAFMIASCLDQASVVETILALPDVDVNVADVDGKTALLLAVAKAATNVLPLLLAH PSLDVNQTDNNGSSALLVACATGRGDVLRLLLAHPTIDLNLASTVVRLTWLRI H257_07736 MQIPGVRQGYTLVTLKHYNAWDNHALDFRMKAPTFGKIVHRVLD IVEPVLYQHYVKPSSMTDQVRKGHVFSNFPSALYCTDVKFQPSYRPTGRFDECKQQGK RLSIHEKNLIRAYYASHVPIKTTAVQQWVTEQFGHTLHITTIRRILRDTRKIETNNIQ EKSAKGREALVGTKRNAKRTSLSGQGAKESISFKNELLSFMKVVRREKHILTARAMLH QIADDRNGAIRTGFAGVFWKKYHDYPLYDILNVDETGVVYYDMLSGKI H257_07737 MKQPKRNADDCPATLEPTRRVSVLGRMEESPIVTVVALIDSLDE AEVFLLKFPQFTLAVLHGRIVFTERRVSGSRVLTVDFVAADDDAPIDDLQLRTCKASG DTRRHNILYL H257_07738 MTSKDKIKCMHRHIPEHRNIRQLWGCIVNAAGELQAPVQSTRST PSSPYMQKKKRMRSMTKTLTIHHAFHEDQVSAVEIDSIVPDDMSTEELAPSGTGSPAT SGAAISSDVAGAEAVKEAVAKRGRRLGRMAKQLT H257_07739 MVPTKDAMAIYKRAMELTDDQPQRLPKIGRPVSKYCPKKKSRQY KNTVLTYSERLSVIQYYDTCGMQSTLSAFYGNLTMTARETMRKKIYSCVECTYRVKEE QLAQWVLDMRKDGVPVTQAMLRVIALEAAIDLGIEDHEFLAGWHWIHGALVLEYDIDL VYNADQTCVNYEYLPTKTLNTAGDKTVWVKCGGKTKERVTAMLLADSNGTKLPLFLVL RTAKSKVEAVVKENLT H257_07740 MCLRPNASYSTADPLEGQTGLQAATVFGDDIEHDLVLDFLNHLD SPTRIQTNVNFETQNGMTPLILACVLNLHLDRLLAEPTLDINYKNAFSYSALMAACEA NQLGVVKKLLRQPAINRSDLNPGFCLACKGGHQALVEFLLQCPQVDLNYGMSNDDEFE DITGFNQAITNGHLDVVYSVLMHSLDIEGGLDIRVGGFTTACQVGEKDIATLILSSEH GITADHVNYGFHEACLNGHLDVVQHLVQHCGVDVNYGVPLNDENENEKDMFPHGVSSF YLACQSDHAEVVRFLLSLPQLDPRQLCNALCAAQDMDIVEMVLAHPHFNINSDVALGV ACAHGRLEVVKLLLACPALDSAHVELGLFLACQTGHATIVRYLLQTSPHPVNENVTCL VVACGHGHEAVVSVLLQHPAIEVNAVQGMPYVSLVQACAFGHFEVVKLLLGHPSVDVH CKSARGTTAMAVATVANT H257_07741 MCLRPNAFCPTADPLEDQSGVQDTAPLGDDIEHELMLDFLNHLD SPSRTQTNVNFETQNGMTPLIMACVLNLHVDRLLAEPSLDLNYKNAFSYSALMAACEA NQVEVVKTLLTQPTIDRSDINAGFCLACKGGYAELVTFLLTCHADLDLSFGMAMEDEL ELISGFDQAILNDHMDVVSAVLAHLATTLEEDNLDIRVGGFTTACRADKKDMVALLVA SGIPVEDLNYGFSEACLHGHLDVVRYLVESTDVDVNYGVHDDIDMFPHGVSSFYLACQ SDHAEVVRFLLSLPQLDPRQLCNALCAAQDMDIVEMVLAHPHFNINSDVGELPPLTIA CVDRQLEKLARLLAVPSIDVNFKHNGLFLACQTGHATIVRYLLQTSPHPVNVNALMSI SEDQEAVTCLVVACGHNREDVVRVLLEHPAIQVNAVPGMPYVPLVQACAFGHFEVVKL LLGHPSVDVHCKNAAGTTAMSAATAGKHVTVQAILRQHQKPSVAREPALKRPKPNSPM HSTKAA H257_07742 MFVNGANNGITPPISMAVPTSTDHQMTTSLTDTLRQWNLYEGAE GSLRRENALELVGDWVEHWAQAEATKRGLLIDGATTRLTQLRTFGSYRLGVHSPEADI DTLCLAPRHCSRLGFFSSFPSFLKQQSQVTAAVHAIPDAYVPVIKLEVLGVAIDLLFV TLDVASVPEDINVLDTNTLTDVDEPGVRSLNGCRVAEMILQLVPNIDQFRLTLVAVKH WARMRGIYSNVLGFLGGVNWAILVARVCQLYPHSLAGTLLTKFFRVYHQWIWPNPILL NNVMDDDADQLLGLTSWNPKVYPRDRLHLMPIITPAYPAMNSSYNVMDSTLRLMQAEF RQAATVCVDIELQSLPWEELFVESNFFDRWEHFLRIDISSHASQFTAWFGWVECRLRS FFGRLEQMEDVDIAPFARFYDIPGDSDSTTTTSSSSSTTTSSSCCFVGLAFHLPDNAQ RFSVDFTMAVQEFAAVLDMWPQRTSDMDLQVHYVRRNQLPSWIVRPSTVQLGMQNGKR RTDCVTDDSTPHTHSPKRRKGTLLESSR H257_07742 MFVNGANNGITPPISMAVPTSTDHQMTTSLTDTLRQWNLYEGAE GSLRRENALELVGDWVEHWAQAEATKRGLLIDGATTRLTQLRTFGSYRLGVHSPEADI DTLCLAPRHCSRLGFFSSFPSFLKQQSQVTAAVHAIPDAYVPVIKLEVLGVAIDLLFV TLDVASVPEDINVLDTNTLTDVDEPGVRSLNGCRVAEMILQLVPNIDQFRLTLVAVKH WARMRGIYSNVLGFLGGVNWAILVARVCQLYPHSLAGTLLTKFFRVYHQWIWPNPILL NNVMDDDADQLLGLTSWNPKVYPRDRLHLMPIITPAYPAMNSSYNVMDSTLRLMQAEF RQAATVCVDIELQSLPWEELFVESNFFDRWEHFLRIDISSHASQFTAWFGWVECRLRS FFGRYEDISPGNIRPHACR H257_07743 MMVVAKPAVASIREHSPHDHTSYKPTVVAIHHSRLMVHHHLGLG GVYDSRLLHRIPRLLHRIPWLLHRVARLLHGVAGLLYSVSRLLYRVATLLHGIAALLY RVARLLDWIATLLYRVARFLHWVGGLLHRHSHGIRLSLHERRCGGVDFGMAGRCAATQ GLASLLAHDTSDQQDDEERHNANDSSGQATARVGRGDGVRVAVARVVGHDALGASGAA APQRLRARQPVAAEDAGGVTEGQFAPLSLFALLAPREWRRRGCSCVHNS H257_07744 MGVLSVVGWTIGAVATVASLVVIGYMAAEQRMDAIRKFGMVIHE FDPWFNFRAAVYLADNGYERFFKWYDYMSWYPLGRPVGTTIYPGMQIVSVTAWELLNK AGIEISLNDVCCLTPVWGGVFATLTLTALTYVCTGRITAGLVGGWVMSILPAHLMRSV GGGYDNESVAVSCLLLTFLLWCVSLHSSDDSKGNYFGILTGLAYIAMAATWGGYIYVL NMIGLHAFVLVLLGRYSNKLYWAYSLWYVIGTFGAMQVPVIGKAPLRSLEQMAPLLVF FGLQGLKIAHHPWFLKKVFNIDASKATRANMFLVYSVVAGFGLAILAVVFQLLWPTGY FGPLSSRIRGLFVQHTRTGNPLVDSVAEHQPVKHDAFWQFLHLTCYTAPVGLAIVVAQ SIFKPLVTGKDARSDALSFLVVYSGVTYFFSTKMNRLMLLMAGPASIATGVIGGYAVD FVLFETADLIHAIVRGEADADADASKPAADEGAKKVAKKGDKKPKAKSRLESGPAKLI RKVAATVLVAVSYQYVVQFYEYSQQMKAPLSGPSITFEAQLRSGEKIIVDDYREGYLW LQDNTPHDARVMAWWDYGYQITGIGNRTTIADGNTWNHEHIALLARCLTSPEKRAHGL IKHLADYVLIWAGGGGDDLAKSPHLARIGNSVFEDICPGDPLCSNFGFYSQNNPTPMM KKSLLYRLHQHGINPQVKVDANRFRPVFQSKYGLLRIYKVVGVSEESKRWIEDPKNRL CDAPGSWYCTGQYPPALADTLAKRKSFKQIEDFNIAQDEQSKKYHEEYHNRMEGKGGS AAAAPTTSSSDTDDDDDAAKLPPTKLVGCYGTENSFEGKTYVGGQSGAYFGHALHHAV EANAKYFAIARSDRDGHSFVFNKLKTGGKWKAGKEGCARPCLDDGAKACGCSDDACLE PKMDGEEHNRRWVVYEVLKK H257_07745 MTASNDASLEEQRQLHDEAMVHVNAGVAARNSGNSEAANSAFAI AMDLLERGLALKFSKADDESSIRLGKKMARYLDMIRGQVSKTGDGGAMPKLTRFNIID LENPPERYAPVLTLLHSSSIHGNIFDSMKCIFGFQDNNAKNQMEHLTLLLTNYKESLE TPAPVPAKKAPKQRRPNDDLPKDEHDAAIQAVTKLHARLFDNYIKWCKYLGVKPSFSA EPLADLVLFFLIWGEAANFRQTPELLCFLFHSLCATPQPTLTPKESGAFLTSIIRPIY DEVKKDNDKKTPLGERAPHKDIRNYDDFNEFFWSKACLKYDAYNIKEALGAVDKKGNP KDAKKTFIETRSWARALWSFRRIFLLNFTLFCAVVGFALNMALLCPESPIMYGPDMGK ELVLFGKYYYNPKARYSLANDDSTTTSGLCNLPKLATCLGVSNFVVGQTFSVIPQDFK MLLVDVPFQPCMELLSGRCACYLDTLDRCLSQVGSGKALVSDASSGNTRDFKMVKYDQ TKCMPAWRKAAYAVINDAGPGLLNCAVCNIQAPTLVPNLMVKLIDTSRTDMGPLVFGG GVGLVGLFLVGEVSNRLFSGLFIGYVGRSLPVPCCGYLRYACFWLILFTVKLLFGYTF MVKNLVETSLMIWMSDSTKYLQVSNFMLQVTFHNIAYIGFLWLPAFIVFMYDAQIFYA LFSVVVGSVRGFNLRIGELRSFRILRLAFKDIPRMFNYKLVSNAIESKVSQQAIDAPH SNTQIAKKKTTISPVVCPTATIDEQPLRIQTTLYSGATDDDTPTSQSGTTNRSCFGSV VTGIDGDEFLRVIPFAMAWNHCLRSMREADVLSNRELSVLSYLIEGDDDASSRRRLYP PAFLTAGKLDESLDIMAECGHVYKRLLTDKKKDVALAKVELSMHQRVTKDSLRVEACV GSFKFTVRVLQLLLGDAHADMAPCFAFVEESIVNRTALKGLNVANLYDLRSASAELMQ AILDTPTSSKDDSLHFQRALYRVIDSAEQVLGQLKKLLSKQDSLVAILKHTPLKPNAF FIAPESTHQYATVQLQTLVSDPASMAIVSRAYQVLTVDNFDAEPRSEEGQRRLRFFAN SLFMDMPLAQSVKRMHSLSIATPYYSEIVLYSIKELTQANDDSIKLLYYLQTIYHVEW DHFLERFKVKDEADALAKVPEEVQLWASYRGQTLARTIRGMMYNAEAIRFLYWLELGN NEPMPESANKDLDEMVALKFNYVVTCQIYGKQKDEGKQQAGDIEYLLKKHPGLRVAYV DGPKKMKEGPPKYFSCLVRAANDKIVEVYRVELPGDPIVGEGKPENQNHAVIFSRGEL IQCIDMNQDGYLEECLKMPNLLATVDRPEHAASPVTIIGFREYVFTGGVSNLASFMQI QELSFVSLGQRMLAYNYVRQHYGHPDIFDKLFAMGTGGTAKASRGINLSEDIFAGFNM TLRGGRVSHEEFIQVGKGRDVGMQQLALFEAKLSSGAGECVTSRDVMRMATRLDLFRL QSWFYGNLGWYFTQTMTVFGVYFFIYGKIYFALSGMDAFYLQLGRLGISGVLNTSWAL QFGFLLVVPVIGVVGVESGFRHGLTFVLWNVLSLGPLFFTFQMGTRMHYFDRTLIHGG AKYRATGRGFTIKHEKFAELYRFYAFSHFYRGVELMFLLCMFGIYGSFNWCNCSWTLD RTFYNDQEPLPYEWKARCYANFYQQCVLPTNQNYGIMSYSLWLIAATWVWAPFFFNPS AFDWDKIIVDYRDWQHWLKTKNDSADSWYGWWMSELEFMEHSTGFSRFVTWVRKTRFL AVAFGIYLQLMHRLFYKDLHKAVADDGAASVKPIILAGAIFAVLLLLGCCGYIASRVT KKLILKQRQLRKLKFNLSAALLVVAVVGLLYLSMRTLVEVLVIVCLGAYWFLQVTICR VRHDHIVATKIAQFFDNVVGWIVFCPILFVAMFVPFVSSFQQRMMFNSAFTAGLEVSK LLGNDVIKLDDGKKAKPTKAKKSPSSEQHKH H257_07746 MAYINPDINQESVIPRKKDTFTASLQVYATNYVRGELLLPPAKL LQTLVQFCLMLPNHYAKHSFDNEAVGAYFASNCWVDAEERWSVVLRALGSDRVLSEYR AWSQYQPNPINWRVIWPRQRRVPMPVEDLVLQVHAGLDDFEGLGDH H257_07747 MAATLTKFYTNLNTTSSETQWKKNYQWLSKNDHIAGMVSTTGTT KQRSWRCLGAGTTLSHDTEEMLLRWVHDMRKNGVPVTHAMLQLMTLEAAVDEGFSEGE FKAGWH H257_07748 MDALKRKFSLLRNHPKPTGDPSCPVDVARAKRIGCQIDVEASVL TTEDGDDDEDTPSSIDKIFQRKHGLISKGQHGEAASTPAADVIVGRQKMLQETSGYNM NDVFNTDETSYCLLQHQSITRSRVPG H257_07749 MSSSAPLSPALEAQKAIYDEAMVHVNAGVAAQSANNQADAEKAF TAAVVVLTKGLALKFSHEDIDASARLTKKMGRYLDMIKGQHAKSLESSSAKYNILDFD NLPERYEPITKLLTTSPVHGDIFASMQKIFGFQDTSVKNQKEHLLLLLTNYKESLETP VPEAYTEKVKKSAKDKVVELPKDADDAAVQAVTKLHLRLFDNYHKWCKYLKVPPSFST EPLADLVLFFLMWGEAGNFRQTPELLCFLFHSLCATAQPTETPKESGFYLTTVIRPIY VEVKKDNDKKTPLGQRAPHKEIRNYDDFNEFFWTKACLKYDAYNIKEALGAVDKKGNP KDAKKTFIETRSWARALWSFRRIFLFNFTLFCAVVGFALNMVLLCPESPIMYGPDMGK ELAIFGKQYFNPKARYSLANDDSTTTSGLCNLPKLATCLGVTDFVPGVTFGIIPQDFK MLIADVPFQPCVEMLSGRCTCYIEILDRCLSQVGSGKALVSDASSGNTRDFKMVKYDQ RKCMPDWKKAAFKVINNAGPGLLNCAVCNIQAPTLVPNLMVKLIDTSRTDMGPLIFAG GVGLVGLFLVGEVSNRLFSGLFIGYVGRNLPVPCCGYLRYMCFWLFLFTVKIMFGYNF MVKNLVETSLMIWMSDPLQYLKVSNFMIQASYHNVVYIAFLWLPAFIVFMYDAQIFYA LFSVVFGSIRGFNLRIGELRSFRILRLAFKDIPRMFNYKLVSNAIESKVSQQAIDAPH SNTQIAKKKTTISPVVCPTATIDEQPLRIQTTLYSGATDDDTPTSQSGTTNRSCFGSV VSGIGGEEFDRVIPFALAWNRCLRSMRDADVISNRELSVLSYLIEGDDDASSRRRLYT PVFLTAGKLDESLEIITDCGVVYDRLKSDKKKDAALAKVELSMHQRVTKDSLRVEACV GSFKFTVRVLQLLLGDAHADMDACFEFVEESIVNRTALKGLNVANLYDLRSASAELMK AILDTPTSSKDDSLHFQRALYRVIDSAEQVLGQLKKLLSKQDSLVAILKHTPLKPNAF FIAPESTHQYATVQLQTLVSDPASMAIVSRAYQVLTVDNFDAEPRSEEGQRRLRFFAN SMFMDMPLAQSVKRMHSLSIATPYYSEIVLYSIKELTQANDDSIKLLYYLQTIYHVEW DHFLERFKVKDEADALAKVPEEVQLWASYRGQTLARTIRGMMYNAEAIRFLYWLELGN NEPMPESANKDLDEMVALKFNYVVTCQIYGKQKDEGKQQAGDIEYLLKKHPGLRVAYV DGPKKMKEGPPKYFSCLVRAANDKIVEVYRVELPGDPIVGEGKPENQNHAVIFSRGEL IQCIDMNQDGYLEECLKMPNLLATVDRPEHAASPVTIIGFREYVFTGGVSNLASFMQI QELSFVSLGQRMLAYNYVRQHYGHPDIFDKLFAMGTGGTAKASRGINLSEDIFAGFNT TLRGGRVSHEEFIQVGKGRDVGMQQLALFEAKLSSGAGECVTSRDVMRMATRLDLFRL QSWFYGNLGWYFTQTMTVFGVYFFIYGKIYFALSGMDAFYLQLGRLGISGVLNTSWAL QFGFLLVVPVIGVVGVEKGFRHGLSYLFYNVLTLGPLFFTFQMGNRMHYFDRTLIHGG AKYRATGRGFTIKHEKFAELYRFYAFSHFYRGVELMFLLCMFGIYGSFNWCNCSWTID RMFYNDVEPLPYEWRQRCYANFYQQCVLPTNQNYGIMSYSLWLIAATWVWSPFFFNPS AFDWEKLMADFSDWQNWLKTKNDSAESWYGWWTAELDFMEHSTSFSCFITAVRKTRFL LVAIGIYLQLMYRLFYKDLHKTVAEGDPMKPYFLAGAILVVLLILGCCAWIASRVAKK MASKQRKLRTLKFNISGIVLVLVVASLLYISVHNLAEIFVIVCLGMYWFLQIAIARRK QEHAVTIQIAQFFDIVIGWIVFGPILFISMFMPFVSAFQQRVMFNSAFTSGLEVSKLL GNDVVAESKQPVAAPAKEEPKPKAKATKKKKIDEPTHYGAI H257_07750 MDDVVARQRRKREYIRTFMQEYRGKQRQDKETLQAQIDRLEARV ARYRKNTVLDDDSGSGMLPWKDVAAGLHDSRSEASKDQRALSSRIKEYEQVLSELRSF VIASIAPQKSPSIHTATWRDVTLLSHPTSRRLGKLWITQQMRYNMDRLFEHHEIPSPE SMALYNSMDVVFHEEGHHFLQKAHLTWECSLETVVRLYRHHTGSMFTLDGFCPMHSQS RVEAEENTTLHQYKRPNGWNTHLLCGEFQDGDRTILVAQHILSDEDRQHHAGRQRSRM TWIELRRVSATVTRERFLCVISHEIDQDGTAVGLEETSKRWGMMSLAHIKDDDQRERV FRMVYRARNVALKHQRKKWYEDLVAAAEDELSRHRENMGEA H257_07750 MDDVVARQRRKREYIRTFMQEYRGKQRQDKETLQAQIDRLEARV ARYRKNTVLDDDSGSGMLPWKDVAAGLHDSRSEASKDQRALSSRIKEYEQVLSELRSF VIASIAPQKSPSIHTATWRDVTLLSHPTSRRLGKLWITQQMRYNMDRLFEHHEIPSPE SMALYNSMDVVFHEEGHHFLQKAHLTWECSLETVVRLYRHHTGSMFTLDGFCPMHSQS RVEAEENTTLHQYKRPNGWNTHLLCGEFQDGDRTILVAQHILSDEDRQHHAGRQRSRM TWYVSPVSVLSS H257_07751 MDDSADERKERKREYIRHFMQGYRDKQRKSKHVLQAQIVRLEER VITLHRDRQRQRTPKSDDSSTTMLPWRDVAAAMHDMRQHSSMQRRTLTSHVQECEQVL AELKEWMAMSTIHATPSTHRTSWRDFTLLAHPTSRRLGKQWITQQMYHNLDRAFMQHG MPPPHPLAVSSPDNMHHSMDLEFSDDGHCFVQKAQKIWLLPLETVVALFRRHTGSMFT LDAFTPVHSQIATEEDGNTTLHVYPPCASGGFSFSLLCGEFHSHDRIVLVIQQLQGDE AIPTANHHRLRKRMVWIELRRESPTSTYERILNITWHDTTPDGVDVSLDELAARWGVD LGQVTDDAEKERRLVLGYRARNKSLVQQRKQWYAELVHMTIQQQQQQQYNAHMDTE H257_07752 MEATEAALPPPMSFAAVATALVVASCIVRRLLAHMPPILCPQES SQHDDSAPSCPPSADPFASPATALGPMECPSLTTSEKLPIDRRLSYRKYRPPLTMQVV SRRQTSHIAALPDASSHATDVAATAESTTSTSWTSWFMSVCWSIAWDAVNAAVAVFVV VSIRYLYGSLHGLPSEEAYLSHLHRKLSHIFLRTSH H257_07753 MPPKKDDGLAKMQRKIRKRGYMRTFMQVYRNRERCEVERLKAQV EELELDLAKRLVERKAARSEKTTKSSPLESELPWKEVAKAMYEMSQEVATTRRMLQHD AAEAEVVGLDLQGWVASFGNPTAPLSSRCQTWRDVTLLSHPRSRQLGKQWITQHMYHN ANRIFQQQECPPAYYNIIDNSWDIDFSSGECYYIVERGHVDWNMSLANVQSMFRNHMC SMFTIDAFCPLTTNTLAEASDNTVLHQLVPSGESSPVTQYVNLLGAEFNESHDRSVVV VTQIQDDEMAAHSATSLPQRSRMTWVEFNGDSHRTRGRYVSIVSQQFTTGGGFVSMAD EAREWGFDLSQVPPWEQEQLFVRLHRQRHEGLRASRQRWYSDLITQELTTREYAHTIK LEPASR H257_07754 MTQECELLDDMEKRLKKRAYIRTFMKTYRKKEKRGHEQLKAQKV QLENEVRAMFLSTGRYVRTKTMLSWKDIASALATSKNEVLDTNQQLRAQVMSLHGIVQ EMHHWAGIVRPLTVTSSWRNVSLPASPTSRSLAKDWISRQLLEQMNRVLTSQPFPADH AKYHDWDMIFSDDDSHFHIKQCSQFVWDVPIESVVTLYYRHACSALWLDGHQPLGLQS LKEETEQTTLHQLISRAGEHVNLLSGISRGKDCCHIVLKQIQDDESFALNGRRQRNRT AWLEIKRLSATKTQLRVYCETSQNFTNDGYTSVDVEAKRVSVDVNGLSDHMKRTTLRN TMVSRTNVALAMANDNMMKLLVQVDTSS H257_07754 MTQECELLDDMEKRLKKRAYIRTFMKTYRKKEKRGHEQLKAQKV QLENEVRAMFLSTGRYVRTKTMLSWKDIASALATSKNEVLDTNQQLRAQVMSLHGIVQ EMHHWAGIVRPLTVTSSWRNVSLPASPTSRSLAKDWISRQLLEQMNRVLTSQPFPADH AKYHDWDMIFSDDDSHFHIKQCSQFVWDVPIESVVTLYYRHACSALWLDGHQPLGLQS LKEETEQTTLHQLISRAGEHVNLLSGISRGKDCCHIVLKQIQDDESFALNGRRQRNRT AW H257_07755 MPKRRQPRPPAKYVAWTENLEVALLREVTRIKPFAADNSELLQR WKLVASGQVPKINYRSAREHVDVMLKDFKKDDDAQKRSSGTEEYVTERVQLLQDLVMR MDEVATSKKRKQNKESEKRELLETTSDKLCREAEILVAKRSRTSTGSANEDSEYVAIL GFFLLYMVAEISKLKISRPDQ H257_07756 MGEGCGTEATAQCLPHRDVEALKRKFLLLKNAQKPTGHPDCPPP TSCMQNSATLPSQLPTQLDDQHVEVSRTVLQPSELQVLSDKLKRKQSDTGGLLSYMAK KRRSIDKYIERASESDANASFDMMKFLMVMSERDAKREDMRYERQEKTDRLREEQVEK ADRDREAREALRDEL H257_07757 MDVHTHSLPDPPDSTPHHAELQRHIQHGSSRQFAARLHSNEQSL QYGVPFDLQRGRTLTRPEKLVVAVLRSNYGADDASNEDAGFAERALKRAPMPD H257_07758 MRKNLTDVERNAVLQRLLIRMQPGGKLPRGAMVDVALEFGVVRS TVRRIWKRACVDVHGGVRPCADVSSQMKGRVGRKQVHESISQRLQAIPKARRTTFRSI AAAMNVPKTTLHRYYKKGLFIKYSSVLKPSLTDANKVVRLKWSVDALRSGLDGGLRFD DMMDCVHVDEKWLFASRVRATYYLAKGEEPPHRTTKSKLHIMKVMFLSAVVRPRWDNE SGSWFDGKIGTWHFTEWNPAKRSSRNRPAGTMELSAVSVTRRVYKQMLINKVIPAIKA RWPKEETCKIRIQQDNARPHVSPLDAEIVAACRQDGWDMQVVFQLPNSPDLNVLDLGF FRAIQSLQDRNCSRSMVDIVDNTERAWSDVDPATLNANFLTLQGCMMEVIRCAGGNNY KIPHMKNAVLAAKGRLPSSIEADAGVVNASMELLSECDLSTVTLELASEVAKNLEMSD VCTELERLDDVDDSDDEELDIPSVLGLNI H257_07759 MVDIVDNTERAWSDVDPATLNANFLTLQGCMMEVIRCAGGSKYK IPHMKKAVLTAKGRLPLSIEADAGVVNAKLASEVAKTLEMSDVCTELERLDVVEDSDD EELDIPSVLGLNI H257_07760 MPASVEGSKKALIMVEGGPGRSSVSLELFMDKLHRELSGEFHIF TVDHRGTGRSGRLDCPAAQALSLGSHYIYDISSQEVSNCLKDIHHQFGTNAAAAYSIT NAAKDLAMLVETEMADYDVYLYGMSYGTLVVERLMHFSPPQVKGYILDSICADHYEPQ GTGNNQVYSNWDDDVKHVSQHFLDLCQKDTAVCGKLLGENATTTLFNLYDTLDANASA CSAIFYDTLENKPSVFLRLFFFKLLGRYDRRKFIPTFAARLERCNAQDKDVMTAILTK NDPRENSVVLQSNLLQDTIVYSELWQVPTPSMEDIKQQFLASPMAVNDPDKVGSYCVF TGSNSSECAPWVDMNVPPWTYTPDKYFNKTAAIPIGVSVLGLTGNLDPLTPSKHARRH FNNMKGDNKKLVEFPVAVHGVIGNTPLSDNHTDPHCGLLVVADFLLANGALDAINLNC MDKVQPLNFDIPDALALELFGLDGGAMDGKLHTPAQGAKDYNFTVVGLGLNALLKHSK AKATRGKYAVYEDACTGNAS H257_07761 MKGDNKKLVEFPVAVHGVIGNTPLSDNHTDPHCGLLVVADFLLA NGALDAINLNCMDKVQPLNFDIPDALALELFGLDGGAMDGKLHTPAQGAKDYNFTVVG LGLNALLKHSKAKATRGKYAVYEDACTGNAS H257_07762 MSVRVCIEPATKQRIAEWDMGKDPDDVSEGEWIAWFTQGFVVDP RALDTLKMRIKSAVVFDMSVPDADSRIGRMLDGLAAAIRRDRQEWVIREESQAIVKII TDAIKTASLRRSVTEQMALMRNKPLKKDVYRFVGWLREYAIGHERFVGYEEELKPPTR IDLPKPPGSKVLGGPRDPRGDTKPLTPIPNAPAIGAPNNGCLKCKSTSHRVRECPGIT PEEVKQLLRAHGSTFGRGRGEKGAPGVPGGRVATVKTNVPDAKRPELPAIVDGVLPVQ ASLLDSGADLSVASGGLVSALLAAGASPEITVMGPMELRPYGADSQVITVTKQVRLGS LEFKTTCGPLMLRGLRHLLSLALGLPVMQKLGYNEQTLLENARRQQAVWDFGDQPITT PGVAMHRTLRMGELSEGIDDDEGMAYSTPELGDAPDDDGLVRTVLNAKVAEAATAGMP AEAVEQLRDLLMEFRDVFRLKFGRNPPVKVEPLKVRLKEGSVPVKSGLRRYPPTHMAF LEKHVRELEEAGLVYRSTRSCWASAPRIVPKKDPGDLSMTINSRPINACTEPMPWPMP NLEVAMGYWQLSLHPDSQEYYSFMTPVGVVTPTRVLMGQTDAVVYCQGVVDESFGDLL MHGLLGWLDDLLGYAPTTDELLHLLRKVLEICHAYGLKLHPGKCVFYTTRTVPVEDLA LPASDQRHEPLAFLSGSFRGASGRWPIVEKEAFAVVESCKRLEYLLIRPGGFRLFTDH RNLAHKLQRWALTMTAFPYVVECVAGEENLWADLLSRWGSPPGPDRSFPVESRTRPHP TCEKYAPWSAEKKSFLTAAGKIWIPGDALDMQVRICVVAHAGVAGHRRVEATTASVAE MFDWPTLKTDVKNFVNACLHCMVVDGESAPGSLKYVLVIKDDISGFVRLHASTTASAA ETAAALMEWFGLFGVVRTWVSDSGSHFKNELVSTLGRMFGVHHHFVTPHCPWANRTVE VVNRIVIRTLKTLCSEMRLQPGDWPGVLPLVQSALNQQPVDRLDGVEPTTAFTGLPAT PPLTGLVHPDEPREVTIDWIKSRAIRHVTELADALGIMHKHVAETVAAKRAIRPAGEI YAGRFCFGGPGPPASGKDIVALEGTLSGREGGLRLPDGSPAAGASGSDVPAPCVPAPP VLRGWLKTQIAFGDEGFYVEDLRDLRLRDEVWEVLIKWLGLDDLESSWEPALSIYEDV PVLFRRWAKARSNEDGVYEMIDDLTSACGHPMYGGKCYRPSLCSTPRA H257_07763 MNKELRESDWTVKDIPKNLDKLPASLQVVHKEILDKNAMRGAKA TEKYEQCNVSEGDFLLWSRVDERYHPKLLITRTGPLPSQRSHTSSIKLYAEDSFEVTE EILEHVSQQRIMLKVKSIAGHKFVPDVKDFILEVLCLQKLMHECPVVVRNYVEGVNKA SDGDTVHKAMERASAKN H257_07765 MQPRNHVEDSSKNEYDSSDGLNSDDGETNDHEVVDDAHFGHHPM YTSNQDDREAHDDPDHDAYWTKNDVEDPGRPTNTPDNPPRPIGIPAALPSLAAGNPKA KPTIPRQFPAPEKRLIPRSDTARTGKVRIHEGE H257_07766 DYNHQIRHVVAGWPGSVHDSTVWASSSPARRPDSFFDANQYQAG DSGFALCLRMLTPYRLPYAAESGNNAFNTGHASLRVVCEHGNGILKGRWASLSCLPVF IRRSGDVKIVCDWILACCVLHNVVNLRNGEDHVPLFVEEIRQGNISDSPVVESSLIWR EKIKSELLSYLGLN H257_07767 MKTIAILALASSAAAFAPEDIGLNNTTAGLLRGPDRKLRTKAQV QAIQDDADVNRKCHTTNGGYLQTLKAGEYSASKFYNCFRTSEQIFEYVDALVAHNPTL LKKEKISSTVRGKSIYAYKLTSGASKPRSLYYQSLAHAREWIAGSSNLFTLSSILDDI ANKKPTAADKFNLYFVPIVNIDGYDISWNGNRYQRKNANEVDLNRNWPSFYENPNPPA PSAQTYPGPRPGSEPETKGIARWLHTKNSELAGWVDVHSVLGAIFYPYGDTTEPIGNG DDAKFALLGRNVAAATGGGYRWQTAGSYAPGYGALDDYLYRTYKKPVLTIEVAGSDFV APVSTIRTRGTEIFKALTQFADEVLTFEGNGVGIPTPGGNGVGTASAGGNSVGTAFPG GNSVGTASAGGN H257_07770 MGDAALAPVAAIKMLPQLNVQTILMCFYHCVAAVNKRLGAVPTR VKALVAFHMFNMHYSRSPTECRMHWASATEAWGACDILVAKDFVRYFAEQWMTGDFCK WQVYHTPSGFPTTNNQPTHASSLTSTSKRSLHGLCATFKLLGSIAEEYSTVKITTFTS EVSPSEKLVRRSRRLITYNLLEVVPPNPDLEHVPGTVRIVGIVPRHAISQARESDAVG TTAAMEAGRPTETSPEHLEVANAYYYNENTNNIRHESICAGNAQPSCKSKDEMSIAHH CLQQHLSVTKPIEPRQRRVSEVNADVVQ H257_07772 MHELLQSVLYPAWRDSLCKQSVGLVSNGPCHCIRHWAVAVTVLS TLVRHTSVVKRALKNFESLCAMLSAIHPGLRSFALVGPVGHYDELSLRLFPRFALLVT VVDFAHTVRSSNALLLVVRLYNRRAPQQVFYTCSHSHLQVDHGLVSEWRIFDIGEVTS CAPAKRPPVHRTYAFKQEALQAWDELKWGGTTLVHYCRARKIPVSTFEKWLIKKDEIA SVCTADVNKHVLSLQTNKPRKKSKKRKKLCVDSRHQELHEWLVAAPKPLYTGAMRDKV RALWPEWSDEDHPECKTPHYLAKWCRRVAVRFVHTAGSTASSSEASQASSGDDGDFWE DEGSQTQPGDEGDFWVGVAIPDGEILAAKRQRLCPETMVHTGTTGGHEYVRQPHRKRI MHPIANDDIARDDFLDDYHFDALLAPDSFSAPSSSSCDRVVARATATRQTRSVPPSSQ ESTDARAAKASHKSSLRHGTCDLDVPHPLTDLARRFNDRKSDHRQAISSGTECHRPPT PAQNESQCCIAGHAAVVGEDCFIESEIRRKYLELDAYFQSTTQGEVPPVRSDYAPCAT AHTQSKPKGRKTTQTGPRSSPQPIRTTPNSSAAKPAPNDPSRSRVLGHMTPYATLTGP HLSPTSTHAAPASTVTKPTTNPLPNTDNEGHREDPPPPS H257_07773 MEREQQLKKYEQHQVRMKFEVVPPTALSEVYHHINDCLPATNSL APRRARSSRGVAFGTDTHNPHCRRCSKQFPCTLVQLCDNLLTQTECAPGQCSANELCQ NQAIFKKLFPPTTVVADSKLTHALRVDESVPAGTKIIEYVGEHIGKDEVAARKEKRHG AKDWYIARVGTNGDLYVDASKFGNNSRFINHACVPNCRFEVWYVDTKPRLMVVANTAL EQGTILTLSYMNAVWNITCVCGACDGHAATFRNAAWI H257_07774 MSSKRSYRSGVKQLGTWLQSSGRSSMNDTFITTVPGANTLVESS LVFHWNLEALQLSLITLLWVHEELRGVLKLCLASLVYHKEFLEASLPPKHQLLASVLF GDLTLANNWGSRVTLASNILQPTGIPPHVGLHAQLEQTSVLVRTLPTEICEGIEKILE DKGMTAGNITQSLLEKLLKDAVASVVSLTSANSSTTVEFTDESIPTRPVHYWGGRWHN LPEGFEMPSADVANAWHVWWCGLPARGLPPLYKLSSRDMSKKQAKILCEWSFAIDELQ KAYFSAAGRELGRPINSASVIAAFSTIMTALPSLWGQTQLGQQRRLSQLKMVTFARLA RKRRRES H257_07775 MLPMFGRSREQICRVLNHMGNLVCSKWKDHIYCNKRIVRARIAQ YARAIHAKGALLSNVWAFPDGTKIETCRISASSSGAGGLNVQKRIYSCHKRMHCLNFQ GLTTPDGLRIHFFGPLEGSRHDVPLLRVSQLQEYFEANSDIFDGYFIYGDPAYPIYKW IISGLQGNNLDEAKELFNAAMCRVRQGVEWNFGRMKTLWGFTTYKMQQNIEVISPSQR N H257_07776 MMRLMSLAALFVVNVLLAVTSSVALASIPRNGWYGCPLSTFDGR LDCPAAQALSLGSRNGNNIALEEVPSCLKDIHHQFGTNAAAAYSITNAAKDLAVLIET EMADYDVYLYGMSYGTLVVERLMHFSPPQVKGYILDSICADHYEPQGTGNNQMFNNWD DDVKDVSQHFLDLCHKDTAVCGKLLGENATVTLFNLYDTLDANASACSAIFYDALEIK PSVFLRLFFFKLLGRYDSRKFIPTFAARLERCNAQDKDVMTAILTKNDPAETSLVLHS NLLHDTIVHSEVWQVPTPSMEDILQQFLASPIALNDPDKVGSYCLFTGSNSSGCEPWA DMNVPLWTYTSDKYFNKTAANPIGVSVLGLTGNLDPVTPSKHARRHFNNMKGDNKKLV EFPVAVHGVIGNTPLSDNHTDPHCGLLVVAEFLRASGALDAMNLTCMDKVQPLNFDIP DALDLELFDLDGGYTATGYHLWDYFTKEDYIEVVRENELNQNARSAVKYEQDMVPQS H257_07777 MNIASNNILRGRQLAELYFTRNAAGSTDWTCRCGARRGSFTVRS ERASCQKPNFESVIVKILRGTETTITAEECASVIKLRNEEIIESSTAGMALPVMYLAE QYLPS H257_07778 MASKRHSRHATLGGQGRRELLPFKDDLLAYMRAKRDSEEHLRVF HLMRWVNTNHKEWLVQYLASKKNEAVAYQSFRSRTGRVLPATTTELQPRAHFSQHLQE IRRCTPRAVETRVAANLDNTPFAVVFDGWTENSHHFVGLFATVPTAMSYDKMLLSFEP LLDETSMTAEKHKTFTLQQYMLDHTKVESGLVALIGDNCATNVALARLIFRPLVGCSS HRLNLGVEKYLKNELNKVQAIMVKLRNVKASGQLRLTTMLRPVLRNETRWTGASTMLN RFVKFMSLKAIDHTDTMYAALMPTHLELLRIDSAVAELKLFMSMTKKLQTRNITMINV RYLFDAAILRHPFLDNFVGPTCKNVSSPMFESTIVKIQGSCENQLTPEERNQVLRLVK REDHAFAVDGHTRQREDDFASETLKRQCVESKSSSSYVCTSFIVPTSNDVERLFSLCK RLYSPHRRALSPITLEILVYLRTNRHLWDQALVASVVHGNEDENDKDIDEDDEDIGSD FE H257_07779 MSTKLLQAAQFILQKNWLIAGSDDLRLRNAIKTWNWDLDWANSN TFSGHFKQWHQAQRRCKAGPLQGSVLSDDVSLRTIQHSLYGSVQLLGLVLTTWSAHAN AAGCLFAPVRARSEADPAVVGGVCLAPVEVAWLAALARVVRQSVGRPS H257_07780 MVNEKDLKLKVGILKRSKKDLVYYEKEKAKQILKIETMRADKDK YDDHDIRKQEEVLAETEAMLPESTNRLHAIQQEVEILLQQVPEGSEWVCIEEAKELLV AN H257_07781 MAAMVMRMVPGNNLGPAKHHLVVMYPRGGATEMGDKREAVRRAV RPVQRTRVLAEASKGFVHGIDAKRRNCRCQRVKQVVVDAVVLGVAGKERWKKELEEFA QERYIAYLHERVQHGQQRGFRGFVVCLLVPPTGRRPCPWLGVLVRMLAEHLDQAAGHV GCGRVPQQQDVRSRRRTVNGRCRRQGRRRSRAGSVRRLVCWTFQRISQRVYAFGQAQQ L H257_07782 MPLRLDIKKKLSSRSERVKSVDLHPTEPWVLSGLYNGTVMLWDY DKQQLVKSFEVSTLPVRNARFIARKQWIVASADDLQLRVFNYNTMDKVTAFDAHTDYI RFLEVHPVLPIILSCADDMTVKMWDWEKNWLCTQVFEGHGHYVMMAKFNPKDTNTFAT GSLDRTIRVWGLGSSHPHFTLEGHERGVNCIDYYPGGDKPYLISGSDDKTVKVWDYQT KTIVHTLDGHTHNLADVMYHPRLPLIISACEDGAVRMWHSTTYRAETTLNYGMERAWC LAGLDSSNVLAIGYDEGSVVLRLGHDTPVVSMDATGKLIWATNNEIQTASVKGVVAEL GLKDGERVPLPGRDLGSCEVFPQKLQHNSNGRFVAVCGDGEYIIYTSQQLRNKSFGSA LDFAWSPTGTGDYVVRESSSKIVLFKNFVQVKTVKPAQCTAEGLFGGALIGVRGPDCI AFYDWDNDMRFVRKIDATVKNVWWSDAGDLVVLATDSSYYVLRYNRDAAAAPSPDGVE GAFELLHELSEKVSSGTWVGDCFLYVNSGGRLNYYVGGEIMTITHLPTKMYLLGYLPK ENVVFLMDKQKNVTSFTVHLVLLEYQTAVVRHDFTTANAILPRIPPDLMDVVARFLES QGYKEEALVLSTDPDQKFDLAVQLAKLDVAKDIMMAREHDQHASATDTQHKWKQLGDL ALNDGNYTLAEDCAVRSEDLSLLLLLYTSRGDRVKLATLAEMATAKGRHNVAFVSLLF LGRLKDCVGLLVDSKRLPEAAFFARSYDPAAIDEVLGAWRDDLAQVSSRAAKALADPA KNVELFENFEKSIRAAQVVAQQQHVVFPASEYAARVPLLDVHVLDRGQEEVAVQDNGT GIL H257_07783 MGDVRVVCRVRPPNSMEIARGVAGVRCVKTQSPGLVQLYSDGIE SGNAPQGFTFDSVFGEDSTQQQVFDEVARPVVADIMEGYNATIFAYGQTSSGKTFTME GASIDDEALRGIIPRTATELFARLMEADENMEFVVKVSYIEIYMERIRDLLDPYKTKI NLQVREDNDKGIYIDGVTELCVTSDDELLSTMRSGAANRAVAATGMNEGSSRSHSVFM VTLYQRNLETNSTKVGKLYLVDLAGSEMVRKTGASGKQLEEAKTINKSLSALGMVINA LTTANVGFVPYRDSKLTRVLQEALGGNSRTSLIINVSPSIYNAPESMSTLRFGNRAKA IKNKAVVNAEKSAEEYKQLLAKADKTINMQQNYIVVLETRLKQQLPTVPAAPEAAPTV PADSVASSSTSPEQEAATLAALQTLQERVVRLEEQLTEEQHESTRRGDEIRSLTAVLN DAKAAAANDQRTWERQRGDDQTARLLQEELANMKLEMDKVMFENQEIKLHVETLQQEQ TRLLASDADSTAAARLSVGGGRGDEEDVDMTAATPPPTPPVTRVGSLLATGDESSAAK LEVELKAAQEELVQHQTQAQKDIQRFTEERRQLTSQIQDLALQLQTVQSNQQAILSTS GPTGMSARERQHMRSIQQKLEQLVAVHRQLLRKYASLEAEHNEARQKLGLRDDRIKSL EANTRLMASNMRLQSEKHLEELSALHVQLAELRKNLTLDLEDRVSIDGSLPAAGGIAA SVPVRRQTSLYVRQNSMVGDGVIRPIRGGGRRQSTLDSPPKSSSIQVPDTSSAGGLGF LQRMLGLK H257_07784 MEPPRQTRDEVRRGATCALAARLALSLDTVQDEDTVLVLEAELL ELYQPRGDVGCHGCSALPSVLPQRV H257_07785 MPVNILDVLREGAQRSNLRQASSKMGRAMQSLVWRDAFINRADF SIPVGTSHVLQVRQAPNGEINGLGTGLTVWPAACVLLKYLEHHYAPSNGLQGKRVVEL GCGTGAVGLAAAMLGAHVVLTDQAHILFHIQANVQANDVAGVEFCAYDWGAPLPQVVA GRKPVDIVLISDCVLPKLYPIEPLVDAINLLCASQPSTVVLVSFEHRYYEHYDAKDMF WTLVAQRHLTIRMVPLEAHHPSYNADDIEIWEITSTT H257_07786 MRASMHRARALLPLERRAFLSTALSAAGEPTKHVLYKSHMPTSP LQKIAVAVGSAVSVFTNPERGDMLAALGDVTGAVALRKMHLKMSSDPVGMQILAEKPR FRSNVVDIDALRDLPRNTFGYAYTQFMDAHGFEADGRADVKFVDDPELAYVLQRYREL HDFWHVLFGLPPTEFSEIALKYVELVQTGLPVCTLSGFVGPLRLSSGT H257_07787 MTSSDDEDWREEVEYAVGTIRVGTDLQFEIRQMEELSLAGSLSM LSEMRETTSEISGQRIWPGSYLLAEYVHANPDILAGKRVLELGAGTGLVSLVARLAGA TSAIATDGDLDVVTDILAWNVQNNAATNATLGSIDTTSLWWGDASSNEAFRDRFGSNP FDVIVAGDVLYKGELVPLLLSTVLKWMHTAHGTFFLCHIPRADVSHEVLQHELLAHGF SFEVVLDHAAIGTQDAARLPTDCAIDDVIKAKVYRIIHTNATT H257_07789 MLVVSGPVQLSTSSNTLQHAPAIMREKRRLPDANTAASPPPIKR TRSFTDYEYEEMLATVLTMTEDLYLLLDVVADICHDQRCRRCTTIDVITLQRKLVAIE NAVMDVDDAKAAAYSLRAVQAMCVDMFAVLKFIMGGAHYADIVALNLGHLVLGSRNQF AQFMMQYSLN H257_07788 MAPTPTTDGVGKYDMKKKPKSISHKLSRAFGLKSRKAKSNNAAP TEPATSTMPANEGEIERLFSEAVDNMALPKAATDRMRTLPLSQKWQIIQDWTAKQNSK GRSSEHTQPLFWVHKLQDAVSEDATTLTHEDARQLHVLMRGCDKEWLTAFHKEDGVLA LTEWMAAVASENHTPLLHEAMRCFKCLMNNHHGMQLLLQEPDAIEVLVLCLDFEAPED RRAITIMTLEMLSLMCWFSETGHSAVLQAMEKYRRANHERCRYWSVVECLKVGDSLEL QAACLTFINTLVSTCAALDDRVDVRNDFLAMDMLVLCHAIEEQYDALELEHSATTYGA WGQGDAEKAAAKSFSKQVEVFEGLMHSDMQDTIVHDVDLANVDAVVDKLKQRAHGHGW SDRLLHALLALLVIPGEISIGEKMWEMAEEALIQITSCPTYKELSTKRVTFAAVKSAL QQAEDSAHTKAQNLRLEAQVAQLTGKLAILQAQPMSAEQEAKLAKLDDSHVMALELQA ALSKILALETQVATLQHDAVSGGGAVKAVIDKPSETSRNPRLEKYAKLLKMGMPRDQV AMKMQVEGMDIADLDINAVGGSSVASAPDVVAMDDPKYQKFTKLLKMGMPLEQVQLKA KAEGVDPSKLTLPTMPACGSAMSPTLDPKYDKLVKLLKMGMPKDQVKLKAQAEGLDPS FLDNSPVVNTASTPAIPLSAPLSVAAIGGKSTAKAPVASTPPAPVASKLPPKKATVPT TKLRGLYWNALPDTAVEGSIWAKMDENKLGLDLTGALDKDFSQDGTSQRTDSAMPVAA VVNKPKLVHLVDPKRQQNCSIALSRFRMTPSELKQAILTLDEAVLTLERVQILESLVP TPEEVELVKSYEGDATLLGETEKFFLAVLDIPRLAQRLRAVHTTHIHLSREDDFRAKV KVLEKAVGELTTSHHTVAVLEVVLAVGNYLNGGTPRGGVWGFKLDILPKLAQVKSTSS TSKSLLHVIADLVAAKAPHANGFYDALPSMEAAAAISLTQLQTDLRGIEAAVTVVQQE LTLQRDPFRAKLQPFVTTAQGDCAALKQSLGRLDGQFQRAIRSFGVEKPSSDGDMAQW FFGLWADFCKAYKQAVADNDQRRKDALKADAIKQQHRRSAEPEDGDLFNQFSESLEGD AREIVAKFRKRHQGGVGKQPQVPAKSAVLQSELSLKLARRRESSNLRHKK H257_07790 MNPFRRWSGKPSKNNSPSKPRKDSFSLESPPSLRHEILEREPSM VATPALTRPSEPSQIDALFRDMMDKMPLSNAAADRMWALPINQKWQLVQEWSKKHEHE RQHDSADRQPIFWVHKLQEVLMETTSPLTEDQARGLHVLMRGASKEWLHKFHRAGGVT SLCEYMAALAYRATEMADSAFAYDNAILLECLRCYKTLMSNPFGLELLLDSTDHHVDT LAQCLDFSHFSQQLVTTTVLEMLSVFCWRSERGQLAVLQAMGSYQRTHKERARFASLV HCLKASDSSDLHAACLTFINTIVSASPRLEDRVHVRNDFLALDLLVVCHAIQAKMEAP PSPPRLGGLVDPSFDQKPKPPPSKAAFVKQLRVFEGFMVSDMEDAATNDVDLTNLEAV FTQLKASASRYGFTDRLLNVLLAMMGIPGEATMGTKMWELAEESLLQITSLHSYNDLT NAPRQLSFEWVRELQDSVEVFQTKVDTIASLESTIAAMEAQSKRCHATVKARDQEIVN LKTTLEYVYAEQLKHQSIVSESTQTCSPAVSSSANQTDEVHGRSFAETQTDDVAPPTT RDFQYETFFQAMRAGTMSQDQVEVAMRAQGLDPAVLFYGSQALPPRLPPPLVTVVEEI PEKYLKLIKMGMPKEQVALKMKADGVDPSLLDTHERSTSQPRVIEPTIESPVGPKAPA PTNMTETYVKLLKIGIPYEQVALKMCAEGLDPGLLPKLTASPPSLEPPPVPPAATVIS HSGVEKYVKLIKMGMPKEHVKIKMKADGVDPAALDAAHSSSPPPPTTTTTLVQHVAAP EVSVDPKHEKYIKLLKMGMPKEQVALKMKAEGLDVSVLDTPSVGVASEGPSIAPSAEL LSPPPLDPKYTKYVKLLQMGMPLEQIEVKVKAEGLDPSKLTLLAHRTSPSPPPPITSS QDDVSCESSKLPPSLPPPVAVTVTPPPLPPTFKLPPKPSTVPTVKLRSLYWTPLPDAA VEGSIWLNLDETKLGLDLGILDKEFGPDKKTDPLMLSSSPTKTGKAISTTAKPKVVHL VDSKRQQNCSIALSRFRMAPKDIKAAIVALDDNVLTLERIQSLAAMVPTADEVDLVKG YEGDVALLGETEKFFLAISDLPRLAQRLKAMESTWTFGQRFDEVKGKLKLLDQAYGDL KRSTKLLSLLQVVLAVGNYLNGGTPRGGVYGFKLDILPKLSQVKATSSANKTLLHVIA EYVATSVPDASDFYESLNSLDEVSAISFTLLQNDVHMIEASLVQIDQEKPHQDDVFRA KMGLFLAAAQSDCQSLQANMASFQSKFHTLTLSFGVDSTKPTSDGDAVQGFFGTWSDF CKTYKRVATENAAAKDKLSKQEAAKAKQDESKRDLFQQFTDSLEGDANDIVANYRSRH HKGGEGGVVGGLLRKDISRRRLSSTAGPAS H257_07790 MNPFRRWSGKPSKNNSPSKPRKDSFSLESPPSLRHEILEREPSM VATPALTRPSEPSQIDALFRDMMDKMPLSNAAADRMWALPINQKWQLVQEWSKKHEHE RQHDSADRQPIFWVHKLQEVLMETTSPLTEDQARGLHVLMRGASKEWLHKFHRAGGVT SLCEYMAALAYRATEMADSAFAYDNAILLECLRCYKTLMSNPFGLELLLDSTDHHVDT LAQCLDFSHFSQQLVTTTVLEMLSVFCWRSERGQLAVLQAMGSYQRTHKERARFASLV HCLKASDSSDLHAACLTFINTIVSASPRLEDRVHVRNDFLALDLLVVCHAIQAKMEAP PSPPRLGGLVDPSFDQKPKPPPSKAAFVKQLRVFEGFMVSDMEDAATNDVDLTNLEAV FTQLKASASRYGFTDRLLNVLLAMMGIPGEATMGTKMWELAEESLLQITSLHSYNDLT NAPRQLSFEWVRELQDSVEVFQTKVDTIASLESTIAAMEAQSKRCHATVKARDQEIVN LKTTLEYVYAEQLKHQSIVSESTQTCSPAVSSSANQTDEVHGRSFAETQTDDVAPPTT RDFQYETFFQAMRAGTMSQDQVEVAMRAQGLDPAVLFYGSQALPPRLPPPLVTVVEEI PEKYLKLIKMGMPKEQVALKMKADGVDPSLLDTHERSTSQPRVIEPTIESPVGPKAPA PTNMTETYVKLLKIGIPYEQVALKMCAEGLDPGLLPKLTASPPSLEPPPVPPAATVIS HSGVEKYVKLIKMGMPKEHVKIKMKADGVDPAALDAAHSSSPPPPTTTTTLVQHVAAP EVSVDPKHEKYIKLLKMGMPKEQVALKMKAEGLDVSVLDTPSVGVASEGPSIAPSAEL LSPPPLDPKYTKYVKLLQMGMPLEQIEVKVKAEGLDPSKLTLLAHRTSPSPPPPITSS QDDVSCESSKLPPSLPPPVAVTVTPPPLPPTFKLPPKPSTVPTVKLRSLYWTPLPDAA VEGSIWLNLDETKLGLDLGILDKEFGPDKKTDPLMLSSSPTKTGKAISTTAKPKVVHL VDSKRQQNCSIALSRFRMAPKDIKAAIVALDDNVLTLERIQSLAAMVPTADEVDLVKG YEGDVALLGETEKFFLAISDLPRLAQRLKAMESTWTFGQRFDEVKGKLKLLDQAYGDL KRSTKLLSLLQVVLAVGNYLNGGTPRGGVYGFKLDILPKLSQVKATSSANKTLLHVIA EYVATSVPDASDFYESLNSLDEVSAISFTLLQNDVHMIEASLVQIDQEKPHQDDVFRA KMGLFLAAAQSDCQSLQANMASFQSKFHTLTLSFGVDSTKPTSDGVTKLTLLQL H257_07790 MNPFRRWSGKPSKNNSPSKPRKDSFSLESPPSLRHEILEREPSM VATPALTRPSEPSQIDALFRDMMDKMPLSNAAADRMWALPINQKWQLVQEWSKKHEHE RQHDSADRQPIFWVHKLQEVLMETTSPLTEDQARGLHVLMRGASKEWLHKFHRAGGVT SLCEYMAALAYRATEMADSAFAYDNAILLECLRCYKTLMSNPFGLELLLDSTDHHVDT LAQCLDFSHFSQQLVTTTVLEMLSVFCWRSERGQLAVLQAMGSYQRTHKERARFASLV HCLKASDSSDLHAACLTFINTIVSASPRLEDRVHVRNDFLALDLLVVCHAIQAKMEAP PSPPRLGGLVDPSFDQKPKPPPSKAAFVKQLRVFEGFMVSDMEDAATNDVDLTNLEAV FTQLKASASRYGFTDRLLNVLLAMMGIPGEATMGTKMWELAEESLLQITSLHSYNDLT NAPRQLSFEWVRELQDSVEVFQTKVDTIASLESTIAAMEAQSKRCHATVKARDQEIVN LKTTLEYVYAEQLKHQSIVSESTQTCSPAVSSSANQTDEVHGRSFAETQTDDVAPPTT RDFQYETFFQAMRAGTMSQDQVEVAMRAQGLDPAVLFYGSQALPPRLPPPLVTVVEEI PEKYLKLIKMGMPKEQVALKMKADGVDPSLLDTHERSTSQPRVIEPTIESPVGPKAPA PTNMTETYVKLLKIGIPYEQVALKMCAEGLDPGLLPKLTASPPSLEPPPVPPAATVIS HSGVEKYVKLIKMGMPKEHVKIKMKADGVDPAALDAAHSSSPPPPTTTTTLVQHVAAP EVSVDPKHEKYIKLLKMGMPKEQVALKMKAEGLDVSVLDTPSVGVASEGPSIAPSAEL LSPPPLDPKYTKYVKLLQMGMPLEQIEVKVKAEGLDPSKLTLLAHRTSPSPPPPITSS QDDVSCESSKLPPSLPPPVAVTVTPPPLPPTFKLPPKPSTVPTVKLRSLYWTPLPDAA VEGSIWLNLDETKLGLDLGILDKEFGPDKKTDPLMLSSSPTKTGKAISTTAKPKVVHL VDSKRQQNCSIALSRFRMAPKDIKAAIVALDDNVLTLERIQSLAAMVPTADEVDLVKG YEGDVALLGETEKFFLAISDLPRLAQRLKAMESTWTFGQRFDEVKGKLKLLDQAYGDL KRSTKLLSLLQVVLAVGNYLNGGTPRGGVYGFKLDILPKLSQVKATSSANKTLLHVIA EYVATSVPDASDFYESLNSLDEVSAISFTLLQNDVHMIEASLVQIDQEVAQYYTYLCL GIIDVCK H257_07790 MNPFRRWSGKPSKNNSPSKPRKDSFSLESPPSLRHEILEREPSM VATPALTRPSEPSQIDALFRDMMDKMPLSNAAADRMWALPINQKWQLVQEWSKKHEHE RQHDSADRQPIFWVHKLQEVLMETTSPLTEDQARGLHVLMRGASKEWLHKFHRAGGVT SLCEYMAALAYRATEMADSAFAYDNAILLECLRCYKTLMSNPFGLELLLDSTDHHVDT LAQCLDFSHFSQQLVTTTVLEMLSVFCWRSERGQLAVLQAMGSYQRTHKERARFASLV HCLKASDSSDLHAACLTFINTIVSASPRLEDRVHVRNDFLALDLLVVCHAIQAKMEAP PSPPRLGGLVDPSFDQKPKPPPSKAAFVKQLRVFEGFMVSDMEDAATNDVDLTNLEAV FTQLKASASRYGFTDRLLNVLLAMMGIPGEATMGTKMWELAEESLLQITSLHSYNDLT NAPRQLSFEWVRELQDSVEVFQTKVDTIASLESTIAAMEAQSKRCHATVKARDQEIVN LKTTLEYVYAEQLKHQSIVSESTQTCSPAVSSSANQTDEVHGRSFAETQTDDVAPPTT RDFQYETFFQAMRAGTMSQDQVEVAMRAQGLDPAVLFYGSQALPPRLPPPLVTVVEEI PEKYLKLIKMGMPKEQVALKMKADGVDPSLLDTHERSTSQPRVIEPTIESPVGPKAPA PTNMTETYVKLLKIGIPYEQVALKMCAEGLDPGLLPKLTASPPSLEPPPVPPAATVIS HSGVEKYVKLIKMGMPKEHVKIKMKADGVDPAALDAAHSSSPPPPTTTTTLVQHVAAP EVSVDPKHEKYIKLLKMGMPKEQVALKMKAEGLDVSVLDTPSVGVASEGPSIAPSAEL LSPPPLDPKYTKYVKLLQMGMPLEQIEVKVKAEGLDPSKLTLLAHRTSPSPPPPITSS QDDVSCESSKLPPSLPPPVAVTVTPPPLPPTFKLPPKPSTVPTVKLRSLYWTPLPDAA VEGSIWLNLDETKLGLDLGILDKEFGPDKKTDPLMLSSSPTKTGKAISTTAKPKVVHL VDSKRQQNCSIALSRFRMAPKDIKAAIVALDDNVLTLERIQSLAAMVPTADEVDLVKV RGIRLERPQ H257_07790 MNPFRRWSGKPSKNNSPSKPRKDSFSLESPPSLRHEILEREPSM VATPALTRPSEPSQIDALFRDMMDKMPLSNAAADRMWALPINQKWQLVQEWSKKHEHE RQHDSADRQPIFWVHKLQEVLMETTSPLTEDQARGLHVLMRGASKEWLHKFHRAGGVT SLCEYMAALAYRATEMADSAFAYDNAILLECLRCYKTLMSNPFGLELLLDSTDHHVDT LAQCLDFSHFSQQLVTTTVLEMLSVFCWRSERGQLAVLQAMGSYQRTHKERARFASLV HCLKASDSSDLHAACLTFINTIVSASPRLEDRVHVRNDFLALDLLVVCHAIQAKMEAP PSPPRLGGLVDPSFDQKPKPPPSKAAFVKQLRVFEGFMVSDMEDAATNDVDLTNLEAV FTQLKASASRYGFTDRLLNVLLAMMGIPGEATMGTKMWELAEESLLQITSLHSYNDLT NAPRQLSFEWVRELQDSVEVFQTKVDTIASLESTIAAMEAQSKRCHATVKARDQEIVN LKTTLEYVYAEQLKHQSIVSESTQTCSPAVSSSANQTDEVHGRSFAETQTDDVAPPTT RDFQYETFFQAMRAGTMSQDQVEVAMRAQGLDPAVLFYGSQALPPRLPPPLVTVVEEI PEKYLKLIKMGMPKEQVALKMKADGVDPSLLDTHERSTSQPRVIEPTIESPVGPKAPA PTNMTETYVKLLKIGIPYEQVALKMCAEGLDPGLLPKLTASPPSLEPPPVPPAATVIS HSGVEKYVKLIKMGMPKEHVKIKMKADGVDPAALDAAHSSSPPPPTTTTTLVQHVAAP EVSVDPKHEKYIKLLKMGMPKEQVALKMKAEGLDVSVLDTPSVGVASEGPSIAPSAEL LSPPPLDPKYTKYVKLLQMGMPLEQIEVKVKAEGLDPSKLTLLAHRTSPSPPPPITSS QDDVSCESSKLPPSLPPPVAVTVTPPPLPPTFKLPPKPSTVPTVKLRSLYWTPLPDAA VEGSIWLNLDETKLGLDLGILDKEFGPDKKTDPLMLSSSPTKTGKAISTTAKPKVVHL VDSKRQQNCSIALSRFRMAPKDIKAAIVALDDNVLTLERCVVG H257_07791 MDEERGGATTPLLPSTAMWLSVGMCALVGLLTAIYYASCRNVRL ASPPASVHVSTKAAVATMDATTKFELFVSTRVAQAPVVLFTTGDVTPVLELCRLNHVS VHHVNLDRVAGNLAISQVLQRRCNLTSPFQELLFVNATFVGDTATVVSMASTALAALL SIDPSTFVVGGTADDVVLTIDPSLLQRGHATSFNDTLPNDPQSLLAWHLDPAEATRSV PLAHRPRASNRQRSHLTAFSEQNQNFDTSTQLSLVPWEILDAIVFTSEGFLTLPPQGF VDGAHRNGVRVLGSLTWHADSAAQINQPNDFCDWQSLAHRLQTVQSFTGVDGWHLVGL SHQVAATLLPLLSSSSYVLWASEIVSSSVTTLLPLTHGVLLPAPVDKSTVVKLAKAAG DRRWQLTFTLDSMHAEVSARTLRFADVSVCVPLTTVTNDPFWKTNWRSVAPVHSVYGG AEALYTAFNVGHGATTSVDGRVVSSSPWHDQGQVDVLPLLNKAKSPMHMNLSLAKAFQ GGSSLHLCATLPAKARVSVDLLPLQVHFAPRKVIRVAYSFHTTNDPTSFGLALNLVSK DEIVLRGWPSSQLTSSPLSQITSSSLSPLIGRVKHTRAYAPVSETTGPNGWITRVYQL GGALWDGHVIKGVSVFGVNLSDERTEDWSVHVGQVVVCPVAADMPTSSHMSPVITAIQ IDPITASLTWTVPSTVQYTHVFQEMLTCHDELVWVGSTNHSRWQLPSKAKSDTTFVLQ PVHWTGAVGRPQRVTIVLGGADDPSSFRQT H257_07791 MDEERGGATTPLLPSTAMWLSVGMCALVGLLTAIYYASCRNVRL ASPPASVHVSTKAAVATMDATTKFELFVSTRVAQAPVVLFTTGDVTPVLELCRLNHVS VHHVNLDRVAGNLAISQVLQRRCNLTSPFQELLFVNATFVGDTATVVSMASTALAALL SIDPSTFVVGGTADDVVLTIDPSLLQRGHATSFNDTLPNDPQSLLAWHLDPAEATRSV PLAHRPRASNRQRSHLTAFSEQNQNFDTSTQLSLVPWEILDAIVFTSEGFLTLPPQGF VDGAHRNGVRVLGSLTWHADSAAQINQPNDFCDWQSLAHRLQTVQSFTGVDGWHLVGL SHQVAATLLPLLSSSSYVLWASEIVSSSVTTLLPLTHGVLLPAPVDKSTVVKLAKAAG DRRWQLTFTLDSMHAEVSARTLRFADVSVCVPLTTVTNDPFWKTNWRSVAPVHSVYGG AEALYTAFNVGHGATTSVDGRVVSSSPWHDQGQVDVLPLLNKAKSPMHMNLSLAKAFQ GGSSLHLCVRNVHNSDDNAGLVCCYKRFIAHMYVGHITCKSSGQCRLVATSSALCPSK GHTRSLFVPHDQRPDVIWAGTELGVER H257_07792 MAHVQPATGSVLNSSSQSTSDPEGVLPDDVSPLEVYNFDVNKYK DGSFQLVTAVDPRVFLSGRFLRHDEYASRKTKVNSVQFDWPHCGFSIRVKRTRGVGIR LKGEGNYFNVFVDGEFKCILRASLKASCCDVVTFDDDIGDEEHTVTISKRTEPQMRGA VSTFKVCTFYGFVVDKAAVVLPCDPGFRHRIEFIGDSDTCGFGNEGVATSSKGIFGMK GRMENVYNGYACIAARMFHAEAHILAWSGKGVKSNLGDWGPNMSSMWKNAIASREFPW DLNSWQPHAVVINLGTQDLFPPASSEAEIVEAYTALLEDVRQHRPDAHIFCVVCDENC MSGEDDLVNRSRISLQLQEITKVAMSRVKKMDLRMHYAFIKGGLDDSDFANMRHYAVS GHVKFAQALAKEIAFRTEWDVTADVQTMPYPQAKSQILVPSDKQCAIS H257_07792 MAHVQPATGSVLNSSSQSTSDPEGVLPDDVSPLEVYNFDVNKYK DGSFQLVTAVDPRVFLSGRFLRHDEYASRKTKVNSVQFDWPHCGFSIRVKRTRGVGIR LKGEGNYFNVFVDGEFKCILRASLKASCCDVVTFDDDIGDEEHTVTISKRTEPQMRGA VSTFKVCTFYGFVVDKAAVVLPCDPGFRHRIEFIGDSDTCGFGNEGVATSSKGIFGMK GRMENVYNGYACIAARMFHAEAHILAWSGKGVKSNLGDWGPNMSSMWKNAIASREFPW DLNSWQPHAVVINLGTQDLFPPASSEAEIVEAYTALLEDVRQHRPDAHIFCVVCDENC MSGEDDLVNRSRISLQLQEITKVAMSRVKKMDLRMHYAFIKIQGGLDDSDFANMRHYA VSGHVKFAQALAKEIAFRTEWDVTADVQTMPYPQAKSQILVPSDKQCAIS H257_07792 MAHVQPATGSVLNSSSQSTSDPEGVLPDDVSPLEVYNFDVNKYK DGSFQLVTAVDPRVFLSGRFLRHDEYASRKTKVNSVQFDWPHCGFSIRVKRTRGVGIR LKGEGNYFNVFVDGEFKCILRASLKASCCDVVTFDDDIGDEEHTVTISKRTEPQMRGA VSTFKVCTFYGFVVDKAAVVLPCDPGFRHRIEFIGDSDTCGFGNEGVATSSKGIFGMK GRMENVYNGYACIAARMFHAEAHILAWSGKGVKSNLGDWGPNMSSMWKNAIASREFPW DLNSWQPHAVVINLGTQDLFPPASSEAEIVEAYTALLEDVRQHRPDAHIFCVVCDENC MSGEDDLVNRSRISLQLQEITKVAMSRVKKMDLRMHYAFIKVTSNQYRRHWRCYIGRS RAGWTTLISLICGTML H257_07793 MTAAHCLPVPPSPSVDTPILPTSPCNSSEKRSVDAVSSRDAKKP RLTKKCVTFVDAQVVWFHKELPPITRFGGLNRPTSPTHSEMERKSRELVDDGTISLTQ VHASELRDMIQHVMWGLDLPCKCDDIDTLRKQFNFANDLHLETMMEFGDFVPDTLAPS SSRRLLLACKDMVGFRGMGYTFGHRFLTIDEFIATMDDIELVRVLQHHQVPVPPFVIP KQDGRHFPTSVLVSRHYMCGGRTLDQLNMVELRLECSIRNVLGAVMSKKYKKKAQLVR LLKPLFEAEHITRCAEMQQRAQMELLVANILQQAATSKMLLSLAKVLRRRIHSHLLNW ADENDGNMEAAPSMEILTEWFAKLLQGDPNTRCPCSNSQ H257_07793 MHRPTSPTHSEMERKSRELVDDGTISLTQVHASELRDMIQHVMW GLDLPCKCDDIDTLRKQFNFANDLHLETMMEFGDFVPDTLAPSSSRRLLLACKDMVGF RGMGYTFGHRFLTIDEFIATMDDIELVRVLQHHQVPVPPFVIPKQDGRHFPTSVLVSR HYMCGGRTLDQLNMVELRLECSIRNVLGAVMSKKYKKKAQLVRLLKPLFEAEHITRCA EMQQRAQMELLVANILQQAATSKMLLSLAKVLRRRIHSHLLNWADENDGNMEAAPSME ILTEWFAKLLQGDPNTRCPCSNSQ H257_07793 MHRPTSPTHSEMERKSRELVDDGTISLTQVHASELRDMIQHVMW GLDLPCKCDDIDTLRKQFNFANDLHLETMMEFGDFVPDTLAPSSSRRLLLACKDMVGF RGMGYTFGHRFLTIDEFIATMDDIELVRVLQHHQVPVPPFVIPKQDGRHFPTSVLVSR HYMCGGRTLDQLNMVELRLECSIRNVLGAVMSKKYKKKAQLVRLLKPLFEAEHITRCA EMQQRAQMELLVASTWPTQHRTLDLGGGVRYFTTSGYVQNAAQFGQSTPSADPLASVE LG H257_07793 MERKSRELVDDGTISLTQVHASELRDMIQHVMWGLDLPCKCDDI DTLRKQFNFANDLHLETMMEFGDFVPDTLAPSSSRRLLLACKDMVGFRGMGYTFGHRF LTIDEFIATMDDIELVRVLQHHQVPVPPFVIPKQDGRHFPTSVLVSRHYMCGGRTLDQ LNMVELRLECSIRNVLGAVMSKKYKKKAQLVRLLKPLFEAEHITRCAEMQQRAQMELL VASTWPTQHRTLDLGGGVRYFTTSGYVQNAAQFGQSTPSADPLASVELG H257_07795 MATITEPLDLIKLSLDEVIYVKCRGERELRGKLQAYDQHLNMVL SDVEETVTSVEIDQETYEEIVKQAKRQVEMLFVRGDVVILVAPPLRTATNK H257_07794 MKHQVRRTADPSVAEAIDAFEHGLGLSIRGFKKACAFAKKDASL HLDAVRTAFGTPCACPQDFVPPLDNNSDLSSDINKGKQNETRPSTKSSKPRPQSAAAK RSGRKPTIPVDFVYDVDVRKQLRAAIDAERRDVLHATQAREAKLREANQWLPNSRPKQ EKVAWSTPRRKLPPSPRAPSVNVEGASTMTNAATTLGSKQDEVVWERPTPKKVRPQSA VNRRNPVADNVHFASWQTGSYHKDEDADLLHSRKSSRRRQHRCTYRQQG H257_07796 MSRQQQQHEETDEVSARNKSMFHRVRQAQVSGRMTTWKPLPSAA MGSSLHDRPLLVHPNIDSLLPVLDTHPKRLLKQMNHLDALLNAHQLSDVVVPLQALSR SIVPPTGIPTRMDDRDNFIQLGGPELLQRILFAILRDRSMELFPGAWPPGTSSRPLHY SYREETARRRSRMAGSDVATQDKLTALAESLNFLRELCFVAPTLAKQLGQDDSLTIVL FQLMGNTIFFEHAAGLVEEILSIRDISFDLSLVPDFHTTIQTFSSRQLAFFCRILALI VFEPEDRRLLENSRVIKSVELLRLRRERMQKADNTVDRNHAVLFRTPSVLNRLMLVLQ LQNYLFAINPAYDPYSHEIQSSTEWAMLFSLEQQEEWDLLDNPTVATVLREAMENDQS NDAATSPEQSLFNLSSTYFRSLMSRQRLQHHRSVATALDRTDVEAELLVKSIALAPFR VEVLFVICTLLSSKRKVDFQDALAEMGLVDILNQMFLRLKWNEPPPTPPSQPLHGPGC ECSMDASLKIQFLRLIHNFCDRDVQDHTNKLLLLSPAEQHSVRTGDRLDPARRGKGLL CKIIDVLVLQPADSIYRFWLASCVESFLRRSSPAEQLFVARTPLLAALLKEILSCGFR PSGSLQSAFDLLGEMTKNNPTTLVLFSDSMDDVQFASFMQVVVSNLVDSNVFVRSMLL SVETHPRTSAMFPSLARLFEFLQLNSVRLLRHLMTIVTLDDINHENICCLNTAVVMLV FEHRQRRLPRMLEALRQLQTEVDDGNTDLCVNFRSLLWFWVQYYVPRGRDRLSLEHSS DMKFNEWYSVVALLCADDGSPAALLPAPTLLPASPYVVHHMSSGPRASSAHHPYAYPT RRM H257_07796 MSRQQQQHEETDEVSARNKSMFHRVRQAQVSGRMTTWKPLPSAA MGSSLHDRPLLVHPNIDSLLPVLDTHPKRLLKQMNHLDALLNAHQLSDVVVPLQALSR SIVPPTGIPTRMDDRDNFIQLGGPELLQRILFAILRDRSMELFPGAWPPGTSSRPLHY SYREETARRRSRMAGSDVATQDKLTALAESLNFLRELCFVAPTLAKQLGQDDSLTIVL FQLMGNTIFFEHAAGLVEEILSIRDISFDLSLVPDFHTTIQTFSSRQLAFFCRILALI VFEPEDRRLLENSRVIKSVELLRLRRERMQKADNTVDRNHAVLFRTPSVLNRLMLVLQ LQNYLFAINPAYDPYSHEIQSSTEWAMLFSLEQQEEWDLLDNPTVATVLREAMENDQS NDAATSPEQSLFNLSSTYFRQRLQHHRSVATALDRTDVEAELLVKSIALAPFRVEVLF VICTLLSSKRKVDFQDALAEMGLVDILNQMFLRLKWNEPPPTPPSQPLHGPGCECSMD ASLKIQFLRLIHNFCDRDVQDHTNKLLLLSPAEQHSVRTGDRLDPARRGKGLLCKIID VLVLQPADSIYRFWLASCVESFLRRSSPAEQLFVARTPLLAALLKEILSCGFRPSGSL QSAFDLLGEMTKNNPTTLVLFSDSMDDVQFASFMQVVVSNLVDSNVFVRSMLLSVETH PRTSAMFPSLARLFEFLQLNSVRLLRHLMTIVTLDDINHENICCLNTAVVMLVFEHRQ RRLPRMLEALRQLQTEVDDGNTDLCVNFRSLLWFWVQYYVPRGRDRLSLEHSSDMKFN EWYSVVALLCADDGSPAALLPAPTLLPASPYVVHHMSSGPRASSAHHPYAYPTRRM H257_07796 MSRQQQQHEETDEVSARNKSMFHRVRQAQVSGRMTTWKPLPSAA MGSSLHDRPLLVHPNIDSLLPVLDTHPKRLLKQMNHLDALLNAHQLSDVVVPLQALSR SIVPPTGIPTRMDDRDNFIQLGGPELLQRILFAILRDRSMELFPGAWPPGTSSRPLHY SYREETARRRSRMAGSDVATQDKLTALAESLNFLRELCFVAPTLAKQLGQDDSLTIVL FQLMGNTIFFEHAAGLVEEILSIRDISFDLSLVPDFHTTIQTFSSRQLAFFCRILALI VFEPEDRRLLENSRVIKSVELLRLRRERMQKADNTVDRNHAVLFRTPSVLNRLMLVLQ LQNYLFAINPAYDPYSHEIQSSTEWAMLFSLEQQEEWDLLDNPTVATVLREAMENDQS NDAATSPEQSLFNLSSTYFRSLMSRQRLQHHRSVATALDRTDVEAELLVKSIALAPFR VEVLFVICTLLSSKRKVDFQDALAEMGLVDILNQMFLRLKWNEPPPTPPSQPLHGPGC ECSMDASLKIQFLRLIHNFCDRDVQDHTNKLLLLSPAEQHSVRTGDRLDPARRGKGLL CKIIDVLVLQPADSIYRFWLASCVESFLRRSSPAEQLFVARTPLLAALLKEILSCGFR PSGSLQSAFDLLGEMTKNNPTTLVLFSDSMDDVQFASFMRTFRLKYQVPYIYFLAGVY KIRG H257_07796 MSRQQQQHEETDEVSARNKSMFHRVRQAQVSGRMTTWKPLPSAA MGSSLHDRPLLVHPNIDSLLPVLDTHPKRLLKQMNHLDALLNAHQLSDVVVPLQALSR SIVPPTGIPTRMDDRDNFIQLGGPELLQRILFAILRDRSMELFPGAWPPGTSSRPLHY SYREETARRRSRMAGSDVATQDKLTALAESLNFLRELCFVAPTLAKQLGQDDSLTIVL FQLMGNTIFFEHAAGLVEEILSIRDISFDLSLVPDFHTTIQTFSSRQLAFFCRILALI VFEPEDRRLLENSRVIKSVELLRLRRERMQKADNTVDRNHAVLFRTPSVLNRLMLVLQ LQNYLFAINPAYDPYSHEIQSSTEWAMLFSLEQQEEWDLLDNPTVATVLREAMENDQS NDAATSPEQSLFNLSSTYFRQRLQHHRSVATALDRTDVEAELLVKSIALAPFRVEVLF VICTLLSSKRKVDFQDALAEMGLVDILNQMFLRLKWNEPPPTPPSQPLHGPGCECSMD ASLKIQFLRLIHNFCDRDVQDHTNKLLLLSPAEQHSVRTGDRLDPARRGKGLLCKIID VLVLQPADSIYRFWLASCVESFLRRSSPAEQLFVARTPLLAALLKEILSCGFRPSGSL QSAFDLLGEMTKNNPTTLVLFSDSMDDVQFASFMRTFRLKYQVPYIYFLAGVYKIRG H257_07797 MALGRGVVLVLLGLMACEAAVSTPVVIKQRKERIIREPIPIRAP SVYQGGVLADKVTRIEPITRWTTFDTASGDMSLHYFHNVTFKTDAKKTLLGIWKGYEG DQIKRTYRSLVFDDGDVCVGHPDRRYSLVVELTKKDYIGMSEYTDSIEPTSDPCVFTS KLNFYAPDALMQLPSSSSDNDLGIVQWFDDPNAPHNLCRDTKCNYTAIAATIHVAVQQ MEDVKAQLTSFLHTSPHHNDAVDALLQSSASILTAANGVLSQSLRVYDQLTRFQSLNL PTCMSASRPPDDQAHLVQVVDPTTTS H257_07797 MDDVRHRLGGHVVALLPQRHVQDRCQEDAARYSCAMHVGGGSIW KGYEGDQIKRTYRSLVFDDGDVCVGHPDRRYSLVVELTKKDYIGMSEYTDSIEPTSDP CVFTSKLNFYAPDALMQLPSSSSDNDLGIVQWFDDPNAPHNLCRDTKCNYTAIAATIH VAVQQMEDVKAQLTSFLHTSPHHNDAVDALLQSSASILTAANGVLSQSLRVYDQLTRF QSLNLPTCMSASRPPDDQAHLVQVVDPTTTS H257_07797 MHVGGGSIWKGYEGDQIKRTYRSLVFDDGDVCVGHPDRRYSLVV ELTKKDYIGMSEYTDSIEPTSDPCVFTSKLNFYAPDALMQLPSSSSDNDLGIVQWFDD PNAPHNLCRDTKCNYTAIAATIHVAVQQMEDVKAQLTSFLHTSPHHNDAVDALLQSSA SILTAANGVLSQSLRVYDQLTRFQSLNLPTCMSASRPPDDQAHLVQVVDPTTTS H257_07798 MSIADFTPAQTQDLATSLAVLLLHDLQVEVSADNLTEALSAAGV TVASYLPSLYANAIERGLKVSKALAGPSAGGAAAAAPAAGAGAAAPKKEEQKEEEEEA DLGGGMDMFGGSSDY H257_07799 MTSYAMYMGAQLQQCMSTGIAIDPLYYACVLQSGLFVSSSCALR CPDVSSPTSDCCSALTTMLSCQTNAANRECKQVLDEAFSGVFACNNPLFSTSAIALIV VGSLVLAVALVMWILLCIGKRNAAVHSGVYNPWTRSLRMTRQLRVLVWKNILLIKTRP WRFLFELLLPLVLVTPLVVLANLDTITNRRRTPIATTTSPSIAGLVPNLLNTCRANLQ FVFTDGEPTSTQTSFYSSGQPVFGMFFLLSFLRFVPSVTSRMVMEKETRIAEGMRMMG MKEGPLVLSWLVTGLLQYSVVAVAMAAELQLGRVFPMASLSTLTLFFWSFLLAILSFS NLVAVFFNKSKTASIASVLVWVVAFLPFYAVQDKSIAHKYAAALSAPTAFAMGINSLV DDARQGTGAFYAIAQQGLVATSSSTSTSSTSTAHMTWFLLGDAGLMLVLGWYFQQVVP QEFGVRKRWNFVFQATYWRGTYELSTSQSSHHNHHAISMLESPNTSMLEFREHATPLR HPVNDDDDDNQTLPQVEPPTQVLIAKARSGDVVQLCGLRKTFPTDDNTNCDKVAVHAL DLTMYAGQITALLGHNGAGKSTTISMLTGLYPPSGGDATVFGKSIRTHMDELRGSMGV CPQHDVLYDDLTVHQHLELYAALKNVPPDDVQTQVNGLVAEVGLTEKRHVIVRKLSGG QKRKLSVAIALIGNSRVVFLDEPTSGMDPYSRRFTWNVLQQNRADRVIVLTTHFMDEA DLLGDRIAIMADGRLVCAGTSLFLKNLYGAGYNLTLVKADGSSSTEIVLFIRQFVAEA SVLSTVGSELVVQLPSASSGAFPALLDGLDSHLTALRVVEYGISVTTLEQVFLRIAHQ SKQTFNPLYRRTSDADLSEWRASRMGPDARGSKRVAAPVAGRPSTGHASTSFGAQYVA LVQKRFRCSKRDKKGWVFMIGIPIAFLVILAFLPSINVASYLPWYKKGSAADLANFET CRAVVGNSTGDVRGCIFGIPPPSQRTCVSLGAPCQGGFGVRCPDESLSSCSDVQVEFG SANTSYPFCSSVPGFMASKSACIHDWFSHCSVSGACNATACCDATDAAVSPFAPCSSC EGNRWPCFQGTGCLRKADAKLQGVINTFLAALVIVIGFAFVPASVVVFPVKERHPHQN AKYQQVACGTSVLAYWMSLWTHDVLGTLVPVAVAVGLLPLYSSYRGDVESMVAALALF VVHVMTVLPAAYLISMRFTNHSAAQTSVLVVGLVTGALVSIFSFLCRLVDFQLVHTLT LSQLDTNYLRWVYLVFPGYQLSDGLFQIGMRKYGNPYGSSSTNWFTATSCPASKSCWA STKDPTCCVAQAFEFNVAGRSLIYGLVEIVLLSAWVLYADRHKPPPPSSSSGPLVVAA DPPHLVDGDVVAEAARVARGAAASDAILLRKVHKQYDDNKVALQSLSLGIPKGECFGY LGINGAGKSTTMQILNGFLAASSGAVTVAGLDVRTQLRAARRHIGYCPQFDALHDTLT VAEELELYARLKGMADVRLAVEDKLRQFELEPFRSTLTRGLSGGNKRKVSTAIALLGN PAVLLLDEPSTGMDPAARRRMWDVLVDVLATKSCSILLTTHSMEECQALCSRIGILVS GQLKCLGSAQHLKHKFGRGFTLDIRLGTTTTPSTTAADVGTDGGVSSKVTAADMERTC MDAGMYERWDKIVRGTGDSGWVLRHYLDNEGGVPSDVWRHWWMLEDMGADLEAFVTQE LPLASLVEHHAEHFRYHVPKSSGHTLTSLFSLMEEGAVGVPIEQYSLSDTTLEEIFNG MAAGQEEEREAVDGVHRHLLNASSIQAVTSYHRTSSMTRLRESDRL H257_07800 MTSNQGHVQSPPDRHNSTSTPPNNGMLYKMTAELFVKDTVCARD HSGKRLTPTTFEGTNWGAIKTQIFDQCRPHMENKASYANGQCHLIPLPMTTSIASYRS KWDGLHSSRQAAHLPNATWWIMSTRRSRYLCTNGETTSTTRVTSSNFRSNASKFLNGT NPVLQPSPCIRPWWCNSRSGGLYIAQARLRGEFGRLVSCRSLCTCMLPTLAWRPHRMC CQHCNEFSALLTNVWRNTICIYH H257_07801 MGKVKKRRNISHKNVAPPTGGPSQAEIDDAMEGLDDEVDANETA DAATTTVAARGGKKQVPDFLSGLTNLQGSVREATCVALASFFAGEGTPDKLKMLDKLL NAGLLKKLLPRVVDPSTLVRLHALGALRNISAFGGLDVSESMTSEDILTPCIKIVVEY AVDNVDGKASPHAVPILEQVFALLTNLCESCSLALVQATHQRHALLPALLHSLHVAKQ PALHLETMKLLLVLCDNNPDLSQSFPAEFPPALLQILQSPEHSTKLRLTTIGVAINLP NVLENAQSVGLLLPVLQSAVAYDPIGVVGQAQAASEQWDLAQQDYGTVEVFADEDVEE REHVEKVKKAVAVVRSWRENVHILTLGLELLSNMLANVELGDDGDEWGSDDEDGMEEA AQTQGNAATSRPVSVPAQVFGAENILPHVYSIVQSVVTVPAHLAAPVVDDFAAIRERA LNCLTNLVANLSVADLTRGCDLNQVFQVVLALYADVQSKNELALSKDLFQPDRANTGD VDAAVMAVLSALVLRSVDDNLPLNVAPEHLGAVLASAQASPSVEARTSAVRLLGTLGK KAHSLPENKVLAVCLSAVLRDTDLAVVCEVLNALFDIYADEQYDSVFHEVKFLTSLEH VGAGMKSKIKSEAKSLDRELVAHAKETRLNLLRFIKYKKQHLK H257_07802 MAALLLIVLYACSTVVTAAEVGAAVKVPVYPYLVECFCNTTVTS QVTVITTDASQNTQSASIQLPSCIDDVFATTGIRWYMNGPFDPAITYWPTSSPVDYSG DRGAIRLIRLGYRCQKTPLGDSSLL H257_07803 MRGLHVLAALCLLTFSTSNIYFEVPFSLHQYTMTAILVDSFVHL RVHSVIDSTDRVCNTTNTSLNFCSINLEPFHVVAPSDRLVAVDMHAISVKSFEEVADA AAGMSYVLPLFDDPNSESNDQADDDVRVLSGFVRLTVVHPSVVTFEPSQRRRSASVHS THADSPYDNVVLDDPDDSLRFHLPFSDLVARGCRVEAVYVQGAEAVHLRVASIDGDST HPSSVLDIAESDRLVAADTTPITLQQLDNLLDSEPGTLALLPLVDTSEGQGNQLTGFI LVELVRATTLVFEPMELSRVTALEQVLATNPSHPHVHMRDDVYLHANVNSPTAMPPPT SKTCSSTMTNTSATSKDKNNIIHKPKVDVYQLPLVVDIAQDDDDKNLTSGSHVNGVAA PQGGNTSNSATNMYPIRIEDTPNAAASAETTSPSSTAPDQPSQQQHSVADQRKLGLAF EFDVAFPTKTRLGINWDADIEDRTVIDSIEPHSPAAALDCLEPRDHLVAINGINVSTF APPDVVPVYIKSAWPKTLRFSVGASTPVAPPAPPQVNQTVPPVQSVTETHHHEYPLTA HADVPRLRRDGEAFWYEVTLPELQTPVGIYWDLHNAMSSTVVHAIEPGSIAHRLQVIH QGDQLLLVNDANVTALGPWDALARYKATHPPRTLLLYCPPVDSSSTTSSTTPLATSTE AQAPTIVVPDLTPEDPKVEARRLQWQLVPLSSVRSARQHGDVVQYEIQVTSPGPIGIV WDRLLRTKTEVKSVDVHCRFPNVVAGDHLVGINDKNVSTMGPQQVVVWYTQASFPKRL VFRTSSSAAATHNVEATAEPHVTHLVVQAPSVLKDWVLPLDMADWSTSMTTTNVTLVP ATPASSCFKLSLPQMVLGDVVVVVAIRGGCSFTDKAHHVLHAQGDGLLLANNVAGPGT FPLNMPHVDHVALPVAMMSRDEGDVLLAVLAYEFASAMWQRLPKAKSTLLAPSQRHSD HSAPLSFLLWHVEGDIAQTVSMAYVAASFGTPVRESQPFQVVLSTPVQTACHRDDVTV RGKGSVVVVKHGTCSFTQKAKVVQQLGALGMVVISADDTPVVLASEESDLSIWAVSIG RMDGDRMQQILAMSLTAHSPLLLRFMPPPRDDATEAT H257_07803 MRGLHVLAALCLLTFSTSNIYFEVPFSLHQYTMTAILVDSFVHL RVHSVIDSTDRVCNTTNTSLNFCSINLEPFHVVAPSDRLVAVDMHAISVKSFEEVADA AAGMSYVLPLFDDPNSESNDQADDDVRVLSGFVRLTVVHPSVVTFEPSQRRRSASVHS THADSPYDNVVLDDPDDSLRFHLPFSDLVARGCRVEAVYVQGAEAVHLRVASIDGDST HPSSVLDIAESDRLVAADTTPITLQQLDNLLDSEPGTLALLPLVDTSEGQGNQLTGFI LVELVRATTLVFEPMELSRVTALEQVLATNPSHPHVHMRDDVYLHANVNSPTAMPPPT SKTCSSTMTNTSATSKDKNNIIHKPKVDVYQLPLVVDIAQDDDDKNLTSGSHVNGVAA PQGGNTSNSATNMYPIRIEDTPNAAASAETTSPSSTAPDQPSQQQHSVADQRKLGLAF EFDVAFPTKTRLGINWDADIEDRTVIDSIEPHSPAAALDCLEPRDHLVAINGINVSTF APPDVVPVYIKSAWPKTLRFSVGASTPVAPPAPPQVNQTVPPVQSVTETHHHEYPLTA HADVPRLRRDGEAFWYEVTLPELQTPVGIYWDLHNAMSSTVVHAIEPGSIAHRLQVIH QGDQLLLVNDANVTALGPWDALARYKATHPPRTLLLYCPPVDSSSTTSSTTPLATSTE AQAPTIVVPDLTPEDPKVEARRLQWQLVPLSSVRSARQHGDVVQYEIQVTSPGPIGIV WDRLLRTKTEVKSVDVHCRFPNVVAGDHLVGINDKNVSTMGPQQVVVWYTQASFPKRL VFRTSSSAAATHNVEATAEPHVTHLVVQAPSVLKDWVLPLDMADWSTSMTTTNVTLVP ATPASSCFKLSLPQMVLGDVVVVVAIRGGCSFTDKAHHVLHAQGDGLLLANNVAGPGT FPLNMPHVDHVALPVAMMSRDEGDVLLAVLAYEFASAMWQRLPKAKSTLLAPSQRHSD HSAPLSFLLWHVEGDIAQTVSMAYVAASFGTPVRESQPFQVVLSTPVQTACHRDDVTV RGKGSVVVVKHGTCSFTQKAKYTSTRLTRVCWWMMDG H257_07803 MRGLHVLAALCLLTFSTSNIYFEVPFSLHQYTMTAILVDSFVHL RVHSVIDSTDRVCNTTNTSLNFCSINLEPFHVVAPSDRLVAVDMHAISVKSFEEVADA AAGMSYVLPLFDDPNSESNDQADDDVRVLSGFVRLTVVHPSVVTFEPSQRRRSASVHS THADSPYDNVVLDDPDDSLRFHLPFSDLVARGCRVEAVYVQGAEAVHLRVASIDGDST HPSSVLDIAESDRLVAADTTPITLQQLDNLLDSEPGTLALLPLVDTSEGQGNQLTGFI LVELVRATTLVFEPMELSRVTALEQVLATNPSHPHVHMRDDVYLHANVNSPTAMPPPT SKTCSSTMTNTSATSKDKNNIIHKPKVDVYQLPLVVDIAQDDDDKNLTSGSHVNGVAA PQGGNTSNSATNMYPIRIEDTPNAAASAETTSPSSTAPDQPSQQQHSVADQRKLGLAF EFDVAFPTKTRLGINWDADIEDRTVIDSIEPHSPAAALDCLEPRDHLVAINGINVSTF APPDVVPVYIKSAWPKTLRFSVGASTPVAPPAPPQVNQTVPPVQSVTETHHHEYPLTA HADVPRLRRDGEAFWYEVTLPELQTPVGIYWDLHNAMSSTVVHAIEPGSIAHRLQVIH QGDQLLLVNDANVTALGPWDALARYKATHPPRTLLLYCPPVDSSSTTSSTTPLATSTE AQAPTIVVPDLTPEDPKVEARRLQWQLVPLSSVRSARQHGDVVQYEIQVTSPGPIGIV WDRLLRTKTEVKSVDVHCRFPNVVAGDHLVGINDKNVSTMGPQQVVVWYTQASFPKRL VFRTSSSAAATHNVEATAEPHVTHLVVQAPSVLKDWVLPLDMADWSTSMTTTNVTLVP ATPASSCFKLSLPQMVLGDVVVVVAIRGGCSFTDKAHHVLHAQGDGLLLANNVAGPGT FPLNMPHVDHVALPVAM H257_07804 MDLAYICSSDQHAQALAAAAAAAALHHHASAGTDARQRFPASST CFFNDCHKEVQPGSWKCVFHRNRARCLYMDCQNQVYARNLCVRHGGKKQCKEEGCTGN ARLGNFCSKHGTGSIKKKCTEEGCTKMAHARHKCVRHGGGRKCKMDGCQTHARNGGYC CRHNRQLANDKEAPSPMLYPADHLGSYHPHIRPSPQSVLMKEDALPDSAAANGLEILN LTIERYNVGQVDTSTTSTAKRDPVYSS H257_07805 MKVLRGSVGFLGMTAAAAAAAGSAATSIPFNGWYHCEMNSIDYN MYVHATASSKALHRHVPFLNVAPSLTHTSHAHGRALNSYTNYECGEFQMPMCHEEVCH DVRNKTIDVFVKRKLANPAKVAEIGKEKVLWVMQGGPGDSSTAMEQLMSSLYWEMNET VSIYTMDHRGTGRSNRLVCNAAEAMTSGSAGGLGITADEYPACIQDLLFQMDNHTNAF SVTSAAYDMKKVIEATQTSNGTQVFVYALSYGTFLVERLMQLASPAVRGYIVDSVVSQ SAADFGHMATFSNWDKDVAVVGARFLDVCSADAFCSNKFSNANVSQVTWALYESLDEA ARGSNTCADMINDLGVGPPSDSLRSLFGTMLQSMAFREFIPALVYRLARCAPTDVDVI QTFVDKYVREAGRWGVRSSSEDSVLYYSELLYGLIVYSEMWEHPTPSYDALYQTFRRG IMGGDTYALVQSYCTFTGATDPACAEFNLPPSAPFRYSPDAYWNVTAVVPLHSTALLM SGGLDPQTERQYARAQYAAMSGQRRLIEFPQAGHCTTFTTRRTTGGNTCGVKVLASYV VQDGVLDAVDTSCIADIAPVRFDGVGSSYPLAYFGTADVFDGHTAHYAYVQEAAHKVG TAAHEVAWAVAVGVLGAAVGVAMAVAIRASVHVRRLQLKCKNDVRTEPMGFVGEVPPP RIVVDMNDDDEDRTPGTPPDPVVV H257_07805 MKVLRGSVGFLGMTAAAAAAAGSAATSIPFNGWYHCEMNSIDYN MYVHATASSKALHRHVPFLNVAPSLTHTSHAHGRALNSYTNYECGEFQMPMCHEEVCH DVRNKTIDVFVKRKLANPAKVAEIGKEKVLWVMQGGPGDSSTAMEQLMSSLYWEMNET VSIYTMDHRGTGRSNRLVCNAAEAMTSGSAGGLGITADEYPACIQDLLFQMDNHTNAF SVTSAAYDMKKVIEATQTSNGTQVFVYALSYGTFLVERLMQLASPAVRGYIVDSVVSQ SAADFGHMATFSNWDKDVAVVGARFLDVCSADAFCSNKFSNANVSQVTWALYESLDEA ARGSNTCADMINDLGVGPPSDSLRSLFGTMLQSMAFREFIPALVYRLARCAPTDVDVI QTFVDKYVREAGRWGVRSSSEDSVLYYSELLYGLIVYSEMWEHPTPSYDALYQTFRRG IMGGDTYALVQSYCTFTGATDPACAEFNLPPSAPFRYSPDAYWNVTAVVPLHSTALLM SGGLDPQTERQYARAQYAAMSGQRRLIEFPQAGHCTTFTTRRTTVCWFLHI H257_07805 MKVLRGSVGFLGMTAAAAAAAGSAATSIPFNGWYHCEMNSIDYN MYVHATASSKALHRHVPFLNVAPSLTHTSHAHGRALNSYTNYECGEFQMPMCHEEVCH DVRNKTIDVFVKRKLANPAKVAEIGKEKVLWVMQGGPGDSSTAMEQLMSSLYWEMNET VSIYTMDHRGTGRSNRLVCNAAEAMTSGSAGGLGITADEYPACIQDLLFQMDNHTNAF SVTSAAYDMKKVIEATQTSNGTQVFVYALSYGTFLVERLMQLASPAVRGYIVDSVVSQ SAADFGHMATFSNWDKDVAVVGARFLDVCSADAFCSNKFSNANVSQVTWALYESLDEA ARGSNTCADMINDLGVGPPSDSLRSLFGTMLQSMAFREFIPALVYRLARCAPTDVDVI QTFVDKYVREAGRWGVRSSSEDSVLYYSELLYGLIVYSEMWEHPTPSYDALYQTFRRG IMGGDTYALVQSYCTFTGATDPACAEFNLPPSAPFRYSPDAYWNVTAVVPLHSTALLM SGGLDPQTERQYARAQYAAMSGQRRLIEFPQAGHCTTFTTRRTTVCWFLHI H257_07806 MEVRATSAVVSATTSLADPQQKYIESLRTTAETLNIARETAVAL SQQSEALDRAERNLDLTEATVKQANHVVRGMSWSGWLYNKFTKEPALHLPSSSSEISM GFICPECRVAQRSQLALMEHYDTMHAPTKTSSQDTRQPSSRRDLVPSSHDRGTTASSS ERHSHTDADGLSVDQRAFLDALAPQLLEMKQASRAIGNALDHHNAQLDRLDAKSDKAK DDMRLVTAKAINLTHSSMTIDVQFRCALQEQNSRRFMTPTSHGDPVFSLDTASDTCIF RAFTLTGNGDIWGFQHEQSRRWLGLNMFGSVKVQGEALRSYEQFALDSGREWTTLYSF ACAFGHGGWLCVRPDGSVYCTRRTATNKDVAMLVKVVRIEPIAERTRERANN H257_07806 MAQDASVSYRTDVGRRGMSWSGWLYNKFTKEPALHLPSSSSEIS MGFICPECRVAQRSQLALMEHYDTMHAPTKTSSQDTRQPSSRRDLVPSSHDRGTTASS SERHSHTDADGLSVDQRAFLDALAPQLLEMKQASRAIGNALDHHNAQLDRLDAKSDKA KDDMRLVTAKAINLTHSSMTIDVQFRCALQEQNSRRFMTPTSHGDPVFSLDTASDTCI FRAFTLTGNGDIWGFQHEQSRRWLGLNMFGSVKVQGEALRSYEQFALDSGREWTTLYS FACAFGHGGWLCVRPDGSVYCTRRTATNKDVAMLVKVVRIEPIAERTRERANN H257_07806 MSWSGWLYNKFTKEPALHLPSSSSEISMGFICPECRVAQRSQLA LMEHYDTMHAPTKTSSQDTRQPSSRRDLVPSSHDRGTTASSSERHSHTDADGLSVDQR AFLDALAPQLLEMKQASRAIGNALDHHNAQLDRLDAKSDKAKDDMRLVTAKAINLTHS SMTIDVQFRCALQEQNSRRFMTPTSHGDPVFSLDTASDTCIFRAFTLTGNGDIWGFQH EQSRRWLGLNMFGSVKVQGEALRSYEQFALDSGREWTTLYSFACAFGHGGWLCVRPDG SVYCTRRTATNKDVAMLVKVVRIEPIAERTRERANN H257_07806 MEVRATSAVVSATTSLADPQQKYIESLRTTAETLNIARETAVAL SQQSEALDRAERNLDLTEATVKQANHVVRGMSWSGWLYNKFTKEPALHLPSSSSEISM GFICPECRVAQRSQLALMEHYDTMHAPTKTSSQDTRQPSSRRDLVPSSHDRGTTASSS ERHSHTDADGLSVDQRAFLDALAPQLLEMKQASRAIGNALDHHNAQLDRLDAKSDKAK DDMRLVTAKAINLTHSSMTIDVQFRCALQEQNSRRFMTPTSHGDPVFSLDTASDTCIF RAFTLTGNGDIWGFQHEQSRRWLGLNMFGSVKVRCFIYLFK H257_07807 MGEGGAAAHRRHVLDAVRQAEAVLKTSTEDKSKVDALAELRRLA LLPGGFRTNDLRKRVWPVLLGYSSDDITEFYATHRIKLQQPPYATTSHRDDGQVRLDV NRSMGESRWADVAGLKRGSKRKALFSLLHATLCISPDAHYFQGFHDVASIFLLTVGMP LAVPLLTRMSTSYMAEPMRSNLDTVLPLFGLLYPLLATQDPTLAKHIAGSVDHAYFAL PWVLTWFAHHVDALHDVARLYDVFLSAHPIFPLYVAATLLLKHRDAILAVEPDFAMLH STLQSLGHGIMDDVDAVLHDANDLLHNVPPREVEAAAGASSVRRHSTYMAYPMPHQRR LGVVDDASLSIDGTRRLDLMQYGLVCGVVVGTLAVAAAIIPSLRKQSP H257_07807 MGEGGAAAHRRHVLDAVRQAEAVLKTSTEDKSKVDALAELRRLA LLPGGFRTNDLRKRVWPVLLGYSSDDITEFYATHRIKLQQPPYATTSHRDDGQVRLDV NRSMGESRWADVAGLKRGSKRKALFSLLHATLCISPDAHYFQGFHDVASIFLLTVGMP LAVPLLTRMSTSYMAEPMRSNLDTVLPLFGLLYPLLATQDPTLAKHIAGSVDHAYFAL PWVLTWFAHHVDALHDVARLYDVFLSAHPIFPLYVAATLLLKHRDAILAVEPDFAMLH STLQSLGHGIMDDVDAVLHDANDLLHNVPPREVEAAAGASSVRRHSTYMAYPMPHQRR LGVVDDASLSIDGTRYVWMVWVQ H257_07808 MGQTCSCLDHSFSASRSRRNSPQPDHGASSPNEPPHESRGLLKD HSVVDVDAESTTPVSRRSTDDNAVMKRTNRAVDTSSSYTTTSYFEESPKSSCTPPPQT SAAVISSVDAYLPLQAPSTNTEVVDGVELECVMCLDAFDAGNPRIRTLCNCGMNRTNF HLSCLLEWTDRDKNCPVCREYLYFEENYDMED H257_07809 MPTAPAAATGAKEVDYVSKQANLTAQIESERMAAKRWWQDYGQC YIDNSKPEDFTYENRIKMLQEKLASEKTEKNARLQTTSGSYGIGAPFKECTAKKLPTI KK H257_07810 MLRQARRLHASVMPAASALNAQRFFSSKVYANADDAVKDIKSGS KLIVGGFGLCGIPESSIDALVSLGSKDLTCVSNNAGVDDYGLGLLLQSRQIKRMISSY VGENALFEKLYLSGELEVELTPQGTLAERIRAGGAGIPAFFTPTAYGTIIQEGGFGIK LKSDGSVDIPSKAREVREFNGRHYVMEEGITGDFALVKAWKGDKDGNLVFKGTTRNFN VDAAKAGRTTIVEVEELVETGALHPDEIHVPGVYVQRIFKATKNEKRIERLTVSDNAK SAKVTPDRERIIKRAAKELQDGMYVNLGIGLPTLAPNYVPSHVKVVLQSENGLLGMGP YPKTGDQDPDLINAGKETVTYLPGSSTFSSSESFGMIRGGHIHLTILGALQVAQNGDL ANWIIPGKMVKGMGGAMDLVGSGNRVVVTMEHNAKNGSAKILKSCKLPLTGKQVVSRI ITELAVFDVVDGGLVLIEHAPGVTVDEIKKRTEADFTVSQTLTTMD H257_07811 MDTWTCQEVGEWLQAKGLGEYVEVFRQQAVDGACLIELNDTETL NKAFKMPWGHGNRIVNGIKEYVDSVLELVVLQASPLVMEAPTANAMGKMYHFMEKLDL DTERAAITDILTRHLPDKRIRARFEVATVDSFQSLLTAYHVKVLHFSGHGVGSQHELC FENGHGLTHKISRGDLHGLLTSSSNTSLQVVFVSSCHSEPVARVFEAAGVPHVIAVHS DSRIVDASARDFAKHFYLSLFAGKTVAASFQNAITAIKLSASTNSHRACCCSHLHLKT CKWWLGGGIHAKHSPTDCCCKPGVKLPHDESSKFLLVGTHADHRVVVFPSMARGSFVD LTPPCPSNIPAMSKQFVGRHVETYRLVRSIWCGHVTVCTGAPGIGKSSLALAAAHYIL QRRVCPDGVFYVDLEGLELSAVRYAIARSVGLSTGDSESSSDAEVFAELGTKRCLLVL DKVEDLLDFDQDKTQAWLGQLVACASNTRFLLASRRAPVIPNVTLQHYTIDELSPSLS ESLLRLCNPLCSVHEARSLARICGYLPLALRVIGRALANTRSNLTANDLIQRLQSEEG RLERFAGLPNAGEKECIDRCIRSSFTHLDPWLQLAFMALGLFRGGFDRAAATAVLQSV SRGGSSASSMSSGPGSLAKSLSEPSMRPSSSSSSSHRTGGSLASSYRRPQSTMHRSAS GLTHLETLDVETWGDKLQLLNSLLEHDKDDAVSTEMFLLLTLESKEERAATALDVTST DDVLETLNHWSLLERVSVPRKVVQTSPSSGAAKPTYETVTQYRLHSMIQLFAEDEANR RSFENAQNYALYLTWRRRFVRHYYAILTRASCNFRSVGNLNLFDQHRSNIESALRIAE QLARHSEYLARDMNATKARDEAEDECTSTSGDDVDVPVVDTLLYALLIVRGRFIFRVR LDPRQRMEVYEKAIQLSQSARVLNCSCGHMENDSTALMTTDADLDDDTPVVRDTYDTP SKDAPPCKCAGVMELLALEVLMVMEMGYAYYDVTDYARSEHMYRESLRLQRDVLKREN HSHVAEVMNYLGICLSTRKGFNAVNQSLFRQAESLLIEAKTMRELVLGTLHVDYATSL NNLANFYKSALQMLSSRHTDGPDSKLFGWGGVLLKSDDQIMDLYEESLAIRKMLSPDQ MHPHVAQSLNNMALFLSHTLDKPPRNRMPKDQLALEYMKIYDWYNLALTIRRVKLGNN NPDTASTLNNLGNLKFIQKDYLSAEQYCVEALKIRKQYYNHDNDRVAQTLLNLGRIYV AQNKYNLAEAAYTEALAIRQVLLPDSKEVGFCLEKIGRCKIRLNQTDEGEKMLLEGQL MRRRSSHPSSWGGSQSSTNSYQQPPATSSFRRHVNESFCSMESDSTGGDSTLSSSSAA PSVEKLALASILSPEMLARADELNIDGRLIGKQGARIKEVEQASGARVTYHGVRTCQN LAHFSFLGSTDQTARAKFLCEAMLKSLPRQPSLSSSSAASAGKPNGRPKTTFGQAAAA ASSRPPRHGDRKGNRNMANGGSNEGTARSSSGGATTPSSSNTTSSSVRQAVTLSDYMA PTTKRKGKVVPGGKSTS H257_07812 MNPEDDSAGATTKHATAEGLSRPHTIKPPKFQSKLKQKISESKM LQILDHDLEASNQLDDDEELVCESTMISVAAGSADTVEVGFDRRGVLNTIRSMHKQQQ QHRQHSHVNKANVPTSST H257_07814 MGIKYSVQALTQTKEGGLVLHPKYFKYLQIHCPNFAMEACKVTT RMREIVLSSWEAIASGNTPGMKAKVAPGSSPVVFFYDYFYGSLFKTAPEVKPLFRASI IIQGKALISIVQTVTNEANVENAIEKVVDLAYRHNKYKVKMGYYNVLGNVLLTAVREC SGDGVWNAEMEAAWRHVYAFMMTAMCPILYHGQQDPTDKEKQMAKTGKYLHSVTFRRP KEVEPATSVIPTGQCPVPGMTSINPGQCPVPH H257_07813 MRFDVKPVTAVCSCLVMAAVYVGILYCCPKGIRSLPRDHPRHIL ARFALIVVACAGFPFFLQLFASEKEDGGVTLAYKLGFHANVLETVTCTLLAVLLTMLL FAGSIVSNSLEVYAIKQHNCGATWLDAFRETQVYRNFTLDRLPTLRTLIFGPLTEEFA FRSCMLPLLMDAGWSVSTTIFASPLAFGVAHVHHLIDHIRSGRPVRTAVAIVVFQLLY TTVFGIYASFVFLRTGHFYAVVGVHSFCNLMGFPDLSFLNADHPLYRFQPLILGTYIC GIAAFSTALFPLTGVFPSLYWPSSS H257_07815 MPSYPLWSSDWEVVEHGVLLLWRDVWDHLQGPNEDLSSLARFTA NSKMWTTLSSLLGCAHPRVELAVVHCLSGLFSRQPQLSQLKSHIQLQESLVATHCIEN LCVKLISPYDMANPTARETQELVALTMINICKASHHVDRVCAAGAMDAFTFLVLQDEP LRLKVESSDIIDHVHRMQEASLQCITLCVEHSMACLEDFDHTDILVHVLAHYVLDHQR NSPRRVQVGMTLLASCMNHQPLICRLLIHHGLLQALTSMLQASVHLQMLALEALKYLL TCKSKHDNNISRETVAHLRMSGGLIYLAWLACAHANSNVERAATNVFAAIVQWANASD EGGVLAQDLVEQGCLLLLVQRQDSPTWLWLLAQLSGEDSSNHLVSAAVRSTNDLLRTN VIVGLCVMTKLTMASSDEWWPSVQSVLMDSSNTAIWCHVLRVLIELCCPVTIKSTCYD DRPNVVPRLPLPFLHGSLLTLTWPSQTKSVSKSAMMQNSAAVATMLYADATCATIPMP HAIPSHCMDYFLQMCQRTTASPVSRVEAMDCADLLDLASVAQKMGMLALLSSVEDALK VQYFPHQVARVLRDAVSMRIPSVVLICLHAMQSLPSPTTADDDDATALAIGMLLG H257_07816 MRRGKRVPTALESANNTQVRCRCEASEELQRRNEERERLLSANR QLAASLNAAKCELVTAKKNCARADILMMQYHVQQVKAQTTRPIHNPPLARQDASVQCD LTPKSSKGTSGTKAIVHHAHASSKNSPSTDQYRPHMASYLKKRAAQRPSSMGKPPDVD LIVTKKALREHKLVVYKEPSAKVKLRQSTLPMYAATTSNNAMNTVQQTPPCKRTFKQQ PPPLVSNSPTRDNELSAELAGLLHACDVEEENSPRSSFTKQYTSIDETFRSMHAPGSP AGSNAEPAAKKHRVTRRGTSIPEYKEISLSRKMRQGDRYSFHTYFK H257_07817 MLLLGLHPVVSGQVRLARWLCKGTNIPVLQYLLGFPRKLLVMSL DDTSIWQPHQDGVAWYHRVGRHNDSSADHAVVPDHHAIAYFTLGFEPIVVTNGFGLDD TSALKQVVVANSHGLRRR H257_07818 MSNKRKEEALPAGFFDDPLADAKARKLNVKEEVAKAQELEWQEF QSFVAAVEKEEASEENVKTAAEEAEEGEAMEKLQHLQYLNRVRKTILRVTKADDSDVK DEDTAELEHDDNVDTPADIVAHVMEKRAALELKRKALEDAANEDEDDDLLDWRVKRW H257_07819 MSASTTAAPDLGAAVPGSWPDNITLTERLTIILLPVVTLFLIFV LLRWIWPVPQSQALPKFAGPKYGATDEKESLTSIKKKEKSSLCEEGEVAGDYEDSWVN TFDMVFLIFMLLYLATMIVLTYIFAPEYFTDVTFWLMQLPKLGCMMLVSLIGGIICRC FCSVDDKGYIITNKNSAFKVNYTRKLQHFAAYMVPLVIKSDYHGPLALGWGDFFTMLG FLVLIKPFRENLSFFMLQFNSLDRPEDRPHTLKWIIAGNIAPGLFILLFFKWLFGEQG ALTFIIIYITGIGDGLAEPVGIAWGKHKYKTAGCFSKRKYTRSWEGSACVFLSGMVFP AIQYANFGSFTQVLIAMLVLPPTMAYAEATAPHTMDTPVLMIGCGVILYFITNFI H257_07820 MANPSEIPYVQQDVSVDTAGYKRKFVVHLVLIVLLVVNVIVLYV LHFNDSSSSGVKITADAFTSNGDIASKVVSFTSTGAVRAGAGTTAYLDAAPLPADELA FMSLARSGVDNSNTAILSYFLRNKTTSVLTTVTVGKDNSAKIADVAKDNSFAGVQIRG IATLSNTKAVILQSTSMGVVHVLPVNIAADKSVAAVAAQKVQLANGSVSNTLGRISAT QFAATTFETYVVNGSWWQNIHVGTVSPADGSISVSAPLRFGVANEYSGSDSCTNSKPQ AVPAIPGAFVVTWFNSNPVNRSGLCVVLAVANATGVFQLGEVCNKNYQPAYFLDSTSL SDNLVALTFYDKANNNALTIATVAITSAQKIVFRGDYVIQAVAGAFDFGSFYGWSPKP SVHSISADRLAVLFLNPNNLGRPTTQVFKVTDSFSLEPVTPLMRLSNGDFSLVGASAA PMSGAVTLDIVPVSNSSFIAVYSGTLDKVQHKRVTVVEFLGAPVGVGSGANGVVFGGA AKIDNADFTVGKTYFTTTKGDILAATASDVGAEYYFLSNTTVVSKDSRVGVAVSKNTI YVSTSA H257_07821 MANPSEIPYVQQDVSVDTAGYKRKFVVHLVLIVLLVVNVIVLYV LHFNDSSSSGVKITADAFTSNGDIASKVVSFTSTGAVRAGAGTTAYLDAAPLPADELA FMSLARSGVDNSNTAILSYFLRNKTTSVLTTVTVGKDNSAKIADVAKDNSFAGVQIRG IATLSNTKAVILQSTSMGVVHVLPVNIAADKSVAAVAAQKVQLANGSVSNTLGRISAT QFAATTFETYVVNGSWWQNIHVGTVSPADGSISVSAPLRFGVANEYSGSDSCTNSKPQ AVPAIPGAFVVTWFNSNPVNRSGLCVVLAVANATGVFQLGEVCNKNYQPAYFLDSTSL SDNLVALTFYDKANNNALTIATVAITSAQKIVFRGDYVIQAVAGAFDFGSFYGWSPKP SVHSISADRLAVLFLNPNNLGRPTTQVFKVTDSFSLEPVTPLMRLSNGDFSLVGASAA PMSGAVTLDIVPVSNSSFIAVYSGTLDKVQHKRVTVVEFLGAPVGVGSGANGVVFGGA AKIDNADFTVGKTYFTTTKGDILAATASDVGAEYYFLSNTTVVSKDSRVGVAVSKNTI YVSTSA H257_07822 MGRPTKSTKKALPVAEVAQAPIGENPAWWVYICPSITGVQRQCV QVCVRVMGGAMTESLDVVQGKGGRPGTTTDVQGYVICPPSHYDSYLTLREDSYVPLVT PTWIFRSVLQNSQVLLPTDRFSANPTKVFSSVVMYCVQVDVDPRKVIAALMVNGGGQL VSLPTNAATHVLCLRNSGSDYTQAVEWQRQTKSMLDDVATNVALVRDGCDRFAAGGCK GSLPDMVVQYLLGQCGLLSPQIVSYDWVQECVRQGRRVPETDFSFPENATPSPSSSSN EPPLTLQDLIDTCQKQTIYPPAPASDDLWKDLSQHAPRSEAMVGEVIVFARHIPSSLQ VRMRHALEALGAAVLPSHDLVKATYVVCGYQSGAEYSAALTLHKPVVSMQWVAACIAA KAIVATSPPTSLVRQTLYAPSRRHGGIPGMDACVITLSGFSARSSPTRDDIQALVRLS GACYLSVLSRSHTTHLLCLEPTGEKFKRSIAWGLTNVVKLEWLVQSVQQWQKGPEATY SWLQPSISASITTTPVVTTTGTSSVDKRCSATTSGAPPPSPNSKPTARGKKGPKFQVD DALDALLDDPQRTTPLKSTSPPSKRPHEATPSNTSVTAVTTPIQLRKAIATNSIENNE EVVAGVPKKGRVAPAVQKKQPLTKGIKRPSSTTNDEANVAHDDTPPPPKARRRTTTTK KQKAHDDNECADQLGSTKTPKAASSQYAFLLTGSHEDMAMDASIVMALGGTVLETRRA FDLSCTHVICKHLKRTEKVVCGMASGKWILTPAYLKQCLAKGTFVDEAPFEWGGATSS KRGSGTVDPRIWPPVAQYWRQEIATGRSHGAFHGKKFGLYGTDSMTPPADMCRRIIHA AGGTILDRPSDYDQDTLVVVGEGSKADPTVGQWTVKGIPCIAPGFLIDVITKNQLEPP TWESYAVGGRA H257_07823 MHIFGTNEMAQQVDRITAKELVEILKNPEARNAVRIVDVRDGDF AGGHIRGAINVPEEHFHDDDDVDNFVDEMKTVPTIIFHCMMSQVRGPFCAHRFQSRVN AVLEHAAIKPKVLVLTGGFQLFRQNYSHDDDLVEGLPKEGDY H257_07825 MPRNGNFRKTYYKSLGVPVLHSAEVEASFAALLGQDTPASALLI NITQLVRLTLEFGLPPKYRRHVWWVVSSIVPLVRDTETDTWEHSRNEKRAIYNDVLAA ADVCLIDADLEPSTPSSHVLRVVRFYVDHVRPHLRHPSPNDDTNQAFDWVLDEAWVAD SVARAVVLVMDDPSDQFWCTLAFLSILDRGFHTLQQPTSVSLQDLHQASPETLELVIC RIVATIVH H257_07826 MCAQLEMFEVTIQICSSSCAHMSHGLPLYVPIDGGADGADENTS IWTLPRPPIAYSNLPPIDASRLNMASVNNLLRNVPASLLPDHNPPVVQWDTLSPLLRT VVTDVPVLSPNVNRPHPPSKQHKTPDNVHDVTTPERKRRHESSNTSDPKNPRLSRVKV IQIADDDDDEEEGPSTPVPGDTQESKKKAIVHKYEEELHSLVGRLSTSPDVPRVTKKI MQSLQLLHKAHKDLIQHVSFDLLGNLMSILDTRVTDALSIDLFVVAYANSGDADWEVS GIDYTKLNDVGCAMDAASCMLYIMTAPNIDRRLLSEEYIEHCVHLLKHVLQRLLCPSL DNLVLSQLLQDAKSTVHPKYHGALKKKIDKVGLVHVTSAFMETVEELVTGLKLQDSWI LSLSRAVVDTFSLEGNQASQANICLLQVRASVLVRGLFLHNPTHRPLLMDDIFAVLLK LPTTKRHMRTFKLSSHGGDVHVQMISMMLVTLAQASVRVSMDAVRDTARVIVHSLVQK CMKKDESHDFRQTFEHFVDDLLAMLPSPEWPAVHVILEALSGGLTNLMAQQKTNKLES QSSLLALHLLGKICASIRQIACQAKAHPIDTLTHPPALVECRDHARQLLASKHVNDSN ANVAQCMVLMFLGDQSAHVSVDAEEFHRAQCAFSDEFRALKANVTLSSAVGRVLVTEL VSHRDLCLHFDQMLMAIMTFLTRGQPTFRARVLKALGMIVDCDPLLMADDHLHQAITL SLSDEATSVRQSAVELVGKYIGLQSMLFPKYFGMLADRLRDKGISVRKSVLKIFKTYL QHTPMNPNDEAECVSKALRALVERIGVASEDESVKDAVLAILQDVWFGSSGHRSRSGH AAHHQDTVVTPASLRKKAAATNYKVLSMIDVVHHVHNPDWMVTLIGRLMSKNAVEIES ACAAMVSELMEFLLQLEEGHTLPRLSFDDNEAQRVATLKTLHVICQASPRMVLPYLDT LTVYLKQDDRLTKPTQMHVLAMAASMIGLVLPSVEKPVEKWMVQLENDLKSLVLGAPP QVVKPAVDCLATLTTSGLHRPPKLLLKILEMLYAFLVKSETLIKLDKPISDKPNLLRS LFVAGLVAGSLDWEAHFDHITSHVFAKDKLVEMVYDVYARYCNVPNSHVHFNDALRVK TVQGLGYLVQKNPRMLLKAQQDHTLQTMILHPDPKVRTQILASLTDLLQGEEARLEKL HATQGTKVGKDQVQGDQEGDASLIGGVMQAQLPNMLKVATQKEASIRTQAIACIGLLL TQGLIAPMQCIPTLVALETDQLASVRDTAYLHLVAIHGKFPNMVSGPAIQGIFSSYQF QTRAFGKAIVCDTDNVCYLGRMYRACIQGNRSQRHAFFNGLLGAFRERGPVFTALAAN RLTPAVALGYLTYVAQVLSAMPYDVEDEPLYVVYTINRDVALGLGAVQDKVKKYLGDD TTLDNLPEPPDAPIKPEVAAVGPTAFALTLLVRLKMALKAAYGLDNETCQTFQTSNTT KANETPVSRAAHESTLIPMNVDDMTMAVVGGTGMSNGWTQLKLLGEAAAQDQAQLDFD WEHPQLTKKKAKLPKRGGQRKRKQANDEDDNDLF H257_07826 MCAQLEMFEVTIQICSSSCAHMSHGLPLYVPIDGGADGADENTS IWTLPRPPIAYSNLPPIDASRLNMASVNNLLRNVPASLLPDHNPPVVQWDTLSPLLRT VVTDVPVLSPNVNRPHPPSKQHKTPDNVHDVTTPERKRRHESSNTSDPKNPRLSRVKV IQIADDDDDEEEGPSTPVPGDTQESKKKAIVHKYEEELHSLVGRLSTSPDVPRVTKKI MQSLQLLHKAHKDLIQHVSFDLLGNLMSILDTRVTDALSIDLFVVAYANSGDADWEVS GIDYTKLNDVGCAMDAASCMLYIMTAPNIDRRLLSEEYIEHCVHLLKHVLQRLLCPSL DNLVLSQLLQDAKSTVHPKYHGALKKKIDKVGLVHVTSAFMETVEELVTGLKLQDSWI LSLSRAVVDTFSLEGNQASQANICLLQVRASVLVRGLFLHNPTHRPLLMDDIFAVLLK LPTTKRHMRTFKLSSHGGDVHVQMISMMLVTLAQASVRVSMDAVRDTARVIVHSLVQK CMKKDESHDFRQTFEHFVDDLLAMLPSPEWPAVHVILEALSGGLTNLMAQQKTNKLES QSSLLALHLLGKICASIRQIACQAKAHPIDTLTHPPALVECRDHARQLLASKHVNDSN ANVAQCMVLMFLGDQSAHVSVDAEEFHRAQCAFSDEFRALKANVTLSSAVGRVLVTEL VSHRDLCLHFDQMLMAIMTFLTRGQPTFRARVLKALGMIVDCDPLLMADDHLHQAITL SLSDEATSVRQSAVELVGKYIGLQSMLFPKYFGMLADRLRDKGISVRKSVLKIFKTYL QHTPMNPNDEAECVSKALRALVERIGVASEDESVKDAVLAILQDVWFGSSGHRSRSGH AAHHQDTVVTPASLRKKAAATNYKVLSMIDVVHHVHNPDWMVTLIGRLMSKNAVEIES ACAAMVSELMEFLLQLEEGHTLPRLSFDDNEAQRVATLKTLHVICQASPRMVLPYLDT LTVYLKQDDRLTKPTQMHVLAMAASMIGLVLPSVEKPVEKWMVQLENDLKSLVLGAPP QVVKPAVDCLATLTTSGLHRPPKLLLKILEMLYAFLVKSETLIKLDKPISDKPNLLRS LFVAGLVAGSLDWEAHFDHITSHVFAKDKLVEMVYDVYARYCNVPNSHVHFNDALRVK TVQGLGYLVQKNPRMLLKAQQDHTLQTMILHPDPKVRTQILASLTDLLQGEEARLEKL HATQGTKVGKDQVQGDQEGDASLIGGVMQAQLPNMLKVATQKEASIRTQAIACIGLLL TQGLIAPMQCIPTLVALETDQLASVRDTAYLHLVAIHGKFPNMVSGPAIQGIFSSYQF QTRAFGKAIVCDTDNVCYLGRMYRACIQGNRSQRHAFFNGLLGAFRERGPVFTALAAN RLTPAVALGYLTYVAQVLSAMPYDVEDEPLYVVYTINRDVALGLGAVQDKVKKYLGDD TTLDNLPEPPDAPIKPEVAAVGPTAFALTLLVRLKMALKAAYGLDNETCQTFQTSNTT KARICDIERFERVFMNVMCGG H257_07827 MLNEATCLVGVSSTLVVQHDLVNYRWLFYSPETSMSVVIARAPL LQQGGATARPQRYSQVQILYLPSTPQSIALHRPTCRLAVACVDGTALLFNPGQPSPSS SSPVRNLVCDDSSLIWHTQATWRCEPGMTTPLKWCESNEDVWLVGAGTKVSMWKVVDD AVSVYSNRSFALGHATSSRAVLFDACSTGRYVATTTHPHARLIKVWSLNTWTMEMTAP FCAFLGHLRAIHSIEWLRPEAESVLDNATLMTLDVGGSLTVWREDSNASTFGFLRVWV LDESCIRMCGSIDRRKSRFKTLLWELPSNDPAKPLKVWHTAIPDKTHHLYTHTMDRMH MKASKSVGFSSRAGATADTHDGEKFIQGNLALSKCSITYLLYTIHDNGDLGIWRLDCT MFLTSTPRVTQLCTTSDLDLVHAKPMHASVVDVFAPPPHNLPPLDISFTLLQCPSHDL HAVSLHVAPLPPSPSSTNPVEYSVTNKLVLSTGSTLHGIHSVVSGTPDSSMLVVRDRA GHAVVVECDAVSHPSIVLSNVLAVTVSQEASVVFYLRSSTPSSALALSCVGFKHQDDH VGDPPPCHTLWEDLLEMALPEHEPSDGEGGWALSCAKEDDVVDSATTSASHVFRLVAV SPHGGVWLWRVQAESAGRGPRVLGTASVPPPTALPRQSILLASFMPSSSSLFVTVGRL DPSSSVQFWHITTSDGDRLDWRSSSSRAPMLVPSTAVVRRVELHRSGFLALLSSLDEH AAPKKEAWMSVQHMWDPRAVSHWAVDADMQCMTWQHDGSQLVAISPTAVYGFNAAHGT LLFSHSWLSPGVRGGGAPPPTSICMSVDPSIMYVAHGAFLSQVHAAPPPPASSFSSPP SPFSVQSYQHPVWTPWNLLHLLLDGRVKATRRVLQALVDAISINEAQTFVDMATSTLV LPWLPWSHVMYPREVDGDDRVTFVAKKPQRSQCTAANLFAPATRLDRRNSTPNQTLED VVDVGAFFNVPNNHARLSFLLERDRSAFQTICSVVAACEQHATDMPGLRFLILAAVAE GGDGRGMMCAEAVIWARLTSMDLFALPMFQHGGDNSMDWHRMQKLRVPFWLDDIARLK QTTERMANRAYAATKDPFAVALYFVLLAKTRLLSNVFRLAHETKIADLLANDFDDDRW KAAAIKNAFVLKSKQRYLLAAAFFLLANKVYEAASIAELADPSFALSFLILRLSEPTS LTDLGPVTIQFVRTVLLDKAEVANDVYMQCLCRLYLDNRLVLEPFLAPPPPVATMTSA SMMSNTYWQHESLAGACRLVQHGAAGIDNEADEARVVALHCMAATRLHAQGYGFLGHR LLSDLSIVFPSVTSLDVFPWVANLRHELARLCVSDQLQTVCSTLSLAIDTSIASGSFD WDWQTRMVEASSFFSPDVPPPAVVQLCRRHSSVAWMIASHHHLQTASAPIAAQVGVII RAMQASPGTSSVVRPVGHATQSLIEYLHVMQTHESPDVVRLATGAIYTGMFALFVAVA WHRRLPRCCVVRFVSLLCPQKEILVSPQHDDMCLVCIEFTNPRRRRRQLLPSLRQDLP ALHDMVRQLRTLNPPPLSSSLPSSSATDGGCWCWTALVALLHETMAAHVLRLTDQLDN AQSLQHTWQAYTTPRIVRQLRACRKQAATPSSDHGDDDDGCVWQDLIQLVVPNTTAVP RVGIVASEYDDGGVRGGGGVAEVIYSSDVPGESIRSMCCNTQQRSTVVLCNGRMLYRA LAKKPPKTSNITSSCQLHVNAKYTPPAVFFAGGHHHDGHVKVPSMLLSPQPDSKGSSS SSNSSYKPVAVQSHPSMPVFCSGTATGSVEVWRFDQISTCNLFEHQVSTATNALTLAP RRDIHRLRFAQSGYTLGACDALGFVYLWNFASEGSSACYAHLQCHNRGTRDFAFLNAS SCVASVGASTKKKNLCLWDTLLPPHKALICAPLCHPVGAASVVFSSRHQLVISGGESG SLSIFDVRQQRVLYAVSTAHDSCVTTLALHPQGHCVLSGSATGDVKIWSLPLFRELCS WGNSAGMTTKARHQSASFLMDASSTFAAATAVTGITDAFATEDFFYASGSDGVIQRYQ TPIVTAL H257_07827 MLNEATCLVGVSSTLVVQHDLVNYRWLFYSPETSMSVVIARAPL LQQGGATARPQRYSQVQILYLPSTPQSIALHRPTCRLAVACVDGTALLFNPGQPSPSS SSPVRNLVCDDSSLIWHTQATWRCEPGMTTPLKWCESNEDVWLVGAGTKVSMWKVVDD AVSVYSNRSFALGHATSSRAVLFDACSTGRYVATTTHPHARLIKVWSLNTWTMEMTAP FCAFLGHLRAIHSIEWLRPEAESVLDNATLMTLDVGGSLTVWREDSNASTFGFLRVWV LDESCIRMCGSIDRRKSRFKTLLWELPSNDPAKPLKVWHTAIPDKTHHLYTHTMDRMH MKASKSVGFSSRAGATADTHDGEKFIQGNLALSKCSITYLLYTIHDNGDLGIWRLDCT MFLTSTPRVTQLCTTSDLDLVHAKPMHASVVDVFAPPPHNLPPLDISFTLLQCPSHDL HAVSLHVAPLPPSPSSTNPVEYSVTNKLVLSTGSTLHGIHSVVSGTPDSSMLVVRDRA GHAVVVECDAVSHPSIVLSNVLAVTVSQEASVVFYLRSSTPSSALALSCVGFKHQDDH VGDPPPCHTLWEDLLEMALPEHEPSDGEGGWALSCAKEDDVVDSATTSASHVFRLVAV SPHGGVWLWRVQAESAGRGPRVLGTASVPPPTALPRQSILLASFMPSSSSLFVTVGRL DPSSSVQFWHITTSDGDRLDWRSSSSRAPMLVPSTAVVRRVELHRSGFLALLSSLDEH AAPKKEAWMSVQHMWDPRAVSHWAVDADMQCMTWQHDGSQLVAISPTAVYGFNAAHGT LLFSHSWLSPGVRGGGAPPPTSICMSVDPSIMYVAHGAFLSQVHAAPPPPASSFSSPP SPFSVQSYQHPVWTPWNLLHLLLDGRVKATRRVLQALVDAISINEAQTFVDMATSTLV LPWLPWSHVMYPREVDGDDRVTFVAKKPQRSQCTAANLFAPATRLDRRNSTPNQTLED VVDVGAFFNVPNNHARLSFLLERDRSAFQTICSVVAACEQHATDMPGLRFLILAAVAE GGDGRGMMCAEAVIWARLTSMDLFALPMFQHGGDNSMDWHRMQKLRVPFWLDDIARLK QTTERMANRAYAATKDPFAVALYFVLLAKTRLLSNVFRLAHETKIADLLANDFDDDRW KAAAIKNAFVLKSKQRYLLAAAFFLLANKVYEAASIAELADPSFALSFLILRLSEPTS LTDLGPVTIQFVRTVLLDKAEVANDVYMQCLCRLYLDNRLVLEPFLAPPPPVATMTSA SMMSNTYWQHESLAGACRLVQHGAAGIDNEADEARVVALHCMAATRLHAQGYGFLGHR LLSDLSIVFPSVTSLDVFPWVANLRHELARLCVSDQLQTVCSTLSLAIDTSIASGSFD WDWQTRMVEASSFFSPDVPPPAVVQLCRRHSSVAWMIASHHHLQTASAPIAAQVGVII RAMQASPGTSSVVRPVGHATQSLIEYLHVMQTHESPDVVRLATGAIYTGMFALFVAVA WHRRLPRCCVVRFVSLLCPQKEILVSPQHDDMCLVCIEFTNPRRRRRQLLPSLRQDLP ALHDMVRQLRTLNPPPLSSSLPSSSATDGGCWCWTALVALLHETMAAHVLRLTDQLDN AQSLQHTWQAYTTPRIVRQLRACRKQAATPSSDHGDDDDGCVWQDLIQLVVPNTTAVP RVGIVASEYDDGGVRGGGGVAEVIYSSDVPGESIRSMCCNTQQRSTVVLCNGRMLYRA LAKKPPKTSNITSSCQLHVNAKYTPPAVFFAGGHHHDGHVKVPSMLLSPQPDSKGSSS SSNSSYKPVAVQSHPSMPVFCSGTATGSVEVWRFDQISTCNLFEHQVSTATNALTLAP RRDIHRLRFAQSGYTLGACDALGFVYLWNFASEGSSACYAHLQCHNRGTRDFAFLNAS SCVASVGASTKKKNLCLWDTLLPPHKALICAPLCHPVGAASVVFSSRHQLVISGGESG SLSIFDVRQQRVLYAVSTAHDSCVTTLALHPQGHCVLSGSATGDVKIWSLPLFRELCS WGNSAGMTTKARHQSASFLMDASSTFAAATAVTGITDAFATEDFFYASGSDGVIQRYQ TPIVTAL H257_07827 MLNEATCLVGVSSTLVVQHDLVNYRWLFYSPETSMSVVIARAPL LQQGGATARPQRYSQVQILYLPSTPQSIALHRPTCRLAVACVDGTALLFNPGQPSPSS SSPVRNLVCDDSSLIWHTQATWRCEPGMTTPLKWCESNEDVWLVGAGTKVSMWKVVDD AVSVYSNRSFALGHATSSRAVLFDACSTGRYVATTTHPHARLIKVWSLNTWTMEMTAP FCAFLGHLRAIHSIEWLRPEAESVLDNATLMTLDVGGSLTVWREDSNASTFGFLRVWV LDESCIRMCGSIDRRKSRFKTLLWELPSNDPAKPLKVWHTAIPDKTHHLYTHTMDRMH MKASKSVGFSSRAGATADTHDGEKFIQGNLALSKCSITYLLYTIHDNGDLGIWRLDCT MFLTSTPRVTQLCTTSDLDLVHAKPMHASVVDVFAPPPHNLPPLDISFTLLQCPSHDL HAVSLHVAPLPPSPSSTNPVEYSVTNKLVLSTGSTLHGIHSVVSGTPDSSMLVVRDRA GHAVVVECDAVSHPSIVLSNVLAVTVSQEASVVFYLRSSTPSSALALSCVGFKHQDDH VGDPPPCHTLWEDLLEMALPEHEPSDGEGGWALSCAKEDDVVDSATTSASHVFRLVAV SPHGGVWLWRVQAESAGRGPRVLGTASVPPPTALPRQSILLASFMPSSSSLFVTVGRL DPSSSVQFWHITTSDGDRLDWRSSSSRAPMLVPSTAVVRRVELHRSGFLALLSSLDEH AAPKKEAWMSVQHMWDPRAVSHWAVDADMQCMTWQHDGSQLVAISPTAVYGFNAAHGT LLFSHSWLSPGVRGGGAPPPTSICMSVDPSIMYVAHGAFLSQVHAAPPPPASSFSSPP SPFSVQSYQHPVWTPWNLLHLLLDGRVKATRRVLQALVDAISINEAQTFVDMATSTLV LPWLPWSHVMYPREVDGDDRVTFVAKKPQRSQCTAANLFAPATRLDRRNSTPNQTLED VVDVGAFFNVPNNHARLSFLLERDRSAFQTICSVVAACEQHATDMPGLRFLILAAVAE GGDGRGMMCAEAVIWARLTSMDLFALPMFQHGGDNSMDWHRMQKLRVPFWLDDIARLK QTTERMANRAYAATKDPFAVALYFVLLAKTRLLSNVFRLAHETKIADLLANDFDDDRW KAAAIKNAFVLKSKQRYLLAAAFFLLANKVYEAASIAELADPSFALSFLILRLSEPTS LTDLGPVTIQFVRTVLLDKAEVANDVYMQCLCRLYLDNRLVLEPFLAPPPPVATMTSA SMMSNTYWQHESLAGACRLVQHGAAGIDNEADEARVVALHCMAATRLHAQGYGFLGHR LLSDLSIVFPSVTSLDVFPWVANLRHELARLCVSDQLQTVCSTLSLAIDTSIASGSFD WDWQTRMVEASSFFSPDVPPPAVVQLCRRHSSVAWMIASHHHLQTASAPIAAQVGVII RAMQASPGTSSVVRPVGHATQSLIEYLHVMQTHESPDVVRLATGAIYTGMFALFVAVA WHRRLPRCCVVRFVSLLCPQKEILVSPQHDDMCLVCIEFTNPRRRRRQLLPSLRQDLP ALHDMVRQLRTLNPPPLSSSLPSSSATDGGCWCWTALVALLHETMAAHVLRLTDQLDN AQSLQHTWQAYTTPRIVRQLRACRKQAATPSSDHGDDDDGCVWQDLIQLVVPNTTAVP RVGIVASEYDDGGVRGGGGVAEVIYSSDVPGESIRSMCCNTQQRSTVVLCNGRMLYRA LAKKPPKTSNITSSCQLHVNAKYTPPAVFFAGGHHHDGHVKVPSMLLSPQPDSKGSSS SSNSSYKPVAVQSHPSMPVFCSGTATGSVEVWRFDQISTCNLFEHQVSTATNALTLAP RRDIHRLRFAQSGYTLGACDALGFVYLWNFASEGSSACYAHLQCHNRGTRDFAFLNAS SCVASVGASTKKKNLCLWDTLLPPHKALICAPLCHPVGAASVVFSSRHQLVISGGESG SLSIFDVRQQRVLYAVSTAHDSCVTTLALHPQGHCVLSGSATGDVKIWSLPLFRELCS WGNSAGMTTKARHQSASFLMDASSTFAAATAVTGITDAFATEDFFYASGSDGVIQRYQ TPIVTAL H257_07827 MLNEATCLVGVSSTLVVQHDLVNYRWLFYSPETSMSVVIARAPL LQQGGATARPQRYSQVQILYLPSTPQSIALHRPTCRLAVACVDGTALLFNPGQPSPSS SSPVRNLVCDDSSLIWHTQATWRCEPGMTTPLKWCESNEDVWLVGAGTKVSMWKVVDD AVSVYSNRSFALGHATSSRAVLFDACSTGRYVATTTHPHARLIKVWSLNTWTMEMTAP FCAFLGHLRAIHSIEWLRPEAESVLDNATLMTLDVGGSLTVWREDSNASTFGFLRVWV LDESCIRMCGSIDRRKSRFKTLLWELPSNDPAKPLKVWHTAIPDKTHHLYTHTMDRMH MKASKSVGFSSRAGATADTHDGEKFIQGNLALSKCSITYLLYTIHDNGDLGIWRLDCT MFLTSTPRVTQLCTTSDLDLVHAKPMHASVVDVFAPPPHNLPPLDISFTLLQCPSHDL HAVSLHVAPLPPSPSSTNPVEYSVTNKLVLSTGSTLHGIHSVVSGTPDSSMLVVRDRA GHAVVVECDAVSHPSIVLSNVLAVTVSQEASVVFYLRSSTPSSALALSCVGFKHQDDH VGDPPPCHTLWEDLLEMALPEHEPSDGEGGWALSCAKEDDVVDSATTSASHVFRLVAV SPHGGVWLWRVQAESAGRGPRVLGTASVPPPTALPRQSILLASFMPSSSSLFVTVGRL DPSSSVQFWHITTSDGDRLDWRSSSSRAPMLVPSTAVVRRVELHRSGFLALLSSLDEH AAPKKEAWMSVQHMWDPRAVSHWAVDADMQCMTWQHDGSQLVAISPTAVYGFNAAHGT LLFSHSWLSPGVRGGGAPPPTSICMSVDPSIMYVAHGAFLSQVHAAPPPPASSFSSPP SPFSVQSYQHPVWTPWNLLHLLLDGRVKATRRVLQALVDAISINEAQTFVDMATSTLV LPWLPWSHVMYPREVDGDDRVTFVAKKPQRSQCTAANLFAPATRLDRRNSTPNQTLED VVDVGAFFNVPNNHARLSFLLERDRSAFQTICSVVAACEQHATDMPGLRFLILAAVAE GGDGRGMMCAEAVIWARLTSMDLFALPMFQHGGDNSMDWHRMQKLRVPFWLDDIARLK QTTERMANRAYAATKDPFAVALYFVLLAKTRLLSNVFRLAHETKIADLLANDFDDDRW KAAAIKNAFVLKSKQRYLLAAAFFLLANKVYEAASIAELADPSFALSFLILRLSEPTS LTDLGPVTIQFVRTVLLDKAEVANDVYMQCLCRLYLDNRLVLEPFLAPPPPVATMTSA SMMSNTYWQHESLAGACRLVQHGAAGIDNEADEARVVALHCMAATRLHAQGYGFLGHR LLSDLSIVFPSVTSLDVFPWVANLRHELARLCVSDQLQTVCSTLSLAIDTSIASGSFD WDWQTRMVEASSFFSPDVPPPAVVQLCRRHSSVAWMIASHHHLQTASAPIAAQVGVII RAMQASPGTSSVVRPVGHATQSLIEYLHVMQTHESPDVVRLATGAIYTGMFALFVAVA WHRRLPRCCVVRFVSLLCPQKEILVSPQHDDMCLVCIEFTNPRRRRRQLLPSLRQDLP ALHDMVRQLRTLNPPPLSSSLPSSSATDGGCWCWTALVALLHETMAAHVLRLTDQLDN AQSLQHTWQAYTTPRIVRQLRACRKQAATPSSDHGDDDDGCVWQDLIQLVVPNTTAVP RVGIVASEYDDGGVRGGGGVAEVIYSSDVPGESIRSMCCNTQQRSTVVLCNGRMLYRA LAKKPPKTSNITSSCQLHVNAKYTPPAVFFAGGHHHDGHVKVPSMLLSPQPDSKGSSS SSNSSYKPVAVQSHPSMPVFCSGTATGSVEVWRFDQISTCNLFEHQVSTATNALTLAP RRDIHRLRFAQSGYTLGACDALGFVYLWNFASEGSSACYAHLQCHNRGTRDFAFLNAS SCVASVGASTKKKNLCLWDTLLPPHKALICAPLCHPVGAASVVFSSRHQLVISGGESG SLSIFDVRQQRVLYAVSTAHDSCVTTLALHPQGHCVLSGSATGDVKIWSLPLFRELCS WGNSAGMTTKARHQSASFLMDASSTFAAATAVTGITDAFATEDFFYASGSDGVIQRYQ TPIVTAL H257_07827 MLNEATCLVGVSSTLVVQHDLVNYRWLFYSPETSMSVVIARAPL LQQGGATARPQRYSQVQILYLPSTPQSIALHRPTCRLAVACVDGTALLFNPGQPSPSS SSPVRNLVCDDSSLIWHTQATWRCEPGMTTPLKWCESNEDVWLVGAGTKVSMWKVVDD AVSVYSNRSFALGHATSSRAVLFDACSTGRYVATTTHPHARLIKVWSLNTWTMEMTAP FCAFLGHLRAIHSIEWLRPEAESVLDNATLMTLDVGGSLTVWREDSNASTFGFLRVWV LDESCIRMCGSIDRRKSRFKTLLWELPSNDPAKPLKVWHTAIPDKTHHLYTHTMDRMH MKASKSVGFSSRAGATADTHDGEKFIQGNLALSKCSITYLLYTIHDNGDLGIWRLDCT MFLTSTPRVTQLCTTSDLDLVHAKPMHASVVDVFAPPPHNLPPLDISFTLLQCPSHDL HAVSLHVAPLPPSPSSTNPVEYSVTNKLVLSTGSTLHGIHSVVSGTPDSSMLVVRDRA GHAVVVECDAVSHPSIVLSNVLAVTVSQEASVVFYLRSSTPSSALALSCVGFKHQDDH VGDPPPCHTLWEDLLEMALPEHEPSDGEGGWALSCAKEDDVVDSATTSASHVFRLVAV SPHGGVWLWRVQAESAGRGPRVLGTASVPPPTALPRQSILLASFMPSSSSLFVTVGRL DPSSSVQFWHITTSDGDRLDWRSSSSRAPMLVPSTAVVRRVELHRSGFLALLSSLDEH AAPKKEAWMSVQHMWDPRAVSHWAVDADMQCMTWQHDGSQLVAISPTAVYGFNAAHGT LLFSHSWLSPGVRGGGAPPPTSICMSVDPSIMYVAHGAFLSQVHAAPPPPASSFSSPP SPFSVQSYQHPVWTPWNLLHLLLDGRVKATRRVLQALVDAISINEAQTFVDMATSTLV LPWLPWSHVMYPREVDGDDRVTFVAKKPQRSQCTAANLFAPATRLDRRNSTPNQTLED VVDVGAFFNVPNNHARLSFLLERDRSAFQTICSVVAACEQHATDMPGLRFLILAAVAE GGDGRGMMCAEAVIWARLTSMDLFALPMFQHGGDNSMDWHRMQKLRVPFWLDDIARLK QTTERMANRAYAATKDPFAVALYFVLLGKTRLLSNVFRLAHETKIADLLANDFDDDRW KAAAIKNAFVLKSKQRYLLAAAFFLLANKVYEAASIAELADPSFALSFLILRLSEPTS LTDLGPVTIQFVRTVLLDKAEVANDVYMQCLCRLYLDNRLVLEPFLAPPPPVATMTSA SMMSNTYWQHESLAGACRLVQHGAAGIDNEADEARVVALHCMAATRLHAQGYGFLGHR LLSDLSIVFPSVTSLDVFPWVANLRHELARLCVSDQLQTVCSTLSLAIDTSIASGSFD WDWQTRMVEASSFFSPDVPPPAVVQLCRRHSSVAWMIASHHHLQTASAPIAAQVGVII RAMQASPGTSSVVRPVGHATQSLIEYLHVMQTHESPDVVRLATGAIYTGMFALFVAVA WHRRLPRCCVVRFVSLLCPQKEILVSPQHDDMCLVCIEFTNPRRRRRQLLPSLRQDLP ALHDMVRQLRTLNPPPLSSSLPSSSATDGGCWCWTALVALLHETMAAHVLRLTDQLDN AQSLQHTWQAYTTPRIVRQLRACRKQAATPSSDHGDDDDGCVWQDLIQLVVPNTTAVP RVGIVASEYDDGGVRGGGGVAEVIYSSDVPGESIRSMCCNTQQRSTVVLCNGRMLYRA LAKKPPKTSNITSSCQLHVNAKYTPPAVFFAGGHHHDGHVKVPSMLLSPQPDSKGSSS SSNSSYKPVAVQSHPSMPVFCSGTATGSVEVWRFDQISTCNLFEHQVSTATNALTLAP RRDIHRLRFAQSGYTLGACDALGFVYLWNFASEGSSACYAHLQCHNRGTRDFAFLNAS SCVASVGASTKKKNLCLWDTLLPPHKALICAPLCHPVGAASVVFSSRHQLVISGGESG SLSIFDVRQQRVLYAVSTAHDSCVTTLALHPQGHCVLSGSATGDVKIWSLPLFRELCS WGNSAGMTTKARHQSASFLMDASSTFAAATAVTGITDAFATEDFFYASGSDGVIQRYQ TPIVTAL H257_07827 MLNEATCLVGVSSTLVVQHDLVNYRWLFYSPETSMSVVIARAPL LQQGGATARPQRYSQVQILYLPSTPQSIALHRPTCRLAVACVDGTALLFNPGQPSPSS SSPVRNLVCDDSSLIWHTQATWRCEPGMTTPLKWCESNEDVWLVGAGTKVSMWKVVDD AVSVYSNRSFALGHATSSRAVLFDACSTGRYVATTTHPHARLIKVWSLNTWTMEMTAP FCAFLGHLRAIHSIEWLRPEAESVLDNATLMTLDVGGSLTVWREDSNASTFGFLRVWV LDESCIRMCGSIDRRKSRFKTLLWELPSNDPAKPLKVWHTAIPDKTHHLYTHTMDRMH MKASKSVGFSSRAGATADTHDGEKFIQGNLALSKCSITYLLYTIHDNGDLGIWRLDCT MFLTSTPRVTQLCTTSDLDLVHAKPMHASVVDVFAPPPHNLPPLDISFTLLQCPSHDL HAVSLHVAPLPPSPSSTNPVEYSVTNKLVLSTGSTLHGIHSVVSGTPDSSMLVVRDRA GHAVVVECDAVSHPSIVLSNVLAVTVSQEASVVFYLRSSTPSSALALSCVGFKHQDDH VGDPPPCHTLWEDLLEMALPEHEPSDGEGGWALSCAKEDDVVDSATTSASHVFRLVAV SPHGGVWLWRVQAESAGRGPRVLGTASVPPPTALPRQSILLASFMPSSSSLFVTVGRL DPSSSVQFWHITTSDGDRLDWRSSSSRAPMLVPSTAVVRRVELHRSGFLALLSSLDEH AAPKKEAWMSVQHMWDPRAVSHWAVDADMQCMTWQHDGSQLVAISPTAVYGFNAAHGT LLFSHSWLSPGVRGGGAPPPTSICMSVDPSIMYVAHGAFLSQVHAAPPPPASSFSSPP SPFSVQSYQHPVWTPWNLLHLLLDGRVKATRRVLQALVDAISINEAQTFVDMATSTLV LPWLPWSHVMYPREVDGDDRVTFVAKKPQRSQCTAANLFAPATRLDRRNSTPNQTLED VVDVGAFFNVPNNHARLSFLLERDRSAFQTICSVVAACEQHATDMPGLRFLILAAVAE GGDGRGMMCAEAVIWARLTSMDLFALPMFQHGGDNSMDWHRMQKLRVPFWLDDIARLK QTTERMANRAYAATKDPFAVALYFVLLGKTRLLSNVFRLAHETKIADLLANDFDDDRW KAAAIKNAFVLKSKQRYLLAAAFFLLANKVYEAASIAELADPSFALSFLILRLSEPTS LTDLGPVTIQFVRTVLLDKAEVANDVYMQCLCRLYLDNRLVLEPFLAPPPPVATMTSA SMMSNTYWQHESLAGACRLVQHGAAGIDNEADEARVVALHCMAATRLHAQGYGFLGHR LLSDLSIVFPSVTSLDVFPWVANLRHELARLCVSDQLQTVCSTLSLAIDTSIASGSFD WDWQTRMVEASSFFSPDVPPPAVVQLCRRHSSVAWMIASHHHLQTASAPIAAQVGVII RAMQASPGTSSVVRPVGHATQSLIEYLHVMQTHESPDVVRLATGAIYTGMFALFVAVA WHRRLPRCCVVRFVSLLCPQKEILVSPQHDDMCLVCIEFTNPRRRRRQLLPSLRQDLP ALHDMVRQLRTLNPPPLSSSLPSSSATDGGCWCWTALVALLHETMAAHVLRLTDQLDN AQSLQHTWQAYTTPRIVRQLRACRKQAATPSSDHGDDDDGCVWQDLIQLVVPNTTAVP RVGIVASEYDDGGVRGGGGVAEVIYSSDVPGESIRSMCCNTQQRSTVVLCNGRMLYRA LAKKPPKTSNITSSCQLHVNAKYTPPAVFFAGGHHHDGHVKVPSMLLSPQPDSKGSSS SSNSSYKPVAVQSHPSMPVFCSGTATGSVEVWRFDQISTCNLFEHQVSTATNALTLAP RRDIHRLRFAQSGYTLGACDALGFVYLWNFASEGSSACYAHLQCHNRGTRDFAFLNAS SCVASVGASTKKKNLCLWDTLLPPHKALICAPLCHPVGAASVVFSSRHQLVISGGESG SLSIFDVRQQRVLYAVSTAHDSCVTTLALHPQGHCVLSGSATGDVKIWSLPLFRELCS WGNSAGMTTKARHQSASFLMDASSTFAAATAVTGITDAFATEDFFYASGSDGVIQRYQ TPIVTAL H257_07827 MLNEATCLVGVSSTLVVQHDLVNYRWLFYSPETSMSVVIARAPL LQQGGATARPQRYSQVQILYLPSTPQSIALHRPTCRLAVACVDGTALLFNPGQPSPSS SSPVRNLVCDDSSLIWHTQATWRCEPGMTTPLKWCESNEDVWLVGAGTKVSMWKVVDD AVSVYSNRSFALGHATSSRAVLFDACSTGRYVATTTHPHARLIKVWSLNTWTMEMTAP FCAFLGHLRAIHSIEWLRPEAESVLDNATLMTLDVGGSLTVWREDSNASTFGFLRVWV LDESCIRMCGSIDRRKSRFKTLLWELPSNDPAKPLKVWHTAIPDKTHHLYTHTMDRMH MKASKSVGFSSRAGATADTHDGEKFIQGNLALSKCSITYLLYTIHDNGDLGIWRLDCT MFLTSTPRVTQLCTTSDLDLVHAKPMHASVVDVFAPPPHNLPPLDISFTLLQCPSHDL HAVSLHVAPLPPSPSSTNPVEYSVTNKLVLSTGSTLHGIHSVVSGTPDSSMLVVRDRA GHAVVVECDAVSHPSIVLSNVLAVTVSQEASVVFYLRSSTPSSALALSCVGFKHQDDH VGDPPPCHTLWEDLLEMALPEHEPSDGEGGWALSCAKEDDVVDSATTSASHVFRLVAV SPHGGVWLWRVQAESAGRGPRVLGTASVPPPTALPRQSILLASFMPSSSSLFVTVGRL DPSSSVQFWHITTSDGDRLDWRSSSSRAPMLVPSTAVVRRVELHRSGFLALLSSLDEH AAPKKEAWMSVQHMWDPRAVSHWAVDADMQCMTWQHDGSQLVAISPTAVYGFNAAHGT LLFSHSWLSPGVRGGGAPPPTSICMSVDPSIMYVAHGAFLSQVHAAPPPPASSFSSPP SPFSVQSYQHPVWTPWNLLHLLLDGRVKATRRVLQALVDAISINEAQTFVDMATSTLV LPWLPWSHVMYPREVDGDDRVTFVAKKPQRSQCTAANLFAPATRLDRRNSTPNQTLED VVDVGAFFNVPNNHARLSFLLERDRSAFQTICSVVAACEQHATDMPGLRFLILAAVAE GGDGRGMMCAEAVIWARLTSMDLFALPMFQHGGDNSMDWHRMQKLRVPFWLDDIARLK QTTERMANRAYAATKDPFAVALYFVLLGKTRLLSNVFRLAHETKIADLLANDFDDDRW KAAAIKNAFVLKSKQRYLLAAAFFLLANKVYEAASIAELADPSFALSFLILRLSEPTS LTDLGPVTIQFVRTVLLDKAEVANDVYMQCLCRLYLDNRLVLEPFLAPPPPVATMTSA SMMSNTYWQHESLAGACRLVQHGAAGIDNEADEARVVALHCMAATRLHAQGYGFLGHR LLSDLSIVFPSVTSLDVFPWVANLRHELARLCVSDQLQTVCSTLSLAIDTSIASGSFD WDWQTRMVEASSFFSPDVPPPAVVQLCRRHSSVAWMIASHHHLQTASAPIAAQVGVII RAMQASPGTSSVVRPVGHATQSLIEYLHVMQTHESPDVVRLATGAIYTGMFALFVAVA WHRRLPRCCVVRFVSLLCPQKEILVSPQHDDMCLVCIEFTNPRRRRRQLLPSLRQDLP ALHDMVRQLRTLNPPPLSSSLPSSSATDGGCWCWTALVALLHETMAAHVLRLTDQLDN AQSLQHTWQAYTTPRIVRQLRACRKQAATPSSDHGDDDDGCVWQDLIQLVVPNTTAVP RVGIVASEYDDGGVRGGGGVAEVIYSSDVPGESIRSMCCNTQQRSTVVLCNGRMLYRA LAKKPPKTSNITSSCQLHVNAKYTPPAVFFAGGHHHDGHVKVPSMLLSPQPDSKGSSS SSNSSYKPVAVQSHPSMPVFCSGTATGSVEVWRFDQISTCNLFEHQVSTATNALTLAP RRDIHRLRFAQSGYTLGACDALGFVYLWNFASEGSSACYAHLQCHNRGTRDFAFLNAS SCVASVGASTKKKNLCLWDTLLPPHKALICAPLCHPVGAASVVFSSRHQLVISGGESG SLSIFDVRQQRVLYAVSTAHDSCVTTLALHPQGHCVLSGSATGDVKASNLLANPSLSS YPMENVS H257_07827 MLNEATCLVGVSSTLVVQHDLVNYRWLFYSPETSMSVVIARAPL LQQGGATARPQRYSQVQILYLPSTPQSIALHRPTCRLAVACVDGTALLFNPGQPSPSS SSPVRNLVCDDSSLIWHTQATWRCEPGMTTPLKWCESNEDVWLVGAGTKVSMWKVVDD AVSVYSNRSFALGHATSSRAVLFDACSTGRYVATTTHPHARLIKVWSLNTWTMEMTAP FCAFLGHLRAIHSIEWLRPEAESVLDNATLMTLDVGGSLTVWREDSNASTFGFLRVWV LDESCIRMCGSIDRRKSRFKTLLWELPSNDPAKPLKVWHTAIPDKTHHLYTHTMDRMH MKASKSVGFSSRAGATADTHDGEKFIQGNLALSKCSITYLLYTIHDNGDLGIWRLDCT MFLTSTPRVTQLCTTSDLDLVHAKPMHASVVDVFAPPPHNLPPLDISFTLLQCPSHDL HAVSLHVAPLPPSPSSTNPVEYSVTNKLVLSTGSTLHGIHSVVSGTPDSSMLVVRDRA GHAVVVECDAVSHPSIVLSNVLAVTVSQEASVVFYLRSSTPSSALALSCVGFKHQDDH VGDPPPCHTLWEDLLEMALPEHEPSDGEGGWALSCAKEDDVVDSATTSASHVFRLVAV SPHGGVWLWRVQAESAGRGPRVLGTASVPPPTALPRQSILLASFMPSSSSLFVTVGRL DPSSSVQFWHITTSDGDRLDWRSSSSRAPMLVPSTAVVRRVELHRSGFLALLSSLDEH AAPKKEAWMSVQHMWDPRAVSHWAVDADMQCMTWQHDGSQLVAISPTAVYGFNAAHGT LLFSHSWLSPGVRGGGAPPPTSICMSVDPSIMYVAHGAFLSQVHAAPPPPASSFSSPP SPFSVQSYQHPVWTPWNLLHLLLDGRVKATRRVLQALVDAISINEAQTFVDMATSTLV LPWLPWSHVMYPREVDGDDRVTFVAKKPQRSQCTAANLFAPATRLDRRNSTPNQTLED VVDVGAFFNVPNNHARLSFLLERDRSAFQTICSVVAACEQHATDMPGLRFLILAAVAE GGDGRGMMCAEAVIWARLTSMDLFALPMFQHGGDNSMDWHRMQKLRVPFWLDDIARLK QTTERMANRAYAATKDPFAVALYFVLLGKTRLLSNVFRLAHETKIADLLANDFDDDRW KAAAIKNAFVLKSKQRYLLAAAFFLLANKVYEAASIAELADPSFALSFLILRLSEPTS LTDLGPVTIQFVRTVLLDKAEVANDVYMQCLCRLYLDNRLVLEPFLAPPPPVATMTSA SMMSNTYWQHESLAGACRLVQHGAAGIDNEADEARVVALHCMAATRLHAQGYGFLGHR LLSDLSIVFPSVTSLDVFPWVANLRHELARLCVSDQLQTVCSTLSLAIDTSIASGSFD WDWQTRMVEASSFFSPDVPPPAVVQLCRRHSSVAWMIASHHHLQTASAPIAAQVGVII RAMQASPGTSSVVRPVGHATQSLIEYLHVMQTHESPDVVRLATGAIYTGMFALFVAVA WHRRLPRCCVVRFVSLLCPQKEILVSPQHDDMCLVCIEFTNPRRRRRQLLPSLRQDLP ALHDMVRQLRTLNPPPLSSSLPSSSATDGGCWCWTALVALLHETMAAHVLRLTDQLDN AQSLQHTWQAYTTPRIVRQLRACRKQAATPSSDHGDDDDGCVWQDLIQLVVPNTTAVP RVGIVASEYDDGGVRGGGGVAEVIYSSDVPGESIRSMCCNTQQRSTVVLCNGRMLYRA LAKKPPKTSNITSSCQLHVNAKYTPPAVFFAGGHHHDGHVKVPSMLLSPQPDSKGSSS SSNSSYKPVAVQSHPSMPVFCSGTATGSVEVWRFDQISTCNLFEHQVSTATNALTLAP RRDIHRLRFAQSGYTLGACDALGFVYLWNFASEGSSACYAHLQCHNRGTRDFAFLNAS SCVASVGASTKKKNLCLWDTLLPPHKALICAPLCHPVGAASVVFSSRHQLVISGGESG SLSIFDVRQQRVLYAVSTAHDSCVTTLALHPQGHCVLSGSATGDVKASNLLANPSLSS YPMENVS H257_07827 MLNEATCLVGVSSTLVVQHDLVNYRWLFYSPETSMSVVIARAPL LQQGGATARPQRYSQVQILYLPSTPQSIALHRPTCRLAVACVDGTALLFNPGQPSPSS SSPVRNLVCDDSSLIWHTQATWRCEPGMTTPLKWCESNEDVWLVGAGTKVSMWKVVDD AVSVYSNRSFALGHATSSRAVLFDACSTGRYVATTTHPHARLIKVWSLNTWTMEMTAP FCAFLGHLRAIHSIEWLRPEAESVLDNATLMTLDVGGSLTVWREDSNASTFGFLRVWV LDESCIRMCGSIDRRKSRFKTLLWELPSNDPAKPLKVWHTAIPDKTHHLYTHTMDRMH MKASKSVGFSSRAGATADTHDGEKFIQGNLALSKCSITYLLYTIHDNGDLGIWRLDCT MFLTSTPRVTQLCTTSDLDLVHAKPMHASVVDVFAPPPHNLPPLDISFTLLQCPSHDL HAVSLHVAPLPPSPSSTNPVEYSVTNKLVLSTGSTLHGIHSVVSGTPDSSMLVVRDRA GHAVVVECDAVSHPSIVLSNVLAVTVSQEASVVFYLRSSTPSSALALSCVGFKHQDDH VGDPPPCHTLWEDLLEMALPEHEPSDGEGGWALSCAKEDDVVDSATTSASHVFRLVAV SPHGGVWLWRVQAESAGRGPRVLGTASVPPPTALPRQSILLASFMPSSSSLFVTVGRL DPSSSVQFWHITTSDGDRLDWRSSSSRAPMLVPSTAVVRRVELHRSGFLALLSSLDEH AAPKKEAWMSVQHMWDPRAVSHWAVDADMQCMTWQHDGSQLVAISPTAVYGFNAAHGT LLFSHSWLSPGVRGGGAPPPTSICMSVDPSIMYVAHGAFLSQVHAAPPPPASSFSSPP SPFSVQSYQHPVWTPWNLLHLLLDGRVKATRRVLQALVDAISINEAQTFVDMATSTLV LPWLPWSHVMYPREVDGDDRVTFVAKKPQRSQCTAANLFAPATRLDRRNSTPNQTLED VVDVGAFFNVPNNHARLSFLLERDRSAFQTICSVVAACEQHATDMPGLRFLILAAVAE GGDGRGMMCAEAVIWARLTSMDLFALPMFQHGGDNSMDWHRMQKLRVPFWLDDIARLK QTTERMANRAYAATKDPFAVALYFVLLGKTRLLSNVFRLAHETKIADLLANDFDDDRW KAAAIKNAFVLKSKQRYLLAAAFFLLANKVYEAASIAELADPSFALSFLILRLSEPTS LTDLGPVTIQFVRTVLLDKAEVANDVYMQCLCRLYLDNRLVLEPFLAPPPPVATMTSA SMMSNTYWQHESLAGACRLVQHGAAGIDNEADEARVVALHCMAATRLHAQGYGFLGHR LLSDLSIVFPSVTSLDVFPWVANLRHELARLCVSDQLQTVCSTLSLAIDTSIASGSFD WDWQTRMVEASSFFSPDVPPPAVVQLCRRHSSVAWMIASHHHLQTASAPIAAQVGVII RAMQASPGTSSVVRPVGHATQSLIEYLHVMQTHESPDVVRLATGAIYTGMFALFVAVA WHRRLPRCCVVRFVSLLCPQKEILVSPQHDDMCLVCIEFTNPRRRRRQLLPSLRQDLP ALHDMVRQLRTLNPPPLSSSLPSSSATDGGCWCWTALVALLHETMAAHVLRLTDQLDN AQSLQHTWQAYTTPRIVRQLRACRKQAATPSSDHGDDDDGCVWQDLIQLVVPNTTAVP RVGIVASEYDDGGVRGGGGVAEVIYSSDVPGESIRSMCCNTQQRSTVVLCNGRMLYRA LAKKPPKTSNITSSCQLHVNAKYTPPAVFFAGGHHHDGHVKVPSMLLSPQPDSKGSSS SSNSSYKPVAVQSHPSMPVFCSGTATGSVEVWRFDQISTCNLFEHQVSTATNALTLAP RRDIHRLRFAQSGYTLGACDALGFVYLWNFASEGSSACYAHLQCHNRGTRDFAFLNAS SCVASVGASTKKKNLCLWDTLLPPHKALICAPLCHPVGAASVVFSSRHQVINVGATMR MV H257_07827 MLNEATCLVGVSSTLVVQHDLVNYRWLFYSPETSMSVVIARAPL LQQGGATARPQRYSQVQILYLPSTPQSIALHRPTCRLAVACVDGTALLFNPGQPSPSS SSPVRNLVCDDSSLIWHTQATWRCEPGMTTPLKWCESNEDVWLVGAGTKVSMWKVVDD AVSVYSNRSFALGHATSSRAVLFDACSTGRYVATTTHPHARLIKVWSLNTWTMEMTAP FCAFLGHLRAIHSIEWLRPEAESVLDNATLMTLDVGGSLTVWREDSNASTFGFLRVWV LDESCIRMCGSIDRRKSRFKTLLWELPSNDPAKPLKVWHTAIPDKTHHLYTHTMDRMH MKASKSVGFSSRAGATADTHDGEKFIQGNLALSKCSITYLLYTIHDNGDLGIWRLDCT MFLTSTPRVTQLCTTSDLDLVHAKPMHASVVDVFAPPPHNLPPLDISFTLLQCPSHDL HAVSLHVAPLPPSPSSTNPVEYSVTNKLVLSTGSTLHGIHSVVSGTPDSSMLVVRDRA GHAVVVECDAVSHPSIVLSNVLAVTVSQEASVVFYLRSSTPSSALALSCVGFKHQDDH VGDPPPCHTLWEDLLEMALPEHEPSDGEGGWALSCAKEDDVVDSATTSASHVFRLVAV SPHGGVWLWRVQAESAGRGPRVLGTASVPPPTALPRQSILLASFMPSSSSLFVTVGRL DPSSSVQFWHITTSDGDRLDWRSSSSRAPMLVPSTAVVRRVELHRSGFLALLSSLDEH AAPKKEAWMSVQHMWDPRAVSHWAVDADMQCMTWQHDGSQLVAISPTAVYGFNAAHGT LLFSHSWLSPGVRGGGAPPPTSICMSVDPSIMYVAHGAFLSQVHAAPPPPASSFSSPP SPFSVQSYQHPVWTPWNLLHLLLDGRVKATRRVLQALVDAISINEAQTFVDMATSTLV LPWLPWSHVMYPREVDGDDRVTFVAKKPQRSQCTAANLFAPATRLDRRNSTPNQTLED VVDVGAFFNVPNNHARLSFLLERDRSAFQTICSVVAACEQHATDMPGLRFLILAAVAE GGDGRGMMCAEAVIWARLTSMDLFALPMFQHGGDNSMDWHRMQKLRVPFWLDDIARLK QTTERMANRAYAATKDPFAVALYFVLLGKTRLLSNVFRLAHETKIADLLANDFDDDRW KAAAIKNAFVLKSKQRYLLAAAFFLLANKVYEAASIAELADPSFALSFLILRLSEPTS LTDLGPVTIQFVRTVLLDKAEVANDVYMQCLCRLYLDNRLVLEPFLAPPPPVATMTSA SMMSNTYWQHESLAGACRLVQHGAAGIDNEADEARVVALHCMAATRLHAQGYGFLGHR LLSDLSIVFPSVTSLDVFPWVANLRHELARLCVSDQLQTVCSTLSLAIDTSIASGSFD WDWQTRMVEASSFFSPDVPPPAVVQLCRRHSSVAWMIASHHHLQTASAPIAAQVGVII RAMQASPGTSSVVRPVGHATQSLIEYLHVMQTHESPDVVRLATGAIYTGMFALFVAVA WHRRLPRCCVVRFVSLLCPQKEILVSPQHDDMCLVCIEFTNPRRRRRQLLPSLRQDLP ALHDMVRQLRTLNPPPLSSSLPSSSATDGGCWCWTALVALLHETMAAHVLRLTDQLDN AQSLQHTWQAYTTPRIVRQLRACRKQAATPSSDHGDDDDGCVWQDLIQLVVPNTTAVP RVGIVASEYDDGGVRGGGGVAEVIYSSDVPGESIRSMCCNTQQRSTVVLCNGRMLYRA LAKKPPKTSNITSSCQLHVNAKYTPPAVFFAGGHHHDGHVKVPSMLLSPQPDSKGSSS SSNSSYKPVAVQSHPSMPVFCSGTATGSVEVWRFDQISTCNLFEHQVSTATNALTLAP RRDIHRLRFAQSGYTLGACDALGFVYLWNFASEGSSACYAHLQCHNRGTRDFAFLNAS SCVASVGASTKKKNLCLWDTLLPPHKALICAPLCHPVGAASVVFSSRHQVINVGATMR MV H257_07827 MLNEATCLVGVSSTLVVQHDLVNYRWLFYSPETSMSVVIARAPL LQQGGATARPQRYSQVQILYLPSTPQSIALHRPTCRLAVACVDGTALLFNPGQPSPSS SSPVRNLVCDDSSLIWHTQATWRCEPGMTTPLKWCESNEDVWLVGAGTKVSMWKVVDD AVSVYSNRSFALGHATSSRAVLFDACSTGRYVATTTHPHARLIKVWSLNTWTMEMTAP FCAFLGHLRAIHSIEWLRPEAESVLDNATLMTLDVGGSLTVWREDSNASTFGFLRVWV LDESCIRMCGSIDRRKSRFKTLLWELPSNDPAKPLKVWHTAIPDKTHHLYTHTMDRMH MKASKSVGFSSRAGATADTHDGEKFIQGNLALSKCSITYLLYTIHDNGDLGIWRLDCT MFLTSTPRVTQLCTTSDLDLVHAKPMHASVVDVFAPPPHNLPPLDISFTLLQCPSHDL HAVSLHVAPLPPSPSSTNPVEYSVTNKLVLSTGSTLHGIHSVVSGTPDSSMLVVRDRA GHAVVVECDAVSHPSIVLSNVLAVTVSQEASVVFYLRSSTPSSALALSCVGFKHQDDH VGDPPPCHTLWEDLLEMALPEHEPSDGEGGWALSCAKEDDVVDSATTSASHVFRLVAV SPHGGVWLWRVQAESAGRGPRVLGTASVPPPTALPRQSILLASFMPSSSSLFVTVGRL DPSSSVQFWHITTSDGDRLDWRSSSSRAPMLVPSTAVVRRVELHRSGFLALLSSLDEH AAPKKEAWMSVQHMWDPRAVSHWAVDADMQCMTWQHDGSQLVAISPTAVYGFNAAHGT LLFSHSWLSPGVRGGGAPPPTSICMSVDPSIMYVAHGAFLSQVHAAPPPPASSFSSPP SPFSVQSYQHPVWTPWNLLHLLLDGRVKATRRVLQALVDAISINEAQTFVDMATSTLV LPWLPWSHVMYPREVDGDDRVTFVAKKPQRSQCTAANLFAPATRLDRRNSTPNQTLED VVDVGAFFNVPNNHARLSFLLERDRSAFQTICSVVAACEQHATDMPGLRFLILAAVAE GGDGRGMMCAEAVIWARLTSMDLFALPMFQHGGDNSMDWHRMQKLRVPFWLDDIARLK QTTERMANRAYAATKDPFAVALYFVLLGKTRLLSNVFRLAHETKIADLLANDFDDDRW KAAAIKNAFVLKSKQRYLLAAAFFLLANKVYEAASIAELADPSFALSFLILRLSEPTS LTDLGPVTIQFVRTVLLDKAEVANDVYMQCLCRLYLDNRLVLEPFLAPPPPVATMTSA SMMSNTYWQHESLAGACRLVQHGAAGIDNEADEARVVALHCMAATRLHAQGYGFLGHR LLSDLSIVFPSVTSLDVFPWVANLRHELARLCVSDQLQTVCSTLSLAIDTSIASGSFD WDWQTRMVEASSFFSPDVPPPAVVQLCRRHSSVAWMIASHHHLQTASAPIAAQVGVII RAMQASPGTSSVVRPVGHATQSLIEYLHVMQTHESPDVVRLATGAIYTGMFALFVAVA WHRRLPRCCVVRFVSLLCPQKEILVSPQHDDMCLVCIEFTNPRRRRRQLLPSLRQDLP ALHDMVRQLRTLNPPPLSSSLPSSSATDGGCWCWTALVALLHETMAAHVLRLTDQLDN AQSLQHTWQAYTTPRIVRQLRACRKQAATPSSDHGDDDDGCVWQDLIQLVVPNTTAVP RVGIVASEYDDGGVRGGGGVAEVIYSSDVPGESIRSMCCNTQQRSTVVLCNGRMLYRA LAKKPPKTSNITSSCQLHVNAKYTPPAVFFAGGHHHDGHVKVPSMLLSPQPDSKGSSS SSNSSYKPVAVQSHPSMPVFCSGTATGSVEVWRFDQISTCNLFEHQVSTATNALTLAP RRDIHRLRFAQSGYTLGACDALGFVYLWNFASEGSSACYAHLQCHNRGTRDFAFLNAS SCVASVGASTKKKNLCLWDTLLPPHKALICAPLCHPVGAASVVFSSRHQVINVGATMR MV H257_07827 MLNEATCLVGVSSTLVVQHDLVNYRWLFYSPETSMSVVIARAPL LQQGGATARPQRYSQVQILYLPSTPQSIALHRPTCRLAVACVDGTALLFNPGQPSPSS SSPVRNLVCDDSSLIWHTQATWRCEPGMTTPLKWCESNEDVWLVGAGTKVSMWKVVDD AVSVYSNRSFALGHATSSRAVLFDACSTGRYVATTTHPHARLIKVWSLNTWTMEMTAP FCAFLGHLRAIHSIEWLRPEAESVLDNATLMTLDVGGSLTVWREDSNASTFGFLRVWV LDESCIRMCGSIDRRKSRFKTLLWELPSNDPAKPLKVWHTAIPDKTHHLYTHTMDRMH MKASKSVGFSSRAGATADTHDGEKFIQGNLALSKCSITYLLYTIHDNGDLGIWRLDCT MFLTSTPRVTQLCTTSDLDLVHAKPMHASVVDVFAPPPHNLPPLDISFTLLQCPSHDL HAVSLHVAPLPPSPSSTNPVEYSVTNKLVLSTGSTLHGIHSVVSGTPDSSMLVVRDRA GHAVVVECDAVSHPSIVLSNVLAVTVSQEASVVFYLRSSTPSSALALSCVGFKHQDDH VGDPPPCHTLWEDLLEMALPEHEPSDGEGGWALSCAKEDDVVDSATTSASHVFRLVAV SPHGGVWLWRVQAESAGRGPRVLGTASVPPPTALPRQSILLASFMPSSSSLFVTVGRL DPSSSVQFWHITTSDGDRLDWRSSSSRAPMLVPSTAVVRRVELHRSGFLALLSSLDEH AAPKKEAWMSVQHMWDPRAVSHWAVDADMQCMTWQHDGSQLVAISPTAVYGFNAAHGT LLFSHSWLSPGVRGGGAPPPTSICMSVDPSIMYVAHGAFLSQVHAAPPPPASSFSSPP SPFSVQSYQHPVWTPWNLLHLLLDGRVKATRRVLQALVDAISINEAQTFVDMATSTLV LPWLPWSHVMYPREVDGDDRVTFVAKKPQRSQCTAANLFAPATRLDRRNSTPNQTLED VVDVGAFFNVPNNHARLSFLLERDRSAFQTICSVVAACEQHATDMPGLRFLILAAVAE GGDGRGMMCAEAVIWARLTSMDLFALPMFQHGGDNSMDWHRMQKLRVPFWLDDIARLK QTTERMANRAYAATKDPFAVALYFVLLGKTRLLSNVFRLAHETKIADLLANDFDDDRW KAAAIKNAFVLKSKQRYLLAAAFFLLANKVYEAASIAELADPSFALSFLILRLSEPTS LTDLGPVTIQFVRTVLLDKAEVANDVYMQCLCRLYLDNRLVLEPFLAPPPPVATMTSA SMMSNTYWQHESLAGACRLVQHGAAGIDNEADEARVVALHCMAATRLHAQGYGFLGHR LLSDLSIVFPSVTSLDVFPWVANLRHELARLCVSDQLQTVCSTLSLAIDTSIASGSFD WDWQTRMVEASSFFSPDVPPPAVVQLCRRHSSVAWMIASHHHLQTASAPIAAQVGVII RAMQASPGTSSVVRPVGHATQSLIEYLHVMQTHESPDVVRLATGAIYTGMFALFVAVA WHRRLPRCCVVRFVSLLCPQKEILVSPQHDDMCLVCIEFTNPRRRRRQLLPSLRQDLP ALHDMVRQLRTLNPPPLSSSLPSSSATDGGCWCWTALVALLHETMAAHVLRLTDQLDN AQSLQHTWQAYTTPRIVRQLRACRKQAATPSSDHGDDDDGCVWQDLIQLVVPNTTAVP RVGIVASEYDDGGVRGGGGVAEVIYSSDVPGESIRSMCCNTQQRSTVVLCNGRMLYRA LAKKPPKTSNITSSCQLHVNAKYTPPAVFFAGGHHHDGHVKVPSMLLSPQPDSKGSSS SSNSSYKPVAVQSHPSMPVFCSGTATGSVEVWRFDQISTCNLFEHQVSTATNALTLAP RRDIHRLRFAQSGYTLGACDALGFVYLWNFASEGSSACYAHLQCHNRGTRDFAFLNAS SCVASVGASTK H257_07827 MLNEATCLVGVSSTLVVQHDLVNYRWLFYSPETSMSVVIARAPL LQQGGATARPQRYSQVQILYLPSTPQSIALHRPTCRLAVACVDGTALLFNPGQPSPSS SSPVRNLVCDDSSLIWHTQATWRCEPGMTTPLKWCESNEDVWLVGAGTKVSMWKVVDD AVSVYSNRSFALGHATSSRAVLFDACSTGRYVATTTHPHARLIKVWSLNTWTMEMTAP FCAFLGHLRAIHSIEWLRPEAESVLDNATLMTLDVGGSLTVWREDSNASTFGFLRVWV LDESCIRMCGSIDRRKSRFKTLLWELPSNDPAKPLKVWHTAIPDKTHHLYTHTMDRMH MKASKSVGFSSRAGATADTHDGEKFIQGNLALSKCSITYLLYTIHDNGDLGIWRLDCT MFLTSTPRVTQLCTTSDLDLVHAKPMHASVVDVFAPPPHNLPPLDISFTLLQCPSHDL HAVSLHVAPLPPSPSSTNPVEYSVTNKLVLSTGSTLHGIHSVVSGTPDSSMLVVRDRA GHAVVVECDAVSHPSIVLSNVLAVTVSQEASVVFYLRSSTPSSALALSCVGFKHQDDH VGDPPPCHTLWEDLLEMALPEHEPSDGEGGWALSCAKEDDVVDSATTSASHVFRLVAV SPHGGVWLWRVQAESAGRGPRVLGTASVPPPTALPRQSILLASFMPSSSSLFVTVGRL DPSSSVQFWHITTSDGDRLDWRSSSSRAPMLVPSTAVVRRVELHRSGFLALLSSLDEH AAPKKEAWMSVQHMWDPRAVSHWAVDADMQCMTWQHDGSQLVAISPTAVYGFNAAHGT LLFSHSWLSPGVRGGGAPPPTSICMSVDPSIMYVAHGAFLSQVHAAPPPPASSFSSPP SPFSVQSYQHPVWTPWNLLHLLLDGRVKATRRVLQALVDAISINEAQTFVDMATSTLV LPWLPWSHVMYPREVDGDDRVTFVAKKPQRSQCTAANLFAPATRLDRRNSTPNQTLED VVDVGAFFNVPNNHARLSFLLERDRSAFQTICSVVAACEQHATDMPGLRFLILAAVAE GGDGRGMMCAEAVIWARLTSMDLFALPMFQHGGDNSMDWHRMQKLRVPFWLDDIARLK QTTERMANRAYAATKDPFAVALYFVLLGKTRLLSNVFRLAHETKIADLLANDFDDDRW KAAAIKNAFVLKSKQRYLLAAAFFLLANKVYEAASIAELADPSFALSFLILRLSEPTS LTDLGPVTIQFVRTVLLDKAEVANDVYMQCLCRLYLDNRLVLEPFLAPPPPVATMTSA SMMSNTYWQHESLAGACRLVQHGAAGIDNEADEARVVALHCMAATRLHAQGYGFLGHR LLSDLSIVFPSVTSLDVFPWVANLRHELARLCVSDQLQTVCSTLSLAIDTSIASGSFD WDWQTRMVEASSFFSPDVPPPAVVQLCRRHSSVAWMIASHHHLQTASAPIAAQVGVII RAMQASPGTSSVVRPVGHATQSLIEYLHVMQTHESPDVVRLATGAIYTGMFALFVAVA WHRRLPRCCVVRFVSLLCPQKEILVSPQHDDMCLVCIEFTNPRRRRRQLLPSLRQDLP ALHDMVRQLRTLNPPPLSSSLPSSSATDGGCWCWTALVALLHETMAAHVLRLTDQLDN AQSLQHTWQAYTTPRIVRQLRACRKQAATPSSDHGDDDDGCVWQDLIQLVVPNTTAVP RVGIVASEYDDGGVRGGGGVAEVIYSSDVPGESIRSMCCNTQQRSTVVLCNGRMLYRA LAKKPPKTSNITSSCQLHVNAKYTPPAVFFAGGHHHDGHVKVPSMLLSPQPDSKGSSS SSNSSYKPVAVQSHPSMPVFCSGTATGSVEVWRFDQISTCNLFEHQVSTATNALTLAP RRDIHRLRFAQSGYTLGACDALGFVYLWNFASEGSSACYAHLQCHNRGTRDFAFLNAS SCVASVGASTK H257_07827 MLNEATCLVGVSSTLVVQHDLVNYRWLFYSPETSMSVVIARAPL LQQGGATARPQRYSQVQILYLPSTPQSIALHRPTCRLAVACVDGTALLFNPGQPSPSS SSPVRNLVCDDSSLIWHTQATWRCEPGMTTPLKWCESNEDVWLVGAGTKVSMWKVVDD AVSVYSNRSFALGHATSSRAVLFDACSTGRYVATTTHPHARLIKVWSLNTWTMEMTAP FCAFLGHLRAIHSIEWLRPEAESVLDNATLMTLDVGGSLTVWREDSNASTFGFLRVWV LDESCIRMCGSIDRRKSRFKTLLWELPSNDPAKPLKVWHTAIPDKTHHLYTHTMDRMH MKASKSVGFSSRAGATADTHDGEKFIQGNLALSKCSITYLLYTIHDNGDLGIWRLDCT MFLTSTPRVTQLCTTSDLDLVHAKPMHASVVDVFAPPPHNLPPLDISFTLLQCPSHDL HAVSLHVAPLPPSPSSTNPVEYSVTNKLVLSTGSTLHGIHSVVSGTPDSSMLVVRDRA GHAVVVECDAVSHPSIVLSNVLAVTVSQEASVVFYLRSSTPSSALALSCVGFKHQDDH VGDPPPCHTLWEDLLEMALPEHEPSDGEGGWALSCAKEDDVVDSATTSASHVFRLVAV SPHGGVWLWRVQAESAGRGPRVLGTASVPPPTALPRQSILLASFMPSSSSLFVTVGRL DPSSSVQFWHITTSDGDRLDWRSSSSRAPMLVPSTAVVRRVELHRSGFLALLSSLDEH AAPKKEAWMSVQHMWDPRAVSHWAVDADMQCMTWQHDGSQLVAISPTAVYGFNAAHGT LLFSHSWLSPGVRGGGAPPPTSICMSVDPSIMYVAHGAFLSQVHAAPPPPASSFSSPP SPFSVQSYQHPVWTPWNLLHLLLDGRVKATRRVLQALVDAISINEAQTFVDMATSTLV LPWLPWSHVMYPREVDGDDRVTFVAKKPQRSQCTAANLFAPATRLDRRNSTPNQTLED VVDVGAFFNVPNNHARLSFLLERDRSAFQTICSVVAACEQHATDMPGLRFLILAAVAE GGDGRGMMCAEAVIWARLTSMDLFALPMFQHGGDNSMDWHRMQKLRVPFWLDDIARLK QTTERMANRAYAATKDPFAVALYFVLLGKTRLLSNVFRLAHETKIADLLANDFDDDRW KAAAIKNAFVLKSKQRYLLAAAFFLLANKVYEAASIAELADPSFALSFLILRLSEPTS LTDLGPVTIQFVRTVLLDKAEVANDVYMQCLCRLYLDNRLVLEPFLAPPPPVATMTSA SMMSNTYWQHESLAGACRLVQHGAAGIDNEADEARVVALHCMAATRLHAQGYGFLGHR LLSDLSIVFPSVTSLDVFPWVANLRHELARLCVSDQLQTVCSTLSLAIDTSIASGSFD WDWQTRMVEASSFFSPDVPPPAVVQLCRRHSSVAWMIASHHHLQTASAPIAAQVGVII RAMQASPGTSSVVRPVGHATQSLIEYLHVMQTHESPDVVRLATGAIYTGMFALFVAVA WHRRLPRCCVVRFVSLLCPQKEILVSPQHDDMCLVCIEFTNPRRRRRQLLPSLRQDLP ALHDMVRQLRTLNPPPLSSSLPSSSATDGGCWCWTALVALLHETMAAHVLRLTDQLDN AQSLQHTWQAYTTPRIVRQLRACRKQAATPSSDHGDDDDGCVWQDLIQLVVPNTTAVP RVGIVASEYDDGGVRGGGGVAEVIYSSDVPGESIRSMCCNTQQRSTVVLCNGRMLYRA LAKKPPKTSNITSSCQLHVNAKYTPPAVFFAGGHHHDGHVKVPSMLLSPQPDSKGSSS SSNSSYKPVAVQSHPSMPVFCSGTATGSVEVWRFDQISTCNLFEHQVSTATNALTLAP RRDIHRLRFAQSGYTLGACDALGFVYLWNFASEGSSACYAHLQCHNRGTRDFAFLNAS SCVASVGASTK H257_07827 MLNEATCLVGVSSTLVVQHDLVNYRWLFYSPETSMSVVIARAPL LQQGGATARPQRYSQVQILYLPSTPQSIALHRPTCRLAVACVDGTALLFNPGQPSPSS SSPVRNLVCDDSSLIWHTQATWRCEPGMTTPLKWCESNEDVWLVGAGTKVSMWKVVDD AVSVYSNRSFALGHATSSRAVLFDACSTGRYVATTTHPHARLIKVWSLNTWTMEMTAP FCAFLGHLRAIHSIEWLRPEAESVLDNATLMTLDVGGSLTVWREDSNASTFGFLRVWV LDESCIRMCGSIDRRKSRFKTLLWELPSNDPAKPLKVWHTAIPDKTHHLYTHTMDRMH MKASKSVGFSSRAGATADTHDGEKFIQGNLALSKCSITYLLYTIHDNGDLGIWRLDCT MFLTSTPRVTQLCTTSDLDLVHAKPMHASVVDVFAPPPHNLPPLDISFTLLQCPSHDL HAVSLHVAPLPPSPSSTNPVEYSVTNKLVLSTGSTLHGIHSVVSGTPDSSMLVVRDRA GHAVVVECDAVSHPSIVLSNVLAVTVSQEASVVFYLRSSTPSSALALSCVGFKHQDDH VGDPPPCHTLWEDLLEMALPEHEPSDGEGGWALSCAKEDDVVDSATTSASHVFRLVAV SPHGGVWLWRVQAESAGRGPRVLGTASVPPPTALPRQSILLASFMPSSSSLFVTVGRL DPSSSVQFWHITTSDGDRLDWRSSSSRAPMLVPSTAVVRRVELHRSGFLALLSSLDEH AAPKKEAWMSVQHMWDPRAVSHWAVDADMQCMTWQHDGSQLVAISPTAVYGFNAAHGT LLFSHSWLSPGVRGGGAPPPTSICMSVDPSIMYVAHGAFLSQVHAAPPPPASSFSSPP SPFSVQSYQHPVWTPWNLLHLLLDGRVKATRRVLQALVDAISINEAQTFVDMATSTLV LPWLPWSHVMYPREVDGDDRVTFVAKKPQRSQCTAANLFAPATRLDRRNSTPNQTLED VVDVGAFFNVPNNHARLSFLLERDRSAFQTICSVVAACEQHATDMPGLRFLILAAVAE GGDGRGMMCAEAVIWARLTSMDLFALPMFQHGGDNSMDWHRMQKLRVPFWLDDIARLK QTTERMANRAYAATKDPFAVALYFVLLGKTRLLSNVFRLAHETKIADLLANDFDDDRW KAAAIKNAFVLKSKQRYLLAAAFFLLANKVYEAASIAELADPSFALSFLILRLSEPTS LTDLGPVTIQFVRTVLLDKAEVANDVYMQCLCRLYLDNRLVLEPFLAPPPPVATMTSA SMMSNTYWQHESLAGACRLVQHGAAGIDNEADEARVVALHCMAATRLHAQGYGFLGHR LLSDLSIVFPSVTSLDVFPWVANLRHELARLCVSDQLQTVCSTLSLAIDTSIASGSFD WDWQTRMVEASSFFSPDVPPPAVVQLCRRHSSVAWMIASHHHLQTASAPIAAQVGVII RAMQASPGTSSVVRPVGHATQSLIEYLHVMQTHESPDVVRLATGAIYTGMFALFVAVA WHRRLPRCCVVRFVSLLCPQKEILVSPQHDDMCLVCIEFTNPRRRRRQLLPSLRQDLP ALHDMVRQLRTLNPPPLSSSLPSSSATDGGCWCWTALVALLHETMAAHVLRLTDQLDN AQSLQHTWQAYTTPRIVRQLRACRKQAATPSSDHGDDDDGCVWQDLIQLVVPNTTAVP RVGIVASEYDDGGVRGGGGVAEVIYSSDVPGESIRSMCCNTQQRSTVVLCNGRMLYRA LAKKPPKTSNITSSCQLHVNAKYTPPAVFFAGGHHHDGHVKVPSMLLSPQPDSKGSSS SSNSSYKPVAVQSHPSMPVFCSGTATGSVEVWRFDQISTCNLFEHQVSTATNALTLAP RRDIHRLRFAQSGYTLGACDALGFVYLWNFASEGSSACYAHLQCHNRGTRDFAFLNAS SCVASVGASTK H257_07828 MAKTPSKKSAKAPKKAGEKGKSRKTKRIESYSTYIYKVLKQVHP ETGISKRGMSIMNSFINDIFERIASEAGKLSRYNKKSTLSSREIQTAVRLMLPGELAK HAVSEGTKAVTKFTSSA H257_07829 MNTSRDSRHALHRGEGDGATADNLIVLRGRALALLAVLGHDTSG GRLLGQQNVVDVGQHTAGRDGHIAQQLVQFFVVADRQLDVAGDDAGLLVVARGVAGKF QDFSAQVFQHGGEVHGGTATDALGEAALLQETGDTTDGELEAGLGRTGRGLAFLAAAA GCFSFTRHVLECT H257_07830 MALYVWGKNCALDLAATEREKTVVYPTLMEHFGSDVVTDYAAGD NHALAVTEFGDVYSWGRGKDGELGHGEPREDLPIPVKVKGLQEHIVVNVACGNIHSMA TTITGHVYMWGLLHDEVLANNHGTPDDVSSSGMLVGMAEVRASTQNDPILARVVRDAE AAYREGTNEVSDFEQGVDKMTVHRHRQSVPRLATSLTGHFITKVAAGAGHNLALSANG DVFSCGYNEHGQLGLGHANTMPNFQQILGLQGLFVHHIVCGHQHNLAQVELDGVSRCF TWGLGALGQLGHGTRRSFASPKLVEGIAGAIVSVGAGSHHSVAVDEDGAVFTWGHSEY GQHGVATAGHDLYDAREYFVPRRQMTLANTVPIASVCCGSHFTLATGRDGKLYSWGWN TFGVLGLGHFMTTTTPQSLDKLNGYMVTRAVAGCNQSGAIVDCIGAPHAMRFRHLVAP DAGHNDHVDVLEKRFDLALTLATNTKVTFPTHWLFLKARCPYLFGYCRASAAGSAHAH DLPLVTLEFPDLPMLDSPILKAILVYLYTDRLELALHKLTALKSVAQAFDLPFLAAQC DMRTGRHCGRLVTSTFAQDMASVALTAEFADTWFDWPVDDDGLVVKIPAHRVVLCEAP YFASMLSGRFREASRDDASLSMAGMAADGMDVYVFQAALQWMYTGSRVELDAMAFDQV VELLVMANMLGLDGLVSVCTSILSKLVATSKSSDVSSVCFEVAESLNMQRLKTQCEVM LRAVNTTA H257_07830 MALYVWGKNCALDLAATEREKTVVYPTLMEHFGSDVVTDYAAGD NHALAVTEFGDVYSWGRGKDGELGHGEPREDLPIPVKVKGLQEHIVVNVACGNIHSMA TTITGHVYMWGLLHDEVLANNHGTPDDVSSSGMLVGMAEVRASTQNDPILARVVRDAE AAYREGTNEVSDFEQGVDKMTVHRHRQSVPRLATSLTGHFITKVAAGAGHNLALSANG DVFSCGYNEHGQLGLGHANTMPNFQQILGLQGLFVHHIVCGHQHNLAQVELDGVSRCF TWGLGALGQLGHGTRRSFASPKLVEGIAGAIVSVGAGSHHSVAVDEDGAVFTWGHSEY GQHGVATAGHDLYDAREYFVPRRQMTLANTVPIASVCCGSHFTLATGRDGKLYSWGWN TFGVLGLGHFMTTTTPQSLDKLNGYMVTRAVAGCNQSGAIVDCIGAPHAMRFRHLVAP DAGHNDHVDVLEKRFDLALTLATNTKVTFPTHWLFLKARCPYLFGYCRASAAGSAHAH DLPLVTLEFPDLPMLDSPILKAILVYLYTDRLELALHKLTALKSVAQAFDLVKVSKAC VKLTLFFHGKMIFYSK H257_07831 MTDGLRHTVWLCRRWEIAIYCGNFRSNLVENVVNMERRVEWRCQ WSDSILQWGVDMVRAKRMNCLGKRQRSIRRRVEKAESRAESVDRHFRIFYEHVVWVDR VPGRLDAAAHGTSPALRVESIHVEMRRGECSRDIHVRIQK H257_07832 MSGKGKATGGRGKKGKSSSRSSKAGLQFPVARVARYLKKGRFAQ RVGAGAPVYLAAVLEYLCAEILELAGNAARDNKKARIIPRHIQLAVRNDEELNKLLGH VTIASGGVLPNIHAVLLAKKGTPATVLAKTHASKFSASQDY H257_07834 MPPKHGKAVPRRKAAKFAWTGSSVDVLNGETYYDSICMTTTTET RNVCQFDVVHVRVGSTTKLATIHTFWEDASGTMMMEVRYMMALATLPPSLKRKLMPST STDTTTTSDKEVVVDTTAIDELAVACLVNVVDLAASYICTKLYALLTGTLTSITTDND AQTMGYVYSRRLKWLSPAAARRKRRRLLDPSCDENDLEGCKSRLEAACDQLQLSSIPD TLLGREEERRQIYQTLKLAVVDGESNPVYISGLPGMGKTATVKEILRTLERERDAQTI PPFTWIEVNGLHMPKPDQAYSMIWKALETFHFQAKSFNAKRARDCLDALFKLDKSSRP VVILVLDEMDFMLAGKNTVLYNFLEWQGLPSSKLVVVGIANIMDLPERLAPKLRSRFG INRIAFRSYTHDQIQRILHQRLASLNVFERGAIEIYAKALAHQSGDVRKALMVCKAAT ERALRRVTDTHVPSLVLSADIEAVQACMSQSPLVTRLRQCSTFECIFLVALLREIKLQ TGTTGGDLEGVVRRMANLTKTAGLPRVPSFADVRAICFELERSDIVRERKGKWALTFS HDEIQEAFLTHPVGRLLWT H257_07835 MARTKQTTPKNVKDSKLPRKHILAAKKTVAGKKMASKPVAFVKK PHRYRPGTVALREIRRYQVSTELLIRKLPFQRLVREIAQNVRLDLRFQGSALVALQES AEAYLVSLFEDSYLCTMHAKRVTLLPRDMHLARRLRKERD H257_07836 MSKDHPYDCEIVLTFGSSDAAVHALATLNVDAEISPDKITKELR VQGNQLIAHFWANEIRMLRAAASSFYDMALVVTRVLLEFDDLEGL H257_07837 MVDLVDESGTAAKTQASLFTLPSMTRLLLDTEMALVKHAVADVQ EETLPDKSQRRFTLAQHSTFVQLTRVLVHVRTTVQTSDKLAMNLLQSLGPVLSLHGPF PAVLSNAATRCQLLFAFLFHCHPPRVLGYFSMLFSSPNDKPTMLRALVHVRDLAIHTA KAMATSHLPTTPSVPVQTTLWFDLLSAAVARTKAYAAADELGRRGPTKLSLAAADAAL AIVHVLALTIVSEDNDAAMISHLQALLAQVLDELAHWYSGIRHRALFVLVLHSFRSNT CDVLSKAWRFHIHQSILLFQSTFPHSKRLVSRMLRQPRPSWAEPAAFVSNLMCALGRV NVSHMTADTHRHLLAIAVEAMQEAPSACVGVAIFRHVFYHHSKSAPDFDARRLWELLT PLVNSLINPSTALQRTADMAEILVMTQYPLERMVTALECADTPDPIIVTLLRLSQYMM AVHQPSGSAAPPTSSATALHMAKTITPSVLMLCDHHPSMSIRRQAASLLPSLDGNLTV SHYLGRLPSPHAHTMLTLMVATPVSCQAAVASILAGIQNLGYPQVQSSSSSIRSPADI LAPCAPPATTDAAAPYALQACPRWLAQVPPSNLLGVLHDVTRVFFRSSRDTVSMVILR ELLKQFPHAFAQILPVVLAHLETHVAIVYEPTNALLFFKLRPLLALRMAAVTCFTNLV DPAPIVKMLLTLMSEDRESEDIRKLAADILAKLPVTTTVPMIRHHLRSFLQSLPPPSA TSSQNLPRLDAPSSAQTTTLMVYCLSMLVVNHVESSQDWLSTVLELVLEIWGTEDVTA TSSSSDGMSLLYRVQRGGIECMTVLLHHATTHRPSTRAPTYAEWVECWLEAAFRGRFA MLNGHALEMPPRLCCCNVLLNCIPRLDMNALATLVTYALPYIHDCLVDQTPPISMLLL SACLKLLMTLIKHRGLQATQFQHVPSVANVVLATTRLVASASENAQVISTDGHAYTQA MTTKIDIHGGGPDDCGVFVRGEASASTRPRFLLGRHGADTAGSPRRSPCGRRHPRGCS DVGRVDWIAVEVKPRGISIE H257_07837 MVDLVDESGTAAKTQASLFTLPSMTRLLLDTEMALVKHAVADVQ EETLPDKSQRRFTLAQHSTFVQLTRVLVHVRTTVQTSDKLAMNLLQSLGPVLSLHGPF PAVLSNAATRCQLLFAFLFHCHPPRVLGYFSMLFSSPNDKPTMLRALVHVRDLAIHTA KAMATSHLPTTPSVPVQTTLWFDLLSAAVARTKAYAAADELGRRGPTKLSLAAADAAL AIVHVLALTIVSEDNDAAMISHLQALLAQVLDELAHWYSGIRHRALFVLVLHSFRSNT CDVLSKAWRFHIHQSILLFQSTFPHSKRLVSRMLRQPRPSWAEPAAFVSNLMCALGRV NVSHMTADTHRHLLAIAVEAMQEAPSACVGVAIFRHVFYHHSKSAPDFDARRLWELLT PLVNSLINPSTALQRTADMAEILVMTQYPLERMVTALECADTPDPIIVTLLRLSQYMM AVHQPSGSAAPPTSSATALHMAKTITPSVLMLCDHHPSMSIRRQAASLLPSLDGNLTV SHYLGRLPSPHAHTMLTLMVATPVSCQAAVASILAGIQNLGYPQVQSSSSSIRSPADI LAPCAPPATTDAAAPYALQACPRWLAQVPPSNLLGVLHDVTRVFFRSSRDTVSMVILR ELLKQFPHAFAQILPVVLAHLETHVAIVYEPTNALLFFKLRPLLALRMAAVTCFTNLV DPAPIVKMLLTLMSEDRESEDIRKLAADILAKLPVTTTVPMIRHHLRSFLQSLPPPSA TSSQNLPRLDAPSSAQTTTLMVYCLSMLVVNHVESSQDWLSTVLELVLEIWGTEDVTA TSSSSDGMSLLYRVQRGGIECMTVLLHHATTHRPSTRAPTYAEWVECWLEAAFRGRFA MLNGHALEMPPRLCCCNVLLNCIPRLDMNALATLVTYALPYIHDCLVDQTPPISMLLL SACLKLLMTLIKHRGLQATQFQHVPSVANVVLATTRLVASASENAQVISTDGHAYTQA MTTKIDIHGGGPDDCGVFVRGEASASTRPRFLLGRHGAVYASPGSLVCHACTRILQEA RDGPHVDADTREVARTLVEWIGSLLK H257_07837 MVDLVDESGTAAKTQASLFTLPSMTRLLLDTEMALVKHAVADVQ EETLPDKSQRRFTLAQHSTFVQLTRVLVHVRTTVQTSDKLAMNLLQSLGPVLSLHGPF PAVLSNAATRCQLLFAFLFHCHPPRVLGYFSMLFSSPNDKPTMLRALVHVRDLAIHTA KAMATSHLPTTPSVPVQTTLWFDLLSAAVARTKAYAAADELGRRGPTKLSLAAADAAL AIVHVLALTIVSEDNDAAMISHLQALLAQVLDELAHWYSGIRHRALFVLVLHSFRSNT CDVLSKAWRFHIHQSILLFQSTFPHSKRLVSRMLRQPRPSWAEPAAFVSNLMCALGRV NVSHMTADTHRHLLAIAVEAMQEAPSACVGVAIFRHVFYHHSKSAPDFDARRLWELLT PLVNSLINPSTALQRTADMAEILVMTQYPLERMVTALECADTPDPIIVTLLRLSQYMM AVHQPSGSAAPPTSSATALHMAKTITPSVLMLCDHHPSMSIRRQAASLLPSLDGNLTV SHYLGRLPSPHAHTMLTLMVATPVSCQAAVASILAGIQNLGYPQVQSSSSSIRSPADI LAPCAPPATTDAAAPYALQACPRWLAQVPPSNLLGVLHDVTRVFFRSSRDTVSMVILR ELLKQFPHAFAQILPVVLAHLETHVAIVYEPTNALLFFKLRPLLALRMAAVTCFTNLV DPAPIVKMLLTLMSEDRESEDIRKLAADILAKLPVTTTVPMIRHHLRSFLQSLPPPSA TSSQNLPRLDAPSSAQTTTLMVYCLSMLVVNHVESSQDWLSTVLELVLEIWGTEDVTA TSSSSDGMSLLYRVQRGGIECMTVLLHHATTHRPSTRAPTYAEWVECWLEAAFRGRFA MLNGHALEMPPRLCCCNVLLNCIPRLDMNALATLVTYALPYIHDCLVDQTPPISMLLL SACLKLLMTLIKHRGLQATQFQHVPSVANVVLATTRLVASASENAQISMEAAQTIVVF LSEAKQAPQLVPASCLADMARILQEARDGPHVDADTREVARTLVEWIGSLLK H257_07837 MVDLVDESGTAAKTQASLFTLPSMTRLLLDTEMALVKHAVADVQ EETLPDKSQRRFTLAQHSTFVQLTRVLVHVRTTVQTSDKLAMNLLQSLGPVLSLHGPF PAVLSNAATRCQLLFAFLFHCHPPRVLGYFSMLFSSPNDKPTMLRALVHVRDLAIHTA KAMATSHLPTTPSVPVQTTLWFDLLSAAVARTKAYAAADELGRRGPTKLSLAAADAAL AIVHVLALTIVSEDNDAAMISHLQALLAQVLDELAHWYSGIRHRALFVLVLHSFRSNT CDVLSKAWRFHIHQSILLFQSTFPHSKRLVSRMLRQPRPSWAEPAAFVSNLMCALGRV NVSHMTADTHRHLLAIAVEAMQEAPSACVGVAIFRHVFYHHSKSAPDFDARRLWELLT PLVNSLINPSTALQRTADMAEILVMTQYPLERMVTALECADTPDPIIVTLLRLSQYMM AVHQPSGSAAPPTSSATALHMAKTITPSVLMLCDHHPSMSIRRQAASLLPSLDGNLTV SHYLGRLPSPHAHTMLTLMVATPVSCQAAVASILAGIQNLGYPQVQSSSSSIRSPADI LAPCAPPATTDAAAPYALQACPRWLAQVPPSNLLGVLHDVTRVFFRSSRDTVSMVILR ELLKQFPHAFAQILPVVLAHLETHVAIVYEPTNALLFFKLRPLLALRMAAVTCFTNLV DPAPIVKMLLTLMSEDRESEDIRKLAADILAKLPVTTTVPMIRHHLRSFLQSLPPPSA TSSQNLPRLDAPSSAQTTTLMVYCLSMLVVNHVESSQDWLSTVLELVLEIWGTEDVTA TSSSSDGMSLLYRVQRGGIECMTVLLHHATTHRPSTRAPTYAEWVECWLEAAFRGRFA MLNGHALEMPPRLCCCNVLLNCIPRLDMNALATLVTYALPYIHDCLVDQTPPISMLLL SACLKLLMTLIKHRGLQATQFQHVPSVANVVLATTRLVASASENAQISMEAAQTIVVF LSEAKQAPQLVPASCLADMARYMPRQVPLYVMHALGYCRKPATVPMWTQTPARLLGRW SSGLDRC H257_07837 MVDLVDESGTAAKTQASLFTLPSMTRLLLDTEMALVKHAVADVQ EETLPDKSQRRFTLAQHSTFVQLTRVLVHVRTTVQTSDKLAMNLLQSLGPVLSLHGPF PAVLSNAATRCQLLFAFLFHCHPPRVLGYFSMLFSSPNDKPTMLRALVHVRDLAIHTA KAMATSHLPTTPSVPVQTTLWFDLLSAAVARTKAYAAADELGRRGPTKLSLAAADAAL AIVHVLALTIVSEDNDAAMISHLQALLAQVLDELAHWYSGIRHRALFVLVLHSFRSNT CDVLSKAWRFHIHQSILLFQSTFPHSKRLVSRMLRQPRPSWAEPAAFVSNLMCALGRV NVSHMTADTHRHLLAIAVEAMQEAPSACVGVAIFRHVFYHHSKSAPDFDARRLWELLT PLVNSLINPSTALQRTADMAEILVMTQYPLERMVTALECADTPDPIIVTLLRLSQYMM AVHQPSGSAAPPTSSATALHMAKTITPSVLMLCDHHPSMSIRRQAASLLPSLDGNLTV SHYLGRLPSPHAHTMLTLMVATPVSCQAAVASILAGIQNLGYPQVQSSSSSIRSPADI LAPCAPPATTDAAAPYALQACPRWLAQVPPSNLLGVLHDVTRVFFRSSRDTVSMVILR ELLKQFPHAFAQILPVVLAHLETHVAIVYEPTNALLFFKLRPLLALRMAAVTCFTNLV DPAPIVKMLLTLMSEDRESEDIRKLAADILAKLPVTTTVPMIRHHLRSFLQSLPPPSA TSSQNLPRLDAPSSAQTTTLMVYCLSMLVVNHVESSQDWLSTVLELVLEIWGTEDVTA TSSSSDGMSLLYRVQRGGIECMTVLLHHATTHRPSTRAPTYAEWVECWLEAAFRGRFA MLNGHALEMPPRLCCCNVLLNCIPRLDMNALATLVTYALPYIHDCLVDQTPPISMLLL SACLKVRATSSTSRWTYHPGRTIPSSSS H257_07837 MVDLVDESGTAAKTQASLFTLPSMTRLLLDTEMALVKHAVADVQ EETLPDKSQRRFTLAQHSTFVQLTRVLVHVRTTVQTSDKLAMNLLQSLGPVLSLHGPF PAVLSNAATRCQLLFAFLFHCHPPRVLGYFSMLFSSPNDKPTMLRALVHVRDLAIHTA KAMATSHLPTTPSVPVQTTLWFDLLSAAVARTKAYAAADELGRRGPTKLSLAAADAAL AIVHVLALTIVSEDNDAAMISHLQALLAQVLDELAHWYSGIRHRALFVLVLHSFRSNT CDVLSKAWRFHIHQSILLFQSTFPHSKRLVSRMLRQPRPSWAEPAAFVSNLMCALGRV NVSHMTADTHRHLLAIAVEAMQEAPSACVGVAIFRHVFYHHSKSAPDFDARRLWELLT PLVNSLINPSTALQRTADMAEILVMTQYPLERMVTALECADTPDPIIVTLLRLSQYMM AVHQPSGSAAPPTSSATALHMAKTITPSVLMLCDHHPSMSIRRQAASLLPSLDGNLTV SHYLGRLPSPHAHTMLTLMVATPVSCQAAVASILAGIQNLGYPQVQSSSSSIRSPADI LAPCAPPATTDAAAPYALQACPRWLAQVPPSNLLGVLHDVTRVFFRSSRDTVSMVILR ELLKQFPHAFAQILPVVLAHLETHVAIVYEPTNALLFFKLRPLLALRMAAVTCFTNLV DPAPIVKMLLTLMSEDRESEDIRKLAADILAKLPVTTTVPMIRHHLRSFLQSLPPPSA TSSQNLPRLDAPSSAQTTTLMVYCLSMLVVNHVESSQDWLSTVLELVLEIWGTEDVTA TSSSSDGMSLLYRVQRGGIECMTVLLHHATTHRPSTRAPTYAEWVECWLEAAFRGRFA MLNGHALEMPPRLCCCNVLLNCIPRLDMNALATLVTYALPYIHDCLVDQTPPISMLLL SACLKVRATSSTSRWTYHPGRTIPSSSS H257_07837 MVDLVDESGTAAKTQASLFTLPSMTRLLLDTEMALVKHAVADVQ EETLPDKSQRRFTLAQHSTFVQLTRVLVHVRTTVQTSDKLAMNLLQSLGPVLSLHGPF PAVLSNAATRCQLLFAFLFHCHPPRVLGYFSMLFSSPNDKPTMLRALVHVRDLAIHTA KAMATSHLPTTPSVPVQTTLWFDLLSAAVARTKAYAAADELGRRGPTKLSLAAADAAL AIVHVLALTIVSEDNDAAMISHLQALLAQVLDELAHWYSGIRHRALFVLVLHSFRSNT CDVLSKAWRFHIHQSILLFQSTFPHSKRLVSRMLRQPRPSWAEPAAFVSNLMCALGRV NVSHMTADTHRHLLAIAVEAMQEAPSACVGVAIFRHVFYHHSKSAPDFDARRLWELLT PLVNSLINPSTALQRTADMAEILVMTQYPLERMVTALECADTPDPIIVTLLRLSQYMM AVHQPSGSAAPPTSSATALHMAKTITPSVLMLCDHHPSMSIRRQAASLLPSLDGNLTV SHYLGRLPSPHAHTMLTLMVATPVSCQAAVASILAGIQNLGYPQVQSSSSSIRSPADI LAPCAPPATTDAAAPYALQACPRWLAQVPPSNLLGVLHDVTRVFFRSSRDTVSMVILR ELLKQFPHAFAQILPVVLAHLETHVAIVYEPTNALLFFKLRPLLALRMAAVTCFTNLV DPAPIVKMLLTLMSEDRESEDIRKLAADILAKLPVTTTVPMIRHHLRSFLQSLPPPSA TSSQNLPRLDAPSSAQTTTLMVYCLSMLVVNHVESSQDWLSTVLELVLEIWGTEDVTA TSSSSDGMSLLYRVQRGGIECMTVLLHHATTHRPSTRAPTYAEWVECWLEAAFRGRFA MLNGHALEMPPRLCCCNVLLNCIPRLDMNALATLVTYALPYIHDCLVDQTPPISMLLL SACLKVRATSSTSRWTYHPGRTIPSSSS H257_07837 MVDLVDESGTAAKTQASLFTLPSMTRLLLDTEMALVKHAVADVQ EETLPDKSQRRFTLAQHSTFVQLTRVLVHVRTTVQTSDKLAMNLLQSLGPVLSLHGPF PAVLSNAATRCQLLFAFLFHCHPPRVLGYFSMLFSSPNDKPTMLRALVHVRDLAIHTA KAMATSHLPTTPSVPVQTTLWFDLLSAAVARTKAYAAADELGRRGPTKLSLAAADAAL AIVHVLALTIVSEDNDAAMISHLQALLAQVLDELAHWYSGIRHRALFVLVLHSFRSNT CDVLSKAWRFHIHQSILLFQSTFPHSKRLVSRMLRQPRPSWAEPAAFVSNLMCALGRV NVSHMTADTHRHLLAIAVEAMQEAPSACVGVAIFRHVFYHHSKSAPDFDARRLWELLT PLVNSLINPSTALQRTADMAEILVMTQYPLERMVTALECADTPDPIIVTLLRLSQYMM AVHQPSGSAAPPTSSATALHMAKTITPSVLMLCDHHPSMSIRRQAASLLPSLDGNLTV SHYLGRLPSPHAHTMLTLMVATPVSCQAAVASILAGIQNLGYPQVQSSSSSIRSPADI LAPCAPPATTDAAAPYALQACPRWLAQVPPSNLLGVLHDVTRVFFRSSRDTVSMVILR ELLKQFPHAFAQILPVVLAHLETHVAIVYEPTNALLFFKLRPLLALRMAAVTCFTNLV DPAPIVKMLLTLMSEDRESEDIRKLAADILAKLPVTTTVPMIRHHLRSFLQSLPPPSA TSSQNLPRLDAPSSAQTTTLMVYCLSMLVVNHVESSQDWLSTVLELVLEIWGTEDVTA TSSSSDGMSLLYRVQRGGIECMTVLLHHATTHRPSTRAPTYAEWVECWLEAAFRGRFA MLNGHALEMPPRLCCCNVLLNCIPRLDMNALATLVTYALPYIHDCLVDQTPPISMLLL SACLKVRATSSTSRWTYHPGRTIPSSSS H257_07837 MVDLVDESGTAAKTQASLFTLPSMTRLLLDTEMALVKHAVADVQ EETLPDKSQRRFTLAQHSTFVQLTRVLVHVRTTVQTSDKLAMNLLQSLGPVLSLHGPF PAVLSNAATRCQLLFAFLFHCHPPRVLGYFSMLFSSPNDKPTMLRALVHVRDLAIHTA KAMATSHLPTTPSVPVQTTLWFDLLSAAVARTKAYAAADELGRRGPTKLSLAAADAAL AIVHVLALTIVSEDNDAAMISHLQALLAQVLDELAHWYSGIRHRALFVLVLHSFRSNT CDVLSKAWRFHIHQSILLFQSTFPHSKRLVSRMLRQPRPSWAEPAAFVSNLMCALGRV NVSHMTADTHRHLLAIAVEAMQEAPSACVGVAIFRHVFYHHSKSAPDFDARRLWELLT PLVNSLINPSTALQRTADMAEILVMTQYPLERMVTALECADTPDPIIVTLLRLSQYMM AVHQPSGSAAPPTSSATALHMAKTITPSVLMLCDHHPSMSIRRQAASLLPSLDGNLTV SHYLGRLPSPHAHTMLTLMVATPVSCQAAVASILAGIQNLGYPQVQSSSSSIRSPADI LAPCAPPATTDAAAPYALQACPRWLAQVPPSNLLGVLHDVTRVFFRSSRDTVSMVILR ELLKQFPHAFAQILPVVLAHLETHVAIVYEPTNALLFFKLRPLLALRMAAVTCFTNLV DPAPIVKMLLTLMSEDRESEDIRKLAADILAKLPVTTTVPMIRHHLRSFLQSLPPPSA TSSQNLPRLDAPSSAQTTTLMVYCLSMLVVNHVESSQDWLSTVLELVLEIWGTEDVTA TSSSSDGMSLLYRVQRGGIECMTVLLHHATTHRPSTRAPVRRIKRRCYIY H257_07837 MVDLVDESGTAAKTQASLFTLPSMTRLLLDTEMALVKHAVADVQ EETLPDKSQRRFTLAQHSTFVQLTRVLVHVRTTVQTSDKLAMNLLQSLGPVLSLHGPF PAVLSNAATRCQLLFAFLFHCHPPRVLGYFSMLFSSPNDKPTMLRALVHVRDLAIHTA KAMATSHLPTTPSVPVQTTLWFDLLSAAVARTKAYAAADELGRRGPTKLSLAAADAAL AIVHVLALTIVSEDNDAAMISHLQALLAQVLDELAHWYSGIRHRALFVLVLHSFRSNT CDVLSKAWRFHIHQSILLFQSTFPHSKRLVSRMLRQPRPSWAEPAAFVSNLMCALGRV NVSHMTADTHRHLLAIAVEAMQEAPSACVGVAIFRHVFYHHSKSAPDFDARRLWELLT PLVNSLINPSTALQRTADMAEILVMTQYPLERMVTALECADTPDPIIVTLLRLSQYMM AVHQPSGSAAPPTSSATALHMAKTITPSVLMLCDHHPSMSIRRQAASLLPSLDGNLTV SHYLGRLPSPHAHTMLTLMVATPVSCQAAVASILAGIQNLGYPQVQSSSSSIRSPADI LAPCAPPATTDAAAPYALQACPRWLAQVPPSNLLGVLHDVTRVFFRSSRDTVSMVILR ELLKQFPHAFAQILPVVLAHLETHVAIVYEPTNALLFFKLRPLLALRMAAVTCFTNLV DPAPIVKMLLTLMSEDRESEDIRKLAADILAKLPVTTTVPMIRHHLRSFLQSLPPPSA TSSQNLPRLDAPSSAQTTTLMVYCLSMLVVNHVESSQDWLSTVLELVLEIWGTEDVTA TSSSSDGMSLLYRVQRGGIECMTVLLHHATTHRPSTRAPVRRIKRRCYIY H257_07837 MVDLVDESGTAAKTQASLFTLPSMTRLLLDTEMALVKHAVADVQ EETLPDKSQRRFTLAQHSTFVQLTRVLVHVRTTVQTSDKLAMNLLQSLGPVLSLHGPF PAVLSNAATRCQLLFAFLFHCHPPRVLGYFSMLFSSPNDKPTMLRALVHVRDLAIHTA KAMATSHLPTTPSVPVQTTLWFDLLSAAVARTKAYAAADELGRRGPTKLSLAAADAAL AIVHVLALTIVSEDNDAAMISHLQALLAQVLDELAHWYSGIRHRALFVLVLHSFRSNT CDVLSKAWRFHIHQSILLFQSTFPHSKRLVSRMLRQPRPSWAEPAAFVSNLMCALGRV NVSHMTADTHRHLLAIAVEAMQEAPSACVGVAIFRHVFYHHSKSAPDFDARRLWELLT PLVNSLINPSTALQRTADMAEILVMTQYPLERMVTALECADTPDPIIVTLLRLSQYMM AVHQPSGSAAPPTSSATALHMAKTITPSVLMLCDHHPSMSIRRQAASLLPSLDGNLTV SHYLGRLPSPHAHTMLTLMVATPVSCQAAVASILAGIQNLGYPQVQSSSSSIRSPADI LAPCAPPATTDAAAPYALQACPRWLAQVPPSNLLGVLHDVTRVFFRSSRDTVSMVILR ELLKQFPHAFAQILPVVLAHLETHVAIVYEPTNALLFFKLRPLLALRMAAVTCFTNLV DPAPIVKMLLTLMSEDRESEDIRKLAADILAKLPVTTTVPMIRHHLRSFLQSLPPPSA TSSQNLPRLDAPSSAQTTTLMVYCLSMLVVNHVESSQDWLSTVLELVLEIWGTEDVTA TSSSSDGMSLLYRVQRGGIECMTVLLHHATTHRPSTRAPVRRIKRRCYIY H257_07837 MVDLVDESGTAAKTQASLFTLPSMTRLLLDTEMALVKHAVADVQ EETLPDKSQRRFTLAQHSTFVQLTRVLVHVRTTVQTSDKLAMNLLQSLGPVLSLHGPF PAVLSNAATRCQLLFAFLFHCHPPRVLGYFSMLFSSPNDKPTMLRALVHVRDLAIHTA KAMATSHLPTTPSVPVQTTLWFDLLSAAVARTKAYAAADELGRRGPTKLSLAAADAAL AIVHVLALTIVSEDNDAAMISHLQALLAQVLDELAHWYSGIRHRALFVLVLHSFRSNT CDVLSKAWRFHIHQSILLFQSTFPHSKRLVSRMLRQPRPSWAEPAAFVSNLMCALGRV NVSHMTADTHRHLLAIAVEAMQEAPSACVGVAIFRHVFYHHSKSAPDFDARRLWELLT PLVNSLINPSTALQRTADMAEILVMTQYPLERMVTALECADTPDPIIVTLLRLSQYMM AVHQPSGSAAPPTSSATALHMAKTITPSVLMLCDHHPSMSIRRQAASLLPSLDGNLTV SHYLGRLPSPHAHTMLTLMVATPVSCQAAVASILAGIQNLGYPQVQSSSSSIRSPADI LAPCAPPATTDAAAPYALQACPRWLAQVPPSNLLGVLHDVTRVFFRSSRDTVSMVILR ELLKQFPHAFAQILPVVLAHLETHVAIVYEPTNALLFFKLRPLLALRMAAVTCFTNLV DPAPIVKMLLTLMSEDRESEDIRKLAADILAKLPVTTTVPMIRHHLRSFLQSLPPPSA TSSQNLPRLDAPSSAQTTTLMVYCLSMLVVNHVESSQDWLSTVLELVLEIWGTEDVTA TSSSSDGMSLLYRVQRGGIECMTVLLHHATTHRPSTRAPVRRIKRRCYIY H257_07838 MRKNNKPASATTNLTGTRMSNYGSTHDPTAQRLLATSDKIELTK QTIAEADRTAKHVLVELEAQRGQFTDMKDMVNDTKVATTQANAYLKELRERHLRQKAF LWFIIVVLVVIDLFLFYYFFLRQ H257_07840 MHISHSPPPMLATSIVSISKEPHLSYGIMVQYGDRRWVVYKRYS EFRRLRNSLLELTAAADCACRSVYLPLSSMSFPRKRLHMFFTSDQVAIERRAALSQFL TVSLALLAHSVALCDPTTTCPILPLLKTFLQVQRHAQTHQLASPRHSSPAVFNKRMAS LDPWVYTIREHAQTSDSSPPRFRGVASR H257_07839 MICCAAIPRAVGPNVKASAVERMRKLLMTSSYSRTQDDYEFMDR FVLYIQFFFDLDHADRLQYLKVSRGVSLVKHQILFNIGDPADSFYCVISGSLNVVIDL TRVHINTKREMNDILNRAKIYSCLHNPGVGVDESPYCTSYVVRNLKRFDAFGDVGMLT EDGVRTASVMAVEDTFLLRIEREAFLDCRVFHQSKEINQKLEFLARVRALQHWDRENK LKLCGRMERLLKSYNDVVIAQDDDAQYFYLVQLGECRLVKRYKPSTFVELGTVSSGQS VGSFEVLHGLAHAAFSVLVSSPTAILYRIDKLDFRHHILRDPVAEEMMVVNAKELYAR MHPESVHRDLTINAQWNKCRDSIVAEATPRRLARAPYLRPFDHSLHPSPLESTPPPLS TALRPSASTTGETTTSSLTLHLPLPSSPQSSPTKPTSLRKPHKVKTRSSGTSPRQAKS LHPISADTASQKLHVGQQHWMEWASNGASNVSVERTGAASSSPLHQSPGPRPPSTPLE SKGGSTTRHRTTQILLDKSARRVQLDDHTSIPETVSTAFKAITQTAT H257_07839 MICCAAIPRAVGPNVKASAVERMRKLLMTSSYSRTQDDYEFMDR FVLYIQFFFDLDHADRLQYLKVSRGVSLVKHQILFNIGDPADSFYCVISGSLNVVIDL TRVHINTKREMNDILNRAKIYSCLHNPGVGVDESPYCTSYVVRNLKRFDAFGDVGMLT EDGVRTASVMAVEDTFLLRIEREAFLDCRVFHQSKEIKLGKIATFVVFTCVFTPIIYI RSQKLEFLARVRALQHWDRENKLKLCGRMERLLKSYNDVVIAQDDDAQYFYLVQLGEC RLVKRYKPSTFVELGTVSSGQSVGSFEVLHGLAHAAFSVLVSSPTAILYRIDKLDFRH HILRDPVAEEMMVVNAKELYARMHPESVHRDLTINAQWNKCRDSIVAEATPRRLARAP YLRPFDHSLHPSPLESTPPPLSTALRPSASTTGETTTSSLTLHLPLPSSPQSSPTKPT SLRKPHKVKTRSSGTSPRQAKSLHPISADTASQKLHVGQQHWMEWASNGASNVSVERT GAASSSPLHQSPGPRPPSTPLESKGGSTTRHRTTQILLDKSARRVQLDDHTSIPETVS TAFKAITQTAT H257_07839 MICCAAIPRAVGPNVKASAVERMRKLLMTSSYSRTQDDYEFMDR FVLYIQFFFDLDHADRLQYLKVSRGVSLVKHQILFNIGDPADSFYCVISGSLNVVIDL TRVHINTKREMNDILNRAKIYSCLHNPGVGVDESPYCTSYVVRNLKRFDAFGDVGMLT EDGVRTASVMAVEDTFLLRIEREAFLDCRVFHQSKEINQKLEFLARVRALQHWDRENK LKLCGRMERLLKSYNDVVIAQDDDAQYFYLVQLGECRLVKRYKPSTFVELGTVSSGQS VGSFEVLHGLAHAAFSVLVSSPTAILYRIDKLDFRHHILRDPVAEEMMVVNAKELYAR MHPESVHRDLTINAQWNKCRDSIVAEATPRRLARAPYLRPFDHSLHPSPLESTPPPLS TALRPSASTTGETTTSSLTLHLPLPSSPQSSPTKPTSLRKPHKVKTRSSGTSPRQAKS LHPISADTASQKLHVGQQHWMEVFICIMF H257_07839 MICCAAIPRAVGPNVKASAVERMRKLLMTSSYSRTQDDYEFMDR FVLYIQFFFDLDHADRLQYLKVSRGVSLVKHQILFNIGDPADSFYCVISGSLNVVIDL TRVHINTKREMNDILNRAKIYSCLHNPGVGVDESPYCTSYVVRNLKRFDAFGDVGMLT EDGVRTASVMAVEDTFLLRIEREAFLDCRVFHQSKEIKLGKIATFVVFTCVFTPIIYI RSQKLEFLARVRALQHWDRENKLKLCGRMERLLKSYNDVVIAQDDDAQYFYLVQLGEC RLVKRYKPSTFVELGTVSSGQSVGSFEVLHGLAHAAFSVLVSSPTAILYRIDKLDFRH HILRDPVAEEMMVVNAKELYARMHPESVHRDLTINAQWNKCRDSIVAEATPRRLARAP YLRPFDHSLHPSPLESTPPPLSTALRPSASTTGETTTSSLTLHLPLPSSPQSSPTKPT SLRKPHKVKTRSSGTSPRQAKSLHPISADTASQKLHVGQQHWMEVFICIMF H257_07841 MVDIVDNTERVWSDVDPATLNANFLTLQECMMEVIRCASGNNFN IPHMKKAVLTAKGRSDLSIEADADVVNASRELLSECDLSTVILELASKVAKNLEMSDV CTELERLDVVEGSDDEEFDIPSNSANQV H257_07842 MVNTHVHVVIPPSRQDNQQQQQHSPSSVKAASVHPATDALPEDD SHAAMHGATFTASQSKLPKLFDSNNHNFGDDDLMGDASVCTPSQVQLPGDMVRAILGF VDGASLVACTSVCTTWNVQTQGRELWKTACLRKWPSLHHQLLPQLPGAPDYDIIRLYG GSWKSCFLQNHKKCQTAECEVLIPHFKATGYQGPDRIVSDTFCIDGHSFCLWIFPRGN PNEAEYFDRALSVYLVLTDLETRPESWLTCAVFTLRVVHPTDPSKTIEWHSSLNDNKF DQALYNWGVHSLGDLTSFQPSGFVFPDRSLHVAARVRVMAMTIRVHLEAGFLSHQGLG LGPPMLTLDVPFCATLADLMAALAGALPLLDLRECRVWSFSHAAVTGQAKRPRKCLST LAAATMPLFGNLLCDGTDIDAYSTADVFVDPAGLDSFVFLKVLERSGVLRFVGRLQLS AYPTPHDMVAYMATAFPRVAGWRYVREECAPQLMSPLSPSDRLQPSDVVIFVAATNTP SNDDADHWMTHVRHGLNQYLERRYDHARSLLANELHHMTLHDVETIGDMLDVPRFRVH SVFAKCREDARRTLSYIMEGRHLGFICDSCGETDFGGARYNCTVCSDYDLCHRCYDRS YEVRHRYANVDGKWTRVLNFDQHKAATHAMDCILPVFYTP H257_07843 MMVNVTAVRIPSWDSRLELQRQQRYTVFMMSVESADSTWNLGKR YSEFRALHKLLCPRYATVRSQPFPPKRLFSSLSLRVIEQRRVGFETYLQALLALRPRP HELEAFLSPAANNSDESMHDDFEDRVTMTSASPTVDLFASMREPFDLSHKWSVDDFEV LKVLGKGSFGKVYLVRRAKSPTVAMYAMKVLKKRELIQRHQVDHTMTERHVMSVLDHP FIVTLRVAFQTRERLFMVSDFCIGGEIFFHLKKFRAFSENMVRFYAAELIAALGHLHS KGIVYRDLKPENVLLDANGHIRITDFGLAKCHVGALDGARTFCGTPEYLAPEMLLARK KKAAYGFAVDWWSLGTLLYEMLTGWPPFFDKDVQRMCDNILHQPLTFPARFGLASSTK SLIRGLLERDPAARLSEASLRSHPFFSGLDWTALIAKQIKPPFKPRVNSPTDLQNFDK EFTRTSVGILADDHTAADAGDDDDFRGFSFTIPSTTTNGVANNHVRCGPRQQRGHSLS H257_07843 MHDDFEDRVTMTSASPTVDLFASMREPFDLSHKWSVDDFEVLKV LGKGSFGKVYLVRRAKSPTVAMYAMKVLKKRELIQRHQVDHTMTERHVMSVLDHPFIV TLRVAFQTRERLFMVSDFCIGGEIFFHLKKFRAFSENMVRFYAAELIAALGHLHSKGI VYRDLKPENVLLDANGHIRITDFGLAKCHVGALDGARTFCGTPEYLAPEMLLARKKKA AYGFAVDWWSLGTLLYEMLTGWPPFFDKDVQRMCDNILHQPLTFPARFGLASSTKSLI RGLLERDPAARLSEASLRSHPFFSGLDWTALIAKQIKPPFKPRVNSPTDLQNFDKEFT RTSVGILADDHTAADAGDDDDFRGFSFTIPSTTTNGVANNHVRCGPRQQRGHSLS H257_07844 MDKTDDRNLTDAIHAVVYAKMPIRQATKKFAVARSTLQRRVHAL MQKESVAVDADTPAEVVVATTIPSAIVDDSDMYPPFLAAPRPMEPVATNTVEPRPPVS PVEVSKGPHKVINCKLRAMQKSVSSAIDELSNDLIRQGKPVYKLGLGQSPFPIPECIV DELKAHAHQRDYLPVAGLPELRQDIATWATKKLGVSYTQDDVLVGPGTKELLFVLQTV YYGDLLLPNPSCMTYAPQANIAGRNMIWLPTYAEDRWVLQPSVLEAHCAADPYAPRIL ILNSPSNPTGCSYTADDLREIATLAKKFRLLVVSDELYSDLTHEAHVSISTYYPEGTI VSGGLSKWCGAGGWRVGFWLFSSGLDWLRNAMLVMASETYTSVASPLQYAARRAFVPG CPELASYKKKCCRTLQLVGQWCTFQLHKLNVQVHQPQGGFYLFPCFRSYRQLLATRGI TTDVGLCEQLLHDTGVAILPGSFFGRQPHELFVRIAFVDFKGEIAMYVIDSESDVGLH NREGFVHSVCPNIWTAMKLLTKWLQID H257_07845 MAAKGKIKTPTKKELTERLAKLEAANNVSELGTPEKQAAPKSVW NVDMIKVLLELRLRTYASLFQGSKSNQQLSILWETIAMRLSVVSGVVVSHPSAKEKYH SLKQDKRLETMLTLPLRTHTTGSTVEYFGDKSGLVHNEFGSSAERAIDDDSDKASEEC DDVEAMVDTKRPVKRQKTSTSSVASGWCHWAKPLQMISLTLHL H257_07846 MRSPRTFRLHLNDVILPELTGAVKSAVSEATARRWMIHAGYKYG SWKKDVYIDGHERDDVVEYRKSFCSTWIALSGCMASYSGDAMDTVESPPAEEVVWVTH DESIFYANDDGGMVWTNAAYPDLPKKGRGRSVMVSDFLCPCHGRLYMPGDDGDAFVTE TLHVGKAQEGYWTSEHVIRQVSSKVLPAFAALHPGRTALFTFDQSTNHAAYAADALRV NSMNLNPGGKQPKLRDGWYVVGSTRVAQPMSFPDDHPVAALRGTPKGIKAVLAERGAL EGSMLLTCGATVQLPTGPALLECCARHRLASYPDFRTQKSILEETVVAGGHICLFFPK YHCELNPIESFWGAAKRHARSNCDYSWNGLVQCVPLSLGSVPLVSIRKFFRRCSHFIQ AYSYGLDYAMSKYAHKKYKSHRRIPDSIELHNNNVE H257_07847 MGALGLPSNDSNDIHVLVAVRRRWLTCAMVGVGTIIHIDMRDHK TVLDLQKAISESPNIALHADCMALYVAKKGSKWLTVNDLKEVKSSGMATLTTAGGQLM KPRTALGTYNFPGEVAYYEEDGDVDDVHVLVVSVPPAREVASSVTISCVAIGVGTLFT LKVRDSVSVLGLKNAIHTRYQFPVYDLDLYLAQRSDGTWIKEDDPDGMVLKSGHLSGA IKPLMTPATMMNDATQVRALNLPDDDSNDIHVLVAVRKRFLTCAVVGVGTIFFVDMRD HKMVEDLQDDIRQSQKFAFPSTSLNLYVAKKDNTWLTIHDADVKLVKTGKIPSGIKAI TTAGGQLMAPHSPLHSFNFPGEVVYVAGVDIDAIHLLVTVPKSHQKASNVAISCVVVG SGVSVAIVIDERDTVLDLKKAITERMEFPFPAFQLTLYVTKTNNSDWLQWSDRDAIEL TCGEIPRGIKQLMSDVAKMDSESILSAFHFVDDEVPIGDDIHVLVDLPAHAKSGVHYD SMY H257_07848 MANPSKKRVVCPVVDCEDKFVADTNKKSHYTNVHFTKNPSIAPY RPSMFADMCDEDHDDILMLDSLVKKRGGGVCQLFVDHALRCVTEQEAMESQNEEVAPP VATKSLTCKQKAAKRKVAAAAKRSSKK H257_07849 MYDPDGDIGPEKSCLNMKFTEEARFSFGCAVIELDGGAKVGRRC TPFVYSGQWILTIEEMDRHINAEVRRVQALPGVSSPWVTGHRSTNDGIFENDCASRLK GIGKKLLDELAKVGITTVQDIANIPSEEIPGIVAQLHGISLRSMQKFKHTAQTANHGS YVPRLVDHKKTDNPYESLFGTTWRDQIVKSTGMKSSVCITTMVEHIIQASPRVMAKTK HADDWVFMHDALSQMTCTSTIAWMKEMGYYRRWVLPELGLNWKTRYAGRPVGNSPEPG IAR H257_07850 MQAGGAKEIQITRFYEGSSIINPQGKSPTCLPPLAALRVYFQDA LGSPQRSEWNQRCGTVYQILNEFKNKVIKLLSPDGQIVADCLEHGMSVTEATHMVNEY RQSVNEVHVGRSAVYSSSLRMKPVVTPLVRQKQGNADQDSAWAIARNGHAQQFATRLG IWSGNDGACPPYLDKAQLTPIEITQVVV H257_07851 MQPRTALGTYNFPGEVAYYEEDGDVDDVHVLVVSVPPAREVASS VTISCVAIGVGTLFTLKVRDSVSVLGLKNAIHTRYQFPVYDLDLYLAQRSDGTWIKED DPDGMVLKSGHLSGAIKPLMTPATMMDDATQVRALNLPDDDSNDIHVLVAVRKRLLTC AVVGVGTIFFVDMRDHKMVEDLQDAIRQSQKFAFPSTSLNLYIAKKDNTWLTIHDADV KLVKTGKIPSGIKAITTAGGQLMAPHSPLHSFNFPGEVVYVAGVDIDAIHLLVTVPKS HQKASNVAISCVVVGSGVSVAIVIDERDTVLDLKKAITERMEFPFPAFQLTLYVTKTN NSDWLQWSDRDAIELTCGEIPRGIKQLMSDVAKMDSESILSAFHFVDDEVPIGDDIHV LVDLPAHTKAHYASFEVELENPVIGRSVTSISSPSTWCWVSRVLSPLRTLLRTLLLSK KDD H257_07852 MKSFTCAAIQDGAVFRVTLEDGQQVFDLKDAIKEKWGCAYPPHE LSLYLAKQDTSWIKATNPEVMMLWSGKVGAQVKALLENKAMHPRMKLGTFDLPSNDSD DIHVLVAVRRRWLTCAMVGVGTIIYIDILDYKTVLDLQKAISESPNFALDADCMDLYV AKKGSK H257_07853 MPREIITLQVGQCGNQIGSEFWKQLCVEHGISPDGIVQDYATQG NDRKDVFFYQADDEHYIPRALLMDLEPRVINSIMNSSYRNLYNPENTFIAKDGGGAGN NWASGYNQGEQHHDLLMEMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLENLNDH FPKKLIQTYSVFPNQDDFQSDVVVQPYNSLLTLKRLVLNSDCVVVLDNTALNRIAVDR LRIDNPTVSQLNSLVSTVMAASTTTLRYPGYMNNDLIGLVASLIPTPRCHFLMTGYTP LTIDAQVSAVRKTTVLDVMRRLLQPKNIMVSTSTKTGCYISILNIIQGDVDPTQVHKS LQRIRERKLVKFIPWGPASIQVALSRKSPYVESPHKVNGLMLANHTSIANLFHRSVVH YDRLRKHNAFIDQYRKQPMFADGLEEFDNAREVVTSMIEEYKASERADYATWGQSTPA V H257_07854 MSAPLLIDDLSALKRENKEFQKALTKAVTNLKDLSHYSEYIHRP LEEAKDSPSLPHRHPIPVRDGGRSRDGDQPLTIQMKAAQAMHEEYEALIADLSSEVRQ LRIELSRREASAAKDATTIANLSTQLSASTSEIQARSSALSECMDRIQDLETTVASQT RAILTKETERKQLDADAVDMRRQLRAADTSAQELTMLQASLDQERTTRKKAEKRVVDL DAKVKVLHGQCAVLEQMHATVDAQHRKDMQLVVEQLDEAKSMLGRVQVDMSDRIARRD TRISRLKVAVLDLQQEKTQWQAQVDRFHKALRSATTADDRIHVERVACKRMLDEAKMA AAEDAARCHRLEKELSDLRTELALADEARRVQAQRHAQALLGKEAEAAYVWEKFVTQQ AAS H257_07854 MSAPLLIDDLSALKRENKEFQKALTKAVTNLKDLSHYSEYIHRP LEEAKDSPSLPHRHPIPVRDGGRSRDGDQPLTIQMKAAQAMHEEYEALIADLSSEVRQ LRIELSRREASAAKDATTIANLSTQLSASTSEIQARSSALSECMDRIQDLETTVASQT RAILTKETERKQLDADAVDMRRQLRAADTSAQELTMLQASLDQERTTRKKAEKRVVDL DAKVKVLHGQCAVLEQMHATVDAQHRKDMQLVVEQLDEAKSMLGRVQVDMSDRIARRD TRISRLKVAVLDLQQEKTQWQAQVDRFHKALRSATTADDRIHVERVACKRMVQRFIYC TNCSLLEGPRLVGRSQDGRRGGCRAMSPPGEGAERSADRTRLGR H257_07855 MASKRPHDHDDDSTPDNVELKWRRMVVGEAEIRLMSQKPNSDRV VVHTPVALHGGIHIQVDPPPAIVFHSFDELTDALGAYFEATQQEFSIRNSITVEHANE TAARSVRFPENDVRFKYSSMTYVCKHGRTQKRRNNPDLAKTKKVKFAYSGCQACLWIN LVLLGPPGARRWVYHVHKMVHVHNHELSVENSVASLRPFRAYLDLIYNMKHAGASPQD MLTMLATREPTKKITIQTVRNILRNYAAELAAPTPPPRLRPHQVPRLLHASSSTNPFP ALPSAFHPLFSSLVKASIEQPHVPGYSMASRFFYLNLCSIQSILQRSWQVVQSNATQQ SPLPPPSDSAEKTFHATILTTVAADGTSPMPPFCVFPTPPASGGSRLHQVGPNVVMTD RGLATPDAMECAILYFHATLPPRLPRPVVLVVEHASPSPSLLQLCTRRKIILVSWKDL YAGDKDVVQPIRSCLLTPFESQLCHQLGLVLPHLATTAAIVSDLPPIVAHTYQTCVAQ VGSAAIADAFRESGLHPPNLPQLAAGTPVMPLPKATIVVQEHRTATISVQSNAMPRFA IERRA H257_07856 MMRVTIILLACAITVTGAVDTFNMICKASGVSVGNVSTKSPLSF CIEYQDPRCCMPVHEAQIITYYTALMDTGVSCKHDVTNTGHVPLKKVFCSPCSPLAPL YLSPPTNKTFFTSATTFKICRSLAAQVSPELFDHCGFAYVDRVQFCTPKQAIAPGAFF KACGDGDHVCYRQNETQWYCHPSTCGSDVPVGFADVPCFKDTCSSVFKMLNDNRGAKP PFHEDMAMEIVDDEYGKYCLGMSSQATDEL H257_07857 MVWRVVFLAVAAAGVAASNPALDLLWCNATTRKQVKNVPKASPL QFCTRNQDQRCCLPIHDTQISSTYFSLMDTGRICKQGQNMASEYLKSVFCAACRPTSN QYLSDAIDVAYFSQPTFKICRDLAVAAGPSRFADCGFVYVGDRQDTCKPSIAVAPNVV FPGCTQGQHICYGNNGLYSSTWYCSNSPCGVDTPAGFRDAPCSGDTCTDYFMFFNDNR GGKPIFFEGSAAEIVDPSQCPPSNPACCLPSDIPFSSDETM H257_07858 MGGKAKTQKHTAKEINMKHKAAKERAGGAGGGASGLENRKCQKV GVKCDVCLNEFLSFPDMRIHYDSRHPREVFPEEAVKEAFLAAKNKTAERRVGHQQGKL DYKHKEDAAKPAPAGGRAKAAADDLAALLSAGVAGMK H257_07859 MADNAQIDTEVAFFLRLVNCRRSRRGDWNSSILEHAQLHLTVPD AIERAVWSTATSTVEVTINLNRSTVALPLLEELSIFIPQTRSPFCYVSSTASHTAAAI AHAISSKLESSEFLALTLLNAIDQAVKQCNDTMAATNDGHLRALVEARVLAQSTTNKA ECDVLMCLLVAAASSPKGLSPCSVHFQSQGVDIDRRQVNAALTAVPALATLDVTALPL PALHLLEWLWCRLPVSIKQIEPDQVASVLPPSCDLPHVCFAATVVQTANPWFDRQAAK FGVKMCYHGSRLASFHSILQNGLHVMSGTRHMSSGNLFGDGIYFAESVHVAANFASPT PTTWPNSAVLAPSATCVAVCEVICDPAASKLLPSSQANGAYVVVQDERFVRVKYLLFT QPPTLSHVGNNDRSTLGLPALVAAVCVAVMAVVGVRLALAM H257_07860 MNHALLVYKPRKRVRKKPSEKLEPLVAKRVARKWQRDATACFVE TDLYLRWRDKTHDDRLGAVQATWALMYGHSSDIYRVLSPEPSTSATTFRNDQSVADNN NNQSVDKRAVDATVQLQATDNVPLLLYRLRLRKVPPQQMTPLVHETSATTTSPPPPTT APFATSSRDSNECAVVTLRTTGFTRHGSRYSIEMRRQLDAHLREKMAGNLWQNEVRSV LARPLDATVFVNRVEQLDHIATSQSFAGFESRVPQVATDKDVHWRYPYSAHAHNCARM IRRCYQTSCDRRNMQQKLRQLVRSTRFVQDFLRFRVHCKVQRRQRRAVQSLVARRLQR PMRSYLATKHTSARQIQSRWRQVLAKRTLATERRMHRAATSITRACKQFVLSLRRRHV LASRIQTIGRAWLWRRRGRLAEAERREVEWRVMKEASKVAMAASVLYFMTADGKAEVK RERKRMRENVKLAKTQQGVQAATSSSPPSKDDLETLAIRHSFDTFDTDGSGSIDVHEL RLLLSELGIPISDRELQAGFQEMDTDGSGTIDFDEFAAWWKHGLTAGKAKTQMALLRL KLRGRSLVHKITGAEARAQAAKRIASRRRVDAMIAARDEFRNARPPVSCCHMCHMAFG LDQDWFVHTRHHCAGRDELHQLPPSKH H257_07862 MTNLSADEADYFENVAADDLKVLLDEHFGILLARVGAHPFWPAR VCEMEEWIAYLPHRQRKGQLCVYFYGSHNYGWILRSNVHEFMDEHPSIKSKKGSKLHL QYLEGIEEAKTAIAAARETHAHMTFSERIKLKKHEVETDVACAVCKKKGTFEQRIVCD GKDCEREYHMTCLKPPLVEVPSGEWFCPLCNLSRVASAFKRTPTNGSSFLQRGPSAVC PNEIPLPSPTVDESVQATPVASTSNRRDRKPTTDAKLRRDPRGRGEREDQPARPPPTV DLASEERCFLCGLGGELVVCEFPRCTKVYHQLCLGAFPFPTDEDTEWVCPRHSCVLSG RKEPPVQTSTKSIWHCLHCPVAVDDTVLPSHPALSKISRREKTMVCPHCNAPSPKVRL AKILERIWSVIATNRQGMPFCGPLLVGIDRPADMDPHLLPLDLFQIIALVRNLRYSSE DAFVRDIHTVVETALVILKDQSAPLVEAAKTMSIVVREQMQYHQAQFQSIQTLMKHFY DANQKVDDPSTWNIAWRKECMPFGDKSYVHIEARSIDEWTSYVANATLYANLTPRAQP EGIEERLPRPDTAPPPPLPRMTKEASTEAAPVATDHSAAPASIDQRKSLTLSDGIDVL VALSDLGNQQQLRYQAPTTTTSSIAQSGEAAEPSIYREELGLTPSICEMDAMFQQQAS LLRKALSAHATLEHAWNISKQHMLGMRQDQVISIGEGRLAAELRMANNNLKARLRNKD QMLQQLTSDHLDLNGQVKQLQCALDKKEAQLKHLQGEVDPSKRSNDAKFSAGDDDDHG SSPIEKRARLEDDSPAIKRGRGRPPKKRPSFH H257_07862 MEEWIAYLPHRQRKGQLCVYFYGSHNYGWILRSNVHEFMDEHPS IKSKKGSKLHLQYLEGIEEAKTAIAAARETHAHMTFSERIKLKKHEVETDVACAVCKK KGTFEQRIVCDGKDCEREYHMTCLKPPLVEVPSGEWFCPLCNLSRVASAFKRTPTNGS SFLQRGPSAVCPNEIPLPSPTVDESVQATPVASTSNRRDRKPTTDAKLRRDPRGRGER EDQPARPPPTVDLASEERCFLCGLGGELVVCEFPRCTKVYHQLCLGAFPFPTDEDTEW VCPRHSCVLSGRKEPPVQTSTKSIWHCLHCPVAVDDTVLPSHPALSKISRREKTMVCP HCNAPSPKVRLAKILERIWSVIATNRQGMPFCGPLLVGIDRPADMDPHLLPLDLFQII ALVRNLRYSSEDAFVRDIHTVVETALVILKDQSAPLVEAAKTMSIVVREQMQYHQAQF QSIQTLMKHFYDANQKVDDPSTWNIAWRKECMPFGDKSYVHIEARSIDEWTSYVANAT LYANLTPRAQPEGIEERLPRPDTAPPPPLPRMTKEASTEAAPVATDHSAAPASIDQRK SLTLSDGIDVLVALSDLGNQQQLRYQAPTTTTSSIAQSGEAAEPSIYREELGLTPSIC EMDAMFQQQASLLRKALSAHATLEHAWNISKQHMLGMRQDQVISIGEGRLAAELRMAN NNLKARLRNKDQMLQQLTSDHLDLNGQVKQLQCALDKKEAQLKHLQGEVDPSKRSNDA KFSAGDDDDHGSSPIEKRARLEDDSPAIKRGRGRPPKKRPSFH H257_07862 MDEHPSIKSKKGSKLHLQYLEGIEEAKTAIAAARETHAHMTFSE RIKLKKHEVETDVACAVCKKKGTFEQRIVCDGKDCEREYHMTCLKPPLVEVPSGEWFC PLCNLSRVASAFKRTPTNGSSFLQRGPSAVCPNEIPLPSPTVDESVQATPVASTSNRR DRKPTTDAKLRRDPRGRGEREDQPARPPPTVDLASEERCFLCGLGGELVVCEFPRCTK VYHQLCLGAFPFPTDEDTEWVCPRHSCVLSGRKEPPVQTSTKSIWHCLHCPVAVDDTV LPSHPALSKISRREKTMVCPHCNAPSPKVRLAKILERIWSVIATNRQGMPFCGPLLVG IDRPADMDPHLLPLDLFQIIALVRNLRYSSEDAFVRDIHTVVETALVILKDQSAPLVE AAKTMSIVVREQMQYHQAQFQSIQTLMKHFYDANQKVDDPSTWNIAWRKECMPFGDKS YVHIEARSIDEWTSYVANATLYANLTPRAQPEGIEERLPRPDTAPPPPLPRMTKEAST EAAPVATDHSAAPASIDQRKSLTLSDGIDVLVALSDLGNQQQLRYQAPTTTTSSIAQS GEAAEPSIYREELGLTPSICEMDAMFQQQASLLRKALSAHATLEHAWNISKQHMLGMR QDQVISIGEGRLAAELRMANNNLKARLRNKDQMLQQLTSDHLDLNGQVKQLQCALDKK EAQLKHLQGEVDPSKRSNDAKFSAGDDDDHGSSPIEKRARLEDDSPAIKRGRGRPPKK RPSFH H257_07863 MATSLENGLVLGLLGTGKIGSAVMSGYCTPVADKSTWVPRHIYV SPRGADKAKALHARFPNHVTIAPTNQAVIDHSNIIFIGLLPDVARQELPLLNFPQNVT IVSMMATIPYQELLDLLRLPRSQVVRTIPLPSSSRRTGPILAYPPHPFVRELLQQIGT PVMVNEEKEVTTLTGMTAMISFFYATCGTLQKWCVQNGVEEQASRDYVSSFFQSLADA GMISHEPFQDMAEEAATPGGLNEQTHRGLVASGAYELLLDEVDAIYTRLTTRAPAPRG GN H257_07863 MATSLENGLVLGLLGTGKIGSAVMSGYCTPVADKSTWVPRHIYV SPRGADKAKALHARFPNHVTIAPTNQAVIDHSNIIFIGLLPDVARQELPLLNFPQNVT IVSMMATIPYQELLDLLRLPRSQVVRTIPLPSSSRRTGPILAYPPHPFVRELLQQIGT PVMVNEEKEVTTLVGPNYFVDSAHVVGVVDWHDGDDQFLLRHLRHAAKMVCPERRRGA SVARLRVFFFSIARGCRHDFA H257_07864 MEPSYCDPTSLPQVFRPMKSPVDTALRATTADSHFRPKPTADHR GSGHRPPGLTRGRQSRVEPPTSRGARQPVTPRKPPPHHHPHAPVQPKDEVGIMYPFAN VADDDGSMQRIMWNKWHDQYATDAVDDNFTSFALYGEVKLQEAQTMSSWLEQPNQFLT AVACTLVHKFADRLGTSGGLLRRLLQALMAAVYVCRPAADERDGRDDDTLFDHKVPHF LESKRLRRTCLELLDENTRKLTDSSVHQVGVEKMQRVIDGTTKTWKRKVQRLLFYNWA YMVQRRRRIRTFMDRTFRYEAKAMVKKTFRVWRVEALRRAYYRESANYQAMLSVTAES LGRKDIQLGDAATRMKELQVQVDQLTSINSQLVARIHELEAANRSIRAGNADGGGAVP VRALFEPIDTIAAPTSPDAEDDAKLNRLLLESLFAMARMVESSVIQSSSDVMDSLRHQ TDGQELRELSELMFKQSTLYGKQQPQQHTHHDHHTIQNLLTKPIDVVLLNWMRLQLDR STATTRPQDKVVRNFSEDLADGHRFALLLHHLYPKAYDATVVGEIDVDTRLQHIERFN RECPLEHAMDATLPVVVTAESIAGHKSPENVVFVAMLFGMHQAHFAPLNMDKARNAFL HIVTCWKKVRTIMLEVRRATDDTPDKGLVLSLVKEIKSCESYHKQMQGELTSLACTSN EASTVLTKLTHKILCFTWRLLSEKLQGVQEDVVDERRAQTMLKFTVVPPVLVRDIILS SPDSRRTSLTETEVLTRVAGIQKVLQQWFKELCAIFRHYSSGALRGRATTMSSGEWAK FIKDCAIVDKKVTQAVAEGVYYQCVNSDRTTVQTTTAAKEMSPAMFVSALVVLADKKF PQVMFEERIRELIEKCVIPHACRSQPEVFRMLLQTADVRGVYQKFKQPLQRTFKYYSS VKTDEALKSSKPRSTIGLVEFIMMVKDCKLIGSYVTENTVKQIFALVQRHYEDEDDEW DDMTANEELQVDYIEFEEALGALAEYVIANPYIPYFKRLEQFIGDMILPRARQKKPKG H257_07864 MEPSYCDPTSLPQVFRPMKSPVDTALRATTADSHFRPKPTADHR GSGHRPPGLTRGRQSRVEPPTSRGARQPVTPRKPPPHHHPHAPVQPKDEVGIMYPFAN VADDDGSMQRIMWNKWHDQYATDAVDDNFTSFALYGEVKLQEAQTMSSWLEQPNQFLT AVACTLVHKFADRLGTSGGLLRRLLQALMAAVYVCRPAADERDGRDDDTLFDHKVPHF LESKRLRRTCLELLDENTRKLTDSSVHQVGVEKMQRVIDGTTKTWKRKVQRLLFYNWA YMVQRRRRIRTFMDRTFRYEAKAMVKKTFRVWRVEALRRAYYRESANYQAMLSVTAES LGRKDIQLGDAATRMKELQVQVDQLTSINSQLVARIHELEAANRSIRAGNADGGGAVP VRALFEPIDTIAAPTSPDAEDDAKLNRLLLESLFAMARMVESSVIQSSSDVMDSLRHQ TDGQELRELSELMFKQSTLYGKQQPQQHTHHDHHTIQNLLTKPIDVVLLNWMRLQLDR STATTRPQDKVVRNFSEDLADGHRFALLLHHLYPKAYDATVVGEIDVDTRLQHIERFN RECPLEHAMDATLPVVVTAESIAGHKSPENVVFVAMLFGMHQAHFAPLNMDKARNAFL HIVTCWKKVRTIMLEVRRATDDTPDKGLVLSLVKEIKSCESYHKQMQGELTSLACTSN EASTVLTKLTHKILCFTWRLLSEKLQGVQEDVVDERRAQTMLKFTVVPPVLVRDIILS SPDSRRTSLTETEVLTRVAGIQKVLQQWFKELCAIFRHYSSGALRGRATTMSSGEWAK FIKDCAIVDKKVTQAVAEGVYYQCVNSDRTTVQTTTAAKEMSPAMFVSALVVLADKKF PQVMFEERIRELIEKCVIPHACRSQPEVFRMLLQTADVRGVYQKFKQPLQRTFKYYSS VKTDEALKSSKPRSTIGLVEFIMMVKDCKLIGSYVTENTVKQIFALVQRHYEDEDDEW DDMTANEELQVDYIEFEEVQAEDFAGREVA H257_07864 MEPSYCDPTSLPQVFRPMKSPVDTALRATTADSHFRPKPTADHR GSGHRPPGLTRGRQSRVEPPTSRGARQPVTPRKPPPHHHPHAPVQPKDEVGIMYPFAN VADDDGSMQRIMWNKWHDQYATDAVDDNFTSFALYGEVKLQEAQTMSSWLEQPNQFLT AVACTLVHKFADRLGTSGGLLRRLLQALMAAVYVCRPAADERDGRDDDTLFDHKVPHF LESKRLRRTCLELLDENTRKLTDSSVHQVGVEKMQRVIDGTTKTWKRKVQRLLFYNWA YMVQRRRRIRTFMDRTFRYEAKAMVKKTFRVWRVEALRRAYYRESANYQAMLSVTAES LGRKDIQLGDAATRMKELQVQVDQLTSINSQLVARIHELEAANRSIRAGNADGGGAVP VRALFEPIDTIAAPTSPDAEDDAKLNRLLLESLFAMARMVESSVIQSSSDVMDSLRHQ TDGQELRELSELMFKQSTLYGKQQPQQHTHHDHHTIQNLLTKPIDVVLLNWMRLQLDR STATTRPQDKVVRNFSEDLADGHRFALLLHHLYPKAYDATVVGEIDVDTRLQHIERFN RECPLEHAMDATLPVVVTAESIAGHKSPENVVFVAMLFGMHQAHFAPLNMDKARNAFL HIVTCWKKVRTIMLEVRRATDDTPDKGLVLSLVKEIKSCESYHKQMQGELTSLACTSN EASTVLTKLTHKILCFTWRLLSEKLQGVQEDVVDERRAQTMLKFTVVPPVLVRDIILS SPDSRRTSLTETEVLTRVAGIQKVLQQWFKELCAIFRHYSSGALRGRATTMSSGEWAK FIKDCAIVDKKVTQAVAEGVYYQCVNSDRTTVQTTTAAKEMSPAMFVSALVVLADKKF PQVMFEERIRELIEKCVIPHACRSQPEVFRMLLQTADVRGVYQKFKQPLQRTFKYYSS VKTDEALVWLLLARHGSSLIHRHNVEKLQAAVHDWTGRVYHDGERLQVDRVVRDRKHG EADLCARATTLRRRGRRVG H257_07865 MMGRATKVISGTAISAKIRQQIKDNIDSVVALTQTTERPALGMI MVGDRQDSRLYVEAKGRACAEVGIRAENRFFAQSTTQAEILRQVHAFNADPTIDGILI QMPLPATIDEKAVVDAVLPTKDVDGLHPYNVGELAMRRRTPYFTACTPEGIVEMLDQE AVPIAGRVAVVLGRSDLVGNPVGMLLRKRHATVISCHSQTTQIENLVRQADIVVSACG VPQFVRGEWLKPGCVVIDVGINFVGNDMVGDVCFNEALGIASAITPVPGGVGPMTIAM LMRNVCKSFHRRVTTGDVTAE H257_07865 MMGRATKVISGTAISAKIRQQIKDNIDSVVALTQTTERPALGMI MVGDRQDSRLYVEAKGRACAEVGIRAENRFFAQSTTQAEILRQVHAFNADPTIDGILI QMPLPATIDEKAVVDAVLPTKDVDGLHPYNVGELAMRRRTPYFTACTPEGIVEMLDQE AVPIAGRVAVVLGRSDLVGNPVGMLLRKRHATVISCHSQTTQVLPFYPNCRLFFVLIR KYRSIDCTEFCMSRAFLWWLKSH H257_07866 MRSIIFCISNMPRALPPSLELTYFDAGGRAEPIRLILAYGGIDF DDVRIPGKEFPEKKPSLDLPFGQVPTLKVNGTKVFAQSVAIARYAAMLAGLYPQDPLE ALEADLVVDTVVEATMTFVNAALLEPDEAKKADKLADANNKILPRLLGGLEKRVVGPY FLGEAPTFADIYVLDFHTQIWCAFPDQLMATPDKYPKLAAIADHLRQSSELASYLNPK KRKLSS H257_07867 MATPPTDLELTYFDMPGRGEFIRLLMSYGGIPFQDERISFKEWG AKKAVLELPFGQIPTLKANGVIYAQSLALARYAAKLAGLYPTSPLLALEADSLVDAIL ENWDLYSDIVYSDLDAASKTTKMAKIETSVFPQLFSALNKRMIGLYFTGAEPTHADIY WLDFHEHALAEFPELIKLLTNDYPKLAAIVERLRSSSQLAEYMK H257_07868 MRTSLNRRKVYLVKVQKIERHVANSKNFIPKVMFLVEVARPRYG PEEGVRFDGKNGMWPIVKYVPAVRNCRNRPAGTLVTTLVHVDAVVYRDYVITRVIPAI KACFPSANKRVVLQHDNASPHRSITNEVLACVSTDDWKFVVRRQPPNSPDLNVLDLGF FASIQSIQYKVVSRSIDDVIRSTLAAFQALSSDKLDNVFVTFQAVMRLVLEHKGDNHF RLPHLKKDALCRAGTPMANVTCPASLLE H257_07869 MTYFKTKLVVQLEFTRVTKAVVLLKAAWKASLWQVDEASKRAIL LKKDFPRMPANTFDAPRRPPSAEGTISPDAPKPEGPDDVRDDDGPEIEGDPRIVIKGA LARKLRSDGCS H257_07870 MPPSASTAASGSFQCHKKLANAEDNSIYETSLEHSVDGSLPHGC ITRTAHTLDCMWKPISTVRARAEAPGSRASSAFTPLPVHPIIMKHPIMFTPCIGHTRV IAHLQLFPQDHLYPCKWTYHNNRQQTPQWTAHHLPPVTSAT H257_07871 MATTIDESDTTPLPTGKFACCHDITLLKQVSLSRPWEGEYGKVM TIWAEIAAELNRMPGFSMVKKPGSLKTRFEYLLAKHEKGESASLRKSGTTEEHQLRVD DFAENEAVRKDAAKRKLECVENSGLIMRQLAMAELEMSAEKTEDAEITSIKRRKKSKK PAPTLEIASLMGIIREGIEDKERREAQRLQYDREQANRHVE H257_07872 MRLTCPLRQPPCSIGSRNILATRSTSRILRDKRKIETYNIKEEL AKGRYNVQGPKYPELDKQVAEWVVMANAKNACVTGELIARKGLQIATELNLQDQVKCS HGWLYAVDVLTAMKWSLDAWENVTSTTIRNCWNHTGIVPRETLMEHLQSLHMSSGHLL ERLLNEFDVNDW H257_07873 MQTPGPSTKCNDAKPMFDPPGTRSLINQADHDIAIQLTKNNAVG VGALRLLLFVSRKLGGNPNELLQPFLRYRDDESGDFDDGEQPSGDDYGVDGDDNEQGG ELVPPVKRAVSSVSHGAELEAPTPKRPVPLNDDAMVDRRLARMAKQLL H257_07874 MTPPTTTKRLTDAQRTEQYIRPSLLKAGDRIKHGELKSLCNEFK VTKGALAKLWKRASETLDDQGTVDVRSGIKARSGRRRKTPLIVLEAKVKAAPSFKRST LRCLAESTGIARTTLWRLLQSKVMKRCTSRLKPMQTDKHKSDRMAFVQRHLRTEASGK RYWHDMLDTVHIDEKWFYVTKQNRRYYLWSDKAVPTRKCRSKMDIMKVMFLTAVARPR YDARNKRMWDGRIGMRPFVKTRPALRSSKNRKRGDDVTEPFTVTRDVYPSFLIDKVIP AVRSKWPSSMKNQIWIQQDNAKPHVSVNDPAVIAAGRQGGWTISLCSQPAQSPDLNVL DLGYFNAIQSLQH H257_07875 MATYTLDDVVVAVVKSFEELECTVLDKTFMTLQKSWSAFSRWAV TTISSCRIKRSMGSSRRVSYQRDWSVMKMFVQRLMPWRKEVSLNVVLTF H257_07876 MSESTTQLDVDGADAEVSNENPDKPPKRMKKLAPRAFLCVPINY GKRVAYVMLAAELSVEMQREFTAKQVQDKIENGTVRVQAKFG H257_07877 MRTWGTKCRSTAWRRTPATRQHLSPCTKASSKKSLLHILSTTSR RRSCRPSPRHCRRGAQTCGSCHLSKNSRPTCPCNACASAAPPAASIAGTTTWKPTGTC TCGRPRRRSGSAGTWCCFETRWSSTETKMHTRPMGFANVSEAEMALEDDGMLTLAVTA SALRTQEWAVSSWKFDDPTGDGRRWLDALTRASRLQWTDFYTEDIGVELGQGRFSVVK RARRKTTTQATCPSNDGSIMADVCRKLVEQGIERNDTLFREVLTQTVLTMRSDVMHEC FVVQVISLFETHDHLVIEMELMHGGDVFDRIADKGPMSEHEAAIFISHLIQGIDFCFN NGVVHRDVKLSNLALDEGTEIGASRRSPVLKLADFGMAAFLLPNGMLRGRCGTPGYVA PEILLAGVNEAYPPHVDMFSAGVVLYTLLCGYEPFFGRNDKELIALNKAVVYSFHPQE WAHISDHAKELIQLMMQPDARGRITAQEALRHPFLKDIPVASFAGAMACARLF H257_07877 MRTWGTKCRSTAWRRTPATRQHLSPCTKASSKKSLLHILSTTSR RRSCRPSPRHCRRGAQTCGSCHLSKNSRPTCPCNACASAAPPAASIAGTTTWKPTGTC TCGRPRRRSGSAGTWCCFETRWSSTETKMHTRPMGFANVSEAEMALEDDGMLTLAVTA SALRTQEWAVSSWKFDDPTGDGRRWLDALTRASRLQWTDFYTEDIGVELGQGRFSVVK RARRKVPSACVAECALKIIDKAVFWKLVEQGIERNDTLFREVLTQTVLTMRSDVMHEC FVVQVISLFETHDHLVIEMELMHGGDVFDRIADKGPMSEHEAAIFISHLIQGIDFCFN NGVVHRDVKLSNLALDEGTEIGASRRSPVLKLADFGMAAFLLPNGMLRGRCGTPGYVA PEILLAGVNEAYPPHVDMFSAGVVLYTLLCGYEPFFGRNDKELIALNKAVVYSFHPQE WAHISDHAKELIQLMMQPDARGRITAQEALRHPFLKDIPVASFAGAMACARLF H257_07877 MRTWGTKCRSTAWRRTPATRQHLSPCTKASSKKSLLHILSTTSR RRSCRPSPRHCRRGAQTCGSCHLSKNSRPTCPCNACASAAPPAASIAGTTTWKPTGTC TCGRPRRRSGSAGTWCCFETRWSSTETKMHTRPMGFANVSEAEMALEDDGMLTLAVTA SALRTQEWAVSSWKFDDPTGDGRRWLDALTRASRLQWTDFYTEDIGVELGQGRFSVVK RARRKVPSACVAECALKIIDKAVFWKLVEQGIERNDTLFREVLTQTVLTMRSDVMHEC FVVQVISLFETHDHLVIEMELMHGGDVFDRIADKGPMSEHEAAIFISHLIQGIDFCFN NGVVHRDVKLSNLALDEGTEIGASRRSPVLKLADFGMAAFLLPNGMLRGRCGTPGYVA PEILLAGVNEAYPPHVDMFSAGVVLYTLLCGYEPFFGRNDKELIALNKAVVYSFHPQE WAHISDHAKELIQLMMQPDARGRITAQEALRHPFLKDIPVASFAGAMACARLF H257_07877 MHTRPMGFANVSEAEMALEDDGMLTLAVTASALRTQEWAVSSWK FDDPTGDGRRWLDALTRASRLQWTDFYTEDIGVELGQGRFSVVKRARRKVPSACVAEC ALKIIDKAVFWKLVEQGIERNDTLFREVLTQTVLTMRSDVMHECFVVQVISLFETHDH LVIEMELMHGGDVFDRIADKGPMSEHEAAIFISHLIQGIDFCFNNGVVHRDVKLSNLA LDEGTEIGASRRSPVLKLADFGMAAFLLPNGMLRGRCGTPGYVAPEILLAGVNEAYPP HVDMFSAGVVLYTLLCGYEPFFGRNDKELIALNKAVVYSFHPQEWAHISDHAKELIQL MMQPDARGRITAQEALRHPFLKDIPVASFAGAMACARLF H257_07877 MHTRPMGFANVSEAEMALEDDGMLTLAVTASALRTQEWAVSSWK FDDPTGDGRRWLDALTRASRLQWTDFYTEDIGVELGQGRFSVVKRARRKVPSACVAEC ALKIIDKAVFWKLVEQGIERNDTLFREVLTQTVLTMRSDVMHECFVVQVISLFETHDH LVIEMELMHGGDVFDRIADKGPMSEHEAAIFISHLIQGIDFCFNNGVVHRDVKLSNLA LDEGTEIGASRRSPVLKLADFGMAAFLLPNGMLRGRCGTPGYVAPEILLAGVNEAYPP HVDMFSAGVVLYTLLCGYEPFFGRNDKELIALNKAVVYSFHPQEWAHISDHAKELIQL MMQPDARGRITAQEALRHPFLKDIPVASFAGAMACARLF H257_07877 MRTWGTKCRSTAWRRTPATRQHLSPCTKASSKKSLLHILSTTSR RRSCRPSPRHCRRGAQTCGSCHLSKNSRPTCPCNACASAAPPAASIAGTTTWKPTGTC TCGRPRRRSGSAGTWCCFETRWSSTETKMHTRPMGFANVSEAEMALEDDGMLTLAVTA SALRTQEWAVSSWKFDDPTGDGRRWLDALTRASRLQWTDFYTEDIGVELGQGRFSVVK RARRKVPSACVAECALKIIDKAVFWKLVEQGIERNDTLFREVLTQTVLTMRSDVMHEC FVVQVISLFETHDHLVIEMELMHGGDVFDRIADKGPMSEHEAAIFISHLIQGIDFCFN NGVVHRDVKLSNLALDEGTEIGASRRSPVLKLADFGMAAFLLPNGMLRGRCGTPGYVA PEILLAGVNEAYPPHVDMFSVRMTCS H257_07878 MAVLTHFNEVIEKVRKFVGAHHHITTAYSPWAYRTVEVVNRLVL RAVKALLSEMKLNADEWPHVLPLVQGALNHQPVDRLGGIALVTAFTGLPAKTALAGFV HPTSKEVFVVDWLGAARQKHVTDLQAALEDVHHNVAVRSDKRVDAATESPKSSLRVVG QARGRRDRKSQVKFAVTRVITDYVMETQQLVPPYEVMAHHACRLKMYHEGGREVTEEI EAQIAFGDGGFHVERLDEARCVDGQDQVLVKWLGLDDEESSWEPGANLLDDIPVVFRK WAAANKEVPAVAALIKTLDFP H257_07879 MRDFVAQDFPGTLISKQTISRHLLGMLYTVKQVRIEPSTCNSDT NKAKRKEFAETLVQHQRDGNFIVYYDETNYNIYCHRSVGRSKQGSRACLVLPPSKGPN LQIQCAVSPDVGLVCYRMERGSIKMEQNAAFVEEVCRRSLPCIEELASKIVIVLDNAP AHSQTEHRVAAHEDMTLLRLGPYSPMLNPIESCFSVLKAHIKRFLAERTNLLFDRREF HSYLESRMRLLEEATTESLPCITQSLVIREAMFCQRNVEKALNLENMSYGT H257_07880 MPQHSKLQCVFVYAGQRRHVPVDWVYKQGSKSARVLKPNNDLTA CGTLEEDVDYDGPIITFTNQAKAESCCADCENTPGCKLLVWYGGTCTLKSDKGDRVIV DGAKAGSLPTSSACAPMELNVNYVGHNIGYTSQTSADACCGDCQATSGCNLFVWFGGT CTLKSAMKTNETVDGAKASFLLAG H257_07881 MAGTNNTTAEAATPAASSPTAEELLANDRAVIDFASGDEDDEVE QDEEDEAEEGGERPAKRQRQEPPANGTSKQVEVPRKATFTRADKINKTIMKVTGNDDS GFSMFNTSSSYPMMMVYKKEIAASRKLLTAKKPQDAFCIAMAAYLSMQDYDVWYHDTE DPRGVELLFTAYYKLWNDIFKSDDATIGLKGRQVLINVLSKFGNDVMDDHEYNFPWFT KA H257_07882 MSSATLVHRHVFGLKTTNANNVVYVDDQLVAYPAGHSIVVYGID DKKQKFITCTENTEGITSISVCASRRFIAIAEKSERGVVSIYDLKTLKKRKVLTTSDC LSKTYVSMEFSTDNQLLLTQGGAPDWALVCWNWSKGKPVATIKLAPAGTTSSSTSSSN NNVVTQCSFSNVDPSIVCCTGLNMIKFFRIVDTAFRPMPSSRVDAQNFLCHAWLKQKE DEVVVGTATGDLLLFRAGEFICRLMASPSDSRCITSIVATSKGLVCGTDKATVSLFVV NPDRNTPPADTLTFTKSLKIESMPSRVTGLTVSPNEETIVAAVSSSQLYMFPYQTKES FKTEEIEFLVTPFHRPGESGQLHVTGMDVCVRKPTIVTCGLDKSVRVWNYIDRTCEVH KQFTEEAHSVSMHPSGLQILVGFADKLRLMNVLMDDIRPYKEFTIKACRECQFSTGGH MFAAVNGNTIQVFSMNTCDLVANLRGHNGKVRSIYWNYDDSGLISAGMDGAVYQWDLD ESKREGEFVQKGVPYYSAVCNREGTSVFAVGSDKMLKEIEFPASSLSKEFNADVTLGQ IVMANSQRMLFAGATEADKLGTVRSYKFPLTGEFTEFQCLNGPITRMRISFDDLYLLV CGEDGVVCIFEIRDKEGRARAKDGRENTVFAEEILVTKSDLEEKNTLMIELKNKVDEL TLHNEYQLRLKDMNYNEYLKELTEKFTHEIEQEKNKYEVLREDKNDIEMEYEERVKQI EEKHQQQMQEIEADYQQKIMKEVEKYQDVLHQRELQRSRWQQEQHALVSTHERYVAEV TEDFEQRLDEDRQLRMQMEDEREELHKEFSETKKQLEEDVDEEIESLKKRYEDKLAAE REATLRYKGENGIMKKKFTALQKDIEDQRDEIKNLLEKEKDLIEQIKALEKEIQSLKR EIRGRDETIGEKEKRIYDLKKKNQELEKFKFVLDYKIKELKRQIEPRENEISDMKDQI KEMDRELELFHKSNAQLDIMIGEQRKRLDKMQHDITRNRKVIGDQQSLIRRFRCDLHD CVQSIQNPKDLAQKVAILYQKYVTTDINVGDVDLDIQHEYTRQKEYLEKSVEVLKRKY AHDVTAHQEDNNHARSDNLALIQEINELRTALNVSKAALQKDKAILGTREYFTKKSGI DDDVGKVVENQRHEIDALRRSIKAMEERLDTARTGGMLPPMRGIDG H257_07883 MDSLQDQGVVDDKAQRSLFIRVRNLTPSKSMRSPSRNFMDRWTS KPQSPTSEVEKGPKSSGLHGLLDKDAAAPEPLPSLVSAQESVNLRVFQSVTLWLKENG VLYTHCSSSLTPPTNQTRVGLHQVYDTLFNSIDQQDNQLTNELLELNSHVLPPVEGAV LSCSRTNIQQGSNSTNSLFDAVVVVGPDLTDILISHDLDATFDPVVCHTYPSDVVFES IQHFCFPNGVRLVSDDGRRPTINSSETDSDTEAGDDDDYDSQDDNDSKLDDEFFVFVL SGGGKEGQDVQYALCRQTWVRVPNHMLLKPQGATYVPLTYCLLVKMPYIPFFRTILHH VMDKHVLELDEHPALWADLSTLMTPSHQAWLDSKLNVLATMDMPTIHKSIGSDFFDKI DLSALQLDRPRRRLPSLTSTSASDDIPPALLLEWSLPIVLTSMTMDSVLQTIAALLLE MKVLVVCDDIELLTATVLGVVSLLAPLVWTGPLIAVLPSSLSEYLEAPVPFVIGVQAL PVGYVAPSDTLQLFPQSNRVVANALPLPDSDTFKADLLAMSLRRDSAYVDHIIERFRA YMQALLRKCNGQNPGPHAFYDCVKATQLFSSYQQRNEDIKQSRDGAIRHLKLEPRAPL IPRKSVSSNQHESTTLMVHNLFQVVCHGEVPASLHPPPEVPPTAAISALAKLRMMCAG TDKGALDDTPSPLELRKTSAPLSPRPKPETITLVLRKDPSGVSVVNPMTQEMGVKPPP SHLSVSPSLVVPPTTHAPLLSTKSVRIIEPEQINLACNVSTPPTLSNPTLDDVDSSEL PEQGVEHTTSATKIQRLYRQWSFKKHRVLYSRCNSTPTPPALSENTMISTHDLASVIQ AKTLFVAGVLVSKLGRHGTWGRRRLRSDSTIDHLMWEKVTGKHRVSGNSMVSFRDITL VEAGIKGSQRTTVMGSLLFASTDHDEMDLCWTVHTSDSRRRAVVFKASSTAERQRVMA DLQSLVTYTLLSSGQVVLSSHTIGATEGELVVLRKFKDELRAGICIRKHGRQGKPHAK YLSCDAMCTQLEWRDPPTSGGRGWLKRASSLQHANIDDPKNVIAFASIQHVTPSESTS PHRSFIGSAQHYQRISIVSSVRTLVISTDPPQDLMRVYHGLNLLVRHLRIDK H257_07883 MDSLQDQGVVDDKAQRSLFIRVRNLTPSKSMRSPSRNFMDRWTS KPQSPTSEVEKGPKSSGLHGLLDKDAAAPEPLPSLVSAQESVNLRVFQSVTLWLKENG VLYTHCSSSLTPPTNQTRVGLHQVYDTLFNSIDQQDNQLTNELLELNSHVLPPVEGAV LSCSRTNIQQGSNSTNSLFDAVVVVGPDLTDILISHDLDATFDPVVCHTYPSDVVFES IQHFCFPNGVRLVSDDGRRPTINSSETDSDTEAGDDDDYDSQDDNDSKLDDEFFVFVL SGGGKEGQDVQYALCRQTWVRVPNHMLLKPQGATYVPLTYCLLVKMPYIPFFRTILHH VMDKHVLELDEHPALWADLSTLMTPSHQAWLDSKLNVLATMDMPTIHKSIGSDFFDKI DLSALQLDRPRRRLPSLTSTSASDDIPPALLLEWSLPIVLTSMTMDSVLQTIAALLLE MKVLVVCDDIELLTATVLGVVSLLAPLVWTGPLIAVLPSSLSEYLEAPVPFVIGVQAL PVGYVAPSDTLQLFPQSNRVVANALPLPDSDTFKADLLAMSLRRDSAYVDHIIERFRA YMQALLRKCNGQNPGPHAFYDCVKATQLFSSYQQRNEDIKQSRDGAIRHLKLEPRAPL IPRKSVSSNQHESTTLMVHNLFQVVCHGEVPASLHPPPEVPPTAAISALAKLRMMCAG TDKGALDDTPSPLELVSSRKSQTKIITKQVAPKRKTSAPLSPRPKPETITLVLRKDPS GVSVVNPMTQEMGVKPPPSHLSVSPSLVVPPTTHAPLLSTKSVRIIEPEQINLACNVS TPPTLSNPTLDDVDSSELPEQGVEHTTSATKIQRLYRQWSFKKHRVLYSRCNSTPTPP ALSENTMISTHDLASVIQAKTLFVAGVLVSKLGRHGTWGRRRLRSDSTIDHLMWEKVT GKHRVSGNSMVSFRDITLVEAGIKGSQRTTVMGSLLFASTDHDEMDLCWTVHTSDSRR RAVVFKASSTAERQRVMADLQSLVTYTLLSSGQVVLSSHTIGATEGELVVLRKFKDEL RAGICIRKHGRQGKPHAKYLSCDAMCTQLEWRDPPTSGGRGWLKRASSLQHANIDDPK NVIAFASIQHVTPSESTSPHRSFIGSAQHYQRISIVSSVRTLVISTDPPQDLMRVYHG LNLLVRHLRIDK H257_07883 MLLKPQGATYVPLTYCLLVKMPYIPFFRTILHHVMDKHVLELDE HPALWADLSTLMTPSHQAWLDSKLNVLATMDMPTIHKSIGSDFFDKIDLSALQLDRPR RRLPSLTSTSASDDIPPALLLEWSLPIVLTSMTMDSVLQTIAALLLEMKVLVVCDDIE LLTATVLGVVSLLAPLVWTGPLIAVLPSSLSEYLEAPVPFVIGVQALPVGYVAPSDTL QLFPQSNRVVANALPLPDSDTFKADLLAMSLRRDSAYVDHIIERFRAYMQALLRKCNG QNPGPHAFYDCVKATQLFSSYQQRNEDIKQSRDGAIRHLKLEPRAPLIPRKSVSSNQH ESTTLMVHNLFQVVCHGEVPASLHPPPEVPPTAAISALAKLRMMCAGTDKGALDDTPS PLELRKTSAPLSPRPKPETITLVLRKDPSGVSVVNPMTQEMGVKPPPSHLSVSPSLVV PPTTHAPLLSTKSVRIIEPEQINLACNVSTPPTLSNPTLDDVDSSELPEQGVEHTTSA TKIQRLYRQWSFKKHRVLYSRCNSTPTPPALSENTMISTHDLASVIQAKTLFVAGVLV SKLGRHGTWGRRRLRSDSTIDHLMWEKVTGKHRVSGNSMVSFRDITLVEAGIKGSQRT TVMGSLLFASTDHDEMDLCWTVHTSDSRRRAVVFKASSTAERQRVMADLQSLVTYTLL SSGQVVLSSHTIGATEGELVVLRKFKDELRAGICIRKHGRQGKPHAKYLSCDAMCTQL EWRDPPTSGGRGWLKRASSLQHANIDDPKNVIAFASIQHVTPSESTSPHRSFIGSAQH YQRISIVSSVRTLVISTDPPQDLMRVYHGLNLLVRHLRIDK H257_07883 MLLKPQGATYVPLTYCLLVKMPYIPFFRTILHHVMDKHVLELDE HPALWADLSTLMTPSHQAWLDSKLNVLATMDMPTIHKSIGSDFFDKIDLSALQLDRPR RRLPSLTSTSASDDIPPALLLEWSLPIVLTSMTMDSVLQTIAALLLEMKVLVVCDDIE LLTATVLGVVSLLAPLVWTGPLIAVLPSSLSEYLEAPVPFVIGVQALPVGYVAPSDTL QLFPQSNRVVANALPLPDSDTFKADLLAMSLRRDSAYVDHIIERFRAYMQALLRKCNG QNPGPHAFYDCVKATQLFSSYQQRNEDIKQSRDGAIRHLKLEPRAPLIPRKSVSSNQH ESTTLMVHNLFQVVCHGEVPASLHPPPEVPPTAAISALAKLRMMCAGTDKGALDDTPS PLELVSSRKSQTKIITKQVAPKRKTSAPLSPRPKPETITLVLRKDPSGVSVVNPMTQE MGVKPPPSHLSVSPSLVVPPTTHAPLLSTKSVRIIEPEQINLACNVSTPPTLSNPTLD DVDSSELPEQGVEHTTSATKIQRLYRQWSFKKHRVLYSRCNSTPTPPALSENTMISTH DLASVIQAKTLFVAGVLVSKLGRHGTWGRRRLRSDSTIDHLMWEKVTGKHRVSGNSMV SFRDITLVEAGIKGSQRTTVMGSLLFASTDHDEMDLCWTVHTSDSRRRAVVFKASSTA ERQRVMADLQSLVTYTLLSSGQVVLSSHTIGATEGELVVLRKFKDELRAGICIRKHGR QGKPHAKYLSCDAMCTQLEWRDPPTSGGRGWLKRASSLQHANIDDPKNVIAFASIQHV TPSESTSPHRSFIGSAQHYQRISIVSSVRTLVISTDPPQDLMRVYHGLNLLVRHLRID K H257_07885 MVQYHFVAFAAATAVTAKISVQVHRNLEVAKQSNVVVKFHCGEA LTTHHRRLKAGASRTETIESLVDSLKEHTTTSQASAKSLMANQLESTAMKVTTTWIDC SMYIDNVPDALVQKIAALPEVKSIDEPVVIALEKPQEGVKPASLTVDAVNQWGVEKIQ APALWAKGIKGDGIVVASVDTGVRHTHESLKSNWRQEYGWFDPYNKSELPNDPWGHGT HTMGTMVGTTQGIGVAPNAKWMACMGCNYLCYQYQLMQCAQFLLCPHDKDGGNPDCSK APHVINNSYGAYYASYWMEDAITAWRTAGIIPVFSNGNDGPNGCAYSGYPGASPQVIG VGATDSTEHLAYFSSLGPSVTNRLKPDISAPGVDIVSAAIYDDTSLVWNSGTSMAAPH IAGTVALYLSVNKGATYDQVYTALTNNVDTDTLSPPNKTCGSIPNTQYPNHLFGYGRL NVFKAVTAPPSTPRPTLPPPPPKCAAWMLDTDYIGGDIKAVSPRSADDCCDECDNTPK CNTFTFTYDNGGTCWLKAVVKPVNWVFKLGAKSAQVLNPTNPPTTCGTLEDNTDYAGN DLTSTKQEAAESCCADCEKTPGCKLFVWSNHNGGTCWLKHAKGAKVIVVGAKAGSLPT STTCAPIVSDVDYVGNDIKSTRQTFADACCGDCKATSGCKLFVWNNYNGGTCWLKHTQ GAKVTVVGAKASLLLAGPPSCGAVESNVDFVGQDVANVKAGQAVDCCAACHINLACNA YSWSSGVCYLKGRREETKVASGVVSARVDKCSSLESDVDYVGNDLSAVPSDVADCCAI CRQTSNCGAFSWANGVCYLKSSKGGIRSSAGVKSAVVN H257_07886 MPDPILRTKPTLLVEADALATLAHQLLPLTNYAHLIIPDSWELR GEHDRPVTRATAPWLGSVYGRRDWPKAQAKKPDTRRRTVQPLRLPTGDISVLPKACLP DQDIYIPGHCTAIAHLLPGFKRPQWKTRGGNPSPNTSTCMIPLTPQRCVHAIHMQCLL GSPRPVSLMLAASQLSSESHQCPKPGSKLPWWLYQTALHPPTCTMPLLDCGPSHCLHW AQTSTPVYDQYALDARPYLTATIPAIYQATSG H257_07887 MQVPPGGSGRKNSSTSSTLSGYRVSSTALPSVMPLVPALDTLFR KTARRVLKLPHDHPTEWFFDPTDGLGLVHCERFSHSQRHYHFLRIANDRVSPTHDLLM ESLEAYQLDSGLTDHPPAFRIPPLAADATLLGTIPRDLAIFKPALTITTLWHQPADSL SLRPNDHPIWAYHTPALGTTLISINRLHAKKVRWVGDITNDKGTMLLSLASMDQSHAP TVCSHLGRHPQNGATHPHWHSGNKHYSGPPTLPPNPCLPHCQLHIVHPYPTVSDVEPA SKPSKHKRALKLPSPSGTNSIKTPPSGTPQHRAKHAAATDWYHYGLYGENNRTLIAST AGSSTDLDPTPTGHQPPPHASPACTACHRLADTTIFLDCGQWYHMACIPHCQVVPHHS IPTYGLHTLPLRAMHTHAVGDGSIMHQGTPVAHGHGATWAETALPSRDPYKYTPVTAH PRAVNSTAYWLASINLEMLRSKSATTPNPLDWLFSPGP H257_07888 MTYLCKIVHSQGIIGHSQGVVNLDPGLPAWHPITVQSAFNDIWT FSSARNKVASTLSACPQPTQQGAQNRTISSLLFVDDTLDISTSYAGIQDRAGISNYFT GQSASGSVFGADKSFLFYLSPRAHPDIALNDGLGIPQPICVIAPSKGFAT H257_07889 MPYTSPPNTPPRHRYCTQTAPPPPLLANPAPPPPPARHAHGPHP TGQRPPQGNPRGFRANALDFPIIADSDLPAHKATQFGTPTIRDPNTRGSTHTQPYSHQ QPPGLYPAAHKADTNSEWLTQAATRDTGPHHVMVMGGDFNRCDRSSPTPRSGPNNDIG IAFQQWSQRMGLVTTFRHRHPNFERYTYARNNTTVALDDIYISARTAHKMGASGIWLH TIHSSDNAGTPYMAIDLFPGDHTPYRLTGVQPIRAVTRNLAKVEINSFGAHTSKLLLE GQLPQLTPAPPTKAATTWSPQEIVDWLDEAVRNLYDILYTSAKLKWGETSQTRKALNR AVEIQRNNQCTAHLRQLFRLHEANLHTGTEFTRLAHLVEWPKWIRNPNVLPPRAGTAL EPLLLANGGLQCLPNRTLPTTGTSGPDMDSHAGPTSVANVGTVELPAYAKHECNNVRH GLKADKSGNSSARHSVLPHRPSLYRASLSVPTMAPANAPPAPDTGLEANRQQVPQLVR DWLLHDVDRPDEVTHAFQTPEGTTWDTYHYDEDIQARCERSLRTRVSPEHGGVHMNSG SVPRHAYAIGNG H257_07890 MAETVYANYRLHAAGKNRLDQVPPSMKFYPLRDLSWDNPSSTFS HPLSPSAATLVATKVRIGPLPTLTTPEDILAAVHQMPAPVQSTDLGPHIHRVLSSYVG QHIVQATAPLQQEVDTLRADKEALTALVSATRAAFNTLDARLLEERRLREAADHQQTE DQRTLTEAQNRLQTTVSQQGTHREAMTKRLPIIESSLRTLIQAMQSASTQLTGLAALG TPPAHLPAAYPTQPPQMSSDDTPNSTFPPDHLAGPDHSTGTDPNDTIGSN H257_07892 MVQYHFVALAAAATAVTAKISVQVHRNLEVAKQSNVVVKYHCDE AHETHRRRLKAGASRSETIASLVGLLKEHTTKSQAPVKSLLANQVESAAVEVATTWID CSTYIDNAPNDLINEIAVLPEVKSIDEPVVMAFAESKSGVQEESAVNEVSGWGVDRIQ APALWAKGIKGDGIVVASIDTGVRYTHEALKSNWRSEYGWFDPYNKTELPNDSSGRGT HAMGIMVGNTQGIGVAPNAKWIACKGCEDDSCQQHMLVQCAQFLFCPHDKDGNNPDCD KAPHVISNNWGGSATFAIKSVIASWRSAGIIPVFANGDNGSKGCGYMDYPAASPEVIS VGSIDTRGYVTGSSSLGPSTVGDLKPDISAPGSLIRSAVHSDDDSLWFRSGTSMAAAH VSGAIALYLSANKDATYDHVYTALAKNVDTDTLFPSDKTCGGIPNTQYPNNVYGYGLL NIFKAATAPPPKCTTWVDNFEVSGKDIKAVPKLTADECCDECHNTPNCNAFTFTQDNG GTCWLKAVFGEFRHKYKEGSKSARVLHPINPPTICGTLEENTDYPGNDITSTSQTSAD ACCGDCKATSGCKLFVWSKHNGGTCWLKHTQGAKVTVVGAKASLFLAGPPSCGAVESN VDFVGQDVANVKADQAVDCCAACQSNQACNAYSWSSGVCYLKGRRAETKMTSGVVSVR VYKCSSLESDVNYVGYDLSAVEADVADCCAICRQTSNCGAFSWGNGVCYLKTSKGGRQ TFGGAKSAVVN H257_07893 MAGRTPNTTAELTDEGLIANDRVVLDFASANEEVEEEDEAEEGG ERPAKRQRQEKDTTKAVEVPLKATFDRVDKINNTIMRVTGNDDSGFCMFNTSSSYPMM MVYKKEIAASRKLLKAKKPQDAFCIAMAAYLSMQDYDVWYHDTEDPRTVELLFTAYYK LWNDIFKSDDTTLGLKGREALIHELSKFGNGAKDDLEYNFPWFFKA H257_07894 MLDQSKPRKNPRSTLIITMAGRTPNTTAELTDEGLIANDRVVLD FASANEEVEEEDEAEEGGERPAKRQRQEKDTTKAVEVPLKATFDRVDKINNTIMRVTG NDDSGFCMFNTSSSYPMMMVYKKEIAASRKLLKAKKPQDAFCIAMAAYLSMQDYDVWY HDTEDPRTVELLFTAYYKLWNDIFKSDDTTLGLKGREALIHELSKFGNGAKDDLEYNF PWFFKA H257_07895 MLVSNIVRHCFVALVAAAIAATATICIEAHSNFEIANSTNIVVK FHSTTNQWGVALIQALALWAKRIKGDSVRYTHEALKSNWPSEYGLISRIVRPVRQDGQ FWEWWSAQLKAGGGPNRQVVCLQGLHRLVLPAPHVINNSWGMYRGAQNWMEQGLEYGA PPTSFSSFATHKDGIPPHSWRHVAACVGHKLATYDQVYAHFLHQQRGYCDVGAANYTK YCGYIPNTEFPNNAVDFGCINVLKAVTAPTSCPRSTRPSPPAKCSTWTDEYQYKPGSK SA H257_07896 MFASYLSTAFHLHMATHHEASHPVRGTSHPVNHIHNPRTAAAGA IQLALCPGCLKVGLWSYERKSTRQMTRCRNVGSFSTRQLQEKSVCEASRQPALKRQRT QPMWSPNTDSTEDELTSDSDSDSDSTEVAERWKGKVDALHAPYASAT H257_07897 MAEKKEALAQVFRGFQATEQITCNSLQCPPSTRAARSAYKTWFS DPATYPIIGIMAFAGSMVTFEGIRYVTGSPDVAFNKEKRSQMDLRSEEDGAAFRSHRI TAATLKANPITREAEYQEFKTRNL H257_07898 MVQFSAAVSAAHKAKDMDQVAYEESCSRCQDIFKTKGSVSGCRH HAGRGRLSRLGNARFAQDYINCMSRIKKRNLAGYVPSPESMCNPLELQPPLQNTVTAS EHLFSSQCDQRFAVFKSTATAKWTNL H257_07899 MDNTKYHKGLPDDTPLGSWRKVDFRLKLEVAARVLPVVVSMARA RGHDVVFTPPHHSDLQLIEMVWAKVKGDVGVQYTVDTTFADVRPRLDVAFAQFHLSSD GIVFNTVRSLLQTCTTCFLRTTVKMKDRSTTTSSSSDTSDSDSDL H257_07900 MLIFVATMLDKSQEPLLIFSDAAKEQCKKFGQPIFAPKLLLLSF HPQTHNNPDTCPHCRQGRYVAGVIQCNTQDRGSSASCLRIVQIYLEKLGFKRGKRRDK ATYSVSSAYAAAQDIYVQKMNNLDANTPVVYMDESYIHHHYTRHQDSLFDPTDDAPLK EKQKGRRMYFIAGIMAGRASGADSTVVALDIFEGGKKSKDDPKDYHTMFNHTYFLKWL ERAMDCVEALG H257_07901 MASRIARSAAQTWFSDPATYPIIGIMVFAGSMATFEGVRYLTAS PDVAFSKEKRSHIDLRSSEDGAAFRSHRITAATLKLNPITRETAYQAAAQTWFSDPAT YPIIGIMVFAGSMATFEGVRYLTASPDVAFSKEKRSHIDLRSSEDGAAFRSHRITAAT LKLNPITRETAYQAFKTRNL H257_07902 MATFEGVRYLTASPDVAFSKEKRSHIDLRSSEDGAAFRSHRITA ATLKLNPITRETAYQAAAQTWFSDPATYPIIGIMVFAGSMATFEGVRYLTASPDVAFS KEKRSHIDLRSSEDGAAFRSHRITAATLKLNPITRETAYQAFKTRNL H257_07903 MASRIARSAAQTWFSDPATYPIIGIMVFAGSMATFEGVRYLTAS PDVAFSKEKRSHIDLRSSEDGAAFRSHRITAATLKLNPITRETAYQAFKTRNL H257_07904 MASRIARSAAQTWFSDPATYPIIGIMVFAGSMATFEGVRYLTAS PDVAFSKEKRSHIDLRSSEDGAAFRSHRITAATLKLNPITRETAYQAAAQTWFSDPAT YPIIGIMVFAGSMATFEGVRYLTASPDVAFSKEKRSHIDLRSSEDGAAFRSHRITAAT LKLNPITRETAYQAFKTRNL H257_07905 MASRIARSAAQTWFSDPATYPIIGIMVFAGSMATFEGVRYLTAS PDVAFSKEKRSHIDLRSSEDGAAFRSHRITAATLKLNPITRETAYQAFKTRNL H257_07906 MASRAARTTAKAWFSDPATYPIIAILGFAGAMAVVGGVRFLSVS PDVLISREKRLQLNLRSEQDGAAFRSHRIAAATLKPNAITKEPQYQAFKARNA H257_07907 MTTKDAFTKAVDWVETHGFVVGVAVIAVTGVGLGFATDSTDNIP QPYNRISSVIGWVYFACWSVSFWPQVFLNWRRQSVVGLSLDFLVYNILGFVCYAVFNA AFFWSDAVQDEYKKHHDGNPNAVQVNDVFFALHAVFVTLLTYYQSTIYTRGAQVTSGL CKSVVGVSVVASGLFFLLGFVVSNEWFSTLNFLYLLSYVKLGVSLVKYIPQVILNYQR KSTVGWTIWNVLLDFSGGILSMAQIILTSSVTNDWTAITGDPVKFGLGFTSVFFDVIF ITQHYVLYPDAPADLTVEVPLLLKV H257_07908 MTSVEMTSLTRNVDRKVYTPLDETKPAAADRALVDMIVSLKDLV DRNLLANKDVDSTTDTLTLLLSINGVLRDAREAIESLVDKQSTDKWVISFDAETYAKK LMYAEKQFEELLEDAVKADVAELLVQLIRHGLHDEASLVLLYELTAGGSVAVQNALYY AIIDAQPAVLAYLLTHLENDSANIVAILQQLCVYHHSQWQALMRVPAGPDRPSFLNFI LANIAAVSAKSDVAHVISWLDFLCETCQGPSVENQLHIASSDQAIAVVRDIVLDVIAF DSASDAQTIRVQRSAAEVLLTLMEGRVDGDVHVRLAALFPVAAVVDKLQLHYRAIQHK LYSPSRRSLMQHTLSLTKSLLHSSSKDELQRHLREFRAAINLLRIVTHVLHDKTTDAD ATEAAAFETFRTAWHDALANGKVHTAVRFFQDQLVSIEVARNGATFTSYFLRPATAQY FNETLQTKLIDEMDIGSEGALDVLTSEVAKDVEEELAVIQGLNKTPFYSIMNKWHVWL RKNMLRLCFYINFVMLLCVRVDNSTMVDIHQANMRSGLWVVGALGFVLLGFCAVLWLY HVLTTFCFNYCKQHVSPLKLSFTTSAEYWTNTLHAFAPFAVYLEFFVAIFVVIFYMDM VTTTTKILAAGSFMWLFGAFLQGVRHASGLFRFTVNTDKAAASNVVLNFVSFWYNVVY DTLLSGSVITFGAYTLCAICGLGLSIPAVTQYFDAGPWGLMFFGFPLVDILATNEQLR FIATAMHSNMGKLGVTAVFGAIMIYIFSLVGFFLLQAELESEDHTVSHCSTLLQCYTT YIRYGLLSGGGIGDYISSTLNHELEFDNPERYFERLVYDMAFFVVVITLFLNMIQGII IDAFTSVREQTETKAALKRERCLVCNRSRSAIELEGVESGLLNNFARHTQDEHNFFHY FFYIQHVTAKDPKDLNGIESYVVDKLKTQDMTWIPRV H257_07909 MAFCETAQSAAVQRQIKSTGSSNHSPSRYVHGSVIFAVHLHRTS VAGRRVQTLVAPPAVQIWDNCLHPLQYLLLELDTRLIRLNASTPTTSAPKTTPRLRPL RCLPNKLK H257_07910 MCKHEPADASPSDVKAPDQAVEKAVHPRAEYENVATTSDKVKGI VFILSLFSATLAMGYLVIVPTAVVVAFVCPKLAEYIYRVLLGHFCAYSSGVFEYLSGM EVVITGEDGETFHFNDLDRVFLISNHRTEIDWLLHWNFATKIHAHDRIMTMLKAGLRQ IPIFGGVLQLFGFPFVERNWVEDQAKLGALIESYHSRSYGTWMAMFPEGTALYDKTLQ ASHDFKAANGKPRCDFVLEPRLKGFDLCVDKFRPDYILDMTMAFPELRRGVRPSPLRL LQGLFPKSVHFYVRKFTLDDVMAADSPSAWLQDRFAVKEEMLSAFYQAPDGRSFDKPE VPLPKSKRPFLMALALVVTSSCALPVVLWTVPYSVTYLALVVAFMVWISKR H257_07911 MNRSVRADNVEFTVKASEDLILTGSERSIIRVPANNMYSCLMQK TQRFSSLFRHYSKHHGLPRECLDFFFMSLLDPEECPESVHLQKNDIIQVRHRRDTPIL MAPKLSDDDFFHSMKRMLQSGLNCDVVLKVGPNEDELRAHKCVLTTRCEVFRCMFPAE AKAGMKESEDGTVLIRDHSPDMVAKLLEFIYTNRVHDLAKLTTAQLIDLLSLGDQYLM RPLRDLCEIQAKELVNNESCVKLLNAAEKFNAGFLKETCVNHIVDNMAQLIDEDGFRL EIEQCPSLALVLLRAQHMQASPSALEPSAKRRRLTMPTTDDYDEYE H257_07911 MSRLLLHGWRISYPRTLTNLPQSLLDPEECPESVHLQKNDIIQV RHRRDTPILMAPKLSDDDFFHSMKRMLQSGLNCDVVLKVGPNEDELRAHKCVLTTRCE VFRCMFPAEAKAGMKESEDGTVLIRDHSPDMVAKLLEFIYTNRVHDLAKLTTAQLIDL LSLGDQYLMRPLRDLCEIQAKELVNNESCVKLLNAAEKFNAGFLKETCVNHIVDNMAQ LIDEDGFRLEIEQCPSLALVLLRAQHMQASPSALEPSAKRRRLTMPTTDDYDEYE H257_07912 MLAMLSNDSMYHAPLSPGQTSTASSDSSGAASQRGFSRMLHGSD AVPSCHVSPELKCQYKTGTCTNERTTKKNGKLLMLCELHRKKQNEIKKRSDRKQSAMR MNRRLEAKQKAMVDPRQDKAKSFKQRVPKFEELLSCDPSHMWDHHSHHQYYHDSSAVT LPRVNVWRTKDGIATSIPGLPPRAFAFPTPYSPSGHLFDWSVGPQQLATGNVTPRGND LAILEFFLDD H257_07913 MSRLDPAAAAIAYYQSRCARNPSDEDALHHLSVLYKASGDMEGY HRHARMALLTNKATHEHANELGLSLLAQGKLDQAFDQFRAAIAAAPTYGPSHLNMSVV QAKRGNLRESLAHCEDALKFMPGDASVLRNLGKLHEAMGRTQASLAYNKRAAVAAPRD ADLARKIALQSVASGDIPRAHDAYAAHRRILGKKVDVKI H257_07914 MSNARATSKAATAGSSTKKIAASPSRSRVSKNNDPTSSSHSRLV GASSKIAGSSMHAGGGGGNQATQVYLDGMNVTPQSLLFTRTKGSDKSAAAASGRERAK AAKKTPDNTSGVSMMASSTSSVFSESEGGSSAGGDSGKSLDAILSGVPSMKVLAGPVA SAKKTEASDDGDGDGLVGIDDSGHLDATTSTAGLRPKSELDGRPGITGRGETKAVQGP VTIRFTETPTMMLFEWHSICVGQDTPDHGIVVAKNRKYLEMCGSKRGSDSYVENRTQT LQLAQKTKEVMTAPPATRDAASSATNWDIFDSTQADIDMSGNDHDDAALDTTPNKSKA AVDAENVDVQLTKQVDEIVSASLASPGCLLLTDGAELLGDLKARQRALQPSSRHKPTA TSSSHHHVGASGDSKAVRGTSRTVTNSRAIAGASTSSTTTIGGGGSSANVNGSAANNN QPSSSQSDVTSGDIISGSHADISRSSANSDGSNSADGKGANNAYAAANTNVDLADIIA EQKTQEVLASTGLLKTLQVLERAVQQNFYHDRHLLYRNFPRLSSGASQPTRLGAGGNS LSSSMVNVFDKSNELEKLWGFSCALTAGRTVSCVAWNPVNDDLLAVSYGQFDADAAES DGLVLFWSLKNPEYPERVYKLGCGVTSIDFSRLHPNLLAVGFHNGIVALFDTRKDDAA PILSSDSHSGSHLDVVWQVKWVHKGSERGESIVSVSSDGRVTEWSMKKGLSFSDLMTL KRVPNPLMGSEARIDGVIARQASGNCIEFAQNDSSVYFVGTEDGNIHKCSCSYNEQYL ETYYGHTAAVYAIHMSPFWNQLLLSCSADWTVKLWHEADQHEVLNFRSVDLCHGVYGV AWCPNDATIFGSVTEDGRIEIWDLEQSVLDPIVTHFPKEDVHCTCISFAPSSPVLVVG DSTGEVGVYRVPTLAEGRCVGQSIEDQIERLKRAVRPHQGE H257_07915 MHVGGAIARVLLKDPENIPLVAMVTLGLAMGSATCVRYLVASPD VRISKRSRENCLYYLTDKEKEAAEKFQKYRHALANLSANPINRDPSFQAHHARGSGA H257_07916 MDLPADEPAMSLEQMKSKLFHKFQQEGYVDQIRVQLRTSFVHTL QKASHAAAVAASTNEQEWTVVEKVANSLICQYLHAKQLKHTLTVFVPEVGPRNCDLQD DMVQKLLRLPNDPNAPDPISASSTWLIDMLREKERSADVSSHDIQTQTLDEDHRFLLD AELKRIDDMYWNQSINMQKDQRSFEAQLVAYQTDYDARSQVEFQKEIERIRAMDISIM RMEERKRHAQESDKFRAALQAEYAAKADGLADAEARLRLEFADHRQQLESDLFELRQT LLRELDSVRSKERQLLSSMDVEAMKHANESRRLALLEDNLKERERQLDRTLKDVQVER DGQLRRVTADAEAKVVEKSMALDALEKKLAKESQQLRDSQNEFNAMAQRVAVVDGQLA AARGLHMEQRLKMDLLERERAHLEELLAASRAAQVASSSNHKSNSIALAHANDTIARL EREAKATRVVHEAQIQEKAAAMHDVITQLNAANADLGRLKVAHADAIVAVKVAAYDAV AAERRAAQSAEETLRTQLTEMQARCHEVEAQCHRYQTQSEDDHVHVASLRHEIESLRA MLHTLQYAPSVSHGYMQAGPARRVAAESASSWRMAERFKQPRQGNGDDPEERCDAARV ERGGQRVMLSRGGEAGRGFVKKATSLGTSHVNPRDMPPMHEQDLYKQQHEHQQEREDD HARDVAAEPDETVAAAAAQRELEPLRQHQQDAAAAALKASQDTPLDQQLKAHKHAKLD MLEERIHLEAKDTNIQDEMARRAMAARVTAEVTWQQLEDATDQLATSSHLEQTDEPKE SEQAEDDKPEEDTTQLRHAALEEDQVQARDGNDDHRGDGGARGYDVDGDESKRMDEKQ RAMDAAVEGTAWQGQLENDQSSKVVWETHEQERRDVAARADEATESQDTRNAPDDAKQ VARQQRIDDERTAIEAAAVADAREKQHQLNAEIQAKQVKQRENDEAAAAAKLKAEDEA TAAKLKAEEAAAAAAKMKADEEAAVAAKLKADEAAAAAAKMKADEEAAAAAKLKAEEA AAEAAAKLKAEDEAAAAAKMKVDEEAAAAAKLKADEEAAAAAKPTPANDSSIIDEYRQ RAVVRRAEKLRLEQEAKAREQLKKDEEEMKAIKEREDAAAAAALDEERASESGESVLS VGGVGGDDSGDGSADSF H257_07916 MDLPADEPAMSLEQMKSKLFHKFQQEGYVDQIRVQLRTSFVHTL QKASHAAAVAASTNEQEWTVVEKVANSLICQYLHAKQLKHTLTVFVPEVGPRNCDLQD DMVQKLLRLPNDPNAPDPISASSTWLIDMLREKERSADVSSHDIQTQTLDEDHRFLLD AELKRIDDMYWNQSINMQKDQRSFEAQLVAYQTDYDARSQVEFQKEIERIRAMDISIM RMEERKRHAQESDKFRAALQAEYAAKADGLADAEARLRLEFADHRQQLESDLFELRQT LLRELDSVRSKERQLLSSMDVEAMKHANESRRLALLEDNLKERERQLDRTLKDVQVER DGQLRRVTADAEAKVVEKSMALDALEKKLAKESQQLRDSQNEFNAMAQRVAVVDGQLA AARGLHMEQRLKMDLLERERAHLEELLAASRAAQVASSSNHKSNSIALAHANDTIARL EREAKATRVVHEAQIQEKAAAMHDVITQLNAANADLGRLKVAHADAIVAVKVAAYDAV AAERRAAQSAEETLRTQLTEMQARCHEVEAQCHRYQTQSEDDHVHVASLRHEIESLRA MLHTLQYAPSVSHGYMQAGPARRVAAESASSWRMAERFKQPRQGNGDDPEERCDAARV ERGGQRVMLSRGGEAGRGFVKKATSLGTSHVNPRDMPPMHEQDLYKQQHEHQQEREDD HARDVAAEPDETVAAAAAQRELEPLRQHQQDAAAAALKASQDTPLDQQLKAHKHAKLD MLEERIHLEAKDTNIQDEMARRAMAARVTAEVTWQQLEDATDQLATSSHLEQTDEPKE SEQAEDDKPEEDTTQLRHAALEEDQVQARDGNDDHRGDGGARGYDVDGDESKRMDEKQ RAMDAAVEGTAWQGQLENDQSSKVVWETHEQERRDVAARADEATESQDTRNAPDDAKQ VARQQRIDDERTAIEAAAVADAREKQHQLNAEIQAKQVKQRENDEAAAAAKLKAEDEA TAAKLKAEEAAAAAAKMKADEEAAAAAKLKADEEAAVAAKLKADEAAAAAAKMKADEE AAAAAKLKAEEAAAEAAAKLKAEDEAAAAAKMKVDEEAAAAAKLKADEEAAAAAKPTP ANDSSIIDEYRQRAVVRRAEKLRLEQEAKAREQLKKDEEEMKAIKEREDAAAAAALDE ERASESGESVLSVGGVGGDDSGDGSADSF H257_07916 MHAATQVQLRTSFVHTLQKASHAAAVAASTNEQEWTVVEKVANS LICQYLHAKQLKHTLTVFVPEVGPRNCDLQDDMVQKLLRLPNDPNAPDPISASSTWLI DMLREKERSADVSSHDIQTQTLDEDHRFLLDAELKRIDDMYWNQSINMQKDQRSFEAQ LVAYQTDYDARSQVEFQKEIERIRAMDISIMRMEERKRHAQESDKFRAALQAEYAAKA DGLADAEARLRLEFADHRQQLESDLFELRQTLLRELDSVRSKERQLLSSMDVEAMKHA NESRRLALLEDNLKERERQLDRTLKDVQVERDGQLRRVTADAEAKVVEKSMALDALEK KLAKESQQLRDSQNEFNAMAQRVAVVDGQLAAARGLHMEQRLKMDLLERERAHLEELL AASRAAQVASSSNHKSNSIALAHANDTIARLEREAKATRVVHEAQIQEKAAAMHDVIT QLNAANADLGRLKVAHADAIVAVKVAAYDAVAAERRAAQSAEETLRTQLTEMQARCHE VEAQCHRYQTQSEDDHVHVASLRHEIESLRAMLHTLQYAPSVSHGYMQAGPARRVAAE SASSWRMAERFKQPRQGNGDDPEERCDAARVERGGQRVMLSRGGEAGRGFVKKATSLG TSHVNPRDMPPMHEQDLYKQQHEHQQEREDDHARDVAAEPDETVAAAAAQRELEPLRQ HQQDAAAAALKASQDTPLDQQLKAHKHAKLDMLEERIHLEAKDTNIQDEMARRAMAAR VTAEVTWQQLEDATDQLATSSHLEQTDEPKESEQAEDDKPEEDTTQLRHAALEEDQVQ ARDGNDDHRGDGGARGYDVDGDESKRMDEKQRAMDAAVEGTAWQGQLENDQSSKVVWE THEQERRDVAARADEATESQDTRNAPDDAKQVARQQRIDDERTAIEAAAVADAREKQH QLNAEIQAKQVKQRENDEAAAAAKLKAEDEATAAKLKAEEAAAAAAKMKADEEAAAAA KLKADEEAAVAAKLKADEAAAAAAKMKADEEAAAAAKLKAEEAAAEAAAKLKAEDEAA AAAKMKVDEEAAAAAKLKADEEAAAAAKPTPANDSSIIDEYRQRAVVRRAEKLRLEQE AKAREQLKKDEEEMKAIKEREDAAAAAALDEERASESGESVLSVGGVGGDDSGDGSAD SF H257_07917 MSVVCRGGGPTYPQRPKSSSACSGKLLTSKVCDLRDPDNPMTAT ATAPPTRPQTSYNMYPFQGMNGPERNARIRHLKKPSSASWSRSSSPRRPIGNNHHHGF DPSKHSNNQHTSQVPTLSSASSSWHAVLPRPTSPLAVTPAAVLDHLAHETHPRVKPSD LRYINTWLRSLDDESKHFTSYFLFCELKFRESSVLATGKAMPNRLRTAVAFHCLQHAT SLFGRYQHVLDTICQSLGAAIYADYDVRLADSRRPIVSAVDWYDHGMAYFEQSEQLRG QLVRVQAQLAAAETEAERLKDEVEMLTSQCDALKRLRGRNTRPSLVMQLHDMGAMDKV DMLFKTFQSFDAPNRRNILVGLIQTVEQNLSAEMLFDVVQAMAHDEGERLAEMLVREY GVSAVKKPTANEHMKKLKRFVTGFGSTLKEVNKPAIGTPSDVVDQTNNAAELQAERAT FEKMLLLKTEMQSLKENHEVELQFELDKTKLLEEECKELMTKYQDALKRIPKPAQVMD HGVQVDMAAADATKRKKKRGDDLDGLDEDGFRGISDVIADANLPAKKIRKIFSKKHAM ALDDVAGTIASLYQAKMTQDIHDDYNGKPRSGFLGLVEDLFILYYGLKELAIGQLICI DTAIHKFCENNARVRLFGILIGSNAAVCATQPMGPSPEAIDFFLFLVGVLFHVGHYTT QYDHALAVAKLLKSRFGDGIPHSPHSTRINVQEAVHVVQIAFAFDSTTDSSEHTECIQ LVQALALNDTIDIDQFLEQVMLHWFAMYDSQVAFMQKLFHSMDHDNNGVLEFHEFRGM VHKLDPEMTQRDSLVMYNRVAGADNVIDCKEFVACMISHQQHLILKTYFGNATLRPNP GRRASLKFQPEKTLMHLSTLRDTVKSTAQLPMDDSATKEVGSDEDNERTIGHAEEMSG GALPRRESFAQLPCNILGRLREMATRGLSDEFIVEDNKGYGLTGPASASKRRSFSSED WDTNMDDIINSVIARDGELG H257_07917 MSVVCRGGGPTYPQRPKSSSACSGKLLTSKVCDLRDPDNPMTAT ATAPPTRPQTSYNMYPFQGMNGPERNARIRHLKKPSSASWSRSSSPRRPIGNNHHHGF DPSKHSNNQHTSQVPTLSSASSSWHAVLPRPTSPLAVTPAAVLDHLAHETHPRVKPSD LRYINTWLRSLDDESKHFTSYFLFCELKFRESSVLATGKAMPNRLRTAVAFHCLQHAT SLFGRYQHVLDTICQSLGAAIYADYDVRLADSRRPIVSAVDWYDHGMAYFEQSEQLRG QLVRVQAQLAAAETEAERLKDEVEMLTSQCDALKRLRGRNTRPSLVMQLHDMGAMDKV DMLFKTFQSFDAPNRRNILVGLIQTVEQNLSAEMLFDVVQAMAHDEGERLAEMLVREY GVSAVKKPTANEHMKKLKRFVTGFGSTLKEVNKPAIGTPSDVVDQTNNAAELQAERAT FEKMLLLKTEMQSLKENHEVELQFELDKTKLLEEECKELMTKYQDALKRIPKPAQVMD HGVQVDMAAADATKRKKKRGDDLDGLDEDGFRGISDVIADANLPAKKIRKIFSKKHAM ALDDVAGTIASLYQAKMTQDIHDDYNGKPRSGFLGLVEDLFILYYGLKELAIGQLICI DTAIHKFCENNARVRLFGILIGSNAAVCATQPMGPSPEAIDFFLFLVGVLFHVGHYTT QYDHALAVAKLLKSRFGDGIPHSPHSTRINVQEAVHVVQIAFAFDSTTDSSEHTECIQ LVQALALNDTIDIDQFLEQVMLHWFAMYDSQVAFMQKLFHSMDHDNNGVLEFHEFRGN QKVFFGGLRMIVIRGFL H257_07917 MIYIRSLGAAIYADYDVRLADSRRPIVSAVDWYDHGMAYFEQSE QLRGQLVRVQAQLAAAETEAERLKDEVEMLTSQCDALKRLRGRNTRPSLVMQLHDMGA MDKVDMLFKTFQSFDAPNRRNILVGLIQTVEQNLSAEMLFDVVQAMAHDEGERLAEML VREYGVSAVKKPTANEHMKKLKRFVTGFGSTLKEVNKPAIGTPSDVVDQTNNAAELQA ERATFEKMLLLKTEMQSLKENHEVELQFELDKTKLLEEECKELMTKYQDALKRIPKPA QVMDHGVQVDMAAADATKRKKKRGDDLDGLDEDGFRGISDVIADANLPAKKIRKIFSK KHAMALDDVAGTIASLYQAKMTQDIHDDYNGKPRSGFLGLVEDLFILYYGLKELAIGQ LICIDTAIHKFCENNARVRLFGILIGSNAAVCATQPMGPSPEAIDFFLFLVGVLFHVG HYTTQYDHALAVAKLLKSRFGDGIPHSPHSTRINVQEAVHVVQIAFAFDSTTDSSEHT ECIQLVQALALNDTIDIDQFLEQVMLHWFAMYDSQVAFMQKLFHSMDHDNNGVLEFHE FRGMVHKLDPEMTQRDSLVMYNRVAGADNVIDCKEFVACMISHQQHLILKTYFGNATL RPNPGRRASLKFQPEKTLMHLSTLRDTVKSTAQLPMDDSATKEVGSDEDNERTIGHAE EMSGGALPRRESFAQLPCNILGRLREMATRGLSDEFIVEDNKGYGLTGPASASKRRSF SSEDWDTNMDDIINSVIARDGELG H257_07918 MTSKTIPRKQPMSPSSSKPSESMSPRHNTSAARTSSNPEDIMML FDKICSDYFMARYASTDSGRHILLSSLTKLCRVINRQQVSDKMNARIAAYCDALVADA RKKEHDMKRAAKDDIQIEVALRDWMKPKFLHLLEEMRLEFELNYTGSMPDVVGKEVAA LGEIRIEGWLRKKGAHVNLWRERYFMIRSSANGTHILCYFRKKGDREPRGWYVLGPGC TVDEVRESPSLMESKKLFTFRIRHYSNKLTDDNSNGSSEEGADMLPPPPQTASVQTSQ PPPPFPSHPPSLLSSSSSGDGFDFNFDPKANIKKARMKKMAVAATAATAATATLVLTG GLAGIGMVGVGAAAFSSAALTASAGSYLTKTHTAPIALAAESLETAIWWRNCLLECIT QAEHHWRKYVQWYLAQDDNDESLGDPMLVAPPASIGPTPRPIKKQLAWLRHVLVGATH RSWTLHAQTTNLRVHRLRHPTTTHAAVLKASLSIPGASAAQVFDMLYQLDSPFYMANS VIQHAKIVASVDTHNDVMWWQLHPVALWPVVAEARDAVLARHWRRDANGTYVLVLHST SHRDCPSTRHVRADVVNASFVVAPPSVEYVSSDNDDTSCLVTFVLHINPRGWLDSAVA AHLAYPHSFTVAMLDMLVDLKGACVAQQYKPPPKTT H257_07919 MYEVVLHVYDLTRGMARQMSPALLGRQIEGVWHTGVFVYEKEYF FGGGIQAIPPEYVVETYGSPVQVLRLGTTQVPHEAFMAFLQDVSPRFTAASYNLLTNN CNNFSNEVVNFLVGTSIPQHILDLPNEVLSTPMGAMFRPMIEQMQANMAVNVQSHCGG NPLPSFPAPSFLTAPSHVAARQPTAAAATVVPKSLDSYTRPLICGDPALHVDRILSHV SAVHSLSSEDLAAFQRLAAYAKATSPPSPLPDDDRSMWWPALLRLLRQTTSNNSHPFM ALCLVRVVVLVAEVAPAATPPPPDAASVVALLVDHVDQPSAETFSSALRIVYLSLLAT ILATPSAAALLAPHALRFLPFVWSTWHRLPRSHPAAAVASAIVFNFAQTVDLALDWTV QFTVVGGVAETLDMYATSSVELSTHDQEAVERLVAALGRLLRQVPSARALAVEVGLVH VLTRLKPKVAATHGALTAQVLGLI H257_07920 MPSSPRALRFPETLMQVLADPNTAVMWVDNGTSIQFTCVHQLSQ EVLPRYFRHNRWTSFQRQLNNYGFLKKRLGGNATTYTYWHPLFVRHKPELLHLITSKR SPQSPDVPSPKNLSHDPPLKKLSPRMLHMNAIDNPAMHRAVLEFHGDVEAYPSEPVHD LSNLVWLDDLLQITPCEAAATGDQTEKGQVALIKSKPQTHARNNKCRLGEVSSWLRCG GKERSPT H257_07920 MPSSPRALRFPETLMQVLADPNTAVMWVDNGTSIQFTCVHQLSQ EVLPRYFRHNRWTSFQRQLNNYGFLKKRLGGNATTYTYWHPLFVRHKPELLHLITSKR SPQSPDVPSPKNLSHDPPLKKLSPRMLHMNAIDNPAMHRAVLEFHGDVEAYPSEPVHD LSNLVWLDDLLQITPCEAAAVTL H257_07921 MYRRLQHIKPKPAQHSMLSRDMSHIRKLMAANRGEIATRIMRAG NELGIRTVGIFSAEDRFTQHRYKADESFLVGKGKSPVAAYLDIDSIVKIAKDNHVDAV HPGYGFLSENVDFARKCAANGITFVGPTPENLATFGDKTAAREIAIKHNVPVVPGTDG PVSSLEQARKFIDSGVGYPVIIKASMGGGGKGMRVVHNAAELEANFLRASSEALAAFG DGTVFIERYVYKPRHIEVQILGDGKGNVVHLYHRDCSVQRRHQKVLETAPAVGLSKEV EAAMINDAVRLTSAAKYKNAGTVEFLVDKEGRHYFIEVNPRIQVEHVITEEITGIDLV QSQIRIANGDSFESLGLVQDKISVRGHAMQCRVTTENPALDFQPDSGVIEVFRSAGGM GIRLDDGPGFVGAHITPHYDSLLVKVTAHALKREDCVRKLKRALSEFRVRGVTTNTSF LQHVLAHPDFVRGHVDTSFIADNPHLVAASKSTNRGQKMLRYIGNTIVNGPEKDLGAT GPAPSAMDPLVPVLSAPSAPRVGKSLRQIYASEGPAAFAKAVRDHKGLLLTDTTWRDA HQSLLATRVRTRDLEAIAPATAIALRDAYSIEMWGGATFDVSMRFLREDPWERLANLR ALVPDVPFQMLLRGANAVGYTSYPDNVVFKFCEKAQQTGMDVFRVFDSLNYVENMRLG IDAVGASGGIIEAVVCYTGDVSDPDRGPYNLDYYLNYTRQLVDLGIHVLCIKDMAGLL KPQAATLLVSAIRAEFPDLPIHVHTHDTAGTGVSSMLACAYAGADAVDCATDAMSGTT SQPSMGALVAALKNTELDTRINPEHINDINDYWETMRGVYAPFESGQKSGSADVYHHE MPGGQYTNLLFQSNQLGLTGQWPAIKKAYATANKLLGDIIKVTPSSKVVGDFAQFLVQ NKLSEDDVLAQAETLSFPKSVVEYFQGYLGIPHHGFPEALRSKVLKGRLLPNGKSVFE GRPGAELAPYDFTAAHRELVEAYGSDNISELDVLSHAMYPAVFKNFMEFKDKYGSLHF LDTRTFLTGLSVDKEIELEIEHGKTVFVRLVAVGGISKKDGTRDVIFELNGRQRVIKV ADENASVGKIEKLKASPNVPGSVGAPMPGVIVEVKVQRGQQVKAGEPLCVLSAMKMET VVAAPVSGKVKELHVVVGDSLKAGELVVEIDESGEKEL H257_07922 MSTASAPSSPTSNHDLMCTKKRKVGVPKFLRYLYQILDKEDHTV IAWANGGSSIQILDTDRMAQYILPKYFKHSKYASFQRQLNYFGFRKWTKSQTNICTFS HPDFRQNRPDRLYLIKRKNSPESSRKKVPSQKSTNSHNNMHFRQPHHTLMHLNSMPDF LAASSTPTSYHRMFPADLKEFKQQPSYVMGDIENLHIQTDGPSFNLLDMSSIFAPTPL PLPPTTLLPDNKEDDPANHFDFDMNCAWLDNFNPAESVTNAFGQPEYA H257_07923 MRVIKAYFGQVRQGHRIRKATSVMQKRKKDSVTKVVNDFEALSI SDKEVVITRVLANHKKDFNLEKHFADADVNQDGKLTAVEFHSYITSQFLPKTLSSSIG HSSMEPPTAHQLRLVMLASGIPFIGFGVVDNLIMLTAGDFLETNIRLMFPISLVAAVG LGNCVADVAGLSLGGVIESFARKLGIQDPQLSSTQARMPHVRAVSYFSQAIGISFGCL VGMFPLLFMDFDDEDEDEVKQAVKKRYRVRMSASPEHHEDHNAVKLAIE H257_07924 MQPVSSVALALAMLSVWLFTSQAVAASSPPHPTGRSVADNARLA IAFVQSQMALYPIPGMGLSVVYENETVLSYGFGTKEFGNPNAPVTSDTMFQIGSFSKT FIAMGIAKLVDEGRMNWTDTVKTHLPWFKLYDKYAEQHTTLADLLAMNSVFGDHEGDV PFMIGVFETEREMVQRLADLPTSRRLRPGYAYSNVNYAILGQVLEAATNQTWEAYLNQ TIWQPLGMTSTVGRVASLPTHQLAQLSSGHLFCKHHVAGPFNLVNDSSIYMTTDNSYI AAGSIITSIADISKFSAFLLRKGRGIFSSNSRVVADMLTGHSISTSLGGPGSPVYMYD DVRARSGNVWGVGYGFDLVGPAMFGHRYFDKGGDTITFKTRNGWVPQRELGVVVVANA QRMGGDAAAGTAVDRVAAYVLGLFMNEPVEGLDARLQRALDIVHARRASVPPTPVCDV HVFQGVPMEKDVGNQPTSLDGVRDVVGTYVAPFYGRVTVTWQDEKLTMQYGQYAGRLL ATETKGVYTWAARTFLADAPVEWSSTNHSRVIEFAGATFVSE H257_07925 MVMNKSSKMLNYINYRMKVTLADGRTLVGTFMAFDKHMNLVLGD CEEFRTLKNKLKGAAASVKEERVQKRMLGLVLLRGENVVSLTVESPPPAHEVEGLGNM GPGFGRAAGRGLPAAPIGIPMGLAAPMRGVGGPAGMLQPGQVAAQAPAQSYGRGIPPR GPPPGMLPPRGPPPPGMFPPGMLPPGMLPPGMLPPGMRPPPGLGMPPPPPPGGPPMMR PPPGQ H257_07925 MNKSSKMLNYINYRMKVTLADGRTLVGTFMAFDKHMNLVLGDCE EFRTLKNKLKGAAASVKEERVQKRMLGLVLLRGENVVSLTVESPPPAHEVEGLGNMGP GFGRAAGRGLPAAPIGIPMGLAAPMRGVGGPAGMLQPGQVAAQAPAQSYGRGIPPRGP PPGMLPPRGPPPPGMFPPGMLPPGMLPPGMLPPGMRPPPGLGMPPPPPPGGPPMMRPP PGQ H257_07926 MSIINATAREANNCKLISGTFETLVQIGLGIIALSVLVLKRTYE KPQRPFQVWAYDASKQAIGAGVAHAANLFIAILLVKLAGSEDAQDECSFYFINFTLDT SLGVLLNWLLLRTTVAAALHFNWTWLQVPGFYGNPIQAKVWLTQLLSWIAIVLTAKLV IARAIYAFSSPLNAFGNWLFEPLSNYPKLELLFVMVACPCLMNALQFWVTDNFLKKPA AQDARLIVDEKTPLV H257_07926 MSIINATAREANNCKLISGTFETLVQIGLGIIALSVLVLKRTYE KPQRPFQVWAYDASKQAIGAGVAHAANLFIAILLVKLAGSEDAQDECSFYFINFTLDT SLGVLLNWLLLRTTVAAAVRISPSFCRIHPRVSCSSTSTGRGSKCPGSTATPFKPRSG SRNCCRGLPSC H257_07927 MPPKKVRKVEEGASATTADETASVPPPAPTTDTTTALLKCDVCG DSSFPSRNKLFRHLKDCAVNLAFHTVNTALVETPTDVTNVYYYVTGGRLRGRTLGSVE RYSLHRKCWEAAPSMQENRGSHGAVGVGNQLYILGGGGFRSNLATCERLDITNDKWTA IAPMTTYRHALAVVHVPELKSIYCIGGWVNGSKCSPVLEKYDVDNNSWTTCTPMDVPR RLLGATAFESKLYVFGGNADDHEDKDKKWYTDAVESYNASTNAWTRLAPLPTPGPCSA VTVGAFIFVFLHGKSVLRYDPAADTFTTLAPLPLAEWFCFDAKALGHTVYVNGGITKG VWSKAFYLYDTLANTWTELPSMQTARRRCAAALVHAA H257_07928 MEIDDDILEMWTVEGPSKSKVFIDHVKAPPDQDMPSSFSKQVTT SVTVHPDMLRLRAVYLQEHQLLVGEMTSLGQDVDRQRRDLDIEELYMDQVLQQPVTCS EHEQSVRDLQERLANKRRSHKAAKIRFLQLKRNVLLQHQSEFATLPCLNGTLQLRRLL GRGASSEVWQAHCFSTDSLVAVKLSANIQNATVEFDNHKLVTAHSSRYAVPVHTLAHT TFHQREYAIMTMDCMNCDLAHYLETNGPCAPLLARHILHQLALATTYMHENNMAHGDL KPGNVLVKDVSAVTVQLTDFHLARPRYDPIDVGVNASPSYAPPEWYLLPTTADSCEAG TTYEKADVWALGVIYYQSLFTRHPMGSFSSKHELQHNMRLYSSSHPTSTSSLHFPTAI PAMDMVILARCLHPDWTARPTAMELLAMLSQLT H257_07928 MEIDDDILEMWTVEGPSKSKVFIDHVKAPPDQDMPSSFSKQVTT SVTVHPDMLRLRAVYLQEHQLLVGEMTSLGQDVDRQRRDLDIEELYMDQVLQQPVTCS EHEQSVRDLQERLANKRRSHKAAKIRFLQLKRNVLLQHQSEFATLPCLNGTLQLRRLL GRGASSEVWQAHCFSTDSLVAVKLSANIQNATVEFDNHKLVTAHSSRYAVPVHTLAHT TFHQREYAIMTMDCMNCDLAHYLETNGPCAPLLARHILHQLALATTYMHEVGRTSLSQ YLMCFLVEQHGPRRLEARQRVSQRRVGCDRPAHRLPSRPTKIRSD H257_07929 MGFIDVLRSSLNKRPAKLIASPKRASVAAIFRHSPLDPRDKVQL LYIRRKVNPRDTWSGHMAFPGGRTNEGEADLAAAIRETHEEIGLHLNETHVVGRLNDR PVYYGRTVAAPFVFLLGRDDAAFEPVLQAKEVSDVLWVDLDFLVTAPIQTLQIPTKYI LPNVDDIPATVDEAQRDSLKAMTHINFPCIYLDRPERRVHGLPDDAVARPVHDFVLWG LTYNMTSDILKAGGHKALPSMSAAVRSVREAVFMDKMAKSNL H257_07929 MVNPRDTWSGHMAFPGGRTNEGEADLAAAIRETHEEIGLHLNET HVVGRLNDRPVYYGRTVAAPFVFLLGRDDAAFEPVLQAKEVSDVLWVDLDFLVTAPIQ TLQIPTKYILPNVDDIPATVDEAQRDSLKAMTHINFPCIYLDRPERRVHGLPDDAVAR PVHDFVLWGLTYNMTSDILKAGGHKALPSMSAAVRSVREAVFMDKMAKSNL H257_07929 MAFPGGRTNEGEADLAAAIRETHEEIGLHLNETHVVGRLNDRPV YYGRTVAAPFVFLLGRDDAAFEPVLQAKEVSDVLWVDLDFLVTAPIQTLQIPTKYILP NVDDIPATVDEAQRDSLKAMTHINFPCIYLDRPERRVHGLPDDAVARPVHDFVLWGLT YNMTSDILKAGGHKALPSMSAAVRSVREAVFMDKMAKSNL H257_07929 MGFIDVLRSSLNKRPAKLIASPKRASVAAIFRHSPLDPRDKVQL LYIRRKVNPRDTWSGHMAFPGGRTNEGEADLAAAIRETHEEIGLHLNETHVVGRLNDR PVYYGRTVAAPFVFLLGRDDAAFEPVLQAKEVSDVLWVDLDFLVTAPIQTLQIPTKYI LPNVDDIPATVDEVRTVSIFVPSGRHCRPNETR H257_07930 MHVYASLLPEHVRSKVDAQEQFLLRDIQEFHTLLQGGHFDKLAG HHFRTVETYFKLKYTLPHALSVSLSHGLIQYVFSFRPALEKRVKALNTIMLVLKKTRK AFSDASEQAKVDWHTPLDEWEANFYASPLPLHNAADEYVSQYKAALLKFLAKARPHYA LDASLWTHLSADFARPNEEASLKAAAQLSLLWPAGADASALVGPWITLWGSVNSFSEW DFHWLRLFARVVKHQQRRETFDISQWAPHLEFILSKIQQAFNLPSDLGATPSKGKFPT VLGGWHGDKSSLYYASKLTVELLEASQTTHTLLQQLLSLLTPFYHPSSAGNAASAISD FVYYVSAFLSLRLGRDKALHRSLLPHTSLVTKLVDLSFLGLYAKSQSVSSKASFTLRN AIAILPSAAPSIVERILHGLDPSAVNQTHQAPSAISALTVCGPALLRGDLSWTDPYLP LILQWTLPGIDPNDDAKTSRTLQLYSVWLMYMPVADDTWRPARASSDVAAALTSAANA QLYAPRPTASPASVDALWRLGSSLETWVLVLLDRLFSLFRQQETESSSANGDKGGDTF QIALHTQLVLHQLFVQLSPPLYKLALQRVVDFVQSSSMGLVPGKAVAGLVRAASGPDP ELAIQKLLLPAAAAVVHPTTTPGDAHLLSHLRVVDGVVQRATGTSLVPHSALLRRVLA VATGHRNPKVVKVGCKILRHTLTRLTSTYQPDHSRSLPPAAWTDGVECGGLSSLYIGV TSSWGDVGLVWHEPSEAELLFAADLLDVFVVEAGTALLVGTPDDTNSTMTWRTALRGI LHAVRGAKGILWDRLVVKTKTTSDGPSPMLMQPIEAIESTLARHPAVIDSLLALRVNL LQTLHRVAAIWTDASMVVEDPRRTKGLRYIVRILSPLLHPRDAAPPANTHLYAKWRKL SARDVASAALHLKKPKTLASIPLWPRRMMQDRVQVLCSALLTTGSFEWSRAIRSHPDW FATPDLYADVVVGSLNDLLTLALADDSKTRQVAQAALDGGVLARYSPWLEARLPFLIQ VVHTSTNKDQVTGALHVLQLGRSLHTVWRRWSVMQQLLVALCHASEPKLRQWSPDDQI KTQTRALKVFFLVLHTRRDAADARTVGIEPLVSLEMQQSHWRHQLMHLACLLPWIRQD KTADVNRRLIWPVVLGAVRSDVPQLQHFGRILLSRLLKATTIGQGEPVPELVFAPDTL QALAKDMVANHKSVTADGHADTARWSLGVGELFELVQHERFPPLYATSFGQLAKDNIL RHHVMLVQRLARHAAAQGIDLAARWPLDTLVANTSDERRAALCTAGEILVGLATSSTS SFGLGWLQTILPKLSVPYATDWHDVVLLLTHHVPTLPWVPDLIEYVLSQVEVSFQSGD AADGNSTDGVGQVRWLMLVQPLLLLPPALATPSVLARMWTVAAAGLSHPYESVREQVG AVLYKLVLASTSSMLEKLVQGMTDGVAAATGVVEPAELNARKTLLHVIATYIHKGDSA HVSAAVLPLLSVVLDTQSYPDPDVARSARAVVDALANKLRVQHEDTFTAVLTGLEAAL VASWRTRGAALRFITVLNFHHGLRRWSKPRLQRLIVSCLADDKRDVQETAQYALRSFV RTLDDEDVASLATTFRESVAAARKARATKQKQLKRHRILVARRGPDWEVSAKALEALE GATDEMAMDARTVDGCYGMGALVLAYPYSVPAFVPAVVEELSLHLHVTGKGRLSNVPE MVKAVLLEFKRTHQDSWHQDKLAFTPSQLDAIQDSLISPHYYS H257_07931 MDEDIKWVDNVIAKLLRVQDKPVQTEVTDLDIDEINALCRCCRS IFLCQPMLLELNAPINICGDTHGQYADLLRLFEIGGFPDDKNYLFLGDYVDRAMQSIE TICLLFAYKVKYPTRMSLLRGNHECASINRIYGFYDECKRRFNVKLWRTFADCFNCMP VAAVVADKIFCMHGGLSPDLYKMDQIKNIRRPTDIPDEGLLCDLLWADPDAESHGWTE SDRGVSYIFGADVVEQFLETHDLDLVCRAHQVVSDGYEFFAGRKLVTIFSAPNYCNEF DNAGGMLVVDDKLLCSFKVLCSQEG H257_07931 MLLELNAPINICGDTHGQYADLLRLFEIGGFPDDKNYLFLGDYV DRAMQSIETICLLFAYKVKYPTRMSLLRGNHECASINRIYGFYDECKRRFNVKLWRTF ADCFNCMPVAAVVADKIFCMHGGLSPDLYKMDQIKNIRRPTDIPDEGLLCDLLWADPD AESHGWTESDRGVSYIFGADVVEQFLETHDLDLVCRAHQVVSDGYEFFAGRKLVTIFS APNYCNEFDNAGGMLVVDDKLLCSFKVLCSQEG H257_07931 MDEDIKWVDNVIAKLLRVQDKPVQTEVTDLDIDEINALCRCCRS IFLCQPMLLELNAPINICGDTHGQYADLLRLFEIGGFPDDKNYLFLGDYVDRAMQSIE TICLLFAYKVKYPTRMSLLRGNHECASINRIYGFYDECKRRFNVKLWRTFADCFNCMP VAAVVADKIFCMHGGLSPDLYKMDQIKNIRRPTDIPDEGLLCDLLWADPDAESHGWTE SDRGVSYIFGADVVEQFLETHDLDLVCRAHQVRLSIRFDCDQCGM H257_07931 MLLELNAPINICGDTHGQYADLLRLFEIGGFPDDKNYLFLGDYV DRAMQSIETICLLFAYKVKYPTRMSLLRGNHECASINRIYGFYDECKRRFNVKLWRTF ADCFNCMPVAAVVADKIFCMHGGLSPDLYKMDQIKNIRRPTDIPDEGLLCDLLWADPD AESHGWTESDRGVSYIFGADVVEQFLETHDLDLVCRAHQVRLSIRFDCDQCGM H257_07932 MDEYPHFPFSIYRRANLHDVCRRAALDMFGLHDLHQQIIAIVLV VMLSMDAINPVKAVCTIVGVDPSVVGWLHILLLLGLLYSNASDVLYFCIRVFLTSITS IFFKSIEIVGKDNIPLDGPVIFTGNHSNQFVDGLIVLMNCYRKVGFIIAEKSMHRPVI GSLAKAVGCIPFTRPQDEVIQGKGTVLMDDAAPPGSFVLIGQDTHFTTQLSAGDQVRA NGTTVKDSGEPVRVASVVDDTHAVLSAPLADMHGNVLGTSPATFGIFKKVNQTASLSE VNKALQRGQCVGIFPEGGSHDRTDLLPLKAGVALMAFSAKQSLNLTVPIVPVGLNYFR GHKFRGRVVVEFGTPITVTNDKLDEYNADKRKACNALLNEVQDGMRSVIVATPDHNVL QGVYTARRLYQQAGIKWSPKVTQDLNRRFAEGYKILQDKPDVASLAAKLGEYRRTLKQ LGLPDHQVPYVAFVTVHDALASAMYGAVIFALASIPSFVLNAPVGLVARYVAAAEQTK ALAGSNVKIAARDVVLSKKISFSVVAVPVLWLGYALLMFAFTDWSASNIGLVTLSFPL CSYFGVRSVEAGIVEMRTLRPLLNRLRPESKRIQDELPLRRMHLQKEVRQIVKKYAHE LGPLAASAPVDWAAFMHTHVKHERDDEDARQGGNATHEKKRV H257_07933 MGGLNDFSVQVTVLWLLMMLSMDALNPVKAISSFLAVDSTIFGW LNFVVLVGVLYHNLSDVIYFCVRVFLNSVLSIFFKSIEIVGKDNIPLDGPVIFTGNHT NQFVDGIVVMMNSFRKVGFLTAEKSMKLPVVGHIAKAMGCLPIVRPQDAVVTGVGRVY MDSSSTESHVLMGEGTSFTRDIGPGDQVRANGKVVKDSGAPVKVETVLDDTHLVLASP LVDRAGAVVTSATAFGIFKKVDQSTTFTEVYTALKRGQCVGIFPEGGSHDRTDLLPLK AGVALMAFGAKDQYHITVPVVPVGLNYFRGHRFRGRVVIEFGAPIAVTNENMDEYKAD KRKACNAFLSAVEEGMRSVIVTAPDYNVLQLVYTARRLWQPSGVKLTPKDTQDLNRRF AEAYKVLSDVTVAQDDLAQLGLKLDEYRKSLTLMGLKDHQVPHIAWWSLHDVLASAIY GLVIFALASIPSFVLNAPVGLVARYVARAEQTKALAGSNVKIAARDVILSKKISFSMV AVPSLWLSYAVLAIVVTDWRWSSIFLLTASFPLFSYFGVRSVEAGIIELKTLRPLYYR LQPKYRKIQDELPQRRARLQMEVRMFVKKYASLLGPLAESTPMDWSAYMHLRHGKGGV EENDEPVPETPTITTEHDLSGFLRAHKTPSMINLGSLDMEGGAASSLTPNSPLESKKV V H257_07933 MKLPVVGHIAKAMGCLPIVRPQDAVVTGVGRVYMDSSSTESHVL MGEGTSFTRDIGPGDQVRANGKVVKDSGAPVKVETVLDDTHLVLASPLVDRAGAVVTS ATAFGIFKKVDQSTTFTEVYTALKRGQCVGIFPEGGSHDRTDLLPLKAGVALMAFGAK DQYHITVPVVPVGLNYFRGHRFRGRVVIEFGAPIAVTNENMDEYKADKRKACNAFLSA VEEGMRSVIVTAPDYNVLQLVYTARRLWQPSGVKLTPKDTQDLNRRFAEAYKVLSDVT VAQDDLAQLGLKLDEYRKSLTLMGLKDHQVPHIAWWSLHDVLASAIYGLVIFALASIP SFVLNAPVGLVARYVARAEQTKALAGSNVKIAARDVILSKKISFSMVAVPSLWLSYAV LAIVVTDWRWSSIFLLTASFPLFSYFGVRSVEAGIIELKTLRPLYYRLQPKYRKIQDE LPQRRARLQMEVRMFVKKYASLLGPLAESTPMDWSAYMHLRHGKGGVEENDEPVPETP TITTEHDLSGFLRAHKTPSMINLGSLDMEGGAASSLTPNSPLESKKVV H257_07934 MSAPVDASPPTTMRVNLKFIDEEAIAMIVDRGISIADLKAQIMR PTGTAVERQRLIYKGKVMRDHMHLHNYNFMDGDTVHVVVNPPRSTPTTTSSSPAQPSS LPPTPTPRDNPLAVRSTNQEQQPHTHAPRALMAVEFTASPTIQRGRHRSLSREQAVNA LALQGTRLRQAAERMSRALPSSSSISPYEHASNDFINAVVSLSRQVASVAETLRPLLA YPAEDLANDPATRHSVADTLNLLEQLEEFVSSVRDAVHNDTATTVVHMMNHDNNPSAM NVMMTLLQAVGSAVRGVTTTTQPAEQVAAGSFSPVPPSTHAPLSDARSSLLGTDATPL SALMDVVFQQMQTFYVSAQDAARPLHEMPDEFLEIVHQPLRHVLRTALGFADNDVEPL QLRKRVKAWAKAASRQYDVLLRQAMGAVHQNSRVWTDETRHTPWFISLADLVLATSSS SHFAASLRQWGRRGLSLLLHEVVAGTATDDEHVEDGLALAVVGHIAHTTTDVARDRGA VDDAGLSAVRHVVLSVARENLEALAGRVTSAVHAP H257_07934 MSAPVDASPPTTMRVNLKFIDEEAIAMIVDRGISIADLKAQIMR PTGTAVERQRLIYKGKVMRDHMHLHNYNFMDGDTVHVVVNPPRSTPTTTSSSPAQPSS LPPTPTPRDNPLAVRSTNQEQQPHTHAPRALMAVEFTASPTIQRGRHRSLSREQAVNA LALQGTRLRQAAERMSRALPSSSSISPYEHASNDFINAVVSLSRQVASVAETLRPLLA YPAEDLANDPATRHSVADTLNLLEQLEEFVSSVRDAVHNDTATTVVHMMNHDNNPSAM NVMMTLLQAVGSAVRGVTTTTQPAEQVAAGSFSPVPPSTHAPLSDARSSLLGTDATPL SALMDVVFQQMQTFYVSAQDAARPLHEMPDEFLEIVHQPLRHVLRTALGFADNDVEPL QLRKRVKAWAKAASRQYDVLLRQAMGAVHQNSRVWTDETRHTPWFISLADLVLATSSS SHFAASLRQWGRRGLSLLLHEVVAGTATDDEHVEDGLALAVVGHIAHTVSCVSKHIVF MHCMEL H257_07934 MSAPVDASPPTTMRVNLKFIDEEAIAMIVDRGISIADLKAQIMR PTGTAVERQRLIYKGKVMRDHMHLHNYNFMDGDTVHVVVNPPRSTPTTTSSSPAQPSS LPPTPTPRDNPLAVRSTNQEQQPHTHAPRALMAVEFTASPTIQRGRHRSLSREQAVNA LALQGTRLRQAAERMSRALPSSSSISPYEHASNDFINAVVSLSRQVASVAETLRPLLA YPAEDLANDPATRHSVADTLNLLEQLEEFVSSVRDAVHNDTATTVVHMMNHDNNPSAM NVMMTLLQAVGSAVRGVTTTTQPAEQVAAGSFSPVPPSTHAPLSDARSSLLGTDATPL SALMDVVFQQMQTFYVSAQDAARPLHEMPDEFLEIVHQPLRHVLRTALGFADNDVEPL QLRKRVKAWAKAASRQYDVLLRQAMGAVHQNSRVWTDETRHTPWFISLADLVLATSSS SHFAASLRYEHCA H257_07934 MSAPVDASPPTTMRVNLKFIDEEAIAMIVDRGISIADLKAQIMR PTGTAVERQRLIYKGKVMRDHMHLHNYNFMDGDTVHVVVNPPRSTPTTTSSSPAQPSS LPPTPTPRDNPLAVRSTNQEQQPHTHAPRALMAVEFTASPTIQRGRHRSLSREQAVNA LALQGTRLRQAAERMSRALPSSSSISPYEHASNDFINAVVSLSRQVASVAETLRPLLA YPAEDLANDPATRHSVADTLNLLEQLEEFVSSVRDAVHNDTATTVVHMMNHDNNPSAM NVMMTLLQAVGSAVRGVTTTTQPAEQVAAGSFSPVPPSTHAPLSDARSSLLGTDATPL SALMDVVFQQMQTFYVSAQDAARPLHEMPDEFLEIVHQPLRHVLRTALGFADNDVEPL QLRKRVKAWAKAASRQYDVLLRQAMGAVHQNSRVWTDETRHTPWFISLADLVLATSSS SHFAASLRYEHCA H257_07934 MSAPVDASPPTTMRVNLKFIDEEAIAMIVDRGISIADLKAQIMR PTGTAVERQRLIYKGKVMRDHMHLHNYNFMDGDTVHVVVNPPRSTPTTTSSSPAQPSS LPPTPTPRDNPLAVRSTNQEQQPHTHAPRALMAVEFTASPTIQRGRHRSLSREQAVNA LALQGTRLRQAAERMSRALPSSSSISPYEHASNDFINAVVSLSRQVASVAETLRPLLA YPAEDLANDPATRHSVADTLNLLEQLEEFVSSVRDAVHNDTATTVVHMMNHDNNPSAM NVMMTLLQAVGSAVRGVTTTTQPAEQVAAGSFSPVPPSTHAPLSDARSSLLGTDATPL SALMDVVFQQMQTFYVSAQDAARPLHEMPDEFLEIVHQPLRHVLRTALGFADNDVEPL QLRKRVKAWAKAASRQYDVLLVH H257_07934 MSAPVDASPPTTMRVNLKFIDEEAIAMIVDRGISIADLKAQIMR PTGTAVERQRLIYKGKVMRDHMHLHNYNFMDGDTVHVVVNPPRSTPTTTSSSPAQPSS LPPTPTPRDNPLAVRSTNQEQQPHTHAPRALMAVEFTASPTIQRGRHRSLSREQAVNA LALQGTRLRQAAERMSRALPSSSSISPYEHASNDFINAVVSLSRQVASVAETLRPLLA YPAEDLANDPATRHSVADTLNLLEQLEEFVSSVRDAVHNDTATTVVHMMNHDNNPSAM NVMMTLLQAVGSAVRGVTTTTQPAEQVAAGSFSPVPPSTHAPLSDARSSLLGTDATPL SALMDVVFQQMQTFYVSAQDAARPLHEMPDEFLEIVHQPLRHVLRTALGFADNDVEPL QLRKRVKAWAKAASRQYDVLLVH H257_07934 MSAPVDASPPTTMRVNLKFIDEEAIAMIVDRGISIADLKAQIMR PTGTAVERQRLIYKGKVMRDHMHLHNYNFMDGDTVHVVVNPPRSTPTTTSSSPAQPSS LPPTPTPRDNPLAVRSTNQEQQPHTHAPRALMAVEFTASPTIQRGRHRSLSREQAVNA LALQGTRLRQAAERMSRALPSSSSISPYEHASNDFINAVVSLSRQVASVAETLRPLLA YPAEDLANDPATRHSVADTLNLLEQLEEFVSSVRDAVHNDTATTVVHMMNHDNNPSAM NVMMTLLQAVGSAVRGVTTTTQPAEQVAAGSFSPVPPSTHAPLSDARSSLLGTDATPL SALMDVVFQQMQTFYVSAQDAARPLHEMPDEFLEIVHQPLRHVLRTALGFADNDVEPL QLRKRVKAWAKAASRQYDVLLVH H257_07934 MSAPVDASPPTTMRVNLKFIDEEAIAMIVDRGISIADLKAQIMR PTGTAVERQRLIYKGKVMRDHMHLHNYNFMDGDTVHVVVNPPRSTPTTTSSSPAQPSS LPPTPTPRDNPLAVRSTNQEQQPHTHAPRALMAVEFTASPTIQRGRHRSLSREQAVNA LALQGTRLRQAAERMSRALPSSSSISPYEHASNDFINAVVSLSRQVASVAETLRPLLA YPAEDLANDPATRHSVADTLNLLEQLEEFVSSVRDAVHNDTATTVVHMMNHDNNPSAM NVMMTLLQAVGSAVRGVTTTTQPAEQVAAGSFSPVPPSTHAPLSDARSSLLGTDATPL SALMDVVFQQMQTFYVSAQDAARPLHEMPDEFLEIVHQPLRHVLRTALGFADNDVEPL QLRKRVKAWAKAASRQYDVLLVH H257_07934 MSAPVDASPPTTMRVNLKFIDEEAIAMIVDRGISIADLKAQIMR PTGTAVERQRLIYKGKVMRDHMHLHNYNFMDGDTVHVVVNPPRSTPTTTSSSPAQPSS LPPTPTPRDNPLAVRSTNQEQQPHTHAPRALMAVEFTASPTIQRGRHRSLSREQAVNA LALQGTRLRQAAERMSRALPSSSSISPYEHASNDFINAVVSLSRQVASVAETLRPLLA YPAEDLANDPATRHSVADTLNLLEQLEEFVSSVRDAVHNDTATTVVHMMNHDNNPSAM NVMMTLLQAVGSAVRGVTTTTQPAEQVAAGSFSPVPPSTHAPLSDARSSLLGTDATPL SALMDVVFQQMQTFYVSAQDAARPLHEMPDEFLEIVHQPLRHVLRTALGFADNDVSWA STFDTFFYLVCLETRNIPETIGDQKYP H257_07935 MAVQSVASPVELRDEAAQGASCVTTSTCQICLEASDTVVLALCG PTCPAIVCSTCIQEYLEVQQKSLPAGVLSTVACPICLIPVAFERWRSVGSSEACIHTV DNIQLQLAASCDVLCPSCHAINNVLPPCKYQSGYDDSLRFDQREALAQFCRYELTISE LWPNIQSASHDQLLQYISHRVQDVERRASLFLRMMRSHPFITSTCCNARLCFTCKTRE HHDGVSCEASMMLNDMGQCPNCQITLVKGDGCDYVYCFCKFGFSWSWGLMWFKFSQAP ITAKARLRQVFVRYLYRRRFQRQVLVSMVLRIQVTKYRRLYVAICAFLRMRRWRRRLY AVILDCVATVTLIEIQSHRKPFLCVCEYLRRQMWRRRLVTNVLTSPAFHDAIGERRNA HCINIMTTQSHAIRGPMERLKTKMIDGLYRRRMDAVMVAITTKASWIAYWATVTEEDQ VALDDDMHSYLDIMGDFDI H257_07936 MATRVHLFQNVLTSPAFQSAIRKRREVHCIHTTLHQLEIRICMH SLWTRMICAVYRRRMDRVLDAVGVAVTTKSNWDSYSATVTAEYQVALVDDMHSVIDGH HGWL H257_07937 MVTQLYSSSCPSVAQQTLVSQQQPYLATGRTWLLWCACGGGSVA FKLWEMVGLDEATHLVLVSKRTQMVATCDFLCPSCDVTTNVLPPFTGASGGTTAISPA QRDLLERFCNYELSIAV H257_07938 MSVLGYVIMGFKHKFDLEKQVTFYMSYHSDPTNKTLHLLCIWPI LLSAIFLLCTTAPFVDVAQALHPYVVANAALVGVLIYVLWYIVLDPYAGTLAAALIVS MYVWSNVVIADAVAATGESPWRLALGIHVTAWIIQFIGHGVFEKRAPALLDAWDQAII TAPLFVLLEVLWVFGYRREMQARIEAQVAVNVATFKRERAQRLKASKTA H257_07939 MDSRLENLDNALGAVEEYLDVLTTKPVDELTTELAPIDKAKVQV GLAYSINALLYVLLKVQGVSSKDGRQTQVKQELDRVKGYIQKIKYSEEMAKGRQIRVD AEAAGRFINHALSSDQVYAEAAAATTDVKAADSAKKPPSTKKNKASSTAAKSTTDTPP KKRARK H257_07940 MFPPAPQSHVVLAMTKDDVYVHQFHRALNDVVEKLKLWGRSDAS VGGLHVDPELTLVSKLVYYLLTGTQSMGEEYCEIYPVQHDPESPNVVGIVSKLAWVVL ETVVPYVKQRAALGWTQLRPGEQEQRLQEARTRAREQMLLRRQGHTLIFSEASNDSSR RQQLEGYDTFGACLSAFDAWSKLTKDTIKRWEDRLGVDVPAAVSGLLQLHVALFFLRG TYLHLSKRMAGIRYILSYRPSPNTSFAQFSILGYMILIRAALTASFTLPTIVRAVMGT RLAPPSAASTHRVPCLAVPITPNPPPRQLPSSSPQRIKKCALCLAERSHPSATPCGHL FCWDCIVGWCQSKPECPLCRQLVLPQDIKCLYNYP H257_07940 MGEEYCEIYPVQHDPESPNVVGIVSKLAWVVLETVVPYVKQRAA LGWTQLRPGEQEQRLQEARTRAREQMLLRRQGHTLIFSEASNDSSRRQQLEGYDTFGA CLSAFDAWSKLTKDTIKRWEDRLGVDVPAAVSGLLQLHVALFFLRGTYLHLSKRMAGI RYILSYRPSPNTSFAQFSILGYMILIRAALTASFTLPTIVRAVMGTRLAPPSAASTHR VPCLAVPITPNPPPRQLPSSSPQRIKKCALCLAERSHPSATPCGHLFCWDCIVGWCQS KPECPLCRQLVLPQDIKCLYNYP H257_07940 MDTAATRGARAASSRGTDTGKGTNAPASSRPHPHLLRSLQRQQV RVVRNPPNIDHTPCSRRQQLEGYDTFGACLSAFDAWSKLTKDTIKRWEDRLGVDVPAA VSGLLQLHVALFFLRGTYLHLSKRMAGIRYILSYRPSPNTSFAQFSILGYMILIRAAL TASFTLPTIVRAVMGTRLAPPSAASTHRVPCLAVPITPNPPPRQLPSSSPQRIKKCAL CLAERSHPSATPCGHLFCWDCIVGWCQSKPECPLCRQLVLPQDIKCLYNYP H257_07941 MSAFDKKKPLLDDEDQLPESYKSVNMKSVQDAYRSVQSPRHSMY STIHAGNGVESMLAGGLDRFYEKYRALSSKVNLHLATPEVRFENLNYVVQARQMTLAE KQGTVGSYVGRMFTPFKQAVYKEQVVLQPMSGIIKPGSMTLILANPGSGKSTFLKALA GKLDQNKKCAQGGDITFSGLKTSDIDTKKIVGLVDQRDNHAPTLTVRETFKFADMCLN GPPESQPEELQEVAKLRTEMIIQLLGLSNCAETVVGDALLRGCSGGERKRVTVGEMLV GGQSVFLCDEISTGLDSAATFDIVTSLRTWCKTLGGTVVIALLQPTPEVVEQFDDILM LNEGYMEFEFNPENRYNYMLVLIGMWVILQTCIYLTLKYVSHLKR H257_07942 MVQYLYVALAAAATAVTAKISVKVHRNLEVSKQSNVVVKFHSDE AHDTHRRRLKAGASRTETIESLVDSLKEHTTTSQAAVKSLLAKQVESTAVEVATTWID CSMYIDKAPADLIKEIAALAGVKSIDEPVVIALDDYKIDDQPASAVDAVNQWGVNKIQ APALWDKGIKGDGIVVGIIDSGVRFTHESLKSNWRSEYGWFDPYNKTELPNDDTGHGT SVTGTIVGTQGIGVAPNAQWIACKGLHTTALHYQYLIAQCAQFMLCPHDKDGNNRDCS KAPHVINNSWGWYETNFWMEDMIAAWREAGIIPVFGNGNDGFEGCAYSLYPAASPQVI AVGSTDLSDSLASDSSLGPSVRNRLKPDISAPGFNIYSVSNDNDRSFTWQSGTSMAAP HVSGAIALYLSADNKDATYDQVYAALTNNVETDRLYPPNKTCGGISKTQYPNNLFGYG RLNAFKAVTAPPSTPRPTLPPLPPKCTAWNDYYEISGKDVKAVSQRTADNCCDECRNT PNCNAFSFTKDNGGTCWLKAEDKPVNWVYKEGSKSARVLNPTNYLTTCTTLEDNTHYV GGDFASTKQENAESCCGDCENTPDCKLFGWTNHSGGTCWLKYTKGTRTSVVGAKAGFL LAGPSSCGGVESNVDFVGQNVAQVPADQAADCCAACHIHQACNAYSWLGGVCYLKGRR AETKVASGVVSARVDKCSALESDVYYVGNGLSEVKADVADCCASCRQTSGCGAFSWAN GVCYLKSYKETSQANTSFISAVVI H257_07943 MPTATPPSSQPSSASSRGLRTLRHDDRVAIYESLLESSSNGRLP RGAFVQHAQTHQCHWKTISRVWTRAQESLRRGSLTADVASKIREIKMAVQGVDMYARQ TLRALWAHSGIPKTTLVQHMRDEKNLRARASYVRPHLTDANAVTRMKHAMSFLQPTSS GNQVFADMNSYIHVDEKWFFLTKVKRKFYAYSDEVVPASRVKSKRFITKVMFLAAVAR PRYDFQKKSMFDGKIGIWPFVVQLPAQRNSKNRVKGTMLTVPQSVTSDVYRAMILDNV VPAIKEKMPRNGQGGTIYMQQDNASPHNGVTSKMLLERGVQGIVTINQPPPE H257_07946 MSSNIDNISETIINLTGQRPLFNFTRSGLITLKITDLTMPQANA TARRTQLPTSSAHGNLTTEQRLAMYAMLLESTVSGKLSCGALKPAVGRPHYDHTKKRL FDGKIGIWPFVEIAPAQRSSKNRPK H257_07947 MGDADKAQYLGMTTAMDQQLPQIEYLMCFYHVIKKCYERRTELT NEEWRDVSFDIYLLHMSASRVDLGDLMAVVHDKWATSRGLRKFRRYFFSQWLPYNRAY SGTDDVRFWKWQVYHSPPGSSYTNNPTEHFNCELKDVRQLSYYIE H257_07948 MTLTTKAEGQRVQWVQEMRADGVPVTYSMLRIMMLESFIDLGLK EDEFRAGWHWVDGFKRRHGLSLRARTRIGQQTPDDGLDRYDIDVIYNADQTAVKSEYL PTKTLNKKGENTVWVKCGGKTKDRMTDMLLADNTGTKHTLFLVLRTPKSKIKAVIQEN LTMQQGFGKRLWGSVEPCKIRTVL H257_07949 MLHRASRSIRLPAWAALSAHTRCLSGYTSNQVGVKGTIDSRLVF VNASSGAAVSPWHDIPLRPVGEKSSYVFHFVNEIPKGTRAKMEIAGEEARNPIKQDVK NGNLRFYHFDSLVNYGCIPQTWEDPRHIDPATQHGGDNDPIDVCEIGSRVAAVGDVYP VKVLGVLGMIDDGETDWKVIAIATDDPLANKLHDLDDLHAHAPDTVATIRNWFRDYKI PDGKPPSAFAFDGRAQSRDFAVDVIEQTHASWKQLVHVNNQTKLWTK H257_07949 MLHRASRSIRLPAWAALSAHTRCLSGYTSNQVGVKGTIDSRLVF VNASSGAAVSPWHDIPLRPVGEKSSYVFHFVNEIPKGTRAKMEIAGEEARNPIKQDVK NGNLRFYHFDSLVNYGCIPQTWEDPRHIDPATQHGGDNDPIDVCEIGSRVAAVGDVYP VKVLGVLGMIDDGETDWKVIAIATDDPLANKLHGMVNILAFLVRPIVSPRKINKYAFI LDYLADFLDLDDLHAHAPDTVATIRNWFRDYKIPDGKPPSAFAFDGRAQSRDFAVDVI EQTHASWKQLVHVNNQTKLWTK H257_07949 MLHRASRSIRLPAWAALSAHTRCLSGYTSNQVGVKGTIDSRLVF VNASSGAAVSPWHDIPLRPVGEKSSYVFHFVNEIPKGTRAKMEIAGEEARNPIKQDVK NGNLRFYHFDSLVNYGCIPQTWEDPRHIDPATQHGGDNDPIDVCEIGSRVAAVGDVYP VKVLGVLGMIDDGETDWKVIAIATDDPLANKLHDLDDLHAHAPDTVATIRNWFRDYKI PDGKPPSAFAFDGRAQSRVRRNPFSSLDGMGILPFQPKIWEYLY H257_07949 MLHRASRSIRLPAWAALSAHTRCLSGYTSNQVGVKGTIDSRLVF VNASSGAAVSPWHDIPLRPVGEKSSYVFHFVNEIPKGTRAKMEIAGEEARNPIKQDVK NGNLRFYHFDSLVNYGCIPQTWEDPRHIDPATQHGGDNDPIDVCEIGSRVAAVGDVYP VKVLGVLGMIDDGETDWKVIAIATDDPLANKLHGMVNILAFLVRPIVSPRKINKYAFI LDYLADFLDLDDLHAHAPDTVATIRNWFRDYKIPDGKPPSAFAFDGRAQSRVRRNPFS SLDGMGILPFQPKIWEYLY H257_07950 MIACKTRLWGRYGAGHAPVTSRTTTTRPSSFTTASHQTGLFGLQ GLVRPDDFAALGARAIREIGRLKHRILSTPPGLSTIQDLDAISNEVCIVIDAAELCRN VHPDAQFRAAASNSFAQLSTLIQQLNTDTDMYQRLRDVTVDESLMATFTEEQRRVALL LRAEFERDGIHLDANGRANVISLQNDITRLSSEFQHNITTVREHIRVPQSALKDLPAS YMRHCSVQGSSVLVPTDSHVMHAIMKWIPDPAVRKQMYLAGNTSPKQNLHVLDDLIQA RHAVATTLGFPTYAHLATSDKMAESPDGVAAFLESIASNLMTKAKSERQLLAQAKRHH EPPNAGSIQQQLRTLFGQTTSSAHDDVESWDVPYYMGMLKARHHHLDSRVISAYFPVD RCIDGLRLICDQLFGVHLTDTAMAPHESWHPDVRKLVVTRHDRPVGVLFLDLYPRPNK YNHFAHFTIRCGKQLPTHYQTPVVALVCNFQQPTADTPPLLTHGEVETLFHEFGHALH SVLSQTEMQHVSGTRGQLDFVETPSHLFEYFAWDPRVVETFARHFDTNEPIPRSMVAN LRASKHMFSAMDTQTQCLYSMLDLTLFGTQPLPFTPPTTTQALQTLQNQHTLVPFPTG THWHTRFGHLVNYGAGYYSYLYARVFAADVWQHCFAADPWNPKAGQVLYDEVLRHGGA KDPMDMLVNVLGRRPTIDSFVNELGIRHK H257_07950 MQRSCVATCTRMRKMLVMLNELVLTPTIPSPCRQFRAAASNSFA QLSTLIQQLNTDTDMYQRLRDVTVDESLMATFTEEQRRVALLLRAEFERDGIHLDANG RANVISLQNDITRLSSEFQHNITTVREHIRVPQSALKDLPASYMRHCSVQGSSVLVPT DSHVMHAIMKWIPDPAVRKQMYLAGNTSPKQNLHVLDDLIQARHAVATTLGFPTYAHL ATSDKMAESPDGVAAFLESIASNLMTKAKSERQLLAQAKRHHEPPNAGSIQQQLRTLF GQTTSSAHDDVESWDVPYYMGMLKARHHHLDSRVISAYFPVDRCIDGLRLICDQLFGV HLTDTAMAPHESWHPDVRKLVVTRHDRPVGVLFLDLYPRPNKYNHFAHFTIRCGKQLP THYQTPVVALVCNFQQPTADTPPLLTHGEVETLFHEFGHALHSVLSQTEMQHVSGTRG QLDFVETPSHLFEYFAWDPRVVETFARHFDTNEPIPRSMVANLRASKHMFSAMDTQTQ CLYSMLDLTLFGTQPLPFTPPTTTQALQTLQNQHTLVPFPTGTHWHTRFGHLVNYGAG YYSYLYARVFAADVWQHCFAADPWNPKAGQVLYDEVLRHGGAKDPMDMLVNVLGRRPT IDSFVNELGIRHK H257_07950 MIACKTRLWGRYGAGHAPVTSRTTTTRPSSFTTASHQTGLFGLQ GLVRPDDFAALGARAIREIGRLKHRILSTPPGLSTIQDLDAISNEVCIVIDAAELCRN VHPDAQFRAAASNSFAQLSTLIQQLNTDTDMYQRLRDVTVDESLMATFTEEQRRVALL LRAEFERDGIHLDANGRANVISLQNDITRLSSEFQHNITTVREHIRVPQSALKDLPAS YMRHCSVQGSSVLVPTDSHVMHAIMKWIPDPAVRKQMYLAGNTSPKQNLHVLDDLIQA RHAVATTLGFPTYAHLATSDKMAESPDGVAAFLESIASNLMTKAKSERQLLAQAKRHH EPPNAGSIQQQLRTLFGQTTSSAHDDVESWDVPYYMGMLKARHHHLDSRVISAYFPVD RCIDGLRLICDQLFGVHLTDTAMAPHESWHPDVRKLVVTRHDRPVGVLFLDLYPRPNK YNHFAHFTIRCGKQLPTHYQTPVVALVCNFQQPTADTPPLLTHGEVETLFHEFGHALH SVLSQTEMQHVSGTRGQLDFVETPSHLFEYFAWDPRVVETFARHFDTNEPIPRSMVAN LRASKHMFSAMDTQTQCLYSMLDLTLFGTQPLPFTPPTTTQALQTLQNQHTLVPFPTG THWHTRFGHLVSRLKGEHTNKIILD H257_07950 MQRSCVATCTRMRKMLVMLNELVLTPTIPSPCRQFRAAASNSFA QLSTLIQQLNTDTDMYQRLRDVTVDESLMATFTEEQRRVALLLRAEFERDGIHLDANG RANVISLQNDITRLSSEFQHNITTVREHIRVPQSALKDLPASYMRHCSVQGSSVLVPT DSHVMHAIMKWIPDPAVRKQMYLAGNTSPKQNLHVLDDLIQARHAVATTLGFPTYAHL ATSDKMAESPDGVAAFLESIASNLMTKAKSERQLLAQAKRHHEPPNAGSIQQQLRTLF GQTTSSAHDDVESWDVPYYMGMLKARHHHLDSRVISAYFPVDRCIDGLRLICDQLFGV HLTDTAMAPHESWHPDVRKLVVTRHDRPVGVLFLDLYPRPNKYNHFAHFTIRCGKQLP THYQTPVVALVCNFQQPTADTPPLLTHGEVETLFHEFGHALHSVLSQTEMQHVSGTRG QLDFVETPSHLFEYFAWDPRVVETFARHFDTNEPIPRSMVANLRASKHMFSAMDTQTQ CLYSMLDLTLFGTQPLPFTPPTTTQALQTLQNQHTLVPFPTGTHWHTRFGHLVSRLKG EHTNKIILD H257_07951 MATLELEHVVGYTGRGKDTVHTHPRDPDVYLTSMGAAIVVARLS DPHAQEFLRGHDEEITSMAISRSGLLLASGQLPSSKRNSVGAMIVVWELNSRAELYQL QGFHCKIMKMAFSPDDHFLVASGADGRVILWDMRTSEVILTKSFPSPVAILNWGRVEE KSRRPKYTLTFACTSQLVVNDLSYDIACMQYKLESFPCSMPSTGLVRDYLSATMTQSK DMLLAGTIAGELVVFNTDARVFKSTIPISTNGVHSVVCDATSGFIYVGAGDGVLKKLV GAQVDWNLVGHVQLLGGIVGLAVSCDGSTLVAGTTAGKLYQVSTVDLRVREVATSHLA PVTSVAFGGGHSDSFVSLAKDGSMKVWDLSTYTVRCMAADNAAGRSVCYAPSPLQPGA TWIVTGWSDGWLRCYDATTGAKMWHISGAHRGDVTSVAATGKIVVSGASDGGVNVWSL TTRELLLQFHEHKRGVTQVLVDVTKPHWVHSCGLDRALFIYDLKAERRVVVHQVREGA FHTMSQRLDSENEIVTGGADGRMLFWDCDVTEHVKLMSDPNRMGISSLQVSPSGRYLA TGGEDCHVKVFDIQRDVLLACALGHSGGIQQVTWSPDERQLVSVGDDCCICIWNFFEE VE H257_07951 MATLELEHVVGYTGRGKDTVHTHPRDPDVYLTSMGAAIVVARLS DPHAQEFLRGHDEEITSMAISRSGLLLASGQLPSSKRNSVGAMIVVWELNSRAELYQL QGFHCKIMKMAFSPDDHFLVASGADGRVILWDMRTSEVILTKSFPSPVAILNWGRVEE KSRRPKYTLTFACTSQLVVNDLSYDIACMQYKLESFPCSMPSTGLVRDYLSATMTQSK DMLLAGTIAGELVVFNTDARVFKSTIPISTNGVHSVVCDATSGFIYVGAGDGVLKKLV GAQVDWNLVGHVQLLGGIVGLAVSCDGSTLVAGTTAGKLYQVSTVDLRVREVATSHLA PVTSVAFGGGHSDSFVSLAKDGSMKVWDLSTYTVRCMAADNAAGRSVCYAPSPLQPGA TWIVTGWSDGWLRCYDATTGAKMWHISGAHRGDVTSVAATGKIVVSGASDGGVNVWSL TTRELLLQFHEHKRGVTQVLVDVTKPHWVHSCGLDRALFIYDLKAERRVVVHQVREGA FHTMSQRLDSENEIVTGGADGRMLFWDCDVTEHVKLMSDPNRMGISSLQVSPSGTGRM MALS H257_07951 MQYKLESFPCSMPSTGLVRDYLSATMTQSKDMLLAGTIAGELVV FNTDARVFKSTIPISTNGVHSVVCDATSGFIYVGAGDGVLKKLVGAQVDWNLVGHVQL LGGIVGLAVSCDGSTLVAGTTAGKLYQVSTVDLRVREVATSHLAPVTSVAFGGGHSDS FVSLAKDGSMKVWDLSTYTVRCMAADNAAGRSVCYAPSPLQPGATWIVTGWSDGWLRC YDATTGAKMWHISGAHRGDVTSVAATGKIVVSGASDGGVNVWSLTTRELLLQFHEHKR GVTQVLVDVTKPHWVHSCGLDRALFIYDLKAERRVVVHQVREGAFHTMSQRLDSENEI VTGGADGRMLFWDCDVTEHVKLMSDPNRMGISSLQVSPSGRYLATGGEDCHVKVFDIQ RDVLLACALGHSGGIQQVTWSPDERQLVSVGDDCCICIWNFFEEVE H257_07952 MGAPAKARKSNMGIIVLVLALLLTMVVSFLFLAFFSYAPVLCAC CVGILYVIGLYLGFESKAWHHAQEFENRFWTVMAFLFSTALFYSKDSPFAIGRYSTSL GCVLVIAFTLAVQFLDRHIHREQLANQGRITRPQLTKDINTAHTKTSIIAQCVASVDP IYLPSTINLIVNGEQVKGQEQRVLDILMKAEKTELNYILGHIQLALLFYKVKDPCRTH ICQLLCETRVMELTVNSRAIVLDALMLMKLTAHAKGELWAKNILLRTTGDDLSILKST TDSKGTVHTMHQLVYHDIRSDAVRTAVLNHIQRQAKFQLAHMQISTKNIGGKRKQLEW RKVLSDVDDTLESSGGMWPAGVDQSYPRHTVYPGVITFYRELDLGPNNMAASSSTWED GRLGNLTFISARPHVYKDLSEKKSIKKFDRLHSTRGLHCLPSMLAGSVASGTAFVVKG DLEPMALKKFDNFREYYAIYPEYKHIFIGDNGQGDVRAAQLIADTYGSSVLEAGYFHL VQPLESTHGFTDKDTYKRQNIFFFDTYVGAAVQAYRLHQISKRGLQQVAEEASATFLA MQWKPHDADRREANRVKLNVDFTSANEILQEQSVPLIGKPQRYDVGTVLETPFGKGLI VAYNAVTGIYAVDLVEWWTRSANKQPAKAYLPEASLSRRPLNDFSLAAVTTTLPPSHN PGSYVRASMVSSMDPLLLSQGVLPLRTPVVTPFGPGVVLSYRPDDVYIVQVRHVGTLY CQRHVVSVPPDSTPSSRSSFVRSSIGYIAKKFKFMASPSQGPASSSSSSPNLLDDLTT LDERTFPEHSRVQTPFGVGVVVSYARDVYRVTLVDPALALVTVSVQTRHVTLLSPPGD SAHHHPFRLHTLVSVAPFGIARVQRFRPKHSIYQVQFVASSGGSMVGYVHASTVRPVV RPRRLVRQWAVHTKVQSPFGPGIVSAATNDAGVVGVTLSASRLENFQVFVQADALVEE LVPVRGSGLGLLSRLRRTTMWFDSKPPPEKDPVVAGGGAAEAAAPPPNPKLGKPVRTL WGPGVLEAVRPTDDVHVVAFKSGMLAFLDAASVGDVVAAAMGDVVKTPFGQGVVLGYR RRVFVVQFSYAVGYIQPRDLERLPDKAPPAACAIM H257_07952 MKAEKTELNYILGHIQLALLFYKVKDPCRTHICQLLCETRVMEL TVNSRAIVLDALMLMKLTAHAKGELWAKNILLRTTGDDLSILKSTTDSKGTVHTMHQL VYHDIRSDAVRTAVLNHIQRQAKFQLAHMQISTKNIGGKRKQLEWRKVLSDVDDTLES SGGMWPAGVDQSYPRHTVYPGVITFYRELDLGPNNMAASSSTWEDGRLGNLTFISARP HVYKDLSEKKSIKKFDRLHSTRGLHCLPSMLAGSVASGTAFVVKGDLEPMALKKFDNF REYYAIYPEYKHIFIGDNGQGDVRAAQLIADTYGSSVLEAGYFHLVQPLESTHGFTDK DTYKRQNIFFFDTYVGAAVQAYRLHQISKRGLQQVAEEASATFLAMQWKPHDADRREA NRVKLNVDFTSANEILQEQSVPLIGKPQRYDVGTVLETPFGKGLIVAYNAVTGIYAVD LVEWWTRSANKQPAKAYLPEASLSRRPLNDFSLAAVTTTLPPSHNPGSYVRASMVSSM DPLLLSQGVLPLRTPVVTPFGPGVVLSYRPDDVYIVQVRHVGTLYCQRHVVSVPPDST PSSRSSFVRSSIGYIAKKFKFMASPSQGPASSSSSSPNLLDDLTTLDERTFPEHSRVQ TPFGVGVVVSYARDVYRVTLVDPALALVTVSVQTRHVTLLSPPGDSAHHHPFRLHTLV SVAPFGIARVQRFRPKHSIYQVQFVASSGGSMVGYVHASTVRPVVRPRRLVRQWAVHT KVQSPFGPGIVSAATNDAGVVGVTLSASRLENFQVFVQADALVEELVPVRGSGLGLLS RLRRTTMWFDSKPPPEKDPVVAGGGAAEAAAPPPNPKLGKPVRTLWGPGVLEAVRPTD DVHVVAFKSGMLAFLDAASVGDVVAAAMGDVVKTPFGQGVVLGYRRRVFVVQFSYAVG YIQPRDLERLPDKAPPAACAIM H257_07952 MHQLVYHDIRSDAVRTAVLNHIQRQAKFQLAHMQISTKNIGGKR KQLEWRKVLSDVDDTLESSGGMWPAGVDQSYPRHTVYPGVITFYRELDLGPNNMAASS STWEDGRLGNLTFISARPHVYKDLSEKKSIKKFDRLHSTRGLHCLPSMLAGSVASGTA FVVKGDLEPMALKKFDNFREYYAIYPEYKHIFIGDNGQGDVRAAQLIADTYGSSVLEA GYFHLVQPLESTHGFTDKDTYKRQNIFFFDTYVGAAVQAYRLHQISKRGLQQVAEEAS ATFLAMQWKPHDADRREANRVKLNVDFTSANEILQEQSVPLIGKPQRYDVGTVLETPF GKGLIVAYNAVTGIYAVDLVEWWTRSANKQPAKAYLPEASLSRRPLNDFSLAAVTTTL PPSHNPGSYVRASMVSSMDPLLLSQGVLPLRTPVVTPFGPGVVLSYRPDDVYIVQVRH VGTLYCQRHVVSVPPDSTPSSRSSFVRSSIGYIAKKFKFMASPSQGPASSSSSSPNLL DDLTTLDERTFPEHSRVQTPFGVGVVVSYARDVYRVTLVDPALALVTVSVQTRHVTLL SPPGDSAHHHPFRLHTLVSVAPFGIARVQRFRPKHSIYQVQFVASSGGSMVGYVHAST VRPVVRPRRLVRQWAVHTKVQSPFGPGIVSAATNDAGVVGVTLSASRLENFQVFVQAD ALVEELVPVRGSGLGLLSRLRRTTMWFDSKPPPEKDPVVAGGGAAEAAAPPPNPKLGK PVRTLWGPGVLEAVRPTDDVHVVAFKSGMLAFLDAASVGDVVAAAMGDVVKTPFGQGV VLGYRRRVFVVQFSYAVGYIQPRDLERLPDKAPPAACAIM H257_07953 MMHRFHAATALVTRRSSAFASHLTLRNATTSSPSSPPLRVLFFG TDNISLATLKRLHASMIGQSKATQPPLIQDIEIICPPDKHMGKARHKAPVPVKAFALE HNLVVHHIPDNVKSLKDWAMPPSAAPFDIGVVVSFGYFIHPHMLANVKHGAINMHPSL LPKYRGPAPIPRALLHGDTTTGISVIEIDPLAFDVGRILLQKELPIPPTSTYHSLSAH LAEEGAECVVQVLQDLDEKKKHAVVQDNAVATTAPKIKREHGIVTWNEPQDQLYNMWR AVGETVGVMCAFASKSVMLIEMHVPSVADVAAMETHMATWTSPPHLDQLDAGSFFYDR FYNALWVRTADTKWVVVTKVQPAGRKVFTPADFANQNKLHPRTQYEFDAVLKE H257_07953 MMHRFHAATALVTRRSSAFASHLTLRNATTSSPSSPPLRVLFFG TDNISLATLKRLHASMIGQSKATQPPLIQDIEIICPPDKHMGKARHKAPVPVKAFALE HNLVVHHIPDNVKSLKDWAMPPSAAPFDIGVVVSFGYFIHPHMLANVKHGAINMHPSL LPKYRGPAPIPRALLHGDTTTGISVIEIDPLAFDVGRILLQKELPIPPTSTYHSLSAH LAEEGAECVVQVLQDLDEKKKHAVVQDNAVATTAPKIKREHGIVTWNEPQDQLYNVTT ITCRMS H257_07954 MKSFTKLLLAGGGVITFVSVVTGPLSEWNARREGAIFLKNLEKK VFEKAA H257_07955 MLLLCGDSTSSSSSPSWAPRRRRNNTNAMASRIMPPTPPMIATM TVVVLDESATPAEVEALVGVGEVEVEVDGGLGAAVLESTKMFSGRDEVGVGEVGSSGE MIVGAGAAVGGTFVGATDVVTSGGAFVGVGEADEFVVGAVGEVCSGGGVVSSGGGVVS SGGGVVSAVGGAVVVVTAVVETTGADVVGVSEVGGGGVFGVGVVVGVGGTGVVVGTST GAGVVVGSGGKIVTGSEVSGDRVVMLSA H257_07955 MLLLCGDSTSSSSSPSWAPRRRRNNTNAMASRIMPPTPPMIATM TVVVLDESATPAEVEALVGVGEVEVEVDGGLGAAVLESTKMFSGRDEVGVGEVGSSGE MIVGAGAAVGGTFVGATDVVTSGGAFVGVGEADEFVVGAVGEVCSGGGVVSSGGGVVS AVGGAVVVVTAVVETTGADVVGVSEVGGGGVFGVGVVVGVGGTGVVVGTSTGAGVVVG SGGKIVTGSEVSGDRVVMLSA H257_07955 MLLLCGDSTSSSSSPSWAPRRRRNNTNAMASRIMPPTPPMIATM TVVVLDESATPAEVEALVGVGEVEVEVDGGLGAAVLESTKMFSGRDEVGVGEVGSSGE MIVGAGAAVGGTFVGATDVVTSGGAFVGVGEADEFVVGAVGEVCSGGGVVSSGGGVVS AVGGAVVVVTAVVETTGADVVGVSEVGGGGVFGVGVVVGVGGTGVVVGTSTGAGVVVG SGGKIVTGSEVSGDRVVMLSA H257_07956 MDVADTPARNIRSSQSPRPSPQPEVGVHSTSLYELTLEPMVKET VGQRNTSAVRLATFVCGGASWSEILNLTKTKIFVKFKDKCLGLAARDDNGAWTIIDEP LNETYFARMFSLRSGSHVRRLDSNSSMGDWLTAMRSTRVGLSIYKYGNSVATKEQLLE FTAVCVVPGVQDRGGAPSEETIQQYIAKLREKWQEAWEGDRPI H257_07957 MHPYPTNVRCVEPRPVDAPRSSSTHLRSDERQALYETLLGVSSQ GVLPRGSIVRVSAQFGCHALTVSRIWSRGLQSVQEGCMCADVASRIRGNSGPKRKRTN EEIEAAIHQVPQASRQTLRSMAFKSKQYTQVDDYETHERSGEFEGAIKLCQALSYSK H257_07958 MMWKLHSTDSRTELVHELNTTNTAGTLDRADDSLPTQLASWVAI YNTSANLAYNMRTTAKITRLLDSTDLPNSFATVNKRNGSASRQHVIANTSTTSIRSDD SVVIQVISRNFSHSVCSWGKVNRFL H257_07959 MKEQNSLKARVKKKFYVYDDEEVAARSVKSKHFITKVMFFAAVA WPRYDHTRKTFFDGKIGVWPFVEVVAAKQTSRNRPKGAPVTMPQNVNSDVYKSFVLDK VVPAIC H257_07960 MTSHASPTSLAMVLLLKSEDAKYAEPFRSHGYNIVFSDVLAFFN ENVDALHKILRTLDQYVGLIITSPRAASAIVSVVRSVAREEAQELLASLQRVSIYSVG ATTSKPLRELGLSCLGEDSGSAEVLSTFIADTGNVNGPMVFFCGDKRMQALPTSFDGR SQRLDELVVYSSRQVEEIVWLKTNIPQWVVFFSPSGVDAAQRMTNVPWDTIKKAALGK SSAAALEKAALLRHDKSWEAKAVAAKPTPEELVAAIVAHDKLATTSSD H257_07961 MSAMSNSFGRRSNSRLPPEVNRVLYVRNLPFKISSEEMYDIFGK YGAIRQIRLGVANETRGTAFVVYEDIYDAKNAVDHLSGFNVCGRYLVVLYYQASRVHK SMDVNAKQQELSQLKARYGVE H257_07962 MDEISSKTLEKASVTKAYLEQKYAIMKKEREESRMRRNILEQKM QNLKLGEPAKETYRAELRNQELSHMRQQRKRLTIQDFQSLAVVGRGAFGEVRLVRKKD SGEVFALKSMLKSSMVMKNQVGHVRAERDILAMADNECQWLVTLQYSFQDTSRLYMVM EYLPGGDLMGLLIKEDKLSEVTTRFYAAEMVMAIESVHELGYIHRDIKPDNVLLDAFG HIKLTDLGLCKKMDMAQQEKLPITNHTMTESAQGHPITERSRPYSRNRQVAFSTVGTP DYIAPEVLLQQGYGQECDWWSMGVILYECLVGYPPFNADDPMSTCRKIVSWKQTLVFP AEAIQTLSPHCIDFIRHLICNADQRLDLARIKAHPWFRGIEWRSLRTQPSPYIPSRGG AEFRDMLQKLQHLDPADAQYQSLVKQITANFDEFPDQGLGHNEEVEGGAAAAASAGPG KGEGEYNKFIGYTYRRKPKVRVALDEAFHDGGGGGGGDDHTLSQHS H257_07962 MDEISSKTLEKASVTKAYLEQKYAIMKKEREESRMRRNILEQKM QNLKLGEPAKETYRAELRNQELSHMRQQRKRLTIQDFQSLAVVGRGAFGEVRLVRKKD SGEVFALKSMLKSSMVMKNQVGHVRAERDILAMADNECQWLVTLQYSFQDTSRLYMVM EYLPGGDLMGLLIKEDKLSEVTTRFYAAEMVMAIESVHELGYIHRDIKPDNVLLDAFG HIKLTDLGLCKKMDMAQQEKLPITNHTMTESAQGHPITERSRPYSRNRQVAFSTVGTP DYIAPEVLLQQGYGQECDWWSMGVILYECLVGYPPFNADDPMSTCRKIVSWKQTLVFP AEAIQTLSPHCIDFIRHLICNADQRLDLARIKAHPWFRGIEWRSLRTQPSPYIPSRGG AEFRDMLQKLQHLDPADAQYQSLVKQITANFDEFPDQGLGHNEEVEGGAAAAASAGPG KGEGEYNKFIGYTYRRKPKVRVALDEAFHDGGGGGGGGTL H257_07963 MPTPICADAAALGWMSILVTIGVHVNYSHLARPLLQSCRNRQFV THRPQVIELPACLSRQARCRRRHAFLTYVSTLLVVLCIVSDLLLHRRMVPEALCAVAA GSLLDADHFLAAHAISLRAATSLDARPWGHSVTFIIVVAAVAWMVLPAKFNQRGAALL FVCLTSHQLRDAFRRGLWFAPFGSTPALPYWLYLVLEVLVPVGVGSWLLRWSLRRCTP LNSTSSIAPEALDHGNSTTFIV H257_07963 MPTPICADAAALGWMSILVTIGVHVNYSHLARPLLQSCRNRQFV THRPQVIELPACLSRQARCRRRHAFLTYVSTLLVVLCIVSDLLLHRRPCFHDSRLFWG LVDNATHSTVSLLAWTIASHVSMFPHRMVPEALCAVAAGSLLDADHFLAAHAISLRAA TSLDARPWGHSVTFIIVVAAVAWMVLPAKFNQRGAALLFVCLTSHQLRDAFRRGLWFA PFGSTPALPYWLYLVLEVLVPVGVGSWLLRWSLRRCTPLNSTSSIAPEALDHGNSTTF IV H257_07965 MPRGRHLSAAEQATMLAMHQSGQTQKEISEATGRGKSVVRTFLA SPDTYGSAKRQGRPRKLSAQIEEQILDVGWTKKLFAREIIEHLGLHGVSVRHVQRLLL HDKRRQEKHAQTNHLPPSSDESPPPARPSSLMLSMSDVDDDDATDGGLHEVSDSLRLL DDDI H257_07964 MTTLLRWWCGPLDELTQTHLGHVSTCVEATFFVSPVWKLGILLC SAVIAWQSSSATRRLLGRRGTLHLIAAGFSLFIAVSGSLFLAGLKRPLYPCQVYAGTL WIVDGAHTALAVLLVPHVLRSCCYLLSLDLVTRSVVFVNMASHKPLSLTDVALIADTI FLVVRVVLAFVAHRRHSNSTRRPHVLEADASYLSQLLFLWIWPLLSRGYAQQSITTKD LPPLHPTDGTATLVAAFRRHWAQFPAHSLPRHLHAVCWRTFYHSAALMLVVTATNVAN PLLLHGLLQALTSPSVDAGHAAGLAALWCVALVVNCVFVHQFWSVAVRCGMHTRSILQ QFVFEKALTVSHIAGHVHALLAVDATRICDNYVVCFVHWDTWSAVVTLSVCVCFLFDL LSYSALVWLIVTLLYAPCAAFFGRRIQIHSAAHQHRRDARTSVFTQMLRGVLTMKANA YDAWCEGRLDAARRRELEALQWKAIHGTFNTSVLVVAQVIAPMASFVVFVHVQGGRLD AATAFSALAWFSTAASPLLRLPKGLTTVVDATVSLNRLEAFFRSSERRDGLASRPSSS VVSTHLYHAIELKSVTCSWNVTTFNTEDGHDGDNANNHQVQRRQLFAELTLVVPKGQF VVCCGPVGCGKSSFLDLCVQMLPVSAGQVLVHGTVAYCPQTPWIQNTSVRDNILFGLP MDRMWYKMTLHMCALDDDLALWPHGDATVAGDQGGALSGGQKHRVALARAIYSRRNIL LLDDVLASLDSHVGDHIFTRCLCSPALMYMTKVVVTNQPAFIAHAAVDRVLCFEHAND SAMRGEFTVQSLAPSVFKRSEGASIDSTAATEPPSTGKRHRRNSTLPLLAERLGESSP DDSKTREVVVRNAPHEPAVPETSRQGALDLRILMLYVKSLGSPCAVAGCGFLFAVEHG LVLGGAYCLGQWSHSSDDDMSSSKATSFQTLFIVLGLVQACTSVVRKVLFVVLSLAAS ASVHMSVVRALVRASMRFFDTTSPGVILNRCITDVASVDETIPYVVSSFLANGLDIVM SFVAVAATAPLVLAVVLLLVYPYMYLYKLYRWPARDLKRLQSAARSPILSHFNEVSQG VNTVAAFDAAAAVSATSMRVIDASVQAYWPSLVANQWVTLWLELLGIGIVAAAAAACV WLRATDQLHASGVGVVLTYAAQLPGRMGWMLKMLAAIEVECVALERLDELTIQAEFYK EDPPESGRLDREANVRFGDGTLHFHHVSMSYGGHVVLRDIHVDIPTHAKVAVVGRTGA GKSSLVRALLGLYPIHGSIQLGTVALSSLSTTTLRRHVLGFIPQDAVLLGQTLWEGLV GDVAQSKAHVTQVLSQVGMLDAVDQLQNGLDTPLTDVAFSGGELQLLCVARALLRHGH VLICDEATAYMDAETDGAIHRLLFALPRTVLTICHRVHHLMEYDVVLVLDKGRLVEAG PPHELLAAYPNGMFASLVDTSSRVAS H257_07966 MSQPCLRNMSCKCPLCMGEDVSALLAMTKTISSNITYTEDDDEV QPPPATKSGFMANSPPKKPAAAPRPSLKSRMAPKQPVVEKLPPLDFQDVDMGITSAPS ILMAAEGPTPPPISSGGEAPPEFAGDVPTRRPASSDDPPLQMEDVEAKVADKNWKVRK EVYDDLKAAFESGRAIEGGNVTELFGKLVDDSNAAAMECGLAAVLAYAVQVSPQQWNN AIVGRVLPKVVDKGFSGRPGSVKLAEELVLEFVHLGSAEDTITALLEGTKNKKPKVPP LCVSSILECFKAFGPRVVPVVAVKKELKALCESTVNNVRPNALKLIGEMYRWTGPTLV QDIVATLRPAQQTEYEAMISEISPGQAVPTRYVKGKEPKPAKSAATGSTKAGKGGAAA SAPAGGGSFDPREFAETINLLDLLPKTEFKAKMALPKWSEKVEALKIILDIVGSVPKL ATGDYGDLVQTLKLCTQDANVNIVAKSIEVLGVLADGLRRQFAQYARILLPVLLRKLS DKKSNVLSATHQALDLFQQHALPIDAMMDELKLTIEAGTNKVPASRAQGVVFVERCIA KQKINVSDAALMRTIGELFANCIEDSDPGLKKAGVEAMVTLVTSSPQAGRMIKATLDV LEKRQPRSFKVIEAAMGESSSSSGPPPSSVPKQPASAMPKPSTATSVPKKLPSAGPSA RANLLKKQPSASSSGGATSQQPTKATAATGKSTSEVITMSPQEAEMQLEALALDGWRS NIVTNLESAKWTDRKAGFEALEEVFKLVSSDVATANLDAVVVYVSSQSKTFKESNVHV LKSAFQAIATIASLCESMGLGVLSAVVPPAVDKMGDRKVSETVRPMFLALAELVGPAS VLAAIFGHMPLVKTPLAQLECLEFVRECVGEFGVSTCNPRGVIEYAKGPFGMESINPK TRMSAIAVFGALYGQLGDAMRPLLNLDGWKASLKDSVEAEFERVGFSPSSFAASRVAK TSEGGGAASSGSLFGRVDVSAKITKELLADMANEDDKVAWKKRLDAMEQAQRICEEAG LSIELTKGVMDLTKSLKARLSDSNANLKTKAVQVIGVVAASVGPSVAKLAKLVGANLV VGVADNKKAMQQACLDSLLKWVVHGDVASASCFESLLPFVAEALKNPVGRAELLGWTV EMTQMIPTKMDLRSLVENTIDALSDKSTDAREKAQLLLVEVFKSVGRDAVVGGCRDIL PAKMRTLKPIIDRAAATAFGGGDVVEGKSTKPPVAAAVSLSSSSSLVRANSAVSGGRT AAPSQSPKANLSRTTSLTASASVVVAAPSTTSAAALLISSDKLTRLERHRKNKWVFDA ADPAELLARKGQLETEWSGLVHPSLRVKLFAVSYEKGMMQAIDDLSACVTSQPDEVFH SLDLILKWSTLRIVDNNVQALVKMLDLLVKLFQMLVQFGWELDDVEAALFLPYLCQES GQQKPRFRMRFRDVLRLVVHVYPSAKLTPYLLECITNSKNSKSRSECLDLIEFIADTK GHAAVGRKTLRDVGKYVDCAEKEVRESAIGAVVKMYTLMGDPSTDRFFTLCNITSQKA MDLVLQKVKYLPPSTTAATTTTTTAGTHRVLTMPKPTTPAYQRYASMPLDEPAREPPL STAYEAPPPAPSMYTTTRLERPATPLKYQTTTPIPSALSAYPPGYAQPPPTTSPSIRL PLPSSSSGLKPPPTPTTYTNPPITPASTPYRSDFGSTRTDMRTLLFVPLERLLVNPKE LTVNLDAFAAGKDALKSLYALSATGDDVFIQENVNEILVRVCQVLGAALGAHIELHIV SLCVATISSIFRHAAYVGRIERVAIERVLLEAGAGFLDPRLDDVEATLSNRIMLALNK LIMTTAYALRIGEVYPAMLHVLERIVSGKAGEYQKHDADNKIAGQPTLGQLLAKLLVK TTRRELTLPTPFVHVDVADILHTKHRVFTTVSTDAEVKNAMKTSLKHAADHWNSDGRR PAFQRALDDLPIASPIHGMLMQMLPLVFAVPSPDMVPRRLNDAVHKFSTSTDPAAKLQ ATMAMVEVKVDSAGTPIEMDGARKAELRQTVDKLDMTSYNRTSAALTDSAIKSALSRA NIFKDRSLEFSSAGGSSSTTTSSSTVSGAPGTESTGGDLPLKSMALMDLKQRLGRIQM N H257_07967 MGVSALCEWVAFYKPLNAPSGSVSLGECVANVVRLAFPSELDPT THTWDSILTVLNRHATELNLLQPLRSELLHEHYQGAWVSGLHGGPPPAAELELERTLS ALCIGAFKGAQQASFINDVLSFSEHIQLTLFTLLRDDEDSEPATHSDRTVTTLATTTT EHHHHDTPPPSTPVQSTRHTPTTSATTPASSLSCSKNETKRMARENHILKDENAELQR ELVQVKAAMATLHDEEESRKDAFENLKLELRVEAAKNERTIKKQVDEYVAHLQAELSS ATAKLASLSGIEKELEAARDELDILKPAADKAIKLEARMEKYQAKLDDMTRIKEANRR LEQKAAELTEKTHAQDAQLQKLLTVQRKLDESKEALANMAVRCRELDVLASRRQLERN AAVAELDSVRLELSTQVQAKQDLVVLMQQQQLQEDAAASSPGTVEEHLVDTDMEDVLD QLRRENAKLKSQLSVESATRVDALGDELDTMARVKKSFETKYFDSQRVVEQLEADLDA LRTQHHATLSLLDASVAKCDAVQDDMQKQTLEWTQEQAAWRTAEAALNADLRDAQITI EDHVRGIEALDRDKAELAALLATQTEATAAAEAVRDELNEELLNLTVNLADAMSKLEE HEQRAVKVGSELETTKELWMAESNEFNAFKMHAHEVATALDDVAAKYDDAVQDVTRLR HQLDLNESQRSILEHVISDNDLDLAGLRARTGALEAELQRTTEAWGMAMEETAMWRAV AADGARQGAAERTAHAAAHVAWRADLQDAKNEIETQMRVAQDIHDALDAKVRALEADA VGIKDRHDRRVAELETAQRSLVDKLEQMAVREQRGEQLVAALKTKYLDDMQAKDDAMQ LVEVQASRLEAKNRMLLDKEHHDASKRHVSAEYEAVSLKMEMQMNALKRELDALGKEH KALQTKLHLCTCSFACDPSMKGYVDSMKHMELQQQAESERRRELILMNAKLIQEQKQL VLCTTSQAQEIQKLKDVTNRLRLREERRSKAMGNDMRSPLSLKRKLDAHGTSSSSSST SSSTPGAKRSRTSSPAAITNSPNTRPDSVLLHPSTADDSTTQQCSQQ H257_07967 MGVSALCEWVAFYKPLNAPSGSVSLGECVANVVRLAFPSELDPT THTWDSILTVLNRHATELNLLQPLRSELLHEHYQGAWVSGLHGGPPPAAELELERTLS ALCIGAFKGAQQASFINDVLSFSEHIQLTLFTLLRDDEDSEPATHSDRTVTTLATTTT EHHHHDTPPPSTPVQSTRHTPTTSATTPASSLSCSKNETKRMARENHILKDENAELQR ELVQVKAAMATLHDEEESRKDAFENLKLELRVEAAKNERTIKKQVDEYVAHLQAELSS ATAKLASLSGIEKELEAARDELDILKPAADKAIKLEARMEKYQAKLDDMTRIKEANRR LEQKAAELTEKTHAQDAQLQKLLTVQRKLDESKEALANMAVRCRELDVLASRRQLERN AAVAELDSVRLELSTQVQAKQDLVVLMQQQQLQEDAAASSPGTVEEHLVDTDMEDVLD QLRRENAKLKSQLSVESATRVDALGDELDTMARVKKSFETKYFDSQRVVEQLEADLDA LRTQHHATLSLLDASVAKCDAVQDDMQKQTLEWTQEQAAWRTAEAALNADLRDAQITI EDHVRGIEALDRDKAELAALLATQTEATAAAEAVRDELNEELLNLTVNLADAMSKLEE HEQRAVKVGSELETTKELWMAESNEFNAFKMHAHEVATALDDVAAKYDDAVQDVTRLR HQLDLNESQRSILEHVISDNDLDLAGLRARTGALEAELQRTTEAWGMAMEETAMWRAV AADGARQGAAERTAHAAAHVAWRADLQDAKNEIETQMRVAQDIHDALDAKVRALEADA VGIKDRHDRRVAELETAQRSLVDKLEQMAVREQRGEQLVAALKTKYLDDMQAKDDAMQ LVEVQASRLEAKNRMLLDKEHHDASKRHVSAEYEAVSLKMEMQMNALKRELDALGKEH KALQTKLHLCTCSFACDPSMKGFVVLLL H257_07967 MGVSALCEWVAFYKPLNAPSGSVSLGECVANVVRLAFPSELDPT THTWDSILTVLNRHATELNLLQPLRSELLHEHYQGAWVSGLHGGPPPAAELELERTLS ALCIGAFKGAQQASFINDVLSFSEHIQLTLFTLLRDDEDSEPATHSDRTVTTLATTTT EHHHHDTPPPSTPVQSTRHTPTTSATTPASSLSCSKNETKRMARENHILKDENAELQR ELVQVKAAMATLHDEEESRKDAFENLKLELRVEAAKNERTIKKQVDEYVAHLQAELSS ATAKLASLSGIEKELEAARDELDILKPAADKAIKLEARMEKYQAKLDDMTRIKEANRR LEQKAAELTEKTHAQDAQLQKLLTVQRKLDESKEALANMAVRCRELDVLASRRQLERN AAVAELDSVRLELSTQVQAKQDLVVLMQQQQLQEDAAASSPGTVEEHLVDTDMEDVLD QLRRENAKLKSQLSVESATRVDALGDELDTMARVKKSFETKYFDSQRVVEQLEADLDA LRTQHHATLSLLDASVAKCDAVQDDMQKQTLEWTQEQAAWRTAEAALNADLRDAQITI EDHVRGIEALDRDKAELAALLATQTEATAAAEAVRDELNEELLNLTVNLADAMSKLEE HEQRAVKVGSELETTKELWMAESNEFNAFKMHAHEVATALDDVAAKYDDAVQDVTRLR HQLDLNESQRSILEHVISDNDLDLAGLRARTGALEAELQRTTEAWGMAMEETAMWRAV AADGARQGAAERTAHAAAHVAWRADLQDAKNEIETQMRVAQDIHDALDAKVRALEADA VGIKDRHDRRVAELETAQRSLVDKLEQMAVREQRGEQLVAALKTKYLDDMQAKDDAMQ LVEVQASRLEAKNRMLLDKEHHDASKRHVSAEYEAVSLKMEMQMNALKRELDALGKEH KALQTVYISLKSSTKYTFIYM H257_07968 MTERKMWYGLSKTVAEREAWEFMQTTSPHFDLVAMCPTWILGPM LQPALNESNKKIYDYMVGNTPVILNAVKALVDVRDVAMAHIAAFENPQASGRYLLIGA CPTEHEIADAVQQACPSARVPEASTDSSPAVPLLYDCSKAEQELGIRFRSLTDMVQET CDSLQHHGLVKL H257_07969 MVDPTTTDSYDGGAMTSCVCREGFDPRRFMLECAYCEHWFHGVC VQLNEEKAFAIAKYACPACTNKGCQTKYVADPKPSLDPSLLPLPRQFASLNIKQVLAG PSPSSVDKASAKFHQMLECGMYARSGVRRVAGASVTAAFIHANGFHEPIVTDDTMGAV PGLRVPDSSIHVDDLPNILAAAKLIKTIDLSSQVPRQLTYTDVTSICNDGDSWCGNVE FPVIDTPLEYQISPPQLVLDLDWFQSSRAETGGASFNPNTYIAMYSAHSFKDFCMSPN GSSTWLHHLYGPALTVYLVPPTPTHVDKFIEWCVSSARAAVHFADLTDKCIKIDVLPS SSLLIPASWMYSLYVPATATAMTTTGPETNVVSTLQSSPSPPQQHPPSTAAVFLTGYF FHGFSMKEQVRVLEVEQTVARKASATGRPPMWPMVTSAAQFPAPSPEMLKTWVWPAVQ RFIGRLKRLQVLTEWETLGLLHILPLLRHCDLPNQGDSTVDALQALLGVKDPSLGGAS TPVATSMASKGKAKKATTPSSQLSSMGPPHPPPGAPPSSSLVAASDSFLKQRDKKVCK CHLKKCVNCRNCTKRHCICGTPPPPLSTSLLVGGGLAGGGGVNLPSKKATLVLGDKKA KKKPPPTPATTIESTSTGPPMALSAVPYRPPPQLALQHTDTAQSLPPSGGALAPWATD DDPHDASSMWDHDMHQSFFSATELGLNMPGALELDDAFGIIDMVESSSLFSGYPPHPP PHPDAARVSPTAIKREYDGEEGGGGGSTSSFSAPPPSSTQLGGGLHPHSSFLFDPTSA ASADLYDSEKEGVKDFGDMTPDGDGSQRHRASCHRCGNLRKKNVRCLGCPHIFCQKCA EKMVEEHGPQTFIGGCPVCKEMCCCGKNRSTVCRRKFHCYKKCPATKRCNLLSDEAMK LRGDDADSFKDDDMLMEFNIHDDDLDGSDIMAMPPSLADNPYHVPPHPSSSLSECDFD MDLGDLD H257_07969 MVDPTTTDSYDGGAMTSCVCREGFDPRRFMLECAYCEHWFHGVC VQLNEEKAFAIAKYACPACTNKGCQTKYVADPKPSLDPSLLPLPRQFASLNIKQVLAG PSPSSVDKASAKFHQMLECGMYARSGVRRVAGASVTAAFIHANGFHEPIVTDDTMGAV PGLRVPDSSIHVDDLPNILAAAKLIKTIDLSSQVPRQLTYTDVTSICNDGDSWCGNVE FPVIDTPLEYQISPPQLVLDLDWFQSSRAETGGASFNPNTYIAMYSAHSFKDFCMSPN GSSTWLHHLYGPALTVYLVPPTPTHVDKFIEWCVSSARAAVHFADLTDKCIKIDVLPS SSLLIPASWMYSLYVPATATAMTTTGPETNVVSTLQSSPSPPQQHPPSTAAVFLTGYF FHGFSMKEQVRVLEVEQTVARKASATGRPPMWPMVTSAAQFPAPSPEMLKTWVWPAVQ RFIGRLKRLQVLTEWETLGLLHILPLLRHCDLPNQGDSTVDALQALLGVKDPSLGGAS TPVATSMASKGKAKKATTPSSQLSSMGPPHPPPGAPPSSSLVAASDSFLKQRDKKVCK CHLKKCVNCRNCTKRHCICGTPPPPLSTSLLVGGGLAGGGGVNLPSKKATLVLGDKKA KKKPPPTPATTIESTSTGPPMALSAVPYRPPPQLALQHTDTAQSLPPSGGALAPWATD DDPHDASSMWDHDMHQSFFSATELGLNMPGALELDDAFGIIDMVESSSLFSGYPPHPP PHPDAARVSPTAIKREYDGEEGGGGGSTSSFSAPPPSSTQLGGGLHPHSSFLFDPTSA ASADLYDSEKEGVKDFGDMTPDGDGSQRHRASCHRCGNLRKKNVRCLGCPHIFCQKLC PFSSKVVVRVLISQVRVGVRRRW H257_07970 MISSESSDSEVETVARAVAASRKPKRVREENVSAAAAAPDDDHA EKSHVSDKSRDEILLERQARRKQKRTDKQEAKAELRKADEARAHQERLAKGRFYTVSI AIPGSIIDNAQTKELKTYLAGQIARACAIFQVDEVVVFDDQLGKNANNQDATKKYNNP NDCNVFLGRILQYLETPQYLRKALFPVHNDLKYAGLLNPLDCPHHMRGDVWSDYREGV VVDQPLKEKQGSHVNVGLQKFAVIDKHLQPGVRVTVQLDESSKDKKKLAGIVVSPAEP REQKGLYWGYTMRFATSISKVWQECPYKNGYDLKVGTSERGTVSVDDSTFQLPSFQHV LIVFGGVSGIEECTNADETIGVSGDQAHTLFDMWVNTCPQQGSRTIRSEEAILISMSA LRPHLVRNQVP H257_07970 MISSESSDSEVETVARAVAASRKPKRVREENVSAAAAAPDDDHA EKSHVSDKSRDEILLERQARRKQKRTDKQEAKAELRKADEARAHQERLAKGRFYTVSI AIPGSIIDNAQTKELKTYLAGQIARACAIFQVDEVVVFDDQLGKNANNQDATKKYNNP NDCNVFLGRILQYLETPQYLRKALFPVHNDLKYAGLLNPLDCPHHMRGDVWSDYREGV VVDQPLKEKQGSHVNVGLQKFAVIDKHLQPGVRVTVQLDESSKDKKKLAGIVVSPAEP REQKGLYWGYTMRFATSISKVWQECPYKVHTYTYGLLIKVPSLLYIYIYLCVVRTATT SKSAHLSAGPSRWTTARSSSRRSSTS H257_07971 MYIGAWNERRVAQLLLRKLCSLYGAHGVPHDKCCRNAVAQTSVS PWPSPIRRTTGIGRRDQEPAATGARGQVPAQPRGHLRGFPRHPRCPRPVAAAATPAQA CIIVRQSVWIIPSVDCAEQSNVDMLDFSSLKS H257_07972 MAEGMTLKTHEEPVEGAPTLTRQDSTATKVKKLEAFHRLNDEGF GYLGDNTYIHHGYRLHYTMKECVVSLFEVHNETLNVWTHMVGSLIFMSLLVLLYMQVD KAVHSHHTLESPHHVHLVNLPYMSQGHHTLRLFTTHSVMDATERALVQTLSLHGPPRD FLESASVLFNSTLADLVHDSSDPVEVLLLKQDLLQLQDRLAALADHAWLNRHVYGHLA SLQDKVRARLTAIEHSQHQDNIRHGIQAITDVLNVLRFDLDHHHVPVWPVSVFIGSAI VCLSCSALFHLLYVVGPAVYAVMSRLDYSGISILISGSFVPVQYYGFYCHDTLRWFYL TTTLVLATLTFAMAMMPFFAKEKFLVLRTCTFISFGCFGAVPVVHMALLRGFHDDQVQ VILYPMLWEGLFYIGGAMIYMSRIPERFYPGRFDVLFGSHQIWHVCVVMAALVHFHMV TNHFEWRWNHPCHADISLS H257_07973 MDRGSYFQEFMRSKALAKASSGKQAVEETKKLLTDRGAYISFLE IQLERVSAACLHTKSLESQIHDMHVQIEATDGKVATVAKLLKMHQQHTGDLMQSNTQD IGTMQSLIEAIRDTVTAHGTQLRRLDARQCEADDATQTMETKLRQEIDHAIANGELAK ETMELHVQRYHTLHAAQQAKWQAIHVAHQELVREVAAGDARSLAHTDAVVQQAREDMQ ATTGALEATLAEHEDKAKRARTAVEQFCAVEIARAGCAVDAKLEAMEQNALLMHDHLQ RAQLKLTQVSQKHHDDCRLINASLVSLQAELDDRERAAPPSFGTKGGTRRDEGRVATL ERDFHVCRQGLEYLRRAMETFESSQMMLVEDWSARFSQLLDKTDAGQGSELQVEMRRM EKRLQDEWCAKEEAWWKAVACMEVQVPALRENVQMLMKRAADEEPVVVEGVHKGLARI HKLEKRMHRIADNMHTLYSFVETTFPQRDKAFQDLQSNVVNELTHVREALRTVMVDDV AAKKKTLREATDDRGRDRRRRPVKAELKAQAKEVPGRGGAKA H257_07973 MDRGSYFQEFMRSKALAKASSGKQAVEETKKLLTDRGAYISFLE IQLERVSAACLHTKSLESQIHDMHVQIEATDGKVATVAKLLKMHQQHTGDLMQSNTQD IGTMQSLIEAIRDTVTAHGTQLRRLDARQCEADDATQTMETKLRQEIDHAIANGELAK ETMELHVQRYHTLHAAQQAKWQAIHVAHQELVREVAAGDARSLAHTDAVVQQAREDMQ ATTGALEATLAEHEDKAKRARTAVEQFCAVEIARAGCAVDAKLEAMEQNALLMHDHLQ RAQLKLTQVSQKHHDDCRLINASLVSLQAELDDRERAAPPSFGTKGGTRRDEGRVATL ERDFHVCRQGLEYLRRAMETFESSQMMLVEDWSARFSQLLDKTDAGQGSELQVEMRRM EKRLQDEWCAKEEAWWKAVACMEVQVPALRENVQMLMKRAADEEPVVVEGVHKGLARI HKLEKRMHRIADNMHTLYSFVETTFPQRDKAFQDLQSNVVNELTHVREALRTVMVDDV AAKKKTLREATDDRGRDRRRRPVKAELKAQAKEVPGRGGAKA H257_07973 MDRGSYFQEFMRSKALAKASSGKQAVEETKKLLTDRGAYISFLE IQLERVSAACLHTKSLESQIHDMHVQIEATDGKVATVAKLLKMHQQHTGDLMQSNTQD IGTMQSLIEAIRDTVTAHGTQLRRLDARQCEADDATQTMETKLRQEIDHAIANGELAK ETMELHVQRYHTLHAAQQAKWQAIHVAHQELVREVAAGDARSLAHTDAVVQQAREDMQ ATTGALEATLAEHEDKAKRARTAVEQFCAVEIARAGCAVDAKLEAMEQNALLMHDHLQ RAQLKLTQVSQKHHDDCRLINASLVSLQAELDDRERAAPPSFGTKGGTRRDEGRVATL ERDFHVCRQGLEYLRRAMETFESSQMMLVEDWSARFSQLLDKTDAGQGSELQVEMRRM EKRLQDEWCAKEEAWWKAVACMEVQVPALRENVQMLMKRAADEEPVVVEGVHKGLARI HKLEKRMHRIADNMHTLYSFVETTFPQRDKAFQDLQSNVVNELTHVREALRTVMVDDV AAKKKTLREATDDRGRDRRRRPVKAELKAQAKEVPGRGGAKA H257_07973 MDRGSYFQEFMRSKALAKASSGKQAVEETKKLLTDRGAYISFLE IQLERVSAACLHTKSLESQIHDMHVQIEATDGKVATVAKLLKMHQQHTGDLMQSNTQD IGTMQSLIEAIRDTVTAHGTQLRRLDARQCEADDATQTMETKLRQEIDHAIANGELAK ETMELHVQRYHTLHAAQQAKWQAIHVAHQELVREVAAGDARSLAHTDAVVQQAREDMQ ATTGALEATLAEHEDKAKRARTAVEQFCAVEIARAGCAVDAKLEAMEQNALLMHDHLQ RAQLKLTQVSQKHHDDCRLINASLVSLQAELDDRERAAPPSFGTKGGTRRDEGRVATL ERDFHVCRQGLEYLRRAMETFESSQMMLVEDWSARFSQLLDKTDAGQGSELQVEMRRM EKRLQDEWCAKEEAWWKAVACMEVQVPALRENVQMLMKRAADEEPVVVEGVHKGLARI HKYDDRSTRYMWTDSVEK H257_07974 MRKGERKKNLTDSECENLVQHLLTKCASSGRIPKGVAASVGMLF DDLPQCPPAQEGQLRTQRIHLDLPERIQAIPQSRRYCFRSIAHALGIPKSTLHSYYKR GVIAKYSSVLKPSISESNKVCRLNWALQNVKDIDGAKFFDPMFDTVHVYGAPGEKIKQ RSCKSKGHLLKVMCLSAVARTRWDDNKEEWFDGKIGTWHFTETVPAQRRSSRRDAGTP VMKTVSVTRETYKKMLIEQVIPAIRCKWPSTETKTIKIQQDNARPHAPPVDPDVVAAC KDQGWDMEVVFQPPNSPDMNVLDLGFFRAIQTLQAEKHSSCLEDIVTATEAAWADVSS TTLNKNFLTLQRCLQEILESMQMGAICTQIEALKVDIDVDVHADIAAALGLIQLLD H257_07975 MSSTPRSRGSLSSSRKSRAMILPSASALFLTEVQVNDAGQDAGD DDERLEHEFRSNPLTSYYKSDPEKGFTMDIMMMIDMAKVGSLRDEFQQKERGLSVGEF VHVMLRFVKGSRNATDDKSHLHQLTEPQLVAYLCELFAQIDINGDGSMEWEEFTSFIV DTGLESQQPNSIQMYHHSTWEDTSKHSATIDRMYYFPANDRLAVCEYGTQNLKIYDSR CELQRVIKSPDGFVLCAEYIDKLNQYVVASSDLQLRFYDDTSYRLIKSCHTPTSQNCL RWYTQSNMLFSASASGIIYAWDADRMEEKHHMGGIGRDGRVLTRSHDGIVLDLLNLPT LETLASASMDKTVRLWDVNTGKHKQQLDGHLKGVRSLAYSAEYRFLVSAGFDFDAFVW NPYVDQLILRLHGHQNSLCGVEIIPDTPQIITADIGGVFKVWDIRNFACMQTFTAENA NMSDIKSFVSVTSHKRLVAGGKRMTLFDYEKLRNPKLTDDFPVFQALFNPISLTFITA AGTDIKIWDANLGKLLRIYKALSSTDLTAMCLDNRSRKFIVGDHDGNIKVYDYLNGMY MKCFAYPDTDNKAHVAEVSRLYYCNEYRTVISTSWDTSVCIHDESDPDRGILLRRMTG GHTGDITSVAFSYNLSLIATGSLDCTVQIWDYEFGRLDATCVGHTSGVAGIVFLDPYP LLASCDLGGNIAIWAMRPSKYKGKCVCRFRLHNPWASKLVSNPHLVAVTTHIQRTDTK PPPPFAPCDPPPAASAPTPPSMSATASIEAYYLVGGDDKGGLSVWNLLPVLKRLETEF QILPVEKRVDCANPQRNLRVHATELVKKNREGVEWQAYVNREPQCTFYFEPQSMMPLI YLPTTLDCAYQWQAHSDAVYSVQVIVDNASVTLLTASYDRLVKVWTLTGGCLGSLTQG DMELARQPYSFPIDYPSRELDKLRNAKAVIKEARHMQQEEDRRSVLATKKPAAKVPVE ATADDDGAEYLFEPTTTTTLPPIQKAPRGQMTSFWASRGRKGAKNRVKPVDQKHAGRS FRSLLKKG H257_07976 MQRIVAASLRPLATVHRPATRNVLRVARFSKINVPVTSTPGRRS DYMIVESDIAGMQHKIRELYSKGDFVSGLEMAEICRDTVRDHFGEDHPVYASTLNNLA LMQKNLSLLDEAIGSYEAALRVYKSCVGENHASWATTLHNLGGVYRLQAHNLSGMKKV EGIDHALECFEESLRVRRDILAPDHPDIAISMCNVGMLYWHTHKKAKAEEILVEAAER LESKVGPTSPLTALAWNNLGIVYKELGKFDAAVELFAKATAVRKASLGDMHVETITTM HNWAEALRAGGREDDAAVIQKEILDLVGDDVEEANEDDKGNRL H257_07977 MVKVFLGNMPFGDEAVIEAGIRSLFQKFGKMGQVILKKGYGFLD FPDQRDAEEAARVMHDVEFRGRRLRVSLAHTDGERNRNQGPGGGSSRSVDRPPPVQDT HTSLFVANIPSDTTIDRLKDFFEKFGRVGNVKVLPQKTNNPNISAFIDFDEYNAAARA HGTDLKFEGAFLRTDLSSNRRDIHGPPNDRRDDYDRRSNHLDDRGRDPNRRRDPSPPP RFTRSRSRDRSRGRRDEPLRRPDDRFRPLDDRRDGLLRDDRRDSPRDDRRDFPRGDDR RDLPRGDERRGPPPLRDDRRGDRSPGFQPPRGVGRALYVSSTPRCTSDPVGSTS H257_07978 MFTLLKDKCCRFLCCRKPDTTRGTPVGEKRSIKDISPDTETSDD EETKRRIRARNQGRRPSVSSGSLSTKGPVPGKKIVPKSPETVERIKGAVSCNFMLNAL ATEQLTDVILAMEERKVRAGDTIIKQGDEGDYFYVIDSGEFDVFTRQNDPPTVPVFHY TNGGTFGELALMYNSPRAATVVAVTDGALWALDRDTFRQIVVTANKARSELNQTFLAG MDLMANLTRLEMATIADALQPVTFHKGDVIIAQGDADYASFHFYILIDGTCDFVAAAA VIGSVGAVGYFGEKALTEKSKRAVSVVATSPVVKCLSMDVATFERLMGPFHVIFHRKI HSYAHATDSHNHQRTASRGSSNDLSRV H257_07978 MFTLLKDKCCRFLCCRKPDTTRGTPVGEKRSIKDISPDTETSDD EETKRRIRARNQGRRPSVSSGSLSTKGPVPGKKIVPKSPETVERIKGAVSCNFMLNAL ATEQLTDVILAMEERKVRAGDTIIKQGDEGDYFYVIDSGEFDVFTRQNDPPTVPVFHY TNGGTFGELALMYNSPRAATVVAVTDGALWALDRDTFRQIVVTANKARSELNQTFLAG MDLMANLTRLEMATIADALQPVTFHKGDVIIAQGDADYASFHFYILIDGTCDFVAAAA VIGSVGAVGYFGEKVRICTT H257_07979 MGCPRFMEQDGHCAIRRSQQTPLMSFNVHSLSMHIMDFTVDTTN NVVYYQLELLDDDSGESMTVLRRYSVIAAFRTALLKELDGACKCPADDNRCKPCLAAL KQCNFPAKSWFPKDGIQPELAAQRATELSYFLQDVVAVGRDHAPLCRSNQQFLESSLA DVLGAPSLTSFAAVPPRNRKGERSASCELPSDTVRPFFRDRSGSVPITSKRLIL H257_07980 MLSKQVSSLLVHALRRTAIGGTKALAMHHSTTSSVVTLASAAHS RAFSHLRLETVTTATLVPDDDDLNNIVDIDDAQDDAAATSGFVDQKPLSDFNLSAQTT KNLERAGITHLFPVQVESFSTMMQGKDIMGRSKTGSGKTLAFALPIVERLLSTPATQS RAPRAVAMLPTRELAQQVADEFRRIAPQLRVSLVVGGVSYVGQENELRRGIDILVGTP GRVVDMMDKGNLDLSHIEVSVLDEADMMLKFGFQEEVETILGAMPDTKQCVMWSATTP KWVHTIARQYLNKPTTIDLVGEDNSKLPATVAHKAILVSRDSKDHVLESVLNLYAQGG QALIFTETKQEADELVAFLSARAKGVRVLHGDLSQNLRSSTMKGFRNGDVRTLICTDI AARGLDIANVDLVIQYRLSNDQENFVHRAGRTGRAGRRGVNVVLFESRDIREVRDLES KFGMTFNHAAAPLPAQTLSHAMTTVTEKLNNVAFDGRKAFEATAKKLLENEDERLHVV SSALALLAGFEAKGPTVYSMLTAQAHIQTLSLVGDRSWNADAISSFLGEVKVTVPYSK VIKGDNSTFYFDVPHKHVDTVLEHGESKNVVVAPVTELPKITVGGLQRGGGSRGQGGG GSRSGYGGGNRFRDSNSSRYGGNNHSNARSNYGNSRFDSGFSRNSNSNYSNRNGGGSY SSGRKSYDNNNGWGHSSGGGGGSSSSPKGKWL H257_07981 MSSAYEHHGDVEVHVDEHEHEHVEAAPATLHLDSEEEYDEESDD DDDDDHAPSSSISVPAASSDVTEEEVAIAPSTLLLRQRSHLQSSDADLEETLEEYLTY GGAHPDVVKLHTGYVPWTESALKEYEANKDKELVDTDVPANRLSGVIYAQRFWVTLAG WGLGFGSKPKLLVLSPLNLFALDPTNEQTTDTWAYSDISEVIAADALSFTIVTKSTNA RSTYICKDKETRNQFLSSYYQLYYRDRPNDKDQAATLFQTPTYVMKKRSKTKGSATSP VDTLVLLEVRRASLDRLDPKTKKPIASISLTDILKVQKLQNDPHGLVLYYQQDKSNIT ATRYTCEEREAFIGVVINNLRTIVKEPLQVQEVPDAFEYDSLVYPLNDPVSVLFEMPV LRRSKRSSIKKAVTLALTRSDILERDPVTHRTLVRISLSDVFNVVLSPDEPEKLSLEL KNGRTRRYASVATAAAVRSPQVGLGLDQVPDQHRVKELQAAVMDPTFTSHPSFATAGS TTLLTPSASRNLFMSNVIEVFAKNKKHVSWSTEETPLGCKVGGWSGECNAEWEEILLK KLLVTWKSTHDNQQYFDQLFRFLEQFNKNVPVGSVSSKSVAKPLACLFKVVEQMREYI SLKIKDPSSNAHAAIPSAQLQVALLLAIQRLLHTSLGFQEVLRKEYRKVVLVVMEFLY SPVPEVGLAAAQVINCLVVNYSNDKNSVKMELANRKAVFHTEKRANLFVNRVFDPAAQ TSNVYDAAVVPNPLMNRVLSSTLVGLDFVVLHSVLATMEVCLASGKRSTPEKVHRDLL NAMHIDDFAHHHTLFTLNRSLSFGIAKASSILVKVHVLEQPSELVEQIQDFARTQGAL LWQLYLSLGGHDHSQRRISSQLVALLTHENPRSSNLIRNIFPHALLGDIPPEKCSYDE FGRRLPVLLPSSASLSAPTNNAATPTTTTAVSLAPLSNVTDLSASRLHNTGATDPATS SSVLPTTPPTADERLTVLASDKVHAGVTSRAHVVATTKCVVLLPDFFDKLRGVVVQKD LKWDAHNLAELQTRLVDELSLFDLNRLTYFFFLLSSPTDRTESALDASMERGVRRDAD ALSSAFPAVFGGVVATTTTATTSTAAPSDPFDKEDDITAADDVPAHHQHHVEQHQHIV ASPKPFWFLNWNADEFVVEFACLKDEVRVGAYYLKALFNRHGDLAEDIVDVEAFITLL YFRVLAPSTDEHVRLLCVKTMTALYNKYPTEIRSLVFLNQFVRIAMTSPTTWSRTLRG HVMLFVERVLSNAVNVTRFLHEPANLELVVRLLREGNDAADADAADADADEATSIVQT CLVVLMKLVHCQTTHHDDLAKSEYFVGNQPTTTAAVGPVSYIKRALATHLKFLVTLLD HPSRAVFRKVIHLFYLLIQNNESLVPTLHTTGLFYYLFRNARTEDDMFVVANFVTPIH LRQRPVHVTPEELTKLLDNANPTPSFTQRCMKSWLIKILPVSLVAQLVRHGSHKFASV YFATSNDPETLWNATLREQMLVQVDAFIASHTSQPHGEFTLSVAEEDTTTPLIAYPEQ VYKLQVDQYYLHNLLDDTTFPNWPINDPPAFLRALMDAVHSWVYPSLTKLSTLDIVMV FDSISLLFRRFWSSLEAKLHDHLNFSLLLLALKKCNDMPTPQWDVFLSALAVITAACH SSAIINTMNDTTVVSGLRVMYDALALAHAHRANDLAPTRFLLQTFNLIVHQPLGRDGL SSSPSLSILPFLQPYLDLTTPSQDLTALALEIVQGMAIGSAKSDELLDAMAKRGVVWF LAPIICDSPGQTRTRTLAAEALKGLLKPENAPATSARGRMQRTIDQIFTRPLIDLLLS QSDPDMFLRVVSHDVKKPHMMWTASMRTQLLALAAEAHAASHEFALPDKFLYDAQVSE LRVADIYVNFYNDDPVSGITALISSGLADERTGRGTHVTDQTEIRKRVMANLLSSLSH DIAGVRARPETLEATLRDRMLPVVTAVRHMLQHTPEMDMQLVDVDGIVTLFAGLDHDE TKIRFSTDKAPYFQLRVMECLHVAMFSPKCIEKIADKIPLYVKSMFATVYTHLPKRKE SDEGQLARVALQFVGNLCLVPTCIDKLVSGMDPAALAKTLPLMSAGNNHQDVELLLNI HTTALKRHTNLSINFAKSTAMGPMVTALLNLLSAPDAIAGNIKAPIARFLSVLSIIPG NQVSTSLRDSTVWKEYTSTHVVGHEKAQDASADVKKWLSLPVAPELLKFKYANPQKIG ILLA H257_07981 MPVLRRSKRSSIKKAVTLALTRSDILERDPVTHRTLVRISLSDV FNVVLSPDEPEKLSLELKNGRTRRYASVATAAAVRSPQVGLGLDQVPDQHRVKELQAA VMDPTFTSHPSFATAGSTTLLTPSASRNLFMSNVIEVFAKNKKHVSWSTEETPLGCKV GGWSGECNAEWEEILLKKLLVTWKSTHDNQQYFDQLFRFLEQFNKNVPVGSVSSKSVA KPLACLFKVVEQMREYISLKIKDPSSNAHAAIPSAQLQVALLLAIQRLLHTSLGFQEV LRKEYRKVVLVVMEFLYSPVPEVGLAAAQVINCLVVNYSNDKNSVKMELANRKAVFHT EKRANLFVNRVFDPAAQTSNVYDAAVVPNPLMNRVLSSTLVGLDFVVLHSVLATMEVC LASGKRSTPEKVHRDLLNAMHIDDFAHHHTLFTLNRSLSFGIAKASSILVKVHVLEQP SELVEQIQDFARTQGALLWQLYLSLGGHDHSQRRISSQLVALLTHENPRSSNLIRNIF PHALLGDIPPEKCSYDEFGRRLPVLLPSSASLSAPTNNAATPTTTTAVSLAPLSNVTD LSASRLHNTGATDPATSSSVLPTTPPTADERLTVLASDKVHAGVTSRAHVVATTKCVV LLPDFFDKLRGVVVQKDLKWDAHNLAELQTRLVDELSLFDLNRLTYFFFLLSSPTDRT ESALDASMERGVRRDADALSSAFPAVFGGVVATTTTATTSTAAPSDPFDKEDDITAAD DVPAHHQHHVEQHQHIVASPKPFWFLNWNADEFVVEFACLKDEVRVGAYYLKALFNRH GDLAEDIVDVEAFITLLYFRVLAPSTDEHVRLLCVKTMTALYNKYPTEIRSLVFLNQF VRIAMTSPTTWSRTLRGHVMLFVERVLSNAVNVTRFLHEPANLELVVRLLREGNDAAD ADAADADADEATSIVQTCLVVLMKLVHCQTTHHDDLAKSEYFVGNQPTTTAAVGPVSY IKRALATHLKFLVTLLDHPSRAVFRKVIHLFYLLIQNNESLVPTLHTTGLFYYLFRNA RTEDDMFVVANFVTPIHLRQRPVHVTPEELTKLLDNANPTPSFTQRCMKSWLIKILPV SLVAQLVRHGSHKFASVYFATSNDPETLWNATLREQMLVQVDAFIASHTSQPHGEFTL SVAEEDTTTPLIAYPEQVYKLQVDQYYLHNLLDDTTFPNWPINDPPAFLRALMDAVHS WVYPSLTKLSTLDIVMVFDSISLLFRRFWSSLEAKLHDHLNFSLLLLALKKCNDMPTP QWDVFLSALAVITAACHSSAIINTMNDTTVVSGLRVMYDALALAHAHRANDLAPTRFL LQTFNLIVHQPLGRDGLSSSPSLSILPFLQPYLDLTTPSQDLTALALEIVQGMAIGSA KSDELLDAMAKRGVVWFLAPIICDSPGQTRTRTLAAEALKGLLKPENAPATSARGRMQ RTIDQIFTRPLIDLLLSQSDPDMFLRVVSHDVKKPHMMWTASMRTQLLALAAEAHAAS HEFALPDKFLYDAQVSELRVADIYVNFYNDDPVSGITALISSGLADERTGRGTHVTDQ TEIRKRVMANLLSSLSHDIAGVRARPETLEATLRDRMLPVVTAVRHMLQHTPEMDMQL VDVDGIVTLFAGLDHDETKIRFSTDKAPYFQLRVMECLHVAMFSPKCIEKIADKIPLY VKSMFATVYTHLPKRKESDEGQLARVALQFVGNLCLVPTCIDKLVSGMDPAALAKTLP LMSAGNNHQDVELLLNIHTTALKRHTNLSINFAKSTAMGPMVTALLNLLSAPDAIAGN IKAPIARFLSVLSIIPGNQVSTSLRDSTVWKEYTSTHVVGHEKAQDASADVKKWLSLP VAPELLKFKYANPQKIGILLA H257_07981 MSSAYEHHGDVEVHVDEHEHEHVEAAPATLHLDSEEEYDEESDD DDDDDHAPSSSISVPAASSDVTEEEVAIAPSTLLLRQRSHLQSSDADLEETLEEYLTY GGAHPDVVKLHTGYVPWTESALKEYEANKDKELVDTDVPANRLSGVIYAQRFWVTLAG WGLGFGSKPKLLVLSPLNLFALDPTNEQTTDTWAYSDISEVIAADALSFTIVTKSTNA RSTYICKDKETRNQFLSSYYQLYYRDRPNDKDQAATLFQTPTYVMKKRSKTKGSATSP VDTLVLLEVRRASLDRLDPKTKKPIASISLTDILKVQKLQNDPHGLVLYYQQDKSNIT ATRYTCEEREAFIGVVINNLRTIVKEPLQVQEVPDAFEYDSLVYPLNDPVSVLFEMPV LRRSKRSSIKKAVTLALTRSDILERDPVTHRTLVRISLSDVFNVVLSPDEPEKLSLEL KNGRTRRYASVATAAAVRSPQVGLGLDQVPDQHRVKELQAAVMDPTFTSHPSFATAGS TTLLTPSASRNLFMSNVIEVFAKNKKHVSWSTEETPLGCKVGGWSGECNAEWEEILLK KLLVTWKSTHDNQQYFDQLFRFLEQFNKNVPVGSVSSKSVAKPLACLFKVVEQMREYI SLKIKDPSSNAHAAIPSAQLQVALLLAIQRLLHTSLGFQEVLRKEYRKVVLVVMEFLY SPVPEVGLAAAQVINCLVVNYSNDKNSVKMELANRKAVFHTEKRANLFVNRVFDPAAQ TSNVYDAAVVPNPLMNRVLSSTLVGLDFVVLHSVLATMEVCLASGKRSTPEKVHRDLL NAMHIDDFAHHHTLFTLNRSLSFGIAKASSILVKVHVLEQPSELVEQIQDFARTQGAL LWQLYLSLGGHDHSQRRISSQLVALLTHENPRSSNLIRNIFPHALLGDIPPEKCSYDE FGRRLPVLLPSSASLSAPTNNAATPTTTTAVSLAPLSNVTDLSASRLHNTGATDPATS SSVLPTTPPTADERLTVLASDKVHAGVTSRAHVVATTKCVVLLPDFFDKLRGVVVQKD LKWDAHNLAELQTRLVDELSLFDLNRLTYFFFLLSSPTDRTESALDASMERGVRRDAD ALSSAFPAVFGGVVATTTTATTSTAAPSDPFDKEDDITAADDVPAHHQHHVEQHQHIV ASPKPFWFLNWNADEFVVEFACLKDEVRVGAYYLKALFNRHGDLAEDIVDVEAFITLL YFRVLAPSTDEHVRLLCVKTMTALYNKYPTEIRSLVFLNQFVRIAMTSPTTWSRTLRG HVMLFVERVLSNAVNVTRFLHEPANLELVVRLLREGNDAADADAADADADEATSIVQT CLVVLMKLVHCQTTHHDDLAKSEYFVGNQPTTTAAVGPVSYIKRALATHLKFLVTLLD HPSRAVFRKVIHLFYLLIQNNESLVPTLHTTGLFYYLFRNARTEDDMFVVANFVTPIH LRQRPVHVTPEELTKLLDNANPTPSFTQRCMKSWLIKILPVSLVAQLVRHGSHKFASV YFATSNDPETLWNATLREQMLVQVDAFIASHTSQPHGEFTLSVAEEDTTTPLIAYPEQ VYKLQVDQYYLHNLLDDTTFPNWPINDPPAFLRALMDAVHSWVYPSLTKLSTLDIVMV FDSISLLFRRFWSSLEAKLHDHLNFSLLLLALKKCNDMPTPQWDVFLSALAVITAACH SSAIINTMNDTTVVSGLRVMYDALALAHAHRANDLAPTRFLLQTFNLIVHQPLGRDGL SSSPSLSILPFLQPYLDLTTPSQDLTALALEIVQGMAIGSAKSDELLDAMAKRGVVWF LAPIICDSPGQTRTRTLAAEALKGLLKPENAPATSARGRMQRTIDQIFTRPLIDLLLS QSDPDMFLRVVSHDVKKPHMMWTASMRTQLLALAAEAHAASHEFALPDKFLYDAQVSE LRVADIYVNFYNDDPVSGITALISSGLADERTGRGTHVTDQTEIRKRVMANLLSSLSH DIAGVRARPETLEATLRDRMLPVVTAVRHMLQHTPEMDMQLVDVDGIVTLFAGLDHDE TKIRFSTDKAPYFQLRVMECLHVAMFSPKCIEKIADKIPLYVKSMFATVYTHLPKRKE SDEGQLARVALQFVGNLCLVPTCIDKLVSGMDPAALAKYVRGPWIYHLLPSQHGGLLC H257_07982 MGEEVAHGVGKCVAPVMILLVGVVILGMCIPWQRRMALLRVLLF APFRRRVLRLVRRIQVPTERHSFLVKLFWLRGVHQHLVIMIFGILEEVDVFQLGRWWR RERR H257_07983 MYLGRSDMHIGHALAVGLWSCLLGVPHGFVIDPSTSKEDIQRAF HCGPARWIGSYNEFYQKCVFLNASESVLVVNTTLDATSLFADIDTLESMHVSTSSYHI ELDIHLDGAATTQFSMHNASIVSSAVYIYSQNISIDTSSCINTTAQGLKFGPGFNSAV TVGSAYGGTGGGALSSTDMLLPSKCADVDVESTMYMQPIGDLKGSVGDFRGYGSGGGT DLTRGAGFVQLNASHTLQLDGLVLANGGFDSVSTASRSGSGGTIRLSAAVLVGSGHVE ACGGNATAPDPDSSDGGGGGGGGGRVVLEYEKGHRGAVRVHVHGGTHAAEDMPSLGCQ EGGAGTFLEVVRSTGGSLEGSIWILGRRRHTPPAGQMAGTPLFYRTSRRELMIEPWML HVHVANHAMVFASSVQLSPANNTSIEVEAGSFWATLMFNDTIQLVASTISIAGYVGPV TQDKQNVLLVAYDRIVLASTSQLVVYSLTGQTSTFESNGAILASHFVAIEATLNVRLG GHVDLQPSRIGQRFRATTLRSHNGSVAVNLDSSSQVLVPMEIVATNGTVQLHVSCALS AVSIAAGHVDVVGGADVRPLYPIRGGTDICRVWPTQLSEVCGADPPPPYAVSIAASTS VSFTRSLAVASLLVCSPEAIINGTISADGLGCADGKGPGHSRAVRRLASGGAGHGGAG GNVMPVKEGAGAAFETSGWPQWPGSSAASHEEGEAPFRGGDGGGLLVFAVKAIAIAKD GVVSVRGGNGTRGGGGGSGGTIVLGGVANVAGDGVLDLSGGSGSSVGATSSTDTDVTK TSVESGGGGGGGVMWIHYQAQGSGRDFHGQVVLAGGVSAGQTGLDGVATGDACGSGCG GLLCLPCTPGTFSPAVDVDCTACPIGSYSDTEGATTCTPCPKGQCNPNPGSRACVMCG MGLYSPTEGASICVKCPRGSYSDEKGASGCTLCPNGTIAPVDGSSHCADCGVGETTAG PGAVACRGCSVKPEHATYNKHGSCAYMCDKGHIGLDCLTPFEEFIQPIGGPVGFVVLC FVTVLSVFGMYGYVSSYGNGGGSIPILKQYTAVRAPAPPSPSTHLPRLTDHQLTFHVA RLYFDGANTLSQPWQLSTDLVVSPNLRKTMYEGSYAGFASKCNAICTNHAAAWNRVAH VQRLARLVVPPVATWMLRLYQRATVKLLFAFVTEYGTGFFRDLDVQVTGAHLILGYSS DYSLGYVDVLLSPDAVQRTHEAPPPPPSLLFVAAGIGSFMCPYYLDTNDTLLRAVPSR VEILRDSVWLEFIAAMNQHLRLLTPSGSLDAILDHVHAFNDSDVLNGHTVEFGVYTSP RHDAPPPGRHVSFAVSIPDDCFERVFETQSLPPHSKWAIFIVHNERRRRVCHPASAAN KTSATSPPPPPPSMPAVSSIRYAALYAPDPSSLLSAHTTPLLEDDSSAASRPSKMRRT SPSVDLSAWRSSVPVLLAPWSHVQVVATPYTWLLPYSLLLLLSVDFFTMLWILIEYFC IQVLDPMAQGTGCSQVAFELLLLCMPGAVLGAPVLGIVFVLQRHSLLGRLFILWTHVC WINMLGALVSDAVYHAYLGEYVLGLVLAVMAIKYAEAHVGLYVLAQFEGRRMGRGWKG LFTTQEYYDAAYRRF H257_07983 MYLGRSDMHIGHALAVGLWSCLLGVPHGFVIDPSTSKEDIQRAF HCGPARWIGSYNEFYQKCVFLNASESVLVVNTTLDATSLFADIDTLESMHVSTSSYHI ELDIHLDGAATTQFSMHNASIVSSAVYIYSQNISIDTSSCINTTAQGLKFGPGFNSAV TVGSAYGGTGGGALSSTDMLLPSKCADVDVESTMYMQPIGDLKGSVGDFRGYGSGGGT DLTRGAGFVQLNASHTLQLDGLVLANGGFDSVSTASRSGSGGTIRLSAAVLVGSGHVE ACGGNATAPDPDSSDGGGGGGGGGRVVLEYEKGHRGAVRVHVHGGTHAAEDMPSLGCQ EGGAGTFLEVVRSTGGSLEGSIWILGRRRHTPPAGQMAGTPLFYRTSRRELMIEPWML HVHVANHAMVFASSVQLSPANNTSIEVEAGSFWATLMFNDTIQLVASTISIAGYVGPV TQDKQNVLLVAYDRIVLASTSQLVVYSLTGQTSTFESNGAILASHFVAIEATLNVRLG GHVDLQPSRIGQRFRATTLRSHNGSVAVNLDSSSQVLVPMEIVATNGTVQLHVSCALS AVSIAAGHVDVVGGADVRPLYPIRGGTDICRVWPTQLSEVCGADPPPPYAVSIAASTS VSFTRSLAVASLLVCSPEAIINGTISADGLGCADGKGPGHSRAVRRLASGGAGHGGAG GNVMPVKEGAGAAFETSGWPQWPGSSAASHEEGEAPFRGGDGGGLLVFAVKAIAIAKD GVVSVRGGNGTRGGGGGSGGTIVLGGVANVAGDGVLDLSGGSGSSVGATSSTDTDVTK TSVESGGGGGGGVMWIHYQAQGSGRDFHGQVVLAGGVSAGQTGLDGVATGDACGSGCG GLLCLPCTPGTFSPAVDVDCTACPIGSYSDTEGATTCTPCPKGQCNPNPGSRACVMCG MGLYSPTEGASICVKCPRGSYSDEKGASGCTLCPNGTIAPVDGSSHCADCGVGETTAG PGAVACRGCSVKPEHATYNKHGSCAYMCDKGHIGLDCLTPFEEFIQPIGGPVGFVVLC FVTVLSVFGMYGYVSSYGNGGGSIPILKQYTAVRAPAPPSPSTHLPRLTDHQLTFHVA RLYFDGANTLSQPWQLSTDLVVSPNLRKTMYEGSYAGFASKCNAICTNHAAAWNRVAH VQRLARLVVPPVATWMLRLYQRATVKLLFAFVTEYGTGFFRDLDVQVTGAHLILGYSS DYSLGYVDVLLSPDAVQRTHEAPPPPPSLLFVAAGIGSFMCPYYLDTNDTLLRAVPSR VEILRDSVWLEFIAAMNQHLRLLTPSGSLDAILDHVHAFNDSDVLNGHTVRHHPRVSV VIYIVFFYPKSG H257_07984 MSTVQVPPTRMNLTTYKAKRVAAKKGFELLKKKADALKMRFQLM LREIQKTKMAMSQEASDAFFSLSQAQYAAGDFRHKVVESVTTAEIRLENRIDNVAGVK LPVFTEVETSRDKAENIGLTGGGGKIQNCREKFRVLLKALVKLASLQTSFVTLDEALK VTNRRVNALDNVTIPRIDKVIDYIVRELDELEREDFVRIKKVQANKIEFAKEEAAKLE ALVASGAAVPAAKHVLPSDEDIMAAFEPAADADVVF H257_07985 MNKYEVLGIVGEGAYGVVLKCRNKENGEVVAIKKFKESEDDEIV RKTTLREVKLLKMLKQENIVNLKEAFRRKGKLYLVFEYVERNLLEVLEEKPTGLDPEL VRRYIYQLSRAIHYCHENGVVHRDIKPENLLVNPNSDHSLRLCDFGFARLIAESQSQE LTDYVATRWYRSPELLLGSTKYGKSVDIWAIGCIMGELLDGQPLFPGESEIDQLYMIQ KMLGPLTPDHMDLFLSNPRFAGLKFPDMTRPETLQKRFVGKIPKRALGFLKGTIQLGP DERMTSVECVHHPYFEGLENEMDELKWNNQPSPVMTKPSTKEPPDHHTTTTTTLASFD DSSATTTTSSSSSSLLLLDKPPKARKDSPPQLQNATEPTTTSSTSRSKKDPSKKKREN QKATKDKQHPNGGVSDEGAGKEARGAVRNVEYGSGHHDGHEADYADLAMPKEYSNTSS RKKSSAKGSKPPRAPPPAEDKPSQPSIPKPSSKSSFAKSVAPCPSPASATPSAIAAAS KVVSLSSSTPPPSESAAMSGRRKKSTSSLHKADSKTTSSTSHKRTPKDPPDAKMVKSV TVLPKYQKESKHLPNLAFGGDDGDGGNDSDSDSPPPVCTSSMRKSSPHHQPSYHGGLQ PLRSDAKDSSTRTSNL H257_07986 MGALLQRMLQAFYTKKLEVVLVGLENSGKTTLLNVMAMGHPVET CPTIGLNVKLVKKGGVQMKCWDIGGQAQYRSEWGRYTRGCDVIIYVVDANAFDQISLA RKELHRLLEDRELATTPLLVLANKIDLEPHISEPELIRELNLDYIVDNPWLVIPISAL RLVNIDQVIQWLMKQSGKN H257_07987 MDEFFMRHDVYKDRDADELGGKVHSDSEASDDEESLPASAHDPF GPWQEQQDVSKQGKRRQFKKSSFTGPKGVLTDYKAYKKAKAAERTQDAAVREAVLNRI AKGYVVPAAAPASTSESSSCCNNVHDSDDDLLDEFESDAFLQTYCAKRVTEIQSAVTL GGPTFGALTYCSAFDFVDIVDAADHRTRVVVHMSDEQNYLCVAVNNCLHKLSPEHPRT QFLVVQRHDADATIRLDEVPLFLVYQGGVQVDTILNVAAKVNHQVTVDRLALVLHNMY Y H257_07987 MDEFFMRHDVYKDRDADELGGKVHSDSEASDDEESLPASAHDPF GPWQEQQDVSKQGKRRQFKKSSFTGPKGVLTDYKAYKKAKAAERTQDAAVREAVLNRI AKGYVVPAAAPASTSESSSCCNNVHDSDDDLLDEFESDAFLQTYCAKRVTEIQSAVTL GGPTFGALTYCSAFDFVDIVDAADHRTRVVVHMSDEQNYLCVAVNNCLHKLSPEHPRT QFLVVQRHDADATIRLDEVPLFLVYQGGVQVDTILNVAAKVNHQVTVDRLALVLHKYL H257_07987 MDEFFMRHDVYKDRDADELGGKVHSDSEASDDEESLPASAHDPF GPWQEQQDVSKQGKRRQFKKSSFTGPKGVLTDYKAYKKAKAAERTQDAAVREAVLNRI AKGYVVPAAAPASTSESSSCCNNVHDSDDDLLDEFESDAFLQTYCAKRVTEIQSAVTL GGPTFGALTYCSAFDFVDIVDAADHRTRVVVHMSDEQNYLCVAVNNCLHKLSPEHPRT QVHD H257_07987 MDEFFMRHDVYKDRDADELGGKVHSDSEASDDEESLPASAHDPF GPWQEQQDVSKQGKRRQFKKSSFTGPKGVLTDYKAYKKAKAAERTQDAAVREAVLNRI AKGYVVPAAAPASTSESSSCCNNVHDSDDDLLDEFESDAFLQTYCAKRVTEIQSAVTL GGPTFGALTYCSAFDFVDIVDAADHRTRVVVHMSDEVHKRKKNASPMSLLCDGHVYSK ITCAWP H257_07987 MDEFFMRHDVYKDRDADELGGKVHSDSEASDDEESLPASAHDPF GPWQEQQDVSKQGKRRQFKKSSFTGPKGVLTDYKAYKKAKAAERTQDAAVREAVLNRI AKGYVVPAAAPASTSESSSCCNNVHDSDDDLLDEFESDAFLQTYCAKRVTEIQSAVTL GGPTFGALTYCSAFDFVDIVDAADHRTRVVVHMSDEVHKRKKNASPMSLLCDGHVYSK ITCAWP H257_07988 MTRLAYTVLFFVNAIVATALRAFGDGFLKYLWSFETCTDEAANP HCVGNQAVYRASFSMSCFFLLMAIVSALSDRGFNNCCCLWCFQLPLYGALFVGAYAIS NDFFYGYAWVARVMSVLFLVLQIIIIVDTTYNVRDYLVDKIDMSDADERVSLLSSSAP SSSRFPTWFWKSAFFGLVALALGGALAGVGLLYYYYAVCQVGHVFTTITLAAIVVVTG LSVTVEDGPGLLPPSILSLYIAFLCYESVSANPNAACNPFLTYQATSTANTVVASLIG AATITWTSWSTASSLIRMDVDDKDDHVVVEAGKQNASDGSDVPSWQFHLIMVVGAMYM AMVLSQWDTASGHADGAAMWVHITSQWVSIAVYMWTLVAPYLVPDREFR H257_07988 MSCFFLLMAIVSALSDRGFNNCCCLWCFQLPLYGALFVGAYAIS NDFFYGYAWVARVMSVLFLVLQIIIIVDTTYNVRDYLVDKIDMSDADERVSLLSSSAP SSSRFPTWFWKSAFFGLVALALGGALAGVGLLYYYYAVCQVGHVFTTITLAAIVVVTG LSVTVEDGPGLLPPSILSLYIAFLCYESVSANPNAACNPFLTYQATSTANTVVASLIG AATITWTSWSTASSLIRMDVDDKDDHVVVEAGKQNASDGSDVPSWQFHLIMVVGAMYM AMVLSQWDTASGHADGAAMWVHITSQWVSIAVYMWTLVAPYLVPDREFR H257_07988 MSVLFLVLQIIIIVDTTYNVRDYLVDKIDMSDADERVSLLSSSA PSSSRFPTWFWKSAFFGLVALALGGALAGVGLLYYYYAVCQVGHVFTTITLAAIVVVT GLSVTVEDGPGLLPPSILSLYIAFLCYESVSANPNAACNPFLTYQATSTANTVVASLI GAATITWTSWSTASSLIRMDVDDKDDHVVVEAGKQNASDGSDVPSWQFHLIMVVGAMY MAMVLSQWDTASGHADGAAMWVHITSQWVSIAVYMWTLVAPYLVPDREFR H257_07988 MTRLAYTVLFFVNAIVATALRAFGDGFLKYLWSFETCTDEAANP HCVGNQAVYRASFSMSCFFLLMAIVSALSDRGFNNCCCLWCFQLPLYGALFVGAYAIS NDFFYGYAWVARVMSVLFLVLQIIIIVDTTYNVRDYLVDKIDMSDADERVSLLSSSAP SSSRFPTWFWKSAFFGLVALALGGALAGVGLLYYYYAVCQVGHVFTTITLAAIVVVTG LSVTVEDGPGLLPPSILSLYIAFLCYESVSANPNAACNPFLTYQATSTANTVVASLIG AATITWTSWSTASSLIRMDVDDKDDHVVVEAGKQNASDGSDVPSWQFHLIMVVGAMYM AMVLSQWDTASGYDLSLSVHINIIMQLQSRPIHYQHGGVSVGTRMARRCGCTSRRNG H257_07988 MTRLAYTVLFFVNAIVATALRAFGDGFLKYLWSFETCTDEAANP HCVGNQAVYRASFSMSCFFLLMAIVSALSDRGFNNCCCLWCFQLPLYGALFVGAYAIS NDFFYGYAWVARVMSVLFLVLQIIIIVDTTYNVRDYLVDKIDMSDADERVSLLSSSAP SSSRFPTWFWKSAFFGLVALALGGALAGVGLLYYYYAVCQVGHVFTTITLAAIVVVTG LSVTVEDGPGLLPPSILSLYIAFLCYESVSANPNAACNPFLTYQATSTANTVVASLIG AATITWTSWSTASSLIRMDVDDKDDHVVVEAVLPITSHACWLLELNGACTIGKAKRIG WLGRA H257_07988 MTRLAYTVLFFVNAIVATALRAFGDGFLKYLWSFETCTDEAANP HCVGNQAVYRASFSMSCFFLLMAIVSALSDRGFNNCCCLWCFQLPLYGALFVGAYAIS NDFFYGYAWVARVMSVLFLVLQIIIIVDTTYNVRDYLVDKIDMSDADERVSLLSSSAP SSSRFPTWFWKSAFFGLVALALGGALAGVGLLYYYYAVCQVGHVFTTITLAAIVVVTG LSVTVEDGPGLLPPSILSLYIAFLCYESVSANPNAACNPFLTYQATSTANTVVASLIG AATITWTSWSTASSLIRMDVDDKDDHVVVEAVLPITSHACWLLELNGACTIGKAKRIG WLGRA H257_07989 MSKRRRENEAAVPIAKRMQQSADLPFVVAKPANDDIEDQIKALE AQLDSSSSDESDDNDDDTTPTSNDDAHIVNLSAYQHDIVPALPSAQLPKAHSFQANAS RSAKSTPPSVPKQKIVGKVPFACKPCGFVGKDLADFQAHKASGAHNDVVGTTAQRLSC QLCAKDFTSADQLAEHKLGKWHLMRKRTKKEHFHDAVRVCYDFMRGNCFRGDACSFGH AATNAKHQTIQKPTRHCTQFVAGTCKFGDQCIFIHQSS H257_07990 MGIAEEEAELSREMVQFLTNDVISQLRTTQSQLEDAERRWSRME TQEEATGKSMKAKVKIDGHLVTEADIEVNLRRGYLVNSKLHQACVLDQLLEFHRALQL QYRRMENIVRLLEKQVSSIQDAGDGRLLQHTSSLVAQVVTELGSMCRDLRTGGNAVRL PSTRRFPYCSQLDHHFVPALPSDMLIDMSVHQARLVVEAFIVAPSSKPTDNFLSGDGK KEFAGQVTVFRGQVVEIVKQTSVAVDLPGLDDMLTTVDAQVARLLHVRDQGDALMQCG VVVSS H257_07990 MGIAEEEAELSREMVQFLTNDVISQLRTTQSQLEDAERRWSRME TQEEATGKSMKAKVKIDGHLVTEADIEVNLRRGYLVNSKLHQACVLDQLLEFHRALQL QYRRMENIVRLLEKQVSSIQDAGDGRLLQHTSSLVAQVVTELGSMCRDLRTGGNAVRL PSTRRFPYCSQLDHHFVPALPSDMLIDMSVHQARLVVEAFIVAPSSKPTDNFLSGDGK KEFAGQVT H257_07990 MGIAEEEAELSREMVQFLTNDVISQLRTTQSQLEDAERRWSRME TQEEATGKSMKAKVKIDGHLVTEADIEVNLRRGYLVNSKLHQACVLDQLLEFHRALQL QYRRMENIVRLLEKQVSSIQDAGDGRLLQHTSSLVAQVVTELGSMCRDLRTGGNAVRL PSTRRFPYCSQLDHVSLDLHQVVPCYDVALCAGLAKRYAHRYVCAPSATGG H257_07990 MGIAEEEAELSREMVQFLTNDVISQLRTTQSQLEDAERRWSRME TQEEATGKSMKAKVKIDGHLVTEADIEVNLRRGYLVNSKLHQACVLDQLLEFHRALQL QYRRMENIVRLLEKQVSSIQDAGDGRLLQHTSSLVAQVVTELGSMCRDLRTGGNAVRL PSTRRFPYCSQLDHVSLDLHQVVPCYDVALCAGLAKRYAHRYVCAPSATGG H257_07990 MGIAEEEAELSREMVQFLTNDVISQLRTTQSQLEDAERRWSRME TQEEATGKSMKAKVKIDGHLVTEADIEVNLRRGYLVNSKLHQACVLDQLLEFHRALQL QYRRMENIVRLLEKQVSSIQDAGDGRLLQHTSSLVAQVVTELGSMCRDLRTGGNAVRL PSTRRFPYCSQLDHVSLDLHQVVPCYDVALCAGLAKRYAHRYVCAPSATGTRCDGHVE FMHLVM H257_07991 MMAPPPFDARGTTEGDATECHICHSPCRMIAKFCPHCGAKMSSI FLAAKRKLPALGCFTKRQPVPMPAPQSVLLDKTPPRKPTVRTLKRRIPVKAMPPGSNQ QLHMNGPTPSKAAMAYQALVSSAVPAAATSLPRLHHPSPVVPSTLPHDVDARLQAWIN RLQNLHAAVIVYKPSTPHPSQKMLTATAKAKLVRQFATLKDDWVALDLDMHSTVATRS LALWTRSSSILADIPSQPPAATVDDAQVSIKVEGSVMYFKSRRSPAPAVENGDAGRSS PLHVPPKAAFEPGRPSTALEPPLFHATTPQLSPRLSVTKCRHFLHSRPSHLFTQVYFV HGAPGPTIVSVDGGNEGDLLTFRAYCPRTCQASLVQVATSVILQMIPQLHAPLYFRHQ LPPNCRYALSHWVSWCSPVLRCLRWKDHALTLRYPCVFRSSNVLQYKGVCFFRCLLQV HVMGDFSIEVSAVDVASDRVFHTHVTLSLLLVHSPTYLDHVATRWCQWGSGLLASLVR QLWLEYTTKGFELLVAGQTPVGRVLPHPLLKMSDADWLVFLHLERERLAIVRSDCVRL AASVALRKHTCRQEQEQKRRIDRRRHHAATTVQRVFRGYVGRIKFHQVVYDDALAHRT LGAMPGTPLTSRRRCDLVRRGWFQDPRTLMAFGFSSSHPVAAVQCPHWIVTHHDMHRH VLNDSHRLIRQAIAAQCIQSHVRRWLAHRWYRTLHRGVVSFQFQVRSTLRRRYRRLHR ATHGLSFAFARRIRHTWLLLRIRLRPPHHDEIDWVVELTGLHPDSGVAASQRIPMARL TANGTFERDEWRGMKNYDVATRIVDTTDLFYSAQSHLMTFAVGPSKTTAWQGISR H257_07992 MARPVDLMTGNSTFNWQGRRIDPVNDAAVHGHSCRSKARRPSMT GRPYLVQYGRPPRVVHQVLALGGHHAGRDRWDHVEREYERPDSHACDVTGGMGILYEL EHGGIDTHPVTDIMTWPSVVACWEYSKYLPRVAPWSA H257_07993 MFAMKPIATAPTPKDDPVKGFLTDLAAGGVAGGISKTVVAPIER VKLLLQVQAASTQIKDPYTGIINCFTRVAAEQGPLSFWRGNLANVIRYFPTQALNFAF KDKYKKLFLDGVKKDQFWRFFVGNLASGGAAGATSLLFVYPLDFARTRLGADVGVGKE RQYNGLVHCIQTIAKSDGVKGLYRGFGVSVGGIIVYRAAFFGGYDTARDVLITDPKNA PLWQKWIVAQVVTTFAGVLSYPFDTVRRRMMMQAGRKDVLYKSTAHCWSKIASEEGPK AFFKGAASNVIRGTGGAFVLVLYDEFKALLGFQGGPSSE H257_07994 MPPSTPTASRRLQFFLGTLIGISLVVYVEISFAPRSIDLTTSFR HIPTWVHGPPPPWSRTDKFIPDPHVGLDAGQIARQDAVKRAMQHAWSGYESRAFGADE VAPVSGQRRHNVWGGIGVTLVDSLDTLYIMGMRDEFHRARNWVANHMNFTHLGADGTK ISVFEIVIRQLGGLLSAYDLSRDAMFKQRAVELADRLLPAFKHGVFYTHFNVFTNETY LPLHMGLLADFGTLQLEMRYLSDITDNALYREMGDAFYTAVRREGSFENTGLFPVEYE PETGHFATEDTIITIGARGDSFYEYLLKVWLYSGKRTDDLFLRQLYDDAVAGMETHLL VYSTIDDVYYLKELQLPEMYSKPRQDHLLCFVPGMLALGTVGESNATKVTKHLALAKK LMHTCVSYYTRQPTGLAPDLVGFPGFDVLNSVYILRPETVESLMYMYRITNDPIYREW GWTIFEAIEKHAKTTFGYGAVWNVHNLTDAFIEDKMESFFLAETLKYHYLLQSAPSFV PLDQYVFNTEAHPLRMNRQD H257_07995 MQFPNRLKIPLVLVLVALFVGLQIYIVSRLDISPTADRSFDAFS RPRRWKPSPSPIAVAEKSAAANFAPRQKFIPLPHEGVNETQIARQDAIRRAMQHAWSG YETRAFGADEVAPVSGERRQNVWGGIGVTLVDSLDTLYIMGMHDEFQRARDWVANELE FSHLGRDGDTISVFEVIIRELGGLLSAYDLSQDNLFKAKAVELADLLLPAYEDQVFYT KLNVFTKRKSMNHWTHYRAFLADVGTLQLEMRYLSDITGNSEYAEKGDAFYDVIQREG SYEHTGLFPVHFEPDSGTFSRSDTFVTIGALGDSFYEYLLKVWLYSGKRADDLFLRRT YDDAVAGMEKHLYVHSVPDDAYFLQELRIPQMEGTPQQDHLLCFVPGMLALGSVGETN ATKAAVHLDMATKLMHTCVSYYTRQPTGLAPDLMHFPGFDVLNSIYKLRPETIESLMY MYRVTHDPIYREWGWTIFEAIEKHAKTTFGYGAVRNVHNLTDAFIEDKMESFFLAETL KYHYLLQSAPSFVPLDQYVFNTEAHPLRINRNPATTN H257_07996 MKLPLYQSREFFVKTDLRLSVEPKALTTSSSYDDVIPHLRGGLA AVTSPVSELNDEAIENQARDATARPSEGPWHVGVPSISQAATSSPLLNEHDQVETTPT TPSSSQTSSPTSRKPTAAVGAFVPHPDVGVDDVQRSRMLAIRGAMQHAWSGYETHAFG ADEVGPVSGDRKQDVWGGLGVTLVDALDTLYIMGMADEFQRARDWVATELDFTHLGKD GDNISVFEITIRELGGLLSAYDLSGDDAFRARAVELGELLLPAFANGVFYTQFNVFKQ TKAMNGWTGYRGLLADLGTLQLELRRLSDVTGNPIYAERGDAFYDIVQREGSYEHTGL FPVHFEVESGTFSTSNSLITIGALGDSFYEYLLKVWLYSGQRQSDAFLRDLYNDAVQG IETSLLRYSKPDDAYYLQEVTIPGFSGQPKQDHLLCFVPGMLALGTLTDTDPARVVKH LDMAKKLMKTCYNLYSRQPTGLAPDIVTFPGFAVADSRYRLRPETVESLMYLYRVTKD PMYQDWGWQIFKAIEKHAKTSFGYGAVLNVDSAAAAHVEDKMESFFLAETLKYHYLLQ SAPSFVPLDKFVFNTEAHPFRVKARGG H257_07997 MPVLACKFIKDMINAGLLNKADTESPMHVANACMKNVQGWDLKS EINDIQRQLEVKACGCATEVRRLVQLLSKYHTGPATPCVIEGYAVPTSPTLVPTSPTL VPTDLDSDATELITSVLPPELSSEAHPKAFVPPSSSLHAVPQLPLLPTPAPTPLKTPW RINVIALHRTPAPTPLNALIRDRNESNVQRPAHHVKLIDPASALWTRC H257_07998 MPTLREMSASSSVGSVLHGEPLSSMESVPHAEPLPSVESVLHGE PLLSSMESEPHAELLPSVEPVLHGKLLSSVESMSSVELLSSVGSMPHVEPLPLTFDAF ALGRATRPKRVKPPGGHRVLSRAQESLAALGMIMELAKHDLLLSPNQQQVFGSRVLEP HSQRVYKKHYRGLWYFFGLIGDYTSLLILRHDCPQHAPAMSVKSLCAYLKYKTGAIGR ILHYDDGLPVLDVDGQPVLCDGQWKDPQNMIQLSSAVSAAHKAKGMGQVPYEEQCDAC YRLFSDKSLVTGCRHHAGRGRLSRTGNARFSEDFINCMTRIRKHDLWLYTPSPESMCN PLELVNIRRYLLAANDLLGWKLWTMMIFHIRLFLRSDEGVDFMCSQFLPPLTSVDEFG TVTMLAVQISGKTDKKKWIVLSLYRDDQCPELCPVRAVLAWIHLSRHPGTGYLFPHDK DATKCYPPATFQTKCRNVCTKVTGRQGPFATHWLRKTGWLLATWGGASDVDMQQASRH KSLEMAGRYKQDAQSLLEIAKNQRDKSILQYVPKWRAVFVSNLQAAAGVNVDSSTEPM PVLACKFIKDMINAGLLNKADTESPMHVANACMKNVQGWDLKSEINDIQRQALQRLA H257_07999 MEGRITPYGKRKLSDTTRRAIYDMLLEISAAGKLTHGSCKKVAG MFKCHWKTVSRVWHRGRDSLRQGSAVADKHSAADIERAVRAVPLLARQTVRTMAAQSG YSKTTLVRHMDEEKTLKPKSSHSKPYLTDANKRCRMEHAMSFLSESLNGTTFSNMYNY VHVDEKWFYLTAVKKRCYAYDDEVKSKRFITKVMFLAAVARPRFDFHKKSLFDG H257_08000 MKPKRFRKQVPRTYLWCDDSVEKMFMLRYTSALAPRFESKNNYG KGVAYVMLATELSVSMEREFTAKQVQDKAVDSSFVADDDDAKEIKIEMAEEPSKKKQK KSSRDAKAKSQSESLEAGFNAIKEGLMFLGTSMSQQPQVQPAPGATLDDVLIAIKSQS DTMTQLLSHLVAQKGNKDA H257_08001 MPDMFHDDVYTSKRFKLLYAAHVVPLPPQKLDNDPQSQTRTPCG TDVNPSKPGPKPKRRKRS H257_08002 MLDSLYVSVAAAGIAEGCLFPTYSVLTRELFGAAHFGKKFGYMT FANAIGFPLILGPLASALYHVTTTTSPSGVEICQGHSCFNLTFLICVALNAVSLCGSL QLHA H257_08003 MVHVICTKYFEKEIVSNCTGLDRLAADYRRKCFALIFDSMTPDD CDRPLSLAVDTFARQQFGSTVETVVSPAIFGGIEATKCDRALAVDDALQLSPPRLSTK LQVQSAVDTGSTWNAPAYLLCDPKLEWFFRRIHGF H257_08004 MEEMSQVYHDYAQQVAYDSPTPIVDRFHLQGGNAALATMINLTQ PEFETIWAIVESVVVPAWTLGRGRKSPVSSKDAFFMTLVVLKHYNAWYKHALDFRMKA PTFEKMVHRVLEVDRWPTTWSVLVDMGHQGIQHEFRSVQPKRRPQGGFRTPRELERNS RVSSDRVLEENYFGQCSLWRIMSTTYESKLIEYRVFCCALTNAHVSWIPLRGADGSGV EIKSNIELDARDDLTQFRLKSTGAGSHVPIFYHIGDSKELATSTQHKYQMSGTRQQVL RPQPTRESWTTNEIVDVFLGPPIVQKTMPQSTYGGLHAHLIPSAFVNTKSEVVFKTLD WTISDDLPFSWFEKERTHEYASFKGLSHNQVKHYMHLLRASVVEDIMKELPDKFGVAG DGKEVLLSMIYLIKDTSLADVQGCIASVQQDLMEGEFADLLAKVQKVMLSCKALNNAA ELKKLTSLKSRLLQATRWSSAFEMLVRFQKLLPTLERMPKRVKLKML H257_08006 MAPKGKGRGKGWSNPEVMSMLDCIESHLRLGSNQWDDVQADYNS RIEQNSVWVVRDTESMRRKFKSLRNSKKSTGDPDCPEDVKRAKRINRAMEARMSVLDM GSGDENEDNENDVNNDSDGSSDPPSAPTPVFSPFTLFATPRTGLDPTELATIGQLANS EQTMSQTAQRRRRIDEILADSAENEAIKRRLVFEQRDARKHTFGVLAAMQERQQAMEL EYRQYQMQLAAERDEREAKRLERLEAMDIQRETRQAKMDQIMVAMMSKFLEK H257_08007 MAIYTAQIPSAYIATVSIAPNDFDDVLREFSKHYQIKSGFGKAG RPPKLPFKHQALALVLHFYTAACEPKTLCEVFGIPPSTLSATWRRRNKPLQKHCAASV MLKSDTQASNSNANGPSKSVPERALCVVCGAF H257_08008 MAIYTAQIPSAYIATVSIAPNDFDDVLREFSKHYQIKSGFGKAG RPPKLPFKHQALALVLHFYTAACEPKTLCEVFGIPPSTLSATWRRRNKPLQKHCAASV MLKSDTQASNSNANGPSKSVPERALCVVCGAF H257_08009 MFRVAVRKEHGTQRWWLRAFVPGSVVEPYVSLEINSDSPDRFGK SLAALVCGGSSKAKKDIGTHSIRKGAATFVSSGSTGGPSIISVCLRCGWSLGNVMERY FRYEAAGDQFTGRCVAGLPLNSADFAVLPPHFSGGNDLVVGQAVQIMFLSICSEIHLV PILQLILASLVYHRNFLIGSLPPHHALLSTSLFTNPDLFASLTTIVISGSTSSCLRAN GIPPYVEMYRKLEKNEVILTSMPAKILDGVRRIVEDNGVTAGNITRSVLESSIASALS FIQQSNSAMSPAQQLPIDSQPLIDTQDLTTRAARNMFYEWKFVLEKLTDHFVSVSGRN MALNPSEQEVSTSFDTARGLFDQLCGETSAKRVRRDGQLKITTLVRLLRQLEPSKTPR VFKKRKRSEQRAGSQSTTML H257_08010 MTTSTTLLRGVACTYETFPSYHVLSLLVNNEDGIPIFQPTLVEN EVYRFLRALHILPTVPKQATTTSISQAHLVGQYINDGVSTAGMSMDVMAYFGKQAAVQ HQLTLDGWWAMNLSKHIDKDEEGVYVVVQGSKDDAGGMRVVLFAWLKEESFEPMFLRE RATYVLRFLTTLTSNVVGCLTERDVVQAKLALCAGEAGCVGSASKKYSVSFSIQTAKV VEEKVECRAKYTAGWGEAFETATLIPSQVEVSLVVVKSDRSIATKHNHRQMKSHEDFA AWLKERLNTHVVTLDCPVPRNWMASALKVHGLFPEEAVNGVTPGMIEDAVVVEAEGKV ISELTMMRKRCEENAAIVFHVKLEGSAQEMAANEALEKDLAMLKGWYLALGRWPPGNL LNYGDRIHAYVNTEYVNVLSQSQAPKDLWWSSRLWSVFVGRSQRLSFPYQSDDATAVQ TLQNVLELATRWHRDWCRMLHMATTDMEMFRFLVAQERAEKMKKMDTNALIKNHKSEI IHDAFRSMVEDKNGSQSSRLELVVCRSHQDKIWYEEQCVQDKAELVRLYECTEHAPKP KGSITLPVHSKLVFVCRVDDMTVLVYTRAGTGTTETHVDAYKKSNFSKPVLVRTFPKE ALHCDFDAGHRILVILHSNTVVDVYAFNESYKVLERVSSFNLALLRLESPYSCLIAFG GDNNGVTVVDHRGYMQSYFIRSRQFSKLVDHLVVVNNTKVVKVQGGAIVLLLTELSGA TEHLYPVRVQTLLTADNTMLPETVVELPTAMNWPDCSVQCVGSTLVCFDPLSTKVQTW ELDIVTGKTAWQLQGSQSARGGDNPLEAHPLWSLFHLFEKFPVRSLVSTASPESRLVS GNLHLYVPGLANHPAISNLLVSVMFKLRGLNKDLSSLNLPRDLHCHGTSAVPWSGSSV VVSKWVLELLGFVPVQICRARENQLVVLSNGEDADNMQAATATEAHEVAKSIWFGPIS SVLQRWTGPVVVLTSMGKQSTGKSYYLNHLTGSSFAISGARCTDGVWLTARLMGSCLL VVLDFEGLGSFERSAQEDTFLSVLNAAVSRLTVFRIEMRFDKDIDAMFSKFQQGVSLL KGDPRLFQGKLYLNAKDVNPNDQNTVIFEFQSKLEAILNENRAENFVTSMYGGNVEIT CCPPLGNVGYHEALQEGLELLVKARESVSYSSGLDFYDCLTMVLSKISLLDWTCMEDN LKERLAMEVRGHVRSALRYGKLAHCSLVDGDAASYVDKWLTLLSDADMLQALPADDVM DFRLDLNLKAEELLGEAKAVMMHFLKDFLEHIDKSRSPSVEGQFDSVWTFLLWRRERR VRLWVASLPSVGREEMDDLDVCAVKLKQLLRRCQHTCTECKLGCFECFLHDASVPHDC GTSHKCVGQCSHCSLLGDAEACSYVAGHAGLCNCGLKAHTCHETCALAGAANCDQMCS LEVGHSLAHSCGVILHCCGQPCGAPNCRGQCILPFENAHDVHQCGMNRCQQRCVMPDC GNTCADPDHFHADHEKHLCGQDHRCTFDCTEDGICEIKVHLEKATETFAGQRGTFDFC RQEMNGSKRKCSEMIAASATSHTDTTSHRCDSAIHYCDVRCPCCQYFCDKAYGHSDLH HTSHGNMKDTYFVSDTEAVDIQDRKYTAGEQGVAEMCPFFCSKMGRGHVHFMPCLHSA NSCVYTSTDGRQHCTLQLQPHPTKPMDEVLHETYWKTLGWEDPVSSAAEKAAFKLCPY KCDASDHTADAPSYCILDAWHDVMDKHDPRGQQPGHTIVQGHLFACKHFSSRGLSHHI FVLDASGSMNGSPWHTLTDAVHGYLQEQVHKKGVDCGDIVSIITFSSRGVIVFEGQPM ANVVNAQIPFQGQGTDFDSGLRCAVELLSRNHHDKFSPVLLFFSDGYPNTTNSGVYLA DHIVNTFERNNLMTYLVGFGNMNFVCLEQLAIRLKGAFHRAISDIDLLDTFKAISVSV HLRSGLVANTA H257_08011 MDLFKPKKNPEKLAKHLREAMENPSAPVKPDKSGKVVDIVTKRL QQIKVMLYGEVGQDESSPQKCSQLAALLIADNALPRLVLNLAGLPFEARKHVAQIYNN FIRRDLSGFVTYIERQPQIMSTLVRGYENADIALNCGTMLRESIRHEALARQVLYSDD LWKFFDTYVHLPNFDVGSDAFASFKDLLTRHKGLLSVFFDTHFDQVFEQYNRLLSSEN YVTRRQSLKLLGEILLDRSNFDIMMRYICKRDNLKMMMNLLRDTSANIQFEAFHVFKV FVANPKKPNEVTQILLNNKDKLIAYLEKFQNDKDDSQFVEEKALLIRTLGTLELAAED PPNEAATTKDQVPTA H257_08011 MDLFKPKKNPEKLAKHLREAMENPSAPVKPDKSGKVVDIVTKRL QQIKVMLYGEVGQDESSPQKCSQLAALLIADNALPRLVLNLAGLPFEARKHVAQIYNN FIRRDLSGFVTYIERQPQIMSTLVRGYENADIALNCGTMLRESIRHEALARQVLYSDD LWKFFDTYVHLPNFDVGSDAFASFKDLLTRHKGLLSVFFDTHFDQVFEQYNRLLSSEN YVTRRQSLKLLGEILLDRSNFDIMMRYICKRDNLKMMMNLLRDTSANIQFEAFHVFKV FVANPKKPNEVTQILLNNKDKLIAYLEKFQNDKDDSQFVEEKALLIRTLGTLELAAED PPNGVVMIDAVLSKVTSSCVEAATTKDQVPTA H257_08012 MERYAVEKIIGEGTYGIVYKAIEKSTKDVVAIKKFKFLTDDMLS KRELQACSMLNHPNIVAFRYSFRGDGFLHLVFDYAPSTLSKIIAKAKHGLRLAHVRAI SYQLCKAIYCCHQNKIIHRDIKPDNILLDDKGMVKLCDFGVARTIQFDGEALSDYVAT RWYRPPEQELRLTNYSYSADMWSVGCVVCEMLLGRPLFCGENQMDQIKLIQEMLGPLP PSLSSRLPKGVSLNAKATTYPTHSLQDAIGATCPPDAVDFITRTVVLDARKRMTAAEC VEHPFFMKLREAELVEQRSRRRRPTIERDDIEEDIVDGSYKHVAADVDNDNGLDESGP TLGDVKIDESVSLAAVTKGMRPLVAYRGTKAAKDDDIQEIIEGDDNNSSRRRMYGSNV STHSEHAAYDDDFEDYESDCKR H257_08012 MLSKRELQACSMLNHPNIVAFRYSFRGDGFLHLVFDYAPSTLSK IIAKAKHGLRLAHVRAISYQLCKAIYCCHQNKIIHRDIKPDNILLDDKGMVKLCDFGV ARTIQFDGEALSDYVATRWYRPPEQELRLTNYSYSADMWSVGCVVCEMLLGRPLFCGE NQMDQIKLIQEMLGPLPPSLSSRLPKGVSLNAKATTYPTHSLQDAIGATCPPDAVDFI TRTVVLDARKRMTAAECVEHPFFMKLREAELVEQRSRRRRPTIERDDIEEDIVDGSYK HVAADVDNDNGLDESGPTLGDVKIDESVSLAAVTKGMRPLVAYRGTKAAKDDDIQEII EGDDNNSSRRRMYGSNVSTHSEHAAYDDDFEDYESDCKR H257_08012 MLSKRELQACSMLNHPNIVAFRYSFRGDGFLHLVFDYAPSTLSK IIAKAKHGLRLAHVRAISYQLCKAIYCCHQNKIIHRDIKPDNILLDDKGMVKLCDFGV ARTIQFDGEALSDYVATRWYRPPEQELRLTNYSYSADMWSVGCVVCEMLLGRPLFCGE NQMDQIKLIQEMLGPLPPSLSSRLPKGVSLNAKATTYPTHSLQDAIGATCPPDAVDFI TRTVVLDARKRMTAAECVEHPFFMKLREAELVEQRSRRRRPTIERDDIEEDIVDGSYK HVAADVDNDNGLDESGPTLGDVKIDESVSLAAVTKGMRPLVAYRGTKAAKDDDIQEII EGDDNNSSRRRMYGSNVSTHSEHAAYDDDFEDYESDCKR H257_08013 MADDEVYHDDDYDGEYDDEVEEVEDMVDDMPMHITGRIYLGSID AAMNASGLKAKNITMVLTLLSVYDTTSLPLDQVQHHVRVDLEDDLDAPLFSQLPFLVD CINQFFANNDQGNILVHCIAGVSRSASAVAAYLMATNDIDVTDALNRIRLSRPWVDPN PHFRQDLALFHSVLSHSSVASADLASRSLPQLHFHSSFVHPISVDQTKTLTIRLESDP KHDDATSLLAASMFPFSTVVAVTDATNTPFAYLFVTAIEHINIQDLTLDHANGEGLPT LADLHATLHRFYTPDQLEPGTRCLVLHFRLVAAAVGQGASI H257_08014 MGNVIKLNGNVYSIRGKLGTGGFSEVYLVEGRLCPNAHLASDGT AYDEGNDTAYALKVMQCDDDEQLRRALMEVQLHRRLKHPNVLRLIESEIRMKMTRHHT AVQNALSKTKEVLLLFPVYPMGSLQHLLDKARHMPLFDESSCLHIFLGIARGVREIHA LGLAHRDIKPGNVLLSDTYTPVVMDLGSSAPLYVPINSPRDAALVVEEAAQFSSAPYR APELWDDSFRGTVCGKCDVWSLGCTLYALAYGPLGPFESRSHGVQRLAILNGTVTFPS SATSSGVSVAYVALVQRMLQVNVDDRPTLDTVIAIAEKLLETRADDVGVTGKGFQRVQ DGETKSHQTAKRLAYRGRDVLNHALAM H257_08014 MGNVIKLNGNVYSIRGKLGTGGFSEVYLVEGRLCPNAHLASDGT AYDEGNDTAYALKVMQCDDDEQLRRALMEVQLHRRLKHPNVLRLIESEIRMKMTRHHT AVQNALSKTKEVLLLFPVYPMGSLQHLLDKARHMPLFDESSCLHIFLGIARGVREIHA LGLAHRDIKPGNVLLSDTYTPVVMDLGSSAPLYVPINSPRDAALVVEEAAQFSSAPYR APELWDDSFRGTVCGKCDVWSLGCTLYALAYGPLGPFESRSHGVQRLAILNGTVTFPS SATSSGVSVAYVALVQRMLQVNVDDRPTLDTVIAIAEKAMTSVVPTLLNS H257_08015 MLATASKTLFTSAKSQIRRSTTASLQWAKDLNKPLKETDPALFD IIEREKQRQRNCLSLIASENFTSRAVYDALGSVMSNKYSEGYPGQRYYGGNKIIDQAE LLCQKRALEAFKLDPEVWGVNVQSLSGSPANFQVYTALLQPHDRIMSLDLPHGGHLSH GFQTGAKKISATSIFFESMPYRLDPKTDLIDYDSLATNAELFRPKLIVAGTSAYSRHI DYARMKEIAVANNAILLADMAHISGLVAAGVVPSPFEYADVVTTTTHKSLRGPRGAMI FYRKGTQSVDKKGIETKYDLQGKIDFSVFPGLQGGPHNHTISALATALKQANTPEFVA YQKQVLANSKALATRLMDIGYNLVSNGTDNHLSLVNLKSSKGIDGARVEFLLEEVNIV INKNTVPGDKSALVPGGMRLGAPALTSRGLTEEDFVTVANLINEGVDLSVAISKAVTG KKVQDFKTYVINGGHKAEIQALEEKVATFMRSFPTVGFEESSMVYKD H257_08015 MSNKYSEGYPGQRYYGGNKIIDQAELLCQKRALEAFKLDPEVWG VNVQSLSGSPANFQVYTALLQPHDRIMSLDLPHGGHLSHGFQTGAKKISATSIFFESM PYRLDPKTDLIDYDSLATNAELFRPKLIVAGTSAYSRHIDYARMKEIAVANNAILLAD MAHISGLVAAGVVPSPFEYADVVTTTTHKSLRGPRGAMIFYRKGTQSVDKKGIETKYD LQGKIDFSVFPGLQGGPHNHTISALATALKQANTPEFVAYQKQVLANSKALATRLMDI GYNLVSNGTDNHLSLVNLKSSKGIDGARVEFLLEEVNIVINKNTVPGDKSALVPGGMR LGAPALTSRGLTEEDFVTVANLINEGVDLSVAISKAVTGKKVQDFKTYVINGGHKAEI QALEEKVATFMRSFPTVGFEESSMVYKD H257_08015 MLATASKTLFTSAKSQIRRSTTASLQWAKDLNKPLKETDPALFD IIEREKQRQRNCLSLIASENFTSRAVYDALGSVMSNKYSEGYPGQRYYGGNKIIDQAE LLCQKRALEAFKLDPEVWGVNVQSLSGSPANFQVYTALLQPHDRIMSLDLPHGGHLSH GFQTGAKKISATSIFFESMPYRLDPKTDLIDYDSLATNAELFRPKLIVAGTSAYSRHI DYARMKEIAVANNAILLADMAHISGLVAAGVVPSPFEYADVVTTTTHKSLRGPRGAMI FYRKGTQSVDKKGIETKYDLQGKIDFSVFPGLQGGPHNHTISALATALKQANTPEFVA YQKQVLANSKALATRLMDIGYNLVSNGTDNHLSLVNLKSSKVHTTSTYY H257_08016 MDEGNHSTSDATGESAWLPGVKALHKELMAHALAWPFLEPVDPI KLNIPTYFQIIEQPMDFGTMQAKLQGSSSAANDVEGDDGMAGAFGGSSVAINYTSLDE YKQDLFLVFRNAIKFNADDGREESVGNIAKRLRQHALKLLATTFGESSLAWGERMELG TLERQVANAKEQKLRERWKGQSFVARMNRQKIQKRNESAMQGSP H257_08016 MDEGNHSTSDATGESAWLPGVKALHKELMAHALAWPFLEPVDPI KLNIPTYFQIIEQPMDFGTMQAKLQGSSSAANDVEGDDGMAGAFGGSSVAINYTSLDE YKQDLFLVFRNAIKFNADDGREESVGNIAKRLRQHALKLLATTFGESSLAWGERMELG TLERQVANAKEQKLRERWKGQSFVARMNRQKIQKRNESAMQGSP H257_08017 MSGATPPRYPLRSRRQVQAPQNAETLVLETKEKVDEAALPSPIR SPVPTTSSNAFRTSREYNLEGMLGFKEEPRQILFLFLLVISVAYYSFTHDSDDIAQNV RNGGISAAFIFLVYCFLQTRDGLLVRPHPGVWRIVHGLAVLYLLLMAVLSVQNAKSAT AAIRVLFPEVGAATKAASTTPRPPLECELNMMSLHRGVSSIWFLAHVTGWWGKMCMFR DWRFCWVLGIGFELLELIFQCIIPDFQECWWDSLFMDLLGANFLGMCLGRLTLKYLET KEYDWSGKRSEQRGYFSRAISQFTPFSWSRYDWEVFSSAKRFFMVLVALFVCLFSELN AFFLLTTLSIPKDSNLNKYRLAVMFMLGIPAAAEYYEFVTDPKCYRLGQNAWMMCCII VFEMLVWVKFSEGLEQMTSPPFDVVAPLVTFSVLFSLWMLLYFRKKGPAAAKTLVPLD ILFYVSFLPLTYLSKQWAY H257_08018 MSTAPVAVAADTPKTKFFLRGRDGVETFFGLPSVTEEGAERPSI TALDTLETADALHLSPDGSKIALISQDSGFVIRNTDTSDVLVQASNPGIQAAAWSPLG THLVTWQRPVKDAPPTEGNLIVWDTTSGSIIARFSQKTYSREQWPSLQWSSDELIAAR QSNSGVQVFNGRAIGAGSIGNIALENAASFSVAPGGLPYKIAVFVPEKKGKPASVRVF PFPPNAAQSHVAFKSFYKAQDVKMKWAPNGSALIIETSTDVDTSGKSYYGETNLFYVQ SDGQYDCSIPLTKEGTVHDVQWDPTSKGFVVIAGAMPSNATLYDNKACPVFEFGSAWR NVISWSPHGRFLCLAGFGNLPGNMDFWDRNKLKKLGSTKAECATTHGWSPDSRYFYTA TTFPRVRVDNGFKLFKYDGTGPVVSESRTEVYDLQFRPAAAGVYPNRPASPRAKESEA EASAAAPPAPVRRAYRPPHSTGALADLLRREDGAGSRKLDRNKYAPSNSAVAATKVIP GLDAPVVKKPSKSEKKKKAIEAAEAKAELERVTQALLAQTLPDEPVVLTPEEKQKKAK AIQKKLKQIADIKAKQASGDTLNDDQLAKVGNEPTLLAELAALA H257_08019 MVRVLAALATHPRRTAALRRLFSSKTTHFGFQQVDEHDKKPMVA GVFHSVADSYDVMNDAMSGGMHRLWKDEFVNMLGPLPHRNGEPLRILDVAGGTGDIAF RMADRLKRAGLPDSPTDDDRTDIVVCDINGSMLRVGEERATARGIGLPGTRPSFAWVE GDAEQLKFEDNSFDVYTIAFGIRNVTHVDKALAEAHRVLRKGGRFMCLEFSQVQNPLL RTIYDTYSFNMIPAMGELLAKDRASYQYLVESIRQFPPQDTFKTMIETAGFQKVSYTN FMDGIVAVHSGFKL H257_08020 MASMTNQESHNQPPAAPVVLCKRKDFLDTDEAAKSHPNRTYYYR KEADIAKVIDTCTKKLAANPTDATTIAIRGASHMKKMEWAQAVDDFGQVLKLSPMDAN AYYNRGIAWTNLNQSQKAIEDFTHALLLNPNHINAAYARASCYNKQGDFSRAIEDYHF ALMKDEQVSNKTKQAKPVAKPPAPVSVASKLLPAENSIASLRRNGGSVPSSMTLGVDV YTKLRERELLDAMTSQLQISRSTQQTTPRASPGSNHTMMKTMKVAAGDRAHATNQPDH DATLTIQTTPLDSEEPAAMDNGNEPVEVVGDPAEVHHARGFALRREGHFELAIEAYSK AIKLNPTHFKAYFNRGFAFDKLRQFDRAIQDYTSAIALDPSNAFAFYNRGISLDRSGR YAGAVEDFSKAIQLMPTNADFYHNRGFCHRKQGRYDVAIRDYSAAIELNPTHFKALYN RAFSYDKLEAFDAAIADYTAAIQVDPKNANALHNRGSTWEKMKNLPEAIQDFTMALAL QPDAASTYNSRGLAYDQQGKHVLALQDFHAANRLEPQNPIFLHNRGYCFRNMGDFDRA IVDYTEALRLEPDNVAAYSNRGYARRKQGRYDGAVDDYTAALRLDPNSTRTLSNRAYS YAKMGCLVESVADYSAVITLEGHNAYAYHNRAILYEKLGRTTLAKQDFMTAMALDQTS TSSTSKPVVTSSHTRR H257_08021 MPRLAVAVSLAAIVAGHHCIHDEVAGAVSESAWSQSYGLQSIDL DASASVTSRSIRHLETSTSTTSGSPLRVVPYFDNVTLGALLSDKRSLVVDYLVPAAVS FWSQALRVIPVQGSLFAAPPCTSAWNTVPSTCANLAPSSYCFEMPYPPEHYSPLRVCS TCLSAGCATGNCTVVRPNNTGIPNADMVMYIRAMTTSRCTDNTLAYAITCQRDQFDRP TFGMINFCPDYLDTSTLEGPSYDIQVTTALHEFAHALGFSSASFPLMRNRDGTPRTTR QGGSRTGRAVASTGTCANGTSITSTGLPSNATVVYQNVRGHAVTYMATPTVVAFATSH FNCSSVVGAEIENNDGSCMGSHWEERLFGPELMTPVVNYRNPTSALTLAYFEDTGWYQ ANFSVAEPLLWGNNKGCAFSSDNCVGPTTTTSGNLKPVDVATYCAADGEACSPDGLSR SWCSLKTDYAADDIPPWFQYFASPTTGGYSEFGDYCPVQQAYSQGDCLNASNLALIPN TNLTPMGEMYGNDTSRCTLSSLRLANMFGYEYRTRAAGCYPMTCHGQTVQVSVKAADN GVVTVQCKYKGQVVTVAGFTGTLTCPDPFVVCQLAQCTTPCGPRAMCVNGQCTPVVAP ATTTSTPTVVNNTVVPSIPPVVTTYSPTMTTTTTPTTSTPTVGSSGGTSTTPKPTPPS AYSTVSPSTTPKPTPPSVNSTTSPSTTPKPTSPSANSTTSPGPSASANDTTITASPTT TTVPKTSHSAVGSVVVTALVWITSFWISYG H257_08022 MDFLRSIEEDLNLVEAETKKKLPAVKDAAEKGIEKIGQIRQLYA QMLRVEAAPGPGNAIFKCDAILRPFLLACNHATASQKLLIASFNSIQKLVSWDAITSE AVGNILRVLQIQAERNSHQDIQLKLLQTLLQLLTLAFNKGDEQMTNEDLISQAIWICL HLQSQSGNAITANTAVMTLRQVVTMVFDNITTDAKNLDGAKKVGFLVFQDLCLMSREE VGMWLKRTTFSKILGVELLEAVLTSHGSLFRCDVEFRSMLKQHATHLIASNLSPSTPF PLLLRIMRLASTVLSQFTPLLQDECNTIWLGLVEIVSTGSYSPNKSSLTPFVPMQRRS HQHHDASPQLRSMHSLSSTSGSTPTPHVSHNNLSSSVNSVTWHVLLAMEVIYKALGDP DVLPALSSYPNHLLLVLARTVAAVVATSPPCDYRPGHVDASMPYRCGLEYLNEQDAPP LQPFYNVLRISLLCQYHVLTVLHANDRHLSPLRVQYILCSVAPFVMNALHCTMRFCRE AELVTVALKGYHLLTNVNAHSRDRIPLDGRPIGVLAIQALCVFSFPVPPDMSTRVVKC ISGNGAVVTIEGPDGGDGGASDGMANSDQQHHQQQQCVLTWKEMHAMKTLFRTIHTME QELNEVEWRTLLEGFEVIVGLSALKQKTKGVNQRIGTGPSAVKVEDEDVEQQLVMLGQ SILEYFTNTSALHPSSLLHILRAIRSICYDQISHLPPAAAPTSSSTVASQSSTDDAML VEEELQQLLALWSPDQQTAWDVLSVPFGLHVKTFETTLGIGGGGNNNSNAAPFTPAFS LRMFVQLAKANVDAWTFVMDELVGFVCLSPTSSSSPSSLPFQMYATDAVFQLVQAALT TIPSLSQSTVTAYVLRAIQSDQMKERALVGLLDLLQTTGHLITQDAWPAILEALGTSA EADARCQVVAFKSLRLIVDDLVVGMQSPSRRACIACVGVYASCAKDVNISLTAVNELW TLADTIAKRKTTLTDDDDEVALWPTAFSELKQIALDTRPEVRNCAINTLFGTAVTHGA QFRLVEWTIFLQGTVLPLAHQLSAVVASDAKMSVADGDVPPLTPVVVLHHSRDSVAKQ YDESRVLVLAGVSRVLQTNTASLLLHADWFVTVWKDLIGYIAASCTDPSSSKEVVLAG IQTLHTLLQIASTSSQDLSLAPLRAGVGMRVVNGVCTSTSDSLDMSAKKKPTLLSRDP QLWTQAFELLLRLAHDRATGDVPSAQDQDIAGAMVTVFVSIYLQSKDTELHDVVQLDR ILHVFDQSMHRFVLTTQPNAPPLVITTNSLHARILNSYDECGYFDEAVHVAIVRHVVG FVSAAASAPTLVFVLRHALQCLAKMYEGVSPVAQSATFAEVLAVVRPFFNSKSKTNVV PASVSATDRAAMTMWQHALKVLLALIGCGMPTVPQSGVAALLQVVEGVLHPGDTLADD DVVALQLAVLDRLVRAMMLSRYEDAALASRFAALLQSNDDPRVASACIQHMTILSGPA NPNRALRDVCRQQLNAVFVQAIASFLEGATSPGGIANSHRNRVVVLLTTWNRSPQSVL EVFSSLCQCITCDDAEVRGLVQRILIDSDVAAVCLPLLQLPHKDQHGFL H257_08023 MDDDIEGTPLPAIPHRKDISSDPESMHALEVRVFELVTELVPDA YDPYNQAADQRPTEQTTISNMNWLSETLEQAEILFRQFPMSRRDGQLIGHLLRILDDF EDFYSMLERKLQAESAERADNDAMNAAVCRLLLATAPTNVRFIIRLMYDEDILDRVTR WANADATSTSLHGLQLRCYASGLLSFALRDRSIADIVVNNESFCTNLLKRARFYANAL EAEHAAAAAYMQDVQKKKAVSSKHKKPSVGTPSSKKRKLSIDDKKDMSNAPSISATAV PPPPRTTDEHEDDETISTRLADLVLSIPAPTVNHEQGSAALMLLDLLYTVQCVGLMGE YLELLASALKDDIVGTSITLLHSAHTSVWSATLQLISHFLAHKKFAFSFLDAGGLDLV LAAQASPRFAHLHRSLGMCLHAFASSSVVTELILSRDPTRTALLSMGMTLLSSPYDRA RQNAVVFFGLVVPFRSALEYFEAHDGLYTLFNLIRAGNLPKAAVQRQLAHDACLCLRQ YVRVHFAVLAQSQRRKLMDASTSSSRMIKQTLGKPVDIDDKAFEQHVAILEAHRPSTM LCKETKWQTQFTHLRGPLVLLEVLDVFRSHTATTDPSSEPTSFRLWLVERALFSLQIL RMLTLAYPPMAVEICHTMLQDSQRTGLTILLDCAMTSHPRDGDIVKGALQVVCNCVCT SSSGDKQQQQYSKKDDRTTANNHTSSSSSMATPFPARAILKLAREKNAIKVCLQLLRY KRSLQHADAIRLLATQALLGLSKDRHVTQILEQMQIGQLLSDLIRNEPVLEENADVHA KFRECALELISHVTHRAPSASIHEATDPTVRKIEKANIVAATRVTYNPTELLVMIHDH LKAHGLHAAADALESEAALNLRASCLSPQKPIKKAKVALDDASTPRTAKKPKRSMAHH PKLLSFAQRRQQMLSKWKQQPSYFDKDIPAQPMVLSSPKKATSMAKKPLVKPTWLDTV VRQHLREQHRLCPHPVSVVPPFSLTASKSHRCPDVSPPPLYANVCSRLLTRGVGPGNS YSYSQDMHLNRFVSTRHRPFRIVGHHNSQAQGGLTAAKFMADGHILLGTDHGEVLQMH LNQDEIVGLWTCHANAGALTDLSTNEHTRTSSLLMLTGTTRMANYVPSKVGLWSLNHM EQARWTLSSMRSPRFNHGGDRIVALACEDVDALEPSGCRGTAMYDVQSGAMLAQFEDP SRGGEGGNTYGDSSNCSFSPWDTTLLSDGLLWDVRSTKLVHKFDKLSNVGYGVFNPSG NEVIINSAVWDLRTFKLLRVVPALESSRIQFCHTRPLMYVYSPFEPVAAKETSKKLAK NRTWLRVLDTRDYKDVSTVDIERPIYDVALNAQETALGIVEGRYLDSIYGQDDPVCRL YEIGRDKPNECDSDLEDTLDDGESESMDEEEFGGSESELGGSDEFITTGSSEDGEYSE DDFDEDFEEGDGHLNVQWANLYEGDEDAEGGDDGYVAAAATTLIVSRAAAGHECIHNA LSHGVPLASSQSYDAHPHEGRSSRYLSAQMDAASASVSVGAASQYKPIRITPIFDTAS MNALGATNLNFVKNKLVADALAFWGQTLQVIPINGTWFAQRNCIQAWQTSPPVCAAVE SQQKCMEVPIPDSHFAPLRVCSTCPLQGCAGGSCASPSAGTGVPNTDYVLYVRAVQTA SCGTSVLAYATSCQLDQFDRPTMGMVNFCPQKLNADATVYDKQLNTATHEIAHALGFT SQMFPYMRHPDGSPRTPRDSSGQPPIQEDYKCPNGVTASVIQMPSDATVQFFTERGHS VAKLVTPNVLSFVRNYFNCATLNGAEIEDSDGGCLGSHWEERIFEPELMSPLQSYRNP VSGLTLSYFQDTGWYQVNFTSAQPMYWGANRGCPFATDKCIQGTAPVPTDHFCVDASV DSCSVDLTSRAVCTVRTTTDVIPAYDQYFSNDPSLGGSSFADYCPIYTGYKGGDCYLP SNLQAPTGTSLNLLGETYSPTSFCLKSTLLTTKNAGWSIPGRSTGCYATTCSSGVVSI SVVGASGTSLVSCSQKGQTFTVTGFTGSLVCPDPAIVCNTGQCVPACGANAICTSGKC NCVDGFTSAEDGNKCAPICPNACSGNGVCDNKAATCTCNPGFGAADCSATTTTTKKSH AAVVPPCRLVVSAVLWVVASTMFVL H257_08024 MEPIAPEYTVRWHAGHLGVWLQEDPASGAAVISKLVRPLPPHFT QLDTSEGSVGDVLIAVGTTGPITYDDAVELLQHPTLPLDLVFRNRRNDLPLYAAPSSV RRYSFEWTADMKPLGMSFAKDPISLVTVISNLNKDVLPPAVKNCRPRVGDVVLSIGPA TVTDMKFHDVLALLGRVEKPIVLAFDQVQTTSSSVGDEKYDIEYRGEGLGIVFENKDD RPVIQSTSGTHPTAAKGDELIAIDGVDTKSLGFQDAMAKLQHLQGLMRLSFRKVRPHE VIDGMYDVHYLGGRLGLVLAEGQTKSSHPVIDEITDASTAAGLELASKRDVLLSVDGV DTASLGFAKTVAMIKQVDNAVVLRFKKGPSSGQRTEATTSGFLRTMVEALFV H257_08025 MLSRLRSRWPTRIGQPSAPMMNIALHATRRLVSSSAAEPWFKAG LSFSCTKCGNCCSGTKGSVQFLDAEVDAMASKMEVDVPTFLKKYARRQGRGANSFFQL KQKRTATGFDCVFLDRKLVKGKAVCSLYQARPMQCRTWPYWPENLETRQTWERLKTAK DGCPGINKGPAAPVDEVLQQRDDMDAWRTAVEVPTKLK H257_08026 MRLLITLLAVLNVGAQVGIPSTTRIPATTVSRTPAPTPLTSWPP SPLPTIRPTTTMLASPPPRSMSPPVMSPSPSPLLTASTTTSIPPPSLTPQLTNLSNTS NIVPPVTTELSLIQINSDRPSGSRAAAVPSPSTTSPPSSSSSSTTILLAVLCSVVTLA GVAGIYFFVYRNTAFISDYEASIVASETPCRRSSFAATMGASHYEDITTTTIEINPKQ LAYVKVLGIGSMCQVWLANWSPNPAMDTISVAVKRLLPKRRNDHDVCTFLGDTRLLAV LRHPNIVAVLGLAWHTKVHIEVVLEYMDGGNLRQVLSTTNPLSMGWLGSKQEIAMDVV DALVYLHQEEIVHQKLAAETVLIHSGRRGIGAKLGNCGSMYRQPRPTSSSQRTTLSIF SPKLSPDEAHRQLQRRKWMAPEVLRGNEPTRAADMYAFGVLLSVLDTHDDPFKREAMD EALILQRVSLGDLRPTLSLLCPPFIHDIAQRCLDVSPELRPTALVVAGWLRTPGLCSP SFVSTSVVHTRRGSLPLSASSSSYNGSLPSLSMGRVPSKAEAAYNVL H257_08027 MGDSVFNLENLQSELNVGPSAYFPPSFTRGSSAKHHTDTAARSF QRRDAITSIAEHEEWNLCADDVQTDLDHTLQTIRSLKATSMGNILQSLQRTQEVHLAF VMDTTGSMDEHLDAVKNQVSVIAASFQSLGLVLHVGFLGYKDHGDDNSIQAFPFTDDV AAFQRFVQSISAGGGDDAPEDVLGGLWAASTQLPWQPDCTNVLFHIGDAPPHGTTYWG GYDLFPYGHPRDQSPATIFGRLEWLNVRYYFGKLTTWTDMMVSKFQTYTDVTVFNVAD PINVCSSVVTATQQSVVCNRTRALVRGRATEFAYKFDTRLPQWPTLKTRQVTAARYEC DPASLSAEDLSAPMSMFVSQRNIRIAPHPFARGCERAAFYSQALASESLVHTKTGATD PSMWGRMVAKRFLWGVTSPQANEGRYMKAMEVQAMAAALARGFNDLMNKTHSTFISLT FLEASVAKLDDPVEYVAIERFLPNYDRFTNNVTWQSPLVATDPCVQYAVAFSHWTWAA TNGYLMVVDLQGQRVDEHTLVLTDPAIHCIDGVRFAGGTNMGQRGMEKFFTTHVCNEY CAKFKLTLEP H257_08028 MSSHRCTPTSEAEAKQRNALGAMASDAELADLLRPTSIKSNDVD TSIQIIRGHRKAAVDLTIHSVRETRDVYLAFLMDTTGSMASHLVAVKMQISAIVASIS NFCQAAVHVAFVGYKDHCDGNDHIDVLPFTTDVAYFERFVRAVPAKGGGDVPEDVLGG LLAAVTQLTWPHNSTNVLFHIGDAPPHGRQFYGGRDSFPDGHENDKPLSVLFGLLHAL DIQYNFGHMTGCTTEMETLFQSMCHECAVPMTVFNVADHVNIAPSVVSGVTMSSRSSS VSSAKSGRNNVVDVAPPPPVPQYQRRTISFSPDVPDWSTLEVLKGTVESYMPPVDVDA IVAGTLDTLVRPIKLRVAPQPFAFGSERMVYYAQEYHKHSRISSVSSSKSTSSCASWT DVADEFETTSGDAAFDYQVEAMVAKSFVYGGEASAMLGGKPEGCRYMKAMECQTAASF LARLFNHEAKPRHKICFLHAKVVRVPTTIADSYVYYGWEKHFESATSGTIPPLLKLTN NLGYVCDDESMADVVAVAAAFSHWTWHVTKGKLMVVDLQGQLTSKGLVLTDPAVHCVD LERFNSGTNLGVRGMDAFFQSHQCNSICNALQVTTP H257_08029 MNRLSFVVWWGLVTATVVTAAARVDTTCLKAASTSKEDTRVLDC YPYQAGFPNATEEFCLAQGCCWDSSRSPQCVFGTVPVPTPTRCSAVPVLSRVACRNPR YFIRPSNASDCTAMGCCFDESQTSTDPNTACFQPAAPGYHLTHWEEVASGYSGTLMLS QEDTTTRGPFGNDIATLSVHVHLESPTRVRVRIFDPSFPRYEVPLPLFNASMSSSDQK RLYGVSVTTSPFGLAITRLSTNEVLFNSTPGPFNGPLVFSNQFLELSTSVPSSPKLFG LGEHVGRLVDPAHGDHYTLWTRDRLANAGNAHTASGGDNEYGVYPFYIRVEQADPALA HGVFILGSNAMEVVASPDAITFRTVGGIVDLFVFLGPTAREVVGQFASVVGRPALPPY WALGYHLCRYHYANVAQVRDVVHRMRAADVPQDAQWTDIDVLDGYFDFTWDNTTFPHM DSFVQHDLHAFDVHFVPIVDAGIGLSRPTDPAYVDGLDQRVFMADESNSSLEVGRVWP GDVAFPDFFHPNASIYWEAQLRRYYKSVAYDGIWLDMNEPSSFCTDSDTSPTSCAPPS SSIAIIRSKDCMFPMDPFRQPFAPGQHRQGGGNLATMTASLAAHQFVSSHYNLHSLYG HSEVRATREAIDRVRTKRSFVLSRSTFAGDGQYAAHWLGDNSATWEDLRLGVAGVLAM NVMGMPMVGPDTCGFDGNTTKELCIRWHQAAILFPFLRNHNAAEKDQAPVDFDSDATA MIRHTLRQRYQLLPYLYTQLYRMHVDGGAVVIRPLYFEFPSVDTFALDAQYLVGPALL VSPVLDEGAVRVRAYFPPEDDWYDLWTGEKQLLHLKTNSSSVDLDVPLGHVPVHIRGG YIVPEQLPGRTTTASRQNPFHLAVALPSKSATGAPSVRATGDLYMDSGDSLDPVTRGV YTLVSFAAIHYPHNGFVFITGRVDHHGFDGPQLHVPFRSMAVYGVQGFALGHAIDVEC VQGTVTSSGTSSYNATTGELMLQNLQLVVGEPFEVRVFPTDSSSKHPVWGPTFGDDNN GVVSYT H257_08030 MHEHLKDGAREAFRRAMSDMPGRKTMVVDASVRVLLDVLSRGGG GKAFFDNLGVTQILVLDPHLTVHTFESSQVVFLVRPEPIHVKHMADNVLASSKRHTFM VAWLPQCNGVCALEMERQGIAGTVREVDIPLFLVPCDDLVWSMCWEGAFIDLFVFGDS SVVTQVVRSLLHLEQLGGLQLTQRLFAYGAAAHQAKSVLDRVRGLSPITSTAMLFDQC LLMDRQEDPISMLVTPLHYEGLLDTVIGLDHGAVSIAHDDGGNKKKKKHVLQDDLFKY IRDMDFQVVTSILHDMSTSLQADVASTRATETPAVLTQRSALAAAKVNLSLHVNLAHR ISRTRTDGDAAVEEGGSDDDVDGVRRCVHAEQTILERRVAAAPLPSNVVTKASTEAAF VGSVLEEELFHDPPLDLAKLVKLMCLHCLVQGGYKDSTFEWLQTQLCHTYGHPVMLPL LAHLRHLNWLFPTSAATTPSPSSSTWKKKLRKDVAAKTTTGDITSMFSTTGYAPISVR HVQDAVKRRNGNSPMSSTSSPPQNTATNKQRVLVYYIGGITVAEVAAYRLLNQAQDQV EYVVACTAICNTARLLRHLASLQT H257_08030 MCWHLANDIRLWSRGYPNAMACARWKWSDKGSPEPCAKWTSRSS WCHATISCGACAGKTLFEDESVYVYFNASREGAFIDLFVFGDSSVVTQVVRSLLHLEQ LGGLQLTQRLFAYGAAAHQAKSVLDRVRGLSPITSTAMLFDQCLLMDRQEDPISMLVT PLHYEGLLDTVIGLDHGAVSIAHDDGGNKKKKKHVLQDDLFKYIRDMDFQVVTSILHD MSTSLQADVASTRATETPAVLTQRSALAAAKVNLSLHVNLAHRISRTRTDGDAAVEEG GSDDDVDGVRRCVHAEQTILERRVAAAPLPSNVVTKASTEAAFVGSVLEEELFHDPPL DLAKLVKLMCLHCLVQGGYKDSTFEWLQTQLCHTYGHPVMLPLLAHLRHLNWLFPTSA ATTPSPSSSTWKKKLRKDVAAKTTTGDITSMFSTTGYAPISVRHVQDAVKRRNGNSPM SSTSSPPQNTATNKQRVLVYYIGGITVAEVAAYRLLNQAQDQVEYVVACTAICNTARL LRHLASLQT H257_08031 MIMSLKSWLTGVAVLTLVAATSRQEADQCAAPIPSPRLDCYPVQ VNFPNATEETCLAQGCCWDALDAIPCAFGRTTAPSTSLCDAVLPASRLACRNPRYFLT ATDATTCAALGCCFDGTECFQPSFLGYDLKPQSWVETTSGYTGTLVLRSRGPFGNDIS ELSVEVTADASDQVRVRIFDPSFRRYEVPLPLHHPTTTRNSSSRNSTSSRLYSVSVTS SPFGIAITRISTGETLFNSTPAGHFNGLVFANQYLELSTSMPTSAPPTFFGLGEHVGR FLGNASGDRYTIWARDQPADTFHVHTSKGGDNVYGVHPFYIRVEDSGLAHGVFLLNSN ALEAVATPHAITFRTVGGIIDLFVFVGPSAPSVVAQYTALVGRPMIPPYWSLGYHLCR WHYHSLDDVVSVVERMRAAGVPQDAQWTDIDVMDRYLDFTWDPTSFPQPKVSAFVDDL HAHGQHYVPIVDAGIAVTIPSYPAYEDGLAQHVFMKDGSNVSIEQNIVWPGMVAYPDF FHPNATSYWLKQLKRYYATVKYDGIWLDMNEPSSFCIEGGRESLSCVFNDSYAPYTFV RSQDTAYPFDPFRQPFVPGQAVNGNLAAMTASMAAHHVNSLHYNVHSLFGHSEIVATR LAVDSIRPTTRTFILSRSTFAGDGQYTAHWLGDNAATWEDLRQSIAGVLSMNVFGMPM VGPDVCGFGLNTTQELCIRWHQAAILYPFLRNHNMAAKDQAPVDFDNDAIDAIRKTLL ERYHILPYLYTLLYRAHVDGSTVARSLYFEFPSKETLAIDSQYLLGSALLVSPVLEPG ARQVAAYLPPSATWYDLWTGVPAAATGAVVLPAPLNTVPLHVRGGSIVALQYPNTTTT SSRKNPFRLVVALPAWSSGITNTTAATGELYLDSGNSVNPVQTSVFSLHQYTAKHASN GYLRIDAEAVAEGYTGPETKVLVDAIHVYGVHGYDADSDVHVAYVKVLNKRTATGTYF AANNTLVITDLLIEVGMSFALEVFPLAKHITIAPAPTSRPADADAISTWLYVGVGSAT FIVLGGVYQIFSRRRGYTQVL H257_08032 MEHPTTVLQGGNATIAAENLDVIAMCRKAFCARDDILLCEAVNE VKPWAARSGEIMMYWANIADKLMHTRGFALRKDGPACKTRFEKIVKMITGGEQDVLRK SGSEDEFAERERLVMGICMQIDEYQAADIPTRAVLDNENGGPEGRKKRKANKLMKVAK RERLTDLSGHHYLSPRTTTRTTPPPDDLRAFFEYLKKRMDVDDAREERRQTLDKEMED RRAAAEERRIALELERDRRQQEFMLRVLDMMKK H257_08033 MHSHDEMAAEGTDDDTSIDLLKDLHFLIATDEQVQDDFSYLCDL LDETASEPIDVSSGSNGDTSEMGGECASAAGFAKLDLQHTSPTSEATSSIEPSSTTAK TSSTKRKNVEKDNIPPTGARNRFQYRQRQELKALKAQVEELKAKLAAESSKSSSRLAA LSEASPWEQAARDELVERNRAHAENKQLKDALDDQSTFVDQMQKLFKKKPRLMAEDPS SLEEWQVYKLAAQHSLRVAAIHAIADRQYRRMQNAFIQTSLFNNSDAQPIVSGAPSVL PDGNILLEFKRQFTMPVPFPVVGAACWNVMKVRDMRPGVVESIETLDRHTVYQKLAET VGGVTLHSNSIRRYYSNTKRDVIVWRTVLEDALVPHMARGSVNNEWGWYDRVLCSEKV ME H257_08034 MEHSSGDDDDGISTEFLQDLQFLIATDAQLNDDLSFVCELLIEN ESASDHADDTLSRASDDTVHHSMGALCTTRKGPQASRRASTKPVTAKASVAIEYPSTG AKNRFQYRQKHEIQLLRAQVDALKQTLADTAKTSADTKVLRVPSLWEHAAKKERVDCK RVLEENAKLKGALDEQTTFIDNMQRLFNKKPRRAVGAVEVEAWQSYKLAAHRSLRVAA IHAIADRQLRRMQSALIQAGVFHAPNDIFFATPRPLGHTRLVLDFVNHVRIPAPYRTV SASCWQVLAEARDLSLPPDAIEECEVVDEFTVYQQFTQVAAGGTASHSNFIRKYYPHE TQDVIAWRTVLEDALAPHMVRGAVDNEWGWLVLTPVADDATSCWVTFLHQVLVETNPE YSSPGLTALDATSHALKQFRFCERPPTPGRFSGSPADKMDIPDKIMHVFMSKGKRLEL SLCAAVDNAILVAQSGHIYLTTTYNKVDCEFNVSN H257_08035 MSSTATSATSGGAYGKTIPPSKNASSLARPRDEANLLDEADMWA TVCDILHTSPVEPQRRDDLDVYTCHSVSSYQDPCLPKPKRIRVYTNKAEVQQLEAEIS DLQAQLMAAKQTAMSSCSNKVPSMWARAAARQRLDKNKCLDENQQLQAAVAERSKHIQ RLQKLILKTPRWTSLPEVTDESACLGRQLPADPALRLAAIHRLADRHLQRFHTAFIQA GALDLTHDMCRGDSIALAQDQIGFQSINHINLPAPYRSIADACWRVLSGRLSTEEEIH TRPRGDVQVWEHVDEHTVYERYRSRHHTGRHSFFHGNEIRKYHVTTEHIVIAWVSVVD DALVTLHPSDSIDEVWGWWSFVADPDDPQKCRTTIVGHSNISRALEHQDVKADPSDVI AALKQLVLTNSNEVTSPRDDPPSLFLVPFLERKRRMRPQLRRVIEQVILQHNAAASVS QT H257_08035 MSSTATSATSGGAYGKTIPPSKNASSLARPRDEANLLDEADMWA TVCDILHTSPVEPQRRDDLDVYTCHSVSSYQDPCLPKPKRIRVYTNKAEVQQLEAEIS DLQAQLMAAKQTAMSSCSNKVPSMWARAAARQRLDKNKCLDENQQLQAAVAERSKHIQ RLQKLILKTPRWTSLPEVTDESACLGRQLPADPALRLAAIHRLADRHLQRFHTAFIQA GALDLTHDMCRGDSIALAQDQIGFQSINHINLPAPYRSIADACWRVLSGRLSTEEEIH TRPRGDVQVWEHVDEHTVYERYRSRHHTGRHSFFHGNEIRKYHVTTEHIVIAWVSVVD DALVTLHPSDSIDEVWGW H257_08036 MTTTTTMDGVDLWDIATDLPFLIAKDDQLRGELAFVCDLLSTDI PDTTSEAATEGGGTTTADDSDTSAPTTAQTKPATKRKVSSAPPGARNQYQFRQKQEIQ LLQTQVETLQDQMASARASAAQKPDLPKWERAARVELQAKLRSLDENEQLKADIAVQS TFIGEMEKYFRKKPRLTMDTDVQSDEWQSYKLAAQASLRSAAIHAIADRQYRRLDTAF INAGLIGITTNLFRYKPIRQPNHKVLVELVNHVTLAAPFHAVGLAAWHTFHLPHLSTT SSTSIEVVDPNTMYEQCTETKHGVICHSNTICKLYTEPNKRDVIVWRTVLEDDLAPHM LQGAVDDQWGWIVLSPLANPNHCRLTLLLQVLAHASDEAPPQFKLDATVDSITTGLEL VSCSATPGSFPGERQEVTEGLPPALLTFMERGRRVELRLRRAIDRAIRDFNHGATTAI PSETT H257_08037 MDPSGFSSLKFTPHATRPSTGTQGLEGYKVSYTYAFKLTMTKSA NVGLVHQRQLEFKDTIEASKTSSHIDKRRQHETSVAPPTPARHNQSGHVRLAYHGVRH A H257_08038 MDDESWDVESDLHFLFANDVELQTDLAAVCDLLDKSESESAAAS VSSATATKRKRKPSTAPSGARNPHQSRQRQELEDLQRQVLELKSKLDEAKLHAAFKQE MSPAESLARLRQADAALSLKENKQLKDALDVQNSFIGKLGACLRKKPRHEILSSDDWR VYKLAAQKSLREAAIRAIADRQLRRKDSAFINAGLMDCTEYVFRSRTIKSQNPHAVTL EVTMNVELAAPRDVISGAVWHVFSCDTPPGMSQHTTVFQERLDAFTLYEQFSEVAAGG AMTHSNLVRKFYGHDNHDQDHVILWRSVLEDAMVPHMADGTVEDECGWIAISPIDATS CRLAFLLHAVFDPTTLPRGAVDQRSVEAMTAAVDKLNVVDVPRINDGTFPSPTKPTLP SPPQLAEYAPFIERGNQFEIALSVAVNAAIQKFQNGLCRISQ H257_08038 MDDESWDVESDLHFLFANDVELQTDLAAVCDLLDKSESESAAAS VSSATATKRKRKPSTAPSGARNPHQSRQRQELEDLQRQVLELKSKLDEAKLHAAFKQE MSPAESLARLRQADAALSLKENKQLKDALDVQNSFIGKLGACLRKKPRHEILSSDDWR VYKLAAQKSLREAAIRAIADRQLRRKDSAFINAGLMDCTEYVFRSRTIKSQNPHAVTL EVTMNVELAAPRDVISGAVWHVFSCDTPPGMSQHTTVVRSTGILCSSTIVVQSQSNGF LCQFQERLDAFTLYEQFSEVAAGGAMTHSNLVRKFYGHDNHDQDHVILWRSVLEDAMV PHMADGTVEDECGWIAISPIDATSCRLAFLLHAVFDPTTLPRGAVDQRSVEAMTAAVD KLNVVDVPRINDGTFPSPTKPTLPSPPQLAEYAPFIERGNQFEIALSVAVNAAIQKFQ NGLCRISQ H257_08039 MANKPTATVDATSAIFGGIVGGAVEPTSPSAAVTPDEAWNIMED LEFLIATDNDLHDEFSHVCELLDNSFPIDDILPSSSELITEAIVSDSTSKDATSPLST ATAGSPQEQTLLLVPQHPHRRKRVNHQKEEIRFLQKQVVALQAKLVHDKKCAASAFNM TAWEAAARHERVEKRRAIIENQHLYASVAEGSMFIQHMQSMMRKKPRWTTSLAEAGGV DDWQQYKLAAQANLRTAAIHAIADRQFRRQTNAFILAQVVGQTHDLFRATPKTLASGQ VVLEVVNHTTLKLPYRIAMNAVWRTFRGDLGPMLLDEACSETMENIDECTRYERFSQR RSGADSSVLFTNTILKLYQEHDRDVLVFRSVLEDELEPHMTRRSVDDMAGWLVTTADS KLTNQCGLTSVIHMPLASKVEVHGLVHALQTFSFGPMSDTTVPVVRDREAFFQRAKMF DTAMKGALHEATTEFYATSVQK H257_08039 MANKPTATVDATSAIFGGIVGGAVEPTSPSAAVTPDEAWNIMED LEFLIATDNDLHDEFSHVCELLDNSFPIDDILPSSSELITEAIVSDSTSKDATSPLST ATAGSPQEQTLLLVPQHPHRRKRVNHQKEEIRFLQKQVVALQAKLVHDKKCAASAFNM TAWEAAARHERVEKRRAIIENQHLYASVAEGSMFIQHMQSMMRKKPRWTTSLAEAGGV DDWQQYKLAAQANLRTAAIHAIADRQFRRQTNAFILAQVVGQTHDLFRATPKTLASGQ VVLEVVNHTTLKLPYRIAMNAVWRTFRGDLGPMLLDEACSETMENIDECTRYERFSQR RSGADSSVLFTNTILKLYQEHDRDVLVFRSVLEDELEPHMTRRSVDDMAGW H257_08040 MAAPPSADSSAAAWDVMQDLQFLIDDPTQYNELSVMCGGTPNDA ESRDRSSIPPAAKRRRPLRDYHHRAELSRLREQVEDLKSDLARAKASSSAMHMPLWEK AARRERVEKNRSIQDNSDLRAAVHERAAFIQHMQRTLCRKPRWMALPNATVDAWQSYK LAAQPSLRTASIHAIADRQFRRQPHAFIQAGILDRTDDLFRAEPVTLPDHVMLQVINH VNFPAPASAVSAACWRTFRGGKHRSALPLPENSTETIEEVDAHTIYERFCHIQGDITG HSNNIRKLFKDDDGRDVIVWRTVLDDALVPHMSRDAVDDTWGWLVVAPHPQDQTKCRM TCLVQIPLDTRVAPQHDSTDARARPDQRDHSQTMEAILSAIHSLTRGATTATRSPDKH KPNNIPRNDNILPAMRTFLERGRLFETAMKASLNDAVARFATS H257_08041 MVERGDFWDDSICFDAAIDGLLLQQDHPLHDSTFLDQHALGTFN LAASPRKAKRRRPNAVKDELATLRRTFDDLTRQLQALTDVHSTTTPLKHAMAIWAAAA RHERIEARRAKDENHALRTAVNDRAESIEHLRRIFLKKINRFTSNLMPFLSDHLWRQF HLPVDPVHRIAAIHAISDRQRRRHVQILIQAGILDQETDLYAATPITLADNRPGLQVI NHVNLPIPHHVAATACWDAVGGGPRRPPLPSHAHETLERIDDHTIYERFNQLQSLDER LVSNTIRKLFRGPDKDVVICRTVLHDAAYPVDTATPTLVDDMAGWMVARPHPDAPSAM CRFTSVFQVPLEAHGASMSTPPTHYDMEEIISVLHTMSFVSSAQPTPRTTMHIQHAQT LDLTAISTPVPSMGTFLPRGKDFELAIKASLNAAIATAHAGARPRHPTQNPLRPGDLK HSNLK H257_08042 MPDTTWNIMQDLQFLIAPDDELQDEFALVCDRLDSDPCTDDLRE PSAPPKRRRKRRNRVDNYKIEITLLRAQVETLTSELEVMKAKYPMIYIPAWKMAARRL RVEAMRCQVENEALRAAVDERGSFLDNMKRLLHKKPRWSLS H257_08043 MNAGSAPVRSLPNGHAQDEYEAPMATKRCRLVGDESDDDVTPYV EYVDPPTNHMDTAPENSSIVQSPGTAFWMQCRKQLQDKVTSKAVNLLPTMPTQISGCS STA H257_08044 MDDCWDVMKDLQFLIATDEQLQDELAQVCEILDSDQASTSVSCA PDSEEAATSAASSLLRRSSRLDEDSSSTDGVSRSKVATTLPSTLSTKRKVAAAILPEK GKPKPMRSSVRQREEIHLLRRQINELTSRLHAKETTDMTTLDMSLWERTAKLECIEKN KAVHENEQLKDAVYQQATFIEQMQRVLRKKPRIGANIMDIHSDDWQAYKLAAQVSLRE AAIHAIADRQYRRMQSAFIKAGVFHRDRCLFQIQAIPQPDNSFLVEMVTHLTLDAPFR LVAAQAWQVCDGELAIDLPEGAAETFQHIDPYTVYGTLLLPHMGVQCHSNTIRKYYIE QDRHVILSRSVLEDAAVPHMSKGAVENRTMWLQVTPLPDDSNQCRFTLLQQLVWPVED FAKEEHGLDNVVTAMKRVCFGQEVRPGTLPMAEWQMDLTKLPYPSMGAFAERGRRFVR LLKSKVNEAIESFHGQQHDTDPLLV H257_08045 MHKQEYKHDFGDGTDEPARPAALDRKPYRVTEWSASRVRSPPKE DDDAKDADNGDVYEACSLILPTGTDEARPTSIPGKTTSGSSRRLESTQFVAKQTASIA VENMSFWERTAKRMRMEMIKATHEQEQFQETLHEQTTFIHQIPSLYIFCDDHEAPCRW H257_08046 MSSRQRYTLIAHSSPRTAAIHAIADRQRRRMHHTFLRAGVLDRA DDSFRARGVALWQTMGQSQSSHMPFGMHEVLEHIDDACTLCGRITAATTDPGAVTWHS NLIRKYYLPPAQQFELVSRSVLDDALSPSASSDVVDNKAVWVQVVPLTDSTCRLTFVV RVDLERPYFSTWQR H257_08047 MADYEATAPTEPWELLDDLQFLIATDEGLLDGLDRVCGMLDDSD PSEVSLDTRSVTPVDASGNSSTAQPPPPLANGTKRKYKRTTFEARQKLEMQLLRDEVE SLKATLRDPNLSRQLITSSSSMWKCNAQRERFEINKSLQENEELREAVDEQATFIDHM QRVFTKKPRLAILRDVDSAEWQAYRVAAQDSLRVAAIHAIADRHFRRMPTAFLRAGLV HDQPTHDMMRTRVLPQSHGVTLFEIANHITLAAPWRDVSDAAWSAISGPALYLPPGMS EEFEVLGARTVYSRITDARNPTKRWHQNLIRKHYPSADNEQRDVFIARAVLEDALTPY TPHSSVENKWVWMQVAADPHVPNSCQFTLLVQLQLEGDDAAAVEDGSEVTFKMNQLGV VDRPGFLPSTPSMLHLDPSRLPPSHLRLFVEGGNRMHVAVTTAINAVVHRYTTRSS H257_08048 MASKNDGADDETSWTNLLDLPTLLATDDQLYSDIEQLFTILDDS VLEEELPISTNTTTHPVSISLLHQAATSTGISELSNTLTATSYKPCRRRRTATHQERQ KHELLVLRQQVVQMKALLAAKQATSNNIQMSAWERAARAECKEKWMSMQENAQLIGAV HEQATFIEHMQRLLHSHDHHLHLDNKDAWQVYRLAAHESLRIAGIHAIADRQLRRMQN AFIQAGVFETSDNTFRAHVRPDKGGSFRFELVNSVTLPAPFAVVAAAAWHVHMGGPSA PSWPDGTTEVVETIDSHTVYSKLTHHVHHGGVPSHANVIRKQFLHPARHVIVSRSVLE DARDPLMSTRTVEDKWSWLELAACPDDVTSCRLTYVVQLGMGHTHRLLITDDEVAGIQ AMLQHVSMSENDEATQDDGSLDRTTPQRPHMLSFVERGKRYIHALHGAVNKAIGVYHR QNRGNA H257_08049 MAAKRKATSTLTPTSPVKRVTRGSSRPTPEPSATPSSTAPKKQP TTTSKKAAAILTKSATSSKKAASRKAGKSKPAPVAPASASLPAFSFGGFGTPCPMPAP TMPTPTSSPAIARPLDEVYSRSLGASSASVVGDYDVMLNQVAITASTSNNKFYRIQLI QQSPNMWNVYSRWGRVGEDGVYRLWGPMATLEDAERVFCQKFKDKSKNNWADRYNFVP KKGAYEMVELDEKAAMVSTSDGPKVIGDDSVPSQLAPPTQKLMRMIFDKAMFKSELVR MQLDPKRMPLGTLSINQIQKGVAVLDTLRDSLDAGQVTTSHLQTLSAKFYQLIPHAYS RSTIPPVLNTPQQLEQKYEMLSTLHDIVVAQDVEKALGDAAPTTKHSLDLKYAELNAG LDVVTPDDPLHEVIMQYVANTKGTGGGGFSCYASKLVVQDIWAVRRTPEDQSFSSFDS TPNHRLLWHGTNVAVVAAILKSGLRIMPSSGGRVGKGIYLANMLEKSKSYVRPTHVDG HNIGCLFLVEAALGKMHEIRQDDSRLTKAPAGYDSVLAKGTLHPNPDEDRIVQLDGQD VRVNVGRPVTLKNEHSSFHHDEYLVYQESQQRLRYIITFKM H257_08050 MTGQLIVCQLDHNCENLDSLANMTPTEATSLMQNADRKVYTPLD EASPPSESADEDRAFADTIAALKASLDRELANQELDLSGSFEHMLSIIRVLWGARETI EALAETQSTDKWLLSFDAEANAKKLMYAEKHYNDLLADAVNANLADLLVRMIGQRVHD EASLELLYELSAWGSVDVQNALYYAASDSQSAVLEFLLRHLEKNSTNIVAIMTQVCMY HHSQWQAMMQVPVTLNGLSLLDVVLARVAGIPSIISSADIPTVVSWMTFLCEACQGPS EENQLLVASSDAVTIVRDFVMDGISFGPGTAETLQMQVQKCAAEVLLILMEGRADGTL HECLAAVMPVSAVVERLQVHYRAIEEWKQSPAHRSLLHHTLSMTKSLLHTDTSKDELQ RNLHDFHAAIHLFCIVLHLLYDKQTIESYRTQWYEALANPKAFAAVAFFQREVVSIEI ARNGAILTTYFLRPPTAKYLNETLRAKLIDHMDIGGDRALDVLTSDVANDVEEELTVI QGLVKNPSYSIMNKWHVWLRKNMLRLCFYINFVMLLCVQVNHSTVEDVHQANMRSGLW VVGALGFVLLGVCTALWLYHALTTFCFNYCKQHVSPLKLSFTTSSDYWASTLHGFGAF AGYLEFFVAIFLVIFYMNMVTTTTKILAAVSVLWLFGAFLQGVRHVCRLYRFTVNVDN PADANVVATLASFWYSVLYDTLLSGSVITFGAYTLCAICGLGLSIPAVTTYFDVGPWG LMFFGCPLVDILATNDNLRFIATAMHSNMGILGVTAVFGAILIYLFSLVGFFHLQVEL ECEDHTVSHCSTLLQCYTTYIRYGLLSGGGIGDYISSTLSHELDFGNPGRYFERLVYD LAFFVLVITLFLNMVQGIIIDAFTAVREQTETKAALKRERCLVCNRSRSAIENLDGGH PNNFSRHIHHVHNFFDYFFYIQHVKAKQHPKELSGLERYVVEKLKAHDMAWIPRV H257_08050 MTGQLIVCQLDHNCENLDSLANMTPTEATSLMQNADRKVYTPLD EASPPSESADEDRAFADTIAALKASLDRELANQELDLSGSFEHMLSIIRVLWGARETI EALAETQSTDKWLLSFDAEANAKKLMYAEKHYNDLLADAVNANLADLLVRMIGQRVHD EASLELLYELSAWGSVDVQNALYYAASDSQSAVLEFLLRHLEKNSTNIVAIMTQVCMY HHSQWQAMMQVPVTLNGLSLLDVVLARVAGIPSIISSADIPTVVSWMTFLCEACQGPS EENQLLVASSDAVTIVRDFVMDGISFGPGTAETLQMQVQKCAAEVLLILMEGRADGTL HECLAAVMPVSAVVERLQVHYRAIEEWKQSPAHRSLLHHTLSMTKSLLHTDTSKDELQ RNLHDFHAAIHLFCIVLHLLYDKQTIESYRTQWYEALANPKAFAAVAFFQREVVSIEI ARNGAILTTYFLRPPTAKYLNETLRAKLIDHMDIGGDRALDVLTSDVANDVEEELTVI QGLVKNPSYSIMNKWHVWLRKNMLRLCFYINFVMLLCVQVNHSTVEDVHQANMRSGLW VVGALGFVLLGVCTALWLYHALTTFCFNYCKQHVSPLKLSFTTSSDYWASTLHGFGAF AGYLEFFVAIFLVIFYMNMVTTTTKILAAVSVLWLFGAFLQGVRHVCRLYRFTVNVDN PADANVVATLASFWYSVLYDTLLSGSVITFGAYTLCAICGLGLSIPAVTTYFDVGPWG LMFFGCPLVDILATNDNLRFIATAMHSNMGILGVTAVFGAILIYLFSLVGFFHLQVEL ECEDHTVSHCSTLLQCYTTYIRYGLLSGGGIGDYISSTLSHELDFGNPGRYFERLVYD LAFFVLVITLFLNMVQGIIIDAFTAVREQTETKAALKRERCLVCNRSRSAIENLDGGH PNNFSRHIHHVHNFFDYFFYIQHVKAKQHPKELSGLERYVVEKLKAHDMAWIPRV H257_08050 MTGQLIVCQLDHNCENLDSLANMTPTEATSLMQNADRKVYTPLD EASPPSESADEDRAFADTIAALKASLDRELANQELDLSGSFEHMLSIIRVLWGARETI EALAETQSTDKWLLSFDAEANAKKLMYAEKHYNDLLADAVNANLADLLVRMIGQRVHD EASLELLYELSAWGSVDVQNALYYAASDSQSAVLEFLLRHLEKNSTNIVAIMTQVCMY HHSQWQAMMQVPVTLNGLSLLDVVLARVAGIPSIISSADIPTVVSWMTFLCEACQGPS EENQLLVASSDAVTIVRDFVMDGISFGPGTAETLQMQVQKCAAEVLLILMEGRADGTL HECLAAVMPVSAVVERLQVHYRAIEEWKQSPAHRSLLHHTLSMTKSLLHTDTSKDELQ RNLHDFHAAIHLFCIVLHLLYDKQTIESYRTQWYEALANPKAFAAVAFFQREVVSIEI ARNGAILTTYFLRPPTAKYLNETLRAKLIDHMDIGGDRALDVLTSDVANDVEEELTVI QGLVKNPSYSIMNKWHVWLRKNMLRLCFYINFVMLLCVQVNHSTVEDVHQANMRSGLW VVGALGFVLLGVCTALWLYHALTTFCFNYCKQHVSPLKLSFTTSSDYWASTLHGFGAF AGYLEFFVAIFLVIFYMNMVTTTTKILAAVSVLWLFGAFLQGVRHVCRLYRFTVNVDN PADANVVATLASFWYSVLYDTLLSGSVITFGAYTLCAICGLGLSIPAVTTYFDVGPWG LMFFGCPLVDILATNDNLRFIATAMHSNMGILGVTAVFGAILIYLFSLVGFFHLQVEL ECEDHTVSHCSTLLQCYTTYIRYGLLSGGGIGDYISSTLSHELDFGNPGRYFERLVYD LAFFVLVITLFLNMVQGIIIDAFTAVREQTETKAALKRERCLVCNRSRSAIENLDGGH PNNFSRHIHHVHNFFDYFFYIQHVKAKQHPKELSGLERYVVEKLKAHDMAWIPRV H257_08051 MPQADNSVLLEYVYHITLAAPYDRINAVWNVFKHGPPLPDGATQ AFRRTRANLVSGNPHEDTAHANIVWKYIQEPPHRQVVVWRAVVDDALVTHMANGAVHD EWGWAVVAPLDDASTCRLTLLLQVVADPVQAESRGSPDALVDETMSQVETFSFVRPPT APGTFPGPRSWKKR H257_08052 MQEGFVMTVLRFDELAGLGMVDEDAAVDELPGCSRDGADEALAP PAGSDNKSHTYENSMWSASLCTNKKLKSSYTSHGAVSSPRSSSSSILFDVPASFKMSM VIK H257_08053 MNNSPEYVPDRSSTVTPSFLRACADANNRSLVELRRLELQRIDI RNQLGISKATMAQWTAEHQALVANGAFDNSTPNTPQT H257_08053 MLVRVECQNASMCLVDRSSTVTPSFLRACADANNRSLVELRRLE LQRIDIRNQLGISKATMAQWTAEHQALVANGAFDNSTPNTPQT H257_08054 MAWHTSIATTALSSIGSMPLFMHTTIWLDDIQAPCPSLVPSSLV NATTFEKWASPRRGICVDRSKTQRKRQCPRVPNHAARKTSCFSGRHFCARWLATRPVR GRVGSNHSQRMRVQRS H257_08055 MRKAIVIAFLRRWLIRRRLAKELFARQMEYHYLAFVHKTPKRMS ILRGPLWVDEVLCGSARLLNRGNSPGAANYKEYPEKTASMTKVVVVAGLQTSTRRRVG WHKPMGLLSFVISLNVASMPLKAYLTESFPWQVGQVWSPDAATTFDMYNTETLASKQS YYTASFLHNYVISNIYVDRPNATTFMVAAFEHDSSTPCLTRVVRLPGALFYGSGIRSV VCNFLANCTAPTMGQCQHVRLLGLPLAEQCVWAVRDHPLAEQETTNVVVYQVMQQWQN QKFLWCKLAYRVVLTVYVCREMYVKYYRHYSTLAANFIDVGLQDPTLTKMEIYIGDPT SIVLSNAWVSLAFVIDYWLSANTVSECILQISQIEDQVLFCKAVLYTCRSVWFSYFML RYTTFVLKRYNLEHMVTPLDPTLVAVAVLIYAAPMVYLISTTPIMAVQHALWEPLISA AEKGQAIEIFLVLVGVTMAFGAVPLWFSRLWTWCRNRQTKIRGPSQTIVKFSELNLLM FNDIKQRVAFHMFGLQRKFTPSQFEGGSLYALHKHNAKYNRMPLFSHRGSDCFVACYT ASGLLKLKCRLSLWRCLDRIERDDDLCVRLCETNHKDCLSRLDGTACMTFQPTGPASQ CVHRGVNASPWIL H257_08055 MRKAIVIAFLRRWLIRRRLAKELFARQMEYHYLAFVHKTPKRMS ILRGPLWVDEVLCGSARLLNRGNSPGAANYKEYPEKTASMTKVVVVAGLQTSTRRRVG WHKPMGLLSFVISLNVASMPLKAYLTESFPWQVGQVWSPDAATTFDMYNTETLASKQS YYTASFLHNYVISNIYVDRPNATTFMVAAFEHDSSTPCLTRVVRLPGALFYGSGIRSV VCNFLANCTAPTMGQCQHVRLLGLPLAEQCVWAVRDHPLAEQETTNVVVYQVMQQWQN QKFLWCKLAYRVVLTVYVCREMYVKYYRHYSTLAANFIDVGLQDPTLTKMEIYIGDPT SIVLSNAWVSLAFVIDYWLSANTVSECILQISQIEDQVLFCKAVLYTCRSVWFSYFML RYTTFVLKRYNLEHMVTPLDPTLVAVAVLIYAAPMVYLISTTPIMAVQHALWEPLISA AEKGQAIEIFLGAYTTTALHGLTRHDVVFVVVLVGVTMAFGAVPLWFSRLWTWCRNRQ TKIRGPSQTIVKFSELNLLMFNDIKQRVAFHMFGLQRKFTPSQFEGGSLYALHKHNAK YNRMPLFSHRGSDCFVACYTASGLLKLKCRLSLWRCLDRIERDDDLCVRLCETNHKDC LSRLDGTACMTFQPTGPASQCVHRGVNASPWIL H257_08055 MRKAIVIAFLRRWLIRRRLAKELFARQMEYHYLAFVHKTPKRMS ILRGPLWVDEVLCGSARLLNRGNSPGAANYKEYPEKTASMTKVVVVAGLQTSTRRRVG WHKPMGLLSFVISLNVASMPLKAYLTESFPWQVGQVWSPDAATTFDMYNTETLASKQS YYTASFLHNYVISNIYVDRPNATTFMVAAFEHDSSTPCLTRVVRLPVGIIGALFYGSG IRSVVCNFLANCTAPTMGQCQHVRLLGLPLAEQCVWAVRDHPLAEQETTNVVVYQVMQ QWQNQKFLWCKLAYRVVLTVYVCREMYVKYYRHYSTLAANFIDVGLQDPTLTKMEIYI GDPTSIVLSNAWVSLAFVIDYWLSANTVSECILQISQIEDQVLFCKAVLYTCRSVWFS YFMLRYTTFVLKRYNLEHMVTPLDPTLVAVAVLIYAAPMVYLISTTPIMAVQHALWEP LISAAEKGQAIEIFLVLVGVTMAFGAVPLWFSRLWTWCRNRQTKIRGPSQTIVKFSEL NLLMFNDIKQRVAFHMFGLQRKFTPSQFEGGSLYALHKHNAKYNRMPLFSHRGSDCFV ACYTASGLLKLKCRLSLWRCLDRIERDDDLCVRLCETNHKDCLSRLDGTACMTFQPTG PASQCVHRGVNASPWIL H257_08055 MRKAIVIAFLRRWLIRRRLAKELFARQMEYHYLAFVHKTPKRMS ILRGPLWVDEVLCGSARLLNRGNSPGAANYKEYPEKTASMTKVVVVAGLQTSTRRRVG WHKPMGLLSFVISLNVASMPLKAYLTESFPWQVGQVWSPDAATTFDMYNTETLASKQS YYTASFLHNYVISNIYVDRPNATTFMVAAFEHDSSTPCLTRVVRLPVGIIGALFYGSG IRSVVCNFLANCTAPTMGQCQHVRLLGLPLAEQCVWAVRDHPLAEQETTNVVVYQVMQ QWQNQKFLWCKLAYRVVLTVYVCREMYVKYYRHYSTLAANFIDVGLQDPTLTKMEIYI GDPTSIVLSNAWVSLAFVIDYWLSANTVSECILQISQIEDQVLFCKAVLYTCRSVWFS YFMLRYTTFVLKRYNLEHMVTPLDPTLVAVAVLIYAAPMVYLISTTPIMAVQHALWEP LISAAEKGQAIEIFLGAYTTTALHGLTRHDVVFVVVLVGVTMAFGAVPLWFSRLWTWC RNRQTKIRGPSQTIVKFSELNLLMFNDIKQRVAFHMFGLQRKFTPSQFEGGSLYALHK HNAKYNRMPLFSHRGSDCFVACYTASGLLKLKCRLSLWRCLDRIERDDDLCVRLCETN HKDCLSRLDGTACMTFQPTGPASQCVHRGVNASPWIL H257_08056 MAKVHVFRSPTSHLAIVAWHKPMAFLSGVGALNVASMHGLPFSF LSALMTPSDMGLGAMFYGSGIRTVSIRLCGATNMPQLDHAFDGPNAMWELLVPSSLYA LHEHNAKYNLMPLFSHRGSDCFVARYTASGQLKLKCRLNLWRCLDRIE H257_08057 MATMQTRRQYSRLRAAFFASGLLGRLGNGMHVVPRIHLQNPLKV VVEYVNRLSIAAPVLINCRSPAVWDVCSGLSGPPLSSELKALLQVVCDKGNHLSTLEE VARDCMASAYSLTDVHGHFPVVIVCDHLAGNDGVSNFVLHRQFRVPLPTLVEATPSSY FSS H257_08058 MKRSLHEHTQLRIFINDDNTISIDCMQGCYCVAKDELPYVSSLH APSTSSPSDDDTLSESPISTADDDTRSKDYTKRESMLSVPVVASSLWERAAREDKYAV GKALYDNERIRDCVHRQATFIDDM H257_08059 MVMPPRHTRIAPIMEEESWDIVRDLHFLIASDDQLQDDLAHVCD LLNAVDDDGRSSEDIVDKTTSDESDNSSSTPTQPLHQKNPRQQQQGEVLLTGKRPPKR KAGPVRFETRQKDEILQLQAQVIELKAQLVKSQSKHSLMSTSVSVSAWERAARRELAE KNKSLRENEQLQSAVTEQATFIEHMQNIFAKKPRLATMGGDAVSLDTWQEYKLAAQAS LREAAIHAIADRQFTRQQNAFILAGLFERTDVLFHAGPRTLPDGSHVLEYIYHMTLPA PYGVVGNACWQVYNGERPPTMADNAERTTESVDARTVYVKYTETLASGDVNHVNVIHK HYIEPDRHVIVWRAVLEDALRPAMATGNVQNEWGWIVVAPVPEDPTQCRLALLGQVPH DVGSPVKVCPCAGEELMDNITSFVQNLSLGDASSLVLPSLDDQHADADVNFMNRGKRL ELALQVSVKDAVATYRAQLATVAGAL H257_08059 MVMPPRHTRIAPIMEEESWDIVRDLHFLIASDDQLQDDLAHVCD LLNAVDDDGRSSEDIVDKTTSDESDNSSSTPTQPLHQKNPRQQQQGEVLLTGKRPPKR KAGPVRFETRQKDEILQLQAQVIELKAQLVKSQSKHSLMSTSVSVSAWERAARRELAE KNKSLRENEQLQSAVTEQATFIEHMQNIFAKKPRLATMGGDAVSLDTWQEYKLAAQAS LREAAIHAIADRQFTRQQNAFILAGLFERTDVLFHAGPRTLPDGSHVLEYIYHMTLPA PYGVVGNACWQVYNGERPPTMADNAERTTESVDARTVYVKYTETLASGDVNHVNVIHK HYIEPDRHVIVWRAVLEDALRPAMATGNVQNEWGWYIYVLLEILVLVKKSWLIRRRRR RRIVVAPVPEDPTQCRLALLGQVPHDVGSPVKVCPCAGEELMDNITSFVQNLSLGDAS SLVLPSLDDQHADADVNFMNRGKRLELALQVSVKDAVATYRAQLATVAGAL H257_08060 MDGPRTDVMAKLPQLQNMIKRDPSAYQDEFMMQLRHYESEVAIF RLQPNKQSEHFGALVTFLSHVSACYPTELAQFPQDLIYLLEKHSAVLEASLRKTLVQA LILMRNKNIVDALVLLKLFFELFRVPDKRLRELVYSHIVYDIKKMHTDGGGRNEKVMR GLQNFMYSMVADEHEIAAIKSLHVLVSLYRKRIWCDARTVNCIATACTSKNTRVLVTA IQFFLGIDADILEDDEAEKVKVKTQVEVNYHSYSKKTKSRYNHTKSQLVKNRKARKRN AEHDGTFPAIDLLNDPQGVAEKQLRILKASNERFEVRLLMMDFIGRLVGQHKLVLLAF YPLLQRYLTAHQQKVTNILAYLVQACHDEIPPEELMPVVRTIANSFIVERCSSEVIAV GINSVREVFARVPLVMDEPGMDDLIQDLIMFNKSRDKTCVIAARGVLNLIREIHPSLL KRKDRGKFHDETARPKAFGELRASEGVDGAELLMEAEAAGRFDYEDNEDGWAVDEDML DAGDESDGGWVDVDGSGDESDGLHSDSDEDGDGDNSDDNSDDNEDEEGDKAVVIPQSE RVDARRILTPKDFERIQMLKKEAVNAAKDPKARRKRKADAVEKKALESNHSTVDPATL EGYSKKKRQTMEERLRSVLEGRENFNHKQKGGGTTNREKARLKNFLMLKKSLQVQSKV LKSTREIQHIKNRVVKGVTKRDAKKRRRT H257_08061 MLTSMWSIAAAIVMTVSVVIECATATDTLFRLPLRPSARRRLEG SPYNEQRLNGTFSSHSAELYLGVPPQKATVVIDTGSAITAVACSTCKNCGAHNRPAYD PAKSTTARPLACRDSSICTSCSSQQCLVSQTYADSSSFDAYLVAETALLGNFNGSLSS AYVQANGVTMSVGCQTSVSGGFAYHPENGILGMQQDPSTLLAAMVRQGRVSRNAFSLC LAPLGTGTIVLGGVDDYLHNDVMQYVPLVRPPSSKYFSVDVVDVIVGATSLGLDSTAY VGFGGTQSSGQSFIVDSGSTISQLPVPVFDKLMQVLQEATGIASFGMGTNVVVPPLVM AKLPTLRLVLSGGTKGTGTVQLVVLPEQYVMTVPDSSSSSTTTQQVVGFRRGTATIGG VLGANVMMHHNILFDLEMQRIGIAPATCTKTRETIADLVQLATSIANTSTPPPLAPTS RGVHHNPHHVVAMVVLVVVLVSHYSS H257_08062 MRFFGALPLSAFVVMALQTRLGAMAGTSTPVPYGVFHTLTYESH NPKDPYDPNWKEPKIDVMLYPETQNIPVDNLSKHLRPPPPRIPDSYDIFVGLSSFRDG ERCGYTLFTGFLRAIRPNRLFFGVVDQVLENDTTCLIEYCKLAHNEWPYDDCRFRTQI RVDELHADSARGPVFARHHQQKLIHDEEFCLQVDAHSAFTKDWDLGVVSDWKATNNEM AVLSTYLHDLHNFISDDGSNNAPDHLPHVCQTMRGTNGLVRNIGADLIVESKHPQLSA LWAAGFSFSKCHAEKRVPVDPYMLWMFEGEEFLRSSHLWTAGYDMYSPSRVGSVVYHN YTSIPARFEEMQVSDEAVRTRERTMAENRFRLVVDWPFEGPVNTFELTTTYAMGRARS LDQYLEFSGVTFAPDQVDAHTCRQLYWVPYADPTSVEMLLGNWHMGNREKVAVGEGTA ALRSSGRSFDYLPADHSVLVGVGVVLILMGGFMHSRQTKIKHHDKSDV H257_08063 MRITATAAALATALCALTSAEEAQAGTSAPTPTPFGVTHTLSYQ NHGDDPYSKDKKAPNTDVTLYPETQNIPLDPTLSHLRPPPPRIPSSFDIFVGLSVFRD GLRCGYTIFTGFKRARNPDRLYFGIVDQVNPDDLKCLDEYCKLAKVEWPDDECKYKSH IRVDEHLADDSRGPTLARHYQQKLVGDQEFCLQLDAHSVFTNDWDVGMMQDWTTLNNE MAVLTTYLHNLNDFIGDDGTNKPPNHLPHICQTMRGGNGLVRSIGADLILQPKHPQMS ALWGAGLSFSKCHAEKRVLVDNHNHWVFDGEEFLRASHLWTHGYDLYSPSKVGSVVYH NYTSVPARFEHVKVDQALKTKEQEMGINRFKFVVGWPFQGLLDSFDLEKYPWGTARTL QQYLNFSGITFEPGQKDKGSCKQLHWVPFSDPTSVEALVPGYTMTPRRSSQSTKSTAP PVLDLSSVASPAEAVGSAGTQMLRQQRKPHHVNVLSVGVVVMVVLGVIVYTNDGLWRR VRRLVRAKKYDTGL H257_08064 MDKFKETLQSGIDKAQAGMDQVQSGMNKVKSSIMKETDQPSSDA PSSIKESAQGSYASAKDKASEAHDVMKDKAQQTKETMADKTEQAQGTMKDKAQQTKGT MADKTEQAQGTMKDKAQQMKGSAESAQDTLKDMGEAAKQKGQAMYERHVGGGSS H257_08064 MDKFKETLQSGIDKAQAGMDQVQSGMNKVKSSIMKETDQPSSDA PSSIKESAQGSYASAKDKASEAHDVMKDKAQQTKETMADKTEQAQGTMKDKAQQMKGS AESAQDTLKDMGEAAKQKGQAMYERHVGGGSS H257_08065 MLGRRDRVVPSPRGDGVVRHDDQLQPAPTSSASNCLKVVVALVA WTTWQHLLQVYQGVVLLRKRFPHQSWIKAIRSSWVYATIVFMGDAGNLVFGLASPTLA LRTLACTLRLSTKDFSYGPHERNVLDLYGTSSKDEDDLKPVVIFIHGGAWALSSKFHY GAVGETLERHGVVTVVPSYRTFPHGDVEEMLDDLEAIVKWTVANIAKHGGDPTRITLS GHSSGAQLCALMLVQAAIRCSADSTQVGTNDRSVGLHVQAFIGLCGPYDITDHYEFER HRAIIPYVRAHAISPLHPSFHGPRHFHTFSPPALVLDSPLLQASFLPPMYLFHGEDDF VVPFSASEKFATHLNQIGATAVVTPFPRGHVEILLALMDGYPELNAMMLQSFLAVVTA PRSSKKRPNLRQGVDHSIPKSHL H257_08066 MFLAAVARPRYDVGRGEMFNAKLGMWPFVQLSPAARNTRNRPAG TMVTTLVNVNAVVYRDFMIHKVVPAIKASFSSAHKHIVLQHDNSTLHGSVTDRELEAV STDGCKFVIFWNPEDLQKPRRWELMHTADDSHLHDTVIEMHGQGRAPSDIADLTTDVD SSLLLELVGGDVK H257_08067 MTAEGAHGETCRGGYVPHGRPLVGRHSTHKLLDPGLRLSEEERG AILAFHKAEWSIRRIAK H257_08068 MDHLEDKKTPLVGGQDPSDDAPMVTSYQTEELRGYLLKKTRNGK WQKRWFETNGCFLTYYKKQGQKLLAALNLPQVGEIVTLPEDTDDGPGLFSIELNERIY TIKAKHQEEAALWVEALVWRQKGGVVAQTNGDDALFLHAAASAGAGDDGDLSPKAPGV VPSVLPKAKEERDHRFNIHDPVEETEDVRRCGCGCAIQ H257_08069 MDPSLQRTFRGHKSGVECLSYSPNMRQLASGSLDCTVMIWNFKP QMRAFRFLGHKGPVFDVQFAPSGDLLASASQDRSVRLWIPSVKGESHMIKGHAGGVRS VDFSYDSAELLTASDDKSIKLWSLPTRRFVCSWNGHSNWVRSARFSPDARVLVSGSDD KTVKVWDKATRNCLATFFDHSGIINAVRFHPDGNTVGACSFDHTFNLWDIRSHKLIQH YPAHDGSVTSIDFHPSGYYIVSTSTDGTIKLWDIREGHLLYTMHGHNGAVNCATFSAD SKLTATGSVDALVMLWDTDLDTCLHANDTHFPTALPKSKVFKHHSPSRQPPSSPRSPA SPPPLPPHHAIPHASSQPPTTSTRPTSTSTTSTTTPTSSQTRQGHRRSPSQDYLNPSA IVHTMASSQHEFADGAYGHDENDELDIQDVHASASSLPQVPSPPRVMPLPSASASPPP RRSSTSSSSLHRREADENSPDHHHLTATFDHIVGQLEIITRTLGILEERLCHVEDRVS DVTRVQSQVLRTVNMQQQAPPSGDSTLHPHVE H257_08070 MRPLAFVVVASTTIDLCMAECWLRSDGVRICSSDGLEWLAMWWF WFAVLFFLCACTGGSYIYHRRHLSSLYQQGFVTYSEPLILNDNLTNHGINSAHSNDRH LGSSPSIQKIFYR H257_08071 MKRSIEFTKGAAQPKQPQGSPVKQKQRRGPSLAAPLQPPPPATL STPAALDADCAVAFDAWIQSEQTKDERKWPKLDLSSHRRMAIETHDELASSSQVKRKR HLPSTSPKQRVSLPPNLPNLDKVDRLATHKGEIASLLHDIQKVHRYRAKSATDTAREA VPTARRPVSSEATVREQHQHVSNQMCQPSERTVAFDDDIVPYIFYEPFSNTPRATIDL SAWTVMDGNSLLAQLTKHLTSACTSLNLTGLQGDIAVPVVHGCFSKCPRLTTLILNQV TGVPTTAYKTIGSVCPLLEQLHLGKSRDVTDDLLRVISSAFPRLTTLELSHCCYITDP GLALVMKKIPRLVSVSVAGCTYLSERAITQLASTYGRTLISLNLSDLTKQTTDNVVAA LALAKATSVRVLRLRFARQLTDAAFESLVHPPQSFTQAVGVAYKIDKLDLTGCDGITG LALSWITAGCPYLRSLNLTDCSNITDKGFKTLQGSAHLQKLILHNCPLITDPAVVAVL AAPRTRPWVTLKLSQCPDIQAGSIAAIVEHCRHVSTLVVSQVDTAVPPGHWERICKRC THLKRLRLGSIPNLPPQALISLARQSRQHLTELHLCHCASINTNALYPLRALTKLRSL HLASDVVTSDSTAFFPPSLISLTLSTPQLDDSGVQVLGAKCWRLESLELKGAGRVSGA VLRQLFRSCKAMTRVCVTDCSCITPDDLDVMARTKHRLQLHVRHRQQPPAATMEVSPS RYTGMWKVFSQLVQLARKQHSAARMIQQLYMTGVVTSGNQFKFQSHLVESSRCILRIQ RGFRAYRDRRQAVLLMRYKLRSVRHIQRWYRKHNMRRRMTRATLFWTKRCLVLTFGAW KATHRAEMAERDAAWQSSATLKAMRLWSDKTLRGVFTSWRAVVSQKQASLAKAKGLWS AQTVPKRFRHWKLQVELAKWYRKTIVVVWMNTVALETHNSSRQLFQTKKAHGFYLRNV LKRWKVFIQHERLFVIKATAAIFANKVEAWAFRTWKVRVNERKRRHEKSKRLMARLAH RTQYHVWLAWQHYVARRRAIKRASLHFQGNVVFKCFGAWRQAVAMSHDEKAKQLRVAH RMQNLGLLNAVAHWREYAEEKLYAKAIAGRALAFFRGAVVLRVFLAWRQHAQDMKYLV AQMRSRMQSHCVESCFRLWLRYQAMRRLEMHSATTIQALWRGVLSRHDTENHYFLYIW AAVSLQRAWRGRFARLLLRQATRRRRLREYKKMEVEWDAMEAEDAAAAVYRQQLNMIL LIQRTWRGKAGRSFYQQLRKMVYIRKQQQRRQLQEMMVIQAEQRRRDREDLERRRHAA ATEIQRIGRGYMARKWFAKQQEFLRLRRCALRVQAAFRGKLARRKICAKRRHRATILQ IYARRNLESKRLRTLTAHTRETQALFRSFLRVFGLDPSTFVMDMGTLLGEIKTDFISF TRYFLRMQAIAKEAKAKNKATLTSAEVKALKQQIEAAEMSLASHVNLTPVTHGDSVRV ILAGHPRCGETAYVLHVQDDADSEIQMAEIKMDSDGVLEFLPLFTQATPIEAPKPVFY KIPPLYFDAPVHITAEWKASLAAYADKIRLETKFFLAARTIQCAARVYLARVQYQLEL QTQGVVTARRDALLVRLLTTLGMANQRTACVLHRLRLLTSTPKGLPDTPLALSILQDK FEQASAKRAEVKHAFATLEAIVFNGPGDFANELMPFRFHNVLDKLLFRPLRRLRNCTN VALAQAIAAKGWAKLARFLGGVDFVRTFEQKNIYVQQVQLEQLKHSAYTNSDGWAVVH GVFVAANNKTLLDHPSSSVTTKLIPHGWGVAKFLEGIGGDKKWDSKHSIEAKFKALTI VRAMRQKDREERLRATIHVRQEEYNDLRAKEGPYGYAQRHAKLSEIEDAMKKQKARWE AEEAQRMAEMHTVFAAEEEVMGRMHELKAKMVKHGVVLRELQAQPPEMVMGVEIVPSM KNALTFLALGTKIELELDDGAWHEGTVVALDVGLGAKYTADVLMASDYSVELVQLIPK KNRPKKVPSSQPSGESSSEVVDEGKATEQAQAKDDREAGQDEVESKKGEWTNTVQGRT ENKVASERVDNRADSKGNESTTAIDDNEVETDLEKQQKALDALENAGKPQEVKLKRLE FRKWRVGGAIDVTWDIPFENGTAIKAYILDWETSSATGQLFVHGKRDAITGRLAAPEA KLTIGPISMDDEFKLTVKAENARGVGLASPLMSLQEPPMAIGHDLAFAFEPPPVDTSD IEAAEVEGMRKEEAATREWIHHHTCMVCTLRFDKSPELDLHMGMVHGLPLVCPFPSCA QPCATYQALRYHIWHCTNTKLTVTEATIPLFLRSFELSPNYCLKKPRRHLMPPTHPQA DQGEAYFLETKYQGAVTTWLAYSHNRHHLLVRETDRVQRRRNHLEAASAPPSPLFGVD FLSPDVNLKLRDDAVALLQKLKDQLVQYIADAKLKVAGWTHELTELVEYIEMKTNRLA AAEEAWQRQSLKKDKKTATKKKELIQELMDAFDKEFIATKAKMEAEIARLTAVVAELV PFTQLVVKMNELRSLLSQSSHQTHLVMEKDAAITTALHDKLVELMNNNVEQVEILEAH DRAMAGRERQLKRLKAHLKEMQLRHRAELEIARLYDSQEKDEHELRVLRNEQLSLFHE RQAIRENGGDDELYSPVTTNNQALQIANTDPVLYERLLRGRQKDLEAEGVTLASLVRH DVEVEGVEEEAAIQKRKELKAAKLATDGMPMWLQRPRATAPTKYVRLECSFVNGLIHG DVKIEFNDGSIYEGPWVEDITYEQPSWVQPIKTVHVDAHWGSFLCPDGTKWEGDEVNN QFTPPTASGTFTIQCPHLNTRYKGEVVNGVFHGFGTLFMQKAQTSGEYVGEWSHGVRD GYGVEIYESGERYEGDWVADVYHGQGLIVYDDKSRYEGSFQYGKWHGHGVRTNEFGDR IIGLFEHGSLDGPGTCEYSDRRHYAGQFGHTKRHGAGILTYPNGDRYEGPFVDDLPHG EAKFYTRTSVEEGAEPVMRVGLWVHGERTTWLSRPVTKYATLTFVQYFTRIHQVNTGQ EIELIKPKFKSPYAVMVAGMLPNLPLGVDPDDPFVKAIVRLLAKTQSVMVGADVLDRT VLQLNIVSEKVVELVATMERHRNDVEVSARSVRDQSRVVRDVAIDLDCALEKEEDMQL KIERFWKADPRKTEAKYKQAVLALNEIEVMDWYRVRKSKLDDNMRALLEAFAILLNFK GNMDLQGVPYKPKQDDLLTLLGSSGENAMLGDKESLIHKYDVKALYVLPLFDVYSFAE GARHQMLQSVTQVVHNPRLRQGNLRLAMQSPAIPVIVGWVRAAFAYAQAACEIFPVYN RLMSHFRVVESLKAVLKREQATLVELQTSAKVCAAALATTSESLDYYKKEEAQLQKTV DDIKELDSMEDLPTQQGRVFKANPIAPQDEARMEAERAAADAELAEKVQALKLHIASD ENLRNQFGILKKDIRKVLDRNQDAVPLHKFTKLYEDVTHKRLSLQAYGVKKLKVILAL TTDICVIEYNDFGDDLVKTVVDADNPYALPKYAFPCKLCVGKSYDSHKELTSHFQSKW HAMNVYLEHMGEPPCIFDRRSRHWIETYDADNQIQYTNRMTGAVVVDKPMELQADEVM LETMFPIEVPPPSSNDGEYGSLSSEWQEVADEGGNIYYHNRLTNETSWTLPVAPYATE WQVCLDDATNCTYYYNPSTGETSWTLPDGTDQDGGSILPSAQES H257_08071 MKRSIEFTKGAAQPKQPQGSPVKQKQRRGPSLAAPLQPPPPATL STPAALDADCAVAFDAWIQSEQTKDERKWPKLDLSSHRRMAIETHDELASSSQVKRKR HLPSTSPKQRVSLPPNLPNLDKVDRLATHKGEIASLLHDIQKVHRYRAKSATDTAREA VPTARRPVSSEATVREQHQHVSNQMCQPSERTVAFDDDIVPYIFYEPFSNTPRATIDL SAWTVMDGNSLLAQLTKHLTSACTSLNLTGLQGDIAVPVVHGCFSKCPRLTTLILNQV TGVPTTAYKTIGSVCPLLEQLHLGKSRDVTDDLLRVISSAFPRLTTLELSHCCYITDP GLALVMKKIPRLVSVSVAGCTYLSERAITQLASTYGRTLISLNLSDLTKQTTDNVVAA LALAKATSVRVLRLRFARQLTDAAFESLVHPPQSFTQAVGVAYKIDKLDLTGCDGITG LALSWITAGCPYLRSLNLTDCSNITDKGFKTLQGSAHLQKLILHNCPLITDPAVVAVL AAPRTRPWVTLKLSQCPDIQAGSIAAIVEHCRHVSTLVVSQVDTAVPPGHWERICKRC THLKRLRLGSIPNLPPQALISLARQSRQHLTELHLCHCASINTNALYPLRALTKLRSL HLASDVVTSDSTAFFPPSLISLTLSTPQLDDSGVQVLGAKCWRLESLELKGAGRVSGA VLRQLFRSCKAMTRVCVTDCSCITPDDLDVMARTKHRLQLHVRHRQQPPAATMEVSPS RYTGMWKVFSQLVQLARKQHSAARMIQQLYMTGVVTSGNQFKFQSHLVESSRCILRIQ RGFRAYRDRRQAVLLMRYKLRSVRHIQRWYRKHNMRRRMTRATLFWTKRCLVLTFGAW KATHRAEMAERDAAWQSSATLKAMRLWSDKTLRGVFTSWRAVVSQKQASLAKAKGLWS AQTVPKRFRHWKLQVELAKWYRKTIVVVWMNTVALETHNSSRQLFQTKKAHGFYLRNV LKRWKVFIQHERLFVIKATAAIFANKVEAWAFRTWKVRVNERKRRHEKSKRLMARLAH RTQYHVWLAWQHYVARRRAIKRASLHFQGNVVFKCFGAWRQAVAMSHDEKAKQLRVAH RMQNLGLLNAVAHWREYAEEKLYAKAIAGRALAFFRGAVVLRVFLAWRQHAQDMKYLV AQMRSRMQSHCVESCFRLWLRYQAMRRLEMHSATTIQALWRGVLSRHDTENHYFLYIW AAVSLQRAWRGRFARLLLRQATRRRRLREYKKMEVEWDAMEAEDAAAAVYRQQLNMIL LIQRTWRGKAGRSFYQQLRKMVYIRKQQQRRQLQEMMVIQAEQRRRDREDLERRRHAA ATEIQRIGRGYMARKWFAKQQEFLRLRRCALRVQAAFRGKLARRKICAKRRHRATILQ IYARRNLESKRLRTLTAHTRETQALFRSFLRVFGLDPSTFVMDMGTLLGEIKTDFISF TRYFLRMQAIAKEAKAKNKATLTSAEVKALKQQIEAAEMSLASHVNLTPVTHGDSVRV ILAGHPRCGETAYVLHVQDDADSEIQMAEIKMDSDGVLEFLPLFTQATPIEAPKPVFY KIPPLYFDAPVHITAEWKASLAAYADKIRLETKFFLAARTIQCAARVYLARVQYQLEL QTQGVVTARRDALLVRLLTTLGMANQRTACVLHRLRLLTSTPKGLPDTPLALSILQDK FEQASAKRAEVKHAFATLEAIVFNGPGDFANELMPFRFHNVLDKLLFRPLRRLRNCTN VALAQAIAAKGWAKLARFLGGVDFVRTFEQKNIYVQQVQLEQLKHSAYTNSDGWAVVH GVFVAANNKTLLDHPSSSVTTKLIPHGWGVAKFLEGIGGDKKWDSKHSIEAKFKALTI VRAMRQKDREERLRATIHVRQEEYNDLRAKEGPYGYAQRHAKLSEIEDAMKKQKARWE AEEAQRMAEMHTVFAAEEEVMGRMHELKAKMVKHGVVLRELQAQPPEMVMGVEIVPSM KNALTFLALGTKIELELDDGAWHEGTVVALDVGLGAKYTADVLMASDYSVELVQLIPK KNRPKKVPSSQPSGESSSEVVDEGKATEQAQAKDDREAGQDEVESKKGEWTNTVQGRT ENKVASERVDNRADSKGNESTTAIDDNEVETDLEKQQKALDALENAGKPQEVKLKRLE FRKWRVGGAIDVTWDIPFENGTAIKAYILDWETSSATGQLFVHGKRDAITGRLAAPEA KLTIGPISMDDEFKLTVKAENARGVGLASPLMSLQEPPMAIGHDLAFAFEPPPVDTSD IEAAEVEGMRKEEAATREWIHHHTCMVCTLRFDKSPELDLHMGMVHGLPLVCPFPSCA QPCATYQALRYHIWHCTNTKLTVTEATIPLFLRSFELSPNYCLKKPRRHLMPPTHPQA DQGEAYFLETKYQGAVTTWLAYSHNRHHLLVRETDRVQRRRNHLEAASAPPSPLFGVD FLSPDVNLKLRDDAVALLQKLKDQLVQYIADAKLKVAGWTHELTELVEYIEMKTNRLA AAEEAWQRQSLKKDKKTATKKKELIQELMDAFDKEFIATKAKMEAEIARLTAVVAELV PFTQLVVKMNELRSLLSQSSHQTHLVMEKDAAITTALHDKLVELMNNNVEQVEILEAH DRAMAGRERQLKRLKAHLKEMQLRHRAELEIARLYDSQEKDEHELRVLRNEQLSLFHE RQAIRENGGDDELYSPVTTNNQALQIANTDPVLYERLLRGRQKDLEAEGVTLASLVRH DVEVEGVEEEAAIQKRKELKAAKLATDGMPMWLQRPRATAPTKYVRLECSFVNGLIHG DVKIEFNDGSIYEGPWVEDITYEQPSWVQPIKTVHVDAHWGSFLCPDGTKWEGDEVNN QFTPPTASGTFTIQCPHLNTRYKGEVVNGVFHGFGTLFMQKAQTSGEYVGEWSHGVRD GYGVEIYESGERYEGDWVADVYHGQGLIVYDDKSRYEGSFQYGKWHGHGVRTNEFGDR IIGLFEHGSLDGPGTCEYSDRRHYAGQFGHTKRHGAGILTYPNGDRYEGPFVDDLPHG EAKFYTRTSVEEGAEPVMRVGLWVHGERTTWLSRPVTKYATLTFVQYFTRIHQVNTGQ EIELIKPKFKSPYAVMVAGMLPNLPLGVDPDDPFVKAIVRLLAKTQSVMVGADVLDRT VLQLNIVSEKVVELVATMERHRNDVEVSARSVRDQSRVVRDVAIDLDCALEKEEDMQL KIERFWKADPRKTEAKYKQAVLALNEIEVMDWYRVRKSKLDDNMRALLEAFAILLNFK GNMDLQGVPYKPKQDDLLTLLGSSGENAMLGDKESLIHKYDVKALYVLPLFDVYSFAE GARHQMLQSVTQVVHNPRLRQGNLRLAMQSPAIPVIVGWVRAAFAYAQAACEIFPVYN RLMSHFRVVESLKAVLKREQATLVELQTSAKVCAAALATTSESLDYYKKEEAQLQKTV DDIKELDSMEDLPTQQGRVFKANPIAPQDEARMEAERAAADAELAEKVQALKLHIASD ENLRNQFGILKKDIRKVLDRNQDAVPLHKFTKLYEDVTHKRLSLQAYGVKKLKVILAL TTDICVIEYNDFGDDLVKTVVDADNPYALPKYAFPCKLCVGKSYDSHKELTSHFQSKW HAMNVYLEHMGEPPCIFDRRSRHWIETYDADNQIQYTNRMTGAVVVDKPMELQADEVM LETMFPIEVPPPSSNDGEYGSLSSEWQEVADEGGNIYYHNRLTNETSWTLPVAPYATE WQVCLDDATNCTYYYNPSTGETSWTLPDGTDQDGGSILPSAQES H257_08072 MAVTTRSMSSRPTRATAKPLRSTTCSCIWCLTWKPRKMYRRRRR SVKMTSKHESAPALFMPTSTKKLSKGREPAARRAKFPLASNSTPATRGQVDAEAIKLC AGLALSSPCVATTPSGDYMDASLVLVDLAQNMDKFYILQVIQGMGSLFAPPVYYSFTR WGRTGTLGQTQMEGPFPTLIEAQGLFEAKFHDKTAQAWANRASFAQLDGKYDLLRVDY EAGASGQWEYYMDNFVDGKATGWYPYTAEGTTQTELLWQTHQANSAYNRRIVQSGFYS YCIDLDEMTQTNISTTKQRRIRRHH H257_08073 MLGTLKNRMLEGGKQLKSTLEEHSAEMNKQRIQMNINMNSASER LTAVAAAATNVVSTVAKSTTSGPTTPASVLGTKEPDEVAGGPFFASFVHRQQQVLVGH HALVETGEKLSDVFTTTRRRVTAEAQSVMFLQHNFQNIAHIKDGIRSIRNSIASLVTL MEEVEQLLMSKTEEQLVIENATFAMEQQTELEQFEHMTLVEKQQRMNQRYVERQRALG DAFAKDLHTYHTIVTYQGHAPVLASQNYDVGSLESIEMAIQPDEDLETFYNDVDDDVP TWQLTEHDESSEVSAVLHNYDEEKSGDDEETKSQGTVPELPSQVPTSDGNVSHPNEQD D H257_08073 MLGTLKNRMLEGGKQLKSTLEEHSAEMNKQRIQMNINMNSASER LTAVAAAATNVVSTVAKSTTSGPTTPASVLGTKEPDEVAGGPFFASFVHRQQQVLVGH HALVETGEKLSDVFTTTRRRVTAEAQSVMFLQHNFQNIAHIKDGIRSIRNSIASLVTL MEEVEQLLMSKTEEQLVIENATFAMEQQTELEQFEHMTLVEKQQRMNQRYVERQRALG DAFAKDLHTYHTIVTYQGHAPVLGTYSHVQ H257_08074 MEPPVAGTYAEDRLAHDEVPSLPSLACSGDGTTILASSSFRLAR PSWIATTKVPIHRKVIHPASEWKAELLLPLARPIAQLEVMTKPKVIQLLVVYYLFAFA FLPIIALDTSSTVVATSPFSCTGDGCRHVLAHTRVSPFCTHMSLVVSALSPALVALAS DAPPFATATLSIESIHNGPSQSQSFTNWTTTTTSSGITTVESPPFAVDYDTLFGVDIN LYSAVNVDLALDSSRHVPPLETLTMTWHQYFVSPYVTLYCSSTVMAVDVVSLGYFVHR VRMFRSSQPHVSLPQWWWIPCLLLSLMLQIQIPFAIAQFVFTMAGAAMPQALLQLSFW LYLVGKNTTRFFVLCFADGMDRTSDNTLNTSDKNSTVRFYVVKAATVAVLTIAQGVNY EWVLSQHVTDVLVLVITVLEFCTQGVMVLWYWVRRGREIRTFPYKTAKFRYVTYGVLS FLVVPEAIGSLITLMKRTTGTHSTPTAKSLAWLAALVRLQAFAWMVLKCYLPLKASQL LQTSPEHRSVALDDEADGAPSSAFRTFNLTTAVTMLNCSVTCYFDDGSSGSPDLGIPM TTESSSRGGLPPTFPRQPPSPSSFGRLGHDIIHPTAYNISVLQVFHDAVTDTNALLLH DRESDRYILSFRGTGSLKNGLTDLKSRQVLFPGMQFKAQRGDLKRRYHRSDVYVHVGF LQAYMALQVDLHDAVRRLPRPTNQPLQLFCTGHSLGGALATLCALDMALAQPNVAVTM YNFGSPRVGNHTFQMLFNATVCGFRVVNDGDVVTQLPKRDYTGVDRSGVGIYKHVGVE VVLLSPGRQVDGHHSFRGIVVAPTIVDRVFVLAMRTKLSSHGLESYRQSFRSLIAHEP AFDEATLISYLNDADKNQTGHDTAVNRVAPKGMTYDDEVEEDDLTDGDDTSMLPTEML EVLVDVVQEQVQTTVIRDDE H257_08075 MESKGTSAVVDASALSKSVGNLKAAKCHDRPDALAYDRDVAKQY AALYTKHDGNLHDIFKELDESPRKALKHPPEDSDEFGIKYAQGFYSYAEEK H257_08076 MDLPTSPATQDGAASDTNTIQPEPIDPAQWGRPMTLDDFAPPPV APVVVGRPLSLNDFAPSPQQTVGRPLTLDDFAPHHLPPISPPIATIPPTATENIPPFD NSSLIVDETPVPPDTRSNVFGEDVVLSNLNTEFVGNQETQLDAAESSTASFIASSTNR CVDSAVVMHHRSVRALANRTVAATRPDMIKNAKRLAMSQRLGAGKPFIHTTMQDISEL GTGIHLYFMFTKYMGVCFCVMSVLALPALVMNASGHGFEIDMVDPLKFSTLSIANLGV NSTMNATTDWCIDNPFTDDPNYISYITTSFDVLFSLAFVGFIMIFKMKIQTAVAQQAE NVTPAKYAVFVRGLPRSATEADIVAHFNALYDPLKDYMELPLYFGCWGKRKPPIERRH LTTGGHLSKPVTNLDHVGGNDLYKAMDDLTAEAAELQDILDTYKGQQVAEKVTPRIEK KLKKVQLHLEKKTNRLKALKKDDGGVYLTQCDSAFVVFNCVESQRRCVRDYRTSHKWY ARYFQPKALRFQGIHRLQIQPAPEPSDIIWENLEVSTQERRYRRAFTNFIAFLLLLLS CAIISVAQSAQQTFSKQGIPNFCAEAIPAVFLGDYDNISHYEWVLGWDPYPTEQLCPN IEAFHVTFMNSPRVTQAIPPGSNLTQCMDPCVSLDPSIDHECNTLPCFRPDLVNKYTR PCATYAASDILQCYCGPKLTNAIKLYGIFEGPRKMYQNQVPCQEYLTSYVRKNGAIVV AAGIVIIVNLCLQTIIRAFGEFERHTSESERASALVFKLFFAQLLNTGVIVLLVNANW TDVPLPLSLEKIFHGEFDDFVQKWYVAVGVGIATTMLVNSVAPQIAPTMMTFVIGPIS RWFGQRSAITQKQLDEVYAGPPFDISLRYPLVLNTVFVTMMYCGGIPILLPIAAASCL ITYSCDKVTLMRLYSIRTAYDEALGQLALSMLPFALLIHLGFSTWMYGNNQFLKSNLL DVKWIVTSLGLNHGDAVSDVNDVYESFRDIVATYDPLGRNGLASKIFRTNVFPMFALF VMAACSIFLSQFIRALLWPILDKTLGLVVRVVSLLGASAVACVGNVCLRKRYASSDDI STIPQYPDFTGQFEKTVPLDSKVDREKGFERLPSTGMLIRKWLVDTPTRDTGDRMLTW EAFTAPVRTYSIEANPKYKNAVVEMRKAAKRMHGELVEKSMMSATPRNPAAVSPM H257_08076 MDLPTSPATQDGAASDTNTIQPEPIDPAQWGRPMTLDDFAPPPV APVVVGRPLSLNDFAPSPQQTVGRPLTLDDFAPHHLPPISPPIATIPPTATENIPPFD NSSLIVDETPVPPDTRSNVFGEDVVLSNLNTEFVGNQETQLDAAESSTASFIASSTNR CVDSAVVMHHRSVRALANRTVAATRPDMIKNAKRLAMSQRLGAGKPFIHTTMQDISEL GTGIHLYFMFTKYMGVCFCVMSVLALPALVMNASGHGFEIDMVDPLKFSTLSIANLGV NSTMNATTDWCIDNPFTDDPNYISYITTSFDVLFSLAFVGFIMIFKMKIQTAVAQQAE NVTPAKYAVFVRGLPRSATEADIVAHFNALYDPLKDYMELPLYFGCWGKRKPPIERRH LTTGGHLSKPVTNLDHVGGNDLYKGKWIAEVSISRPSGGLLRTFLAMDDLTAEAAELQ DILDTYKGQQVAEKVTPRIEKKLKKVQLHLEKKTNRLKALKKDDGGVYLTQCDSAFVV FNCVESQRRCVRDYRTSHKWYARYFQPKALRFQGIHRLQIQPAPEPSDIIWENLEVST QERRYRRAFTNFIAFLLLLLSCAIISVAQSAQQTFSKQGIPNFCAEAIPAVFLGDYDN ISHYEWVLGWDPYPTEQLCPNIEAFHVTFMNSPRVTQAIPPGSNLTQCMDPCVSLDPS IDHECNTLPCFRPDLVNKYTRPCATYAASDILQCYCGPKLTNAIKLYGIFEGPRKMYQ NQVPCQEYLTSYVRKNGAIVVAAGIVIIVNLCLQTIIRAFGEFERHTSESERASALVF KLFFAQLLNTGVIVLLVNANWTDVPLPLSLEKIFHGEFDDFVQKWYVAVGVGIATTML VNSVAPQIAPTMMTFVIGPISRWFGQRSAITQKQLDEVYAGPPFDISLRYPLVLNTVF VTMMYCGGIPILLPIAAASCLITYSCDKVTLMRLYSIRTAYDEALGQLALSMLPFALL IHLGFSTWMYGNNQFLKSNLLDVKWIVTSLGLNHGDAVSDVNDVYESFRDIVATYDPL GRNGLASKIFRTNVFPMFALFVMAACSIFLSQFIRALLWPILDKTLGLVVRVVSLLGA SAVACVGNVCLRKRYASSDDISTIPQYPDFTGQFEKTVPLDSKVDREKGFERLPSTGM LIRKWLVDTPTRDTGDRMLTWEAFTAPVRTYSIEANPKYKNAVVEMRKAAKRMHGELV EKSMMSATPRNPAAVSPM H257_08076 MDLPTSPATQDGAASDTNTIQPEPIDPAQWGRPMTLDDFAPPPV APVVVGRPLSLNDFAPSPQQTVGRPLTLDDFAPHHLPPISPPIATIPPTATENIPPFD NSSLIVDETPVPPDTRSNVFGEDVVLSNLNTEFVGNQETQLDAAESSTASFIASSTNR CVDSAVVMHHRSVRALANRTVAATRPDMIKNAKRLAMSQRLGAGKPFIHTTMQDISEL GTGIHLYFMFTKYMGVCFCVMSVLALPALVMNASGHGFEIDMVDPLKFSTLSIANLGV NSTMNATTDWCIDNPFTDDPNYISYITTSFDVLFSLAFVGFIMIFKMKIQTAVAQQAE NVTPAKYAVFVRGLPRSATEADIVAHFNALYDPLKDYMELPLYFGCWGKRKPPIERRH LTTGGHLSKPVTNLDHVGGNDLYKAMDDLTAEAAELQDILDTYKGQQVAEKVTPRIEK KLKKVQLHLEKKTNRLKALKKDDGGVYLTQCDSAFVVFNCVESQRRCVRDYRTSHKWY ARYFQPKALRFQGIHRLQIQPAPEPSDIIWENLEVSTQERRYRRAFTNFIAFLLLLLS CAIISVAQSAQQTFSKQGIPNFCAEAIPAVFLGDYDNISHYEWVLGWDPYPTEQLCPN IEAFHVTFMNSPRVTQAIPPGSNLTQCMDPCVSLDPSIDHECNTLPCFRPDLVNKYTR PCATYAASDILQCYCGPKLTNAIKLYGIFEGPRKMYQNQVPCQEYLTSYVRKNGAIVV AAGIVIIVNLCLQTIIRAFGEFERHTSESERASALVFKLFFAQLLNTGVIVLLVNANW TDVPLPLSLEKIFHGEFDDFVQKWYVAVGVGIATTMLVNSVAPQIAPTMMTFVIGPIS RWFGQRSAITQKQLDEVYAGPPFDISLRYPLVLNTVFVTMMYCGGIPILLPIAAASCL ITYSCDKVTLMRLYSIRTAYDEVRPPPMFLPSPPN H257_08076 MDLPTSPATQDGAASDTNTIQPEPIDPAQWGRPMTLDDFAPPPV APVVVGRPLSLNDFAPSPQQTVGRPLTLDDFAPHHLPPISPPIATIPPTATENIPPFD NSSLIVDETPVPPDTRSNVFGEDVVLSNLNTEFVGNQETQLDAAESSTASFIASSTNR CVDSAVVMHHRSVRALANRTVAATRPDMIKNAKRLAMSQRLGAGKPFIHTTMQDISEL GTGIHLYFMFTKYMGVCFCVMSVLALPALVMNASGHGFEIDMVDPLKFSTLSIANLGV NSTMNATTDWCIDNPFTDDPNYISYITTSFDVLFSLAFVGFIMIFKMKIQTAVAQQAE NVTPAKYAVFVRGLPRSATEADIVAHFNALYDPLKDYMELPLYFGCWGKRKPPIERRH LTTGGHLSKPVTNLDHVGGNDLYKGKWIAEVSISRPSGGLLRTFLAMDDLTAEAAELQ DILDTYKGQQVAEKVTPRIEKKLKKVQLHLEKKTNRLKALKKDDGGVYLTQCDSAFVV FNCVESQRRCVRDYRTSHKWYARYFQPKALRFQGIHRLQIQPAPEPSDIIWENLEVST QERRYRRAFTNFIAFLLLLLSCAIISVAQSAQQTFSKQGIPNFCAEAIPAVFLGDYDN ISHYEWVLGWDPYPTEQLCPNIEAFHVTFMNSPRVTQAIPPGSNLTQCMDPCVSLDPS IDHECNTLPCFRPDLVNKYTRPCATYAASDILQCYCGPKLTNAIKLYGIFEGPRKMYQ NQVPCQEYLTSYVRKNGAIVVAAGIVIIVNLCLQTIIRAFGEFERHTSESERASALVF KLFFAQLLNTGVIVLLVNANWTDVPLPLSLEKIFHGEFDDFVQKWYVAVGVGIATTML VNSVAPQIAPTMMTFVIGPISRWFGQRSAITQKQLDEVYAGPPFDISLRYPLVLNTVF VTMMYCGGIPILLPIAAASCLITYSCDKVTLMRLYSIRTAYDEVRPPPMFLPSPPN H257_08077 MAADQPPTSLKRPADSTASGNGGHKKKKPKTNGGGPKKPLPIAA GSNWAKLKPLVVAANAKPAATHNKTNVPQKKNDLRHVKSKKVTSDKKPKKSQTIDWID SNLIVAMDCEMVGVGVGGTRSVLARCSIVDFNGDVVYDEHVKPLEKVTDYRTHVSGIR SKSFRNAISFAQCQVDVGAILKDKILVGHAVRNDLQALMLTHPKPMLRDTTKYRPYMR RRVNGTKLLPKALRHLALEVLDKTIQAGEHDSVIDARTTLELYKKAMVPWEKALKASK VPGGLVGVVPVNVQAKDKADKAKRAAAGLGEDSDDDFEAAVKHMQDKSVVTKSSLRIP DAHALVMQEYDE H257_08078 MAIAPTYSATQATPPPPAATAPPSISRGAVCLSPGGYANTIVTP KSFHVVDTSLVPDATFYHPPPPACSILFMVFLAAPKMAMNMAWAAQWAAFGPLLETLL PSWAVQLVQIIGPLTGLLVAPTVGVLSDACTSTYGRRRPFLLFGAVASSTCWLVMSYA REIGEAMGDVRGGARQPWTAVWTVLCYIWMDITVNMTQVPVSLILADFAGDRQVTAAS IGQGFSIAGSFCVSGYILFFGPAHESIHAFMFMLVGVMLGTALPVCIWAKEEPYTSPA DATPRCQQLQQAFAAVYTGLRQLPRVLVSFCVCFLLIQYGYTAYNGAKGQFFGLHVFG GSADGANICGKECTGPQLAYNDGVHLAGGITDTIFNGVGLVYLCILPWLVRTCGAKRV FTWSILPQAMLIAMAFCKVVEMNVTIVVLCAITQNTVFAMQIPVIMHVVGHGPDNQLG LFAGAFNSANCAGQLLNFVLATLLVQTDMGHALPVLVGGILSLGALLVAQFTLQLNMK SL H257_08079 MGPKAKKKELEPEDNGVTRPMVVASYTKMCRAIGVPMNSHVSDM IKGKGEEADEKLTQILLDEEFGNLGNGGIRAMTCAFLGTVPHSNTGPYQHLANVRVWN NPIGDEGASAISTLLCEGHALVNVVYVELMDCDIGPEGCAMLGNAVKAEHKSPLQTLK LNCNNKIGDDGVTALCQGLFENNAIKQLHLDFCNISSNGAVVLAQLLCMAKSGLQTLS LQGNVLGDPGLLNLSKGLKRSQSLVKLNISDNGIRKDVEALKTFRDAILRCKTLSTIK FSFNLIDVEGADILLPALESAEGARIKVFEVDASLPPEVFKKLNRSEKVDSKKKKGGK KGGKKK H257_08080 MYAGTDKYGGGVVGEDFKDAEAAAPNLEDILDTASAEETVVTSM PYSYLDEDTNEVVEGVSSVVLSSRLNPESGGLMWVEEEDSAETYKNVVSMSQMTSMLR DLDRNAAYEHGIRRGIEKFIATHHRRPIVLDIGTGTGLLAMLAARHGAEHVYACEMFQ TMADIAGAVIDANGLCDKVTVFSLRSTQLTVPEHLPVRADMMVSELFDSLLLGEGLLP TLAHARAHLLTPDAVVVPQHATVFAKLVASDTLYRMNSFDQTHIDSLALARSKDAWKC TGGARVALPLHINTIPDVVDLTEVVPVLSFDFGTTRCSNKKATTVVTALASGRADAIV MWWSVDFGDGVTYCTASSGAQNWQDHWVPVVFPLTTQHVVAHADAVELTAYSDSLRIW FKVAHPPQAATIKRAKVDEKDDDIAAACICGLHLICNAERVSMLTNTPRTDAYAAALR DIVAGRVAHPTSEQDRSSLSVLDISDGSFGALLAASIDQVANITSIESKQVSALIFEQ IASHHALDDRLTILGCGVKGLLVEHLHGQVPVDILVGEPFYYAMQNLPIWQALNFWYR RSAVADLLSARAVVLPYRASIRAMGVQFDHLHECFGTVNSVSGFNHAPFDALQGGYLD RDFPFPTYMYPYTAGTAAFEVMPLSFMEPVAPYDAAINVPITGPVNAVILWVEYQLDA AGHHHVATGPSVVHAKQAVRFLPRGNASTVVEGFKDGALQLTTAVDFQAAEGVLSYAF HVAKSSAF H257_08081 MDTCRSSTWEGQEFPRLADGKSESSVMRSTDLLALITSYQTGVP EEVVALSAVLKRRRFLLDLRKDFDEVAAVVEPWYRQYRTSRLDYLASCLPRHATGNLL IWAAASGSVDVVRWFVEGCHASFERFESSLDEAVAYGQVEVVQYLAQHIPLDKNSRNI ERWSVAAQYGHLNMVEWLDAHNVGGCTRDVGQCAAWMGHLDIVQWVHVNRPERCSASE CMVFAALNGHVEVVRWLDERSPTDESAARDAMETAARHGYVDVVRLLHTNHARLSTIG AMQEAKNNGHLNVVKFLQSPSNLSWPQRYTQKRTTAQ H257_08082 MNGLKFPARTAAAALVRRSISRSSFRSTPFFRALSSYGYADVQV GDVTSVKRSTVHKKSAVEVQLEEAITRDDDELAPTGLLLALTQGVGTVSGLRDASLNS TVHVFDEDRQVVGQGVVLHLQKKRAVVAFFGDASRMSLGMEVELIDNDLTIPVGGDLL GRVVDPLGQAMDSAPPLAPSTPRLPCMRSTIPSMMDRGMLKEPWTTGIQVIDCLHPLA YGHRFGILGPRASGKTRLTLDILAQQVLKHKHDNNAAAMPRFVYVAVGKAPTRVSQIL QLLKQLDILPYTTVVAADDRQPLIMQYLAPFAGCRIAESWLQDGVTKNAIVVYDDLSA HTMVVEQLIHMVKLPKAARLSFSGHANLMERSTQFSKKRGGTSLTSLVLADTPGKEDV ASVFQEGLISIVDDHVLLDSNLTMRRVYPAIDCLAPGTSVRGPPFQRAALWKCMQHIR ATIIEGHTIQENVTLAKGFGFDTEPEDQEVLDSRVLVQEFFVQRPFQQLDEDAVLLGA FLLAHHHVLTRLPQKVSVWDAVDHVQSTLPDDLKARLAAQPRNEGWSDDLIEDLYGFV LSAVRTKFGKAAN H257_08082 MSLGMEVELIDNDLTIPVGGDLLGRVVDPLGQAMDSAPPLAPST PRLPCMRSTIPSMMDRGMLKEPWTTGIQVIDCLHPLAYGHRFGILGPRASGKTRLTLD ILAQQVLKHKHDNNAAAMPRFVYVAVGKAPTRVSQILQLLKQLDILPYTTVVAADDRQ PLIMQYLAPFAGCRIAESWLQDGVTKNAIVVYDDLSAHTMVVEQLIHMVKLPKAARLS FSGHANLMERSTQFSKKRGGTSLTSLVLADTPGKEDVASVFQEGLISIVDDHVLLDSN LTMRRVYPAIDCLAPGTSVRGPPFQRAALWKCMQHIRATIIEGHTIQENVTLAKGFGF DTEPEDQEVLDSRVLVQEFFVQRPFQQLDEDAVLLGAFLLAHHHVLTRLPQKVSVWDA VDHVQSTLPDDLKARLAAQPRNEGWSDDLIEDLYGFVLSAVRTKFGKAAN H257_08082 MNGLKFPARTAAAALVRRSISRSSFRSTPFFRALSSYGYADVQV GDVTSVKRSTVHKKSAVEVQLEEAITRDDDELAPTGLLLALTQGVGTVSGLRDASLNS TVHVFDEDRQVVGQGVVLHLQKKRAVVAFFGDASRMSLGMEVELIDNDLTIPVGGDLL GRVVDPLGQAMDSAPPLAPSTPRLPCMRSTIPSMMDRGMLKEPWTTGIQVIDCLHPLA YGHRFGILGPRASGKTRLTLDILAQQVLKHKHDNNAAAMPRFVYVAVGKAPTRVSQIL QLLKQLDILPYTTVVAADDRQPLIMQYLAPFAGCRIAESWLQDGVTKNAIVVYDDLSA HTMVVEQLIHMVKLPKAARLSFSGHANLMERSTQFSKKRGGTSLTSLVLADTPGKEDV ASVFQEGLISIVDDHVLLDSNLTMRRVYPAIDCLAPGTSVRGPPFQRAALWKCMQHIR ATIIEGHTIQENVTLAKGFGFDTEPEDQEVLDSRVLVQEFFVQRPFQQLDEDAVLLGA FLLAHHHVLTRLPQKVSVWDAVDHVQSTLPDDLKARLAGKTQTRCYIGVRSWTSNPMM H257_08083 MTVSDLILLPQVSEAEVVNELSSRYQANDIYTYIGPVLIAVNPY KLIKKNGKSLYDESLIEDFSGREIHENDPHPFAIAESAYSHMINFKANQCILITGESG SGKTETSKHVLQYIANISTKARTRLAVNQSRKLSDDKKHAIDKVVNNVRRILIRANPV LEAFGNAQTVRNNNSSRFGKYMLLQMNPSGQVVGGFVHNYLLEKNRVITQAAGERNFH CFYNLLAGASKADKAEWHLEDATKYAFLQHEQRTIHGVNDRTEFADLGHHMTAVGIAD DDQRQIYQQLAAILHLGNVVFVSQVDASHNPCCAIDPTTKQSLQLAAKLMGVSADSLE DMFTFKSLTINRSEMRVPLTAAQGEKVLHSVVRCVYDNIFTWLVEKVNKGIHNPSRAS QTVGILDIYGFEIFHENLFEQLCINYVNEKLQQLFISQTLQSEQLEYKREGIAWVNIQ FFNNQVVCDLIEDAKMPGIFPLLDEQCAISQTSVDVLMHRFNETYVKEPHFIKSRVKG SVFSVRHYAGVVEYDLSHFAEANIDSFFTELYTELQKSSNAFVRNLLKDERSNKEKLK RPPSTSFQFRAQVNALVQDLNMCNPHYVRCIKPNENKISGSINKQLVTAQVQCLGLVE NIRVRRAGFCYRETYATFLHRYKILSRTSWPAVHNCSSRQATVELLTAEDVGVLPSLQ PVSAQREAEANGQQRPLTPLEVYHMHLAAKNNKPTDGHEVPQPIIRFKEDIESLGCFS LGRNKVFIKHPAALFSLERLRQDKLPQIAKIIEDAWVRRLLRARLAKYAVVFADLNTR YSAIRDNLQDRLMRRNGAADKATVAGLYSHWDQLASKVLQPSSRLRSHLAAGEVYNAA SFLTSYAKRNAAVRHLNKVKKAVQVFSSRWKGRQTRRNMSPDLARKCYKAMLGIRTEF ERLFGKKKRRRDTLDRVYLGDYLNVKKHTPLLALLKASKESHVLFAGETHKINEKFVA QTRLLLIGEMNVHNIKADKMDKPKERRVVPIAQLSKISMSSLHDNYLFLHVNNDVGLM YEVDQKTEVVLVLKQRFKELCKRDLPVAISDTIEFEAKKGMRLAFRFVKNQNTTVSTM EKLDKKNGQVNVGTKY H257_08083 MTVSDLILLPQVSEAEVVNELSSRYQANDIYTYIGPVLIAVNPY KLIKKNGKSLYDESLIEDFSGREIHENDPHPFAIAESAYSHMINFKANQCILITGESG SGKTETSKHVLQYIANISTKARTRLAVNQSRKLSDDKKHAIDKVVNNVRRILIRANPV LEAFGNAQTVRNNNSSRFGKYMLLQMNPSGQVVGGFVHNYLLEKNRVITQAAGERNFH CFYNLLAGASKADKAEWHLEDATKYAFLQHEQRTIHGVNDRTEFADLGHHMTAVGIAD DDQRQIYQQLAAILHLGNVVFVSQVDASHNPCCAIDPTTKQSLQLAAKLMGVSADSLE DMFTFKSLTINRSEMRVPLTAAQGEKVLHSVVRCVYDNIFTWLVEKVNKGIHNPSRAS QTVGILDIYGFEIFHENLFEQLCINYVNEKLQQLFISQTLQSEQLEYKREGIAWVNIQ FFNNQVVCDLIEDAKMPGIFPLLDEQCAISQTSVDVLMHRFNETYVKEPHFIKSRVKG SVFSVRHYAGVVEYDLSHFAEANIDSFFTELYTELQKSSNAFVRNLLKDERSNKEKLK RPPSTSFQFRAQVNALVQDLNMCNPHYVRCIKPNENKISGSINKQLVTAQVQCLGLVE NIRVRRAGFCYRETYATFLHRYKILSRTSWPAVHNCSSRQATVELLTAEDVGVLPSLQ PVSAQREAEANGQQRPLTPLEVYHMHLAAKNNKPTDGHEVPQPIIRFKEDIESLGCFS LGRNKVFIKHPAALFSLERLRQDKLPQIAKIIEDAWVRRLLRARLAKYAVVFADLNTR YSAIRDNLQDRLMRRNGAADKATVAGLYSHWDQLASKVLQPSSRLRSHLAAGEVYNAA SFLTSYAKRNAAVRHLNKVKKAVQVFSSRWKGRQTRRNMSPDLARKCYKAMLGIRTEF ERCAPYSSIYIYIYIHRVLCDDDLDIIYVYIYISIVSP H257_08084 MSTFPWNSEAIKLAELPQTFDKLDMTSDNRTRAAVTDAAIKVSA HHHVQSPELGVS H257_08085 MSPQEAEMQLEALALDGWSSSIVTNLENAQWTERKAGFEAPEEV FRLVSSCTETVRPMFLALAELVGLESVLTAIVRHKSVIKTTLAQFECLEFVCVCVGDF GVSSCTPAVSSSTLRGRSARRRATPRPACRMSANAVFGTLYDQLGDAMRPLLNLEGWK PSPKDSVVAVFEGVGFSPIVCGVSGGQDGCGGRRGCCVIWGAASSGTLFGLVDVSAQN TKELLVDMANEGDKRICDEAGLSIEGVVDLTKSLKARLSDSNANLKTKAVQVIGVVAA SVRPSVAKLAKLVGSNPVVCLDSLLPFVAMALKNPGGRAELLGWTVEMTQMIGSKVDI RSFVENTIDALSDKSAGACDKMLLVEVFKSVGRDTVHTGCRGMVPAKMRAVNPMIARA TATAFWNLSSKMLSEADAWQRHPPKQTACPARLLPNPHHQNNSIHHWTNQHGSRHRST HNEPPHQTPLPSSPAGNQGLKPPLTGPVVTANAPNIPVSSPKDPWTLLFRVLLEAGAE FLEPRLGGKHIMMTTTALRIGEVYPAMLHVLERIVSGKMGEYQKHDADNKIAGQPKLG LLLAKLLVKTTGRELTLPTPFVHRHIVGADAFGDMVKNHPEKNYVQVHVPELAAHLPT GVCCPDGFATTHYFIQMLVGHTNEDFVVK H257_08086 MSVTLTTMHAHFTLAAAALTLIGAEAQPIGLRPPCERGLLLEMA GKGIWSRCADKTGLDINDVDKANEATLCSVPECVYVLHKAAVLTTCDDAALFAQLCTA NATEPMPTTSRVTPTTNTILPTTSSTPTTQSTPSSTSTQVTSAPQVTSAGLSLVGVSL PAAIILTVACL H257_08087 MSANNMRAASPAKPSPLGSPAGFASVVRRTAHLNDSMFKLPELM KLHHVFQQRGALNEKQFVGHFHAILETNAWSPTHISQLFMKIDANSDGSVDWDEFTNF MFVHSQSAHDAATQLASVAFVVPQDENLNDTRQGSSSSSQPRVRDVLVAFQPLPPGAA CGHFVSSSLDGTIKTWNAGWTPLASFRALGKTTSNCVTAMAYLQASGKIALASLHGGV QFFDLVSADKTAQSHVPSGALHHATPLALCAMVDDDTGQDVLYIGDDVGGVTKIAFDA TWHLCDGDCPSHVTVTMQGCVVARHDRHTDHVTRLAFVTDLNSVVSASRDGSVKVVDV HRGVLKRHFAFHRGAVYDFVWCGRPKFFASCGVEREILLWSPFSESLLGRLRGHSCSI KGVVWNEEGQNLISLGLDDGSIRVWDVRQLKCLQTIHDATHDNRHTKLIYFDQASKHL VTCSSTVNQWPIHHAAGPSETLQPHEAPIIKVLFNPSFLQLVTMDAHGHIVLWNVLDG SVISHFYMANTTITAAALDDTGRRLITGSNVGTQVTIWNFSNGSRLTTLYKRRHQYAL TSSTPLDRVARAAAAPTMHTQPPPSRKSAPQANEVTGVALITVSVAHSSGRGFTQAKY ILSVGWDRRVYVWRDSLDQAYVNRMPEDLSVGHTDDILTVSFCAQKFIATGGMDGCVI LWGLNSGDVVAKFPFSSSIETLLYPRKLGLLIVVTSSSSVSWLNPRFSLHHATVDLAA TLHADIRVAKVDTDGMLVILALASGEVVVMQASDPQQEPAMTLRQLHRWKAYEPDQDI KAMEYIETSDVVDTFVVTSSQVSIKLWTLGGVLVGLFGGSTWHLHDALLMSFKAPSRG VHNGVVVDAVATDNAKAIDTYKREVSGLATRGPPAVDDVWTHHDIHGTLIDVLTLTSI SRNKGVLEGLDNAGNVVEVAFHDLYDIQEDYATWSRHEFLTTLVGRVWQEHSWAIPFK AIRAHFNKSGVWQLVDTANNMHSLPSEDACKQMNYRPFRSLALHRLVGQAQPPFAHAV QPTGYLTFVEGHNKATPVTKATTDPLQHTPPLFSPSKFKDSPNHTRATRPLVVPDVRT SPTKLSSECRALRLPQVASSLSPTKESTNGSPSPSCWSPQRQAASPRQPPQHRRDERK IHALQAARGPPDVPLSKKWDFYLPEVDKY H257_08088 MDELNKQVEALEVSAQTEEIKLRALEAEAPRSEKFYITTAIHYT NGQPHMGHAYENVTSDVIARYHRTYGRDVFFLTGTDEHGQKIAQTAEAQGVTPIALCD KYSGIFQKLAKDLNMSNDHFIRTTSKQHIDFAQFIFGKAEANGDIYKGTYEGWYNVRE ETFVTENEAQLIDFKDPTTGTPLKKMQESSYFFRMSKYQERLIAHYHANPTFLQPETH RQSILKRLEEPLLDLSASRTTFRHGVPLPNDPDHVMYVWFDALSNYLSGIDGINYPNG ALSKYWPASVHVIGKDITWFHCVIWPCILMSAGFPLPTRVFAHGFVNARDGTKMSKSI GNVVDPYDMIHKYGVDSFRYFLVRGAKYGSDMPFSEDEFFNIHNAELNDTLGNLVHRG LALSVKYAHGHVPDVPATPCFDVVALKTATEEAFQSYALQEAVFRFIEVVRATNGYLT TAAPWHMTDDHAKQVVVRTILEAIYVFAHFMIPFMPATASRVFGFLHTPPTTLPLLSS DFNNLVPGTPIKNVTKDDILFEKLKSEAELAALASKQATAAPPPAAKKAKEDLPLFAS CDIRVGTIIKAWHHPDSDKLFCEEIDVGLESGPVQIASGLRPFYSLAEMTGRRCLVLL NIKAAKLGGFKSNGMVLCASSEAHDVVEFVEPPAGAVNGERVFIATESGDPVSEGQMK KQKVWEKLSADLKTDDHRQATYKGQVIQTSAGPVLAKTLTGVHIG H257_08089 MSPPPSPSMPLGVPVAMSADSQYAVDFLVKSSSGLLFGLASKFE WRQFALTGPTLTIVDTSVGKILHTFNAADVTLRRKAPDSVVYALESRSRPSSRPLEVS SPSVSRVQKFQAALLAAAAASPPTADADLLTSLLGVAMDIVESHAAVPPSETVATSRV SVEQVQLHLAEMQSMYDLQSSCLNMEELYVHLLDLEAAYCHDPTVDSFCHTVHKLHPV QYAQHTQKRAGPTPILKHPIKSLFAKCPHANCSYTFHMTTAYNLHIKGDSMVCALCHR PVNRQVFKLASFIRQTPSFVMPCVLRNQPVTLVLDMPPMPPDGKLSSYMDEVRQRMRQ LAPQGSRAGIQALTLQVRAALQSFFSPSNQLDLVQAMLRQLDFVNKVCPNLSYWATPL VLNAAIVRYHKFMHLVRVKGVTLVPTTDIDLVWHTHQSYNPHEYGKFCRQHMNGNVVD HNDMIGGGDLQVAYADTFILWSQVFNEPYSSHAPAYAAYKEGKAASNNPMYSKEATWR KHSRVPSHDCRFVGVNEAFPLHAVLPFATAVLPDPAAKQIVPNDVRVAVIGTPVMDGR VRLPYSHQNFLMGDGGLAAFVYFDMGGIMNCGCGGGFASSNVLTSAGGCMVSLGDLNT TSSGCAASGGGCASGGGGGCGCASSGGGGCASSGGGGCASSGGGGCGSTGTSTT H257_08089 MSPPPSPSMPLGVPVAMSADSQYAVDFLVKSSSGLLFGLASKFE WRQFALTGPTLTIVDTSVGKILHTFNAADVTLRRKAPDSVVYALESRSRPSSRPLEVS SPSVSRVQKFQAALLAAAAASPPTADADLLTSLLGVAMDIVESHAAVPPSETVATSRV SVEQVQLHLAEMQSMYDLQSSCLNMEELYVHLLDLEAAYCHDPTVDSFCHTVHKLHPV QYAQHTQKRAGPTPILKHPIKSLFAKCPHANCSYTFHMTTAYNLHIKGDSMVCALCHR PVNRQVFKLASFIRQTPSFVMPCVLRNQPVTLVLDMPPMPPDGKLSSYMDEVRQRMRQ LAPQGSRAGIQALTLQVRAALQSFFSPSNQLDLVQAMLRQLDFVNKVCPNLSYWATPL VLNAAIVRYHKFMHLVRVKGVTLVPTTDIDLVWHTHQSYNPHEYGKFCRQHMNGNVVD HNDMIGGGDLQVAYADTFILWSQVFNEPYSSHAPAYAAYKEGKAASNNPMYSKEATWR KHSRVPSHDCRFVGVNEAFPLHAVLPFATAVLPDPAAKQIVPNDVRVAVIGTPVMDGR VRLPYSHQNFLMGDGGLAAFVYFDMGGIMNCGCGGGFASSNVLTSAGGCMVSLGDLNT TSSGCAASGGGCASGGGGGCGCASSGGGGCASSGGGGCASSGGGGCGSTGTSTT H257_08090 MTLIEPLEFRRVHLAILFGCGIVFKGLWKHLTGSHLIVFTVCVG GLYLAKLMKPKDALDEEIEKLDAALTSSDGDDVRTYVRPTKFIVPEAERGLFTARQRQ YSSLLGGTQAQRRKFLRKKPQIEDKNDHSFDGNPAPSSIAMNSAPTSEETVDTAVPEA SATDTTSSTTTHRRRRSTRAPLDQS H257_08091 MPAPRTRGRYTQEELEFAVNEVHQGRRGTDVARDTGISYETIMR KVRLLKAGKDLTPKRRGPKPLFADSFEQDTVAGGVRCTKVRSAKLKMVSKDFEGLRKR ITC H257_08092 MGVEWTRRILTVVVAAPAAMYLLGSSIGTAVLATVIMSGCLIEF RLNLCPPLLLHVVGQKPEAKNHLVHAAFVVSVGCLVAVAATQSKPLHDAATSAGYVVV FGYHLLLATTRSPPSKATSLHAGIVDLFLDLVAMTYIVHGFSHAILVRYATSYGMGLQ IMTLSCSWLCDTGALVAGSVFGSTKLLPTISPGKTTAGAGGSVVFGMLTVTGAFAIVH IIGTPDLLPPLHYGEQVALGGGMGVLCVMGDLVESYLKRVAQIKDSGALFPGHGGCLD RMDSLLFIAPLMYHVGQYKQWQ H257_08093 MKRVVFVAAVAVCATSTNHRWAQDPCVDVEGDARFCFASSNVCT STHSGECPTSNTHAEAKCTEDAPSFHPTGTYQGRCVLKVDTHCVQRSTGFVCGYKDAL RAGVDAVGVPQRGAGPMQGVGEAHHPFEPVQGGKSRHPFQPDHAGEQHHPFEPEHAGE QHHPFEPDQAGEEHHPFEPDHAGEEHHPFEPDQVDEEHHPCEPVQGGKSRHAFEPDQV DEEHHTFEPVQGGKSRHPIEPNQGGKSRHPFQPDQVGEEHHPCEPDQVGEAQRPVEEG EKVHQAVVQSDAAAVPTTKEDIKTSSNTVGITVGVVGVAAVAAVAFMAIRKKNSRKSA DKPDKPVNLVTPEVPHLLGSSPLTPSLNAEKSKIHSV H257_08094 MGHQGHQAMAAHMQKIFYIRELDTKLTAWTQTCLLYPHTRGDRV VQRPQQYAWHATHRNEGLQFDFLFMGEAWRTNINRAPVATLDHTSSMECFTGLDPTTA LIVGKVNWELLIRWNDLMSLEASWEQLPAMHQEIPSLVQSFADQLLNGAIREGPGKTL ERL H257_08095 MGVKLPASHRRNDVLLQAYSGYGIQASITDYKRAFARLTPHAIK GCVKVAEGKLQKIHGHLVQVNALEADEEFSVGSGSWRQN H257_08096 MVTIATPPSNTTTHMIPKPRDVVKMVQTMVRERRATRARYKLGA RKRSSTYHLSNKIAFARDTYFQLMQPYVASEQRPHIVYTDESCIHHHYKCRNHTLYDP NDELDLETK H257_08097 MMRVVFVAAVAVCATSTNHRWAQDPCVDVEGDARFCFASSNVCT STHSGECPTSNTHAEAKCTEDAPSFHPTGTYQGRCVLKVDTHCVERSAGFVCGYMGAP RSGVDAVGVPQRGAEPVQEVGEAKHPFQPVPAGKSHRPFPFEPDHAGKSRHPSEPDQE GKPHPPFEPNQGDKSHHPFEPNQGGKSHHPFEPDQVGEAQRPFEPVKGGKSKHPFEPN QEGEGQDPVQPDQVRITYHPFEHDQVGEAKHPVEEGEKVHQAVVHSDAAAVPTTKDDI KFSSNTVGITVGVVGVAAVAAVAFMAIRKKNSRKSGDKPDNLVTPEVPHPDHKAPNLL GSGPLTPSLNAEKSKIFSV H257_08098 MAAPQFPLDPGLAALIILEYDGDRNANHCFHGHGHATFINGQVY EGEFWDGRMHGRGRLEWPDGVVYEGDFEYNEISGSGKYVWPDESWYEGAVKGGKRHGH GYFQSSNKITTYEGEWVDGKQDGLGRMVYDEHNGIEYDGEWKAGVRDGHGTMKYGTGN VYEGRWANGVKCGQGTMHWFDRMEKYDGGWLDDKQHGHGVHVWKATEKRGNRYEGEFA DGVRDGYGIFYYANGARYEGHWDTNVKNGLGLFFFEDGTIYEGEFVHDRMVDLNDNRK QSSDTIPTILLYVDDLLRGDEKERARGLKAVQHAVLRVNTDLRNVYRHYASCAGTSTP HATSENNVLMEMRELWRFAVECRLNASMGKLNRKLLVVRNAQNKAVKRLRVQRERKTR TNSRALDAIVTPREKWTDVHDPDRVVLFREFCEILVRVAWDDALELGEVHMSVADAFT KLYDNYIHDHAATPMAPIEALEIQVHSIEMQTVFHKFHESLELVYLQYAAQDGAATMA SSSTLTPENDIAMTVRSFVKLLQDYGVLKQLSIRDTLKAIRKAYEVEVRDGDNDDDVA PQHSDDLDPFAMDSDMIYPEFLENLTKVALVICPRNLPLPVITAQFIKSNFDDVLFPK RGNRRHSTLDLQQSIA H257_08099 MRGVRVYVGRLPADATQADLQDRFQRVLPANVSMVTVDLMRNSS ATDFAYLELKSNTDNADDEYAAVQAVVQAYHNTKWKGKRLRVEPAHPDYLARLAYEWE ATAAHKEAIAAARVPSHATVEPAATELNLKPSKRFKGSKVTFDDDGHGTVVVQAIVAA ARATKEVAPVMTNAAIESDPEDDDDSASPPPAATAPSSSSTATKSVSLSKAPHKPVEL ADLSSESSSDDDDDHDVMANHPQVTSPVIIPSRTASTAALSSESSDGDDIGDDIGDNE QAQNDVKEPSSDTSDDDEDHDMISSRNSVADPVAGTTNLPSNAQIRTSHVDGNESGNE SGNESDVASTTHPASTPSSRLTLTDENERRRRMDANARRLAAIAEKDLIRAKASTNTV AVAAANSKITFDSDGEAQAEVVAPSVGFGDDPIINKQKKALFIESDESDHEDSLLGGA NSSVAFRPEFAGVEGKKLFDLQKRFGGDDRFRLDERFMDEFAGDDGDDVAAAPPISVD PVDDSIQAWKVIDDRDVAAQQAEEKAEQIRALEMLQDLFPDMKIDKGKWTMGQVPDQK QLGWLASMRRYDPRDAAAAKTMEAVAPTDETTSQSRRHRDNNDDSESDDFETGTTAKW TDAPLPPVSTERYFTATSDLSTMFSRVRANSEDGDEMEPALDGLAISAAQTSSVFSFA SMFEPPVENEAQDWSTSSTADDHTKANHGDDEAWHFAKSTRDDDGSTDGENEQEEEEQ GCATTAIKRPVAEVLAFGRTFFKPEQWTPEHEAAWVSMRKIYTVDFRRKHKQALKNRK NAKKAMSRHPKK H257_08099 MRGVRVYVGRLPADATQADLQDRFQRVLPANVSMVTVDLMRNSS ATDFAYLELKSNTDNADDEYAAVQAVVQAYHNTKWKGKRLRVEPAHPDYLARLAYEWE ATAAHKEAIAAARVPSHATVEPAATELNLKPSKRFKGSKVTFDDDGHGTVVVQAIVAA ARATKEVAPVMTNAAIESDPEDDDDSASPPPAATAPSSSSTATKSVSLSKAPHKPVEL ADLSSESSSDDDDDHDVMANHPQVTSPVIIPSRTASTAALSSESSDGDDIGDDIGDNE QAQNDVKEPSSDTSDDDEDHDMISSRNSVADPVAGTTNLPSNAQIRTSHVDGNESGNE SGNESDVASTTHPASTPSSRLTFEIHRTDENERRRRMDANARRLAAIAEKDLIRAKAS TNTVAVAAANSKITFDSDGEAQAEVVAPSVGFGDDPIINKQKKALFIESDESDHEDSL LGGANSSVAFRPEFAGVEGKKLFDLQKRFGGDDRFRLDERFMDEFAGDDGDDVAAAPP ISVDPVDDSIQAWKVIDDRDVAAQQAEEKAEQIRALEMLQDLFPDMKIDKGKWTMGQV PDQKQLGWLASMRRYDPRDAAAAKTMEAVAPTDETTSQSRRHRDNNDDSESDDFETGT TAKWTDAPLPPVSTERYFTATSDLSTMFSRVRANSEDGDEMEPALDGLAISAAQTSSV FSFASMFEPPVENEAQDWSTSSTADDHTKANHGDDEAWHFAKSTRDDDGSTDGENEQE EEEQGCATTAIKRPVAEVLAFGRTFFKPEQWTPEHEAAWVSMRKIYTVDFRRKHKQAL KNRKNAKKAMSRHPKK H257_08100 MGGGISVDHHRHVVPPSVIYHTDIPASLVTTGTDAQARVIVSLS SKDRVIKCTRVTISHSHFAISHRWQNRVQCDTYTVHCDHELPYTCELFPEEVVNLEQY VTSLGNIWLDYVCIDQSSDSDKIAQVNIMGQIYANATSIVLGAGLQPTMPPRDYLMRA WCFQERMFGPIRFVWDMEAQDLEHLTAFAKDIALRVSNLAESISFINRKYDPNENWRI QALRKIPEKYPSTAALCNQMEHLIKDTRNDRTQRQIAIAALRIRELVPCDHPIVTSEW NLFLFDCQASIEKDRLYGTWGVPLYQLNVPLSYEYPDATWHQIAKNFPEADYAFHAPH GAPDQPHGGFSGFATVTQLMCHIMKYAPLAPGTHAKTPTGGTQYTMAQSNEVCGIVWD EHYVGLAWDKHEPIQTFHFIVSKECLRRGKGKGGESGPVKKFIRLIERLRKLGAAIPH WTVTFELKSFLEKIADEK H257_08101 MVDHRWMQQACVVVLATFLVVAVAASGRKRSAAKEDDPPRSSSS SASTTDGPRHRQRSSSEKTSTTKMHELGISMARLSALPRRISSTMSNPASEEYDKKTD LFHEGRNQGGKLVVIMVGLPGRGKSYMARKVARYLNWINYSTRVFNIGNYRRKLLGAD HSAKFFDPDNADGKKQRMDMAEAAMDDMLQYLSNEGEVAIYDGTNSTLERRLWIQDRI SQTDGFHLLFIESICEDERIIERNIIDTKLRSPDYKATSPDDAVTDFRNRIAMYRKNY EALGDADEIFSYVKMIDAGTKLIMNRIHGYLPMKILSFISNLHIVPRPIYMTCHGESV NSVVQRLGGDSDLTPRGIAFSEALGQFVAQNVSKDMSLWYSTAKCARQTAAAVPSDVR VQWRALRGLEAGMYNALTKTEFQLHHPTEHTLRAENCLWYRYPLGESYMDVLSRLEPV IFELERSRAPVVIVAHVEVLRCLYAYFLDLPILDIPNVHAPLNEVIELHTTAYECLER RHLLLPPPCP H257_08102 MLANERTTRRRLPRAEAIAAGASMRSSTSSAAAAPTTTQASPGR RKQVIHVADVVRARKELDAIWKATPANAPSIDQNGDASWGSVVLCEATTWNDFERWLK SNEGRVRRWVFEPLADRPPGKGRVVIYSIPSSILEETAGFVNSSIVMQIQEAGNDIRL FSTVRWKASPTCRTRDFGQEPDASLTPVGLTIGGSVLAAGPDFPYPNVIVEVAYKNDS LERLRAKLDRWMSDTTSVQVAIGIKIDAASPSRVAILLQRGQPVMPPVEFGHPDPGPL QISFPLASVYAGVALPPALAGLGNTPISIDLIQLRTVMDEAIGQELPAAQ H257_08103 MTRRDRRHPCWRCHSDLNAALLVRCVNKDSCESRLHTYCFDPPR IATDDAEPWWCASCTPVQALPSMTTSLPSSPLNQASPSISPIRKQDDTLLYAKKRALP MPRPPRKVPSLVPAVHPLQQIISPAFWTSHHPTTTSQHIATNPFHNESDAETGSPRET TPLHPHNAADPLDWRAFCSDKATGMLLHPPTTTPPRQFKLQRIVLAWVHWRRNHHNDR RRCRIQVHAPDYATKASVASTIMCAGNAGEDRKHADIATKERIWREKYIDDDLTLLDA NNDTHYSRNQLKPQPTKPRYVIPPPKVNGTSLTNKPPGLRPPPPKAPKFSPPELIQVD NYTIDLGDTPPSVASHASDAAKALQGQVKQSQADQVAVSRRKRNLHKHLILQDNSTST DIGTASAAKIQRWYRRLRRDRRLHMERDAAATLINLCIRRYLSRKQHVHDLGRGRATH AAATLLQSQRATDAKLALQMERRRKKARRRIDEFLSKNVVPHLNRRFHAILRVQAFWR RCLCQKAYHRTRRSRALLTIQCAWRQALARRAVTMKRELKAVAVLQRHIRGRYIRRVV LVERKRVAMMETVLALHVIAIDDPATASTGHVLSSLGLYYYGIGQWWAAAASLERACR NGLVRDVPTTAALAYCHHQTWHASYDRFNLTRAHDLYATIVPATPHDPYVLHDYAIVL LERAEYKAGLDVLAHVLAVFPQFQLASTAMLWVAVVLLHLGRGDESIAYFGCLLDTPP SPFTATDMTVLCAVGYGRSHNVEASKQGMQTALAACQGASRTKLTKADMLADLAKRAT SLGHYLMAYVVYFYALHRFKTSKADTWFCFADTLRHLGRADEALQALAVAAQLDPAHS LVQTTLGSWPHLPRDAFLHELHHTIDLEFVRHLKHSNL H257_08103 MLLHPPTTTPPRQFKLQRIVLAWVHWRRNHHNDRRRCRIQVHAP DYATKASVASTIMCAGNAGEDRKHADIATKERIWREKYIDDDLTLLDANNDTHYSRNQ LKPQPTKPRYVIPPPKVNGTSLTNKPPGLRPPPPKAPKFSPPELIQVDNYTIDLGDTP PSVASHASDAAKALQGQVKQSQADQVAVSRRKRNLHKHLILQDNSTSTDIGTASAAKI QRWYRRLRRDRRLHMERDAAATLINLCIRRYLSRKQHVHDLGRGRATHAAATLLQSQR ATDAKLALQMERRRKKARRRIDEFLSKNVVPHLNRRFHAILRVQAFWRRCLCQKAYHR TRRSRALLTIQCAWRQALARRAVTMKRELKAVAVLQRHIRGRYIRRVVLVERKRVAMM ETVLALHVIAIDDPATASTGHVLSSLGLYYYGIGQWWAAAASLERACRNGLVRDVPTT AALAYCHHQTWHASYDRFNLTRAHDLYATIVPATPHDPYVLHDYAIVLLERAEYKAGL DVLAHVLAVFPQFQLASTAMLWVAVVLLHLGRGDESIAYFGCLLDTPPSPFTATDMTV LCAVGYGRSHNVEASKQGMQTALAACQGASRTKLTKADMLADLAKRATSLGHYLMAYV VYFYALHRFKTSKADTWFCFADTLRHLGRADEALQALAVAAQLDPAHSLVQTTLGSWP HLPRDAFLHELHHTIDLEFVRHLKHSNL H257_08104 MFRRVLASHAHHRPAALLTCAAGFAALYMADGPVVQADSSASGS MLIQQPLETRYGVQKTIGRGGFAHVVAGWEKGSNAPVAIKRVSKTLTSKAKFVHEVSI LQQLQGTNHVVQLKDAFEAPDDWILVTEYVPGGELFDRLVQHGTFSEQHAKSIARDLA ETLITLHAQRLVHGDVKPENILLDSANDDSARMILIDFGLSFRASEGGCHLWDGSGTV AYAAPEVLNQSANVTSAIDMWALGVVLFVVLAGYHPFDVASELSDAAVRLAILQGDFD FKHAASWAHISDDAKDLIRRLIVIDPAARLTAEQVLAHPWLKESREGGKTTI H257_08105 MVVRLFVGGLPGDVTEDDLRQRFGKVSGCAVESIDLVMAKIKGL GIRDFAYVNLHSSTPATEVAAVAQYIQTYHNTKWKGKRLRVEVAQPDFKERLREEWDA QSAARVQAAVRPSPGDAVAVKSAYSGTRIQFE H257_08106 MFRRIVVAGLVAVAAFTSAQTTTTTAVPGAFAAPPTAAPAVTPT PMFPNPNSPCQVCANSLNTPSQCTTAYKGVPGQFCFKFLNAGIAQACCCPSTAKCAVQ TANVNTCQCDSGATPAPKYVVVKRTISIWVWVGIALFAVCLGILIYWCCCRNVDVVEP MYVEPVYVQPGYGQPGYGQPGYVQQGYVQGGPGYGYGGGYGGGDVAAGVAVGAAVGVV GGVALGAAMAGGGGYYGGGGYAAGGAVADPNAGGGFSGGGDFAGDF H257_08107 MARGEAWDTEEDVQLAKSWLAISGDPAIGAAQKSSDLNKRVYAH WLAHKSTVRHGDRSPQAIISRWKKVCPMLSSFNATVVQALKSIPSGWNEDGVISNELY AIADAKLHGVERTTILACWRIVRDAPKWRVEVETVQGKRKAVELQRPGGTKHAKAVKQ APVALDALHARFVKASEEKASNMAKRHQLAELKFMFQVFAQDPQSEDAVLFNTQLRAN LLLRARQLQTSSVANVARSLEAEESAEDDVDAIDANE H257_08108 MISSSSSSEDSGSDEEYMRCLFPTVRTHRGSRPGRSGNIDRQRG VGDEQLWNDYFDDNPTYDDGAFRRRYRMSRGLFLRISRDLEQHSVFFQQRRDATGALG FSTIQKCTVAMRMLAYGSAADSIDENFRMGESTVLKTLELFCAAIDELYAVEFLSRPT AEETQHLLEENALRGFPGMIGSHFVSTISQPNDWASKSFATQQEAVRKDVERCFGVLQ QRFAVLRNPCRLWGAKAMHLVAKVCLILHNMVVLDEESLAEPRDQYEEASVARCNILV TENHLGPMQRDLVAKRNFDSTEHERTEAARLKAALVQHIWQINGVNNI H257_08109 MDISSQLRQRRSGYLDARPPSMMSKNGMAYDTGMPKVGSARTPI DVIATYMNSSDTRLNNKGAAADHGGHLSMRHSESAQAHFSPIPQPASAPASLQRKRSN SITHHALSRDSSAEWGWFADIDSSGESFGDNSITSLLRRKFSIDSGGGNEHIIHDEQH STSRMTAALKTFPGDSGDKVQTAAVSIPKFRIVQSRAGADRHAEYLVTFKLGREFHAD WRRYSEFAEIIKKVEVYRFPRAMAAWRNIDNRWFNRLEPSYLHNKCIALEVFLRDLMY ELTVPDVLIDFIGERAINCKPLDASGGRPSAQLPKEMRPKQPQEERELFEKLWAENFA RSAVNYDESQARPSGRAPV H257_08109 MDISSQLRQRRSGYLDARPPSMMSKNGMAYDTGMPKVGSARTPI DVIATYMNSSDTRLNNKGAAADHGGHLSMRHSESAQAHFSPIPQPASAPASLQRKRSN SITHHALSRDSSAEWGWFADIDSSGESFGDNSITSLLRRKFSIDSGGGNEHIIHDEQH STSRMTAALKTFPGDSGDKVQTAAVSIPKFRIVQSRAGADRHAEYLVTFKLGREFHAD WRRYSEFAEIIKKVEVYRFPRAMAAWRNIDNRW H257_08110 MDAARVEGLLALLRDGQTSSIREHAAKQLGVVVTPDTLPPVLDE IRRLVRNSDWGTRVAAAQAVGCIAQSLCPDLVASCAQFAQAGASSESFLQLSQLDMDQ LLTHAKPLLKSGGEEYNYTTHFTSSADQQKHLVRQRYLLWKRIAQDIQASVSIPRPVL HLSSSSFLDAADVTTASAQASQQPTPSVPTLLTHRPSQPTPSPPSTAVLTASTLLLAS LVQDCLEAMFDAKWEVRHGSVEVLRRVLVQSTTLPSTELLPLPVLSWIEEVLVRCVCV LALDQYVDYAADGSVAPIRHVVAQVTGWGLTILAKTPNVSTALLLPLLQSTRSWHACH GALLAIHFLQPAIDTEVPLLLAQVHQALFRHEDEVYGAAAQCLVQLTTPITATNRAYT QVPPIVLDRLWALIGADGAAAASVELAHAHVLTALRHLCPMMQTTTTLSHIQVVGTFL KHVLVPVREAAVAWLHAALASISFDTASGVWWLHVLWSRLVVDPSCQTSLQSLWHRTL DVSGLVSSASLMVVVNATTLTTWLQAAWSSSSRPVLTYQDLQGNPVQVTLPAVADSAR AMVATECLAALHSKTCAAVCETWSAWSCGLLAGHRGQDVVAALAFLSLTAPCNEWPTT QVIAAMTTSLKAPRTTFYKEQMDGWELLQRQTSQMATWFPNTSPLRITPPASPSTHSS WQIAQTVATLPFADLASPEPYTQAQHVRQDIFETEERIRLAFDTMQATVGALSAATYL KWKLPWDKPAFLVRPLMEGLKLSTNPTLQRHFAVSIVQFLVTFGASHSICCLKMVANI CANLHATSGSRFRGAEAATKALVHVLTPAAVCDVAAPHAALWSKLAQVWSTPHIPLLT IVLTEAEMTLLPRILTQMWPHVTSPSTPPPHLCHSTVTLPEAVAAAAARSPDVMAHVI ALLKQEYCPQVLDHLVTSFPSACVPVVCDLIPLALSHFQGGSLSGLIPLLPLHGHLDI ASPFLHQLQTGHVPPLPDCIDWPPNLILRPYQVQGITWLYFLASHRLHGILADDMGLG KTLQVLCSIAVHKQLGHKLDTSPPPPPPYSVLVVCPPIVMAHWVREVHMYFRHAFPNV VMYGGVPAHRRIALQRQIIASKSTDGGVVVVTSYATLHQDRSFFHSQSFTFCVADEVH LCRNPTNATTQTLLGVRAAHRIAVTGTPIQNSVVDIWSVCEFIMPGYLGDATSFRHHA VGPIAASRKPTATVAQKEAGALAITDLHAKIAPFVLRRTKDAVLSDLPPKSIQDIPCS LTLMQTQLYMAADSLDTAMATWRQRQQICMHPKLVDDHLYAHESSGKMIALVELMGLI HGDNGDDNLGEHRSLVFCHTPAHVALVQATLATHLPRIRTLKLDGSVPPLQRSAIVDE FNQELSIHTLVLTTSIGGLGLNLVTADTVVFVEHSWNPFVDLQAMDRVHRVGQVKPVT VYRLLAENTIEDQVLTAQRFKIATADAVVGPNEMKQKSNVLSVLHTGLVQSQLLRPSK KPKTAGNTVESLLDELGELWDVAQYDSLELS H257_08111 MADVTVNATLLGLLPAASFEKLHALKGLGVCIRCILRYAAISDH ELYSLDTAVLNRTWDAFVAAHGGSAVPTGSAGVCTCCLDVFEGALGAAGRADLIAKSK DSGYATSTFMIAIQIPSATLIRQHALNHVVQITTVPIDLKEVLKWCLTPLLAAALNHA AYVATSDISIHLHFHHELSEQEAMQLPTIRDTIVQNKKRKLDIDAFGAVTRALQTALL HASNLPSTLTSPPTVAASPCTLTYTIERAPTYLAGRYLKFQRGLSQTPWVLEGERLGE SSVEEAIGDIVLPHFQAKSYKFHTAGREDVDVRMLGNGRPFILELIDSKVASLASAAH YERIQAEVNLKNVDRVEIRQFQLTDKKGFTVLQAGADSKRKTYCCVVWVADKLTREHV ARLNGISDLAVAQKTPVRVLHRRTLLTRSKVIHSAHVTVLNDHYMLLRLTTSAGTYVK EFIHGDLGRTLPNVSTLLGCDADILQLDVEDLLE H257_08111 MADVTVNATLLGLLPAASFEKLHALKGLGVCIRCILRYAAISDH ELYSLDTAVLNRTWDAFVAAHGGSAVPTGSAGVCTCCLDVFEGALGAAGRADLIAKSK DSGYATSTFMIAIQIPSATLIRQHALNHVVQITTVPIDLKEVLKWCLTPLLAAALNHA AYVATSDISIHLHFHHELSEQEAMQLPTIRDTIVQNKKRKLDIDAFGAVTRALQTALL HASNLPSTLTSPPTVAASPCTLTYTIERAPTYLAGRYLKFQRGLSQTPWVLEGERLGE SSVEEAIGDIVLPHFQAKSYKFHTAGREDVDVRMLGNGRPFILELIDSKVASLASAAH YERIQAEVNLKNVDRVEIRQFQLTDKKGFTVLQAGADSKRKTYCCVVWVADKLTREHV ARLNGISDLAVAQKTPV H257_08112 MAELQSTITERCVDEENRESTRTYTRHRFLGKGGFARCYEVTCD TTGAKFAGKIVEKQSLVKPKARLKFTSEIRIHRTLQHPRVVQFKHYFEDDANCYLLLE LCTNQSLSDLLRRRKRLSEHEVRYYIHQLIQGVAYLHSKCVIHRDLKLGNLFLTTDMQ LKIGDFGLASHLDSRDQKRRTMCGTPNYIAPEILNGHHNNGHSFEVDIWSTGVVMYTL LVGKPPFETKDVKHTYKLIRANDYAFPSDVALSATAKSLVMDLLQKDPTRRPSLASIL SHPFLQEQSIPSHLPDTAMFITPSSSMPGGRHSRHHHRSPLAPLDVHLRPAKPPTAAI LALPPSASSAITSQKQPLPPMYPVDLLDEIVENLTAAFYYVASADGENRVIGQSLLQA KLRVNMDDDDTLSPASLWVLQYVDYTAKYGLGYVLSNQCTGVYFNDATKIIASSTMFG YIDRSPDDQASESPQQVHSLSEYPVDLTKKVTLLGHFKEFIEGEETEESKCLQRNLLL DLPTVPTPMIYVSKWQKTRHCMMFRLSNGTVQTNFFDTTKLLLSHGGKQITFVDKSGR MHATSLYHAISSRALPDLLKRLKYVKDMLQQMVHNAKAA H257_08113 MFRSRLSDGDKALLRFACCTYNLKRMARGDGQVASSSLDGTMLP TTTTVSEKEPSPPPSTFPPCHASQAVDRLVPRFHGHVKATSSSRDAVTCIPPTTITDT LLDLAAEFLYSDRSSSTAFNTARVLRMAFQQTDQGFLRPTPACEKIVLFWCDFLLMGL RGDYASSMNWTHEWPIVVEVVDALVLNYAISQGQHPSTQRQVSHILNSISEACMTNRT IGLTVLEWAMELVGQLALK H257_08114 MRVVLPLLLLALLHATDAAQTCRFSVNVANVANPTQAPLATTAT PVTTARGNTTNGTDPTGPLTTPPLDTTTTVIPGTTTTTTASPTATTVNPDKTPTPSPV TTITSTTATPTTTQSATTPSATTTPAPTNTTSIGSTESKRRLQVAIPSTTPTPLTTPL VSANTSTTSTPAATAVPGRTTTTTSPVPSATSGGANATNTTTSTTPATIFDMVECDET FYGLWAKKGLTCGGVALDVAKAMGHDCMVYRGTLALPNSSTGGGATCFSSCFIPACID KEWDYDDSLGIDSSIYSSMTFSDWFTGSPAATLPPVLRAVPTSAFEAKFSCEKYSLCQ CPAANIVTSNSTSAGNSSTSPANGGGGSTSPGISANDVWSDGTKKTNLDYAGQVTSSV STSVTYTTIAATTTMAIASSVGVVSSSASAAAAGVSTAGSASTASTTLDIAQFAVCTG ALSLPGASNTLRLIATQMSFSTFTWFSFGDDKSISSSKVRVLVDEYRGARDESSGGMF EYTSRLGIKPHMLMYVTLAGVASVLGAVGVLLILALLVGGSLSCVKDKAAYRIDCLDR AIGALVLVAVISQYALGMVCMFQICMTLKNTRGAKLTAELFVALSTLLVLALGIILYG LHIVRKNEADIKDIGTAAHFDKSIHKRFGTLYDQYTFENRYFFVAKMSLALMSGMVTG TIAIEGKTQLILLIAMHVAFFLLLEVRKPHSAKFVQNTSVMIVILKVVVFGLSFFLLT AATENLPWSVQNVVSYVILSLQLVVLLCLLARQVYIFWKTRQIKNQKDDGDVVAADEI TPPHLQLHAFDSIPTSNKHGQREWTSEYYSDQNCRAPAAPPQQLRSNQQASSLRDLRP APGHVNGKRVDDGHDPNEYAI H257_08115 MEGAIPVSSVSGFIVVGIVAVYTVKVIYHHIRGDYPAPTSSQLL LNDPTTGPLSTPRLKRSDIEALLHDVPRWLCSVCAFHNIDTRWSCSLCHTARDTYLIQ NTVTTTTDPSLAQESDPKSMMLLDHGARSAMNTLFYLRSLLPEDLNPRQKSARMRRQW TRSMSATHNGKVGWTRHFVNAPASATFPVHIIQVTTSNGGAAATAMWQPLDEACSSNT SVSPTLWPPLLQLSDESFSVKYAWFLSQLADLVVPFNELHLTRQSHRGDDFLNDVMHT LLALEGSELCAIVRVEFQDEIGRDAGGLQREWYLLAAEAIMTSGLFVLVNRDDQSYFI NPHAATSPLPQVEAFEAVGRFIGRALLDGQGLSLPLNPVLFKAILGTPLTLDDVEMLD TQVFQSLRYVLEHDSVESLALTFAVTEDVGSGKLNVVELVEGGVDVEVTDANKHDYVE RMVHHLLFHRVERPLAALIQGVYAIIPRELLAPFDHKEVELILCGLPDIDVDDWKMHT NTTDAVRTSPLWTWFWEIVADLSLEDRAKFLQYTTGSPRVPVQGFSGLTSYDGRICHF SIRGVTYTQGKYPVVHTCFNRIDLPAYPSKAALEEAIAMLLLTDATGFTLN H257_08116 MVHVKRGAVRLLCHCATTCSALTGASLVTSSSVPSTPEDVAKEA LAAYKSMLRYFNFSVAEPWPGRPITSLPRLLKADLKTVPDELQRLTCLANLQALRSTA ANKIWWKVLTEQIVAEYAPPSPSTRTSTAQKIPLLADKRE H257_08117 MDPARADAIIGRLLNVRHAKPGADAILAVEDLYAVSKAARDIFM SQPMLIELEAPVKICGDIHGQYSDLLRIFDHCGYPPDANYLFLGDYVDRGRQSLETIS LLFAYKVRYPTNVFLLRGNHESEDINEQYGFYEECIRRFDHKLYKHFSDTFAWLPVAG VVADRILCMHGGLSPDLEHLQQINSLPRPLSRVEASGIMCDLLWSDPDPTIRGWGDND RGVSHTFGPDVVDGFLKRHDLDLVCRAHQVVQDGYEFFANRQLVTLFSAPGYCGEFDN KAGVLSVDPDLLLTVQIIDPLLDNKKRRLMHASGNGKHFDSAKRPNTNGSSTSSSTSS TGPSYSSSYSYNKK H257_08118 MQVDNNLDLQERVSYIHSDTTKDVDGYAEAKTPKDLDDTLENGG ALAEGGALDLFSREAFALFMQYGAIGVIYGMIPSLNYPIFNIYLNLEGYQTASYGVLI VVGWSFKAIFGMLSDCVPIFGYRRKSWMLIGWTITMICLSVMTFSSLGEPFCNREKTK YCSTPLEKVPAAELQHFNLDAPNNGTLFIILSMFVAFGYVLAACASDAMVVEYAQREP VAIRGRVQTAIYVVRTLTGILAYLVTAFGLNGPNYAGSFSFALAPNAPYGICLVPCVL VVLSTVFLLVEKKSPPTSATEWFQAFWESLQRRVMWQICLFRFLSNFFNGIGTTAGSP ISTYWAGVEPLNDSLSSIVGSLLFAGILVIVAKWGLNWNWRYTIAAGTVGVIVIDGFV VYMTIWDVVRNQWFYTGVALADTIPSGVRFIVATYVAVEIADKGNEGATYGLISTVNN LAGPFASIFFKYINSYFKVRQNDIKADTLEVRWDVTYVFLISYSCKIASLFWLFMLPP QKQQVQELKARGGKSKLAGVILITFFTAALAFSVTTSVMSIYPSTKCYRIAGGNGVLD PKTSKCPMPK H257_08119 MHKSKLMAQGKLPNVLLCDRDVWADGYAKLGSVDFNSLMRTLQA AVSASLEMMELCNVMEALGVKETMKTASLWMGWRSCSFRYACSTKQSVNRFIVRMTNP TPSDVIPRLIVGEVVLVTVSKLTTFHGVASCIFESLDATGTTPPRPRLPPTLPLALKP LTMAPPMSTHQEDQHSSDYNLSDNDTVLTELIESQGSLDERTVPQSGGSGSCISLDSV EGREFVASVDDEDGTAIGSDAHSAAHNASAIKIEEQASG H257_08120 MGVPASTLYDYYKRSVFAKYSRYVKPLLTPANQAARLKWALDFV HKDNGELRLDDVMDYVHARSHHTSPASRKFHPEDRDLATKSEPAKRTSRNRPAGHPVM KPVTVTRVVYRCILVNNVIPAIRAKWPSAPTTVKILQNNAKPHVLVTDPVVEAACRQD GWSMSLVCQPPNSPDLNVLDVEFFRAMQSLQAEHR H257_08121 MAPPRTNKYEKVAAKQTKKAAPQKRALPSESSEGEEDDEDDDDD AAAAAFDDSDDELQDDNFDDDVDDDDDAEPSAGFSDANRKWLKPKTNAGSDSEGNDDD DDNDDDEEDETAFEKKAKKSAAKARRDDADAETELQLNLKNQSTFALDDVDDGEDAGD VYQRVKDIIEVLAQFKERREQHRSRSEYIDQLTTDMASYFGYNRELMVLFLQMFSPAE CLEFIEANEQPRPLVIRANTLKTRRRDLAQALIQRGVNLDPLAKWSKVGLKIYDSPVP IGATPEYLAGHYMLQSASSFGPVLSLAPEPNERILDMCAAPGGKTTYVAQLMRNSGSL VANDLKKQRLKATVANLHRLGVKNCAVANYDGRKLPAVFTGFDRVLLDAPCTGMGVIA RDPSIKTQKTEKDVHRLAHLQKELLLAAIDAVDAKSASGGVVVYSTCSVMVEENEAVV DYALRKRAVKLVDAGLEHGKPGFTRYQQQRFHPSVGLTRRFYPHVHNMDGFFVAKFKK YANALTHRDTDDNIEDDGLSKRAKRKLQAANQQASDNVQANVDEDLSADSEVEEEAQG SSSEEEADDENEEEAVAPPVVATKPASKGKATPTAAPKAQEAQEARAPNKFANKKPQK GGKPFNKFQGGKSGGGMTAKSGGGRPGGFTGGRATTATGEPKPPKQKRFMDNKMAQVR MQKRQKK H257_08122 MAQSHYAAATAVTAKISVHVHRSLEVAKQSKVVVKFHCDEAPPP PTRAGASRSETIESLKAAALPEVESIYEPVVSLALTKNDDKPVEVSMSSTNGGSRRSK RRRCRCLIRPRVVQV H257_08123 MKKRSERPMVDNIDSISEFESEFHHAFLKSRLDHDDYVYKPSLL RDLDEFCDVDSMHVPKGPLIVFGEPGSGKSAFLANWINRRKKKFQNWNNGFPEFIFYH AVGCTRQGAFVSKLLERILTEMNEYFELTKEVPTFEERLSWQFPRYLEAASRKGRTIL IVDGVHRLRTSDGDSILKWLPLSFPPNVRLVLAATSVAATSRTDAVDVDMSTMERIKV EAVRRNWTTLHINPFTDDEKQMVVHKFLSRQTGRPTLQLFELQQKALASVSRTTNPMF LKSMLEALEWVATRGYNIHVVLKEWLGASTMSELFEVILRSMEAGHVPSQIATSDAML FLQEHSLDATFAWVPQSSKQRQSFDSQQGAAAFTSARVKQQSLSSGQPSPTRHMSEAS IASTLESTRRPSTTLVDDDAYDSDDGMGSPRYHDETNADVSLVFRELFEGESTNTNGG GSFGGSGGDAEDAPRQVRTSVLTATEGPEKPSERGEGGGGGIVDDGSGCSSGSDDDNH AHHHSAPAAPAAAAQLPPPRKSAPFLRHLERVKTHRNIVFPVYVTGGQPVEGLGGLLG KALCLLYVARHGLLLHELRTLMQAMTAHEANIAPLDAPEVQVPADDDDGTNLAAILND YKPARPKVPTLPEATWTTMLSALKALGCLFLQDIVLLPLCYDTLRDLIWWRYIGSTKM EECYHHWLVRFFVGHPPTFRRVEELPWHLASCKRWHALKDVLVNLPMFQLFFTANYKT ELFCYWKTLTEGATPPPLNQPPDELDVTNTPAITTFDLVREYNKSIEDWYHSTRPTTK QLLPLLQSMTKFVFEYSVFSQSELPVFNHPHFDAKHLHADGFHFIHQLPHVVAQQSFD LAVHWLYQRWVWVQFPWLALGYDIDDGDSKHMTGLVVSISPENTKDDDVGLVDTYSDS HSVTSPANNNTTMPVTSSATTNTTLPSSSSSQNMSAATNASTLLSPSQSTPNLPKQRK TQAALLSPYKLKGHANASLPTIATANAPNTLDIIGLNSPAHTLMRRKTQYIGFKNAPT SSFPSQLKHTSDAILDDPMLHSGIGKLLAQRTAGTSVSVRDTMNDSVCSEGFGLPAHL QEYAKTEADVKRSCNQQILFKLQQAHNFLKRDANTKCNRLDKLRQKIRERRAKQTSSL QYIQEAEDALHEMTKRMDQVDATLKVVAKQEKTYIKLLGACEDYSASDKHHLAQVRKE LKVLSLKLRDLQKQNQALAFEQQHLATVEFPQLAAACEDNKRLHDAVLDRLNSTKVRM AQDVANIEALYVVRKGIIDKVKSTAFDLNTNEPIELEKIMHVQATAETNAVNKSQVAK AALEQCQSMCRRIVHATGLTNMAAIHEKFHNRDALNRSLDEQAALYEARLKQIKLSHS ELEVQMNSLETTPKDSTDPRQLEDLARDAEAALSRTQRAYATQLHALNEVVVGVSNIA RLAGITDVRKPKHALIPAADLWPPYQDKESRAVALAHFEMLSATAMAELIRVCEERMV AIIDNNEHGRGDPDALYALPCRRESSAGLSSATSSPRGGGTAKRRTKRDTKRRSNDST TSSPRLDKDLADTATALTAMQDDKNNMLFSLPSTPSSMMQAPLGQGSLARDCGGDLGG SSSMLLDDPAEPAVATRDIIKSASKQKLAIKRKELLGRANTGMMSQLPASSSNLAVHH DTNTMDGGINSC H257_08123 MKKRSERPMVDNIDSISEFESEFHHAFLKSRLDHDDYVYKPSLL RDLDEFCDVDSMHVPKGPLIVFGEPGSGKSAFLANWINRRKKKFQNWNNGFPEFIFYH AVGCTRQGAFVSKLLERILTEMNEYFELTKEVPTFEERLSWQFPRYLEAASRKGRTIL IVDGVHRLRTSDGDSILKWLPLSFPPNVRLVLAATSVAATSRTDAVDVDMSTMERIKV EAVRRNWTTLHINPFTDDEKQMVVHKFLSRQTGRPTLQLFELQQKALASVSRTTNPMF LKSMLEALEWVATRGYNIHVVLKEWLGASTMSELFEVILRSMEAGHVPSQIATSDAML FLQEHSLDATFAWVPQSSKQRQSFDSQQGAAAFTSARVKQQSLSSGQPSPTRHMSEAS IASTLESTRRPSTTLVDDDAYDSDDGMGSPRYHDETNADVSLVFRELFEGESTNTNGG GSFGGSGGDAEDAPRQVRTSVLTATEGPEKPSERGEGGGGGIVDDGSGCSSGSDDDNH AHHHSAPAAPAAAAQLPPPRKSAPFLRHLERVKTHRNIVFPVYVTGGQPVEGLGGLLG KALCLLYVARHGLLLHELRTLMQAMTAHEANIAPLDAPEVQVPADDDDGTNLAAILND YKPARPKVPTLPEATWTTMLSALKALGCLFLQDIVLLPLCYDTLRDLIWWRYIGSTKM EECYHHWLVRFFVGHPPTFRRVEELPWHLASCKRWHALKDVLVNLPMFQLFFTANYKT ELFCYWKTLTEGEFVSGSATPPPLNQPPDELDVTNTPAITTFDLVREYNKSIEDWYHS TRPTTKQLLPLLQSMTKFVFEYSVFSQSELPVFNHPHFDAKHLHADGFHFIHQLPHVV AQQSFDLAVHWLYQRWVWVQFPWLALGYDIDDGDSKHMTGLVVSISPENTKDDDVGLV DTYSDSHSVTSPANNNTTMPVTSSATTNTTLPSSSSSQNMSAATNASTLLSPSQSTPN LPKQRKTQAALLSPYKLKGHANASLPTIATANAPNTLDIIGLNSPAHTLMRRKTQYIG FKNAPTSSFPSQLKHTSDAILDDPMLHSGIGKLLAQRTAGTSVSVRDTMNDSVCSEGF GLPAHLQEYAKTEADVKRSCNQQILFKLQQAHNFLKRDANTKCNRLDKLRQKIRERRA KQTSSLQYIQEAEDALHEMTKRMDQVDATLKVVAKQEKTYIKLLGACEDYSASDKHHL AQVRKELKVLSLKLRDLQKQNQALAFEQQHLATVEFPQLAAACEDNKRLHDAVLDRLN STKVRMAQDVANIEALYVVRKGIIDKVKSTAFDLNTNEPIELEKIMHVQATAETNAVN KSQVAKAALEQCQSMCRRIVHATGLTNMAAIHEKFHNRDALNRSLDEQAALYEARLKQ IKLSHSELEVQMNSLETTPKDSTDPRQLEDLARDAEAALSRTQRAYATQLHALNEVVV GVSNIARLAGITDVRKPKHALIPAADLWPPYQDKESRAVALAHFEMLSATAMAELIRV CEERMVAIIDNNEHGRGDPDALYALPCRRESSAGLSSATSSPRGGGTAKRRTKRDTKR RSNDSTTSSPRLDKDLADTATALTAMQDDKNNMLFSLPSTPSSMMQAPLGQGSLARDC GGDLGGSSSMLLDDPAEPAVATRDIIKSASKQKLAIKRKELLGRANTGMMSQLPASSS NLAVHHDTNTMDGGINSC H257_08124 MTCILDSAAIDAGSPSPSEVPMDEPISCPVAATKSLDLSFFVSC TNLTLDVPDGANSSIVNLTHQTMQRGYALAKTMMRKDSSSSPLWDGSIYCQVTAHRGS DGNVPLTFRTETLQKSSSPRFVVGIVLPAADLSPSDTVEFQLLSTQVDFRAKQATVEL ATTGLVPLRLLFDAAAQSGQLSLPFIQQLQGGQSSATDGTSVDEADHSAVRPEPLQPT NMLELVWPHVVSAATMAPFVQTHRTLYRWPQQHQSATTFFDERVAESWLCATLPQQLL AQSRDDITHQIMAWQAVRARAALAECLFDDVASGLAHGCDAVQVAVHRARGLHLGNAP PPLTSSDSVTATLRTSMFALAKSVKSSAISKLRTNSTNENIHAYCELQWCDPPMMNPS TIGRTNTILNNPEPRWRPDLPSVKLRSRIVDDSVFEFYRPRNDSMQGFLDCHVLVDPA KGTSAKSSRKSHVSLGVARLPLAQLRQVVVEGGSSTSTTYYLFQDWVRLHQRGDDPDG LCRCDDGGTCVGEVDVHLALTRASPIHANPPPPPPVVMAERLPWLQASSPLALLPMLV PSTTFIDKHIAALIEHKATLDNLIAISQQWVTEAKSTRFKSSHDKKSSSLQHIPTNLH VSWLHHWSTSPCTCSTLSTVSCGIPAAHALGLDTDLVQLNDLLTDLAEDCVALHASMS AADRASFGVSGLSNGYDESVEASPATSLPPDVAPRAMASRLNSLYSSAKRNLPPVPSA FKSATSLGDNHHQTAPSPLTSLWARALQLEATHQLRKTMVVAQALTALTAAFQSAIVM TMQQGPVVWADRLALWQRVGFLCGWESLVSSQGKELHMLHDAQVGILACQQFQFQLVP SNTDDVAVCGKIVQVPVDGLDVGGGDPIPIVCVLFTQGINEMQSLANMSAHLGGGGVV STQSQINTTNLIALHEYHQAATKASHVISVDTDLDQLRAAVTHENGASKNTHILHLAA RVVRALGGARVTCCKSGKDRTAMSVTWEQAAWASSLDQMLQTENDDDDKSDKDVLVLA NLMREFGVRLDVAHKNVGHKRYSFNALQRKLLPPMYRPPVSTIQDMVTSVALRDS H257_08125 MQRILAIVQDDVGGRGIRHLLNPHRDVVALEAAATGLLHLPPGS HVAILTGFPCVQHATPPTETDGIAGTFALVHMLHTRGCVVHILTDDVNASVFQACIDH WRNNYSCQADKNLQLHTFPPGPVAPPQLEAMAALIQYWIAIERPGEAADGRYYTMRAR DISRDVAHLDAFFDRAQQLGVRTLAIGDGGNELGLGGVATLTKQHIPHGDVIAAVTPS DHLVVASISDWGGYAICAAMAYIDPSLSLVLPRTLAELAATMVMACARDGILGTLDAC VDGWPLVVSLNRLHQLTYLERRCDFRLLACHPHSPTLISKWPRLATKVQPSWLPPSAA STLIHVVTDLTKAMPQAAMVALELASVANMPPPSDKDCVVLLPAALLVESPDNIDAVR TWQTHHTRLGVVGGSAQVLQQLVAVAGIYVAIHVLVEYNEAMLASHVPWCQKQRIGLW VAVSSESTATGRWLLDQPWVDAVLSHDTTQLHDLMDCIGQAVVKPWSSGGH H257_08125 MQRILAIVQDDVGGRGIRHLLNPHRDVVALEAAATGLLHLPPGS HVAILTGFPCVQHATPPTETDGIAGTFALVHMLHTRGCVVHILTDDVNASVFQACIDH WRNNYSCQADKNLQLHTFPPGPVAPPQLEAMAALIQYWIAIERPGEAADGRYYTMRAR DISRDVAHLDAFFDRAQQLGVRTLAIGDGGNELGLGGVATLTKQHIPHGDVIAAVTPS DHLVVASISDWGGYAICAAMAYIDPSLSLVLPRTLAELAATMVMACARDGILGTLDAC VDGWPLVVSLNRLHQLTYLERRCDFRLLACHPHSPTLISKWPRLATKVQPSWLPPSAA STLIHVVTDLTKAMPQAAMVALGMYTCI H257_08126 MPIGVHARPLDPHGRAHAAEVQTQILALEVKDLVTSNQFTFALS HNRSSQTIATVPKCRTLLSVIAINVVSSLHRLHGHLAYDKPTLFNFLFFVALLLIGLQ VVQQGNDVIEKHMLLLLLFVNTRKTC H257_08127 MTPTPPASAASKQTIEESFRGASTRPAYDTYRKQFESFLQPHKG GIALETASTDDCTDFFHHLYTNGKKARTIDVAKSALAAHFNNTRINPNPAQDANARRY LVGLQKFNKKKNVDEEKQAHPLTVQELSTLMNGLAGMHPFVGSLLRLLLAVGFPGCFR ISEVLNLRYNDVQLVSEGSGRYLSVRIRWHKKANVEED H257_08128 MESEYVAVVVLQSNTLLLVGHESQCCHACRPDRATLGHGFAKFF KGEGQSAAAATTQQPPRLRGLPSAPGHQLAEFESTLSGLEHAVRCHFDNVAEHLSQDL LLQRALPVHMRDIAMLKDEVGKHEGSAGQIGTGSTQC H257_08129 MSAEQRCQPRPTYYRCWISSRVNSVSHPRQLQCPAKSFFTLIAS TSHHHNDHSHVRAILDDCRSHHRVQANHHTDPSSDCTHQPPLCTTLVGSMKFDNEHIG LPFLESSAYLHSTDPYDVDAPRSTSNAVERSHFRVRIVTAKGHVPVPAIRYTVRENPG ISIDVLSSITPERVRRQAVMLNFSSCQCGLNAVTLLVFRHGFVKQTTSRSDGRQYSRR VGH H257_08130 MQEQKVIQFIDPVVLPRHNASREAFFDGKIGMWHFVRHRPAERN SRNRSADTMVTTLVNVDGKVCTNYVLNKVVPAIKAKFQSDIKKVILQQVLSQMLFSWL QPQTGGRDAPPTAKQP H257_08131 MDIQHASRELSMPPSAYTSTATHFNPHRKTLARAWKDFIWDGSS RSKGPGRVSRKALYTKDRKLKDRTLQRRSSRLKPLLTDANKEERVAFSRLHVRCGAGN VLALLC H257_08133 IDSWLWYSKLLGDSAVVSTAKAWSASLSTTCGTFGSAARSSTRR HGCACTTAKCSPCSSTTVAPDLLPLGPPWTHPPVCRRYCGLRVHAPVLHLSVADPWPG RPISSPPRDQRFPQSRLRRALALTCLADLQVLHSTAANPTQ H257_08134 MDILQCCQQQGLDGVNILHHQSPDGALTLPPRIHGSGDIFGAAK SFMMYYSPTGQQYRTVDLKDGLGLPQPVTTDGRVQAPRPVYDVMMEALEDWAKRHGLT THPLAHPTQMKPADTSFLGTMLGLLAKHAATCGVVARWTSPKWQAPKSFNDRPILPLL NGQQVGALMTINRRFPWQLRHVGDVCNIDGTYVLRRRHISGPHTAAHPSGTTYSTGIT QAPAACRALAKAGHGYGQLRRYTTVWPRAQGGAANLAELAGQTQLCHRSTDGSGHTPR CFQYPAYDLQLVRKPEGVRYLVGTAATTLARPDAMGTRLQLHAYSEHLLAAADAHDHG ARLDGLPLR H257_08135 MSPRSSRGKGALVDRPRLQHPSACGSVDGPSARIVTYLFAEVTG ENVASYDRILVVAVRAAVDDGRAMFHCPATLCRRCTPALPSPAVFAMEKEGWYRTQQA RSVHDAPGGT H257_08136 MGNTLSWLSNQAAYPTDRRTILPTGLETPRTCGWTRGLTRFYWR TIAMTLHTNAAKHRLDGRWGPHCRLCYENPRDTVGHRWDLGTDMLGIRTYTHHGWLKK WQLRSIYHWPRHGTKMNGNGPPTLPFAGSSTCQLLSQVRWENMQLPAGQYGYITPRWL GRTALSVQPLLAPREI H257_08137 MNRDILQVIRVMLRDYQLDEKEWDYLLPVVQPNLNQTPAVSLAN KSPMEHFTALNPTTPLDVVVVGMNKDLCELKWQHKDIQKNLDDLRTKDFDASNVTEGD YVLCSRLDERYHPKCSSHGSPQRKAHTPCVKMYSRASFEVAEEIREHFVPDVSEFMLE VFWEGFEDFDSS H257_08138 MQSGEPRPEARWPHDTEDSRPVDDDVLKNYRFTNDYRVVNSMTK PKTGDGVFYLPKCFWQFPLHEDSWDMLSFMLNFCVYTPDRVMQGHVDSALYKFFDRVE HFGFKLSSSKTKVYTHEVKWCGRIISGEGVKRDPEPIHYLCAIPYPMNAGDLQQFTVN PLQQCLTKSLEGKGKKIRITSGVHLELLDGEKKAFEAVKSKLRASVELSHPCDDATMC LKEAYSIARACEKLNYL H257_08139 MDNLRVKRELSYETKMEVIAHLLRFAENGKLATAAEIRLHRTTV CKIWRAFRRNARMPLSRPGRVGPKSLYSTDYVALVSGVPEDQRTTLRDLSVATGLTLG TLHRKLRDGTIQRKSSRIKPLLTINNMVERVAFCKWFNADKDRRKVYLVKGQTIGRRV AKSKKFIPKFMFLAAVARPRYGPEEGVSFDGKIGMWPIVKYVPAVRNSRNRPAGTLVT TLVNVDAVVYRDYVITRVIPAIKACFPSANNRVVLQHDNASLHRSITDEVLACMSTDG WKFVVRRQPPNSPDLNVLYLGFFASIQSLQYKSRSIDDVIRSTLPAFQALSSDKLDNE FVTFQAVMRLVLEHKGDNHFRLPYLTKDALRRAGTPMVNVTSPASLLE H257_08140 MARRFREVSHDSTLLSKDLPAAVEQMAIVEEFCVVSGALLNQAK CQTLVLTGHLDPADTDGRGLLNILPTGQPLPADHQLNLVHERFMASFQQWGCRARTLQ GHRLLPNTVMLSLM H257_08141 MVQSWQSMLNRYILGRKSIPTDRHHPLLTARLPFDSKLGLGLPH IASRTRAQRLQLLQRAMSLATPDRPRWQPLIFRQFERCMGRLHRVSSPFDFFIVPPQL QVKVAHAVGTKPSVDGCVETVVGYTDGSRIQLPLTPVTTMQLPVWLTDYEPTMWNSKS DIVAVHGRWPTRPEFMVMMCQGNPEVPAELGNDRRMQWAPVYRSGMIYNHLTCVYARV QGLSQPPLPNPARHSFYGLAKNIPSPFELWPRRMHCPSHHCFPQNYVRRVQQTCQLPP PVHRDVWLCILSACSLLTAALPTSKLSVPMPSAVLTAVVAWKRNTTPFTHAPKSTHQC ARSPSPGCPQDPMDPSHSLNPPPHMDQAQQDADCPLRSSVVELLQILRVQAPYQPLWT KCPYTLLLTPTSATDQRH H257_08142 MMPSVVYLYAELQLLMGNTDYGNSLVHFLCFPHPAWPILTLKPP TPCVILAEDPKRNHIVADYWLKESVRLWPDVPSVPHPSEPGSVVYTHDLGATAAYVAT RTQQSSVSSRVGTSTPPPC H257_08143 MKNAKIDPVRIAPQVRRPDLHSKAVSKTTEGRGAKRRCGDCFDH PKDANSRWQWTSHGRRRGMGQTDVYLHNAPALPKHPKFKGSTKEERRTFMAAYNLYIS QTTALTVNGTKPFVMPVNACIDPASKQRIAEWDMGKGPYEVTDCEAEWVAWFRQGYDV DPQALDTLKKRIKAAVVFDMSVQDADSRIGKMFDGLAAAIRRDRQEWVIKEESPAIVK IITDAVKPVSLYRAVTEQMALTRNKPLKKGVRYDASCEAGSAQDEPRRYTRTPYGSDS VDTTGTSDSDDAPGTTWADVSERLSEVQVYEPSRTGVPGYHRRGSSEAPQGTRTNPRP WTERR H257_08144 MQNGFQWDRTVQAVAVLTSLLIERAERRQEELFLISKDCLKCFD RILGWVMERRGKQGKMTKVSKRIWVPRGGPAGRPVASENPVIRPDGEEKKEQTDDPSK LPVPREDPRMGREETRTDGVEVKTRWKVLRSVKNLCEKTRRCEKPAGMQAQGEVQRSE KEHRVGKLDHATVGLAELLISRTILAGLPVPRGATKDGDTVELQRATWPELPVPCDDA IGELVFVDFDTTLDPVVEGRMESVVCGSASEALSKLVGIPDAHDDVVGYRTDLTAAVE TVFAPYGIAKVPPNQLEVVVEPVFTPTQIENIITGDFPGIVKAVVATVGFRPQPTKQV WFYGRAAYVFEALGVTASITLTDLESTRRPGEVWRLNWHFFSLRRELTRLAFHHLVHF AEKASSCVSAMQRHTVGIRWCARELYAVKEDDVVVPKRLIYHVGSDNLVPLKVVSLNC AKMSALIDSGASRPLVRLKTAERPPILAYPDFELPFIVYVDGCSIAVGTVWMQKQNGR ERAIAYASQVVEPNPETVGLVPFSVGLVVQVWIKLNQRYAGSLGLPLAEFTFTVTHRP GVSIGTDGCGLYGVGNSCYSCQAELTVSDETADTMRTAPTDTDPEETLMERMGLDVLS PEVTATGRRHTEQYGRGLLRTERATGIPLDNSYNVPSDLLTEEQAKDTFIRVIKAYLL EEAVPFDRDAIKPSRVGYWKGWREDVAEYCRLCVHCGASKGSTPWRNGKLQRMPVYRL KGPFSMVVVDALGPFPPTANGNKFVQIIVAYFTRWPRGRVQIRDVYLPRLLFAYRTAY QSTLGVFPVFCLFGLDPIQPLDIVFTNQDTPWKLDDLPQWRWKQFACDGRTKQADYQP ADSVWLHQYFRKSTDENDKPIKKLACYWYGPYRIHSRQGENTFRIYLPSHPDWVVPIN VDRLNKFHGYWSRAKDVDIPERLLRRTPSDNDRSPAFDEGDQNDAWLASELLPESSFA GRVDSLTET H257_08145 MKPLPLRELCWDNPASTFFHPQTTSAVTLVDTKVRIGRPPQLTT ITEDTLAALRGSRLPTPDVDITGDGYATLTFDTPAPIAFLWTNPVTASPISTHGIAPA ANPSTHPPLAGTYGARTHTRAVPVDTAARDNTPAPPPSTPPRRTTHPRPGNCPSSATR LRSPDPTQTSEVESLQAAKEALTALVSASSAAFSTLDARLIEERHLREAAELLQAEDN RLRTKAHIRLNTAVAQHESQQAALAARLPYFESGASGLYLPTPTAPMPPVTDSSENLL VSEEDEPTALGQGPDNKWTRSRTNRWRQTNRGVSDQTDDTPATPLVWNMVDPEPTALV LLLSPLAAATHLLSPPPPLPPLLTPPLHPAIPVLTFGHLPDPYSSDSNNSELLDARTA DALQPPPPNAPDTISPRKRHRRRTLRIQRLPLPPLRPTQPDTNSITCSGVNPSKKPTL VTQARHPHLIDSLRIASTNINKNTYGKLGDELATWFHASALDFLISPTPTFPHTRPHN SGPPHPVAASHLTLWPSVTTESASCMICWHARIDARRTSYFPSGRSISICVRLGKGSL LTLIGTYCQDPPAAHREATDQEWQWLAQATTQTTGHGTPHADDVVPQGTTDWLKAAVQ NLYDILYTSAKIKWGGTSQTRKALNRAVAIQRTNRCTAQLHHLLRIHEATTPIMGTEY IRLAHMVEWYKWIRNPNLLPSTCLHRSDAIAIGDWWTAMPTAQANT H257_08146 MLWLGEDEAEAILAFRGPGNTAYGSPVVTWAMSSGHPGVGVSLD GLRYSSWWKREPVVEHASVLGVPEELLAVFQEAAAKGKAESFLTGVLYLSTVVEYAEM FFRRLELQVDGELYTDFGIGAMALHFSDIVSGEALEEVFVTFPVTLHGAEHDRLAIVV H257_08147 MGHVTPILSRLQPLLPPPRTSILTQPMHRRTSPLPTHTGPTITR SAPSTAKSMPTPASAPDPRPSSAALPPNQKRPCVTLWTTTYHPHTNCQSPSHDTLHGP LVPTTWISHSLLPSHQIHPIPHWAEKPAPTNGYIPTGYSTLGIVHNAVCWAQTRPTVP NPTERTIQTTIEDTLTSLAPTPPGQAHIHPTPHTGPRTRPPRPPVKHHHLDIEPDLDF SYPSFRLPPT H257_08148 LFEDELGDTLSPGAALDRLCSQETPDFSAQHHIQLALWGLFAMI SAPSIYSDPVKMAHATQTSLLVSLECSKLLLWSDDTLAEWVNSELGKIMVSSATMRPF SAAFQTFTSTNICSSP H257_08149 MLRMASLNWFKTDAQGSSFWSNSSCNTFERRNGCALLFSKSSPV QHLTDVTPLDLPEIAQNRYLVVKGNLDGIVIYIHVLYALTGDDPRKAEFFTALPTDFD GNSRHIICGDFNLVLNPTLDKVVHSHRRPTGLDALERWMSSLGVVDSWRLLNPDSRKH LFRLQNFQVQFKLARNTRLLSRTDFSGAPCWRLYTRSTFQENA H257_08150 MMLMLWGGLFKQKFSRELDRALDAKISHNIEGTWGVPLKAIRTF LYHLAGFGLKLDRAAMKIYHVGHALGTVQDNLRRVLPSLQTHALVILADETLSHAMIA SPSANGSTLTDASGIYSIEKPLASTK H257_08151 MCTAATKLSATLNFSQADFVDFKKTHSIEGTWGVPLKVIRPFLY HLAGFGLKFVRALVLGHGLELVEVANKLGDCAVVRWCWEKDDQRVAVEVVSPSLLIQK LVMSLGMIDLILGMKDLILGVTTNKKRHMARNIRHESACASHGVLVEVRQMEVVAAMV LERKAHDSLQRQENILKLHDIEDVVCCQSG H257_08152 MASSKGTALNQAVAHQLPTWGRPVGENTEKLRPSQLLHDLTAKS SKSMRASAPHITEFMKLEDHSTQLTTSVPIDRPLFEPTPGAILFDEYIPFNTHSAKLS LRNNDSVARRIKILPPDSPFFKVKKGNSHDKDGKIAAGMEISFLIEFLPQERKEYAHD LVCCTEREKFVVPIRARGTFAALSIPDEIEFGLCPVKIRCSKVMTVHNIGTKGAKFVF TTTPPFAILPQTVFLDIGAAIQMEIEFNPQHTREREGELEIRDDSGRASYVKLTGDVT NLEVYLSHPMVEPSPAYISLSSRKRIKICNGSDYPVEFSWKAYAGQKNEQSERDRLLG ELHRMELAEMDELARSVGATDGEPQVDDTATNNNPTLVDAIGILQRKYKNLRKAVGDD PMQFLDDCFHVEPATGKIWAHSETEITATFSPRTAALYSCTAFLDVSGRDTRLPLQIR GQGIGPKASILYDDLFDFGDVFINDPQTHDFSIQNRGEIPAEFDLLDVALPHGVDIQF APSSGVLGISDTAKVFLTFCSAVLGEISVNFNFKLKGSDELLRVRFRAHVIPPMFQFD TDKVEFGVVSFAFLQTKYVTLCNTSRIAMEYQLRIPQDGLYKHKEFTLTPSEGTLAPF GKQPICIDFTSVNVKQYEYFLVVSVKGVGSDLLNVPVTAQCFVPEVLVTRSELVYGEC FLRYAHYQTLSLTNTSMSLPAKYDFVEQDDHSKVVAAFIPDELGGVIPPSTTKTINVA LVCEKLGSIRLPMHVRIAGSIDLPLAVTLSAQGKGPLVEIDCDAIDWGNCSCLVDHPK SLFVTNKSLIPASFKTFIRNARSKFTVDKKDCVLAPHETVELVVTANLDDTVVFKEQL HILVLEGANILIPLNARGTGTTMWAPTNMSLIDFQFQMTHKQCEWSCTLENKGKRGQI LTWVNKTVKLAQLEMLQKVKALQKITTKASQVKTGQSTDDGPVPIFTVFPTTIELKPR TACTFFFRGFSSNPGMITEELVCETRIGQEKNPKIAFSTQICAQFIHPKMETSQPGST GMTFSYVYAPSVDIQTQTQPLVFTNVCELPLSFVLKTQVPFAVDMWEAVLQPAESVAL NVEFYPGYKDDHISRSINGKLVTSYSGHPQKDTMELKGDICFPNLEFEYSRIDFGSIL NDTQKSLSVKVTNISQVATAFHWVFIEDEKESRAAATAKRPYIPINQVFDILPIRGVL QPHETESVEFIFYGHANRKFKSIVACEVEGGPEYELTLSGEASSVSYRLDRPYIDFGP VVYNKSEDREFCILNLGKVAFSFAISLDKLSRSGIADVSPIAGRIFANDKQRISVRFR PGIPACMVETLWLEVAHFQPVEFKLYCHGIFASLSANLPRGTNHPNCSVHGVQPKWRE LLRIAKDNIEHPNVDAIPPAVAAACETTTLGLTRPNSRAPPPLRNPGGGGGGANNNNN GMATDRGSTSSRITGRTTSRFTDRVITSTARSTMKPMTSSPSKDSFFDPLSKMTNFDE LDVDTEACRVLFVQYLLKNEEKVRAAVSESTISATTQAAAAVPKALTVPTLSIAKPMT AAPSTTTLEAKKSKKVDSNEEGTDDDDTTAAKQSLTTTNNTGFILSQYILDFGNVVSG THRVKKFLLTNTGQVPTSFQIDKNVALAKGFSIEPERVVRLLEKKSIEFTVTFQARKN GRHGTHGANIFLEVKNGPCSLLTLKANVTVPDIAISQDSLEYGQVVVGRSLVMHTQFH NTSPVGVEWSLKKPIGSSRDVGYFRMEPQGGVLHPGTRCNVRIEFIPLEGRLYHVKVP VKINANPRTRAISCSGEGTELRVSFNPPMAELGPLLPFGTPVERHITMKNESDYAIEV YSLDFDHQYKDEEDVLRNASGYSDDELLRLPLRLPGEPLPPELSTSPSDSEGGGGVSL PTTTKRMKQEASDFIILGPPCSGKSTQAKLLGEKDNIPVWTIDQVVLAASRDESDVGK AVRRALKLPLLPWDLPPVEVPSPEDHVDVHDKKQKKKKEDEHDVTVEVPAPVVVVEAD VPFTWELLRDVLESRLSLDDMSNGSVLDGLDNSYLSIEDTYKAIAIALEGATILLLNF TDDMYESQVTEMIKACHDALHDLDMQTHTLDGGDSMAESGHNDPNKLERILDQETPVP SPPSSSPSPSPDDNHAVAGVGGPGDDVTRLHVLLDKLHFQLEHNTFEQYTQALPAFVD QLRLLTAPSTSMSFDLETTALSTSRSNLSQGSADEMSLPIVHPPNDDVEPPQPAPLST TDEAVVTSDQPLTRPKKVHRLLVLNEVTVTEVAPPLVMHGALHAAIEKFSRELQSSHL MVPAPVSYQLVKKPYSRSSRKPVVRFQIASDRMRWILPPRGECSFVVQFASNEVGTFD STLGFEIVGGRRELSLFCRAQCAVPTINSDPRNVFMSRGKAKLDGMVQKKYVLTTSQY EFGPLLIGKTPALRHEPVASEMYKAAKRTNAEVFRISNPCKYTCHLHFAFEKTTDVFF VEPTTFEVCEGDTAEVMVWAFPTAKGVVEDALVCCIADNPEPVVFPVTCVGCVPSLQL TGVPVVGDPPDKVIDFDRLLLNRREEKQFTVSNTSDIPVSWKLALTNCPGDFEFAPKE GVLKSNQRAIVGVSFTAAKEGLFSFPVDVEYSDAETGFHPQHMEKLLIKAEAYKIDVC SFEGNDPPGQTTATSSTSSGDHPADKMNGMLDFGLLRVGDAVTKSFQLRNRGKYDIKV LLSIKRHKDLFQIKPVEAIIPPGKVQSIDVTFQSSTEIALRDCKDIKCVIMEHLTGEA VHEFPVLLHCRAVFSKFRLQPARGLSFGSMRFNEDKKTKRLELRNDGDFAFKFRVQPY NEPLMAVDGPVDPKPLQIGQFTVTPNAGTLDPGRIMALDVGFQPVGASVFREMFRLDV SGRDVSNEAESSALLYELNGESCYPGINTTDMESLFEEQAVVRTFGLGDSSTTSHEFR PRNQPMFAHVEKLFDFGAIVVSKNVVERYKISNPTKVLASVNFTIQGGTGKEEEKEKV DEDANAFSVQPSVWDIPPHEHRYVNVHFKPTAMRMYRALFTASVVDGADPATSRLQFD VCGEGTMPCVTIESPTVRDPLTGVLTLAYGRVRVGKSKELPLVVRNDGIVPATVLFSL PSSTFSFPDCNNAVTMAPKTSQAFQVTFKPVKAHDSDDSPCVASLKLSVQYNPFEDTV VKLTGQGYREVVVLQDLQNDEEDAVHFEDVDVDYMTDDKPAEEKTFVLANHSANIVRF TWPTHHVNIKFVPTIGHVLAKSQKQIRVSFWPSRGQVPLHIQDKLALQLQKIKRSCTT DTSDWDDSMTVASNDQVSSTAEPAFEVDGLLNPLTLHVFAVADRAQYSCDVDSIQFKK TFMFQACTYKFTLKNPSKIRVGYSWSWLSLDSSSNDMNGPFTIAPDAGEIAGGDSQEF VVRFAPVEVHEFQYKLTGHMKNGHDHVIDVHGSSLRPVCHVDLEPSDYCARRALHLVG PTGELGPLDPSVRVVEMESLGVRVRNTKRFYVINPTNISYEFVWVSEGNVNPCFRCAT PKGLMLAGKRCEMVFEFTPQQMDLQEMFWRLKIAQFQLDQLFLFVGTTSEPRVLFDRG GVNFTTLLLGSKAVQSVYLVNDEHLPFNFAFDKGLGFLGDKPVVSLTPLSGVVPPHSR CAIEIEFAPVEEKLYNFNLNCHIKRKPTRLSLNIKGEGYAIHDVVVLQEDERALSHNV MEPNTVHRVDFGIVRVNETLRKSVVISNTGKFNFEFNLHWSFPSGSHPMVTVDPMHGT VRKNDKVTCHLTFAPTLETSLDAFQLVCTTAGSREYTLKLHGRSVPPAVEFSFVSHDF GACFIAEPDAKVPIVETVVLRIANQDAEMDISLDCAFEKRSHLRVQVQPTVLGPHEAL DVPITFIARTEGYIDEVIPFTINGTTVINVTIKGEGIFPKIELVGNVQQLVNFGTLQI GQSQSRFVKLLNRAKRKTTVELISPPNMDISLFPQHEIVLKPRETVDVELRFAPSRRI PAFQEELAMEVAGTRKKLVVLTGWGQGMDVQLDTETLGFGSVVFGSQLIRKVLLQNRG DLVAKFAWDVKRLGADFTIVPVDGVVLPNQDKQFDITFRPHKIDDDIRHDKIPCAIEG GGFASLTLTGSCVAMVESAMKELVFESKVRKDSTKDIVIENKTSLPWNLLPVVTGDHW RCAENLAVPAGGKATLPVVYCPLSMTQLATDTRERPKRHTGTIFFAVPDGSAINYNLV GTASEPEALDTINVKTQAKTSLAMKLPIKNWLKCAQVFHVRIENAHKSTFVQGADTIT VPPNAQRDYGLKVYAYIEGANDFKVTFTNTDTGEFLFYLVHVDVAAPGVIDTFVFHAP VRQSVKKVITIENPFPDSTPIPFDDSVKWWRCSSPCVRVRRLGELTGRTEGSFEVEYR PLLHVGDVPSDVDLSISCPQLGEYNYKLQLTTSPAGIERILYFNVPLGGCQTQSFRFQ TFVAKPCEFKCAVQQPTFFNVSPSVKVDASDWDGAECTVSIKFEPEALGEIRDTLVLV SDVGGEYKCTLQGHSVPPLPQGPFVFTATKEIEFKNVFTTAREFVFTVDNPAAFSVNT RQATIGPKSVKSVVVKVEDTTKPVVGKLLVTCPALVDLPPWVYYLEAETSDSKK H257_08153 MSTAPTLSGDALKEALKSQVEFYFSKANLINDTFLVSQMNSQLY VPVDVIVGFSKIKQLTTDVALVIDSIKDSKVCSSSPEGDAIKPNIKSERNTIILREIP SATEPKDVEAIFEGCGTVASVRSDVGDTWFVTMATEEDAVNTLLALRSKTFHDAPIKA RLKSENVLRSFLPDASAAPSATATPYQPTNGSIYGNTPYYNQAVPNAFGVQYAGRTPL TKPVKAAKAASKKAAGATPAAAGPTTPTNAKKSKKKKDVSTAPASKSVGKHSERQPIL NSANFPPLPLTSSNGADITYKYSHDDIMEIVKHMDDTDVLLPAGKMDFETHESALTPV AHPDLLKNQRTYSIEQAREALRQGRPIRSDSVGSVDYESLMYGEEYTKEARELRAAAA KDVPAKEVKVASSTATVVGGYAAALIHGTPVVSPKKAVKVVADVDVEASADKKDKAAP ATEKKTPKKKKDKAADAPPSAAPVVLTGAWGGRSFVDVVTKPKEGTSVEKEDE H257_08154 MMLCRRLVSPAAMRRSLASYTPSQRIFSSASRPILSSFQPPPSS FSPQEDHKPPSLLQDAVDHFNVALKDHTILTFGVMIASEMTFVFGTYTLLQLSGVAIS PEFALAYAASRPFRRLRMPLDLAAAAFLAKYFPELTKVKVSTLMPSLPPSSDPASSAS LLQRGLSEMNTVMDKYGACYMIGSRLVGLTVVSALYAALLQGLDILPILTRYGMGDIG SAVGTWAAAVTLSSVFYPVTLGMVGYVVPVVAKLTTTKSTPPNDTKV H257_08155 MGRTKKGNKGGGYDQPPTEVTLSKKKRGKKMQASKEQHFEEKKK KKEKLDKLRLQDHPKRRRHKPVGTVHDPIDIHHPSPDIDNNSDADSTESTYISIKESP VVKVAAASSASLTIAEDDLDTPWMKPGQRYRHSNVFLCLHDEILDFATFLSPTADEVA ARNTLVTTMQELVTSLWEGASMGTFGSLLTEMYLPTSDIDMVVLDGPSGKEPLYTLAR RVEELGMASYLEVVDSARIPIVKFVHTASGLSVDVSFGVTSGFATADLVKGYRLKYPA FRPLTLLLKYFLQQRNLNETFKGGVGSFLLQLMVVSFLQHKNRLSVYSTQGQDLGHLL VDFFDLYGNVFNSSDLSISVREGGAYVTKESKDWKNFSRPDLLSMENPHDTNHDVGAN SYEVWRVFKVFSHAAKVLKAEIWKRGTLHVHHDDYGTSILDRIIARDALLHDRQGPKV FGFAMSKPDDMKPHHHHHHRRHD H257_08156 MSLYRGEMITTQKIYTYPARHAPLLRLANMHVTWIGVGRYLTST ELLWLSLVSKELHGHVATLAALLVKESPSLAFLTQWTDDPTSSQLLLPPSLMWLRCVE LQYIKSLLVYATPLSSDSGECSPSSCVVLAKSFVLTCKKQCVKAEKLLKQGPKAYKSM ARFPKSAVKVANALSPLVERDIADVSDSLTCVHSSLRPLSLSSGHGKRMTLPLSQWKK LFPYFPKPVYGLGLGAVECERCVVTAEEDADAINLQKTHRLLTHASTSTLLDLVHRKH HYPPALFSPEISTFYLVPLKWTKVWRAYTKYKHHLPPGPIVNGALLCARHKRPVVPTS VGLFLSGASTSLTHFAGSIPGHSITNPLYEIVTAAEWEDLTGQYCVDVGVGFAVVQGA VHWQSPPCQMCAEAVESSRRTNPQNHQPQSNRRRPTTV H257_08157 MTATSAPVQHENDALLEDGVGFNEGMRRNRWLIGVLCVVIVAII WTFASVLVQYVFHDLGFSKPFFLTYVANSLFAVNLPLYYIGKKLGYVKTEAQASVRDT LWVSAVVAPLWFIANCAYNFSLNMTSVTSSTIISSTSSMFTFALSVFFLGESFAWFKI AGVVFCMAGNIVTVLQDGSSGTSDSFTGDSIALVSAFMYAVYTSTMRKYIPDDSSMSL SLFFGLLGLLNFVCLLPVVLFLHFTNVESLQSLTVEILGLLTVKGLFDNVLSDYLWAL AMLYTTPTVATIGLSLTVPFAIFSDLIFHAVSPSVVVIFASIFVIAGFVLINVGTFSP DGRIFQVEYAKKAVENSGTAIGINCKDGVVMGVEKVLLSKLLVPGTHRRIHAIDRHIG LAISGLVADGRQLVNRAREEAAGYKKNYGSPIPPQVLADRMSQYVHYFTLYGSVRPFG TSIMLAGRDVDTGKTFLNVIEPSGVSYRYRGAAMGKGEQAAKTEIEKYKIFDLTCREA IKYIAKILNVLHDEVKHPFELELSWLCEESNWQHQLVPGNIRDEATAWAVRSIQDDDI ADDADDA H257_08158 MWKLVAGLVAFRVFNALFVRTYFNPDEFWQSSEVAHHMVFGYGY LTWEWQPHAQLRGYAHPSMFALLYKALQLLNLDTAWTVAYGPRILQGIVTVVTDVFLY KLARTYFDGAAAKYALLCQLASWFIFFALSRTFSNSIETCCTTIALRYWPWHFLTGES KRRDDLVESSSMTTKQQTRKAFAFAALGCIFRPTNAVLWVFLTATLVVQTKSKLALLL HTIVPVGVLAISLMLVVDRIGYGEWTCVPWNFVKFNVLEGKDKLYGVHPWYWYFVAGY PEITATHLPLILFGGFLTQKRELAAVIVWGLCVFSLGAHKEPRFLLPLLPASFVYAGK ALLYLEKRTFFKPLLGLLILLNGIAAVYFARFHQRAPLEVMDYLVATVHPTDSVDFLV PCHATPFYSHLHQNISLWFPSCTPSEREAGSPSDALRQDPLAFATARYKSHPLPTYIV VYSSGASALHNSLAIWKFALQKQFDHSTLSLDADSPVADTHMLVYSNQMISP H257_08158 MFALLYKALQLLNLDTAWTVAYGPRILQGIVTVVTDVFLYKLAR TYFDGAAAKYALLCQLASWFIFFALSRTFSNSIETCCTTIALRYWPWHFLTGESKRRD DLVESSSMTTKQQTRKAFAFAALGCIFRPTNAVLWVFLTATLVVQTKSKLALLLHTIV PVGVLAISLMLVVDRIGYGEWTCVPWNFVKFNVLEGKDKLYGVHPWYWYFVAGYPEIT ATHLPLILFGGFLTQKRELAAVIVWGLCVFSLGAHKEPRFLLPLLPASFVYAGKALLY LEKRTFFKPLLGLLILLNGIAAVYFARFHQRAPLEVMDYLVATVHPTDSVDFLVPCHA TPFYSHLHQNISLWFPSCTPSEREAGSPSDALRQDPLAFATARYKSHPLPTYIVVYSS GASALHNSLAIWKFALQKQFDHSTLSLDADSPVADTHMLVYSNQMISP H257_08159 MSDDEGTRATRSRSRGKSPPVDGADNTGNSLYAASLPLRLNKVE FEEMFSKYGPLVSCDLIEDPITHESRGFGFVVYEDKRDADDALKALHDKEVLGKRIRV EKSKRSKPHAKSPGHLQIWALRVPVPRLGRRTDRGTETTVPAIGHGTVGLRLGIGRVT VETGRLGIGRVTDGSVRVTVMETAATTVHGTDPVTAVIEDAII H257_08160 MKVAAPLQPPPSPEIAANAKWHNRLGSLLSASKKYADAIAHFEQ ALVHAPRYAAAHFNLGSALVFDKGASMSHHIQRAVDHFRQAVDIQPHFPDAHVNLAAQ LYAQGHFADALRHATTAISQDPDNIHAYYNLNTIYRALGQQDVAVELCWKRILSALLQ PTTSRLVLSRPHDQQPEVVTHVHITVVCVKWGVKYGADYVNKLYRGVARHLKSVPFTF CCLTDDPAGIAQEINVRQLELGWVGWWNKAQVFSPSFGWRGRMLYFDLDTVFVGSLDD LAMYAGWFGTLETDAMENERRVGGINSSVMTWHADKATEAMYMFLHTNFAIVATCIYK FDHWLEMVLQRHDILQVQYPGQIVEYAHECQVQVPQNARLVCFPLEPKPHNATAPWVQ QEWS H257_08161 MQRKKSQVNNHQDSDDGGAAPPSNNVLRIKVISMGDGGVGKSCV IKRYCEEKFVTKYISTIGIDYGVKPVKINGSEVRVNFWDLSGQPEFLEVRNEFYKDTQ GGILMFDVSSRRTFDGLDGWLKEAAKYGGGKFPCVVCGNKVDKLRLVKEDEAAAWASS KGYEYFETSAQTGANVAEALHHLFHLVVARVK H257_08162 MESENAAEVTSRIFEAAKAGNLALVRELLPSAGYTELVALSVFE SQFDRRLGLLTVAVAYGHVDVVRELLQHSTIGLNDNHGGGGTALVVACDLGLEDMVTF LLEQKDIDVNLHMPLVRAVQAGNEAIVRLLCESPGIQVNALHSEPRRSRCGNSPTVGS ALHVAFARNHVGIQAYLMQRSDVDVNLPDEMGRSALYTAASQGNVAAVERLLAHPKID VNCKFEGGHNEVLDTPLHEATRNGHMNVVRALCAFPHTQVNALSEGGETALYLALKPR SRRERYCVVDPDDPDPDTTRETHEKAAQTLIANKRQIALVLLSHKDIDVNLAPKGKDT PLGFVCQGDVVDEVVVKLLENKTLDVNVQPGSSPPALWIVLKKLQAADVKTDDADLQT IRNATAKAAWAMLDLLLARRDLDLNVEIGLDDYTPLEFALYHDLRGVAGKLIALDTVN VNSKPDSDTSVLLTACKYGSEETVKWLCQRPDLQLNRTYAHGESALYAALTRACRHVN DYKFIQHAQTKKQAKDDENNTSSDSSGSDDDTATHENDEPTIESVLIVLALLARSDLD VNYTPPVSDTSVPAHDSNNCDGHTPLILACKSGLKSVVHQLCQRPTLQVNARGGEGDT ALMHCMNHPDVAKLLLARPDIDINVQNESGHTALMAAATVQGSDALEMLLARPEIQLN QQTTTGKTALHLATTCGYDMSPNVRLLLQHPHIQPDLPDNNGMTALEAAVTVTATRCV HAFIEHGVASHIYSLPYFSLNTIAPELTVESSVTLLLGDLPLRVEGGSVVRRHDHLHT WSQYLDIGTPVDKGVRLEAVQRLIRHPDLRHHPSVARELAMTTDREGRTVLQTTDAAT RDLLNGYLFFCGRYEIVDGPPIHISATAVVVHAFDHGLFKQLFDLHATGGRGLDRQAF VACSRVLSPNKNHEAAFDGASKDGPNLTEHEYLRHCSHAYGGSMRVAIKFMRHGADHA RELDARTHLDAEFVVGILPMADTSTFADHVRSLVLHGNLNMCEFPNVLVMPAADRSLE DIFLKERPDDTKIRPMMRDVALALAHLHGHGMIHGDLKKLNILRVHQTLQLIDLDAAT RVGDDIGSKFSSGILPPEMFVQVTSPTEVDTYETYWKHADRVEWWPKVQPRGHGGWVV RSFRGRAKNVPYQLVKASPAIDMWAFGCMLFQLYSEAELVPTDRNQDADDDAMDRAAT WTADALGRRIRSKVSNGLAADLIQRLLVVEPENRMGVRQVLDHAYFHGTDDASVLGIK LDQLQVQVARGFNAIGTKLDTVVDLTKENVNQLATAKRDLMRGIFQATEVTVPTSFVL LPFNLVVHDPHDETAEDVMVKTASFLQRVVDMGDKFMQAAKANKAIGATVRLVAPGDP LYLYLIDEVMGTPVSAGVYPIRIDTKSDEYVRFMTVAMPYIQTGFKCLNWANTAVGWL KVLGVPSLDSDVMTRVATSIESATKSSSVFDFDVLQAAVEAQDAGAPVEQIRGAALRQ LERFFQTFDATGDYAGLERTYASNGQVLWTTKDTIAKIELNRRENVAPKTQESTAPLK RQGGLTAQDIYLAMLKNETTGDVEEASSASSVRGRNDENAILSDEELPEAGCACRLM H257_08163 MTRDPTLMSSCSRELVGMAEPIAQLGSHVFESVDGSFGDAHVIR AVAVGGEISVKQCVLQVLKKLAEGFQIHHHGAFHGVATGWMSLATSSRAATLSVAPNQ HSSINWAIVFEPRRSARTAILVKTIVDDAFSLAENVWAMRIDALSILTVRNDQLRPAS IPRSAQAHKVVVHSSSKTPGLE H257_08167 MVRHLAKWDAPTFPWSTVALKVLHALSPSSQSFRPCQEAAVNLA QSGFSLFLHLPTGAGKSVCFQLPSLLQQRLNKVTLVVSPLRSLLADQKQHLTRLGLAH RAIFLSPGNVPGPNLSNDIALVYATPELLLQNSSAVRLLADLAATDRLARVVLDEAHC VLEWGNSFRPTYLEFAKHCRQRLPHLPVTFVTATASPEIVSSTANLFGLQLAPLPDTH TDHHPIGDTNASTLVVLQHMLDRPNLCLQVLPKTKSVLANMASLLKAREPAIVYVLSQ KDAEAVAAGLMAFGIQAQPYHAGLSDPARKRAQQLWTSGKISVICATVAFGMGIDRAD VRHVIHHSLPMSLSAYMQQIGRAGRDGLPATCTLFYAGGDRGRAAFVMSGGDGFLDAS ASHGMRDVVEMVTSMDCRRRILHSHFGNDMATLSDEEGCCRNCNCGESVVDEDEEATR SERRAAMTAKRPSKNLDVEWLYQRLLQDGRRRRGGGGGKANVLSRKTIERILASPPPS SVEELAAMRGVGPDRAEASFSMLVDHMQAKGRRE H257_08168 MSLTPSKCLLTLRAILLLVMFRISAIPNRTYEANRTWTGFGSTP HIPFLIMSLSLDKSADATFLRFAARFCGVKTSSAAHGEIRLKVGNVLLHQPNTIVRHF ARAADRELELTGQNALEQAEIAHYMDVATTLRGTDPINPVVHWEALDKALASKVYFVG NRPTLADATLFWSVHAAFQQSNARLAPFVNLRRWFNQIQHTVGVRGFPDVDVVAIPVP THVLLV H257_08169 MQASDPSTMLPFRRASYSSSDVWETSEPVANPLHFLYSDDEMFS DLLCPRCRAFLRPSLVQALPLPWKELPPDGDDAPCFYDATTHLVVWSPPAGCSAAARR VFAANGTIFAVCACRIPWERRRHILRHMRMYAIKYKEEVHVTLAAELRRVLARCKDDD DESCCRL H257_08170 MVLQLARAMGLPGTSIKPPPSVGHVIQVKSKGTMDLTAMVVSNP PPSTSTPLPVAATSPRTAKAHPTTNQTSFHLTPTAISATTSKPSASPLKLSDVEPHQT TKTTTVSSSVTTLTPFWEATATSENHHHQQQQQQQSVSKLLKRRPVTKLLHTDDVASP QPTAFTFY H257_08171 MVRFASGREDVSGSRRRGGDDSKVAFENDLYAWICGQRAGNGAV ISPPIVQQQALVVAKRYTNMNTFQASRGWYYRFCNRRLWPRCRRHHQPLTGNVTFFFV LFEYKVRTGPL H257_08171 MVRFASGREDVSGSRRRGGDDSKVAFENDLYAWICGQRAGNGAV ISPPIVQQQALVVAKRYTNMNTFQASRGWYYRFCNRYDMLSLITSSVAMDDNVTSQSP LATLSSSSPTSHR H257_08172 MSLHCDTTADEELVHIPDEILESPRAGVKRKGGGPRLTDAQRME ILQAIETAVEAQADNKNLLKPKIPTKRLADEYGVTPAAIRKLVKQKDKFLSRFETGRE DVRQSRRRGGDGAKIEFERELYRWVCGLRARNVTIVPSYIQQRALLVAKKYPNMDKFQ ASWGWYYRFCTRYNVTGGAISTAPASSDNHLMHLDDTSDGTLLSHMDMPMLVESAVAH ASSPSVAVPPLSKLTPLASAKPRKKPDFYDEKMYLDAAKMGDTHTLQSCLLKGTYIDS VDEAGCTALVLATQGGHFHVMKFLIEHGAKPDATDESGSTVLVLATKQGFLNAVKVCL EASARVDATDPNLKTPLILAAERGDLKAIKMLLKFGANIEATDEDDGTALIAAVKYGQ RDVVEYLVKKGANKQARHIDGLTPTELAQSLGHADLLSFDV H257_08173 MTLSVATARAQLKKNVKTSSTTPVVCICGGGNGAHVTAGYLASK GIRVHVLTRKPELWAEEIRITTKGSSWEDKGDIVGRLTMVTSSAKNAIPTADIIFVAA PANAHPAILEKIAPFLKPGSILGALFAQGGFDWAAKRALGSVALDSLHLLFGLQNIPW ICKATSYGKEAKIIGPKKCLYVAAYPVERKDVAARVMEDLFDIPCATVANFLNLTLTP SNQIIHPARYFAIFRDWDGKKTYSHAELAARRGLTLYADFDEFSAEQLSMLDNELQQV KLALLQRFPALDLSDVLPMDARVIKQYGDDVSDRSSLKAIFASNLGYAGCNTPLKEIS PGQFHPAVDSRLFWEDIPYGLCILKNMAEMLGNFPTPRIDFMIRWHQQFMQVQFLNGD NQLNPRELWRTGAPNKYGIHDIADLVETSLPREMHGYRHPRSRM H257_08173 MTLSVATARAQLKKNVKTSSTTPVVCICGGGNGAHVTAGYLASK GIRVHVLTRKPELWAEEIRITTKGSSWEDKGDIVGRLTMVTSSAKNAIPTADIIFVAA PANAHPAILEKIAPFLKPGSILGALFAQGGFDWAAKRALGSVALDSLHLLFGLQNIPW ICKATSYGKEAKIIGPKKCLYVAAYPVERKDVAARVMEDLFDIPCATVANFLNLTLTP SNQIIHPARYFAIFRDWDGKKTYSHAELAARRGLTLYADFDEFSAEQLSMLDNELQQV KLALLQRFPALDLSDVLPMDARVIKQYGDDVSDRSSLKAIFASNLGYAGCNTPLKEIS PGQFHPAVDSRLFWEDIPYGLCILKNMAEMLGNFPTPRIDFMIRWHQQFMQVQFLNGD NQLNPRELWRTGAPNKYVHPDVLCVLSFFYPKFGCLLC H257_08174 MTELDTLKARLREAKDKLTRRRSKGAEGGEDAHMMGHSKLWNLK LQMAMEHNYALEQRLAWEIETRNLVEQYTRQQIRQLQEILECLLRQQYQQQEQPSQCP PLSTTTASPVPRTETHRHLPFMTSSTQPNSLSPLLTEVMHVQLKFAETMQSLNESVQA RESLLTPPPSTRRQDNPVDDDEDTDDDMLPMATYTHFLDKDDTPPRMHQNASASYTSP SPRPPTTFTSPIQDDVSSSLLFTSPLLGQDSVTPPTDDSKRSVHFMDDLSTPTVGRAI SFHDCADDDDDSNDNMQQHASPPAIPSPVTSPHREGRHAAPSSESSITSSALNRSSFM ADFERFRESLKIVASSTSTPAPDQQPRAPLPRTSSTRSAYYPNPVVSTHSYSKKAEWS LEVQRLCTERALLQLEQRGTPTQLKQLDIEIAYARSQVVKFT H257_08175 MTKDSTPHVVAKPAAPTGRKPRQVKPKGGDAKKPVAAEPAQDVA VEVATSHPTVASNTPSAPVEQATLSPTFLSPVSKLDLAREIAAKEQAERVALASARRV DEARRKSLKRKGAEASADESGMPEKVPKLHQDDVEVLESTTVVHSTTTTLTHRRPLKQ IEQNQGESATAYALRAANIRKDERSHHVTRTEDDVENHPHNEPPVQTPVVAPTAYELK LQGVTTANIYEHTTEEVEFVNSVVKDTTTRGTSKLEEAAAAATPVEAVDDATSNSRFY VHLAVLLVVVFTVLLTLTELYVSQLPFCSFPATSDLSNCRVCPDHGVCIDGVLTACDN SLYIAVDDTCKLSADIKRDSNQMAATISAHLTTVATAAYCREPFWNRVLQVDWALPLQ PVDVAADAVTVDLAALESQFRTEPLWSVVGPRTYAISFKKAVQKLNVTTPVLVVSVAN ATPLCQVQTALVEYFTIWVSAVLLAFGFYTMYKDQKQSAADAALLHEMLLVVQEELIV HTQDKGYPAAYLKNHVVDVLKLTKADAQRVESVLWPKLTKQVAADDRIRVTSEDGGAL LWQWALSASTDEQATPSTSEPIVGTAAPVAQVA H257_08175 MTKDSTPHVVAKPAAPTGRKPRQVKPKGGDAKKPVAAEPAQDVA VEVATSHPTVASNTPSAPVEQATLSPTFLSPVSKLDLAREIAAKEQAERVALASARRV DEARRKSLKRKGAEASADESGMPEKVPKLHQDDVEVLESTTVVHSTTTTLTHRRPLKQ IEQNQGESATAYALRAANIRKDERSHHVTRTEDDVENHPHNEPPVQTPVVAPTAYELK LQGVTTANIYEHTTEEVEFVNSVVKDTTTRGTSKLEEAAAAATPVEAVDDATSNSRFY VHLAVLLVVVFTVLLTLTELYVSQLPFCSFPATSDLSNCRVCPDHGVCIDGVLTACDN SLYIAVDDTCKLSADIKRDSNQMAATISAHLTTVATAAYCREPFWNRVLQVDWALPLQ PVDVAADAVTVDLAALESQFRTEPLWSVVGPRTYAISFKKAVQKLNVTTPVLVVSVAN ATPLCQVQTALVEYFTIWVSAVLLAFGFYTMYKDQKQSAADAALVLCFFSPKCGLNHC QFHRSILYTDFCM H257_08175 MPEKVPKLHQDDVEVLESTTVVHSTTTTLTHRRPLKQIEQNQGE SATAYALRAANIRKDERSHHVTRTEDDVENHPHNEPPVQTPVVAPTAYELKLQGVTTA NIYEHTTEEVEFVNSVVKDTTTRGTSKLEEAAAAATPVEAVDDATSNSRFYVHLAVLL VVVFTVLLTLTELYVSQLPFCSFPATSDLSNCRVCPDHGVCIDGVLTACDNSLYIAVD DTCKLSADIKRDSNQMAATISAHLTTVATAAYCREPFWNRVLQVDWALPLQPVDVAAD AVTVDLAALESQFRTEPLWSVVGPRTYAISFKKAVQKLNVTTPVLVVSVANATPLCQV QTALVEYFTIWVSAVLLAFGFYTMYKDQKQSAADAALLHEMLLVVQEELIVHTQDKGY PAAYLKNHVVDVLKLTKADAQRVESVLWPKLTKQVAADDRIRVTSEDGGALLWQWALS ASTDEQATPSTSEPIVGTAAPVAQVA H257_08176 MRKQPPSTPAAVDKARRDALEAQKKRTDDSIARRTATSHSSSAA TSRPKLAAASAKRKQIQTAAFQSPLKRHKPSSSTSKFVPTAKCVRCHVRDEFPRTKCL LCSASVHLACTTPVARRLGIDAFPNNGVYCSIECYTEDTGNAVPTTTFTTTAMEPTTT TKHAARPAETPVAALPPTPTVHGRASSASGAFVEGDAITSAILKHSGVKAPRPLELPP RSFSRQHPPPRPPSPERKTLQFQSISPIKTSPVQQPSSSTQKKGASIELADHPDERVR YVHNSSNHHDTSPSIEDDARYHDYFNPPRFLPRTTSPSTSGASCSSLSSVSKWSAAAI LVGLVSMAAVLLAGLYMDSIPFCDSNDTTNTPGWCQPCPDNGICFSGDLQSCKQPYLK VERACLEPSTVTRDADLMTHLLPRFLVKRASNVLCNQSLLATWQHQGTAEKTSTTSQV VTSAFELRNYLLHQAMWDNVDAAVFDVTFTKAMRQLKQGHPEYYYTSDGDVVLGRDDV DLWCALQLHVQDYVQIYATLLAIGLITWAIVTWHRRRRASKSQIKRLVHAVHVALKQP SNDLVDGAVSHLRHSLWGGKNDRTWQRVVQAIHQDARIRERYIPSRAASTHLGMDWHK TRRPQYLAGATGGTGRVTSVVNSLDSIGSHENYI H257_08176 MRKQPPSTPAAVDKARRDALEAQKKRTDDSIARRTATSHSSSAA TSRPKLAAASAKRKQIQTAAFQSPLKRHKPSSSTSKFVPTAKCVRCHVRDEFPRTKCL LCSASVHLACTTPVARRLGIDAFPNNGVYCSIECYTEDTGNAVPTTTFTTTAMEPTTT TKHAARPAETPVAALPPTPTVHGRASSASGAFVEGDAITSAILKHSGVKAPRPLELPP RSFSRQHPPPRPPSPERKTLQFQSISPIKTSPVQQPSSSTQKKGASIELADHPDERVR YVHNSSNHHDTSPSIEDDARYHDYFNPPRFLPRTTSPSTSGASCSSLSSVSKWSAAAI LVGLVSMAAVLLAGLYMDSIPFCDSNDTTNTPGWCQPCPDNGICFSGDLQSCKQPYLK VERACLEPSTVTRDADLMTHLLPRFLVKRASNVLCNQSLLATWQHQGTAEKTSTTSQV VTSAFELRNYLLHQAMWDNVDAAVFDVTFTKAMRQLKQGHPEYYYTSDGDVVLGRDDV DLWCALQLHVQDYVQIYATLLAIGLITWAIVTWHRRRRASKSQIKRLVHAVHVALKQP SNDLVDGAVSHLRHSLWGGKNDRTWQRVVQAIHQDARIRERYIVHRGQQVLIWEWIGT RRDDRNILRGQPVELVE H257_08176 MRKQPPSTPAAVDKARRDALEAQKKRTDDSIARRTATSHSSSAA TSRPKLAAASAKRKQIQTAAFQSPLKRHKPSSSTSKFVPTAKCVRCHVRDEFPRTKCL LCSASVHLACTTPVARRLGIDAFPNNGVYCSIECYTEDTGNAVPTTTFTTTAMEPTTT TKHAARPAETPVAALPPTPTVHGRASSASGAFVEGDAITSAILKHSGVKAPRPLELPP RSFSRQHPPPRPPSPERKTLQFQSISPIKTSPVQQPSSSTQKKGASIELADHPDERVR YVHNSSNHHDTSPSIEDDARYHDYFNPPRFLPRTTSPSTSGASCSSLSSVSKWSAAAI LVGLVSMAAVLLAGLYMDSIPFCDSNDTTNTPGWCQPCPDNGICFSGDLQSCKQPYLK VERACLEPSTVTRDADLMTHLLPRFLVKRASNVLCNQSLLATWQHQGTAEKTSTTSQV VTSAFELRNYLLHQAMWDNVDAAVFDVTFTKAMRQLKQGHPEYYYTSDGDVVLGRDDV DLWCALQLHVQDYVQIYATLLAIGLITWAIVTWHRRRRASKSQIKRLVHAVHVALKQP SNDLVDGAVSHLRHSLWGGKNDRTWQRVVQAIHQDARIRERYIVHRGQQVLIWYTKAM HTD H257_08176 MRKQPPSTPAAVDKARRDALEAQKKRTDDSIARRTATSHSSSAA TSRPKLAAASAKRKQIQTAAFQSPLKRHKPSSSTSKFVPTAKCVRCHVRDEFPRTKCL LCSASVHLACTTPVARRLGIDAFPNNGVYCSIECYTEDTGNAVPTTTFTTTAMEPTTT TKHAARPAETPVAALPPTPTVHGRASSASGAFVEGDAITSAILKHSGVKAPRPLELPP RSFSRQHPPPRPPSPERKTLQFQSISPIKTSPVQQPSSSTQKKGASIELADHPDERVR YVHNSSNHHDTSPSIEDDARYHDYFNPPRFLPRTTSPSTSGASCSSLSSVSKWSAAAI LVGLVSMAAVLLAGLYMDSIPFCDSNDTTNTPGWCQPCPDNGICFSGDLQSCKQPYLK VERACLEPSTVTRDADLMTHLLPRFLVKRASNVLCNQSLLATWQHQGTAEKTSTTSQV VTSAFELRNYLLHQAMWDNVDAAVFDVTFTKAMRQLKQGHPEYYYTSDGDVVLGRDDV DLWCALQLHVQDYVQIYATLLAIGLITWAIVTWHRRRRASKSQVRPPSNYTCYVLVL H257_08177 MNVPYDSAVQFTRHQDLQVSVFHNTAVYLGNVAATPKFVHLTPE NSRRWRALAAWFRVVAYGRDGHAEIIRRNVECARLLASRLSELTNQVTVLAQRSAVCR RGRRSQNARVAPAVDGHVHRLPHAHRRPRGLFQLGHYGT H257_08178 MHPTLAHDLETLLDIASAAQAIALEFLRNVEAVPPARTPSKAPD AIAATDASVGIGAEGALDLFRTQWLPHLAGSAGPRTPASLVGDWLTSTVDQNPTSNWD SEAPSLERETVSSLANWFRLPSHTACPTLCRARSALEHLQRDVHAGPWPVMRSRRSEL AGREAIDVDALDAALTEACHGTSCIVVASAGTVNTGDFDDLVDISRLKKKHLFWLHVD GTFGAGLDPRARMDETDSVCNRLP H257_08179 MILLSGFDVDDEVLLLHATPEVPSQLLRRPFTDLQAWDRYVHKH TPLIDDRLPTRRFKTLMTLSWTRQDLIDGKHLHLKKNVSLCETWIQSTFRRLPLDMQR DFIDPEAYEARRRVAADRVASTKAAKDFGQFFSPDSSIDILLDIVFAIPIPVSSALFL EPSCGNGNFFRPLVERGAKRILAYELDPSVATVASAVDVPGTHVICGDFLTSTKPDSD DVIVVVGNPPFSSSLHDDVILSFFRHCVDTWQPAVLAFILPDRCAKPAYVEVIEVTLS RGGYVIHRPIVAIPDSHFDFHGRRINKPSVVLVCVKQS H257_08180 MPAMRRRTWWTDPFVVANAVIAVVVLFCSFVHPTKYVRVQGACS STWVDVGHPSKEPICCDTAGAGGPCYSYMRELHTLTTGQAAWTLPLAVLLLNFCTAMF LPKVSMRHVTALFSRLTLYFLVMTFRTLVLYVFFNRIERALFPRPATCWYADLRRDHK CIDGFDHADHIVLYMVHFVSISCFEWKALGMEATHPLKRILLRVWLIAVITVACYGIY HTAHSFHSAWESVVGMISAQLFVMVPLYLLTQDSWREIHPALKLSHFVCQQ H257_08180 MPAMRRRTWWTDPFVVANAVIAVVVLFCSFVHPTKYVRVQGACS STWVDVGHPSKEPICCDTAGAGGPCYSYMRELHTLTTGQAAWTLPLAVLLLNFCTAMF LPKVSMRHVTALFSRLTLYFLVMTFRTLVLYVFFNRIERALFPRPATCWYADLRRDHK CIDGFDHADHIVLYMVHFVSISCFEWKALGMEATHPLKRILLRVWLIAVITVACYGIY HTAHSFHRYQIPIMSDHLSHPERIVRGRALWA H257_08181 MAEQGVDSYDHLFKLLLVGDAGVGKSSMLLRFTEDTFDDHLQST IGVDFKVKMITVDGKRIKMTIWDTAGQERFRTLTSSYYRGAQGIVLVYDVARRDTFAN LDTWLQEVEVYSPANGRDVVKLLVGNKIDKERAVSRREGEAWARSKGMLFVESSAKTK TGIQQVFNEVVQKILDNPSLLSNTAPKNRAAKLDLTDTRPSASTGCC H257_08182 MGPHVGQWSGWIYKQGSRVKTWKKRYMVLQGRHVTYYDKSVLEP NPTEKGALVLVDVTQNFAIANGLFLHDNKGKQMKIYTDTTAEFQDCFISMQRVCRGDA PPSCSPQTQPPAMSTSSPSSQRFTLSSQCALPVHSYRPPSGNPEPVQLARPVSRSTSE RIPARAAADVRFAPPERALSDRVERIHLSSDAPPPPRASGPKLVMLSSSQSSNDHSQR IRSTNNVVGRKGVLRGWLYKEGQHVRNWKRRYFVLTGDHLAYYGKQGEAAKGDGRVVE VALNPQRAFGLLVRLDSDRILRVAAESEDDMERWQSGLRAALKNQEYLERRISSSVRY AGYLHKKGSNIKTWHRRWFMLTGLNQLGYCDNEGDTPKGYGKVLDVCANLKRAHCLYI HLDSGRRLSVAADSQDDIDGWFAVLSKVAAAVAQTCPSPVVNTPTSCAHHRGWLQKEG LAIKSWKRRYFTLHGRMLVYYKDMEDGGHAVGQGLVDAAAEGTSRPFAIDIHFETGRV LRVAANSERDRAAWLAALRRDHQTGGGAGPSLLAAPSATGPLEGWLEWEGARTFFTLT GSRDVTWFANPNGLVLGKGTLQDMRVENFQDFVMHLVFANAASIRVKAETTDELAMWR QGMTMAIKGRQAGVHSSAGTTTSLTSAAFSRRTSCMMTQEGWMLKQGKQVKSWKRRYF VVHGTDLAYYKDELRGTVLGQGTFVHVKPNPDKPWSLVIILNTGRLLVVALSSEHDEA TWLHALQSALQPPPDSQSLEPHISVAAVAAQVAPVARRGDRTNDNEGDKVEDIYNTHL SSTDSLEYFDDNDFEDELDSDMGDNGSMSSSDMDGRAAKGTTGDYDSDGLSSLSSMSD FED H257_08182 MGPHVGQWSGWIYKQGSRVKTWKKRYMVLQGRHVTYYDKSVLEP NPTEKGALVLVDVTQNFAIANGLFLHDNKGKQMKIYTDTTAEFQDCFISMQRVCRGDA PPSCSPQTQPPAMSTSSPSSQRFTLSSQCALPVHSYRPPSGNPEPVQLARPVSRSTSE RIPARAAADVRFAPPERALSDRVERIHLSSDAPPPPRASGPKLVMLSSSQSSNDHSQR IRSTNNVVGRKGVLRGWLYKEGQHVRNWKRRYFVLTGDHLAYYGKQGEAAKGDGRVVE VALNPQRAFGLLVRLDSDRILRVAAESEDDMERWQSGLRAALKNQEYLERRISSSVRY AGYLHKKGSNIKTWHRRWFMLTGLNQLGYCDNEGDTPKGYGKVLDVCANLKRAHCLYI HLDSGRRLSVAADSQDDIDGWFAVLSKVAAAVAQTCPSPVVNTPTSCAHHRGWLQKEG LAIKSWKRRYFTLHGRMLVYYKDMEDGGHAVGQGLVDAAAEGTSRPFAIDIHFETGRV LRVAANSERDRAAWLAALRRDHQTGGGAGPSLLAAPSATGPLEGWLEWEGARTFFTLT GSRDVTWFANPNGLVLGKGTLQDMRVENFQDFVMHLVFANAASIRVKAETTDELAMWR QGMTMAIKGRQAGVHSSAGTTTSLTSAAFSRRTSCQELETAVLCRPRHRPRLLQGRTA RHRAGPRHLCARETQSRQAVEPCDHPQHWPAAGCGTQFRARRSDVAARVAVGVTTTTR QPVT H257_08183 MKAVQCPICYDPCYDPVQTRCGHKYCRPCLSQWTAEGHTSCPTC RGFITPPKTDPELASPSLGRYVAIHFLLGLHWLNPYGIGLWYYGGCHGFGLLALLPLM CWSGRFDDVISTWWDLVVHALIILVTASIAIQLLWSLGLYYTLDWIAVSFCVCFLAQV IWHRLVCPIRYNPFEQYGHTKAVQIRPRVSQRG H257_08184 MTSSATSAVECAICYDTFYFPFVTSCGHAFCHQCLTDWTSGHRQ STSCPLCRSPIPRVDGEPRHASLHVERYLMLEVVIKCIDMWSNVFLVAMAAYASLAPL SSLWTPTVVLILCLFIARVYCMGSTPVLLCGMACQVHWRSVLHTVATRLLHVHNMVYS RHIVPRTYVITRQ H257_08185 MSGDGDFHAAAARVLNAQTTHKHLLARHDMQLYGLHQQAQHGDI STERPRCPINYDGKAKWDAWNDRRGMSDAAAKAAYVQLVHSILPEVAAPSTSTASGSS DRPSTTRRMRRYKPQAAGHASLPRLASLMLGSIAVLLLSTAAIIALSRWITNACRPLS SSVCHVWLSSHSVRVAMGWVSIGIAFGTLLQTNLLRSNILSVLYYVNLPISSSSSSSQ HPPPSPELDLLASLGLVLGRVGTATADHPVMVFKPHQGSVVLGSARAIMEHPIEITAD MHFRRFREPFPDPAQPGLMGIELVEEVPLPQWQCVYRKRKLQLKNNTPGFIRRFANAE YFDVIEESLWDKANQVLYVVGRNQSFAHLVLIEDFLLFRRHDDHDHWSQVTQTGACTV GGSFGFLRGTVEGFVRESYGKSVKKAQEHLVDRLDEECGARTSSMSTT H257_08185 MGRMERSTWDVGRGSQSGVAAPSTSTASGSSDRPSTTRRMRRYK PQAAGHASLPRLASLMLGSIAVLLLSTAAIIALSRWITNACRPLSSSVCHVWLSSHSV RVAMGWVSIGIAFGTLLQTNLLRSNILSVLYYVNLPISSSSSSSQHPPPSPELDLLAS LGLVLGRVGTATADHPVMVFKPHQGSVVLGSARAIMEHPIEITADMHFRRFREPFPDP AQPGLMGIELVEEVPLPQWQCVYRKRKLQLKNNTPGFIRRFANAEYFDVIEESLWDKA NQVLYVVGRNQSFAHLVLIEDFLLFRRHDDHDHWSQVTQTGACTVGGSFGFLRGTVEG FVRESYGKSVKKAQEHLVDRLDEECGARTSSMSTT H257_08186 MMTMDAPRRNSSLSYSVLHAADVNGGRSVTSKEEIHSMDLARQL ADSPPPSAPPPLRPSAVPSSTSSKDRYAPWLKKALGKMHHAEALWHTHRPPSATSVGA NSHSPPPPHSHNKSLGHQGNPARPLPPPPSSSSSSVQQPATIWTAVHAGNVADVRRFL DLDRHIVFRHHEADGGKTLLQVACWHGHVHVVMFLTMFVQATFGLDALTAYVNAIDTA YSRSSALLDTCRSLKGDVNAKLKILQLLVQFGAVVEHQDCHGDNALHWSARMQALPTT RFLIQDTDAAVYALISENHKRQKPLDVAKLARDAKPSMVTSAIFDLLSRVHRDCNVRL KIQYGKKLRLHAEAEARARRVDDVTHAADSARMLCHSADQMWTMALEAAECVRNDMEA KVLDEGGKDAVGRARVWLETKEGKAWVKKEAPDAIEAIKSLVHKGVVPKPRDLKKAAA VRVMEEYVLGQETNMRDLIKKKFGREHPAFESRDVEYYKRVVHNGGAR H257_08187 MQASPAPQYGLCVPAGSYLQTTAPFPIPSKDAMTWECVMKPPRL PRVAVWLLVHTRFAVGIFKGHVVLRVDTQVYITSTACATENTTNHWAGTFHTAQDVPL LFCNGEHSTSLDLLRLEQVDGLIHVDAAADLAEPCNSLDTFPLRIGWTSKPNRLRDAG VHLVVSELRLWYGALPLDTLSTWKLREVTHTHPYIAKLAAYWRLNNQSRVRYQLDSSG LGHDLHLLGFDDDVHWVQLLPVHVRAHIPPAVHLHFHQQPQTTTAPSSLHRQHMTFAV DIIADAVPTPSKSHIVLVLATPFASLSAQEVHRVALGMQQFIALVPSHTDVAIVTAQG SLLQWRRMDPLGKREATKVIQATLHATTTSTTTHVPSTQSTDSINHAMETAFELVAAE CHHVANTSVFWSAAVVACDDVPAMPRWSDRLRYLWTLVQHVTRVSLISLTPNAVVENE PKSMGFDHHNVVAYSFAMDDIEWVLSDVLFKCMHVVCHSVRVEVVATANDVTDGKLKL TLSTKPYDVRAGRSTCTTAATSDMPSNIYTHSVHEHVMDIGVLTSSDHLHFSGSFDWT KPTGQSVEVHVSYIVCGSVRAATSYVAIPPYAMVQQLTEQRPCHEYTDIAAAATRTGA RRADAMYQCRKAYLEHVEDMDTSNAQKQFEQLRSAKEVFSKQFQSISDDVVGFVHEMD IRTLVDECRTPQETPQKPPSAEKFAFQGTAVGDFAYDLSTRSTSRTEWVEPLALVHAK GCIDDADVEFAQAAKGLRLIDDDLKRTDNVFITAIEIDVQLAAWVSRLRETTRMRSDI APLLLQHAIGAKSVLGRRMQDRRELRTATDVAEINRVVPELVGRLDARRGADDAAVER LEPVVAAEMAGFVQLQFAWAQARFTEVKRNQYIPPTLVPSATFVWCRCERPSVWNALQ ALVFALRTGRKRGQPDVPLCPPVHSHDRPLWLPDEQHLTMIDQMEGVADDKDEKDVMG GAPHKRNADAWQLCAQVLFITSSCTTDTVAVETAARDAKSMGKPMWHIRLDDGGGKGT MERPPVVPPISAATPCLPRPWNTVVLAALDTSFECDDCRTMSPQMLLLQPCYMCSFHR PATSADFAAFVDQVSEMLISQPSSTAPSSSFSPSSSCPSTHLPPPLFQLRKSHAERRW LQQKAKHVELANARASSVCQLHQHIFTRYDQDMEDLLSVQAQLGHWNQSTQQHRDRTV LSLNVQVTGLDVEMAAASARLVWLSDQLLCVEEDALGRIRQSSDVAVATGSLQPHRAG LAYLYDIGVLYPFVNAQWYATLQASMVQEAEIAFIKQLIALELTQATTVLACISTWQA TVADMLKAYLRSPSTDAATLYRIALSSVMTFVPSAAPCNFDGDWLQRAVHDMQLHEKY CLDQMQDGFVQAKDLHSIETQLEQLYAAKQRFLDTYHVRSDVAPLCAVELPAAVLRDA AWTRPDPAMELGEAWESLHVMLARVQDQVVSRRKTYLHSQCCCLYLQSVVALAHKAWV NQCLAVLNATSAGLRAAVHALDETSGPRQDAERSFRSAKEDLASCRHKLRCCFEAHRR SHDMQLAIRDSMLHLRQILTTHTKVESVARLASLKTTKYLWDQLATYQSESFASVFED AIERAEGLVGAKEAAWKAAVWVHMGASVRVQRWQSSLHAVLHLVLDLETKRQRALELD LHLHAGVADDLHQNGGTIPAPIIADVLVVDSTLIESSMLRLELQKQTRLTQRSANRVR VLERMCRQETELLQIGQEEAIRKTKLNDLLVHRCRFLDRVLTQLNQVRKSYPPSDIPV ANAFRTLHEHMHTASDASWRRCHAQAAAFAEENWLVQHRKNVVLAVQSLLTAAIDAHD GNKATLDDLVRTQLAMVDGIGSNPEQLAPKRPMDAMHLMLFLNASASATWARQVNLKR TVLQEAMYIKRTDKLEWFKVDPNCPEYVAARAAYFAAEGDLIVNRLDIKRADADADYS HQQCQQYILTRNADSVINHSAVHSFGSVNPAPALFDIPLMQLWGAFDSITIHKRVELE RQLRHLALSVDLRAADVDVHEAILGGDLKSKDVAEVYGRPKRLAGGQFASLMASFVSA VRTAKFIRRLIAHKHAIQQKNQIFAAATDRLRDVQLLAALVAIPCKLLTHATVMTVIG PFLRDSRRHGAASTFARQLVCSTINWTLPRVVRWRDSILRHQALMRGLAGVVDAPSSA VDRKRMHSEFVAFALQHESYVPPHIDPDSVAIVVRPARSSTSHWTIEVTWQPPPPRPA TNDVVVLYWGRWDSSNNSPETNEWLWESVVCAPTAHRHTLPAAVRPDGICIGRLVVVA GSSRSNPISDVFYIA H257_08187 MQASPAPQYGLCVPAGSYLQTTAPFPIPSKDAMTWECVMKPPRL PRVAVWLLVHTRFAVGIFKGHVVLRVDTQVYITSTACATENTTNHWAGTFHTAQDVPL LFCNGEHSTSLDLLRLEQVDGLIHVDAAADLAEPCNSLDTFPLRIGWTSKPNRLRDAG VHLVVSELRLWYGALPLDTLSTWKLREVTHTHPYIAKLAAYWRLNNQSRVRYQLDSSG LGHDLHLLGFDDDVHWVQLLPVHVRAHIPPAVHLHFHQQPQTTTAPSSLHRQHMTFAV DIIADAVPTPSKSHIVLVLATPFASLSAQEVHRVALGMQQFIALVPSHTDVAIVTAQG SLLQWRRMDPLGKREATKVIQATLHATTTSTTTHVPSTQSTDSINHAMETAFELVAAE CHHVANTSVFWSAAVVACDDVPAMPRWSDRLRYLWTLVQHVTRVSLISLTPNAVVENE PKSMGFDHHNVVAYSFAMDDIEWVLSDVLFKCMHVVCHSVRVEVVATANDVTDGKLKL TLSTKPYDVRAGRSTCTTAATSDMPSNIYTHSVHEHVMDIGVLTSSDHLHFSGSFDWT KPTGQSVEVHVSYIVCGSVRAATSYVAIPPYAMVQQLTEQRPCHEYTDIAAAATRTGA RRADAMYQCRKAYLEHVEDMDTSNAQKQFEQLRSAKEVFSKQFQSISDDVVGFVHEMD IRTLVDECRTPQETPQKPPSAEKFAFQGTAVGDFAYDLSTRSTSRTEWVEPLALVHAK GCIDDADVEFAQAAKGLRLIDDDLKRTDNVFITAIEIDVQLAAWVSRLRETTRMRSDI APLLLQHAIGAKSVLGRRMQDRRELRTATDVAEINRVVPELVGRLDARRGADDAAVER LEPVVAAEMAGFVQLQFAWAQARFTEVKRNQYIPPTLVPSATFVWCRCERPSVWNALQ ALVFALRTGRKRGQPDVPLCPPVHSHDRPLWLPDEQHLTMIDQMEGVADDKDEKDVMG GAPHKRNADAWQLCAQVLFITSSCTTDTVAVETAARDAKSMGKPMWHIRLDDGGGKGT MERPPVVPPISAATPCLPRPWNTVVLAALDTSFECDDCRTMSPQMLLLQPCYMCSFHR PATSADFAAFVDQVSEMLISQPSSTAPSSSFSPSSSCPSTHLPPPLFQLRKSHAERRW LQQKAKHVELANARASSVCQLHQHIFTRYDQDMEDLLSVQAQLGHWNQSTQQHRDRTV LSLNVQVTGLDVEMAAASARLVWLSDQLLCVEEDALGRIRQSSDVAVATGSLQPHRAG LAYLYDIGVLYPFVNAQWYATLQASMVQEAEIAFIKQLIALELTQATTVLACISTWQA TVADMLKAYLRSPSTDAATLYRIALSSVMTFVPSAAPCNFDGDWLQRAVHDMQLHEKY CLDQMQDGFVQAKDLHSIETQLEQLYAAKQRFLDTYHVRSDVAPLCAVELPAAVLRDA AWTRPDPAMELGEAWESLHVMLARVQDQVVSRRKTYLHSQCCCLYLQSVVALAHKAWV NQCLAVLNATSAGLRAAVHALDETSGPRQDAERSFRSAKEDLASCRHKLRCCFEAHRR SHDMQLAIRDSMLHLRQILTTHTKVESVARLASLKTTKYLWDQLATYQSESFASVFED ASELESQMEQMSREQELLTVQVERAEGLVGAKEAAWKAAVWVHMGASVRVQRWQSSLH AVLHLVLDLETKRQRALELDLHLHAGVADDLHQNGGTIPAPIIADVLVVDSTLIESSM LRLELQKQTRLTQRSANRVRVLERMCRQETELLQIGQEEAIRKTKLNDLLVHRCRFLD RVLTQLNQVRKSYPPSDIPVANAFRTLHEHMHTASDASWRRCHAQAAAFAEENWLVQH RKNVVLAVQSLLTAAIDAHDGNKATLDDLVRTQLAMVDGIGSNPEQLAPKRPMDAMHL MLFLNASASATWARQVNLKRTVLQEAMYIKRTDKLEWFKVDPNCPEYVAARAAYFAAE GDLIVNRLDIKRADADADYSHQQCQQYILTRNADSVINHSAVHSFGSVNPAPALFDIP LMQLWGAFDSITIHKRVELERQLRHLALSVDLRAADVDVHEAILGGDLKSKDVAEVYG RPKRLAGGQFASLMASFVSAVRTAKFIRRLIAHKHAIQQKNQIFAAATDRLRDVQLLA ALVAIPCKLLTHATVMTVIGPFLRDSRRHGAASTFARQLVCSTINWTLPRVVRWRDSI LRHQALMRGLAGVVDAPSSAVDRKRMHSEFVAFALQHESYVPPHIDPDSVAIVVRPAR SSTSHWTIEVTWQPPPPRPATNDVVVLYWGRWDSSNNSPETNEWLWESVVCAPTAHRH TLPAAVRPDGICIGRLVVVAGSSRSNPISDVFYIA H257_08187 MQASPAPQYGLCVPAGSYLQTTAPFPIPSKDAMTWECVMKPPRL PRVAVWLLVHTRFAVGIFKGHVVLRVDTQVYITSTACATENTTNHWAGTFHTAQDVPL LFCNGEHSTSLDLLRLEQVDGLIHVDAAADLAEPCNSLDTFPLRIGWTSKPNRLRDAG VHLVVSELRLWYGALPLDTLSTWKLREVTHTHPYIAKLAAYWRLNNQSRVRYQLDSSG LGHDLHLLGFDDDVHWVQLLPVHVRAHIPPAVHLHFHQQPQTTTAPSSLHRQHMTFAV DIIADAVPTPSKSHIVLVLATPFASLSAQEVHRVALGMQQFIALVPSHTDVAIVTAQG SLLQWRRMDPLGKREATKVIQATLHATTTSTTTHVPSTQSTDSINHAMETAFELVAAE CHHVANTSVFWSAAVVACDDVPAMPRWSDRLRYLWTLVQHVTRVSLISLTPNAVVENE PKSMGFDHHNVVAYSFAMDDIEWVLSDVLFKCMHVVCHSVRVEVVATANDVTDGKLKL TLSTKPYDVRAGRSTCTTAATSDMPSNIYTHSVHEHVMDIGVLTSSDHLHFSGSFDWT KPTGQSVEVHVSYIVCGSVRAATSYVAIPPYAMVQQLTEQRPCHEYTDIAAAATRTGA RRADAMYQCRKAYLEHVEDMDTSNAQKQFEQLRSAKEVFSKQFQSISDDVVGFVHEMD IRTLVDECRTPQETPQKPPSAEKFAFQGTAVGDFAYDLSTRSTSRTEWVEPLALVHAK GCIDDADVEFAQAAKGLRLIDDDLKRTDNVFITAIEIDVQLAAWVSRLRETTRMRSDI APLLLQHAIGAKSVLGRRMQDRRELRTATDVAEINRVVPELVGRLDARRGADDAAVER LEPVVAAEMAGFVQLQFAWAQARFTEVKRNQYIPPTLVPSATFVWCRCERPSVWNALQ ALVFALRTGRKRGQPDVPLCPPVHSHDRPLWLPDEQHLTMIDQMEGVADDKDEKDVMG GAPHKRNADAWQLCAQVLFITSSCTTDTVAVETAARDAKSMGKPMWHIRLDDGGGKGT MERPPVVPPISAATPCLPRPWNTVVLAALDTSFECDDCRTMSPQMLLLQPCYMCSFHR PATSADFAAFVDQVSEMLISQPSSTAPSSSFSPSSSCPSTHLPPPLFQLRKSHAERRW LQQKAKHVELANARASSVCQLHQHIFTRYDQDMEDLLSVQAQLGHWNQSTQQHRDRTV LSLNVQVTGLDVEMAAASARLVWLSDQLLCVEEDALGRIRQSSDVAVATGSLQPHRAG LAYLYDIGVLYPFVNAQWYATLQASMVQEAEIAFIKQLIALELTQATTVLACISTWQA TVADMLKAYLRSPSTDAATLYRIALSSVMTFVPSAAPCNFDGDWLQRAVHDMQLHEKY CLDQMQDGFVQAKDLHSIETQLEQLYAAKQRFLDTYHVRSDVAPLCAVELPAAVLRDA AWTRPDPAMELGEAWESLHVMLARVQDQVVSRRKTYLHSQCCCLYLQSVVALAHKAWV NQCLAVLNATSAGLRAAVHALDETSGPRQDAERSFRSAKEDLASCRHKLRCCFEAHRR SHDMQLAIRDSMLHLRQILTTHTKVESVARLASLKTTKYLWDQLATYQSESFASVFED ASELESQMEQMSREQELLTVQVERAEGLVGAKEAAWKAAVWVHMGASVRVQRWQSSLH AVLHLVLDLETKRQRALELDLHLHAGVADDLHQNGGTIPAPIIADVLVVDSTLIESSM LRLELQKQTRLTQRSANRVRVLERMCRQETELLQIGQEEAIRKTKLNDLLVHRCRFLD RVLTQLNQVRKSYPPSDIPVANAFRTLHEHMHTASDASWRRCHAQAAAFAEENWLVQH RKNVVLAVQSLLTAAIDAHDGNKATLDDLVRTQLAMVDGIGSNPEQLAPKRPMDAMHL MLFLNASASATWARQVNLKRTVLQEAMYIKRTDKLEWFKVDPNCPEYVAARAAYFAAE GDLIVNRLDIKRADADADYSHQQCQQYILTRNADSVINHSAVHSFGSVNPAPALFDIP LMQLWGAFDSITIHKRVELERQLRHLALSVDLRAADVDVHEAILGGDLKSKDVAEVYG RPKRLAGGQFASLMASFVSAVRTAKFIRRLIAHKHAIQQKNQIFAAATDRLRDVQLLA ALVAIPCKLLTHATVMTVIGPFLRDSRRHGAASTFARQLVCSTINWTLPRVVRWRDSI LRHQALMRGLAGVVDAPSSAVDRKRMHSEFVAFALQHESYVPPHIDPDSVAIVVRPAR SSTSHWTIEVFTVLLFIYFTVVDSCERASDNMYRLCKDVSQIFTNFYA H257_08187 MQASPAPQYGLCVPAGSYLQTTAPFPIPSKDAMTWECVMKPPRL PRVAVWLLVHTRFAVGIFKGHVVLRVDTQVYITSTACATENTTNHWAGTFHTAQDVPL LFCNGEHSTSLDLLRLEQVDGLIHVDAAADLAEPCNSLDTFPLRIGWTSKPNRLRDAG VHLVVSELRLWYGALPLDTLSTWKLREVTHTHPYIAKLAAYWRLNNQSRVRYQLDSSG LGHDLHLLGFDDDVHWVQLLPVHVRAHIPPAVHLHFHQQPQTTTAPSSLHRQHMTFAV DIIADAVPTPSKSHIVLVLATPFASLSAQEVHRVALGMQQFIALVPSHTDVAIVTAQG SLLQWRRMDPLGKREATKVIQATLHATTTSTTTHVPSTQSTDSINHAMETAFELVAAE CHHVANTSVFWSAAVVACDDVPAMPRWSDRLRYLWTLVQHVTRVSLISLTPNAVVENE PKSMGFDHHNVVAYSFAMDDIEWVLSDVLFKCMHVVCHSVRVEVVATANDVTDGKLKL TLSTKPYDVRAGRSTCTTAATSDMPSNIYTHSVHEHVMDIGVLTSSDHLHFSGSFDWT KPTGQSVEVHVSYIVCGSVRAATSYVAIPPYAMVQQLTEQRPCHEYTDIAAAATRTGA RRADAMYQCRKAYLEHVEDMDTSNAQKQFEQLRSAKEVFSKQFQSISDDVVGFVHEMD IRTLVDECRTPQETPQKPPSAEKFAFQGTAVGDFAYDLSTRSTSRTEWVEPLALVHAK GCIDDADVEFAQAAKGLRLIDDDLKRTDNVFITAIEIDVQLAAWVSRLRETTRMRSDI APLLLQHAIGAKSVLGRRMQDRRELRTATDVAEINRVVPELVGRLDARRGADDAAVER LEPVVAAEMAGFVQLQFAWAQARFTEVKRNQYIPPTLVPSATFVWCRCERPSVWNALQ ALVFALRTGRKRGQPDVPLCPPVHSHDRPLWLPDEQHLTMIDQMEGVADDKDEKDVMG GAPHKRNADAWQLCAQVLFITSSCTTDTVAVETAARDAKSMGKPMWHIRLDDGGGKGT MERPPVVPPISAATPCLPRPWNTVVLAALDTSFECDDCRTMSPQMLLLQPCYMCSFHR PATSADFAAFVDQVSEMLISQPSSTAPSSSFSPSSSCPSTHLPPPLFQLRKSHAERRW LQQKAKHVELANARASSVCQLHQHIFTRYDQDMEDLLSVQAQLGHWNQSTQQHRDRTV LSLNVQVTGLDVEMAAASARLVWLSDQLLCVEEDALGRIRQSSDVAVATGSLQPHRAG LAYLYDIGVLYPFVNAQWYATLQASMVQEAEIAFIKQLIALELTQATTVLACISTWQA TVADMLKAYLRSPSTDAATLYRIALSSVMTFVPSAAPCNFDGDWLQRAVHDMQLHEKY CLDQMQDGFVQAKDLHSIETQLEQLYAAKQRFLDTYHVRSDVAPLCAVELPAAVLRDA AWTRPDPAMELGEAWESLHVMLARVQDQVVSRRKTYLHSQCCCLYLQSVVALAHKAWV NQCLAVLNATSAGLRAAVHALDETSGPRQDAERSFRSAKEDLASCRHKLRCCFEAHRR SHDMQLAIRDSMLHLRQILTTHTKVESVARLASLKTTKYLWDQLATYQSESFASVFED AIERAEGLVGAKEAAWKAAVWVHMGASVRVQRWQSSLHAVLHLVLDLETKRQRALELD LHLHAGVADDLHQNGGTIPAPIIADVLVVDSTLIESSMLRLELQKQTRLTQRSANRVR VLERMCRQETELLQIGQEEAIRKTKLNDLLVHRCRFLDRVLTQLNQVRKSYPPSDIPV ANAFRTLHEHMHTASDASWRRCHAQAAAFAEENWLVQHRKNVVLAVQSLLTAAIDAHD GNKATLDDLVRTQLAMVDGIGSNPEQLAPKRPMDAMHLMLFLNASASATWARQVNLKR TVLQEAMYIKRTDKLEWFKVDPNCPEYVAARAAYFAAEGDLIVNRLDIKRADADADYS HQQCQQYILTRNADSVINHSAVHSFGSVNPAPALFDIPLMQLWGAFDSITIHKRVELE RQLRHLALSVDLRAADVDVHEAILGGDLKSKDVAEVYGRPKRLAGGQFASLMASFVSA VRTAKFIRRLIAHKHAIQQKNQIFAAATDRLRDVQLLAALVAIPCKLLTHATVMTVIG PFLRDSRRHGAASTFARQLVCSTINWTLPRVVRWRDSILRVRICFIVYISIQSIKR H257_08187 MQASPAPQYGLCVPAGSYLQTTAPFPIPSKDAMTWECVMKPPRL PRVAVWLLVHTRFAVGIFKGHVVLRVDTQVYITSTACATENTTNHWAGTFHTAQDVPL LFCNGEHSTSLDLLRLEQVDGLIHVDAAADLAEPCNSLDTFPLRIGWTSKPNRLRDAG VHLVVSELRLWYGALPLDTLSTWKLREVTHTHPYIAKLAAYWRLNNQSRVRYQLDSSG LGHDLHLLGFDDDVHWVQLLPVHVRAHIPPAVHLHFHQQPQTTTAPSSLHRQHMTFAV DIIADAVPTPSKSHIVLVLATPFASLSAQEVHRVALGMQQFIALVPSHTDVAIVTAQG SLLQWRRMDPLGKREATKVIQATLHATTTSTTTHVPSTQSTDSINHAMETAFELVAAE CHHVANTSVFWSAAVVACDDVPAMPRWSDRLRYLWTLVQHVTRVSLISLTPNAVVENE PKSMGFDHHNVVAYSFAMDDIEWVLSDVLFKCMHVVCHSVRVEVVATANDVTDGKLKL TLSTKPYDVRAGRSTCTTAATSDMPSNIYTHSVHEHVMDIGVLTSSDHLHFSGSFDWT KPTGQSVEVHVSYIVCGSVRAATSYVAIPPYAMVQQLTEQRPCHEYTDIAAAATRTGA RRADAMYQCRKAYLEHVEDMDTSNAQKQFEQLRSAKEVFSKQFQSISDDVVGFVHEMD IRTLVDECRTPQETPQKPPSAEKFAFQGTAVGDFAYDLSTRSTSRTEWVEPLALVHAK GCIDDADVEFAQAAKGLRLIDDDLKRTDNVFITAIEIDVQLAAWVSRLRETTRMRSDI APLLLQHAIGAKSVLGRRMQDRRELRTATDVAEINRVVPELVGRLDARRGADDAAVER LEPVVAAEMAGFVQLQFAWAQARFTEVKRNQYIPPTLVPSATFVWCRCERPSVWNALQ ALVFALRTGRKRGQPDVPLCPPVHSHDRPLWLPDEQHLTMIDQMEGVADDKDEKDVMG GAPHKRNADAWQLCAQVLFITSSCTTDTVAVETAARDAKSMGKPMWHIRLDDGGGKGT MERPPVVPPISAATPCLPRPWNTVVLAALDTSFECDDCRTMSPQMLLLQPCYMCSFHR PATSADFAAFVDQVSEMLISQPSSTAPSSSFSPSSSCPSTHLPPPLFQLRKSHAERRW LQQKAKHVELANARASSVCQLHQHIFTRYDQDMEDLLSVQAQLGHWNQSTQQHRDRTV LSLNVQVTGLDVEMAAASARLVWLSDQLLCVEEDALGRIRQSSDVAVATGSLQPHRAG LAYLYDIGVLYPFVNAQWYATLQASMVQEAEIAFIKQLIALELTQATTVLACISTWQA TVADMLKAYLRSPSTDAATLYRIALSSVMTFVPSAAPCNFDGDWLQRAVHDMQLHEKY CLDQMQDGFVQAKDLHSIETQLEQLYAAKQRFLDTYHVRSDVAPLCAVELPAAVLRDA AWTRPDPAMELGEAWESLHVMLARVQDQVVSRRKTYLHSQCCCLYLQSVVALAHKAWV NQCLAVLNATSAGLRAAVHALDETSGPRQDAERSFRSAKEDLASCRHKLRCCFEAHRR SHDMQLAIRDSMLHLRQILTTHTKVESVARLASLKTTKYLWDQLATYQSESFASVFED ASELESQMEQMSREQELLTVQVERAEGLVGAKEAAWKAAVWVHMGASVRVQRWQSSLH AVLHLVLDLETKRQRALELDLHLHAGVADDLHQNGGTIPAPIIADVLVVDSTLIESSM LRLELQKQTRLTQRSANRVRVLERMCRQETELLQIGQEEAIRKTKLNDLLVHRCRFLD RVLTQLNQVRKSYPPSDIPVANAFRTLHEHMHTASDASWRRCHAQAAAFAEENWLVQH RKNVVLAVQSLLTAAIDAHDGNKATLDDLVRTQLAMVDGIGSNPEQLAPKRPMDAMHL MLFLNASASATWARQVNLKRTVLQEAMYIKRTDKLEWFKVDPNCPEYVAARAAYFAAE GDLIVNRLDIKRADADADYSHQQCQQYILTRNADSVINHSAVHSFGSVNPAPALFDIP LMQLWGAFDSITIHKRVELERQLRHLALSVDLRAADVDVHEAILGGDLKSKDVAEVYG RPKRLAGGQFASLMASFVSAVRTAKFIRRLIAHKHAIQQKNQIFAAATDRLRDVQLLA ALVAIPCKLLTHATVMTVIGPFLRDSRRHGAASTFARQLVCSTINWTLPRVVRWRDSI LRVRICFIVYISIQSIKR H257_08187 MQASPAPQYGLCVPAGSYLQTTAPFPIPSKDAMTWECVMKPPRL PRVAVWLLVHTRFAVGIFKGHVVLRVDTQVYITSTACATENTTNHWAGTFHTAQDVPL LFCNGEHSTSLDLLRLEQVDGLIHVDAAADLAEPCNSLDTFPLRIGWTSKPNRLRDAG VHLVVSELRLWYGALPLDTLSTWKLREVTHTHPYIAKLAAYWRLNNQSRVRYQLDSSG LGHDLHLLGFDDDVHWVQLLPVHVRAHIPPAVHLHFHQQPQTTTAPSSLHRQHMTFAV DIIADAVPTPSKSHIVLVLATPFASLSAQEVHRVALGMQQFIALVPSHTDVAIVTAQG SLLQWRRMDPLGKREATKVIQATLHATTTSTTTHVPSTQSTDSINHAMETAFELVAAE CHHVANTSVFWSAAVVACDDVPAMPRWSDRLRYLWTLVQHVTRVSLISLTPNAVVENE PKSMGFDHHNVVAYSFAMDDIEWVLSDVLFKCMHVVCHSVRVEVVATANDVTDGKLKL TLSTKPYDVRAGRSTCTTAATSDMPSNIYTHSVHEHVMDIGVLTSSDHLHFSGSFDWT KPTGQSVEVHVSYIVCGSVRAATSYVAIPPYAMVQQLTEQRPCHEYTDIAAAATRTGA RRADAMYQCRKAYLEHVEDMDTSNAQKQFEQLRSAKEVFSKQFQSISDDVVGFVHEMD IRTLVDECRTPQETPQKPPSAEKFAFQGTAVGDFAYDLSTRSTSRTEWVEPLALVHAK GCIDDADVEFAQAAKGLRLIDDDLKRTDNVFITAIEIDVQLAAWVSRLRETTRMRSDI APLLLQHAIGAKSVLGRRMQDRRELRTATDVAEINRVVPELVGRLDARRGADDAAVER LEPVVAAEMAGFVQLQFAWAQARFTEVKRNQYIPPTLVPSATFVWCRCERPSVWNALQ ALVFALRTGRKRGQPDVPLCPPVHSHDRPLWLPDEQHLTMIDQMEGVADDKDEKDVMG GAPHKRNADAWQLCAQVLFITSSCTTDTVAVETAARDAKSMGKPMWHIRLDDGGGKGT MERPPVVPPISAATPCLPRPWNTVVLAALDTSFECDDCRTMSPQMLLLQPCYMCSFHR PATSADFAAFVDQVSEMLISQPSSTAPSSSFSPSSSCPSTHLPPPLFQLRKSHAERRW LQQKAKHVELANARASSVCQLHQHIFTRYDQDMEDLLSVQAQLGHWNQSTQQHRDRTV LSLNVQVTGLDVEMAAASARLVWLSDQLLCVEEDALGRIRQSSDVAVATGSLQPHRAG LAYLYDIGVLYPFVNAQWYATLQASMVQEAEIAFIKQLIALELTQATTVLACISTWQA TVADMLKAYLRSPSTDAATLYRIALSSVMTFVPSAAPCNFDGDWLQRAVHDMQLHEKY CLDQMQDGFVQAKDLHSIETQLEQLYAAKQRFLDTYHVRSDVAPLCAVELPAAVLRDA AWTRPDPAMELGEAWESLHVMLARVQDQVVSRRKTYLHSQCCCLYLQSVVALAHKAWV NQCLAVLNATSAGLRAAVHALDETSGPRQDAERSFRSAKEDLASCRHKLRCCFEAHRR SHDMQLAIRDSMLHLRQILTTHTKVESVARLASLKTTKYLWDQLATYQSESFASVFED ASELESQMEQMSREQELLTVQVERAEGLVGAKEAAWKAAVWVHMGASVRVQRWQSSLH AVLHLVLDLETKRQRALELDLHLHAGVADDLHQNGGTIPAPIIADVLVVDSTLIESSM LRLELQKQTRLTQRSANRVRVLERMCRQETELLQIGQEEAIRKTKLNDLLVHRCRFLD RVLTQLNQVRKSYPPSDIPVANAFRTLHEHMHTASDASWRRCHAQAAAFAEENWLVQH RKNVVLAVQSLLTAAIDAHDGNKATLDDLVRTQLAMVDGIGSNPEQLAPKRPMDAMHL MLFLNASASATWARQVNLKRTVLQEAMYIKRTDKLEWFKVDPNCPEYVAARAAYFAAE GDLIVNRLDIKRADADADYSHQQCQQYILTRNADSVINHSAVHSFGSVNPAPALFDIP LMQLWGAFDSITIHKRVELERQLRHLALSVDLRAADVDVHEAILGGDLKSKDVAEVYG RPKRLAGGQFASLMASFVSAVRTAKFIRRLIAHKHAIQQKNQIFAAATDRLRDVQLLA ALVAIPCKLLTHATVMTVIGPFLRDSRRHGAASTFARQLVCSTINWTLPRVVRWRDSI LRVRICFIVYISIQSIKR H257_08187 MQASPAPQYGLCVPAGSYLQTTAPFPIPSKDAMTWECVMKPPRL PRVAVWLLVHTRFAVGIFKGHVVLRVDTQVYITSTACATENTTNHWAGTFHTAQDVPL LFCNGEHSTSLDLLRLEQVDGLIHVDAAADLAEPCNSLDTFPLRIGWTSKPNRLRDAG VHLVVSELRLWYGALPLDTLSTWKLREVTHTHPYIAKLAAYWRLNNQSRVRYQLDSSG LGHDLHLLGFDDDVHWVQLLPVHVRAHIPPAVHLHFHQQPQTTTAPSSLHRQHMTFAV DIIADAVPTPSKSHIVLVLATPFASLSAQEVHRVALGMQQFIALVPSHTDVAIVTAQG SLLQWRRMDPLGKREATKVIQATLHATTTSTTTHVPSTQSTDSINHAMETAFELVAAE CHHVANTSVFWSAAVVACDDVPAMPRWSDRLRYLWTLVQHVTRVSLISLTPNAVVENE PKSMGFDHHNVVAYSFAMDDIEWVLSDVLFKCMHVVCHSVRVEVVATANDVTDGKLKL TLSTKPYDVRAGRSTCTTAATSDMPSNIYTHSVHEHVMDIGVLTSSDHLHFSGSFDWT KPTGQSVEVHVSYIVCGSVRAATSYVAIPPYAMVQQLTEQRPCHEYTDIAAAATRTGA RRADAMYQCRKAYLEHVEDMDTSNAQKQFEQLRSAKEVFSKQFQSISDDVVGFVHEMD IRTLVDECRTPQETPQKPPSAEKFAFQGTAVGDFAYDLSTRSTSRTEWVEPLALVHAK GCIDDADVEFAQAAKGLRLIDDDLKRTDNVFITAIEIDVQLAAWVSRLRETTRMRSDI APLLLQHAIGAKSVLGRRMQDRRELRTATDVAEINRVVPELVGRLDARRGADDAAVER LEPVVAAEMAGFVQLQFAWAQARFTEVKRNQYIPPTLVPSATFVWCRCERPSVWNALQ ALVFALRTGRKRGQPDVPLCPPVHSHDRPLWLPDEQHLTMIDQMEGVADDKDEKDVMG GAPHKRNADAWQLCAQVLFITSSCTTDTVAVETAARDAKSMGKPMWHIRLDDGGGKGT MERPPVVPPISAATPCLPRPWNTVVLAALDTSFECDDCRTMSPQMLLLQPCYMCSFHR PATSADFAAFVDQVSEMLISQPSSTAPSSSFSPSSSCPSTHLPPPLFQLRKSHAERRW LQQKAKHVELANARASSVCQLHQHIFTRYDQDMEDLLSVQAQLGHWNQSTQQHRDRTV LSLNVQVTGLDVEMAAASARLVWLSDQLLCVEEDALGRIRQSSDVAVATGSLQPHRAG LAYLYDIGVLYPFVNAQWYATLQASMVQEAEIAFIKQLIALELTQATTVLACISTWQA TVADMLKAYLRSPSTDAATLYRIALSSVMTFVPSAAPCNFDGDWLQRAVHDMQLHEKY CLDQMQDGFVQAKDLHSIETQLEQLYAAKQRFLDTYHVRSDVAPLCAVELPAAVLRDA AWTRPDPAMELGEAWESLHVMLARVQDQVVSRRKTYLHSQCCCLYLQSVVALAHKAWV NQCLAVLNATSAGLRAAVHALDETSGPRQDAERSFRSAKEDLASCRHKLRCCFEAHRR SHDMQLAIRDSMLHLRQILTTHTKVESVARLASLKTTKYLWDQLATYQSESFASVFED AIERAEGLVGAKEAAWKAAVWVHMGASVRVQRWQSSLHAVLHLVLDLETKRQRALELD LHLHAGVADDLHQNGGTIPAPIIADVLVVDSTLIESSMLRLELQKQTRLTQRSANRVR VLERMCRQETELLQIGQEEAIRKTKLNDLLVHRCRFLDRVLTQLNQVRKSYPPSDIPV ANAFRTLHEHMHTASDASWRRCHAQAAAFAEENWLVQHRKNVVLAVQSLLTAAIDAHD GNKATLDDLVRTQLAMVDGIGSNPEQLAPKRPMDAMHLMLFLNASASATWARQVNLKR TVLQEAMYIKRTDKLEWFKVDPNCPEYVAARAAYFAAEGDLIVNRLDIKRADADADYS HQQCQQYILTRNADSVINHSAVHSFGSVNPAPALFDIPLMQLWGAFDSITIHKRVELE RQLRHLALSVDLRAADVDVHEAILGGDLKSKDVAEVYGRPKRLAGGQFASLMASFVSA VRTAKFIRRLIAHVRISTLLFFFLSGYQKYGSRSWNW H257_08187 MQASPAPQYGLCVPAGSYLQTTAPFPIPSKDAMTWECVMKPPRL PRVAVWLLVHTRFAVGIFKGHVVLRVDTQVYITSTACATENTTNHWAGTFHTAQDVPL LFCNGEHSTSLDLLRLEQVDGLIHVDAAADLAEPCNSLDTFPLRIGWTSKPNRLRDAG VHLVVSELRLWYGALPLDTLSTWKLREVTHTHPYIAKLAAYWRLNNQSRVRYQLDSSG LGHDLHLLGFDDDVHWVQLLPVHVRAHIPPAVHLHFHQQPQTTTAPSSLHRQHMTFAV DIIADAVPTPSKSHIVLVLATPFASLSAQEVHRVALGMQQFIALVPSHTDVAIVTAQG SLLQWRRMDPLGKREATKVIQATLHATTTSTTTHVPSTQSTDSINHAMETAFELVAAE CHHVANTSVFWSAAVVACDDVPAMPRWSDRLRYLWTLVQHVTRVSLISLTPNAVVENE PKSMGFDHHNVVAYSFAMDDIEWVLSDVLFKCMHVVCHSVRVEVVATANDVTDGKLKL TLSTKPYDVRAGRSTCTTAATSDMPSNIYTHSVHEHVMDIGVLTSSDHLHFSGSFDWT KPTGQSVEVHVSYIVCGSVRAATSYVAIPPYAMVQQLTEQRPCHEYTDIAAAATRTGA RRADAMYQCRKAYLEHVEDMDTSNAQKQFEQLRSAKEVFSKQFQSISDDVVGFVHEMD IRTLVDECRTPQETPQKPPSAEKFAFQGTAVGDFAYDLSTRSTSRTEWVEPLALVHAK GCIDDADVEFAQAAKGLRLIDDDLKRTDNVFITAIEIDVQLAAWVSRLRETTRMRSDI APLLLQHAIGAKSVLGRRMQDRRELRTATDVAEINRVVPELVGRLDARRGADDAAVER LEPVVAAEMAGFVQLQFAWAQARFTEVKRNQYIPPTLVPSATFVWCRCERPSVWNALQ ALVFALRTGRKRGQPDVPLCPPVHSHDRPLWLPDEQHLTMIDQMEGVADDKDEKDVMG GAPHKRNADAWQLCAQVLFITSSCTTDTVAVETAARDAKSMGKPMWHIRLDDGGGKGT MERPPVVPPISAATPCLPRPWNTVVLAALDTSFECDDCRTMSPQMLLLQPCYMCSFHR PATSADFAAFVDQVSEMLISQPSSTAPSSSFSPSSSCPSTHLPPPLFQLRKSHAERRW LQQKAKHVELANARASSVCQLHQHIFTRYDQDMEDLLSVQAQLGHWNQSTQQHRDRTV LSLNVQVTGLDVEMAAASARLVWLSDQLLCVEEDALGRIRQSSDVAVATGSLQPHRAG LAYLYDIGVLYPFVNAQWYATLQASMVQEAEIAFIKQLIALELTQATTVLACISTWQA TVADMLKAYLRSPSTDAATLYRIALSSVMTFVPSAAPCNFDGDWLQRAVHDMQLHEKY CLDQMQDGFVQAKDLHSIETQLEQLYAAKQRFLDTYHVRSDVAPLCAVELPAAVLRDA AWTRPDPAMELGEAWESLHVMLARVQDQVVSRRKTYLHSQCCCLYLQSVVALAHKAWV NQCLAVLNATSAGLRAAVHALDETSGPRQDAERSFRSAKEDLASCRHKLRCCFEAHRR SHDMQLAIRDSMLHLRQILTTHTKVESVARLASLKTTKYLWDQLATYQSESFASVFED ASELESQMEQMSREQELLTVQVERAEGLVGAKEAAWKAAVWVHMGASVRVQRWQSSLH AVLHLVLDLETKRQRALELDLHLHAGVADDLHQNGGTIPAPIIADVLVVDSTLIESSM LRLELQKQTRLTQRSANRVRVLERMCRQETELLQIGQEEAIRKTKLNDLLVHRCRFLD RVLTQLNQVRKSYPPSDIPVANAFRTLHEHMHTASDASWRRCHAQAAAFAEENWLVQH RKNVVLAVQSLLTAAIDAHDGNKATLDDLVRTQLAMVDGIGSNPEQLAPKRPMDAMHL MLFLNASASATWARQVNLKRTVLQEAMYIKRTDKLEWFKVDPNCPEYVAARAAYFAAE GDLIVNRLDIKRADADADYSHQQCQQYILTRNADSVINHSAVHSFGSVNPAPALFDIP LMQLWGAFDSITIHKRVELERQLRHLALSVDLRAADVDVHEAILGGDLKSKDVAEVYG RPKRLAGGQFASLMASFVSAVRTAKFIRRLIAHVRISTLLFFFLSGYQKYGSRSWNW H257_08187 MQASPAPQYGLCVPAGSYLQTTAPFPIPSKDAMTWECVMKPPRL PRVAVWLLVHTRFAVGIFKGHVVLRVDTQVYITSTACATENTTNHWAGTFHTAQDVPL LFCNGEHSTSLDLLRLEQVDGLIHVDAAADLAEPCNSLDTFPLRIGWTSKPNRLRDAG VHLVVSELRLWYGALPLDTLSTWKLREVTHTHPYIAKLAAYWRLNNQSRVRYQLDSSG LGHDLHLLGFDDDVHWVQLLPVHVRAHIPPAVHLHFHQQPQTTTAPSSLHRQHMTFAV DIIADAVPTPSKSHIVLVLATPFASLSAQEVHRVALGMQQFIALVPSHTDVAIVTAQG SLLQWRRMDPLGKREATKVIQATLHATTTSTTTHVPSTQSTDSINHAMETAFELVAAE CHHVANTSVFWSAAVVACDDVPAMPRWSDRLRYLWTLVQHVTRVSLISLTPNAVVENE PKSMGFDHHNVVAYSFAMDDIEWVLSDVLFKCMHVVCHSVRVEVVATANDVTDGKLKL TLSTKPYDVRAGRSTCTTAATSDMPSNIYTHSVHEHVMDIGVLTSSDHLHFSGSFDWT KPTGQSVEVHVSYIVCGSVRAATSYVAIPPYAMVQQLTEQRPCHEYTDIAAAATRTGA RRADAMYQCRKAYLEHVEDMDTSNAQKQFEQLRSAKEVFSKQFQSISDDVVGFVHEMD IRTLVDECRTPQETPQKPPSAEKFAFQGTAVGDFAYDLSTRSTSRTEWVEPLALVHAK GCIDDADVEFAQAAKGLRLIDDDLKRTDNVFITAIEIDVQLAAWVSRLRETTRMRSDI APLLLQHAIGAKSVLGRRMQDRRELRTATDVAEINRVVPELVGRLDARRGADDAAVER LEPVVAAEMAGFVQLQFAWAQARFTEVKRNQYIPPTLVPSATFVWCRCERPSVWNALQ ALVFALRTGRKRGQPDVPLCPPVHSHDRPLWLPDEQHLTMIDQMEGVADDKDEKDVMG GAPHKRNADAWQLCAQVLFITSSCTTDTVAVETAARDAKSMGKPMWHIRLDDGGGKGT MERPPVVPPISAATPCLPRPWNTVVLAALDTSFECDDCRTMSPQMLLLQPCYMCSFHR PATSADFAAFVDQVSEMLISQPSSTAPSSSFSPSSSCPSTHLPPPLFQLRKSHAERRW LQQKAKHVELANARASSVCQLHQHIFTRYDQDMEDLLSVQAQLGHWNQSTQQHRDRTV LSLNVQVTGLDVEMAAASARLVWLSDQLLCVEEDALGRIRQSSDVAVATGSLQPHRAG LAYLYDIGVLYPFVNAQWYATLQASMVQEAEIAFIKQLIALELTQATTVLACISTWQA TVADMLKAYLRSPSTDAATLYRIALSSVMTFVPSAAPCNFDGDWLQRAVHDMQLHEKY CLDQMQDGFVQAKDLHSIETQLEQLYAAKQRFLDTYHVRSDVAPLCAVELPAAVLRDA AWTRPDPAMELGEAWESLHVMLARVQDQVVSRRKTYLHSQCCCLYLQSVVALAHKAWV NQCLAVLNATSAGLRAAVHALDETSGPRQDAERSFRSAKEDLASCRHKLRCCFEAHRR SHDMQLAIRDSMLHLRQILTTHTKVESVARLASLKTTKYLWDQLATYQSESFASVFED ASELESQMEQMSREQELLTVQVERAEGLVGAKEAAWKAAVWVHMGASVRVQRWQSSLH AVLHLVLDLETKRQRALELDLHLHAGVADDLHQNGGTIPAPIIADVLVVDSTLIESSM LRLELQKQTRLTQRSANRVRVLERMCRQETELLQIGQEEAIRKTKLNDLLVHRCRFLD RVLTQLNQVRKSYPPSDIPVANAFRTLHEHMHTASDASWRRCHAQAAAFAEENWLVQH RKNVVLAVQSLLTAAIDAHDGNKATLDDLVRTQLAMVDGIGSNPEQLAPKRPMDAMHL MLFLNASASATWARQVNLKRTVLQEAMYIKRTDKLEWFKVDPNCPEYVAARAAYFAAE GDLIVNRLDIKRADADADYSHQQCQQYILVRILYIYIVCSMYFHDFVFCSIYIYRI H257_08187 MQASPAPQYGLCVPAGSYLQTTAPFPIPSKDAMTWECVMKPPRL PRVAVWLLVHTRFAVGIFKGHVVLRVDTQVYITSTACATENTTNHWAGTFHTAQDVPL LFCNGEHSTSLDLLRLEQVDGLIHVDAAADLAEPCNSLDTFPLRIGWTSKPNRLRDAG VHLVVSELRLWYGALPLDTLSTWKLREVTHTHPYIAKLAAYWRLNNQSRVRYQLDSSG LGHDLHLLGFDDDVHWVQLLPVHVRAHIPPAVHLHFHQQPQTTTAPSSLHRQHMTFAV DIIADAVPTPSKSHIVLVLATPFASLSAQEVHRVALGMQQFIALVPSHTDVAIVTAQG SLLQWRRMDPLGKREATKVIQATLHATTTSTTTHVPSTQSTDSINHAMETAFELVAAE CHHVANTSVFWSAAVVACDDVPAMPRWSDRLRYLWTLVQHVTRVSLISLTPNAVVENE PKSMGFDHHNVVAYSFAMDDIEWVLSDVLFKCMHVVCHSVRVEVVATANDVTDGKLKL TLSTKPYDVRAGRSTCTTAATSDMPSNIYTHSVHEHVMDIGVLTSSDHLHFSGSFDWT KPTGQSVEVHVSYIVCGSVRAATSYVAIPPYAMVQQLTEQRPCHEYTDIAAAATRTGA RRADAMYQCRKAYLEHVEDMDTSNAQKQFEQLRSAKEVFSKQFQSISDDVVGFVHEMD IRTLVDECRTPQETPQKPPSAEKFAFQGTAVGDFAYDLSTRSTSRTEWVEPLALVHAK GCIDDADVEFAQAAKGLRLIDDDLKRTDNVFITAIEIDVQLAAWVSRLRETTRMRSDI APLLLQHAIGAKSVLGRRMQDRRELRTATDVAEINRVVPELVGRLDARRGADDAAVER LEPVVAAEMAGFVQLQFAWAQARFTEVKRNQYIPPTLVPSATFVWCRCERPSVWNALQ ALVFALRTGRKRGQPDVPLCPPVHSHDRPLWLPDEQHLTMIDQMEGVADDKDEKDVMG GAPHKRNADAWQLCAQVLFITSSCTTDTVAVETAARDAKSMGKPMWHIRLDDGGGKGT MERPPVVPPISAATPCLPRPWNTVVLAALDTSFECDDCRTMSPQMLLLQPCYMCSFHR PATSADFAAFVDQVSEMLISQPSSTAPSSSFSPSSSCPSTHLPPPLFQLRKSHAERRW LQQKAKHVELANARASSVCQLHQHIFTRYDQDMEDLLSVQAQLGHWNQSTQQHRDRTV LSLNVQVTGLDVEMAAASARLVWLSDQLLCVEEDALGRIRQSSDVAVATGSLQPHRAG LAYLYDIGVLYPFVNAQWYATLQASMVQEAEIAFIKQLIALELTQATTVLACISTWQA TVADMLKAYLRSPSTDAATLYRIALSSVMTFVPSAAPCNFDGDWLQRAVHDMQLHEKY CLDQMQDGFVQAKDLHSIETQLEQLYAAKQRFLDTYHVRSDVAPLCAVELPAAVLRDA AWTRPDPAMELGEAWESLHVMLARVQDQVVSRRKTYLHSQCCCLYLQSVVALAHKAWV NQCLAVLNATSAGLRAAVHALDETSGPRQDAERSFRSAKEDLASCRHKLRCCFEAHRR SHDMQLAIRDSMLHLRQILTTHTKVESVARLASLKTTKYLWDQLATYQSESFASVFED ASELESQMEQMSREQELLTVQVERAEGLVGAKEAAWKAAVWVHMGASVRVQRWQSSLH AVLHLVLDLETKRQRALELDLHLHAGVADDLHQNGGTIPAPIIADVLVVDSTLIESSM LRLELQKQTRLTQRSANRVRVLERMCRQETELLQIGQEEAIRKTKLNDLLVHRCRFLD RVLTQLNQVRKSYPPSDIPVANAFRTLHEHMHTASDASWRRCHAQAAAFAEENWLVQH RKNVVLAVQSLLTAAIDAHDGNKATLDDLVRTQLAMVDGIGSNPEQLAPKRPMDAMHL MLFLNASASATWARQVNLKRTVLQEAMYIKRTDKLEWFKVDPNCPEYVAARAAYFAAE GDLIVNRLDIKRADADADYSHQQCQQYILVRILYIYIVCSMYFHDFVFCSIYIYRI H257_08188 MEKLQELSLISKVCSELESHLGMSDRTLAEFIIHMARESADGKK FHATMTENGAEVPIKFALHLHQLIHAMSKKTKAAKSFAVPDKNNPFPGLARPNTKPIN EEEIDVDDIPGLHADDKAKPSSSSSRRRDDDNERGHSRRGSPPPRRSHYSSRRSRSPD SRRDSKYGGRRRSRSRSPPPRGGNPNGPIEMHGIYRGRVAKIMDFGVFVELEHRDKHE GLVHVKNLTDKVRITNVRDFVRRGDRVWVKVISQAGSKLLLSMKDVDQSCGRDLLPQR GSSDTATPRDSQSWVNASAPGMQQRAMDAYANEKPRAAKRLSSPERWEIQQLINSGVL PISEYPTFDQDQGGGGGGMLDIEETEEEFEIELNEDEPLFLRGQTKASLELSPVKIVK NPDGSMSRAAMTQSTLAKERREMRQTQANQLLDSIPKDLNRPWEDPMPEAGERHFAAE LRGMHMGGTNAMADVPEWKEKTQGKSSLSYGHVSSKSMVEQRQSLPVFKLKRQLMEAI LHNQVLVVIGETGSGKTTQMTQYMAEMGLTAHGIIGCTQPRRVAASSVAKRVAEEFGC ALGQEVGYSVRFEDVTSPDTVIKYMTEGMLMREYLADNRLSRYSAIILDEAHERGINT DILFGLLKALLPTRPELKIVVTSATLDAEKFSKYFLECPIFTIPGRTFPVEILYTKEP EADYLDAALITVMQIHLSEPEGDILVFLTGQEEIDTACEVLYQRIKALGALAPELIIL PVYGALPSEMQTRIFEPAPKGSRKCVVATNIAEASLTIDGVYYVVDPGFCKEKAFNAK VGMDSLIVVPCSQASARQRAGRAGRTGPGKCYRLYTENAYKNEMLPTAVPEIQRSNLG MVVLQLKAMGINDLMGFDFLDPPPVPAMVSAMENLYALGALDDEGLLTRLGKKMAEFP MEPQMGKVLLTSVVLGCADEILTILSMLSVENIYFRPKDKQAAADSKKAKFHQPEGDQ LTLLAVYDAWKHAKFSNPWCYENYIQARAIRRAQDVRKQLLSILDRFKMPVVSAGKNY NKIRRAIVSGYFANTAKKDPQEGYRTMVEGQPVYIHPASALFNKNPEWVIYQELVLTT KEYMRNVMAIEPKWLVELAPAFFKKGDPTKLTKQKKAQKIEPLHDRFNPPDSWRLSKR RG H257_08189 MTRERGSLTMQSRRRYSAPQKPVGVSLFHSYINLWSTGMTVGMG GHYFAWNTGLVAGPWSFGLAVTVMGMAYICLCCCLGEVTSMVPFTGGAFGLARWTFGF HAGFLVGCCESLQYILYVTCNFVQLSRFTAVTYPGSVEYPYVTWIGSYMLAVGMLCCN SATYNRWNRLLAFLSLGLLVTYVIGSIPLALSSEAVEPNVAPSAIVQDVPSFFQTLPQ AAWFFAGVETLNRLCNEVESPNISIPRSQLGCLFTLICTALTVFVMVNVLPPGLPVIA TSVAPFNHGFTRMFNCSVEAATLLSIPATFASGQGFAQAYTNIIVALCSSRLLPEGFL ICLESTGAPIVAIALGTFISFVLCFMHYYVDVNVVLFDVAMLYAFVAYLSQCIGYIYL RREHSKMHRPFKSPFGIYGALFASGVWVASIGGLVLYQDIRGPIFALGLLGVCSMYYK VYAQKHQVFSTQENKALLFAHVARLNSRQAYRRRSVEGFAGELVKLINPPSKYKQTFP TMYKTANKKRQRSVKATVAVATSGRSIGREVPLPSEHEDALAQARRVVDAAITPLDTT SRPPNSPTTTSTTNNPPAPS H257_08190 MKPVREAASVVVFNQLEQILFVKRPKTAKAWANMMVFPGGKVDG ADNATTATSPYPHVPIRFFNAAIRELFEEVDVSLTSPRLWSVLDDADRRTWRHRIVDD KDDFESLLRRTKCLPQHDELVPFSHVITPEGSPHRFDTWFFLARIAATDMPHVQTHDS ELEGACMWLSPREALAGYSTGSFAFATPQLYLLHQFNQFPTLQALWTTAMDDAREGNI PSVLPQRLPPTDDFPGTFTAFPGDPLYRPEEKSPFLHRMHLHPTDPSQSTVHLPPKPN ANVEA H257_08190 MHFFGRFFNAAIRELFEEVDVSLTSPRLWSVLDDADRRTWRHRI VDDKDDFESLLRRTKCLPQHDELVPFSHVITPEGSPHRFDTWFFLARIAATDMPHVQT HDSELEGACMWLSPREALAGYSTGSFAFATPQLYLLHQFNQFPTLQALWTTAMDDARE GNIPSVLPQRLPPTDDFPGTFTAFPGDPLYRPEEKSPFLHRMHLHPTDPSQSTVHLPP KPNANVEA H257_08191 MPSANVVDEKAHGKEDAVQPSQDVEPAPSRPRRVAFTGTSVAVS CLFLINLVVMPLKPYLTEPLPVSEAFRAPSLFPAVAPPLSSTNGQQQPGPVTQFERLY NAGTLPPDVSYFYDPVHVVEVMRTGVSSTSCDDADALVTSVLGVPFFPPDVKSAIVDA VCSLSSNATNNFGTVGRSWRIYLGAKKVDCLSAAWVVMLPAHNSTTSNVATIYYVFAP HILSPAWIIAKLSYRLVISVCIFVYSVYRYYRPLWHLRWSLQRQPLHVWSTAVRYDVV VGEPTCLVLSNAWVCLAFVVDFWGSTEFFGSACLRMGQTNDIGLFVLGVLYLGRTVWC AYASLVVLNATLKRLHMAQWAVPANTTTLAIAVTVGGGFLTSVQVKLPPLMAFYTWLL TLVNTVDGNGNVVALDDTAAMVVYVVGMTATCFGIVLGKKYVGQSVARRRSHLRHRIQ TIQVGATTRAATPRLSDLPQPPQRSVESRMAFNDFKHQMVLWLCRMGSTDDGVCTGGS VYRIFQLFPMVQAQCTISQTGSDCYVFAYNAADELIEVTRVSLVDQIDLTQKTTARPV HQTVVETAPAVGSLVLGREKEGAADVTLYRGANNSPWVA H257_08192 MGSQKKKAIKFIKKGNLKHKIAKRNEKKRFNSKKDKKSTDKPVK KLAAPVASRGGDDEGDILDDMDVDEFLNADFLDEADSGDEGDDGANDDEGADSDDDDD DNDGGSSSEEDDESALDPRYMSAMPADIESDDEEDGSGGAPQEGGASRRELTMEKLTS IETACSASKSVASLRPLLQIFSDACRSADAGPKAGAKKTPYDIRSSAVYNRLMVAVFT HTQAALRAYFNLPEDAIRTKGENAPSSNTPVALDAKKWTKISMTIRRFFHCCVYLIQE TTSDDIHRFVLRSLTAFVQYAAPCLKTSRKLLKCFVTVWGKSLDTTVCMLAFVRIREL ATIVPFPFIETCLKALYLAYMRNVKFTNGVNFQHHIVMGNCLVELYGLDLVSSYQHVF IYIRQLAMTIRKAIAAPSADALKGILTWRFVNCLKVWAAVVSAYPALKALVYPLTQLT LATIRLASIARYVPLKFQCVKVLQQIALATQTFIPTTPILLDVLQSPIVTQKKKNAVK ETDIVELDLLVKLSKSALESRRVQEMVVSKVFDLLQKECDVQKYSIAFPEFGVPLHLT LSKFATTTPVPQWKRLAKGLCQQIETRSEWIRNKRMTSDIAPKDMAQIEAFLAAERKA AVVQMLEKEKKQLAEKAAQYAQSAPSSNDGDAQEGDDQGDDDDEDDDSEIDEEEREVP AGSVNDPDHVEDMVWSDDE H257_08193 MAPGSDTTDQSKKHHERLHLKIQPHPPKFPFQSHPFDIVVYLVD GSENLKTGIVMALKVDLLVGDKPMSSSTKPLVTIDPSTKPIINGDGMCRLTLSIGETS MTHGNKKFQFLLTPVGSDFEVAPVMSTDMTCIRHRLMIQEDLPELWYKDEGGRDKCMP LPVHLVDATNQPVGHRAVPLRVTLLYENEHAVLKQDILKLSPDSQRVIDSTGMALLKL RIEDVSKNHQGQAFRLKVEPDTTQSPLHFDVAPDWSTPISVRSKRNKRRNGGGTLSQH HAPTSSHIDPLESPRGGGGDSHHHHITHPSSSFDMESSSRPAKRSNSMVVPSSSPTHH HGSSSSSSALHPSMESILRWTTSVIHGFQQLEWQPIGYEVRLDGSQDKDRPIFRCPAC WRYKDTMTMDSQQHESKCLIANVLLTYASDTVGHLDALLKLTEKYGTASSIPPAPALP VSTQSLGPPPLTRGITDMVNSIEVPPSLFRGSSGGLSEFMMGETGNNAAGGGGGYATS TEIAQAIERQVYCVLARTFIVESSNRAVGLPAFDRAINLLGFYEEALNGVTQINFVPM NDVPGLTTQDVNQCRHSVFAEMKVPTTTKAIFQVDMFNSLTEMKEKVFFYVWENKPQQ QQQQQQQQSSQQPPRGHWAV H257_08194 MIRRAFSTAAAAVATPAARTSRLRREVPAAIKLTDEAAARIKEL MASRPDAIGIRLGVRTRGCSGLSYTMNYATEKQKLDEEVAEKGVKVFIESKALFHVVG TTMDYKITPVSAEFTFENPNAKGTCGCGESFNV H257_08195 MLARHLRPRTAVSLMKPCLPRRHFSTSRSTKSPPSASARREFDA FIFDAHAACKGKRCTMDAFARPEGCGEDSFFVSPTVVGIADGVGGWNENGVDPSAISR AMMRYSRQLVQGHEGDPATLSTLDILTKAYALTLKDDAVEAGSTTACILKIRAGKDGE PLLEYTNLGDSGFAIVRGDKVVFRSEFQSMGLAPFQLAKIPDRFKCYGAMESQPNEAN NGTVALEDGDIIVLATDGVWDNFAQDLQEIPPFFPPVLSWRRYWHGRIDSLVSVVASR ASTKEAADAVVEASLAHNLKPDDITVIVAKVSIKPKAKL H257_08196 MVAAFPFVDNSVRHKYMSTRVYGLVAAKRAKGLEVGYQVLLDTA GGVRDHRRSMKCLVSTLGSTIATFPPTPEHAHLHFLVLLVLRNLIRRHNDVRIHASRV GFHEACTVQLRVTAELTTRHDFITDTLRILRMPLLLGDLYSGEDRLNFELAATVSRRS FLGLQSPQIPVLPSKSTPFESSDGTKSPVTYVALPVNASYITLGNWKSRLQLAYTLLQ DGTSRLYLAEAAKILRGILTLQPHGCDIASLYANLGSIHLAQHELPDAIACYSQTLNR TPDAWKAHFNMGLALLRYGRLLEGKAHLQQCLAYNPSYDVAQRALEEVDTQWMSAAHD AMEKQKASQAFANQLSGVLAVVKHQTTYSHADSGTFVAVEHAAAAFAVDMSRQCAVPV TTPLSRGWHGVVAALLHRLYVFASLKHLHMTEVFAEYSVTATESVVTMDGLDAIVVLV TGKAMTRIERQHIQSLFPSGEILCPILLPNPETIHTIETMANVGPWFHGLYGHTLHPP QCRHLGLWEWLEMPLFTWIKSINHGRCQVAKYSKILVDMGLYTVIHLAQAQPTLRPQD FKALELSERGTLIFELFGLRSSVERAAGSIVQGAGRTAFARAKLRQLRELRHCALEDR DAAMVPRSRLQEELARTDMARTMCAVWDLVLTRTMQEVLQAPPPIETFVCIPIRTELR QRANVAAAVIQQTVRILL H257_08196 MASLLRNVLRYTRCFMTPISWVSLYVCGQGLEVGYQVLLDTAGG VRDHRRSMKCLVSTLGSTIATFPPTPEHAHLHFLVLLVLRNLIRRHNDVRIHASRVGF HEACTVQLRVTAELTTRHDFITDTLRILRMPLLLGDLYSGEDRLNFELAATVSRRSFL GLQSPQIPVLPSKSTPFESSDGTKSPVTYVALPVNASYITLGNWKSRLQLAYTLLQDG TSRLYLAEAAKILRGILTLQPHGCDIASLYANLGSIHLAQHELPDAIACYSQTLNRTP DAWKAHFNMGLALLRYGRLLEGKAHLQQCLAYNPSYDVAQRALEEVDTQWMSAAHDAM EKQKASQAFANQLSGVLAVVKHQTTYSHADSGTFVAVEHAAAAFAVDMSRQCAVPVTT PLSRGWHGVVAALLHRLYVFASLKHLHMTEVFAEYSVTATESVVTMDGLDAIVVLVTG KAMTRIERQHIQSLFPSGEILCPILLPNPETIHTIETMANVGPWFHGLYGHTLHPPQC RHLGLWEWLEMPLFTWIKSINHGRCQVAKYSKILVDMGLYTVIHLAQAQPTLRPQDFK ALELSERGTLIFELFGLRSSVERAAGSIVQGAGRTAFARAKLRQLRELRHCALEDRDA AMVPRSRLQEELARTDMARTMCAVWDLVLTRTMQEVLQAPPPIETFVCIPIRTELRQR ANVAAAVIQQTVRILL H257_08196 MVAAFPFVDNSVRHKYMSTRVYGLVAAKRAKGLEVGYQVLLDTA GGVRDHRRSMKCLVSTLGSTIATFPPTPEHAHLHFLVLLVLRNLIRRHNDVRIHASRV GFHEACTVQLRVTAELTTRHDFITDTLRILRMPLLLGDLYSGEDRLNFELAATVSRRS FLGLQSPQIPVLPSKSTPFESSDGTKSPVTYVALPVNASYITLGNWKSRLQLAYTLLQ DGTSRLYLAEAAKILRGILTLQPHGCDIASLYANLGSIHLAQHELPDAIACYSQTLNR TPDAWKAHFNMGLALLRYGRLLEGKAHLQQCLAYNPSYDVAQRALEEVDTQWMSAAHD AMEKQKASQAFANQLSGVLAVVKHQTTYSHADSGTFVAVEHAAAAFAVDMSRQCAVPV TTPLSRGWHGVVAALLHRLYVFASLKHLHMTEVFAEYSVTATESVVTMDGLDAIVVLV TGKAMTRIERQHIQSLFPSGEILCPILLPNPETIHTIETMANVGPWFHGLYGHTLHPP QCRHLGLWEWLEMPLFTWIKSINHGRCQVAKYSKILVDMGLYTVIHLAQAQPTLRPQD FKALELSERGTLIFELFGLRSSVERAAGSIVQGWCRTSFLDDTISVCTMAGICRCWPN SVCSRQTAAIARIATLRSRRQGRSYGASVQAAGRTCSDRHGAHHVCRLGSGAHTNYAG STSSASTH H257_08196 MASLLRNVLRYTRCFMTPISWVSLYVCGQGLEVGYQVLLDTAGG VRDHRRSMKCLVSTLGSTIATFPPTPEHAHLHFLVLLVLRNLIRRHNDVRIHASRVGF HEACTVQLRVTAELTTRHDFITDTLRILRMPLLLGDLYSGEDRLNFELAATVSRRSFL GLQSPQIPVLPSKSTPFESSDGTKSPVTYVALPVNASYITLGNWKSRLQLAYTLLQDG TSRLYLAEAAKILRGILTLQPHGCDIASLYANLGSIHLAQHELPDAIACYSQTLNRTP DAWKAHFNMGLALLRYGRLLEGKAHLQQCLAYNPSYDVAQRALEEVDTQWMSAAHDAM EKQKASQAFANQLSGVLAVVKHQTTYSHADSGTFVAVEHAAAAFAVDMSRQCAVPVTT PLSRGWHGVVAALLHRLYVFASLKHLHMTEVFAEYSVTATESVVTMDGLDAIVVLVTG KAMTRIERQHIQSLFPSGEILCPILLPNPETIHTIETMANVGPWFHGLYGHTLHPPQC RHLGLWEWLEMPLFTWIKSINHGRCQVAKYSKILVDMGLYTVIHLAQAQPTLRPQDFK ALELSERGTLIFELFGLRSSVERAAGSIVQGWCRTSFLDDTISVCTMAGICRCWPNSV CSRQTAAIARIATLRSRRQGRSYGASVQAAGRTCSDRHGAHHVCRLGSGAHTNYAGST SSASTH H257_08197 MTAVAVESKSSDAAAKKRLAGFHDVHAEGKSYLADNMYIRSGYR VDYSLSHCFCSLFELHNETWNVWTHIIGSLIFAGLMYSAFNMEIPTHLWTYDDGTHPY FEPDNIMYIESGRHTLHLFARNVLSATQVHHALEYVVDTRYQEMLSKQLQVASVIFGH TIAQIPSLRRFHEILDSHAEGISHSVSEQLFHLHDELTILKARLEPSFDALSKSMPLK SVVGSYTQVHGMKQSLQARLDAFTLYLRQLDTNDYPSLQFVLQEFHGVTDSIKNGLHA ISDVAPSQLAPMLVVGNWPIKVFIGSAVICLTLSSIYHLLWVQSAAASVVFIQLDYSG IILMIAGSFFPLIYYSFYCTPNLLYMYLGIISALAVACLVASVCTSNQAIRSGMFLSL GFFALVPIGHLVWKHGIWDDHIQIFVKPLVAMGVLYLVGATIYGTRFPERYFPGHFDV WGHSHQLWHICVVAAALIHYNSAMQHYEWRWQTGCAV H257_08198 MGSKNSTGTTPEAAAFVQTAINTNGVTVFSKTYCPYCDQAKTAL KSAGATFEVIELDKRPDGDAIQSALGELTGRFTVPNVFVKTTTIGGGSDVAKLHREGK LVQLLKEAGVLA H257_08199 MAVHSSKYDLLVKLLLIGDSGVGKSCLLMRYSDDSFTTSFITTI GIDFKVKTIDVDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDDHSFQNV RNWMRQIQQHASPNVNKILVANKCDVDPSERVVSKEQGEALAAEYGVQFFETSAKSNL HVDEAFRSIAVDVQKRLAESDSDRLDVANQTRLKLDEQATRSSDGCC H257_08200 MKSTKKHLAVVDALESQGFEFLGFNQFIRSGYRVHHTWHDCWLS LFQLHNETWNVWSHLVGAVVFFGLSLHHTWLEVADNASVMPASLIEHALPLHLRLGLH TPSLTSSVNFTSIVQIPPASFYVTHAQHVSTWPVVAYTVGVCVCFTCSAIYHLLYIQS RAWCAWFTQVDYAGIIVLIASAFVPMLSYAFYCDRDVATMYLSVVGSLAMSSLVASFA PAFQDHPHIRTGVFLALAGFGFVPIGHLMRHHGLFHDHVLLTLHGLAGTAVVNLVGVA LYVTQFPERSFPGRFDLVGSSHQWWHVCVFTAALVYYAYAMQHYEWRCLTPCL H257_08201 MAAHILRFHPLHLGNLGAIVFGFQRGFPREFLSIVTVLRAHIRS RRLYTSLTAWTATVPPSLASWLTHVDIVTFKRLFDLDASLFCHAMDDLACVGRRDLLA FLYRAGGTIHCSPAALDCAASAGHLECVQLLTDTQSAAATTEAMDQAAAHGFDSIVRY LHQHRPEGCTPRALDRAARAGHVSVVKYLVDHVRTCCCLSTALDIAAASGHLEIVQWL HPRTPRYRSTAAVDGAAAAGDLAMVEFLLTRRHEGASAAAVEAAVALGRMDLLTRLLL ETTVDVGCIAVVVAVERRHVEAVTLLTPRVLDWRPVMHAAVARGTCLHVVVTTVLGCH VLLHDEPVATAVGVAALYVASLSQNHVMLRLLAAMGHDNMWVEQAWHQAKMANDRAVM AHLCPLRRWWCRARSIFF H257_08202 MVSAKAQEFVESTIAANKITIFSKTRCPYCTLAKNVLTGIGAQY AVVELDNLSDADEIFDALEAKTGRDTVPNVFVNGTSIGGGSDVDALHKQGKLVSLLQE AGAL H257_08203 MQPTAACHEPLGGKWAPWFEDDGLYGCYELARLARRWRRLAKGG ASLTAAVSEPSFCRTAPSIHLHDAADTLRQRSVYDATQTTTFLGQVRRSSFDATEACS QP H257_08204 MERDETPMEDGDDMDVEEMFGSDSPSSGSDSDAPLRPAKVGAAP QAKQSVASSPADDKKVASTQQPSAAPGKTAAPLTTDSTVSNVPATDDEASEDNDHELA TWAAIDMQPAIDLIDKLAKTCVDAAKDFNASVVSTTHQLVSGCDSVLLEIKHISDIRD SMKRKAVNSAHTAPSSMLLASHALGTSMLPASSSTSAPPQSFATAPAVATPPSLDKGT EDQTDRVLYKIEASIEKFRELAEMIQTTSMHHEALLVHKLHLPPSSIETMVTSIHNAA AAATSAAIAQVRHRMPRTLSPEQRTKLQTWYYSYPRPLADELDLMSSILSYPPYSTSC SLVHPQHVRDWFKRRRFRERMRLVVQAVEASSDPASPLSLHAAEASVRARMELRIQTL REAVNPDELVRELEHVRLSSSLYSNVASSFGHKRNLDQFLAAAHHAILGGASDKKKPR LQVPGGAAASSSAAYPAALGVDDVDDAIVVKVASKFELEAIQRRLQTLLQAPKTTANT NNIQQAMDVLRSLDISNELMQSTGVVADLKRVLKVYKKPSLLRRTTEALMESLGQTTA TKKPKRPAAPPPPPPMVVSVAASSPLPPTALVSAAGGEGKTTTTSKRKKGAKLLRPMK FSMQQVEALETWFQKTFKPSQSEMEEYLDALNAEPLRDPKQTVDVNMTQLRRWFNKRR CLRRPPFALMTTKDKKDDDDDMGGTPDDYDEDEVDDDDDGGMATSHVSSTGLAGGALA SEDDDQASSDDSLSE H257_08205 MGGIEDKIAAAAQVFIASAIERSDSQHPLHLAEIFRAAGQGSNA VRWMKEVHELIRTDGRLNQVGNVFKVVPGVDPSAPPRDIPAINGRATVAPVPTSSPPA VPAPSSHYSPAHVTPAVPSTTSTPPLPHASPPPATNPSSVPTAVSPAPATASTSPPKP TTSNRDPVPDESALLPFLASSKAIRVGSVSTMGQFQTLLERFHDTFSPLASFRDWWKA HADVAASVILERLEFLELVRIESVDVSSRKLVWDMHALGKHAAPAPSTATAAATTPSS GWTTAMLDRDLPLVKKSVLHQLRLFACYRLQNIHHMMQSKYPPPQSFQLDSYVDHIVH EIEEDKRLVITHDDSGNPAFTWAEPAKAQALLKDPLVPNNRHALEAAAVAAAATAAAV LEHQDTVATSSVVKPGAVTDSSAVWMDAVVAALWNEHRMRVHVQGDVLCRTTDDLSKK RPRASPERRSPTWDTSPALPTTDDQDTEDESSELPAHKKTRRLHEVRQPVIEWSTPPQ FQLPANVPVRPYVVESTEHNWQTQRARFLTSNVEVPYQQAPDLPTKPIALDYQYVQG H257_08206 MLDLDEVFTAATRDAHFNDVRQTWLPNGLRIEYAMHGDDAAADK VVMLMGMQGEKEAWLPLMSTFLHPANGTASSKYQLVTIDNRGVGGSDKPWGWYSTTQM ARDALLLMDHLRWPKAHVVGASMGGMIAQELAYMAPERVQSLALMVTTPGFRQGALPG LSQLASYASLVQNLFYPTHHRVASTMISVLFPDEYLKSSSSSTSSSHNPTASSDVITT TQDVLYKHHMERLGQVQMSLSGMLGHYTAVLFHNVDRDRLKVIADSDFPIMIIGAKMD RMLHPDNSEYLARTLSGKHTTALVFEHAGHDVHVQHRREISEALDQLFMSARRKKQHP RQGRRLSAGQKKRKGSR H257_08207 MASKAKGGGRAPCPICLDILGSVQTHLHHYPYVDPSDFSPHDGW ELKCGHVFCIGCLRNWIQHTVLSGPHNAKASAPNCPHRGCLHAVQPHHLDRVLDPDAV KRFKYLITPRVLKCPTTSCSTTVNVPGHIHQAPVLCPKCALEFCAACGLRWHAEFDCA MNKLHLEDEKAAALLQATIDKLQWKRCPWCRAVVERASGCAHITCRCGHHFCYKCGKA CSSDHFSCCPP H257_08208 MGLLHIFVATAGLCSIGVTSAFTGIATTYGPPDGESPQGGNCAM MKWLPLAPQFHVAINDNQYDTGANCGRCVSVTCVDARCQSKRTVLGQVTDRCPECSQG DLDMSLPMFQQVTGFTTDRLAISWEFVDCPVTDGVQVCAKDGSSIHWLYVQPGNTLNG VKSMRINGGDAPLFGSAYYFMSTVLGTVDLSQTQVEMTSHSGQTIKATVALVANQCTQ ISQQFSGVGGGQPSPPATPSPPTQAPVTQPPPTTQTPPPTQPPSTTSPPTQAPVTLPP PTQPSPTTTPSTTEVRTTQVPTTQVPTTELPTTQVPTTQVTTTQVPTIQVPTTQVPTT QVPTTQVPTTQVSTTQVPTTQVPTTEPPTTQPPTTQVPTTQVPTTQPPTTQVPTTQVP TTQEPTTEPPSTRSPTSTRPPCTEPPATSSTTVEPTSAPPPTTRIQTFIPSSVLPTTT AAQYPTITPTATSVPSLAPYTTSWATDAPSPSRVPTTSPTSPPPSISPCTEPTSTSPP DGTTATTPPLYSASIDVLAGSPNSIVPSSPSTLLLPPSPPASSGATQPVASSPTTPSR VPTVTAKSDTVSVRQSATTSDASGSILIITIAFIAVGVGMYVVVVQRARRGLAKDKNA NALSEIPILETPTSRAVSIL H257_08209 MAGDSSAHVGTYSPTTGFIFLFNLVVGTGALTIPHAFAQVGLIY GAAALFLLSVVSYVSGTYVIEAIAGVNALQGFQQQSKGVSTAKLSDASSSTATVANTS SVDETTSEAVPFLVHTEDFDQADEEYVSGLHFDISKKLELAAIAQELFSPRGVAAFYV CMIAYLYGDLAIYAVAIPKSLREVICPRPTTSAAVVTTWDCPALHMNSSVLYRALVAL FGVSLAPFAMGHMQKTALIQIATTVMRHLSFALMIVLACVGIGQGQGQSAAAVVSHTD LGYLPNFFGICIYSFMCHHSLPGIIAPISKKRTVGTIFFAAFIAVFALYVVLSCSAAF RYLPQDIQDVYTLNFTSYPNAFIAYFLSLFPVFTLSTSFPIIAITLRENLRTLFHANS SQHVSDMTMFGLLAIVPPLVIAFFTEDVGMLVGVTGAYAGLAIQWVIPASFVYCLRQR LADVGVALKLQGAPKNPFASSFGGLGWLALLMGLSAVSLLLITYTRVFK H257_08209 MAGDSSAHVGTYSPTTGFIFLFNLVVGTGALTIPHAFAQVGLIY GAAALFLLSVVSYVSGTYVIEAIAGVNALQGFQQQSKGVSTAKLSDASSSTATVANTS SVDETTSEAVPFLVHTEADEEYVSGLHFDISKKLELAAIAQELFSPRGVAAFYVCMIA YLYGDLAIYAVAIPKSLREVICPRPTTSAAVVTTWDCPALHMNSSVLYRALVALFGVS LAPFAMGHMQKTALIQIATTVMRHLSFALMIVLACVGIGQGQGQSAAAVVSHTDLGYL PNFFGICIYSFMCHHSLPGIIAPISKKRTVGTIFFAAFIAVFALYVVLSCSAAFRYLP QDIQDVYTLNFTSYPNAFIAYFLSLFPVFTLSTSFPIIAITLRENLRTLFHANSSQHV SDMTMFGLLAIVPPLVIAFFTEDVGMLVGVTGAYAGLAIQWVIPASFVYCLRQRLADV GVALKLQGAPKNPFASSFGGLGWLALLMGLSAVSLLLITYTRVFK H257_08210 MATITRERMPVSFYTRKGVRVAYLCHETDWVPDSLRAACASCTA KFHVFNRKHHCRLCGEIICGGCSGVMAFHASNRIRACHSCIRSSLVEFTADAPPAVDA LSTRVVMQTTTHPLYKDDDVAASRACSRSTAVIYLTCFIVLAHVFVGQDIASTFLTRL LK H257_08211 MAAIERWRVVVGIALAFYAAHVSKMHPDEDGVDGIIIHTPTDYD DPLDGGKCSIDVQVDLSCDDFVNTYLGVQPVIIRPSSSTSGLWNAEFSASSSRDHMET EYGDLRVKMSTANSYTGKEWTEMTVSSYMATQLHDAEVGTMGNETFYLFGSTRGPAWD AFLATYNPPTLVFPSESFHPPASCDVNALARHTSTSTSVSFGMAGRGSGVPFHFHGPG FLQQIHGRKRWFLYPPRTTPEFHPDESTLQWVKNVYPTMRAPPTHECVLEPRDVLYFP NEWMHATLNLSPFTVFVATFA H257_08212 MQPDVEHSVADALDVQEYSSIHTTVLPSGLCVEYALHGHPDAPE KLVLVMGLAAEKEAWSAFVTAFFRVSGNLERYQIVAMDNRGVGGTDAPNEWMYSTSSM AQDVLMLTEHLQWPSFHLAGVSMGGMISQELAHAAPSRVLSLTLLVSSPGFREAPWPG WAQISAYLSLIGNLFKRTLHARTMTMLRVLYPVEYLENPTVASSLYTIHSSRLKASRL RLRGLLGQYAAVLGHRMTRQRLGEIKAASIPVLIIGGGKDRLLPSSHSSTLGLRLHGT HTTTVVIAESGHGIFAQYRTDVVGALMRHICRCDWSVIENEPS H257_08213 MPITPIPTSAIVGGVKDILHGSASTILTTATQIYGPFLLGGFLV FEVLRRCFPKLYTCQPTSVPGEGVRWIPHVWTVTDDKIMEVCGLDTLIFFRFLRMGKH LAAFAVILSIGLFPAYSSTKLLEQEDFIDRLTISGLPRNDPRLWATVFAAVAMTLFTM YSIARECQVYKERRHQFLAKASTQQYSVLIDDIPEHLRSHAALKRYFHAIFPNQVEFC YIAVECRALERQVAMRESVRNALEHALVVLHRTSRRPTHWEWRTGDDGWWWCFSVKTV DSIATYEAKLHQLNNDVLVAIREIEAQQKHGSFRFNNPFPDHIVDAGDPVTPPLATSN EATPLLRPSADVLLPLANLLPDLSSPKEVLRRSAFVTFSTLQATNTVQQVVQTATPHE MQIQEAPPAQDIVWANVGTYTYEQRDVFALVAIAATAALILFWTVPTTLVVALSSVDS LRRVVPHLNELLDSFPWLESLLQQLSPLGLVVMNSLAPLLLRVVACTEGHASHNAIEA SVFSKVVAFQLVQTFFVASVAGSLSAIADKIQLIVQEPLQVIPMLGRSIPGQSTLFVS FILVQTGLGLVLQLLRVVPIVSGGVYWLFSPNLTRREQSAPWWGLTPATVSTRFDFTT TLAQLFLVFVLVLTFAPLAPVVSVAGGIFFVVADTVYRRQLLCVYVPTTHSTGLHWPQ LYSFLITGMLISQGTLVGVLTLKQAPSPAAMALVLMGLTALFHSWIRKSYPSVSEFLP VEVCVALDAQRRRSPSAPLLDRSIYKQPAMTQKAPLAPEL H257_08213 MPITPIPTSAIVGGVKDILHGSASTILTTATQIYGPFLLGGFLV FEVLRRCFPKLYTCQPTSVPGEGVRWIPHVWTVTDDKIMEVCGLDTLIFFRFLRMGKH LAAFAVILSIGLFPAYSSTKLLEQEDFIDRLTISGLPRNDPRLWATVFAAVAMTLFTM YSIARECQVYKERRHQFLAKASTQQYSVLIDDIPEHLRSHAALKRYFHAIFPNQVEFC YIAVECRALERQVAMRESVRNALEHALVVLHRTSRRPTHWEWRTGDDGWWWCFSVKTV DSIATYEAKLHQLNNDVLVAIREIEAQQKHGSFRFNNPFPDHIVDAGDPVTPPLATSN EATPLLRPSADVLLPLANLLPDLSSPKEVLRRSAFVTFSTLQATNTVQQVVQTATPHE MQIQEAPPAQDIVWANVGTYTYEQRDVFALVAIAATAALILFWTVPTTLVVALSSVDS LRRVVPHLNELLDSFPWLESLLQQLSPLGLVVMNSLAPLLLRVVACTEGHASHNAIEA SVFSKVVAFQLVQTFFVASVAGSLSAIADKIQLIVQEPLQVIPMLGRSIPGQSTLFVS FILVQTGLGLVLQLLRVVPIVSGGVYWLFSPNLTRREQSAPWWGLTPATVSTRFDFTT TLAQLFLVFVLVLTFAPLAPVVSVAGGIFFVVADTVYRRQLLCVYVPTTHSTGTIGLS VVVGSGGLVYSSLEHS H257_08213 MRESVRNALEHALVVLHRTSRRPTHWEWRTGDDGWWWCFSVKTV DSIATYEAKLHQLNNDVLVAIREIEAQQKHGSFRFNNPFPDHIVDAGDPVTPPLATSN EATPLLRPSADVLLPLANLLPDLSSPKEVLRRSAFVTFSTLQATNTVQQVVQTATPHE MQIQEAPPAQDIVWANVGTYTYEQRDVFALVAIAATAALILFWTVPTTLVVALSSVDS LRRVVPHLNELLDSFPWLESLLQQLSPLGLVVMNSLAPLLLRVVACTEGHASHNAIEA SVFSKVVAFQLVQTFFVASVAGSLSAIADKIQLIVQEPLQVIPMLGRSIPGQSTLFVS FILVQTGLGLVLQLLRVVPIVSGGVYWLFSPNLTRREQSAPWWGLTPATVSTRFDFTT TLAQLFLVFVLVLTFAPLAPVVSVAGGIFFVVADTVYRRQLLCVYVPTTHSTGLHWPQ LYSFLITGMLISQGTLVGVLTLKQAPSPAAMALVLMGLTALFHSWIRKSYPSVSEFLP VEVCVALDAQRRRSPSAPLLDRSIYKQPAMTQKAPLAPEL H257_08214 MALSSTVVPQAISPSKESHMRRCPGNDRCADCNRVFPQWASVTF GILLCLDCAGRHRALGVQTSYIKSLSMDAWSTRDLLPLELGGNGKWNAVCVAAGLSRE STADKYGSVIADAYRTRMQTAVQSVTPFTLVEMLTWLNLPLDVPAVPSSSTSPMRRHA SSIQLSTPQLQPPAMVHLRKQASLEVKCTLCHDFVSVSKLDMHSQRCAASSTDLLHDT YEELEFDTILGRHPTGGDTPAPLGLSLAKSIPDGGTLVSKIVPGGDADVAGVIVGSQV LSINHVKSSNFDTLMQYLSQPSIPRPLTFSFRIRRPVVVLDVTFDDDNPPSQLPKTAT STSCFESCSDTSFKRSSLGMAVAMSVHNQCRVMSVDADGAAAKRGIAVGCTIVAVNEQ TCSGARGVFASLQSLGKARPLRLRLHRYTSAADHDASLLRLWTG H257_08214 MDAWSTRDLLPLELGGNGKWNAVCVAAGLSRESTADKYGSVIAD AYRTRMQTAVQSVTPFTLVEMLTWLNLPLDVPAVPSSSTSPMRRHASSIQLSTPQLQP PAMVHLRKQASLEVKCTLCHDFVSVSKLDMHSQRCAASSTDLLHDTYEELEFDTILGR HPTGGDTPAPLGLSLAKSIPDGGTLVSKIVPGGDADVAGVIVGSQVLSINHVKSSNFD TLMQYLSQPSIPRPLTFSFRIRRPVVVLDVTFDDDNPPSQLPKTATSTSCFESCSDTS FKRSSLGMAVAMSVHNQCRVMSVDADGAAAKRGIAVGCTIVAVNEQTCSGARGVFASL QSLGKARPLRLRLHRYTSAADHDASLLRLWTG H257_08215 MNRRRWTRPSAKDAAAVSSIAVAATKGMESVKKKSKDRAIVKLH VHGLEFHGGEELVLNVDALKPDLTFPDDDAYVMEIFTPNCTEDSRQHLLMDVPSPDEL KAKKPVKGKMQLSLLKDTAAQFNLQLLQDVMVRFIEKHAVEVDFVEVSLKDQFLSRRD LFYLQRNLVGKALYVGKMVRMHGARVQVMELVHENEAVVTGVVTAKTRFVFRSKSSRI FWLVQISPEMWDMGNNGNLYADELIRMVSSLFDRWQAEYVSHSLTVILFGRNYYDDLP PVQSAYHSTAICQDDDGHWFEDFYKVISYGRDGGAVDTANMLTTLKAEVNAFPHLCGW SLDPLDGIALTCKGGHGHPSSAKDGNVLEAINLILNIYEKHYLDRDLNRSGQNLVLFT AGNGVFRVNQLVSDMSEQRMMDNGIGFDCISLSSPPLESVPRFYLKHPSHTTSSTVAK PRPPAQQQQQNQQLHPHIPMSPFSLTPEAETSSFTPMWFSVRFSQHTPDTFSPLPVCR LFASPSGIPSRPLAFLLTQLQWTAHKTLTVPSTPSTPFALPFPSTPSIDDSPRRLELQ PLKPYYMAPNYDDDDDTVFIVRASTVPDKSPRSQHPCGTPPLHSLQALAKPRFKAKNY GVSPDLKPTMTKSPHHHQPPFLVAGGHARSFPSNSSTSPHDHHPSPRLQPYQPLGDGS SVLVPPPPPLSQPSQSSQPSQLFYPLHHRSLSSGAVVTNPPPPPPPFLPQQSTLSRAQ MMMLNLSTQQQQSSFITPAYAPVSTANKYRWSHVYRYDILSYDLDFKSLCTPALLPLT TDYMPDLNVKYEEKVYKVGCDQHDGVSGGGGFFTNHREYALELVAQRFSQDFQLIGER HVAGTTMYKLSMGHQVHEITYSDNTGEDIDVKILKQTSQGDKQVAKPPSSAGSFASLV PQPAPPRVEYNYSLWSPLTSHFLPAKQEFRYPNTDEYGWNALDSSMSAHDFVMTHSIK YKRGLYCVLPPPMLSTVDDAERQKTLDEFTDRFSRFLDYIRAKARKDDAAPLGVPSNV MDVSLLMGEKTPRRVLQGDIKIPLSAPDAPLQQQWLNIHYDVEFLPVQVYHIEVQWLV CRSALVDDFILGLQRRAKQFNLDIIPMPENCGASTMDVHPLICPVFFPLRSAADFAVV EHKLTAELQFCLEGIHRIPYESMTYQYNGPKETKAISPAHRSASGKTRPPQRTYRQFI HRSMSCFVRLTDAGVIWISSRRMHSTAMQTLFCQLQHVIQTISVTDEMKKLDFFQTTT STTASVFMADQQKQIGTMLEEQDCLSPTAA H257_08215 MNRRRWTRPSAKDAAAVSSIAVAATKGMESVKKKSKDRAIVKLH VHGLEFHGGEELVLNVDALKPDLTFPDDDAYVMEIFTPNCTEDSRQHLLMDVPSPDEL KAKKPVKGKMQLSLLKDTAAQFNLQLLQDVMVRFIEKHAVEVDFVEVSLKDQFLSRRD LFYLQRNLVGKALYVGKMVRMHGARVQVMELVHENEAVVTGVVTAKTRFVFRSKSSRI FWLVQISPEMWDMGNNGNLYADELIRMVSSLFDRWQAEYVSHSLTVILFGRNYYDDLP PVQSAYHSTAICQDDDGHWFEDFYKVISYGRDGGAVDTANMLTTLKAEVNAFPHLCGW SLDPLDGIALTCKGGHGHPSSAKDGNVLEAINLILNIYEKHYLDRDLNRSGQNLVLFT AGNGVFRVNQLVSDMSEQRMMDNGIGFDCISLSSPPLESVPRFYLKHPSHTTSSTVAK PRPPAQQQQQNQQLHPHIPMSPFSLTPEAETSSFTPMWFSVRFSQHTPDTFSPLPVCR LFASPSGIPSRPLAFLLTQLQWTAHKTLTVPSTPSTPFALPFPSTPSIDDSPRRLELQ PLKPYYMAPNYDDDDDTVFIVRASTVPDKSPRSQHPCGTPPLHSLQALAKPRFKAKNY GVSPDLKPTMTKSPHHHQPPFLVAGGHARSFPSNSSTSPHDHHPSPRLQPYQPLGDGS SVLVPPPPPLSQPSQSSQPSQLFYPLHHRSLSSGAVVTNPPPPPPPFLPQQSTLSRAQ MMMLNLSTQQQQSSFITPAYAPVSTANKYRWSHVYRYDILSYDLDFKSLCTPALLPLT TDYMPDLNVKYEEKVYKVGCDQHDGVSGGGGFFTNHREYALELVAQRFSQDFQLIGER HVAGTTMYKLSMGHQVHEITYSDNTGEDIDVKILKQTSQGDKQVAKPPSSAGSFASLV PQPAPPRVEYNYSLWSPLTSHFLPAKQEFRYPNTDEYGWNALDSSMSAHDFVMTHSIK YKRGLYCVLPPPMLSTVDDAERQKTLDEFTDRFSRFLDYIRAKARKDDAAPLGVPSNV MDVSLLMGEKTPRRVLQGDIKIPLSAPDAPLQQQWLNIHYDVEFLPVQVYHIEVQWLV CRSALVDDFILGLQRRAKQFNLDIIPVRNNEYPSSYTICKDVVVPV H257_08216 MTAAATVTTSFQSHREQEELNIRLVTEDDALLMKNVKFDRVSLQ ALGMDDVQLCDKSQYAPKAKDVVCACCKKKLLFYGKHNCRVCGDVVCGQCSTHRIKSK AQMKSIRTCNKCFMYNLQLFNRRLQDKGDMVPLMPLRRRANTETLPLPDTTSMPSSEA TVAPVAPSKPPAASKLATPVSFLVYATACSILVCTFVALEVTVDTALFLCAVAMVAFV GLLQLLS H257_08217 MADADARAARKARILASQEKRLKYVSGQADSLKKSTEEEHEEKT LDDMLEELHPETSDEVKGLVMPTIRADPAQRRRDAALRKQKQQEKVQERLHGITPSVT DPVPSSTPDAVPSTTSPPNVYESKAATTTTAPQRNAAASSAELVKLTKAKQDLLFFRV EQWAVLLVLIVAAAVVGFYADIQDYALDPRLKDVDDLLAQGFTLASIKQQLERDNADL SFLDKRSLADTSTQLPVNTIPFLPSFIFDMFIPAVVNPPLLLFPILARLLLGGLFFGA RSVLDVPSTGDHESDDSGWIMKLLLSQVPILRDGFRMVKKTGDDFCLFLMVLCITVAV RAVVAGV H257_08218 MSPRHELDSRSVDANADLDMIMQKNVRKLRMKHIAKKKQQHPHS TAPLQPLGNLPTLSPTKDKRHHKPHQTFSKKQLFDEKENSDVAPSHPKQHHEYAAPVE EKHRRNITIFDCAKIVNNKGRVMTHEEFDLVSSSPSSVSSSACSTTCSSMSPSPSSLG KKSSSLKLTKKAMKQPKTTTSEMLRQMAWEQMVLEIMCSLLPEIDVLSELNRTLVQCS RAAASTESSSMDLVGFQQQLHYHLNALHIDNTDLPPPFTSGAKLVDLYVTKSLVQSIV FGLMTVSDKRAQGSLSAISMSIFEQVPSLRHDMLLAIECTAAEFYNLPDTVNSKSFNV DGMVHLTGAIVSFQHDLSMQTQQNIFDDDEDTVNVSSTNMTPTDEDDTIYEHAVRILA LFCRAWSCYGAATTAASSADAVFSANANLASNPTVKALIASVQLLAQVSPHHGLAFLS DTLLRRWPSRNTSRQLLFLRLIPMLLVQLASAQVYMESFTKVVYDSFDRIQRCIVAPH VLVAREACALCDDLHLIRLFLLRDKALLDTVTTALHVNAHHHWNKQIQALSDDHFDSM LDLA H257_08219 MGKPLVTSSVDPSVTTTTAAATIVEPSLASLHPSSTATASPSNA GGFIGKCQYKTGKCFKERTLKRNGQAHSLCEEHRVKQNLIQRRSDRKYQSLHAVRRKE RSQVKALFKKQVTMAVAHQMYYEHQHHHHHKILNPLVFHNNLASVPHHHHPSAVAASL PSSSSPGTFGLGYPHGMLPPVAPSMLLCGLPKSAYDSPVVHKNVKHVSAPSSQGMSPL GANAAVINQQRHHVAALKRKEGGKQVEERSWKHAVEAPSNQHSAAHDATDNAQAATVD SWTDDDVQLLKSFLLV H257_08220 MDKNVLARATEDSDSPTPGYLYGEIARMTQHSFDTCLKVQEYLI GRLKKNKPNIKYKALQVIKHICREGRQEFRREMQKHVPTVKEALQFRGPPDPLRGDEY YRRVRDAAKDCLDAIFDTNVNAVAGISTRIKGVGNPEAAPQASGWFNRGSKDNAPTQF QVPGAYNTSGPPAAGYDAPGGYPGGYNGPAYPGSDANQYPSQQQQQPPGYGGAAPSYP GGPLPPYGSNPGGGHPGGNYPPPPGMTGLGNPIFEDKKGEGSKGFFEGLKEKVSFKSE PKVTFAGAPPGSHSAPDGWSFSTNRGPTSGAFNPNAPSTYNPSEPYRPNHQPPPPYNT SQVGGYTGPTSSYAAPSSGLTYSDSSEAESRQHKSQELRDRAYEGERQKGRVGGVWDS LPTPTLSQAAPQHRPSQRQSDDGPRPAERIQNEWAQEQQYVRRPSATAPPPSTSSAQP QQTFGRSGASSDGAYERGIIQGLCAPGGMRAVPPKDKLDAFLKSALTLDAEVVGPILD ELLGTDASSWQVVSKALTVIDGLLATNGCETFHEYFTDNYDMILHVSTASDKAAVRDR AVKILHVLGKATSSTAPPSRRNSGNPAPSATSGDLLGGFDSPSSTPPQPSSQAPNVPP SSSSSGGLFAGLQTSSSSSVDGHAAAAAPQYTQQPQSVQATANMFGGLSLGSSSSSTD PSPSYNQTPANSVAASFDPLLQPPVQQQQQQPQPTYAAHPTYNPNQFLAPPQQQQQYY GGGPPQFHQQNVVYLQPPQQQQHQQQPPLGLYQQQQYPPQQYQQQPQYGQPQQYLGKL PVSSSQVIGAAMIPTGYIAKTIHEPTDATNFDFMKRDDSFNFVKDHMKG H257_08220 MIMAIVEFRGPPDPLRGDEYYRRVRDAAKDCLDAIFDTNVNAVA GISTRIKGVGNPEAAPQASGWFNRGSKDNAPTQFQVPGAYNTSGPPAAGYDAPGGYPG GYNGPAYPGSDANQYPSQQQQQPPGYGGAAPSYPGGPLPPYGSNPGGGHPGGNYPPPP GMTGLGNPIFEDKKGEGSKGFFEGLKEKVSFKSEPKVTFAGAPPGSHSAPDGWSFSTN RGPTSGAFNPNAPSTYNPSEPYRPNHQPPPPYNTSQVGGYTGPTSSYAAPSSGLTYSD SSEAESRQHKSQELRDRAYEGERQKGRVGGVWDSLPTPTLSQAAPQHRPSQRQSDDGP RPAERIQNEWAQEQQYVRRPSATAPPPSTSSAQPQQTFGRSGASSDGAYERGIIQGLC APGGMRAVPPKDKLDAFLKSALTLDAEVVGPILDELLGTDASSWQVVSKALTVIDGLL ATNGCETFHEYFTDNYDMILHVSTASDKAAVRDRAVKILHVLGKATSSTAPPSRRNSG NPAPSATSGDLLGGFDSPSSTPPQPSSQAPNVPPSSSSSGGLFAGLQTSSSSSVDGHA AAAAPQYTQQPQSVQATANMFGGLSLGSSSSSTDPSPSYNQTPANSVAASFDPLLQPP VQQQQQQPQPTYAAHPTYNPNQFLAPPQQQQQYYGGGPPQFHQQNVVYLQPPQQQQHQ QQPPLGLYQQQQYPPQQYQQQPQYGQPQQYLGKLPVSSSQVIGAAMIPTGYIAKTIHE PTDATNFDFMKRDDSFNFVKDHMKG H257_08225 MPISAAAAVPPEVITIFVRLCQRNATDKFTTHVHPQATVETLQR FLVSQWHITKNPLKDAPLTGHVFSFRGRILRHDTNLDIYYVHDQDSLYLRFPDMGPIS TPWALSTSELRDELISRGAYQPNLRPEQLMHKLQALLQRESRLERLQVATKRGRADDV RAITQELKALDAQANQRHTYDDTLESCRPRSIRWPSPPSAHRTVFCSLSQLERNYEKI PRDVLEQALLILDADRSWVFQPHNTLQKASFDYKYMAFAKDFMNLLVFKEEARLVFWF QPEKNYQALSAFLTSTVDPVTGKPYLPLTVEPNRWLTMGGQDGWEGKVRRDGRRKTTR AIPIFTPSIQRIVTNLQSKSFDVLAVKEMLAQANSTLRFGDDVGMS H257_08225 MGPISTPWALSTSELRDELISRGAYQPNLRPEQLMHKLQALLQR ESRLERLQVATKRGRADDVRAITQELKALDAQANQRHTYDDTLESCRPRSIRWPSPPS AHRTVFCSLSQLERNYEKIPRDVLEQALLILDADRSWVFQPHNTLQKASFDYKYMAFA KDFMNLLVFKEEARLVFWFQPEKNYQALSAFLTSTVDPVTGKPYLPLTVEPNRWLTMG GQDGWEGKVRRDGRRKTTRAIPIFTPSIQRIVTNLQSKSFDVLAVKEMLAQANSTLRF GDDVGMS H257_08225 MGPISTPWALSTSELRDELISRGAYQPNLRPEQLMHKLQALLQR ESRLERLQVATKRGRADDVRAITQELKALDAQANQRHTYDDTLESCRPRSIRWPSPPS AHRTVFCSLSQLERNYEKIPRDVLEQALLILDADRSWVFQPHNTLQKASFDYKYMAFA KDFMNLLVFKEEARLVFWFQPEKNYQALSAFLTSTVDPVTGKPYLPLTVEPNRWLTMG GQDGWEGKVRRDGRRKTTRAIPIFTPSIQRIVTNLQSKSFDVLAVKEMLAQANSTLRF GDDVGMS H257_08226 MGSPKPRNHCGMPHVGLCSFLCFLTWGCLHTTARPEQSKTLGEG CAVFASTVVVFPSSVITESPLTLSLRDNIRSSPWVAVVFYAEWLLAIHA H257_08226 MGSPKPRNHCGMPHVGLCSFLCFLTWGCLHTTARPEQSKTLGEG CAVFASTVVVFPSSVITESPLTLSLRDNIRSSPWVAVVFYAEWLLAIHA H257_08227 MDKFITKSTKRAASAGDDGDNASHTSTNKKVKSESISAPAVGDC TWETIGTVLYMHNIPRSTGAPIADNTLASIAAFDMDSTLISTKSGKTFPTNANDWKFW NECVPAKLRALVADGYHVVIFSNQSGLSKGRVGATELKTKIQAIAAQLNLPLRVFLMS ADDHMRKPRTGAWKLLLDHCSLNVDAATSFYCGDAAGRPKAPGKPKKDFSCADYKFAL NVGVPFHTPERLFLQSTLSLHCKESSFDLGFDPRTLLHPVTTLIVTPHDAQEMVVLVG SPASGKSSFCKAYFPGYARINQDTLKTAAKCKAAAQDLLSSGRSIVVDNTNRDVKTRA EWVALARTNNVPVRAFYLDVTKPVVFHLNEFRMLLKERHPDDPDLAKPNVPDMVIHGF FKNVQVPTVQEGFGSVEVVPFVPLPYLVQGNTTADDSTGPTRGALDDQDKVLLTSFLL G H257_08227 MDKFITKSTKRAASAGDDGDNASHTSTNKKVKSESISAPAVGDC TWETIGTVLYMHNIPRSTGAPIADNTLASIAAFDMDSTLISTKSGKTFPTNANDWKFW NECVPAKLRALVADGYHVVIFSNQSGLSKGRVGATELKTKIQAIAAQLNLPLRVFLMS ADDHMRKPRTGAWKLLLDHCSLNVDAATSFYCGDAAGRPKAPGKPKKDFSCADYKFAL NVGVPFHTPERLFLQSTLSLHCKESSFDLGFDPRTLLHPVTTLIVTPHDAQEMVVLVG SPASGKSSFCKAYFPGYARINQDTLKTAAKCKAAAQDLLSSGRSIVVDNTNRDVKTRA EWVALARTNNVPVRAFYLDVTKPVVFHLNEFRMLLKERHPDDPDLAKPNVPDMVIHGF FKNVQVPTVRLLLLVLLVHLLLPLPT H257_08227 MDKFITKSTKRAASAGDDGDNASHTSTNKKVKSESISAPAVGDC TWETIGTVLYMHNIPRSTGAPIADNTLASIAAFDMDSTLISTKSGKTFPTNANDWKFW NECVPAKLRALVADGYHVVIFSNQSGLSKGRVGATELKTKIQAIAAQLNLPLRVFLMS ADDHMRKPRTGAWKLLLDHCSLNVDAATSFYCGDAAGRPKAPGKPKKDFSCADYKFAL NVGVPFHTPERLFLQSTLSLHCKESSFDLGFDPRTLLHPVTTLIVTPHDAQEMVVLVG SPASGKSSFCKAYFPGYARINQDTLKTAAKCKAAAQDLLSSGRSIVVDNTNRDVKVGH VRPLFF H257_08227 MRASVVYTSQTKIQAIAAQLNLPLRVFLMSADDHMRKPRTGAWK LLLDHCSLNVDAATSFYCGDAAGRPKAPGKPKKDFSCADYKFALNVGVPFHTPERLFL QSTLSLHCKESSFDLGFDPRTLLHPVTTLIVTPHDAQEMVVLVGSPASGKSSFCKAYF PGYARINQDTLKTAAKCKAAAQDLLSSGRSIVVDNTNRDVKTRAEWVALARTNNVPVR AFYLDVTKPVVFHLNEFRMLLKERHPDDPDLAKPNVPDMVIHGFFKNVQVPTVQEGFG SVEVVPFVPLPYLVQGNTTADDSTGPTRGALDDQDKVLLTSFLLG H257_08228 MLQRLAQFVTQRNIRLVLLDLTSCHPSSSLDVAVPALVKCGFRV GVLTSPANATAALVRTTTDATISSSVFRSTQHETFFQDATTFLQVSSDRTLSFRPPGI VAVGNVAIDDVLRDVDMDTPDAFVQALLGFPHALDPHWTQFGPYPIRQSEVFYTSTLS VGLVNLKPIVPGHVLVIPKRRVARFLDLDGDEVADLWHAAQHVAKRLQAHYNAEAYTF SIQDGAVAGQTVPHCHIHVLPRHMHDFRRNDDIYDHLATHDATRPSFDLDPQDDDVRV CRSVDDMAAEAAVLRGILAQ H257_08228 MLQRLAQFVTQRNIRLVLLDLTSCHPSSSLDVAVPALVKCGFRV GVLTSPANATAALVRTTTDATISSSVFRSTQHETFFQDATTFLQVSSDRTLSFRPPGI VAVGNVAIDDVLRDVDMDTPDAFVQALLGFPHALDPHWTQFGPYPIRQSEVFYTSTLS VGLVNLKPIVPGHVLVIPKRRVARFLDLDGDEVADLWHAAQHVAKRLQAHYNAEAYTF SIQDGAVAGQTVPHCHIHVLPRHMHDFRRNDDIYDHVRNVFRYDSPFVIHWQSPWDR H257_08229 MGTAATIARFLYGSMSGTSLSTLSSSCATTGTRHVLMSSTYYMH RLIERCRKEPTHERRCLVQPSTTLPPATLYTIVTNVTNVTTKMMSVVYRMHTRQ H257_08230 MSLIAWVSHSDSPDTTNASQIVRRVWGLVSRRHPSSWRNVLSVN RVVGLFELAVRCCFLREFTAMTVLSNAHIELQTTATGILTRFDIIPRSVWESFVVARN GFVPDLRQPEEGKAWILSIMAPSLGPVAEVNDLVHQVSGLDLSTQQANATARVATTCT VRYLYPMPTNRHLQDAATLGGSVLCAPCFCSSKSFLRWVHRSRIASPSIECWASRSAH AAASASVRFVRVAVSTLFSILPLFSVSAVCGAMVLEPFAVNRASFLFALVAWGALKPC TSPTTSSCVTIRDACSNVASPFKSCVDNFLPLLRWLATYGDYVAHYEDQTSGDVELWW ASHFDE H257_08231 MTLGPASPLLCRKPNALAFQARQSVRARVGFLFLVVSATSSASF FAVTKSKIGNNFLRERFNSIVMEAFLVNSYNVEVLFRPQSATGLNMVNVKFQEFYNGS VSTLSINSQYSSHVAQFEALSVLAMTAGFQSTSVRDLPCLATQYKAIGLVETVLVQNT LGISYPLTMKTSNGSYRFDLETSRKMYWGWGSDLWTLTHNATTMGGSSLIRSSSHFCF HNQSEIRLVSKQLASPTPGCRAVAGSSRVGAIWQHRHVSHAVS H257_08232 MASKRSSADAVNNEAGQRVLRARVAVGTRSHYNTMNAHLLKRKH SRVPFKREFDTFAAACGRDALVVKFGRTKNDKDGANSFPRHVYANPHDPAICTILKFE V H257_08233 MILLEVFHKDGFNNTSVSFGALPLVFARGGAVVLYNDGNLVRKV FFLLQQVQREEADVACLLAGNKAEHLENLFQVPTFASYLKAANNQVVDCKAIPTNVMA GT H257_08234 MKSLKNLINAQSRQHLGRNSVTDDLIIYMALNELPSQFYANQGK RHDTGKAFSKATPKSRKCFYCEGKYNVNSVDHMKWDCPKRQDDFRRGWAHSSIFEEPR RIEDAVPKGRDVRTEVACGAVVTREEVALPASPPSRQEFDLPDMSMDDMFSCPDDSDM LSYSPALAVDTTSTPRVETMAASMRDLSVKMDQS H257_08235 MKDAMRGDFSRKPPRLPLPVMKRTPAIHRLGHDMDPSSAGTISS QFYGELTVPMKRIVHILV H257_08236 MPMRRRGTGRKQSVKYARAKRPQCHPISGSLPQSSPIVPSDPAE GSANPTDAEDILLAVNQQQEVKQQQKEQLVSVEQRRVAWVVQYVNVLGSPPQPEWNSH GEYAAASTYLKMKYPGQARFAFGCAIVETTSAKKDAYAAPSFTL H257_08237 MMARSLKSTRHHSKKFKYNHGAQHPDVWLFGGVDRTTRKWFGRL MLHGRTKPSLSAMIGQHIRPGTLIMSDKFGSYVSSDERHILSNNPLLADKN H257_08238 MTLPVKMAVTTATGLFQGTAAATVAENGPFTDVVAAAIPVTGPF ACTVAAVRDRTIVEVSLVKRQW H257_08239 MGRTKRKHTDGDVEEVAGDRVVAMRLVDDTRAQYLAILERFKRW LHTEHPSFVVDTTIQLPLPSNICQMYLDYASIKRNTRGEALVPKQYNTFSTIGTCKSA LKFLYKEANITMDEDLESRLKDFANGYKRHIAQLKEDGVMPIGEGILPMSVDVTKKAL LCFPSMFTQIPRVRHLAMIVFTRGLTTGQRTKLVFGATAQTRFSRWLLKVCSVNEAEI LAMGMAISEIGTHSFRKGVATALSNSPGGPQAVSIWLRAGWSLGSVQGRYIFEGSGGD QFVGRAATGLPLTSSTFASLPPHFFGAPALSLAEWETVVPGYSTEYPACFRCVLPYLL ASLAFHHSWLKMALSPDHPIFLSPIWRSGFLIGLSKRVHGGVMVNRATGMVATGVPPH VTLVDRLDQLETGFKTFENNVFDKIDNIPESVAMAVSGRAPTSDSTPVTIGMMHDSFE LLRHQLLADLRLASSSTPLVESTITPEVRHDERPNQPVKVQRTNNLVCPSTKTFDLWT LWWSGSEVHNTPPFRILRRKDFPRNSDRVNFSKATAVIDLILNITTTTRQFAINMTVA QRSEVYLTGMRSIWTAISAATGVSPTKRRVDDISYHTVYDLIKKHNITAP H257_08240 MACRTSLQGPHPTSLTTRSINQGVSLLNNLEMQRQAKRTRYSTH LADEPDTDTDSIVPIYDAFLETQEVTARDLLLMLLTSHKHCGAWDVVAAMFKQKVATF EKRVMKFLMVLYPFVMRKYVTAQTNVPSGSYAEKKLFYSGRHHLYGHKVEASVFPNGF AINCTSYYKGSVSDKTIFDENLDFHAANLCKEATDMDLADADGLGPDRELRWAVLVDK GYQGAQRNVRAVLQLKKPMGGILTFEELRRNDRIASDRVIVENFFGRLKTLWGVCSDT YRWNRKTYDVVFQTCMAFTNAHVRFHPLRAEDDDANSQYINRLNAIGTKMVKNKNTAT RTYRSKRKARLSMFMTAESSLAAADAGGSDTDMGSNSEIENPRGSFF H257_08241 MGIWNDELDLTWLKELEYQCSVLGKRARSGYKKEAWSAALKTLN TQHQLSLTTSQLKSRHDVIKGAFAVLSKIVDSSGMGWEADTCRVECQSTTWEEFMQGK PKSWGSWKNKRFPQFYLCERLFGSTLARGRNVLASTAEEPQAFLDDDDDARSEHELST GGDSELQYAPEGWRRSPSDEDASQRQRDDSRDDARDGARDDSLENATRSRISANDEDS VPSKRRRSTMASQLSQDFRAIADQNAKEMELLARALGPPVQASNVPHCARAIEVLQAD FEDMLSVDELVKAFEVMENETKALMFLHMNGPVREAWIRRQISQID H257_08242 MKQVACLLILRRRAQRRRQLAMLAYMAYHYAAYLLKSPKRVSAL TGAMWVEEMLVGNADAFIEMFRMPRPSFIALLEELMRNGDLKATRAVTCQEQLCLFLY FVGHKPSSTNMQQRFQHSGETISRHLRRVVASLLAIAPRHIFLPPSIGPTPVEIATNS KLSPYFDDCRMAIDGTHVPVWVKAGESAPYHGRKGVTMNVLAACDFDMHFTYVLAGWE GSAGDGRVYTDALLRGLVLSPTKFDILDAGFALTKKCLTPYRSTRYHLKEYGQGRLKP QTKEELFNLRHAQLRNCIERIFGILKMRFPVLSCGVRYDYSFQVSLVMALCVVHNFIR RWGVRQDRFEQDADILRRQQQQEAVSDQNDPADHVEDADSDEAKLWRDSIAGTMWVNY QNLLNERRRRRS H257_08243 MPHISSSTMAPVDRSNLSRSGVITSGADNTSSDGIVVFIPAALK RPSHTLYCICVKVDETPHGGGSAEWSVNRRYSQFLELRKQILQFLQRSPTCPGCQSMT RAVGAFPFPPKAFFRTNKLVRQRTKDLQTFVEIVIARTFSTAPKCVTCGIGTMNLVWP FFNRGAQYISRQSTHLPPTPHASRTAHHISPSSKSQAANKSKTKPEATSDCSTTDSVQ DMNDYEVHRRTTHRIADAVSMDEYSADPVFLTCRSDHSSSTVATNDTSLVQLQYRSLK QDKAAFLLRSTSETTTEHESTTAMALSYLDGAEKCTDPRTSVATVEQALDEVIIADGH ANTKEQKMFRLSTMWEAFELDDIQRDLVSTRTTKFGILV H257_08244 MLARVVTFQSLRHVRALSTSAADAAAASLQKGFSKSQKDDDVTH DDNLYVPSNVVGPEAEEAATTSPHPWLDHLHETHVNSFAPKIIVVGVGGAGGNAVNNM IARGLHGVDFLVCNTDAQHLKTTLTDNRIQMGSELTGGLGCGANPDAGRLAAEASLDE IMERIGNANMMFVTAGMGGGTGTGAAPVIAQAALDSGILTVGVVTKPFRFEGSHRMKL ADQGLHELKQSVDTMIVIPNQNLFNMSTDQTSLMDAFRIADDVLLSGVKNITDLMVMP GLINLDFADVQSVMTRMGPAMMGSGEAEGDNRALRAAEDALHNPLLGDVSVKAAKGML VNITGGPDMTLFEVDAAAERVTQEVEDPLANIIFGSSFDPAMTGKIRVSVVATGISDV TGSSK H257_08245 MRVHTIPGMQFLFQRANWQCILVLLDQDGQSPWCRNLVSWIQQV YSCVFAADNGIFSIMHRKTRVTHVIATNLSGSKTEAVLKAGGRVHCVHPQWILDSIEK GKRQPEAKYVVCKDSTVSSSGASFFTQAPPSIQQS H257_08246 MTSALTSSLLTAGCKDIPKKTGVIGDLVKEILAVEVQMQDAHVV AKQDPDKGEQVLDLYVRFLERRDLLIAQLQKEGMAVPWQLRVKIDEFEQTLKERKKLS ANKSAPLFSTTDNERSPQTTPTDSVDSPSSVSETSSVIAGVLPLVVSLLVVGIAVYLA ANYAQFDLLK H257_08247 MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVD FKIRTIELDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQW LHEIDRYACENVNKLLVGNKSDLTAKRVVSTDAAKEFAESLGIEFLETSAKNAANVEK AFMMMAAQIKKRMANAPVVSKPAISLGPGKDVGSKSGGCC H257_08248 MMDTQHQQQASTVQPAHRVETEHTDMIYDMKIDYHSHRIATCSS DRTVRIYEAKQNTTTPNALVAVLDVPCDGPVWRVAWSHPKFNVLAASTQCGKVAFYRN KAPTGAPEAWGLLDVHQTRPSSINAIDFAPHEYGLVLAAASADGTVSLITMTQAGWVT TSSFQDNSVGCTSVSWAPFNSLGGQGVRRVVVGGCDSIVKIWSLLDGASAWQTTESLP TGHSDWVRDVAWAPNAGMPCNTIASGGDDRRVLIWSQVEAGGPWTVEQLGASFRAPVY RLAWSVAGQVLSVSAGEDSVTLWKQKQQSSNQTWRWTLVTSMADSGAVPAPPTL H257_08249 MKRVLSRRTKFTLAQHRHDDVLSFKFIQVLPVTGTLGAEVEGVD LTKPVPPPVVDEIHAAFLQYGVLFFRGQHGLTPHAHLAFSRLFGSIQRHPIVQGMAGH PDILQIVKEPGTPTRFGEEWHSDHSFMHAPPLGSVNRLEIPEVCGLSSFQAAYNSLSS GLQSMASNLHAVHTSSHAFSASAQRQANFNGTAAMTYQKGHDNSVVHPVVTIHPETGK RVLFVNPMFTQCFEHMTVDESAPILNMLYGKAFRPEHQCRFRWSPGSVAFWDNRRLQH VAINDNVASRRVMHRITIQGGVPQK H257_08249 MKRVLSRRTKFTLAQHRHDDVLSFKFIQVLPVTGTLGAEVEGVD LTKPVPPPVVDEIHAAFLQYGVLFFRGQHGLTPHAHLAFSRLFGSIQRHPIVQGMAGH PDILQIVKEPGTPTRFGEEWHSDHSFMHAPPLGSVNRLEIPEVCGLSSFQAAYNSLSS GLQSMASNLHAVHTSSHAFSASAQRQANFNGTAAMTYQKGHDNSVVHPVVTIHPETGK RVLFVNPMFTQCFEHMTVRIVHMLPSLQDEVGYFTLRV H257_08251 MAYSKDEFEAVVASHLSGWTPATTPSNADKLKLYALFKQATKGD NTTSRPGVFDVAGRAKWDAWAAKKGSTKQSAQDAYVAELTRQKTVYKSKL H257_08250 MLVVLAVLAVVLSIVLEPASASLSLALNASCHTFVYRGSPGPLM SEFLAAKAAFHDESAVCFQQDVDLLRQSTAILYYPRHDAHAAKSCLLRGLPSAETYTG PVSAVDLVAFVNQRAHTHRLPDGQINPLASIARALESQLFTVPATTSSCKTVAAHDMT PELFEQFILRNEPLIIQRAAPELVNATEWSTQSLLERIGSRQVHVKVSPTGDFEGCEP LAWWEGANDTIPDFVLKNLESPDRVLVRPAAANMAFSSFVNRLTTALPSTTSYYLEYL SMATYVPELLTNAPQFPWATSSFLQLDVANLWFGDGKSVGKLHFDAYENLMTMVSGQK QFVLYDPSDNTRLYEGHIREAQYEMDASGDGFYRRKLMESTSMVNSPVDINDPADVTR YPRFAQANALRCTVNEGDTLFVPSFWWHEVISTPATHEARNVAVNYWYKPLYTKDFPC KSCRVRFNLAYEHVLRSLQSKKEEQSRDEL H257_08250 MLVVLAVLAVVLSIVLEPASASLSLALNASCHTFVYRGSPGPLM SEFLAAKAAFHDESAVCFQQDVDLLRQSTAILYYPRHDAHAAKSCLLRGLPSAETYTG PVSAVDLVAFVNQRAHTHRLPDGQINPLASIARALESQLFTVPATTSSCKTVAAHDMT PELFEQFILRNEPLIIQRAAPELVNATEWSTQSLLERIGSRQVHVKVSPTGDFEGCEP LAWWEGANDTIPDFVLKNLESPDRVLVRPAAANMAFSSFVNRLTTALPSTTSYYLEYL SMATYVPELLTNAPQFPWATSSFLQLDVANLWFGDGKSVGKLHFDAYENLMTMVSGQK QFVLYDPSDNTRLYEGHIREVRGDKKGWVHERVV H257_08252 MNTSKHLPWVEKYRPKTVDDISHQDEVVNALRTSIANGQLPHLL FYGPPGTGKTSTIIAVARELFGPKFRENGRFLELNASDDRGISIIREKVKSFAQGAIS GSSTMPPFKIIVLDEADSMTNDAQSALRRMMENYSKVTRFCLICNYVSRIIEPVASRC AKFRFQPLEKVSMTARIEHVCREEKISITPAATTVLLDVSGGDMRKAINFLQSANQLS GEDISEDAILAIAGVAPTELFDLMWQHVAKNSFDRLKNEVDDIIYSGYPVLTILHQLT DQVVRHPSMDMFKKANVCLRIAEADKKLGDGASEYMQLLDVAAFLMRTYHE H257_08253 MTYFPCSNHTLMSKIASTRHGSGTRPAINHDATSWEGIVGMSNV PSDVDVVDVGFSNGKNSEAATLSTCRSDGRERRRRVDGFLFTPSKRGGTTDATTTPPP RASTCNNTIFHTRWYDPICSTALEHDSAWSMSCDVHMRWVHAIGTTGSTTSNPTRTPQ DKTYATGAYILVNRRLTIVKKSTAT H257_08254 MIGFGAHPPVHAVLLDSQQFLRVGGHGGYFAGPSHDLFADHRQQ CHMAQVDFQVVCTTGDLAIGQPVRFVLLIAIVLVSKCGVLCHHTLPSDEYPENPTQVW FLVRRSQVHVYNGGIDQR H257_08255 MHRVLPMDSGLPDIPASRAPNIPWTLVGCSYITLSIICSVWYLY VVQPSLSNDVWWANYSSSRDQALVIDIFNAILPSQSSGALDIFSPRATIGRPYTAVIP STAVYSTYPRQLVLTELTSVEYAVQNLRSLDAPNSLWMGTQYCWVDFQKRFEIAHTET RQTRCRDRYRSNGAVYMESMLRNQVWDDFMSFYGAVFEIAVQDWLVQSEDGRRWVATT SSARPTTTAAEEVALWTENGITSFTLQWQNDFVNGMSDAIVLVNALGMQQELVLRSVS YAEVTWTSVILYWTIMNDMYYMYLTNRSLIRSANNSFLQHPEVVMDSMPTNVGEYLTQ VDAFRASIGPFFCVDAFVVPVPPTVVALYRQFEDIVLAMARLNVDIDFAADAIQGTTL SPTPPSWTRQDRLFYGGNPLCLRGAPQVYVQNTFGFHDLCDKQTPLSLDYNLHASLFA VQATKRVQVDDICAVVAAPESCRRLCQSILEVEKHLPPVPASFTALFDDVFHQVTLLN VGIMQFASSVDGFNMTILFEPLLQDPAFQFFGWFFIYEWVSGRREVVRFDGDVASLTL MSVAESPVQFFSGAESIASATHGLYYVVVYVTAILATICTASLVSTLAFGTSKLQTSE FLWFNHVVGSVWIGRPLLLLRGGTAILVLSTTQLHLATINGVHSHFEFRPRYWFSTCV IAGEATWALYVAVDFLTVVTSHFTRSYAPLSCVIAWSVLVLVELTVPVLPWAWIDRVC TGQNMDQAIKCSSGGIRMGSFDRVRLILLIQSLSICAAMAISLVYKTVLERRHPVPAI RFQRYILGVADNYFPLEVSDLDDLASQNFASQLMAGLIPWRRGGLFDIKLWLHDTSHS RIARKATIANFSQLQPSPPYEKYLSKKSQQRLQRVGELAAVLYAVGGIVGSVLYFQVA QVNLANDLYWATFNMSGMHVFMSNWLNDELYLGVRKTETAMDVEYINQDGSFDQDSSR IMSPSNFGQMLLYTELNAIQDAIVGLRASDACEVPWISTQYCFVDFDQRWDLANTAAR QQRCRRMTSNGAVFLESVWRNIDCREFARCWGHAIDAAIVNDLKQSTAGQDWLNEVFA DEKPSVSTEIAFWKTHGVSHFTTQWQNYKTIGLVNNYAVTNVYGISYPFTLQNEYSRF RFESETTFKMYWAFASDLAAVSNNATAIAGHSLIRSSSLFAFANTSMQSLLMENGTVS SPLPNAYRLLQSELGSFGSVDVTYVPCPSILKGVARQVFTTLRQSLAQSDAAQVAYFN LPSGLNLMNPVPRQWIDLKFNSVSGSILCPEAQPSPVGTGLQGFVAWHRQCSFTPMYA AVAFDQQNALLAALLSQLPLRNTPEFLASICRHDGTGNPLCMKYLASIIAFIDTYIHN RIDKHVVASMVAQAIDAVVALNVEFVQYGRLDEASPLTLYRSLVFNPSDESFEFFSWV FLVDWVVGYREVVSFEGDVGNITLLTEYQPFVKDHVSMVQFPVNLASYLRNVVLYVTS TMIFLAVLLLVYIALSHGHVDVMNLFKLQRVGAIVWVGRPLLFVRSLTAIGVLSTASL ELHFSGYITFFTSAQVPWYMTILAANEITWLVAIVNDMALVLTQEYTPHYATGNTMLV WLFTATLSIAIPTSHAMVVDKQCQVAAMDSLVVCTSGHLAIGQPLRLVGMVGAVLTCN ALCYFAARHVMDKPESTPLKSVFLYAGAKYMFSTADWIDGHIYYMDRMSAAMNGILTI RRGTVMYGLDIKLWRTLHVNLADTSPHTTAAHFALPLHMSNRYSG H257_08256 MISLRFSSKVLGRHGLVGLEEKEDGVVLVHTDSSQQLEALASPH RGRDAVPPLKVPDEATWKGLPSKTKPPKKKIHSIVQDLDTGLMFPHVIETVASPLPSP AAFARMMDYSTLDDDDLEFLPRNDQIAHGKDDSSSSSSDESEGSQASSKDVRSFGPDA DVLVPSVSVKCLRLWTEYYLRWDATATIDRHGELEREAKLRDVLGELEYYKKQQRKRE SGETIMRRGGIDPRPRGDDRDGRDDENDMVGLAMEDLFEVESRQVMADLVASPSPTPT KNEKDDVLTADKLSAHNHQMEVADLQARHRVAIDRMQMQYEDYLSQRLQR H257_08257 MKSGSSLAVTSKFGRQRSALLDAIEEEEGYDSDAKAATKCRQSV WNCPSRSVHPKFVLYRSGSSDHHDTNHRVMRQVVDAVTQGLSIVLFEICRGVLVVVVV GSVATAAVCVGVYVHMNQWQLVV H257_08258 MAWGAKTGVTDLVQKLQAGNTKNMYVLRTRTVGTSDAIALAAAL ATHPIMEEFYLSGHDLDASGLAAFASVLATNSVLQKLVVGTSSLGDAGVTLLANGLAQ NDHSGLVDWDFEFKGFGNAGAASIGAMLAANRSIRHVNVSRNAFDGTGLDSIIAGLHS SPTHNVQTLDVHDSELALSDSSILPNYIRDANCGLRTVLFTGNPLGRAATALFTALAA NTSIESLHLTQCNLPDDALIALGHALGANQTLKHLDISHSALSSTSVAAFCLGLASNR SLQSLNVASTGVSDALVEALATSLPPQLTSLNVSGNGLTHIAVARLWQSTTLTELRLF HNALGSGFAQVLPVLQANKTLQVLDIGANELHGEVAALLFNALHSHPSLKTLEMGGNN LGDTGLAALEALQAANPSLDVAMDKAAGNEHVNEV H257_08259 MSNHSSPDITAPVNILDTTDNSDTHHQQQLILVIVAGSLGFVVV VLTVLCCRMRKAAAMASVDLNALDPPVQVGLAMETVLARYQLAGKLRGKKLVGNGTHC AVFRAHYNEVDVALKTPLACILKRADCAETMHVFMDEICLCARLQHPHIVTFVGVVYK SVRDVTLVTEFMELGNLATVLAANETNTKFKWLSAKKAPVTKLTWAIGIAEALAYMHG LQLTHRGLKAKNVLLSTTFCPKLGDMGLTRDKEVELSLSNTHSRTAGNIAPELLAHAT DYSFAADMYAFGALLCELDTCKPPFSNLEGGAGGGLDNKQIALLVGQGKMQPKFSYSC PDPILDLATQCMDFRPTKRPNAVKIVQELKLIKASLT H257_08260 MLDIDNGGGGRLSVGSLDDLTTAAQAGLFLLAQNEDLQVQITEL TAQVAHQSHELELAAAKYDSCWRQRCLAMKEVTDVLKENHQLQRDLKHAIARALELER DAAQMESRLHVAESALNRIVQQDTKQQKSPPLQKSKWSAKQVSTDDDVRVPERGPSTT LLERYAEGLEFGNTARAEPPNQADSEVEDRDGHPTAVRTATPQTSSLASALLMVDLAA KLDKLAPLEHLYRQSVAALEVQTKHVQELQLEQAEERDLIASMRGVIAELKQHIHHLE LSTSTTPLDDKEGESIDTIIPETLSSDRANNIVPAASVSEVISKSDCGGSCQHFPQFK SLGVPLMLEGTHQLVEQLKAHTPLMWSRPIGSVVTSHHLPRSPPPNVPRQCHAAIACL QDIWPAATEADFMDLTDWLHLAFRGTGLHRPLHMRNLSEDTVAQIVSTLVPLLQSACH RTVDIALFDRVQYTTDVILRC H257_08260 MKEVTDVLKENHQLQRDLKHAIARALELERDAAQMESRLHVAES ALNRIVQQDTKQQKSPPLQKSKWSAKQVSTDDDVRVPERGPSTTLLERYAEGLEFGNT ARAEPPNQADSEVEDRDGHPTAVRTATPQTSSLASALLMVDLAAKLDKLAPLEHLYRQ SVAALEVQTKHVQELQLEQAEERDLIASMRGVIAELKQHIHHLELSTSTTPLDDKEGE SIDTIIPETLSSDRANNIVPAASVSEVISKSDCGGSCQHFPQFKSLGVPLMLEGTHQL VEQLKAHTPLMWSRPIGSVVTSHHLPRSPPPNVPRQCHAAIACLQDIWPAATEADFMD LTDWLHLAFRGTGLHRPLHMRNLSEDTVAQIVSTLVPLLQSACHRTVDIALFDRVQYT TDVILRC H257_08261 MSWIYSTLCADPAARQLGFQWLSDRLYHTSHSVIPQHPLGGQGG DAMQLTVHTNADENPVTIVAMEFHFQFHTVFASFANVVSAILAFSNQGGFVVSDVIPC QVVEAVSDTLQYVYGANRRSGVTMRRVTNLFHNHDRTRAVQTYAKVADDECFPLQHGE IRTHGFGWFDLDRSCNSGHWIVRSHTDCSGRTVAERVAGSITKVRGSVLHYAPITNEG VVSLECMGSLFGINHHQFSRREDLIAQVRTQAQAMYEDAYQGWIRALRQHLDHQVG H257_08262 MYTLAWGSGTPPNLVNSTLVCTWLPRRLEVVTCGGPAVGQGGDA RVGTSHVEQGGRGHGSYRMQSGARCVDAAIDEGSVGGIAIPLQGVGTALSFACDIYAY AATPCDDGFHHHRLDCCRCPGDLRRLPSCERLAEV H257_08263 MPRSAPTTAASIEERRHSESLRKRKYRAGKRTELIQLETELRTL QDQLAYLTAPRSSSSLFPSTTPPPAIIARRYNESLKAQVTLQRRLARTMSLWTATQQP RLGPIMRSSWMQSTLLANPAARQQGYRWLSDRVLHTSQRHPHPFGDAVADRVSVVAHR GEDTDGATVAAIEGHYQFTAFGDFRHVSQRLWVANIAPTAVTVIQMLDDQPIDGLMYY HSTNLVTNVRVIARQYIEASRVVLTYTYLAHDECFPMIPGAREWRPHGFAWTIVEHVT DQVSLVRHSNLQYTPVTSQGVASLAEIAQLFRSPFPESDGQSPLRNREARVVQLQSAA EAMFTHMYTNILRRLNQMFGPS H257_08263 MPRSAPTTAASIEERRHSESLRKRKYRAGKRTELIQLETELRTL QDQLAYLTAPRSSSSLFPSTTPPPAIIARRYNESLKAQVTLQRRLARTMSLWTATQQP RLGPIMRSSWMQSTLLANPAARQQGYRWLSDRVLHTSQRHPHPFGDAVADRVSVVAHR GEDTDGATVAAIEGHYQFTAFGDFRHVSQRLWVANIAPTAVTVIQMLDDQPIDGLMYY HSTNLVTNVRVIARQYIEASRVVLTYTYLAHDECFPMIPGAREWRPHGFAWCVLVHIY IYVY H257_08264 MVASPTTNVADDKRRFECLRKRRYRAAKRSELKMLEEEVGDLMA RLRFMQAKYYSTALAPPQSAPRLRHRLLHHPPHPVNGPLSLSSNLDVAKAVHRHTAVL RAQVVAHRNLVRTMAMWVASPPPHLPHCLSIASRIHVASLTSDQHERTYALEWLSERV FHSAMAAMPQHPFATNIADSWKLHVHRRHDDTDDDTTIEALESHCQFTVFAALDDVAK ARWPTSRSNSLYCHQVVASVHERLVYFTHYNYRLGTTSLTISGRFQHGSRVVVAHMLV AHDECLPLAPGDLRPYGFGWTVYEPVSHGITLVRYSMLQCTPLTSQSTVMTLNEIGRL FGLPSRGAESADAYVDAIAAAAEENLVRTHMPAIRGFCLDLEKSDVDENSGA H257_08265 MHVHYTMKAQTSWLQATLVVDPVAMRHGYEWLSDRIYHAAATAK KFHRPLGTSVDDAAGLTLHTREADDDRTVTIAAMETHSQHTILHNFEAVSNAMRTCMG YGRNSLIFSEVQSLSTWWTAGKAFRMNTPERLHLSRRLGTSLRNVVRFYYPTSTVVLI TYCSVLGDETHPRVDGSRARPHGFAWCSRGFVSV H257_08266 MDIPIPTTTSMTKVHVQQQAQLPRPRRRPHQVSFGKASLALGIA NVINLSAIPLKAYISESFPWSMQPPQLAIPLNATFDTYTAATHAYLASLYNNQTMAPA DIFSRDLSRNTFVLRYKLTLDPEDTHCLTSMLRFPGAFFYGLGTQALVCDFLAQNTST RQRTAPWISCQRDQLLGHPAVEVCTWIEALDECDDVCWSPKYAVYHAGLLWESVAFAW VKLGLRCMLVGVIWRQLWVSYFSHYSSLIVNLKTLGLPRSGGGDDYVGDTTVSYVLHV GDPTWLILSHPFVSLAMVVDCFASASYMGVAGNRVSQVGDAVQFVLGCLYGSRLAWVA YLTMHVASWPIKRWHLEEYFDPVDSGWMAISSSVYAGPIMWLATNSILMVPFQGMFTF LVAAPLETSEISTGTLCFFALFGSAPLLHSYMLRRVHMFLARRHRRELDSFASMTKFN DLKQRVVLGSCRYIIYRGRDQVINNPPLVRNGGGGLHRLVESHCQYKWRPLFCTRAAD CFVECMNDHAAVEMIRLSLIHSLALPATSHCPCLHEDRSVCVLSNEACNSSNENKIES SSPLSPCLHHAASNCPWLM H257_08267 MDDDSHSLVDMRPNGFGWHVFNSVAESVTLVREMNVPYAPVTTQ GVVPLAKNSCLQSTADANYYLGKQLTSKHISDSLDRTM H257_08268 MHKEVLNRNELRTNKATKATEDFEACNVTEGDYVLWSRVDERYH PKLLVTWVGPYRVESVGEFSVMLEHLVTHEEREAHTARVKMYAETSFEVTEEILEHVS EQGIVSQVKSIAGDKFVPDVSDFMLKVFWEGFEDIESSWEPLNKLMRECPAVVKAYAA TKKNADTRHWPRR H257_08269 MRDLLANDTAGHHAYDLENIEIHTFHCAVPPWTIGQFEIVVHLK PVRQRLHSSTAAGQKASDFNKHVYEHWHENKTTTNHGSRSPVAIASRWKKLCPMLTSF NSNIIQALKAIPSGWNEDGVIDNELYAIPDVKLHGIKRTIVLAYWRIVCDAPKWRVED VSRG H257_08270 MAMSTATDTASPRRSELSSTLARRRYECERKRRYRADQRCQRAI LVAEITALQETLQRTLSIPQQRAWSEVTKRFETDLALRAAKRRKLRDQVHRQQELLLI LHEWVGRSVGRSLRDSRPWLHSTLLAHRESRQYGFQWLLDRVFHAANTTLSSTFNGSI ADVSTLQVHVDDNQDILGMESHHQVTLLAGLNDVTACYVNASRDIIQSSVYNVETVLE EGELLYWRLYNAQLGTSLCRLTRSYHLASRVVCASIYVRDDECFPLQPNELRPHGFGW TVMDAVTDSITLCRSAVMQYAPITTDGVVTFERTAAMFGVEPSSSRDVVLARIENNAL RNFVAGMASMVTDMETRIARLHLTRPTSNIMC H257_08271 MVVVHVLLLTAALAIVALYGYTMFSYFLRFACHLYFRRITVHGV NNLPREGPVVVCPNHPNMMIDVLLVLTQCTHMGRNPYAWAKASMFKNPVAGRILRALG AVPVYRPPGKLANQDVDSEKTPEEIAAATRHMFEDTWKVLHLGHLVVLFPEGTSYTLP HMLELRTGVMRVATGFVKAYDTPITVVPLGLTYFNKDRFRSEVSLEFGEPIIVDQAVI HSDAFSTDERSEVKRLTEQLQERMHRVTLNAHNFESFRVARTIRRLYCAKPLHPKDDV HFTQQLVDLVEGKLTTKETETVVLTQLKADVSKYQHALDDLRIKDSDLLLDVKESLVA LAVERLMYLLVLLPLATPGLVLNLPFYFLGTKLNVLAGYTESRSMFKLAAGIVLVPAQ WVVLISTAAYIYGSSAAYGLMIALPFFLYSHIRVLEESRSIMENVWYLANLATRKERI DVLRNERKALVTTVQSLVNALVKDPVIDRINKVNATSHGLRPAGLSLRYRQESRSDFI H257_08271 MVVVHVLLLTAALAIVALYGYTMFSYFLRFACHLYFRRITVHGV NNLPREGPVVVCPNHPNMMIDVLLVLTQCTHMGRNPYAWAKASMFKNPVAGRILRALG AVPVYRPPGKLANQDVDSEKTPEEIAAATRHMFEDTWKVLHLGHLVVLFPEGTSYTLP HMLELRTGVMRVATGFVKAYDTPITVVPLGLTYFNKDRFRSEVSLEFGEPIIVDQAVI HSDAFSTDERSEVKRLTEQLQERMHRVTLNAHNFESFRVARTIRRLYCAKPLHPKDDV HFTQQLVDLVEGKLTTKETETVVLTQLKADVSKYQHALDDLRIKDSDLLLDVKESLVA LAVERLMYLLVLLPLATPGLVLNLPFYFLGTKLNVLAGYTESRSMFKLAAGMYVHKTR NHDSDWIIMSWIVLFFLPKMWTCVD H257_08272 MVLTAKRSLSPSTEDDEVSAKRCCRRDVKLTDKFTLHRGWLHKK GTGDVAAVDGSTAGFPWQRTTSRLRHVTTQDRNMYVAALYTLTNNMGLMQDTIHMSIS LLDRFLKIQPITLEWLEVVSIACIWITAKFNETHTALSTLRVKETLQQRQGRGKGNWS WHHVLVLECQILKRLGFRILHPTLQWQFHQGVTAGTISLTPAQLDDAQYFIDLSLMDA SFQSFAVADVVDAVVFLTTEFLPNSNFEFESMEPRVRDPVMLFFVLHLNLQKGGSDTK TSIHRSLICKHNQRLSKAWRHQKSRAPPCDCRICHP H257_08273 MAPSTRSGATSTVAATASSNHGSGGVPDVLSDREKEHFTNVARH MTQALLAATNFSQAMPWKLVYDKPFSIYRTELCGLGPCNVHAVTKLVAHIDEVTNALI TTTTDSYKSMMATLSSDFVDGAVLANILTPTAENPFRYVGLKWAAFKGSVMSKDKDYI LLEYVDLIEDAHGKKTAFRIMQSVDVPNSVELSLVSGRYTREHVQLVGFIYHTTAKKG ELRMTYTCNMDTKGDMPIWAANSAIQSHVDKCITRTLKYIEVQRVLQYEGESFDLPQR VIPMSGSTDYCHVCEKKFKSYFRHRHSCLKCAKYVCKNCCSFKTASVPELGERQLRVC TVCVVQVRQARRGSADLHRKESLDRLLPTCLDARQKRHALDEVLGSVRHMIVTDYKLF SSHQAPSHMGKNGTTSHGNCGNNPVTASTNAAIMRRRVTVGPQQLTPALEMFKEGLPT LVHQQQQQHASSVYGAAAARVQRKQPSPDKRRSTVGPCDLKSSLAAFHHHVEVTGKAA APASHREDEETSDDGSMYIVSSDSEGDDDSDDEQEVDDSDEVAMPRRRIALTESALRE LNMHQKRSKELEKQTEANHKLANSIARQYSDSQHTSAASHSRKSSLNDLMPTTTSSSS SMRKASAGTIVLYDMDEIRDMEKLRAFQQTNGAKRRSSSSEVLAKSSHPPSTFMF H257_08274 MLSRLQRSLKPAVLSRGFAAAADGHNFELARPFKLHNLEEVPAN GNHAFATRDELLGYYRLMYTMRRMEITCDNEYKARTIKGFCHLYDGQEAVATGVEAAL ARSDSWITSYRCHCTMLARGGSVASILAELFGNKSGAIGGKGGSMHFYNKKENFYGGQ GIVGAQVPVGVGLAFASKYNHKGDGPMPCSITMFGDGAANQGQIWEAVNMAALWKLPA IICIENNHYGMGTSTERHSSNQNYYTMGNKIPGIWCDGNDVLAVRECATFLKEWTGAN KGPIYVEMCTYRYHGHSMSDPGVTYRNREEISNMRASRDPIESVKKRLLESGLATADE IKEIEKDVRKEVVEATKEAKASGRPDDAIAFKDVYSDANGKNEFPPFIRRPDITKSLY HGK H257_08275 MATVLRRWTAAVACILALALATDMLAESYVTASLLDDEITTSVA CHAQTSGHLEVLAAGSFAQSEFFACFRPQDQVFQFFDTIAERTRQATAKRPVMIDKFT ISTTVFGRDIPAYKLTTGPRSTKQSIYLQSGLHAREWISITSTAFTWATLLDAATSTN SSLSAFAQVLDEYDWVYVPIVNLDGYIYTWSAESRRYHRKNMQYRSYDPLDNATNMTA GVDLNRNFGPIDDNDEDSIVYSGPNPFSEPEVQGIAAFVESSQKQVNGSSDLAGVLDI HSYGGLILTPFGNSSAAPDQPFGAAFFKLGQRVQSALRNVSGGNYTAIQAFDLYFAQG MFCDFVFATYKVPALVVEIEGADFRVPASTIQRRGREIAAAVVTFAQSLGDWASETNT KKSGTKQSTAARVVVAGPWWLCVVFLLTVSGTMM H257_08275 MMLGRDIPAYKLTTGPRSTKQSIYLQSGLHAREWISITSTAFTW ATLLDAATSTNSSLSAFAQVLDEYDWVYVPIVNLDGYIYTWSAESRRYHRKNMQYRSY DPLDNATNMTAGVDLNRNFGPIDDNDEDSIVYSGPNPFSEPEVQGIAAFVESSQKQVN GSSDLAGVLDIHSYGGLILTPFGNSSAAPDQPFGAAFFKLGQRVQSALRNVSGGNYTA IQAFDLYFAQGMFCDFVFATYKVPALVVEIEGADFRVPASTIQRRGREIAAAVVTFAQ SLGDWASETNTKKSGTKQSTAARVVVAGPWWLCVVFLLTVSGTMM H257_08276 MQKAASIVARARQAVAWNVHTIRWVYLFIGVIFILASGSPALEA FTAPPQSTLSPQLQLFVTDCKSRCPQKADRFFNCTLQGCPTLCNALQSSYYLQIWPDL PAVCDLQCQLAMPGLCAGTTSCTQVCTDQARVLLQRPTSASSSSALPLIASIRVLTSL GPTFWSTLVLIPLATADILVSIISLGPLLRATDEDIYNNSYSVFSKLVSVLESPAHFL TAATMAFEVKCLVFDDSDGYGLPITVALQIILLTVQTAIPAVLLRLRKGGDEGKKAEV ATPQVAGPSVPPVPVSASPRLSISSTTRPATTPSAMGQSI H257_08277 MLAKGLPSPTQADTAPRPRVRSSSRDKDLSTSSTTTTTNMHKRF PSNGSIVMPQHLEPLPKLSVTSASHGNLVLVGEMEALEKFDTRMSPPKHKLDKLDVPK SPPKPDHKVLPVQRHKCLQAIAPTPSLVEHAKPTEGDRGEDLSTADDKEHSAAPLSLL EPLDKCIDDKPA H257_08278 MAPKFPLPPDFFQCPALTAAETARYLHWADDLAIDVIEGSRLVD GPVTWRLRSDESDLKIFKGYNPASPPGGYLYMGVMDVFATIDEVVDLFRSDSPMHAKQ YTQRFGRDLLDMAHLYALAAPSDEDSKMVTVTWRAYKKPVPGVTMRRDACLLECHRDF DVNGRRGRVCAIKSIQVASCPDMETELGLVRMTNYGSGHVFVESDRPGYLQLSFLLHG NVARGSRVENFVGNVLKRKDQLTDKAVTRRCRSVTNIDVWLRENRAARSPSMPEHMWI PPSSRHSCFHCLKGFGAFGRKTNCPKCGHVVCGNCIFKWKVSPSESIQVCSKCSLVVP RGPKASPSARSHPQSDVMSTAAWSCVSGYAKSEDMSRYSDTEVGYLVRF H257_08279 MLKSLALAASVANVVAASSGCASDPLFAAQPTVAMGDSMTMRTF VKDTTACVQVTFPSAAKTGWTSIGFSQTPYMVNNPIKNVVVFDTASAATKLYLMASYE SHNVPLQPGAMSITPIQGSVANGVISFTFERPLVATTQYDIDLDPTGATCVIWGYAGR AWPDKHSDYGAVYVALSTSTIHDQATIRPTRTPAIAAVTFGIMVVLGFIATYTSLCRR LLRRSLWPPSKRASEYAPDPVNVGEAIIVLVYVGGAVVIGVTVNTTFADLNTSHRWCL IAGHVALQALVFLLLPVARGYHWELAFGTSHDRALKFHRWLGGLCFVSSIVHLVLNIA NQVAIGDSALYGPQDAVPLFGLLSFIAFATMSVVSIDPIRRSLYEVFYYHHRVASVVG LVFVMLHSPTVQYTMIFPLVIYGVSFISRFRGFFHRYQVTAVASSNTVLLTLPPTTQT TKWAKNGNPCAYFWVNIPSVSLLQWHPFSSIVTRDGQTIAFCLKSMAPDSFVDHVVKK VAAAPVGTPLGIVVGGPYGIPAIDVDTYSDLVLVAGGIGITPMLSIVNRFRHIPGTRT IHLYWVVRSPQDLLAADDVMFPLPPNVRPTFYVSQARESGAVASRYADDHIPYVHGKP VMDELINTTRFAANKAANSVGILACGPAGLVQETEWYSHKCGFDFHKEGFAF H257_08280 MPAAMTKPVSCCTPSSVARSSPNDLLDQYVSIDGLPTPNGDEHQ SPSTCLPPESEYSRISNILGESFTSSLDMKFMEACTTMRTETDPDKRLRVLFDLFDVD QNQRLTPAGLQAFLAATMAHHNVTLSGVELQDIVHQAFRAVYPNEKDIHPRESLHFDE FKVLFGDALSTERPSRQARQLSIGASRCSVVHKKRSFRDTLRSGAVQYQAELYFLALY FSVNGLAFGLKWASFPYDIVAGHMAQLAKSCAQLVLVNAMFVLLPMSRSIVGYLRTFR LLWHILPFDRHISFHQLAGSVMLIAGTVHTVAWLIICVRAKSATNQDWQKSILNTHKI KLLRYADWLDIFAALPMWTGVAMLGCAAVAVPCTLACVRRHNFQLFWLTHILFLPFLV LLFVHGAAAWIAPPQAWFWVAGPLIVYVLERRFRLTSVFGEQTDLKKVQVTSNAMVLY LKKPRGYTFQPGMYLYLKVPELSTFEWHPFTISSAPEDEVLTLHIRVAGDWTSALHNR LKQHAIPLPSVAIDGPVGAPSMEYANYSTVVLIGGGIGVTPFASILKHLLHVWEQHRC PICGSVQLPRRVKLRKVYFYWITKEQHHMEWFRDMLNQLYDLDSDRRLVSQTYLTNVV DTAKSEPLKLIQTFMRARQDRDIFTGLQGSKMNMGRPNWAVELKNIAGAVCANTRGMD EAEEVGVFLCGPPGLDRDVNANVDKFNANCRRRSGGVRFSYHSEKF H257_08281 MASTKAVVATLTTKCANKTSVACVVNGTTEVDVPLSSSSSSFYV PNGVEYIEYFPSQPTTIYVEGDVGSKVNRLNPDLTVDHKNTPLKVQSLSFRYQKLTEA VNFVKWPASLRSLDLTGNDIHTMNQSFSVPPKLQVLSVSTNEMTTFDTVTLPESLQSI NLDINDYDVFNVDAPTFIVLKNASVYTDRIIRPTAACTGTKQTFNGTPFPPSKFSKPT SALLHTACVVAPVAATTDATTTPPGSATAAAKDNASSSSSSLGMIVGLAAAGIVVVGL VGLFIVLRQRRNNTNSRHFKPTTAILGETHSDHNNVAAEYHIPTTSKSSSDGSNHPLA NGTMTSGDGNNLSKYNSSSQYTNGSSNYNQDASTFAYRYDIRGDADLINFRIPKRELQ NRSVCGSGGFATVYRATFHDQVVAVKELTASMHAQHRHIQAFMHEIKLHATLNHGNIV RFIGASWTTLNDLAVVSEFMSEGDLRDFLARDAVSQHLPWFQPSTQQEGRGQFCTKLS LAVNLADAVTYLHSFDPPILHRDLKSRNVLLSDTFTAKLTDFGISRELADDTMTSEAG TAAWTAPEVLTNNGHYNEKADMYSFGVVLSELDTWQIPYSSTSSQSSNGPNGYSNVQM AMLVAAGKLAPSFRSDCPPEVLALARACLSMDPDSRPTASIVAYELRRLQSAKLTQQR NE H257_08281 MASTKAVVATLTTKCANKTSVACVVNGTTEVDVPLSSSSSSFYV PNGVEYIEYFPSQPTTIYVEGDVGSKVNRLNPDLTVDHKNTPLKVQSLSFRYQKLTEA VNFVKWPASLRSLDLTGNDIHTMNQSFSVPPKLQVLSVSTNEMTTFDTVTLPESLQSI NLDINDYDVFNVDAPTFIVLKNASVYTDRIIRPTAACTGTKQTFNGTPFPPSKFSKPT SALLHTACVVAPVAATTGPSLSKSPVVVVNEDATTTPPGSATAAAKDNASSSSSSLGM IVGLAAAGIVVVGLVGLFIVLRQRRNNTNSRHFKPTTAILGETHSDHNNVAAEYHIPT TSKSSSDGSNHPLANGTMTSGDGNNLSKYNSSSQYTNGSSNYNQDASTFAYRYDIRGD ADLINFRIPKRELQNRSVCGSGGFATVYRATFHDQVVAVKELTASMHAQHRHIQAFMH EIKLHATLNHGNIVRFIGASWTTLNDLAVVSEFMSEGDLRDFLARDAVSQHLPWFQPS TQQEGRGQFCTKLSLAVNLADAVTYLHSFDPPILHRDLKSRNVLLSDTFTAKLTDFGI SRELADDTMTSEAGTAAWTAPEVLTNNGHYNEKADMYSFGVVLSELDTWQIPYSSTSS QSSNGPNGYSNVQMAMLVAAGKLAPSFRSDCPPEVLALARACLSMDPDSRPTASIVAY ELRRLQSAKLTQQRNE H257_08281 MASTKAVVATLTTKCANKTSVACVVNGTTEVDVPLSSSSSSFYV PNGVEYIEYFPSQPTTIYVEGDVGSKVNRLNPDLTVDHKNTPLKVQSLSFRYQKLTEA VNFVKWPASLRSLDLTGNDIHTMNQSFSVPPKLQVLSVSTNEMTTFDTVTLPESLQSI NLDINDYDVFNVDAPTFIVLKNASVYTDRIIRPTAACTGTKQTFNGTPFPPSKFSKPT SALLHTACVVAPVAATTGPSLSKSPVVVVNEDATTTPPGSATAAAKDNASSSSSSLGM IVGLAAAGIVVVGLVGLFIVLRQRRNNTNSRHFKPTTAILGETHSDHNNVAAEYHIPT TSKSSSDGSNHPLANGTMTSGDGNNLSKYNSSSQYTNGSSNYNQDASTFAYRYDIRGD ADLINFRIPKRELQNRSVCGSGGFATVYRATFHDQVVAVKELTASMHAQHRHIQAFMH EIKLHATLNHGNIVRFIGASWTTLNDLAVVSEFMSEGDLRDFLARDAVSQHLPWFQPS TQQEGRGQFCTKLSLAVNLADAVTYLHSFDPPILHRDLKSRNVLLSDTFTAKLTYDNE CCCGECLVLDTIRILEI H257_08282 MDGVDALPVAPKRKQDDSVVARPPKQRKGGAHDTGKKKSASWCE ESVARLFFLRYKTELANRFDSKNNNQKKEAYEMLASELSIDVNRVYSAKQVKDKFTNM RSEWALTKPSLPRPTGNDVVINAPAHFACMLEYWGSKDGFRRESLFSTDEGGGIVRFL H257_08283 MATEQQQQQPQIDGAKVSSPTTETRTSMKAYWVEHSSDSNVETM MLDSNAKILTELETPEILSKMPSYKDKDVLEMAAGIGRYTGTIADHAASVVAVEFIEG FHTTNVETNGHRANCSFICGDVCDLERPHESLDVVFSNWLLMYLNDVEVKKLALKNLH WLRTGGEVFFRESCFRQSGDVKRTSNPTQYRHPSFYMDAFSSVVIDEGNGKISQFELI SQSSVNVYRRVKKNNGQICFRFRKVTKDGSTESFQRFLDSQQYSTGSIARYEKIFGEG YVSTGGQETTTEFVAKLGLKAGERVLDVGCGIGGGDFYMAKTFGVSVVGIDLSTNMVH RALEQSVADPTVDVEFEVCDATTKEYPAGSFDVIYSRDTILHIQDKLGLFEKFYRWLK PGGRVLISDYCRGDQPQSDRFQAYVASRGYHLLSPSQYGGVLTAAGFADVVAEDRTEH FTNVLEAELARTVANRDEFIAQTSEKDYQDIVGGWESKLTRCADGDQKWGLFLGYKH H257_08284 MNSDDAATSSGGLDNSLHVWTTTKIYFPILVMSLIVFEIVRDHD LTRSFYTCRSRSPVHACPMTFASTQGSSGGFAKWVLPTLGLTDDEVLDACGLDGLCFV RFIRLGRKIAACGVLLSAVLFPVYATAQWTRNDVDALERIALNILRENDPRFWAAVAA MYAISGYTLYLLHREYKDFVARRHHFLSQPYVQQYSVVIHDLPKQLRTCESLTTYLNH LFPNAIHSVVVAVDCKQLEKLVAKRSHYRCKLERALTQWTQSGRNNHRPVHLVKSRGT TVDAIDYFGTKLDRLNYRIQVDIDELETRQKHLYEAMADNCLEDASCVSDPDIERSQS QNHIKMPQTSTPMKPTSRPLQQIEGETKYLLALMRPTAFVTFRTLLGTHMAQQLLQTS KPTKMLIEAAPCACDINWENLGLKVHVRNTLQLVARYLTIGIVLFWTVPSTVVTSFSS VESLRKLIPALGPTFVTYPWLEGLFKQLTPLGLVIMTALAPVMFTLISRREGHLSEPQ IQTSLLHKLVYFQFTQIFAVSVVVGSVLDSLGALVDNPVSAITMLAKAIPAQAASFMS YLIVKTSLGLTMELFRVGPIVVGVLFSVLAPKSTPRDRAAKWCGLAPASEPGVLPYSQ NLPDYFLAILLTLTFCPMSPVLCYFAYGFFVVSDVVFRRQLLFVYNPALNSTGLCWHP MYNFVIASLVVAQLTLLGVLSLKKAPGPVVAAAVLPFLTLFAHVNILNLYPRTATYLP LLDCVRIDQHRRYGHHITDFPKDNYVQPAMLARTPLQPEYPPSHASGINGSDGDLNIA VAPSNALRDERDKDELGMYFLSD H257_08284 MYAISGYTLYLLHREYKDFVARRHHFLSQPYVQQYSVVIHDLPK QLRTCESLTTYLNHLFPNAIHSVVVAVDCKQLEKLVAKRSHYRCKLERALTQWTQSGR NNHRPVHLVKSRGTTVDAIDYFGTKLDRLNYRIQVDIDELETRQKHLYEAMADNCLED ASCVSDPDIERSQSQNHIKMPQTSTPMKPTSRPLQQIEGETKYLLALMRPTAFVTFRT LLGTHMAQQLLQTSKPTKMLIEAAPCACDINWENLGLKVHVRNTLQLVARYLTIGIVL FWTVPSTVVTSFSSVESLRKLIPALGPTFVTYPWLEGLFKQLTPLGLVIMTALAPVMF TLISRREGHLSEPQIQTSLLHKLVYFQFTQIFAVSVVVGSVLDSLGALVDNPVSAITM LAKAIPAQAASFMSYLIVKTSLGLTMELFRVGPIVVGVLFSVLAPKSTPRDRAAKWCG LAPASEPGVLPYSQNLPDYFLAILLTLTFCPMSPVLCYFAYGFFVVSDVVFRRQLLFV YNPALNSTGLCWHPMYNFVIASLVVAQLTLLGVLSLKKAPGPVVAAAVLPFLTLFAHV NILNLYPRTATYLPLLDCVRIDQHRRYGHHITDFPKDNYVQPAMLARTPLQPEYPPSH ASGINGSDGDLNIAVAPSNALRDERDKDELGMYFLSD H257_08285 MIGTRVPLITNHIPFFVRPTLCMCSSCGRGVQKSVYFGQQSIHM LPLDRRCNHLQWRGMQTTFWRLRRRFVTHSLLVLPRVLLQLRLHGHAQFHLRSGFVGG VHHHLLGQV H257_08286 MAYRTSTITLLVLLLWCTASVHGVKLRDRVVWEPRLAGDFPAAA GIATTERSSVQEKVARTIAFVKKTMKSYAAPGIALSVVYANETVLAQGFGVTGYGNPN AAVTPHSLFQVGSLSKTFIAVAIAHLVDNGRLNWLDPVQRHLTWFQLADSYATKFTTL ADLAAMNSVLGGHEGDDVIGVGVYATEKDLVRALRHFNTTRQIRPGYAYSNLNFEILG QVVEGVTGQPWHEYLKTTIWEPLGMHDTVGRALDSRDPNVLSGGHFFCNGTVLGPYSL LNSTMIMIRPGDHYIAAGSILSSPSDLAKFSAFLLRKGAGIFRSSAAISDLITGHNVV PMPPEVATFSGYEFHPDGNAVTAGYGFDTVGDMMYGQHYMDKGGDTFAFHTRNGWLPS LGLGVILVANAQSFSGRLSDIATLDLMRTYIVGIFLDISTETLDRQFHESLAKVDALF PPSPCDAHYYGGIPWDIPGVDIPHATKEALVGTYRAVNSPEYYGPLVVTLHGADLWMQ YGVYKRRLVASRDPTMLTWTLEMGDFTATVQVALGTRPRIMYSSIEFVHN H257_08287 MSARTYPEEGRALSSTAARRTFLRPLSPSRPQVETFAQPAGQVK WSTRRTLISSSNNLTSTPSTDARRLATKVAYYSTMRIHIKRVQYALEEEKRTLFYKEL AVYLVFLFIMLVTLCELHVQVPFEHNDGLDQLFWSQEFPNQSYKKTVDDVACPDDIWQ WFQGVLHPGYYNTTQRNSFRVSSVQIRFSRVQGQLCRTVASTLVSLFPAQSCYPAFEH GVQDTAPYGDDGYGGSFVYETGLRTLDRSLLYTPNLWNFRMDYGTGGYTVYLPRDNAD VGAAMLAALAQNLVLPSTRYVSATWALYNPSTDVVSQLHVLFEISSTDRIQTSYRVSS FELREIHSIQAVALDTQSLLMVFLGLVTVGFSYREVQSIADGGVLSYAKSAWNYFEVI QLVCLYGLVVAWFMYLVECHYAVPLLQHVIQDISCTTPTSGRDCFVELTSMADRVDTI NNLGATLALVSAAIVFKYLRLNTRLNMLWETLRLAARDLIAFVFIFMFVFFGYAIMGF LLFGTHSQDYRSLSDSLTACFQMLLGAFDYSSLAAANPVMSGVFFFSFMILVFLIVVN MFVAILSEYYTLAQAAKRESDDKKKRLLHATAATDEEEYVEYDVVKQLESFVQDLRWR VKFSRKDPVPLVGNSCVLVVDYAYLMAERARLRTKFRLAFLVVRTCIRWFPRPFDRGD GTTRLSSVGGTHRGLPPKAHPTRHLNYTKFPVTYVPLYSKSAVPLTMIEQLEPGMVLD LDDGSLTFDRICLEVLGPQHLYLAHDANDDPADDKPPLSPRTHFGLHHVHSALGSGSH IKCCRVKYQGEIILTGHETCVVSRRVWVKYLLARLAAVVGAVLTMSWWRRRFQSSSNG KFMSRRKRLILDDDLAMLLRAQTVQDDSASSSCRFDELVRQFRLFLAKQARQGAVRIP SHDLETCVTHEAIAFVERFPTALLPLDKRELVGYKYVPAPADTSAFRLPNSIARLSEF LAQNAHEVWSESRIAQGWKWGPHRDNDKKLHPDLLSYGQLTDNAKQYDRESSIETLKV IQALGYTIHPKGASLHPPPASTTLAPSDDGGITQVWDVEFGVAAPQGETYVPKPITTT DIDLSSELTSLVELLAENSHDVWAKKRMHEGWVYGPRRNDATKEHDGLVPYVYLTSEE KDMDRNSAVQTVKCILRCGFTFQHKRTNTRAKFRMFGGQPKSSESDLKPLPPLSAAKA SAVQSPVPSTPNAVVDHHVLPNPPADLALPQVHQLHINIQH H257_08288 MTTCGFNGCPHAPLPHPTMLVPEAPPEMRHRRLLQSSGNARVGS YCCKHSASSTKTTCVVDGCTKTARARHRCVAHGGGRNCTVGGCKAHTRQRGLCQRHSR QKEVAASNAIDFDYQRELAELCTKSTVDMAYDVVELELPRLP H257_08289 MRHTPQERHPLNRENNSNSPVEVQELDLTSDTNTNFAVFAELPV LEMPSEPDLDGFVFDLDCYLMHGKKSMCIVDGCSRFAQARGKCVGHGGFRACSVDDCV SHARQGGLCQRHRRILHEEVGTSTSSDGDRIHPVDTRVKPTRVPDAIERRASRAAQSP TITVADPDEDEPMEVTLAKQALFMMTELGMWMFEIQI H257_08290 MELAPFAKTARQRATLHALIAAYVIERPLIPAIRFNLDATTNAT AILDYRFDIAGVKELGFVLGLPAVIITPKRVRVHREEAMCVLLGRLAFPVRFHTMTKT FGRSRSSLCDIFLHLVNELYARWGSLLFFNKKLVAKNIDRYCAAVASKGAPLSNVFGF IDGTKVQTCRITATGDGSNLQKQIYSGHKRIHCLNYQAVTAPDGICVHFFGPIEGRRH DTTMLRESGLLEYLEVIKEHCLLANVFCNTGRSLGLIVCLSMGIQQKHEAVACPLGID VTASEVISHFQGRSNVSPTFHDVSSSGLERHFQRHLAKEHNVVVFSEIAALRRGGHGA MCSFNGCGLPALPHSAKCSFHKHRQLCNVDACSKPSVSSESVRSSRWQATLRRLRAP H257_08291 MAATYSQRKTSKFAGVGVVNEDHLVVHAREIETLKAEASRLHEI DTAVLSEVRTQLETFNITAEFEQLEREVAQFKAQVLVLGQDNADLLLELTANHAIHAC ARTTQMKQ H257_08292 MSENSSLLRHTQEAPVANSRPWWLKYALAGGAVVVIGGVVWITT AQGEPVVATGTQSHLGPTVHVTTTGVPVTTITTTSAPETTKVAITTTSAPVTTTATPV TTTTAVLPTTNAPVTASATPVTTTTAVPPTTNATIVRNATNATVVSTSTTNNTIVAPY PAQFAAFFKDFEAKLDRSVDPCDDFYQYACGGWLNATTLKPSDTTVDSSFYVIGEHND RILKNILATKPPVIDPFYRSCLTEGSVNADAVVDVSVRLNHIATIQSLDELLAFAGIL NTESSVSSFLEVGVTTDPKNKTLNVVEIAQGGLTLPSVEYYAADKLVPYVASLQTYLE TLATVDAFTGVTAKAVLDLEAQLAKISLPWAEQRDPWATYHMYDIGDVTAKYPLIATF LSGAQPALVNHPNVSVLVPTPTYFDSLAALLQATDLGLLKVYLSFRLVHTASPYLGET FRQANHDFNGVLQGQVSTQTRADYCLDLTKTLLGEYLGKLFMNKVFDGPTKVQAQELI RQIEASMVDVLNDATWLDGSTRQVGLEKVAQIRNFVGGPDKISPLPFNLTSNFYANVQ LFGDWETSKTWSSLHKPVDPTAWDMFAFTVNAEYDPTANKIVFPAAILQPPFYNVRSF PAVANYARIGLVMGHELVHGFDDQGRNFDAHGQLNASWSDAASATFDKNAKCLADQYS TFPIVSVDGHTVLGHLNGQLTVGENIADNGGLKLAYLAYQRAKKANKAIAEDLGTDDA KLFYTAFAQGWCQKRSDGNAILRKNTDTHSPGKWRVHGPLYNSQTFADAFQCPTGSPM NPPKKCVIW H257_08293 MSSNGGASTYEAADKIPPWRQYFREKQREYRRKRIADGATAGRH PWRRVKQALVRCPGIPLPQRSKDKPIVPYEGALQRFVVMNIPPHISLSDAWQNATLAV DPSARNLGKEWLTQQMNHNMHEAFVLLPTVRLDEDFVHVDMQMTDDGTVQMFRRVVES NMRVVIYYYNPIEMAKMTSNTRLFHTTTSEGIFVNTLQGHFAEANRFVAMVMCQVEHD QAYVCQPTPSTSSCTTDRGSASYRQLTSWYVLSTI H257_08294 MADSGVETNVTVDVQPSLTIKTSVTIYFTIFAIAWIIFLYLQKR KPTIYACRNESTETASVAVDTGTMFGWIKPTWTTSDEVLFEFCGLDTLIFLRVLALGR KLALFGVLLSAALFPLYATGTNPDEAAGRRKEIDPLERITMSNLSNGEPRLWASVAAM YFMTFYAMYLFRTEYRYYVKRRHQFLSRDDPQQYTILINDLPMSLRTPHTLKYYMDYL FPQDVQGVTVAVECADLEKSVAKRERTRNSLEHAMAVSAQTGTRPTYRPKKELQKEYD AIDYFTEKLSKLNRKVYEKYQFLVEKQQELEAECIATGVDKAAFASAAPVSSVDDESS SQIALNEALHRHIKDGITRSSAFVSFTSLQTAQTAQQILQTENPLEMEIVAAPQPDDI VWENIGRSKQEKDSWRLISTAISTAVILFWTIPTAAVVAFSTVENLQLNYPGLKSFFE KYPWMIQVFKQISPLGLAVMTALAPMIMTYLSKREGHPSGAQVKGSTFTKLVYFQTFQ IFFVSVIAGSLIESLSKVLDQPQLLVKMLGTSIPAQATMFMSYLIVKIGVDLDVELLR VMPLILGFVYKLFAPKVTARERSSPWFGLRPASFAGDFDTGGALPDYFLALLLVVTFC AIAPLLNYFALVYFIVAELVFRRQVLYVYDPSPHSSGVYWPRLHTFLVGALLLSQVTF LGMISLKIAPGPIAAATALPFVSAVYYLYIEGIFPRSAKNLPLFSCARLDKQRAGHVF ANLSAAFVQPALTASDPIKPDYSELATNVQDHPHTSTSNGDEEDGLSRSTAKDKSMKG FHI H257_08295 MSFVDAFLMDDASSLDHSFFMMEDPKEVVGLGTIPDEVRSSTPT KMDGTMLRTPTVVATKRGSSAASSSGESDGNGVEELDRDTARKRAKREAYKKHIRKRQ GEHLDLKAQVQQLEDQLDFLTKMKAIDATFASSWENIARDLASHRQESSIENEKLRKK VSEQSEFIDTLQTLLAKKPRLAVFENDEWKALRLVADKTCRLHGINALLTRQRQLLTG VFLQCGLLDTTEVDIHKVNVCSDITKMLISESVRCVTMDVPYPILAEAAWNVSLGNET SAKWGDDYEIIDMIDPNTAYLKYTPRNGVANASGPLSARYLYRRYFEENRVCIVWKSI LEDECYPLDDSVLRVHQSGWIVIEGDAKCPATTSRFKLFVQRHSPSRAGKLIHLTDVF QFIMPNISLEKRTTEYVTDFIVNSFRNVEVAFEKAIDIAVRKLTYQNDFMLANPDL H257_08296 MKLIQKLLEKHGIEQVVRSVVQARRSPPEPIRVLGLDINTNSTG FVVLNELGGIESSGHICTKHLQSDGQILDIGIEIAARMSQVHNHELSTTPLVAWEVGI EDFLRTFSPGQFKTKGLFQLAQLNGLVSYCALTTFGVAPIHVHPTAARHFFALKVPPG VPKKKDEIKRVVLAHAIASEPALHLPHMTIPAQFDVADAYVVASYTYWRRVVDTVIAT SHPLQSTLWPDMEKQLARQIASRSAKTKSFSKQAYLQLVFRQEVDIWVRDHRTTCC H257_08296 MNWVRTAPNKRCHSSPCPPLDVLGGIESSGHICTKHLQSDGQIL DIGIEIAARMSQVHNHELSTTPLVAWEVGIEDFLRTFSPGQFKTKGLFQLAQLNGLVS YCALTTFGVAPIHVHPTAARHFFALKVPPGVPKKKDEIKRVVLAHAIASEPALHLPHM TIPAQFDVADAYVVASYTYWRRVVDTVIATSHPLQSTLWPDMEKQLARQIASRSAKTK SFSKQAYLQLVFRQEVDIWVRDHRTTCC H257_08297 MKLATGADIPDVAIGTYRLRGDDAERVVYDALCVGYRHIDTAAV YRNEVAVGRAIRRAIDENILTRPELFVTTKVSPKHMGYAKTVEAIQGSLRDLGLDYID LMLLHWPGTQGKKADSPLQLPNRVGSMQALTEAFNAGTLKAVGVSNFLRAHFVGLDHF PIHVNQVEFHPLQWTAETHELVGYCRRRHMVMAAYSSLGEGSLLDDVAYPELAHVARQ VGAPATVAQVLLAWGHSHGWVVIPKASSKARIQENWDATRQVTLSDEHMASINAIVDR VGPVKFCWDPSVIA H257_08298 MNAVEEDALLQFLIDSGPMDVDPSPTEIYIDLEGLLDDDTPQLD DDDDVTENSATDDASSVDLNERKSSFVPQELKRKLQLAKASKKHRTRQKDELNLLRDV VTRMESQLDMLKKVKAVEGEHGSQWEQLARSQFLERQRANQENAKLKRALEDQIKFAQ ALETLVRKKPKLTSSAEFENEEWKLSKLGLTKESREHSIRCMMERHYDALNGVLVRSA LYDATHEVKHVKMSYQNQHSNAMSFDMARAVKMPFPYQVYAEASWRFLASVDNDNTPD TEAVEVVDANTRYISRKVCKTGEAGCPFFGKSRATIVCSPLCRRYIEPSRVVILWKSI LEDELHPRDPTHLVSNQNGWMVIEADGDNACHVKSYVERLAPMRAGKVVALDEMMKSL HIPSCYDDSARVQVDDKAASTDVGVMSEYFMRSFIGMAQCNEFKTMELATKLLKATHQ SSMPAPAV H257_08298 MNAVEEDALLQFLIDSGPMDVDPSPTEIYIDLEGLLDDDTPQLD DDDDVTENSATDDASSVDLNERKSSFVPQELKRKLQLAKASKKHRTRQKDELNLLRDV VTRMESQLDMLKKVKAVEGEHGSQWEQLARSQFLERQRANQENAKLKRALEDQIKFAQ ALETLVRKKPKLTSSAEFENEEWKLSKLGLTKESREHSIRCMMERHYDALNGVLVRSA LYDATHEVKHVKMSYQNQHSNAMSFDMARAVKMPFPYQVYAEASWRFLASVDNDNTPD TEAVEVVDANTRYISRKVCKTGEAGCPFFGKSRATIVCSPLCRRYIEPSRVVILWKSI LEDELHPRDPTHLVSNQNGWY H257_08299 MTHVLTMDSPPQLHAMLPGLKRRRVDIPLCATPSLLPSLSHMLK HRKGDPCDETRNPNESDGDNDRCAWYALRLLGAAAEMHRTSSAMTPRPAHQRGATPDV WSTRQVQSAQQLQQDVPSSTSALHLMHALPLCIDSRIPRLRAMSPLIKSTRNRVELSR EQRVARWRQKRLRQTTLPTTDHATSILDKKKTRAAGIRRQRVQGRFARTSMLVPITSF QS H257_08300 MRFQMKVLLMIVLLAVVAWAEDLYEILGASSGATSQDLKRIYRK LSLKYHPDKVASEERDSAQAKFLKIANAYRVLSDPARREKYDLYGIADDKGFKNFDEA FKHARDSVEDTPLNWIILIIIVSASVGPILYFKFKAKPKAVNNARREALAAKKKSPKD N H257_08301 MPKQGPVDIIDVHHMGGGNNSVGGDDELRMAARAGLILLEKNAA LEVNVELLSTELRRYEVQHRELQMEVQSLRDQHKAAVLEVQAAHKEVKVLQSSHRKER AAWHSSEEASQQQLRSLASELRAQQQLHQNSSSTTPLPHESQNNADFAKPSSSDDDPL SEDPRVTLLQTENDLLQTHLSQVLLELSQLQVTWSQSSYASNQRIQALEHDLHKLTRE NKLLKDEQAEERELIDSLRTICHTYKKIADARPFAASTCLDENNQECHDTDQGESLGS SSSEATDAVESSMHEDVMHMNTALERRVRELEALVATSTSTVSEEHAVYMEEQLMGTR EALKHTKQQWVAAVAAKKEALACTAAAHEELARMQEVFESMQKGATKQHDEDEHVDWM DDTVVHPAPPGDLDSPLIGCLLQHWTSDTERWAVLLRWLQSAIHGRPTHGSVRLDRLS SEVSAGFVQLLVPVLREEHGVHVKVRRRTSSHVLTDLVLSVEANQPIEGGLPGEVGSC SSSVLHLVS H257_08302 MSVLYSKKSWCGGRTNAGHFTLHLSGPMHGSLASPRHGSSYYPM LLLFFVVATWMPAAHASVFAGFEIGREFSFLGKFCFTWDANYSHVVGEITAHIRTPDD GVKLAIYDDEDAFWAFISTDPSCDCTCKLADEHTKAVFDVPRASDASQTFSLNYTIRE HLRPRFWYVALAKCVPGGDSYVPTLQSLTPANFQHYYFTAWYSIHMVQAVTRSEVPVF QEGLTTVYTVSGVLSGLLVLIQLQSKLRLTQESFHPIVQLLTGLVVLTFLTNSSLGLH FYAFELNGIGAPLPLCLARIFQVINRVGMLLLAMLIAKGWTINAIALHGRTWLTTVML TYSVLYLSLAVWYLGYVDPASTLYMYDSVPGLAICSLQIIVYAWFVQHLVATRAKEDD IAKRSFFLQMGVLFTVYILSLPVIVTVASVLSPWVREKIVESVTVSIDLATQAVLVYV LWPTRAPRYFDRLYTLVGSQAEKATLCDATLPSNLL H257_08303 MSAASEKLRYPNQSLGKDTEEGSQKGVAVPRTIPSAVLQSQVAN LKAKYGAAANEDDDENPNEENQDPENSFRTTRGHGVN H257_08304 MCSAPSIAVWLLFAAIVLSIVAVSVSSWSYSWTSHDTSFTTGVW GFCVDVARAPLNSTDITASPPPPSIVTKCFPFHSASDGIVVNQTSFVEATESVCSLDR NGHTFRNLVALTPDARTTRMYIEHSCGSLGWASLILAALVPGLSAIALAFLAGFYYAG YYRPKWYLLVFATVFTGFAFVSSIAAFILWSIQAPSGLAFGAPYYLELATFVVLFLAM AATMYGCRVLGDQDHHYDGGRRDATMATYEHHLASPHGSPRTIEVK H257_08305 MQPPINKSNVLKALTHDLKPIPKKSDRFDAMHRPPRDVVRTHPR RLAPAEASLTQPPMSKPSPGQQASPLIIPAVLPPSVSPVVDAALPESAMVTPYSRKGD SENAPKPAKSSDPPLEDKSEAPRAMRKRKTAIASPDLTDDGIAASDLKSSKATGVKRR PSTTTQGRNSVPDHPRKKADGPKGVQHPT H257_08306 MTFELVTDTVDTKTSPLSLAARLFHVTFKSSSVCYFICGWLFLI GSILFYPRYYTLYGEDGLGPLIGGWLFVFGCVGFLAGSLFEVFVARAAHVGGSDWQRF IPITTSVSNVIGSVCFVYGGVYFLPSYYAEDPALGCYLFIVGCSVFSFAIFADIPRSL RANQPLVGLWTSVSLFNMIGNILFIVGSYYFLPKFLYVEGDAAVDNLVYSTNYFVVGS VAFIIAPFAQIAGTYNDLVAQAPVAKAVDTVA H257_08307 MNNVLASIVQEDGVSGVVWNDAQGLLLGAHGDFEDARFGLGALN TLVARANALNTSDEKDVAPVVRIETTKRIVVVQQQKDSTVLAVSTSKPISSTE H257_08308 RAETSPSSMTKEDAAPAGGRGQGKKRKNRAKSAHYVPPGRQVAA AAIAPDATSDVGSMSKKAKHAACDPSPAPVATKPVVTSRGAINLRSNAPVTPSVPVSA DQYDGTSSSGKSKSKKKKRKKAGTSGDASDISPGENSSVTESPMKVARRLNAAAIGSS TSTPLTASAKSLPRVSTNKPTPPSGGPLAPVHPKGGTKAVAQLSNDMSPNVPDKQGGH TSAKVRPTPPPGAQGTPPANLSLPSLPSKSSLAKKRKKANRAAAAAAKQLNQGPDVAT DSPTTGTPEVSSSPSNPVEPSHVTTKSSLAAGRAFAKALKAVATVPSDTEQLPSMVVA TSTLVVVADSINASPVRAPPQPVERPVRNSNIATSGRHVSTPPNAPNPVVSKQARTSN AITSDTPAGTIKTSVPCTVVSSISSSATSAKFTPETPTVDSESTSEPAPLAKGHDSSG PGDKVMTKPTEPSVHNETLPSPGRKTTPAKSPSNSTTPASTTPRVDAKPCDVVTLPSA VAPDILKPAATPEATTTQMPSTNASRVASTSAPVPPATSPTKHPVLQPTSAPLSPLMD PEATHFPPNATTPKQSTPVPDIAPSVDTTTPRSALSEPLVRSSSPSVSREQTTVAASP SEPCPTKAPSPVVTPAGWSVPPAAPTIASPTPPTVVNTGSTITRAPRTQDPPSPVSPA FRSHRNVDLIPTTIPTPRPQPQSTPATPLAASIPRRLHAPSVATTTTPASSAPSSIVG VAPRPASTRQAPPPLFPQPPSMLPSHVPSPVAPSTPSWTVTSFGTTPSPSASLSSNLS TRVACSPLSTWFLSSGQANFIRQSNAALSTKPKEYSSAISTPAISAEEKAFYAHLAST HWRSWYTATSVAPDSVLDPPLPHVPTDVQVKIDAVNPTKLPPSIQQECDLPSSFDRMM AALSREKAASSSFERQMIQVLQGKTMSGQPFEEAYRSILD H257_08309 MVPRTLLGFGEQKQDYRAQVRFFFCKKKGNALMHCLNGTEKRVF SSWNVILACDWLNWPPVQIYGWWGCFRQDIGGPQLSLFTPDRTFYHPSTAMASHNIFA ALDSDDEDTKTVQVPASKVAEPTKPKTVKARKEGEQPAKKQDGGRNGGRGGRGEGRGG REGGRGGRGEGRGPRPDGERTERAPRPEGERRPRSERAPRADRPEGSTGTNLRAERER GERPDRSHHHAEGGERTERKRNFDRKSGTGRGKEVSKSGGGARNWGNEDDKTEQAAEA AVDEAVADEAKEIAAEDAVPEVAVVEEEVDNTITYEEYLASKKSSRSNDELFADKELR TVENEFESAALITKHGKTPDFIESNFEKVFTKKTSGRKKNLVTDVGFTAPSISGPRFD REDREDRPAGGRGGRGGRGEGARGGRGGRGAAGAGRGDVKKSKANAPNVSDVNAFPSL H257_08309 MASHNIFAALDSDDEDTKTVQVPASKVAEPTKPKTVKARKEGEQ PAKKQDGGRNGGRGGRGEGRGPRPDGERTERAPRPEGERRPRSERAPRADRPEGSTGT NLRAERERGERPDRSHHHAEGGERTERKRNFDRKSGTGRGKEVSKSGGGARNWGNEDD KTEQAAEAAVDEAVADEAKEIAAEDAVPEVAVVEEEVDNTITYEEYLASKKSSRSNDE LFADKELRTVENEFESAALITKHGKTPDFIESNFEKVFTKKTSGRKKNLVTDVGFTAP SISGPRFDREDREDRPAGGRGGRGGRGEGARGGRGGRGAAGAGRGDVKKSKANAPNVS DVNAFPSL H257_08310 MATRRRPSSTTPSLGDVRSTKTHEMSTKKTKKQCSRVLTCQKVK LQVYTLKYDDASVYVGEIHIESRQRHGKGVFRTSHGDVLDGQWSYDRFHGFGTRDFAV THDRHEGMYHKDKRHGRGTYLWTNGDKYIGEFYNGRMHGDGIFLCASSGDVFEGTWVK GVIAHGLKRFANGDTLQGTAGASTWNGDDGTLTGDGIKTFRNGNVYRGRLERSVQAGF GILESPLRQQTYVGMWVCNQMDGSGRLEFVSSSPLSSPSSSPNVYVGLFVKGQFHGHG RVEYATGAVYEGAFAQNRRHGRGVFRWSPTHDGGDLDFEVYEGMWEADLPHGVGYFTC QHAVFHGQWCRGYPHGAGMYTCRASGDRRRHEFRHGQCIDDPNIVFDRVSVVS H257_08311 MATLPPGDVVSSALVRAALARKMKLQFANLLYGKNYLVDRKAFM AHLSQESLTLTLLRAGVVAVANPYAIPDSVSTVLSAWSLSFWRAISYTTVTRVLEEIS VQCFTPRIAGALMKDVAKSSLRKYARYQCNRTIVASLIFHTAFRSAILPNAAVLIVES GLDMCRRPVRAWPACLRRSLHRFFTVLAYTSLGAALATLIEPGAVTRAGAIVGEACAY GSLGIHTVMFQDIAPVAGFFWHIANTKTLYLE H257_08312 MSSPATPRPTNAIVLSWNDFLCPVEWLQRNVPPTSTTHSCLLQL DQRIIQLLLHASTIGLVFLFSPPQHQALIQLICAATTLTSAWHGQILQMICIQRLHVS ASYGLVTVGGDELRGGCLVLAKNAPVVLPKVLRVVKTTDLTGGMPWILHSLVGVGRQL GSLFNTAALWTCPLKL H257_08313 MSRHHTASKDDPSTSAIAQQAVRNFTNKILYQFEHYNLDAYWVN VIANQTKDDTRPRGMTHAQFVDCIVQGVAAHKLLQLEVDYRGQSLISHVNRPFCTQHD SPDVFVKKSVATFTSKALAALAKVDRYHVANITDHTAKAPNRPLSVPHDELVERIVTS MKQHEQLTYEASPNPYFVLAKPNAASSGTVQARPTMLSSLPGAAAKAARPPDGGGVWG KGGQPSLHAGAPSTAPVRPNGQRSRAVANALSGKSFPSDDPDSSDSDDDDATPEDEDA ATALVQDAVQLFTAKALSLLDKLPVYWVDVLAKWTQDNVSLPRGITHEQFVDRVVHGV ASHDELQLAVDDRGKAYIRRIDRPYDHVDDVAPPLPFKGPTTARAVDVFVAEAVTTFT AYALKALTKIERYHVSNIVGQTAKAPNRPRGVPHDELVDLIVVGVQQHEQLTYEASPT PCFVLTKPRLTQASSSSMGPSPSKPSPSSPPVLVVRTNKSDESITWDSDQGGSQRPSD TAQTLDGIWGQRATATASPPLPTQWPTPASTSPTFVRLSPVGCLSGEVVSPEVPPPSL NGDDAAASAAVHAAVEMFTVKVLKVLEQYPVYWVDVIAKQTQEKIDSLPRGMTHAQYV HRVVQGVTAHHQLQLQVDERGKVLISRLDRPYCSPDEQAQNVIAAPPLPSSTSPPPPY PVHLTPSTSAADEFVAEAIATFSGYALQALVKLERYHVSNIEGQIAKAKNRPSGVSHE ALISRIVDGMTRDGQLMYVKFPAPSFVLTKAANSTALESPASHDPDMQYAHVADEGGA DGASEASPDTPRYPEDKDITSVTGSSLPSALRDVTPIPAATHQTSSWPNDIWSVTMDT SERLLPLDRGKPPSSPPGMARLTTDDSSSRGRSDSESDSESVEVTSSQQQQPPPEPWT RKTVHELTGTRLEQIVQGDGVDGSWLVWLYRGNVNGDGDNVVTVLHVVALALASTDLS VGTIDLDHYSHSVTSTFANGCSLVLIAHGQVLPAFPGHAITLDDVDLIVEYAMRGLDY VLRGLNSSVQEKPLAADDNASISSSSNSSDYSSDDLASDKESVGDSNRNHDSDDDEDY VDDGSQVDGGDRASTPSNHQPMSEVLPVNPSQDFVQMEADKFTFSALRWLATNKGNPY HVADIIGRTKVSPIPGVSTSALVECIVDRMKLDPRLIYTLDDQRRRVFFLNKQHPNTT ANQQHPNPSASKTVAPLVKPTLPLSQALPSSSTERPTSSTPTCKLLVTGEKVIVANTV HQLTQLLASDTAFQVGGKAMIAIDWKGAPSTLHLVGLATQTATYVVDCVAIGAATVMQ LLGPVLHSPAITKVCFNLHAIHAILPNVHVLGSFDMQLYMEFQTGRLDMGLDTMLDTL PGGSLHPSTNSDLAQQTRKKPSPVECAAYDVVRLLDRYTTHVADELAIMSWCAVQTAS DTRLRSAARFKTDQRILAMDTANRHKLVSFELLMTTHPFNVFQFPDLITHQELEPLLS LLPTDLVRPLRAMTTALSSIVLDVGRRPWAWNQGGTRVMLSEDPSREVSAAELEAIVA KVGGLEGPANRARGVDKQLHRISGLRNRHHEIVGVTMQVGQHVYGASTLLLDLLLSTD LNILFLGESGSGKTTILRDVARVVSETRHVCIVDTTNDIAGDGDVPHPSVGLARRVMV PSLEVQGAVMADVVRNHRPQVLVVDELGRSGSDIDAARTCKQRGVRIVASMAYGGLRQ LVQDKGLVEGVRDRVSSTLDGGDRLQKGGTADEAVFDVVVELDKGHWNEWRVVTSVAS AVDDIAAGNLYKAHVRSRLDQNGIRYHTVMV H257_08314 MKVQPAMSTPLREPKAHSPTSVLALTSNAQQTTMSAPAQNDELE ALQAIYGSDAVHYTILKQLQQLQVLVTLKDSITFEFTLSIMYPEDQQCPTVSFPYISS KFTGSEAVLRDIMCTAASGSLAYGQVCLFSMIEAATEHLQDHKEVALSNDENAGTSPQ KPKPPHPLKQRPRHPLVPKKQIKSTEAPLSPTETAHKGNSMRTATDVIHRIMWDDQIN QHEVVVGYLDRFLGIMERPITSFNWGDLSTLSHTETAIPKHRIQYFKWKGNIVWDKRC RLDRVFGSSGGAPPVSFDSASPLSGSTSTSGGVYRPPELAPYFSPFYPNSDRPNAFFC IRITNPAVVDACAASQHELMTIDPRLRLHPSAFIPVTKLHCTLVMLRLKNVQEMAIAH RLLLEAQGLIEATFASSSLTLSGVSTFSNRVVHAQVLCPALTQVAGLLRRRVQLAGIS TVGNRDPFEAHVTLCKLTREMNKSIPSITYTSHQMLGSQAVTEIDLCATGGLLDPDGF YVRLVPTLVLRQDQVATTTRESLPPLSPRSMVILRGVPGSGKSTTSRSIAALCAANGW SVRVCSADSCFDQSGGYKFDKSKLPLAHAQCQHEVQDAMKSTVDVVVVDNTNMDARHV HAYVADGRAAGYKVHVWELDASHNAAACVRRSVHDIPLDYNKQLEPVGDVDHICVTKL PVQGLLPPIMSSLKLPSVTYAAVFLDDQSKKRLKTHVAPLHANMIMDHVTIAYQPSEA MLKTLHVGATVSFEASAAAANAFVQAVVVTSPSVGGGVWDNDGSPPHVTVSVAPGSSA KASHHLLASTHAIPLPFPIPLSGVVGFFSDLHRRLTSLAEVVQCGEIASSSCIRGSTL SLPPTVTSLFVFDFDLTLIRPPPRRHGMQALTPQEASTVGNDWFKSPLSLHPTQKLVP LPALGELKRVLGATAARGVVLTARHTSLEANIRDVLGIYGVSPDAVVGKPDHLIADVA GQTQDSQVAARTKENIAFKLDILTSWLESSAALERVVVYEDDDEILAAMYAWAGTWSA RKTALAIEIVDAKSMYLGKPYTVLQWLKNLDRVPTLDFSQRVHSVLTDIAMWTRAEDV RPFGSFALHRATDLDVLVALDDDESAVEAVARVAATMRREGLTDVYESGSSRCPLLKA RWTFPDAPPLEVDLVFVHKTALTVYDNGSPSAVEAWWSSSNPDERALLGLATLHSVRA KVANSSVSIDVFGRCVDVIVTQLQAKHLHGPQYNGVPTFKISALVAAFCQTQTAPSLP LKDVVKGFYASQPVLDVTNIHIGPHMQACVRLALEEGRDICCSAEASFPSSGSLTRLV QRRSACVDTSHIVSLAVTYTSSLSFSSWTLTHWFNWSLAKSWRDLWHAHAIEVDPMLA AAGMARTFGVLGDVDIVVAHLQKYAAQLAMDSLGKIQVSVVLPPVSVSNELGSSSPSV PAPSTPHDEGHHVLMKFPRTKHLLPTAGISRDDLVFDPLDASAFLRTPIVCQEKVDGA NLGLFLSPDFQVVAQNRSHYTTSETAPQFKGLDVWIQVHQFELCELLSPPGRYVLYGE WLYAQHSIAYTNLPSYFLAFDMYDRELECFWSVERLQQALDETSIHMVPTVFTGTYSS MEQLKTLLETKSQFYDGVVEGVVIRKEANQQLHAKAKLVRDDFIQHIDKHWTTKGVVK NHLRFF H257_08315 MAPTRFPRFQKPHGRAFRHLSWRKKGRQCHQLLLRKFQTNMRLS DRRVHPRTCFELRQKEREGAVGPRSNSTPRLVIVADALIVVSHPRRSSRIQAHLDEAT AVLDLIQREKLHMSNVELLAQSFRGQMLQVMSKQRLELKQQTELLDAATTAEAKYHEF AKNQLVDFEQELAELEADHDTQIQLLQAEIHLKDQLLREWEDAPVVVAPKWLDAASWG MLALTGLFAFSQTWQCLWTSVVTQDDATMQHLVASAVATAIAKVPDQT H257_08316 MPQQTNMSPKVASARIHGKKHLTLAQRHRIYELLLDMRSRPATP WRHAISVAVHPHAMAGVLPISRRKLQETLVAKERVLRTRLKPQSAKSPKNRGKRCGHW RSSLVSLVVKRKYFVYEDEELAARFCKSKHFITKVMFLAAVVRPRYDFHRKQMFDGKI GVWPFGLLWNLFQPNGTAKTVRKERLLFPHNPSTQNNEYKLPHMKKDASIANHSSFHV ECDATSYESALMHLNNRLAEEVNFEAMVNSQEQVI H257_08317 MNGNIVNTYLNALDAKVAAQDRQILMLVDNAPPHILHTEIVLRN IRVRMLPKKTTAYLQPQDAGIIASFKSKVKQRQLENALDQIDALMAGRQGRYVLYEVP LVVAMGWAKEAWRSVSQSTVTNCWVRTGILDGDLSVLSERMSELVVGNQDGCTIRDKI PVGCFILGDAGYALFPWLITPFLPHEEGGKLSSMQKHFNFKHSSTRITVECAFGRLKE RFRILKTPMKEKTLDRTVCVVAACFVLHNMFLQFNDGLFDIPCPRRD H257_08318 MLLSLESLRTKFGWSRHTLQRFAPIWDAIPTAVPPNPPPALQQQ TLPCPPRPAGQPLPLPLPPPLRSSLPYLAHPLGRTFFVPTPGYETLHIPVHAMLVIPH HLTHQDGQPPTLSYRIGQRNKIAVTFWHELRKDSDIWYSPTPREARGRHRLVSITDCA VLIGNLLPTSRDQRHKFIPWTDTSWTDTRTHITHSGKNNRSLIASTARGTTDRTQSPT GRQPAQQATPACTACHRLADTTLCPDCGQ H257_08319 MPLTTPTPPLATAHHTPATYWFVVPDGSRGHTTAEQLQTTWLLT IPPRQLSLRHISSIHHTSTPHWGTLATGNPTPLHIGFFTTGNTLELLTSAQLYWTAIL QLIDATHWLHRLPPTTLLSSEETPTADAPRLLTAPTHCLHWAQTSIPDYDPYALESRA FLTATYPTIYHATPGWTTFPTMTHSTQAWAASITHLRAPLFHTQWFVAHWAVLRRHWQ TTCATNVDHIRTTGELPLLTEVNNTIRLKRRHHDAAPMGHDRRIRARRAHLTAKTLQW HSHRISLLQPAIPPPIGYADPTLRPPRLPPDLGLPPPAV H257_08320 MAKNSKYRAQKPKAPATAPVAASSETEEEIPVTEPPLVPVVGGT TDVLPAVKESKSEEVRKTMEEMAKANKATGSTRAAPMTWDETRRRYGGQTMGPIWEQL PRPPMEGTYDDEDYPPPEKSDAEKRADKMAEARDKLRAERRN H257_08321 MKSVVAFGVIALAGAVSAAPCDISAVLGGLTPLAADPNLATCSN DSGYNFLVSGASGIPPTDDELAKISSSTACKTLYANLGGIVSNISPSCTLGGVETSTF ATLPLQDALAAIFTAVKSANVTPPTDTTTGHEHHDHASTTTAPSTANASTTTTAPSTA NASTTPAPSTAPESSATISAVSLAAVGLAVYSMTN H257_08322 MKDLRRHELAVTSSHIMQFPREDNMEWIVNYMSMRKEGYKSLLR LLQWFADGHGFSKQRVCRQKKTQEDLESTCFLFGQLFHDTYLDLSPDCLYNADDTSIY LDMRPSSIWAVRGGGSYVANSETHSYRMTALLTTNEFKEYPPGHFNAMQKKAWMNGDT RGRPGGKPVLGIPEYVA H257_08323 MKSVVAFGVAALAGAVSAAPCDISAVLGNLIPLAADPNLVTCST DSGYNFLVSGASGIPPTTDQVTKLSSSTACKTLYANLGGIVSKISPSCTLGGVETSTF ATLSIPDALSAIFTAIKSSNVTAPTTTTINAPTATPAPTTTPKSSAVTSAISLAAVGL AVYSMTN H257_08324 MSSPATPRPTNAIVLSWDDFLCPLDQCIVQLLLHASTIGPVFVL CESGALFIEGLCTAYLPGCAQLFSSPQHQSRIQLICAATTLTPAWHGQILQIYLTQQL PVSASYGLVTVGGAGLREGCLALVKYAPVVLPKVLRVL H257_08325 MFASAPVPIQYGNNKNPSRGILRPTLQMNSMSSPATPRPTNAIV LSWNDFLCPVEWLQGNIPPTSTTHSCLLQLDQCIVQLLLHASTIGPVFVLCESGASFI EGLCTAYLPGCAQLFSSPQHQARIQLICAATTLTSAWHGQILKMICTQRLPVSASYGL VTVGGDGLREGCLALAKYAPAVLPKVLRVVKTTDLSGGMPAILHRLVGVGRQLGGLVQ HGSAVDLSI H257_08326 MAHTSDSLHALLAELRVDAARSSSRGPMVLVAGPTDAGKSSLCR HLLWHSQLQVYDEDTSSPTTSSSSSQQHQHPIVFADLDIGQGEIGLPGTIGASVVTRD NFVVPAPADDQVDLAEYGSEHNFPLTWLRNLLFYVGHTNMSEKDWLFKWYVQQLATRI RDKQAADPRLAASGAVINTCGWVEGKGLRLLLATIAIFQPSHVVVLGDVNLYNHLLHE QVTPVVLGLPRSYLAQRRSASSRRDTRNGRLRTYFTPPPRGSGSPESFHIEVATSQVR LFTLVQSQNLVRVKRVPLTESVNHAVVAMCVVESDHMLVRSTVLGFLCIRAVNKDSVV FVSPRPGPLPSNHFLLGQVQWLEA H257_08326 MAHTSDSLHALLAELRVDAARSSSRGPMVLVAGPTDAGKSSLCR HLLWHSQLQVYDEDTSSPTTSSSSSQQHQHPIVFADLDIGQGEIGLPGTIGASVVTRD NFVVPAPADDQVDLAEYGSEHNFPLTWLRNLLFYVGHTNMSEKDWLFKWYVQQLATRI RDKQAADPRLAASGAVINTCGWVEGKGLRLLLATIAIFQPSHVVVLGDVNLYNHLLHE QVTPVVLGLPRSYLAQRRSASSRRDTRNGRLRTYFTPPPRGSGSPESFHIEVATSQVR LFTLVLAP H257_08327 MVMLYTPQESATVDAMYTPPWMQFWAKKPPPHTGSGVVVTKPID DDRPAASTCRPGRRWAVPASTVLSWIVRYPIFPCSSLRLMCMQCKIMSWYRTHDGGSD DTSPMMNGIMFTLNDASWDDQGGGN H257_08328 MSRQRGDDPLNCDVLSLDDPVTPMTARPTSSSGRSKPKAKDKSE FRRYFDQNELPMTVETQNGDRNIVWTTPLETLDYTHILPICCSGLQESVEPYPSLAFK ATMELLEHGMADTRVLKSLASIMSHVKAALSTRDKEVVHRVLLVVQQLAVCDGVGEAL GEYYRAILPLCNLLKDKRLGTGDSMTKELIQDTLEILDAYGKDDAHHQIQHHVPGFQH CGIK H257_08329 MSPSNHEPSTTVVDLTEHKIRELNGELAPEPFLVENPNRFVLFP IQHADVWEMYKKAEASFWTAEELDLVHDQRDWIKLSDNERFFITHVLAFFAASDGIVN ENLAMNFSNEVQVPEARCFYGFQIAIENIHSEVYSLLIDTYIKDPTEKDRVLRAIETI PCVMRKANWALKWCDNKRAAYAERIVAFAAVEGIFFSGSFCSIFWLKKRGLMPGLSFS NELISRDEGLHCDFACLMYSKLVNKLSDTRIHEIVGDAVEIEKQFVSESLPVELIGMN SALMCEYIEFCADRLLESLGAPKLFAAKNPFDWMDMISLQGKTNFFEKRVGEYSKSGV GVNVSEQTFTLDADF H257_08330 MERFSYSRNGAGVDLKCSVRVSVPMVTKVCNKVHYKVAMKTTHV NPPKSWEVLRPYSHFAALRKELLLTFKECKANMCPGCKHYEKAIVQFDFPRKHLFSST TTEVLRHRQLALQAFVAMLASHTFTTAPRCPTCSGRVFELVRDFLTTEVGAANVDNPN DEASSSVLDEETRRSAFAVDNFVEFHTPSADVVVDSNGDFVDSPTKRRSSPSEGGAAP LPPPPATNTPTGSKKRSSFHSFGSGGSNEDTSPSTENSRSSHSDVSSKPAKVYRQSSV SDLADIHLDDVRPAQVAGGPCVDDDEGDLNMDFMAHIATDPSLVEQQ H257_08331 MNSFKKEHPFEKRQAEAQRIRSKYPDRIPVICEKADRSEIPDID KKKYLVPADLTVGQFVYVIRKRIKLSPEKAIFIFINNVLPPTAALMSNIYEEQKDSDG FLYITYSGENTFGSNDLVVLDD H257_08332 MTMMHATKGEFVGAVTALHFSADGSLLYAAVGCTLYVYDTTNGT MFVSPLPIWSHGTIHGMDVAQSLALCFGQKQLALVSALPTTTTSNVQSSSPSSIIATE CMDWILDARLLVDDVVVDDTALLLPMVAVGLAHNMVHIWDPSTRTTLQTFQCTERTIL YAMGLYGRSLESLIVAAGTVFQHILLWAPPTLSSSSSSQQPSNSVAQVTQRLHQHDGV LFQLTWSSTGRQLASVSDDRSVQLWSNLTNDVGRDDVALARDANLRLGFQSQFRGWGH TARVWDVQFASHGRLVSVSEDATVKVWSGPTGDCLTTLLGHVDTNVWRVAVHPARTEW VATGGGDNAIKLWNIDRAMANQSLGTSATRHMQTLPTLSLSSSCASSSSQRTLEEATL AKKQPKKVSSHGASVRSLVALPSSVVWISDQGHVGVTSLISSSSAPLVVVPLHANLCC VAATSGGDLVAVGDVSGCVHLLSSSSSSSTSSDLVEIATAQAHIGRIMSIWIVQHQDI TAVFTTGVDLTLREWRVAPRPQGPGDHGDAGRTALTPVATYKCPSKHCCVSALLATDD GALLWCGDARGNLCVYDRVALVASTTDDPHGDKSNAGIQPKHVLWQVHGKDVVSCLTW HNHMLYSGSHDGFVCTSRYAFGELQVVRRVGVKGISTIKSIYWQSDGGLRVFGFHATQ AILVDVSQSLRLLALECGGYRRPHALFLSDSDVSHVFAFTTPGSAALQVHSSTIIVHP SKGHQPTTTTALNHPFLSWHGHHHSKMATSVAWVHPLSSSTPLLVTGGEDCALKLHAP HSTTGKPVQCVDTVTMHGTNVRSVAVVGRDWVVSGGGKQSVHLWQVVDNHLDHVAEHT PSDASQDQRILALAATSVQGHGTDDNVIAVFATNSEGQSTFFTASTDRRRAGRLDRRL MWTGRSDKPILSCALTPSHFFVTGATDGYVVIWDVATLLRGDTSTSPPRQVYQYRAHD MGVNCLCIRATGPTTFDVFSGGDDQNIAHAAVDATDRLHVQVRHLQGKQNASASALKA IQVLDDVVVAAGYDQRVTAWGMERDELTWRGAAFSECADIAGVSLRRPTLEDDGVIEA VVVGKGMQIVTFQK H257_08333 MAELEQVISSAFWGHSNGVLVLNDSGLLWRSRQTEAQKKVAKED LVSMLWSAIGPKQYHLKVTTRGGKTVRFTGLKQSDVDLIRTFSRDTLGREMEEERLAS SGGNWGHMQFQGSNLNFVVQDQGVAMDLPLDLIAQCAMPGKNEVELQFHEDDTVAGDE ESLVEMRLYIPGDADDGITAEGLKDEILERANISHVTGKSIVELDESMGTFLTPRGRY AVELYGSFLRMHGKTYDYKILYSNINRCFLLEYPNSTNTAFVISLEEPIRQGKQGYPH LVLQLARDEVHIDVNLTADEIKKYNGNIHERMSGSLPQIVATLFKFIMGKKVYTSGKF TTHSGDRAVKCAVKASSGVLFPLEKSFMFIHKPTTFIRYEEIDYVEFQRYAGQSGSSA SRNFDLLVSCRGVGQEQAYETVFSAIDRREFPELSQFLTSKKLKIRNLKDTQSAATAG GAAGGAKERANLDDALGPEEGEVDEEEEEDDSDFGGGDDAASGSEDFSDEDLSGSDGK EDDDDDDDDKSKKKKQKTSTE H257_08334 MDNPVLRQVLLGCYDPSSPLRHLRDQRGILELIFARLMKAWEAH LVDTSRGYLRLGGRRGTHIPGQVPQSIQFPPLWQHHDDSTTSFHVNMMPFFMGDISTL PLSCQRYHDLIMQCLATNSSGERGEVGYLTIHEGVVEAGTSQRRGGLHIEAGGGGESE RCTDDFHWGMGRIEHTLLYGGIYMASTVEHSCAMYDVVIQDSSSVVGALGDVEHLRSS LAKKAISPLHLEANELVWMTDRTPHESLPLPVTTFRQYFRLVTSGVSHWYADHSTPNP LGIQPTAKIVFGNKFHLALDVDVSKAPQKVLSM H257_08335 MDNPVLRQVLLGCYDPSSPLRHLRGQRGILELIFAKLMKAWEAH IDDNARGVMRLGGRRGASMQNIPGRVPQRLRFPEPWPQTMDPLSNRPYDFHVNMMPFV MGDITSLPTSCQRYHDIIVGCLAKNSSGEHGKVGYLTIHEGMVEAGTSQRRGGLHIEA GGGGGQSIKTEDFFWGQGRFEQNVLHGGIYMASTVEHSCAMYDVVIQDPASVVGALGD VEHLRSSLAKKDIRPLLLQANELVWMTDRTPHESLPLPVTTFRQYFRLVTSGVSHWYA DHSTPNPLGIQPTAKIVFGNKFHAVVHDVLKAPQNDLST H257_08336 MSGRKRNEARMELQELQMDLKENLADVDEVASATPEKGRRGRRK SAKYEAVAYSKDDEVSDDDGDGAAEKETRRKTMAREMNTIRKEFTLEVILGRRIHPKT GSFEYLCKFEALSHLHMRWLTYEEVELFFPAGHIKRNKVQAYDKKCLQGGYTDTDEVD DLDIGNATVETILSHQAGVSNESLDKKLDDRRLNVPYARKYPRVTDIYLVQHHDAVME RCRGILRRLMAEPSAEPFLHPVNLSDAPGYLDVIYNPIDFGTILGRLKKEVYYSGPIA VALFASDMRLVFANCKEFNAEGSDIVVTADHLAKDFEKMFYEWVICPSAWKLLPSTGD AAEDADILAQFHSEMEASVATWQPWEAGCSTCQRNDQLEHMLLCDRCDNELHVHCLDP PLDGAPDDEWYCPFCELRTSYEAMCLPKTTSDEGQDGGGIDTLSQQTPHHHHGHGGVT PTFPLVLSTPGARGDTKFLLDADVRTTESRRQSLRLFGNHTMFLVQWKGLSIRCSTWE RAEDIGDDDAIVRYFKTTKVPTDKEIAQTTCQLPCCAKANNGKNQQQRPPSDGTILAS RCALDRFCTLGAGHDGMCDRASTALTSSAAAAVVGTPAYTHQKHIEQIRAQLFAFHCL LHHHAPDIRVLKQCGAATVAYAWAKELGQKGASSTKQSKDEDQDYSEGEDESSDDDDE DDDDDDDDIMPQVHMPAYSQLFLEPEHHNPPSCSKALTDDSDRSRKLVALPPATQVAS VLAAMVEHVALGYEATLVRVPPKVKKPPPVPQVDMTSWSHFHMDTFGPHLRYTEYYVA IQKSVHGLGMRLGLSDDGVARVMGFQRLQNGQVGAAELTGVIAPGDVLVGINNTPTAR IVFKQVVEMIGASKDYVLFTFHTTRPAPLFPPACVAKTIERRYLPVQANTDTDVDDEP DAAPPSVYIPYSYETFESTLSVQSRRQKVFTALENYAAPTGPVQHVLAGIMKGTLDPH EHFASFLPVKKTSTLSNVVVVSKNPTEFVPYEQSPTFKGGRTLRQYQVDGLNWMISCW KAKRSCLLADEMGLGKTVQVVSFLEHLRTEEDIRGPYLVVVPLSTLQHWRREIEDWTD MNVCVYHDVGERGTKFTGKDLRSLIRAQGWYYPNMEQTKSVFKFNVCLTTYETILADF EEFEAIHWRLLAVDEAHRLKSAGSRVLKQMRVLNVDRKLLLTGTPLQNNTQELWVLLN FLEPVIFDNMEDFNDKYGRLHSQEQVMELQRMLTPYLLRRVKEDVEKSIPPKEETIIQ VELTTLQKQYYRAIYEKNRSFLYLGTQNGLPTLNNLQLQLRKCCNHPFLIKGAEEREL EALGGMPDAETLMQTTIQASGKMVLVSKLLPKLKAEGHKVLIFSQFIRQLDLLERYCE HEGFIYERLDGSSMGSSRQASIDRFSKKNSKSFLFLLSTKAGGVGINLIAADTVIIFD SDWNPQNDLQAQSRCHRIGQKKSVKIYRLVTRNSYESEMFDRASRKLGLEHAVLGTGS FSEAHDMERPSAEMLLELLKKGAYGLMDDDDSASRSFVERDIETILKENAHVRVVNKP VDDDDVQPKKPKGMMVFDKTSFVAEGSTGDLSVNDPSFWEKVLGHISVEMLSKKLEDG SALASRQTKAKFMAQLQQALGQLIQDVRENKKEKDAFFQHEYEVAVNMLQRLAAIKDQ FSSDQRKVFEKYMDQMAKSRVRSCRVNTAAVSLDDASSPVRRPMKATDGRRTRWKKLK ISRSKASPVEFEGAGGDVCTLCGEGGVLLLCDGACHRSFHLDCVGLTDEPTDAKWLCP DCSAGKHRCLACGKVGKMGSEQGVTQCAMAKCGRFYHLSCMHNTSAHVEWVGKKRFRC PSHFCAVCDKGDSAKKRLLVCTHCPKGFHVKCLKHQRLLQLSSAYMICADHLETGKGT LVQGDEDEFDEDDDGDDEVEKDVMDDRGESSEDEAPLALSKRNASTRTIKRPRKLADA AEEDDQPLMKRTRLSPAAAATTPTPPNHARGWTRSAVAAAGVSSDEASVHDDDDDNDV SDTEMVTPVKKKRAAPKTKTPAAVGPPPAKRRPKAGTVDDDDSDVCTLCNRRASSAMP FVSPAVVVNKKPVPVHQACLPSSSKPAPAIQKAKATACAKCQLKGASIPCLSCRHVFH VHCAAADKGAFDDSHEFTCHLHRCSSCNDWVNEAAVICMHCLAWFHPTCVDTKPEDSY CCANCLETPTKRKRPTDDDNNDDQVPSEAGDETPFSRENAADKQPAALSRSSRRGRRS VGGS H257_08336 MSGRKRNEARMELQELQMDLKENLADVDEVASATPEKGRRGRRK SAKYEAVAYSKDDEVSDDDGDGAAEKETRRKTMAREMNTIRKEFTLEVILGRRIHPKT GSFEYLCKFEALSHLHMRWLTYEEVELFFPAGHIKRNKVQAYDKKCLQGGYTDTDEVD DLDIGNATVETILSHQAGVSNESLDKKLDDRRLNVPYARKYPRVTDIYLVQHHDAVME RCRGILRRLMAEPSAEPFLHPVNLSDAPGYLDVIYNPIDFGTILGRLKKEVYYSGPIA VALFASDMRLVFANCKEFNAEGSDIVVTADHLAKDFEKMFYEWVICPSAWKLLPSTGD AAEDADILAQFHSEMEASVATWQPWEAGCSTCQRNDQLEHMLLCDRCDNELHVHCLDP PLDGAPDDEWYCPFCELRTSYEAMCLPKTTSDEGQDGGGIDTLSQQTPHHHHGHGGVT PTFPLVLSTPGARGDTKFLLDADVRTTESRRQSLRLFGNHTMFLVQWKGLSIRCSTWE RAEDIGDDDAIVRYFKTTKVPTDKEIAQTTCQLPCCAKANNGKNQQQRPPSDGTILAS RCALDRFCTLGAGHDGMCDRASTALTSSAAAAVVGTPAYTHQKHIEQIRAQLFAFHCL LHHHAPDIRVLKQCGAATVAYAWAKELGQKGASSTKQSKDEDQDYSEGEDESSDDDDE DDDDDDDDIMPQVHMPAYSQLFLEPEHHNPPSCSKALTDDSDRSRKLVALPPATQVAS VLAAMVEHVALGYEATLVRVPPKVKKPPPVPQVDMTSWSHFHMDTFGPHLRYTEYYVA IQKSVHGLGMRLGLSDDGVARVMGFQRLQNGQVGAAELTGVIAPGDVLVGINNTPTAR IVFKQVVEMIGASKDYVLFTFHTTRPAPLFPPACVAKTIERRYLPVQANTDTDVDDEP DAAPPSVYIPYSYETFESTLSVQSRRQKVFTALENYAAPTGPVQHVLAGIMKGTLDPH EHFASFLPVKKTSTLSNVVVVSKNPTEFVPYEQSPTFKGGRTLRQYQVDGLNWMISCW KAKRSCLLADEMGLGKTVQVVSFLEHLRTEEDIRGPYLVVVPLSTLQHWRREIEDWTD MNVCVYHDVGERGTKFTGKDLRSLIRAQGWYYPNMEQTKSVFKFNVCLTTYETILADF EEFEAIHWRLLAVDEAHRLKSAGSRVLKQMRVLNVDRKLLLTGTPLQNNTQELWVLLN FLEPVIFDNMEDFNDKYGRLHSQEQVMELQRMLTPYLLRRVKEDVEKSIPPKEETIIQ VELTTLQKQYYRAIYEKNRSFLYLGTQNGLPTLNNLQLQLRKCCNHPFLIKGAEEREL EALGGMPDAETLMQTTIQASGKMVLVSKLLPKLKAEGHKVLIFSQFIRQLDLLERYCE HEGFIYERLDGSSMGSSRQASIDRFSKKNSKSFLFLLSTKAGGVGINLIAADTVIIFD SDWNPQNDLQAQSRCHRIGQKKSVKIYRLVTRNSYESEMFDRASRKLGLEHAVLGTGS FSEAHDMERPSAEMLLELLKKGAYGLMDDDDSASRSFVERDIETILKENAHVRVVNKP VDDDDVQPKKPKGMMVFDKTSFVAEGSTGDLSVNDPSFWEKVLGHISVEMLSKKLEDG SALASRQTKAKFMAQLQQALGQLIQDVRENKKEKDAFFQHEYEVAVNMLQRLAAIKDQ FSSDQRKVFEKYMDQMAKSRVRSCRVNTAAVSLDDASSPVRRPMKATDGRRTRWKKLK ISRSKASPVEFEGAGGDVCTLCGEGGVLLLCDGACHRSFHLDCVGLTDEPTDAKWLCP DCSAGKHRCLACGKVGKMGSEQGI H257_08337 MSAHVLWTQVRVVAVDERPLLLFQDSVSGELDPGERYVAARPVA DNGHTDGCDIPIQGDLLFQGHTSRHFPYCMH H257_08339 MEDPTVEESTRKSLLIPVHKSDEIVELFTDEFPDDANDIMDILR AEIAPLEVWLSVAIEYYLQGRTDQFEVILDQATMPEAEEIYNDDAARKSRLKMFNAWV SHYVNVMWNEDDERRREIPAQKAVALFQKADRIDAQCPNTLVGKALMFMAKGEDERAE RFLKNVLIADKENLPAILASALLHVRKKKFVEAKKLYQEAIVLHPKSPQASRIRMCFA YCCYQMGCVDKAKAVMKYAAALDDSNVTVMMANALWSLASLPADDRLRSMQDEGSRFM ALVHHAHALDPKHPSVLNHLANHYFLQWIPLPGTVSVVRGSAIATTTHDISNDVVHGQ LICIGDKYIAYVQDVSSRSITLDVPFKEVSRSGLSISRKLYDQMNTLASNAYHATQVK ELRAESCYLIARGHHAEGKYQEAFGYYYNAARSMPSYAMPWYGLAQMYYHQNVLEKAV VYLEKTLKLFPDNTDVLLFLGHVYRRLGRKADAIANFRRVADLDPGNVDALIGTAEIL HVSTERHEQLAAISAYVAAQRVLQNAMEPVPDGLHTNFGSLHMRVGQIHEAIECYGLA LNQKTTIAAIFEVPAVTEANVTVLYNLALAYEKLGEWRASTGIYKAILETFPWYLDAL LRLAVLERDVGNADKATTLLDQALAQDPTCATACLLQANMHFAKREWSLAQKKYEAVL GMKQPDGGMAMKNDPYTFLSMGNIFMSNIGEKGRYVKNMTLSETYYKKTLSGHAQNVY AANGIGIMLAEKGQFDKAKLVFAQVREAAPDMPDAWINLGHIHMAEHRFGEAIQLYQV SLAKHYKGQDVSVLLYLAKAYYEAKRYSECITALTKGIHMAPQELKLWYNLALAQEDF AVATLGETSASVAKSSRTMADVQRAISDLQRAQRIYAFLDVAVPAETSSKKAHQIEMD KVRDHAKFCHETLEKASVHLEFERQREEKRKADAENRRRMVMMLEDEQQAQLVAQKER EAALELKRAQMLKATQERLKAASEAWKQKEADTASKTAKKSSKKRKADVSDDEDDEDD VVGAIPDAAAAASSPPPAKKPKATDDLFGSESEHEDDERETIHGTNVSPPREMDDKQH AELFGSDSDDD H257_08340 MFVRFMEHATTRKTKYADMLHKTTQELMIVGLIYLLVKLCVFTE KFMTLVRLQFDTKGTTLTLLQADKPMPSAALRTPTQINDWRTNRRVW H257_08341 MACFHGTPDGSEIYAVHTSKSNRCDRRYTVAGSHLWTSRSMNQA ESQRLLAEAAAPAGGGNIYGHVETSRVLLFMFAVLVFVICLEMFLHFLEHITKRKPKY ADMLHKTTQELMIVGLIYLIVKLCVSTGLAKANGMVYQAVDFADLIILFTVLSMVVQA TVILFMLRKTNREMGKISILRTDNVLANARTELDAVRHLSRLQRRIIWSKYEYQLQMK LLRSLFLRSYELPQLFPFDKYIEDVQDSQMAHLVHIDISMWMVLMATYALFFIFSGEF FSHGEFKANSTVRWGVFACFASSLLLFMVLMYVYLRHLVHLLLRHAAKKECPSSSWLE QYRIMATPDALIEVLSHVVHYEASIPPMPPQDAIDCMRTIADNLCDNDQANHPHQSRH RHGCLEHLFAHDLLCGLLGTLSRRLGRTTNRPKQSKLQGVDALHLPWFSRKLVHFLVQ LLFVINGFYYALFINCVLYLDGFNAYAVVKATVVLVPLLLNTFVVAPKITREFSVINS LFRVDAHKLSAIVEHFAEVEDMKVEMVRQVWTYLHAANQSVADIAAALVHADASDDDA SDGYVDMDVLRTILKQFGFRFPRQKFTTLVRLQFNTKGTTIRYQDLLTMLGESETSVE GKTNPSQNASFLSSHQPTYPYDSTPYHEIPVEPSSRSWHKTQTTELFFI H257_08342 MLTAARRVSLGRWANLQRRAGFASTSKRLQSSHNSQHNASTSSD ASPWGKVALGIIGGSVLLGVGLRLVPQASELQADPVLTSTASSPVPVSVLATPQRDAT WKHTLDHVVPAIVSLKLNSPKSFDTESPGNGWATGFVVDAERGIILTNRHVVGAGPID AEAIFQNNEEVRVVPIYRDPVHDFGFFRYDPSHVRHLNVPSLPLHPEKAIVGTDIRVV GNDAAEKLAIASGTLARLDRDCPAYGLNTYNDFNTFYFQASSGTSGGSSGSPVLNQDG QVVALNAGGKMGTSASFYLPLDRVKRVLEALQKDATAVVPRGTLQTVWVHKPFDEVRR LGLPADTETELRQAFPTANGLLVVAEVLPSSSCAAKLHPGDVLLRVNGHLVHQFVPVE AILDESVGQTVRLDLDRAGKVVSVDVLVDDLHAITPSQFLDIGGAVVNPLSFQQARNH GLRPSAPYVADPGYFLQRSRIPKGSLLLSVNGIVTPDLTSLKNVLMHCHDQQKVVVKY MNVATKVEKVEVVHVDKRWFPFQEYTRDDLTGTWSCVNLDMPPPVSHVPKSVPNVVGS TSILPGKNYIEGTLAPSLVTVEYDRPFSINSQNMSNYRGTGLVVDAAQGLVVVDRNTV TDRLGDVTVTFANTLVVPATVRFVHPVHNFAIVQYDPRLIGSTPIQSAKISRSPLHPS EPVWLVGLMSGVGRNSWAELVSRETLVSSVKWISLPMPNPPRYQEHNLEMVQLQDVVT TEGGAVCTPDGHVAAFWASFSFQQQRGNAKVESQFTRGIPMDIIMDSVDPLVDGASAP HLYDLGVDFEHISLAKGRELGMDAGMAHALEMHAPERRTILSVGRRWGGTDAQSQLRN GDLIVQIDDAIVTSFREVEVATQKPFVVATVIRQGEQLQVPLKTVLLESWEVDRIVCW QGLLLQVPPLSVASQREISSKDGVYVSCRYAGSPAARYGPPPTSRICEINGDPIRHLD DFVAALQRQPKSNASIRVKYMDLSGKVHLTTLKLEPTFWPTSELNYVDGAWHRTCIE H257_08342 MLTAARRVSLGRWANLQRRAGFASTSKRLQSSHNSQHNASTSSD ASPWGKVALGIIGGSVLLGVGLRLVPQASELQADPVLTSTASSPVPVSVLATPQRDAT WKHTLDHVVPAIVSLKLNSPKSFDTESPGNGWATGFVVDAERGIILTNRHVVGAGPID AEAIFQNNEEVRVVPIYRDPVHDFGFFRYDPSHVRHLNVPSLPLHPEKAIVGTDIRVV GNDAAEKLAIASGTLARLDRDCPAYGLNTYNDFNTFYFQASSGTSGGSSGSPVLNQDG QVVALNAGGKMGTSASFYLPLDRVKRVLEALQKDATAVVPRGTLQTVWVHKPFDEVRR LGLPADTETELRQAFPTANGLLVVAEVLPSSSCAAKLHPGDVLLRVNGHLVHQFVPVE AILDESVGQTVRLDLDRAGKVVSVDVLVDDLHAITPSQFLDIGGAVVNPLSFQQARNH GLRPSAPYVADPGYFLQRSRIPKGSLLLSVNGIVTPDLTSLKNVLMHCHDQQKVVVKY MNVATKVEKVEVVHVDKRWFPFQEYTRDDLTGTWSCVNLDMPPPVSHVPKSVPNVVGS TSILPGKNYIEGTLAPSLVTVEYDRPFSINSQNMSNYRGTGLVVDAAQGLVVVDRNTV TDRLGDVTVTFANTLVVPATVRFVHPVHNFAIVQYDPRLIGSTPIQSAKISRSPLHPS EPVWLVGLMSGVRRFVVVILPKSIYWTLVRIRQIYRWILRFVLNVEL H257_08343 MKASDEIDRVFLDKKFSATKYMLRYIVGASEDVRKDQLQTIGRY RSLADQEIAGVVESNYSNFNASLGKFNVISNQLQEARAGLVEVSKRSMEGKAILTAKT KNLNELLLLKYESKKVIEVVDDIDFIDKAPSQIRHALGAKNATAAVDMYLRAFELVLS DKLAVFHAIASMRNALMECKQLIEDHIVQELESILFLQDVFKTFAKGLKQTVPVFQTL LAEADVLYTTATNTVSPPASIIGAMNTSGRPDTWKASAPPTANPLAMLVTCVKRLARQ VDVSGTLKNTRRVQLDSVLTHVAALCQGKGYYEEATFGTHAASGNFETFVQVLAQVLE QLVRRHALLVECMDHGSCTLSDVLQSVFRLVQEQVGMYTDGVAVTLLSTPPPTLLPSA TGLFRFAQLQQEAAAVVPPPSSSQGDSKRLVVCPASLFHMPVVYADLVRFSDAVQAAA GAASSYAADMLHPYLIKGWFPRLKAEAENFLARPTTRVPRRFDLSPPLDVPLQVPDVH VMLHLVDAMFDMLATMPSFEIEVAGVLEATMLRYVEECSAIVRKICDGTLNQLETGVA ASELMRTFQDSAVYLMAKGKVPHQPVAQVVPTTTCDHTEDAASPGHSYGYSYALPTPI LSTTSQAAATSTTSEMSSSSKTDQLQATEWEFEVKFKDPAFWTLPVTKRLLFDQGKLA MLAYINMACDAVSLHTEARIQSAAVAISADTLEHVLHNVKSVSWRCSGLADECLFFLR RELRLHAFYYLTQVTSSPSSSPTSTAAAPSSTLVAPHECIVGWNAHLMRMHLPLTTDK MAFVWDGLDRLEATIVMHSVQYTPKMTLATISQMAVNLNALQQNLTALLYGYPMIRRD FYHFERAKRYFQLLTLSETDLELFLMENRRLFPTDCLRAIWRVDVPTRVLTKSSVNKL DSLLR H257_08343 MEGKAILTAKTKNLNELLLLKYESKKVIEVVDDIDFIDKAPSQI RHALGAKNATAAVDMYLRAFELVLSDKLAVFHAIASMRNALMECKQLIEDHIVQELES ILFLQDVFKTFAKGLKQTVPVFQTLLAEADVLYTTATNTVSPPASIIGAMNTSGRPDT WKASAPPTANPLAMLVTCVKRLARQVDVSGTLKNTRRVQLDSVLTHVAALCQGKGYYE EATFGTHAASGNFETFVQVLAQVLEQLVRRHALLVECMDHGSCTLSDVLQSVFRLVQE QVGMYTDGVAVTLLSTPPPTLLPSATGLFRFAQLQQEAAAVVPPPSSSQGDSKRLVVC PASLFHMPVVYADLVRFSDAVQAAAGAASSYAADMLHPYLIKGWFPRLKAEAENFLAR PTTRVPRRFDLSPPLDVPLQVPDVHVMLHLVDAMFDMLATMPSFEIEVAGVLEATMLR YVEECSAIVRKICDGTLNQLETGVAASELMRTFQDSAVYLMAKGKVPHQPVAQVVPTT TCDHTEDAASPGHSYGYSYALPTPILSTTSQAAATSTTSEMSSSSKTDQLQATEWEFE VKFKDPAFWTLPVTKRLLFDQGKLAMLAYINMACDAVSLHTEARIQSAAVAISADTLE HVLHNVKSVSWRCSGLADECLFFLRRELRLHAFYYLTQVTSSPSSSPTSTAAAPSSTL VAPHECIVGWNAHLMRMHLPLTTDKMAFVWDGLDRLEATIVMHSVQYTPKMTLATISQ MAVNLNALQQNLTALLYGYPMIRRDFYHFERAKRYFQLLTLSETDLELFLMENRRLFP TDCLRAIWRVDVPTRVLTKSSVNKLDSLLR H257_08343 MNTSGRPDTWKASAPPTANPLAMLVTCVKRLARQVDVSGTLKNT RRVQLDSVLTHVAALCQGKGYYEEATFGTHAASGNFETFVQVLAQVLEQLVRRHALLV ECMDHGSCTLSDVLQSVFRLVQEQVGMYTDGVAVTLLSTPPPTLLPSATGLFRFAQLQ QEAAAVVPPPSSSQGDSKRLVVCPASLFHMPVVYADLVRFSDAVQAAAGAASSYAADM LHPYLIKGWFPRLKAEAENFLARPTTRVPRRFDLSPPLDVPLQVPDVHVMLHLVDAMF DMLATMPSFEIEVAGVLEATMLRYVEECSAIVRKICDGTLNQLETGVAASELMRTFQD SAVYLMAKGKVPHQPVAQVVPTTTCDHTEDAASPGHSYGYSYALPTPILSTTSQAAAT STTSEMSSSSKTDQLQATEWEFEVKFKDPAFWTLPVTKRLLFDQGKLAMLAYINMACD AVSLHTEARIQSAAVAISADTLEHVLHNVKSVSWRCSGLADECLFFLRRELRLHAFYY LTQVTSSPSSSPTSTAAAPSSTLVAPHECIVGWNAHLMRMHLPLTTDKMAFVWDGLDR LEATIVMHSVQYTPKMTLATISQMAVNLNALQQNLTALLYGYPMIRRDFYHFERAKRY FQLLTLSETDLELFLMENRRLFPTDCLRAIWRVDVPTRVLTKSSVNKLDSLLR H257_08343 MNTSGRPDTWKASAPPTANPLAMLVTCVKRLARQVDVSGTLKNT RRVQLDSVLTHVAALCQGKGYYEEATFGTHAASGNFETFVQVLAQVLEQLVRRHALLV ECMDHGSCTLSDVLQSVFRLVQEQVGMYTDGVAVTLLSTPPPTLLPSATGLFRFAQLQ QEAAAVVPPPSSSQGDSKRLVVCPASLFHMPVVYADLVRFSDAVQAAAGAASSYAADM LHPYLIKGWFPRLKAEAENFLARPTTRVPRRFDLSPPLDVPLQVPDVHVMLHLVDAMF DMLATMPSFEIEVAGVLEATMLRYVEECSAIVRKICDGTLNQLETGVAASELMRTFQD SAVYLMAKGKVPHQPVAQVVPTTTCDHTEDAASPGHSYGYSYALPTPILSTTSQAAAT STTSEMSSSSKTDQLQATEWEFEVKFKDPAFWTLPVTKRLLFDQGKLAMLAYINMACD AVSLHTEARIQSAAVAISADTLEHVLHNVKSVSWRCSGLADECLFFLRRELRLHAFYY LTQVTSSPSSSPTSTAAAPSSTLVAPHECIVGWNAHLMRMHLPLTTDKMAFVWDGLDR LEATIVMHSVQYTPKMTLATISQMAVNLNALQQNLTALLYGYPMIRRDFYHFERAKRY FQLLTLSETDLELFLMENRRLFPTDCLRAIWRVDVPTRVLTKSSVNKLDSLLR H257_08344 MQSLAKVVLVVANIALIGAGAVLIWLGVQSHNGGYGSDIFSTKT ATNVSTMSTLLMVQGVCAILIAFVGFGGAAWRNRSLLTLYSIFVVLGLLLFVAIAIVG FMSAFQANSWISQPFPADPTENDLATEFNHVYCYAQGGRFCVSASINDAVHTFFPSGM GGDVALAACKAAGVDVNAKTGLVGLCDQVEAKLASVLSNALPKTFKEACATCRQVKAA FGDRSSKSLFEWADATCPLTNDTAIWCAKLFLSKSNQTVQTDKDNATPYTQCRTPVLE LWRQYGIKGGVGGVALALLSFIVIAVLFQAELHNGNGGGYTRV H257_08345 MALADFSNSSKQMAHVESCWTGTSVPSAVSFHSCHVMGTADDFS CETQGSYFK H257_08346 MPYEAVVSPKLVGSLPRPTLRTTWLGAATFGWASPLLSLGNRRQ LSAADMSMLPPPSATVAALTSSFASTYSQHGASLVRAYVATYWPRLVCIAGMQLTAAA CDLYGPGYVLHQVVTSVQTTTSTGFDGSSTLWLVLSLFGLQITSAFVKAHLRYHIELT GIQFSASVRTLLFESVLHPNDPSRQQTKSNAADVANLFAVDLLQLMKLTTSLTMMWIT PVQITVVVVLLHQLVGWAIFVGVGVIVLILVTTSCLAASFRGYQRNLLQRKDARMQIV HDLFGSIAMVKLNAWEEQFLAKVTTLRHLELVAVWKFLRTFWLLATCMLTTPVVVTVS IFAAYTLWLQKLLTVATVFATLALFRSLQDALIQLPAGLTGLIQCMVSISRIQREIRL HATTVIHDDYSSPDDDDTVRDNGDNAVTIAQGTFAWDQGDDVEPVLTDVNVVLPRGTF TVICGAVGQGKSTLCAALLGELTTSQGHVSLGYGTVGYVAQRPWIQAATLRDNVLFGA PFDRVRYHQVLHACGLTADVAAFPAGDRTEIGPKGVNLSGGQQARVSLARACYANPDM YILDAPLAAVDATVANHIFRHCFLSYMRHKTVVLVTHHPDILASTHVDRVLQVQNTNV VDITPAKPTEDAAHMEEKIPVLSNPKDDTFEGEDAFEPEGELVVAGDVAVAVEGRATG QVSKAVIHAYIQAIGGYSVLVVLFVLTVAVEAVRVVSDLWLSHWSSTTPISVAMPSNS SIASATLVTSTTKLGVYGLLIFTLCVCTMVQLFAVFVFTLRGSKRLFGEMMQGLLTAP VSFFDANPIGRLLNRLGDDVLQTDLSLPVALAPTLCETAMALSKIGTAVVITQWMGLL VLPLLFVYVKLGGYFLAPLRELNRLQKTARSPLLTAVSDGLDGAATIRAFRGQRTRVL RQHVALVDEFCATQVATAAVNQWFALRVELLSNGIVLALLIGVVVLHDSISPGLVGLV VAYGLSVPATLASLVTFWAQLEVAMIAPERLLEYIHLDKEGARHEPGDTTRGAEWPAQ GHIAFENVSFQYSASGPLVLCHVSFQIQSGENVGVVGRTGAGKSSLVQTLFRMYPLTD GRIVIDGVDIATVGLRHLRSRMAIVPQNPVLFRGTIRHYLDPTDAFADDAPLWRALSQ VELMDRVAHDEKKLHSEVAELGNNFSAGERQLLCLARALLRQAKVVVLDEATAAIDHD TDTRLQRVVRDEFSKSTVLSIAHRLDTVLDYDRILVLDQGQVVQLDTPAALLAQRGGI FFDLVADGGYLVGGQDGDHGTCKYILEEVVPQ H257_08347 MASTVIGGGAIASKKRQWEAQQSMKEVRESLPIYSWKKNILDSL RTHRVLILVGETGSGKTTQLPQYILESHMTAPHKRIAVTQPRRVAAITVAQRVAAEMN TTIPNGPVGYCVRFDDTTSPATRLKFMTDGMLVREALLFPDLANYSVIVLDEAHERSL QTDILFGIVKRALASRGAKNDLRVVVMSATLDVELFHNFFADSNPAVLRIPGRTHPVD IFYTIKPLPDYLDAVLITTLQIHLDEKDIAGSILVFLTGQEDIETMETLLNDYATRLP PHVPKLWVCPIFAAMPRETQMLAFEPAPPHVRKVILATNIAETSITINGVRFVIDTGL VKQRSFVGHMETLQIEPISQAQAWQRSGRAGREAPGVCYRLFPEAKFEELAARAIPEI KRVSLEMVVLQLKCMGIDDVLSFEFIERPNVKHMLHALEKLYALGAFTTTGALTELGR NMASLPVDPMASIMLLKAVDLDCVGDAVSIVAMLAVESIFYSPRDNKEKAAATRAPFV SSDGDQLMLLNVYNAYATCSPKQRFKWAKDHFINLRAMQRVDSVRDQLVRYLRQAKPD ADMASSALPDTIPVRRAMVAGFFLNSATRQKSALNGTQAYHTMCLPKKEEVKVHPSSV MFMRNPAPRYVLFNELVFTSKQYIRGVVPIEKEWLVDAAPKFFTKVGGHVN H257_08348 MQDKVTAQMVTDAITRFEVNAAKNFRHDDPDLTPCTLPVLVASN VSAGEAEMFFTSRVANLATFFQDGATGDVFVTKVSNAPANRALEGLDNGVAGYVNAYS PFLYGNVEVDMLISASYVIFDYAIQPCLLPAGEVDAEPPRGVPTSRIVIEIEYNDRTL SELQMWATRLLGNPLIRAFVGIFVFNRYPDGTFAMLAVLFRRDADGNVVVFDAASFGT RALHPSVMSVVNEMPFAQGAALRELPLPPVVVPHEGGCLCAYIREDDGPPIPAAWSGS NPSITVPGEDVFWMAPAPAMDPLPDLVIDLWRIYYKVASCRN H257_08349 MPTPRIIFEIEYSHRNIRQLWVWANQLLADQFVRAVVGILVYHE SRSPKFAMLAVLVRRDGGGNVALFDAVSFGTRQLNHRVIAVLSELPFAQGSAFRELPT PIGNLEHNSSRPMPANWNNLNPFITVPGEDVFYQAPSPPVTAVAFVRVLEHVNTPRYI IVDEGGHVGN H257_08350 MRNNAPNPNVRHDLEERVSYIQSATNDKDAGNFYDAKTPDEFQD GALVAGGALDLFSKEAFALFSQYAAIGVIYGLIPSLNYPIFNVYLELEGYQTASYSTL VTLGWSFKAFMGMLSDCFPIFGYRRKSWMLIGWVATMFCLTIMTFSSLGEPYCNRQKA AARGSKACSKPFMNASLKDQDLFNLSAPDNGGLFIVLSMFVSLGYVTAACASDAMVVQ YAQREPLAIRGRIQTAIYTVRTFAGIASSVIVGFGLNGANYNGSFEFSMAPNVPYGIC LIPCVIVVLSTIFIVKEVKTPAIPFKMWTGSFWELLQKRVMWQICAFRFINNMFQGIG STAGSPMYSIWANVEPLNDALSSVLGGFIFSGILVVVGKWGLHWNWRWAIALSSIGVI LIDGTINFMTIWHVVRNQWFYTGLSLADNVPGGVRFIVATYCAVEIADVGNEGATYGL ITTVSSLASPFASVIYKVADSYFMLSQDDIRNDTTKVRWDVTYSYFISYGSKLFALTW LWMLPPQRLQMQELKKKGGKSPLAGTILLSVFFVCLSFSVTTSIMSIYPSTKCYRIAG GNGKMDPNTGVCPAVKAKKG H257_08351 MAGAGAIQNTSSPGTVMDEFEPLQAAAHEGLLLAQIASHTLGCS AHAERGRVKHCNVPIGITTDGTGSIPSEPSG H257_08352 MSHVANKLRENADNQACADCSQPVTASVAWATTTFGAFVCIQCA GVHRNLGVKISRIKSVHMDTWSADEVALMKGNRAANAVLEKHVAPAVKAAVNQSDGFR AAYIQAKYATKTFASPDGAAFQLPPTAAAPLSSSQSSSSSSKRNNGMSSLDVAKRFVN YFVVVGRGSSLKQTVVTSTGPGDIQFQPTILDSYPETLADAPLPPHIAQFAFPERLTL SATFREPTFFSFVLTNVSGAKLYACALQFDELLTPFEVMALFVKDAMPTWAQALSSGS TKQASAVYSPKCIVVLSQYPFFSAFRTFLQQIYRLSLSEAPLPLERYVANFVSEIPVP PPGRVQVELTLPEHTMVVSRPPTNQLPHADVNFRPLFQMLDLNNVLAVFTCLLLEQKV ALCSMHLSVLTPIAESLQALLFPLYWQGAYIPILPSSLLDIIDAPVPFLVGVHSTYLA TSNRSSASDVFFVDLDHNRIIAPSNELGQEATLPKLPERETAKLRSKLQDVANVFDPF APEIAKADWAFDHQEYRTPAVVDGTTGGRILHPTDADRKASLSLDRKATLSFQTLKML VPSPSASVLTERSASISTGTGGSSSPNAFQTDAVRQAFLRFFVSMFKRYAAYLNPKAL QHPSQALFDSAAFLRDNSDAASRPFLSTVLASQMFQRFCHDRSADADNVPADVRFFDA SIYQKLNRSVTLGKKYDVSFLEDKAESIRETYVAPPPSTMGLPDNGMRYKYRGFPRLK RHLFGTIRKPRELFTANEQQRHVAPVLDVHQQIYNLSTCVVEPGTSWDATRKLVVSLQ ALYRMHRARKSYRKQQAAVTTIQSFVSSHLKRHAMQTKYRRFRWALVQLQSRVRSKAQ QVAYAIARRAVMVLQVTIKGFVLSTRYQRLRRGVLRLQAWCRRKHQQQWYRRQMHRIV LVQTHVRGMLARLHSIKWRTSYINDLKAHMFTLWTQAAIPLVYRSKFWLMFDRFDFMS IGVHLDEIARLQAILGCTPLAAVAPLPSTAAKYGNSVQATNDMDIVLSRSARKRRQRI LKSSHTSREIASVRLDEERLHLYDQLKYHTTDAIAQSLYRSMGISLASKKKKRKLIDM LWACLDTAHSSAEIVLSIGVSGAPQMSVGTMEAKLHSRICSDLAYTVNAAMASLQKTK SPTTTKSVEGHRRASSSSSTDRRRQDDNKQALMRAMVYQNHCLEIQVAESQRELVKCR QLLAAHQAPTSFTNEKEDDNGGDATGGMGLGKGALRRPSLISGPQASYQKLV H257_08353 MLPCTSPPPTTTTNMVDENDIESMTSLYSASSMDNHDDSFHCQY TVSAILIEEVSTPSYCIRSNASPRSFLFPLSRSWTSSFASDTANVVPISHNDGTTRFD EASYSSNATFGTYNSLGTSNHATVGTTSVDNLSFIQVEESSVESSNVRVVAPSSIGDA HSSSHRLLDLSALSSIDSTSTNPALDMRGVCYDEEESMWSRGPFPFALRPFAQTYTST TARDFFRHSYNRSASSCTSNGGSNTSAAPLFYYDDDQYEEHVNIWASRVWTSSSGKDE DIESFLQAMDTARGQLAQQLHHSQQHMHESQQPTTASSSSCMRRATLMHAISELPEVP HHLTYPTPSSSSSSVFGPMVKIESDESTSTILSPVIQSKLGRGSLPWFHRVFLLPHEP LRRALQTIRRLVHPTYLPFHSSHFKMPAFFAWFDQLALYIRTICHVKTHVVLSAILGV NPSLVKLLVATVKSYEAVLSLLDAVRFFRTFQPTTQAYSDLPNHGEMAWASYVLQLAE YVATLEHILCATLDRDEQDFGQALASTFNHESYVRQIQKRTETALPPHVKRVIVPWML DGCDQFGGAPDDWQWGWWSKCMYETVWRRYYANNVVAHLHTLEFGTAAPTSPSSNDR H257_08354 MTDRATDLLLDNGQAKWNIVKLGTPSPSCISHKVVLDAIRTDYA PTAPNSLVALRKAPVNANPYAQRFPHIRKAPPRHYNHPPISTSSSPSPEKSKPRQTHS PTTQANLLPPRPSTTPGTTSLPPATTAAPMTFHELEIIAPRSPKPRKHPTKAPLSLPK PSCSNSISNWNHTPLAAAPRFVPGLRSDDLKASRQLARQRQNLDATAHRLSKHERSGP TLASTLNDEQFNADMVTITTLAQDYATLGDTTTAWRYRGFGLRALGDRHMHLFVKNVT LQFYSDAVEDFTRACVQFEALAQWHVQSRVGRGDDVHHHILADDERLLLLRLDPTHVV QSFAAHAATTSLKHAYFAVSEAKTSHTGVSMHIRVACEMLSIAYTAFAWLDLDTVHLG FVHSSIDAAQHRANLRAALSHVALERHAALESEWARHRQDFNKQAESFLNICKQAESL RIAPKDSVSVLSTTVAASNVEKRAMAAVVQVMHSTGGNFVVRSHRKHVKDTEQVKKLS KKSNRRPVTPSQTATSSSRDVALITLLADKRSQAQKAIFDEDVVFACATAATTATARA YEAADRERQAWATTRLECDAMDEECMLSLAVEMKGERCTSTRQDGVSRYVLGVMGTAV RRVIRQVLQRGAAIEVNDVEDTISSLEKEAKEYALVKAFFASDIEAQREALAHEIEAC KAMYAKRVIDGDEHARKLCLKLHELAIAEEAMEDLVYESLEKEEVVVIRYHQPSSMSP QVWEAWGADPEDNV H257_08355 MGRCSNCVRLHAALDEATDEVNLLKVAIASPPHASADEPDDTIA IYRMLIVKARSQLRGVQAQLAAERQVSADLRRSIRRMSHPSPSSPHLASEPVEDLRLD ELTIPPALSRCHNHLDHLDTQLAAMQNISAVHSPS H257_08356 MVTTRRGNGVDVDPATALEAAKSPRAVKSPKAAKSPKSATSPHG EKQQRKQNPSDLVLKHVLVLFRHGDRSPITTQVGQHLVMDAAEKELWASKLPSDEHIE KLSKGAKVTGMEPHLPPPKAPRDGGTFPNGQLTVRGLQQMEAKGEGLRAHYSDFLADI HEKDVYIRSTNVRRTIRSCLALLHGCFPELVGNDRLHIRINTDVTLEPSFTQADYGRL LARYKDPSHVATATLPPLPDTIGCTQSLDKDIRKVIGIHDDQPICYTSLREVLVCRHA HDVPFPSGMDRAMYNKLVDYNTWEFHALFGDAADCYDGFQKGVAEIFHLLHAVTQGQH APRASLMAVHDSTLIALWNAMQLDVGIVFPTYAALTAIELYQHNTTKEWVVEVQVDHS PVHFRNHKHAIRAPFAHVEAIVHAFLKKEKATKKRSVEEDGDVEKEGAEGAAVKKAKV ADQGSQNE H257_08357 MDRTSGHLRSQPPSSSPKRIRIQVPMSPPARSAVSPVSFPAGRP ASLSMPVSPSAMDREAHAVRSCPTCREAFSIFRRRYRCSYCLDVVCRKCSKSLKAVQS DTIPHAPSPISTSPTSNNSAGGHAFDDSTSSQSSWSSTSSVVSTASTFSSPSTHRRIK ARCCKTCATFSPTLKAPSRCEFCRSTAGLFRKKLKCQVCQKFACKACGHLQDGAPFGI RSEAKVWVCTVCDTAHDRQTAKAHQSCHVCKEQFAEFKRRCRCKTCGATTCVQCSVSV AATTPGHPLDTRECRTCVVEAAKAMQNETLGNTDESTHRTSSLHLTPALEFAKDVDTS SFGSRMRTVERSCAAALIGVGVTVVIVVALLVYFYIVSVIVEDSWVASWYSRHVDEL H257_08358 METFAVVLASLFVYRGLPTAYAYDVVLGVLVPQLTDETLEQAVW SAIHDASLDDPALNVTTVVFETGCNATGTLVALQAFASQRVTAVVGPTCAEACRHSVP FGALQQWTQVTAGCGAYVVPTDDIYNRVYQIAPPDSTKLLAAELVAKTYTGGGTVAYV VPWTSDWVSTLASLRGVVPSARWFFYDASTLAAVLVAVHATPEVKIIAFDVEPSMSVT SFVSMAQRSTVALLGLSVDLDLLLRAIPLFQSTSHLISIAFSKSPSTNLTQPITVTSA YMYDATITACRSQKPSSFALSAHTGASGAIDWIQRTRAPLFQLQVVPASGGVAVILGT FAPVGSTLVYTDSQPPAAAPVTWSTLLSSSSPGTSSPSKTLPYGPSILGAICLSSACS LAFLIRMFLPPYWLVGMFFAGFDRQKDTVSEDTFQVYTVQEKDAAKRRNSQVLVVQTM LDVTNLGLGLAVYFGFVIGQDSSIPRIAFYSIALGSQVAFLPSLLSSRMPMILRYTKY RRFCTKDNKVAANTELDYSFPTHNHHIGGGGVVVAGGTRIEIPAIIFGLESSLRYIEQ EHLDVASSLLRLWLEEVPVLGINVYYLLEGVVSDTVAIVFVATFGTMVATGFKLHLVN RLSELYFKRRGVLFDIAMARLESKQRRSSYN H257_08359 MAGDTTDGAAHPPPLHVDLSKPFQARVPKLVSVHPPSINSTTSE PSLSGDDFPVNLLALLPPDAWDRLSFEEQQALLPDLPPLDGWWDLSGDDATAENLRQL FRGGNFNFGNPLMSFYFPSESRTRARAAARAAFDARKRVFTKQLLQSIIQNRSQCLKQ VLPADELALNPELDISVIPPCKAAVDDVDHSFFLAIRLALTASGHGVAVDAVAAYVET HAPRTIPPISERPQHMQVIHYVHSALLFLSRPTTSDPPSPHQDDLSWSFPFVNHDVTT NTFTWQLEGGDKTETVQRLLLLERLFRSPFDLVDPSMRPVQCALELARKRHPDLVALS TPPLKKQLKVTGAGDDAFHAADPPPIAPPSLVLKRAHLPLHRQYPLLRDAFQPQELQR YQHPHQPFIYFDGDSGFQTLVGPCFPPLQSDRVASNVLLPDVAPQVTVLNVVRDAVAR LPCQCGTRADVLALLRMSMFVNPATPVEDLDSAVAKGLDTLASTVPPCAYFRFHNEHQ AWLWHYAAPPASRPT H257_08359 MAGDTTDGAAHPPPLHVDLSKPFQARVPKLVSVHPPSINSTTSE PSLSGDDFPVNLLALLPPDAWDRLSFEEQQALLPDLPPLDGWWDLSGDDATAENLRQL FRGGNFNFGNPLMSFYFPSESRTRARAAARAAFDARKRVFTKQLLQSIIQNRSQCLKQ VLPADELALNPELDISVIPPCKAAVDDVDHSFFLAIRLALTASGHGVAVDAVAAYVET HAPRTIPPISERPQHMQVIHYVHSALLFLSRPTTSDPPSPHQDDLSWSFPFVNHDVTT NTFTWQLEGGDKTETVQRLLLLERLFRSPFDLVDPSMRPVQCALELARKRHPDLVALS TPPLKKQLKVTGAGDDAFHAADPPPIAPPSLVLKRAHLPLHRQYPLLRDAFQPQELQR YQHPHQPFIYFDGDSGFQTLVGPCFPPLQSDRVASNVLLPDVAPQVTVLNVVRDAVAR LPCQCGTRADVLALLRMSMYVRRCHRGMSRRH H257_08360 MSTSPASSTGSASIVSAAASAATAPDNVNRTHNTPKKAQPRKKK QQPSQQLVPSAAVVQASVLRPNATAFTPPTGAAPDKTRHGKKQQPRQPRGGRGATERP VGPVAHRSTSATTAEATPAPGTTTIPLSKPSNTRRSRGGRHGRTPKDVSETHDIDVDT ESTTTGAATSLELCLVCAERFRYHAIGECNHYGICSTCSMRMRLLMKDFNCPICKQAN PRVIVTDTVAPYASFGIWGDTGGPGVLLDDRADMFFSHCEAHYEALVRRRDLYCRRCP KSHRPKFRVLEELQLHMENDHAIYFCDLCVQHQHFYVGEYPMFTMKELMLHQTAAVSA TARARHPLCEFCHVRYYSDVELHVHLERDHFKCHLCPDVQHRYYRNYKGLETHFRRQH LFCEDPSCIAKGFVVFQHDIDFQAHMVSVHGNHDNRLRIAFTVRRGIEDDVSSTTGGR FNDTWEFGAPPPSASSRQREEFPALPAAAPSAAPAPATRPPPPPTIRPSAVPAPSALV PRAMLTRNAQLATAFGRGPKTDDALEKELEPQYSQELKEWGRVKFRTLVAVEKKIQDM MADRACFSTHLKSMPREMRRMIHELAVFYGLKSESRDAEPNRFISLYKLQTSTLPPTS LSKCLLDEAQGPKRQTRRARVYVDRNVKLPEGRGWEKVSDVPVVAAPQEPKDAWSDED DAIEDVSAFVRPEGSSTRLEFLRRTDGHVSE H257_08360 MSTSPASSTGSASIVSAAASAATAPDNVNRTHNTPKKAQPRKKK QQPSQQLVPSAAVVQASVLRPNATAFTPPTGAAPDKTRHGKKQQPRQPRGGRGATERP VGPVAHRSTSATTAEATPAPGTTTIPLSKPSNTRRSRGGRHGRTPKDVSETHDIDVDT ESTTTGAATSLELCLVCAERFRYHAIGECNHYGICSTCSMRMRLLMKDFNCPICKQAN PRVIVTDTVAPYASFGIWGDTGGPGVLLDDRADMFFSHCEAHYEALVRRRDLYCRRCP KSHRPKFRVLEELQLHMENDHAIYFCDLCVQHQHFYVGEYPMFTMKELMLHQTAAVSA TARARHPLCEFCHVRYYSDVELHVHLERDHFKCHLCPDVQHRYYRNYKGLETHFRRQH LFCEDPSCIAKGFVVFQHDIDFQAHMVSVHGNHDNRLRIAFTVRRGIEDDVSSTTGGR FNDTWEFGAPPPSASSRQREEFPALPAAAPSAAPAPATRPPPPPTIRPSAVPAPSALV PRAMLTRNAQLATAFGRGPKTDDALEKELEPQYSQELKEWGRVKFRTLVAVEKKIQDM MADRACFSTHLKSMPREMVYMRILCTFHPNLDF H257_08361 MKFGKELLNAVNQSNPEWGPFWMNYKALKKRIKAVVKSAPSSPT VRASVDSEDLREVDLTQCQEEIDFFTELRDQLRKLACFYVAEEKRYHFRFQQLQAVLK DMKKKAEIDEIDAKRLMVACVHFYGECIQLENYAVTNYQGFSKILKKHDKMTGHNTRT KYMRKMVNQSPFANYPQLISILDGTERMFTEIPVGDSVMQTAMYMAMMGQASAPRRDS SQPSTMEVDS H257_08362 MHRRPVKGGTGWDCKAEGAKAAGLYYEIANVGKVLEASKKRITW RIKMVEGKEYEISLTHSIASGKKVLRIDGIVTHQTSTFALGDWDHCFNLGSHVIHCII KPSVELNDSYDLIVDGISFRRLPEDAIKAKVEPVVVRGKKALSREPSTTDLASRTDSA SGPWECSACTLINDKPLAPICEACGTAKPKFSVVRQQSTKAQPSADNVAIQKPVARSH STDLSSDEAVFNPFGTPSAPSDSSWVAFDKPEVSHRQPSTHHTDPFAPSPTAFQTQPS SDNIASMLHGLDFNYSPPPPPPPPSLSAPLAPVVDPPADPLWGAPIIDLNLNPEVKLT PMKSTKSLQSMEQARLATTGSSTKQLQLPQPVYPPPTYQPPFQANPGFQSFTPPQHIV PPPMPNQYATYGAPPLNGGVQPRVPQQQFMANMTNVPPPKVGGQQRPTLSDPFATLS H257_08363 MASLQVLVNAYLLCTITAYQDGIFVELLPQYAAWKQLLLRPSSL SMPATLQPADLVISSLADKRYILHAAVARHDVSCVERLLLCYRRDLITSDLMACAARH GHFDLVQRFHLRGIPCSSKAMDLAATYGHLQVLTFLHVHAGEGCSDRAMVGAAEAGHL AIVQFLHTARPEQPTSFRAMDYAARNGHFEIVKFLHYNRPEGASAVAMDFAASHGHLE IVQFLHTQRHEGCSTYAMDGAVRNGHLDIVRFLHAHRTEGCSKKALLYALQHQLHDIL PILRSYHGLLRPASSAVMVAARARAKFSRVRTRRRYFLTAITVGR H257_08364 MATTQEESTPLLPTTVEKRKVRDRQRRQLMCFGGVAALAACAVG VWWWTNETPLDLFIPDVPLTPWTSDVMEPPFEKEANLIRPHLISEDLLKRPIPTNAWW TNLLISDSHGQNTGAGQVTLSPYTIASWPHVMQVSYGDDRRVEEPSKIEEYFSADLTF GTVVPSISREVVAFDPLTVHLQYRNESNGTTFTVLLARGSPYVSINYTLSAPMITTTY FNILWCNNASISKTPTTVAGSTFTVVTQIEHQKTQEWLIFFPTNVTVSINSTSFAVQD ETFSGIVRAAIVPRNSPDGTAAHLRQHASIVPVASAVSLSSNVTTGIMEFTWKTVATT TDSIAAASLLMLAHPHHVDSLVPSATRNRSSTTTVMHSSSSGDPFASSTPSILLGPFG HRTIKGNMSFVLGNSWLLADTFQEVGFNSRHPIAADAIAPLLVALKNDSNFTPQALDP YFFGKEIARQARLVLIADELNQGTTKLLDQLEDWLLPWFVGKNFDFFVYDTVWGGVCS VKGLRGVFWMTDFGNGWYNDHHFHYGYFIYAAAVVAKYRPDFVLRHRAALMSIVRDIA NNSPHDPYFPLARHMSWFDGHSFASGVYVLDGGKSQESVSEAINAYYGVYLLGQALSM PAVEHMGRHLMTLEMRAATTYWQTTDAIYGSVYAQNQMTGQIGSTKVTYATWFGPEVE HMHLINLMPFTPVTELFVSAAFVTREYPILAKALHRPAAPMDEIWKGYTYLDHAIVDA KAAWAEVATLKSFDDGNSRANSLYWIATRPTN H257_08364 MATTQEESTPLLPTTVEKRKVRDRQRRQLMCFGGVAALAACAVG VWWWTNETPLDLFIPDVPLTPWTSDVMEPPFEKEANLIRPHLISEDLLKRPIPTNAWW TNLLISDSHGQNTGAGQVTLSPYTIASWPHVMQVSYGDDRRVEEPSKIEEYFSADLTF GTVVPSISREVVAFDPLTVHLQYRNESNGTTFTVLLARGSPYVSINYTLSAPMITTTY FNILWCNNASISKTPTTVAGSTFTVVTQIEHQKTQEWLIFFPTNVTVSINSTSFAVQD ETFSGIVRAAIVPRNSPDGTAAHLRQHASIVPVASAVSLSSNVTTGIMEFTWKTVATT TDSIAAASLLMLAHPHHVDSLVPSATRNRSSTTTVMHSSSSGDPFASSTPSILLGPFG HRTIKGNMSFVLGNSWLLADTFQEVGFNSRHPIAADAIAPLLVALKNDSNFTPQALDP YFFGKEIARQARLVLIADELNQGTTKLLDQLEDWLLPWFVGKNFDFFVYDTVWGGVCS VKGLRGVFWMTDFGNGWYNDHVRVLCFKMAVYECVYDLSMSILSVGPNSWTLILLCEV HGLIFCAECC H257_08365 MGCAHSTPGGADQFTNWTESDATNVSPCPFLNAFANHGLLPRTG ITVDNIKSALTIFQVDEALQKLFTGSAITSLGSVAAAKEEGAADDAEAPKTLSLSSLG QHNAMEHDASLTRLDAGLGDSVKLDSALLDQLVALSADGQYITKAHIGHFRAIREEHS KANNDAFVFDAKQQFLAYAEAALLLLALRDSTGNIKVDWLKLVFEQEKLPLELGWEVR PITADEVLGLASELRGGDPFDKSVFDQFN H257_08366 MGAGCCRASDLVQPLAKNAAATWNCTDPTNMSPCPFVNALANHN LLPRSGISSDDIKAALATMECDATIQTVFSGSTAMKVGSTVHGKQQLTLAQLSYHNSI EHDASLTRQDANVGSHVQLDMALLGQLLSMSTDGVYITKTQLAKYRALREAHSRTYNP AFTFGPRQQFLAYGEAALLVLALRDSTGHVRVDWLRMVLEQEKLPFDLKWRTRPICIA DVLGLAGELRGEAFEWGVLEQFY H257_08367 MDDLDLFGAFDDAKQTLTDVEQAALSNKSTTSTSVASTSSKRKP PTTSTSDDQPPPPSKRIQVQVVQHVDASAKDEIVVATGTQQKNLISFSALPPNYERPA PHNRAPAKTYPFTLDPFQQTAVDFIEAGESVLVSAHTSAGKTAVAEYAIAKSLRDKQR VIYTSPIKALSNQKYRDLEQEFGDVGLMTGDITINPTATCLIMTTEILRSMLYRGSEV MREVAWVIYDEIHYMRDKERGVVWEESIILLPHKVRFVFLSATIPNSKEFAAWIAHIH HQPCHVVYTDYRPTPLQHYVFPAGGDGLHLVVDEKGKFRDDNFQKAMATLSAGTATDT SGAPSGKGGGKKSSSSSGGGNNQKKKVGSDVFRIVKLVMERQYDPVIIFSFSKRECEA YALLLSKLDFNTDEEKHMVDQVFRNAIDSLSEDDKSLPQVDAILPLLRRGIGIHHGGL LPILKEVIEIMFGEGLLKCLFATETFSMGLNMPAKTVVFTNCRKFDGNDFRWITSGEY IQMSGRAGRRSLDARGIVIQMLSDKMEPQVAKGILYGQADPLFSTFHLGYNMLLNLLR VEDANPEYMIKQSFHQFQNEQAAPGLEDALARVQQDKSTLIIPDEPAVAAFYYLSKTL AKQHDDWRRIRNQPKYLVAFLNPGRLVKVHTPPSEDKAQAWDWGVIVNFESGLATNPN AAQASEAATTVHVLLLCAVNSAATADAVPPAPATKGTWTHPKSEMKVCPVPLALIDQL SSLRVYIPKDLRTAESRLAVAKSLAEVMRRFPQGVPLLDPVQDMNIDDPAFEKIVRQI ADTTEAIAASPELSHSSSFALYVQKMECEAKERELERQIKDSQSLVLKDDLRRRKRVL RRLEFVNGDNVIQRKGRTACEVSTADELLVTELIFNGAFNDLNVKECVALLSCLINTE KVKEGQKPPTADTLEGPILNMRDTARRVAKTMQEANITIDVEEYATSFNTNMVDVLIA WCEGAKFSQICKMTDMFEGSIIRLIRRLEELLRQLTLAAHSIGNAELEKKFELGGKQI KRDIVFAASLYL H257_08367 MDDLDLFGAFDDAKQTLTDVEQAALSNKSTTSTSVASTSSKRKP PTTSTSDDQPPPPSKRIQVQVVQHVDASAKDEIVVATGTQQKNLISFSALPPNYERPA PHNRAPAKTYPFTLDPFQQTAVDFIEAGESVLVSAHTSAGKTAVAEYAIAKSLRDKQR VIYTSPIKALSNQKYRDLEQEFGDVGLMTGDITINPTATCLIMTTEILRSMLYRGSEV MREVAWVIYDEIHYMRDKERGVVWEESIILLPHKVRFVFLSATIPNSKEFAAWIAHIH HQPCHVVYTDYRPTPLQHYVFPAGGDGLHLVVDEKGKFRDDNFQKAMATLSAGTATDT SGAPSGKGGGKKSSSSSGGGNNQKKKVGSDVFRIVKLVMERQYDPVIIFSFSKRECEA YALLLSKLDFNTDEEKHMVDQVFRNAIDSLSEDDKSLPQVDAILPLLRRGIGIHHGGL LPILKEVIEIMFGEGLLKCLFATETFSMGLNMPAKTVVFTNCRKFDGNDFRWITSGEY IQMSGRAGRRSLDARGIVIQMLSDKMEPQVAKGILYGQADPLFSTFHLGYNMLLNLLR VEDANPEYMIKQSFHQFQNEQAAPGLEDALARVQQDKSTLIIPDEPAVAAFYYLSKTL AKQHDDWRRIRNQPKYLVAFLNPGRLVKVHTPPSEDKAQAWDWGVIVNFESGLATNPN AAQASEAATTVHVLLLCAVNSAATADAVPPAPATKGTWTHPKSEMKVCPVPLALIDQL SSLRVYIPKDLRTAESRLAVAKSLAEVMRRFPQGVPLLDPVQDMNIDDPAFEKIVRQI ADTTEAIAASPELSHSSSFALYVQKMECEAKERELERQIKDSQSLVLKDDLRRRKRVL RRLEFVNGDNVIQRKGRTACEVSTADELLVTELIFNGAFNDLNVKECVALLSCLINTE KVKEGQKPPTADTLEGIHLVMLYIYIIYTTYNIKI H257_08368 MAAMRARMRKEMEMLENDPPHGICAWPKDNKLDELEAQIQGPEG TPYEKGIFLLSITIPERYPFEPPKVQFVTPIFHPNIDNAGRICLDTLKMQPKGSWMPS VNLSTLLTTIRLLMTDPNPDDGLMPEITDLFKQNHALFVSKATAMTAQHANASHSTPA RALHAAAHNEDESKPAMSLANESNSSSSDDSSSESSQGEEASGSDGDGHSDADVERPA KRGRLV H257_08368 MSTRYPFEPPKVQFVTPIFHPNIDNAGRICLDTLKMQPKGSWMP SVNLSTLLTTIRLLMTDPNPDDGLMPEITDLFKQNHALFVSKATAMTAQHANASHSTP ARALHAAAHNEDESKPAMSLANESNSSSSDDSSSESSQGEEASGSDGDGHSDADVERP AKRGRLV H257_08369 MVSCFQGQHILWLLRRLKFYVIINSFICAVTAVALLVQTRIPHS VVGSYAGILVRLACMIGWFEWISTFKGKIYGAKRMMLTRPQQLSILCHILVVVVPTEM ATLFVGSSYVGMTTPTTYTSVLEEFVYFIPKSLVLELVFDLFHFGMHYTCHQIPLLYQ YVHKQHHMHLHPSPLSTYEESPVDLILTNVVPMAIALAVGPSLSLHQLHLLLAYKTYV EVAGHSGLDIKGMSFPQMPLVQCVHICIRVHDHDLHHTHPSVNFAKRFSIWDRLFRTY KASTM H257_08370 MDIAAASSAGPSLEFVTYGVTGLSLVVFVGVFWTTHVVSLRYAA IYKAYTTTERANWCSRVGSTLHATVICIGMLYSLTQQTWDSSLRPLHSVDLARAFFSW SIAYFLYDLVVVAYWQVPQWKVFTAHHLVAMVPFAIFNFYGSCLADTFLLSIYLLVEI CVVPMNVATFLEDLGYAHSRVHVIVSYVSFGSWVLARGVLPLYALFILWTVMVPSLTV HSTADWVCAVPAIVCGHVISFFCIGCLIWIITPAFVANYKARASSSSTQVMMVDRATL PPQHDPASSSSSIQSTPNRSVVAPSCVVV H257_08370 MDIAAASSAGPSLEFVTYGVTGLSLVVFVGVFWTTHVVSLRYAA IYKAYTTTERANWCSRVGSTLHATVICIGMLYSLTQQTWDSSLRPLHSVDLARAFFSW SIAYFLYDLVVVAYWQVPQWKVFTAHHLVAMVPFAIFNFYGSCLADTFLLSIYLLVEI CVVPMNVATFLEDLGYAHSRVHVIVSYVSFGSWVLARGVLPLYALFILWTVMVPSLTV HSTADWVCAVPAIVCGHVISFFCIGCLIWIITPAFVANYKARASSSSTQVVLTESTRY GTINPV H257_08371 MSVFNLTDSITCHAWNRDRSKVAICPNTNEIWIYSNCQAVDVAQ WRKEAVLTEHDMVVSGLDWSPVHDMLVSCSHDRSAFVWKYEPSERKWKPSLVVLRITR AAMTVKWSPNGKKFAVGSSAKCVSVCYYEQAENWWISKVIKKHKSTVVDVDWHPNSQL LLTASTDMQCRVFAAYVPDVDGAPDAGPFAAMAPFGEVMAEFDNANSWVTAAVWSPSG TRLAFTGHGSSIHFVHFGRPGEVPTLQTVRFSHLPLTRLLFLSNDAVVGCGHDFNLLL FTTSPQGFWSFSEFLDKKSAATTASKVDKSEFNNVRKLWDSKVSRGQSAEATSGDKGA LWTKHENTITSIQVLKKAASNGHVTEFSTSGLDGRVVTWNVNSLNLDLAKLRL H257_08371 MSVFNLTDSITCHAWNRDRSKVAICPNTNEIWIYSNCQAVDVAQ WRKEAVLTEHDMVVSGLDWSPVHDMLVSCSHDRSAFVWKYEPSERKWKPSLVVLRITR AAMTVKWSPNGKKFAVGSSAKCVSVCYYEQAENWWISKVIKKHKSTYASILFPLSSSS HCHPICHRRVVDVDWHPNSQLLLTASTDMQCRVFAAYVPDVDGAPDAGPFAAMAPFGE VMAEFDNANSWVTAAVWSPSGTRLAFTGHGSSIHFVHFGRPGEVPTLQTVRFSHLPLT RLLFLSNDAVVGCGHDFNLLLFTTSPQGFWSFSEFLDKKSAATTASKVDKSEFNNVRK LWDSKVSRGQSAEATSGDKGALWTKHENTITSIQVLKKAASNGHVTEFSTSGLDGRVV TWNVNSLNLDLAKLRL H257_08371 MSVFNLTDSITCHAWNRDRSKVAICPNTNEIWIYSNCQAVDVAQ WRKEAVLTEHDMVVSGLDWSPVHDMLVSCSHDRSAFVWKYEPSERKWKPSLVVLRITR AAMTVKWSPNGKKFAVGSSAKCVSVCYYEQAENWWISKVIKKHKSTVVDVDWHPNSQL LLTASTDMQCRVFAAYVPDVDGAPDAGPFAAMAPFGEVMAEFDNANSWVTAAVWSPSG TRLAFTGHGSSIHFVHFGRPGEVPTLQTVRFSHLPLTRLLFLSNDAVVGCGHDFNLLL FTTSPQGFWSFSEFLDKKSAATTASKVDKSEFNNGIYIL H257_08371 MSVFNLTDSITCHAWNRDRSKVAICPNTNEIWIYSNCQAVDVAQ WRKEAVLTEHDMVVSGLDWSPVHDMLVSCSHDRSAFVWKYEPSERKWKPSLVVLRITR AAMTVKWSPNGKKFAVGSSAKCVSVCYYEQAENWWISKVIKKHKSTYASILFPLSSSS HCHPICHRRVVDVDWHPNSQLLLTASTDMQCRVFAAYVPDVDGAPDAGPFAAMAPFGE VMAEFDNANSWVTAAVWSPSGTRLAFTGHGSSIHFVHFGRPGEVPTLQTVRFSHLPLT RLLFLSNDAVVGCGHDFNLLLFTTSPQGFWSFSEFLDKKSAATTASKVDKSEFNNGIY IL H257_08372 MSFTFFAVPSYPPPFFMIASLSSSIRSSISSQTHLTVDAATDLC PCASAMACKAAVCCRSPTSIDRVCCATSSPSASSSSFLPAKQPTPPAPLPNATSSDLR MHSIAIPSDVGQIDYLDASLPYVAVFQEQMGTSKVARGPTSAYYTLTESGQAGLEHAT DELHRMFVHATQYVLDHQAEFAPLFHFPASLWPKIQQSWASRSKDVVAARFDFALTPH GLKTYEYNADSASCLFECGHSQDKWADVVGLGGRSNSDTLFRQLVAAWTAKEVVGHLH LLCDDDLEERYHSMYMQAAAQAAGIPCTLIVGIDSISFDNEHDGLFRDANNVVIQNVW KTWSWETVLKQYKQSTAATSSTHEADHHMDHHHTRSPPNSLKRPLSSPTTSTTPGSTK LKREDDHHGVATSSSNRRRNLQPVRQVMDILLHESVRVFEPLWTVLPSSKAILPVLSK LYPSHPYLLASTFDEADVVPMFPKGYCAKPVMGRTGANVSIYNDRHELISATGGAWDK DNILFQELALLPQYDGKYVQVNCWAIDGRYGGTILRVDESNIIGGSSGMYAMRVVPDE DVAPPQTPTNVTTTTD H257_08373 MISTACRPVGRTRSGEGRCLIAQQVMTVFTVSPELEEAECHEKL LDLLTNELCSMPRKCRPGEDNVTWVSIFYGHTPATIPAIANTNPPPRGERGSVCNSAC GQAVELGQSSPFKSLSSRWWHSP H257_08373 MISTACRPVGRTRSGEGRCLIAQQVMTVFTVSPELEEAECHEKL LDLLTNELCSMPRKCRPGEDNVTWVSIFYGHTPATIPAIANTNPPPRGERGSVCNSAC GQAVELGQSSPFKSLSSRWWHSP H257_08373 MISTACRPVGRTRSGEGRCLIAQQVMTVFTVSPELEEAECHEKL LDLLTNELCSMPRKCRPGEDNVTWVSIFYGHTPATIPAIANTNPPPRGERGSVCNSAC GQAVELGQSSPFKSLSSRWWHSP H257_08373 MISTACRPVGRTRSGEGRCLIAQQVMTVFTVSPELEEAECHEKL LDLLTNELCSMPRKCRPGEDNVTWVSIFYGHTPATIPAIANTNPPPRGERGSVCNSAC GQAVELGQSSPFKSLSSRWWHSP H257_08373 MCALLAEFVGEGRCLIAQQVMTVFTVSPELEEAECHEKLLDLLT NELCSMPRKCRPGEDNVTWVSIFYGHTPATIPAIANTNPPPRGERGSVCNSACGQAVE LGQSSPFKSLSSRWWHSP H257_08373 MCALLAEFVGEGRCLIAQQVMTVFTVSPELEEAECHEKLLDLLT NELCSMPRKCRPGEDNVTWVSIFYGHTPATIPAIANTNPPPRGERGSVCNSACGQAVE LGQSSPFKSLSSRWWHSP H257_08373 MCALLAEFVGEGRCLIAQQVMTVFTVSPELEEAECHEKLLDLLT NELCSMPRKCRPGEDNVTWVSIFYGHTPATIPAIANTNPPPRGERGSVCNSACGQAVE LGQSSPFKSLSSRWWHSP H257_08373 MCALLAEFVGEGRCLIAQQVMTVFTVSPELEEAECHEKLLDLLT NELCSMPRKCRPGEDNVTWVSIFYGHTPATIPAIANTNPPPRGERGSVCNSACGQAVE LGQSSPFKSLSSRWWHSP H257_08374 MEKKGGHDENNLYVTVETPVAHLVADEAITTSSTSTKDAKKPHG KMASFTELFQFADGTDYVLMSVGTIAAMLTGAGQPIQITFFGDIINAFNPPTGNEAPG AFQTNINKVVYQFVVIASIILVCGFGQIACWSISASRQSKKLRHAYASAILRQEVGWF DVNEPMQLATRVADTTLLVQEGMGRKVGDGMNFLSMGITSLVVAFYYGWELSLVLFAF TPLIGVSAYCMTKSITAAVQGGVEAYAEAGGIAEESLSNIKTVHMFNSMTTVANKYMS ALLRTEQAGVKKGLAVGFGTGVMYFVMLCTYAVGMYYGAVRITNDQLGPQKCVGSGCY DGGRVIIVFFSIVMGSMALGQAGPSMQAVMTARSAAYEIFELINRTSKIDASSDDGKT FDIVEGRISLESIRFAYPSRPEVEVAAGYSLDIPAGQKIALVGSSGSGKSTIVSLLER FYDPLEGRVTLDGHDLKSLNVKWLRDQVGLVGQEPCLFSDTIAANIRHGKPGATIEQV YEAAKQANAYDFIMGFPLGFDTPVGDRGAQLSGGQKQRIAIARAIIKNPAVLLLDEAT SALDTESEAVVQASLDRLLASRQRTTIIIAHRLSTIRDADRIVVLSHGKVVEDGTHES LLQLEHGHYKALVNAQMRRGDSIETILEHTHTLQNEYCANSAAATIPVTGASWLHNDK SKHNVDTVAITSDDDDVGRSHYLDGASFSNVSVLRVWRLCAPDAWHVVAGSVGALLHG AMYPMWGVLLTKCTVVFFQLDSTVDEMRSDALMWSLGFVIMGAVVVVAVTVQNHQFAV VCERLTGRVRGLCFRSMLHQDMAWFDNPAHSSGALSTRLATDSAAVRTMTAETLNAIL LNVATLGVAFGIAFYNSWRMTVAFVGALPFMAASQMLQMQMMTAQTGKSVNQGDVLAG ARLSEAINAIRTVASFNLERPTNALYLDSLLASAATDTKAGVVAGAAYSVAQSTMIYA MATIFYYGGWLMLRGLVDFESMFMVLNPILFCSFGVGMAAQGMGDVGKAKIAVKSIFA IIDRNPTIDCSSSEGLQLEHVHGDLELRGLEFNYPSRPDSKVYTNYNLKIQSGQTVAL VGGSGSGKSTAINLIERFYDPTAGSVFLDGHDLKTINVQSLRQHISIVSQEPVLFIGT IGENIATGKPGATQEEIEDAAKKANAHDFIMQFPDKYNTAVGDRGVQVSGGQKQRIAI ARAIIRDPAILLLDEATSALDTESERIVQASLDSLLKLKRRTTIIVAHRLSTVRNADV IAVVDGGRVAEQGSHDELIAIPNGIYANLVSREMH H257_08375 MPSRHLTADAMSAHCAYKSGKCTYPRAIKLNGELHSLCALHREK QNAHQRKSDRKSRRAKKDMDATTIRVHEAWKIVGEPPDSFLFENTTFEAESTITMYHY RQTTPPSPRVARLPPIRHLLHTLSLPPSNPIKSTTTTAGGCPQGMIMQFCT H257_08376 MADASAPSQDTSSRNAIILVVLTIIISKVLEVLYRRYTLNVGKN DALITLKPNSTVHLKLVEKESLSHDTRRFRFALPSEHHVLGLPVGQHISLRFTDDDGK LVMRSYTPVSSDDAVGYVDLVVKIYFKNVHPKFPDGGKMSQHLDALPIGDTIEVSGPK GKLTYKGLGVFEIKHRVNDTNVDVRKAKKIGMIAGGTGITPMLQVIRYALANPNETTE FSILFANQTEDDILCRAELDQMTKNFPNVKVWYTVDRASDSWKYSTGFVTKEMIDKHL FGAGPDTQIFLCGPPPMLKFAVVPALEELGFTPDMYFAF H257_08378 MQRHDSKSMLKKMEMDYDARMEEQVDDLREKMRLLQVDRKGNVE LLETNKNSNKDLIRQLKQENKELRKNVADMKRNETPLNGGPVVVGEGDDETSQVALHL TKCRKQYDDVRHKVAAQLETLEQLKDNVKDLELEAKKPSMEDTPETRKIRMLENRLDK AMIKYNEAQSIRKTYEQIVKRLKEERIGFDNQLAAIERAAAAKNHDYDELVMLSADAA HAKDLTVAELERVRSNYEEERRLRDKELREKQQVVKMKLDMNARLDRRERQKHDLVDA EVDVLAPDEGNVLKTSLALNSLKEGRVADEKKEHRSKIDIFESAFRKIKEATGVSDVN EVIQKIVSQEGTTENLMMLTKENQARLEALQAEHLHLKTHVEELKYSGSGGGHRRKMV DDHETNLNLATAKLERARVKFERVAKILISVKAGVEHLVDKIESVREDGKAILVNDDT IVEALHESEVTLLGLLELTGATASPSSGGSATTAPIVTTTTESASVIPDDELAVGIAR PYNQRIPLPGDGFLPDELNDDDGLMLEENDDALSRDRVKKASKQVLLDQDKRKKRVQK KVSSAVQDTDEFAADEITAMQLSAAATGLPSTPAKKK H257_08379 MRVRRLCIAEHCTNKAYSRQLCCRHGAKKKCSVTGCTMRARRND VCYHHGAPKMMCVETGCNQFAQARQRCIRHGGGRICKSHNCTSHARLGGWCQRHQTPT TLSVSPQLVTADESSWCKQELHIRSCSMDSTDDVSVFESSTEFASAVMYFLSGDDDTV EPATDHDMQFDSMMTDHTN H257_08380 MSAPPLCRRRVVSSTQLCGYKSGKCPHPRAIKLNGGLHSLCAFH RDKQNAHQRKSDRKMRCEKKICDSQLVDHAKDSDFWNCVDGPPDSLLFQTDAMPVPRT MSAALDPHRHHSFQLTRKPTRLPSIRSLFQAQRLRVPRLLVETMDNPDDVIPTRFYS H257_08381 MSSSTATPSMRVRSLCIAEHCTNKAYSRQLCCRHGAKKQCSAAG CTLRARRNDVCYHHGAPKMMCIEAGCNQYAQARQRCVRHGGGRLCKSHNCTSHARLGG WCQRHQTPTTLPVSPQLVTADESSWCKQELHIRSYSMDSTDDVSVFESSTEFASAVMY FLSGDDDTVEPAADHDAQFDAMVMQDVLDILVRTPEISYHEWF H257_08382 MKAPSSLTFVQAAAVFSLGVATAAVGQYLLTQQPSKPQRQAQAL PIAAPSSSPVEVDALSAEQFSRICSFFGEEGFDKIQHAFVIVIGLGGVGSHAAHMLAR SGVRHLRLIDFDNVTLSSLNRHAVATRADVGLSKAQVLKQHLLEIVPQANIEALPVMF EEAVADQLLAGNPAYILDCIDDVTTKVALLAAASANGLKVITATSAGGKADPTRIHIG SLQDSVRDNLATKIRYFLKKKNVDASTITTVYSSEKSRCKLLPLDADQVDNPNQFGNV ENFRIRVIPVLGTMPAMFGQSMAAFVLTEIAGAPITPENVAKLGRDQRNKYYQRLQQR EKDAGFESKIAVDKDDMDFLYQEVWKARSSVSGIRCGGFDRMFMSRWRYELPLSPGNI VILTTKELAIMDDKGIEGFGADVVARIDARLQSFGSWDVDA H257_08383 MAHKPTTRPLLPIETCRSNSATEASMSTTESCAIEAPDVDGDLA LRVFDSLDTIHSGVLSPALLAGGIRAAAATCRLSLHGDIDGVVGQVFFQRWHIDATQF ADVVTSLPNLRTSVFDPPKTPRSGIDGNDNTTNGRNPSRLHAMWMLFQAYPSSIKVWW GLYAVLNVAVFLWKFDVYHQRKPAFNLSGYSVCIARGAAQVNLLNGFFLLWPTFTRLQ YAVTKANLDIAHALALEHRVVCHMVHGILFYISGWVHVAGQAVSIWIKIPRASRDAWA QSVLSKAPEFAGKPKPGPMGFVVTLTGWTGVVMVVCSVVACVFKTAYVRSRRRNIRWN MLAHVLDGIMFCLTFVHGVRGWLEPPQAVVFLAIPTIVYVVVEVFPRYFCTRLSAVSH FTKTNDTLTLYIPKTKRFQNVLPGSFLRLHVPLVHKYEWHPFSITAQDKHEVAVQIQV SGDWTRRLYDVVHPHLYVHIDGPIPAPAVEVRQYSVAVLFGAGIGITPYISVLQQCLV DAKQHDLSSRRHSTPHMGQQVYVHWQTNKQTMFKTHQHILEQMGALPNLDVQLYLTGN FAKTAPDATDVLRVLQKMTSTCVDSNSVDPISGLANLPLPTKLGRPKYHGILTAVANA HRHAEIGVFFCGPAAIKTTIRDTLHQVTTECHKAGNNVTLKYHPEVF H257_08384 MLGQVGQGSMRSWTKLNSCVGMSLACHPTACMMSSSSSTAAATP PMQDVATFAAGCFWGVELHFQRVPGVLVTRVGYTNGHTLNPTYKDICRGDTGHAEAVQ VTFDSAIVPYKDLLEKFWSIHDPTTLNQQKNDVGTQYRSGIYYHNDEQKALAEASKAT QQTHLHATALLPRIISSRDIVTEIEPAGVFYPAEDYHQQYLQKGGQCAKKGTTDSIRC YG H257_08385 MTSNANTDAPQSVATFAAGCFWSVELHFQRLPGVVDTKVGYING HTVNPTYRQVCSGTTGHAEAVQVTFDSTVISYQDLVDKFFSIHDPTTLNRQKNDVGTQ YRSGIYYHNDDQKDCAVASKRKRQKRPTPPHQRWWRSILHTVFGCNGDVVVVTEVLPA GQFYIAEEYHQRYLEKGGQCAAKGSTASVRCYG H257_08387 MTKTVPVSLEPHEQLATFAAGCYWSVELNFQRLEGVVETHVGFI NGSTVNPTYREVCKGDTGHAEAVQIKFDVNTITYKELLDKFFSIHDPTTLNRQKNDVG TQYRSGIYYHNDEQKEEAEAAKAERGQVTKTEIVTEIEPAGTFYHAEEYHQKYLEKGG QCAAKNSTDQIRCYG H257_08386 MDEEAVDTTTATTTPPQPTGEDLSSKAGDARASTDQSTDLFRRR AGDLLSSLSSTLDDNVMLFRWGCMATMVGCAYISIRSSGLLTRYTSLDALPVGVPVVG RVLGQHAADPSTLYVYHTPWVRRVLLKETLPRGMDVSGHLTDTSSVIAVRPFGVDMQD EAKRWLYSDFVAARRYVTIELLYRPPPPLSSSSSTDYPPAVVPPTPTLAVGACSISTP KNLFFRQDMAEFAVARGIAVCRPEPDVDVVKPNMSRRSIKRLERRTKRLASRQEYAQT MRYGVWKEWAEPEVAQRMVSAGKRASTAAMQRIFGTWWTKY H257_08388 MAFQDAWVVDKTMFDYVSQGGGCACCGITNAMMNWDKFQQCSDW GTHRPLPILSSPSVQWTRRTITFLLILTMVLLDTDDEHRELFSPWPTFIHEDVQTQRC IIRASMKQQLSSYASFRATHGDSFKSWLAALPLSAKQRAFQVPESEVLHYVAAHDCNV HGPYGIVLNAVTDQIKQFHATNYLDGRHPAEIYLEKHLHYEKGAFTVSSTFMASAEPF LSCLSYLGGPRLFPTPPNPPDEEDGGNTTTPSTLEPPVKGSTSTVDHVVQSFRSDRRL LRLVIVRSFADILRRKYLRDVVHNLDENQHQASLDNTNDDDAADNNNLDGC H257_08388 MAFQDAWVVDKTMFDYVSQGGGCACCGITNAMMNWDKFQQCSDW DTDDEHRELFSPWPTFIHEDVQTQRCIIRASMKQQLSSYASFRATHGDSFKSWLAALP LSAKQRAFQVPESEVLHYVAAHDCNVHGPYGIVLNAVTDQIKQFHATNYLDGRHPAEI YLEKHLHYEKGAFTVSSTFMASAEPFLSCLSYLGGPRLFPTPPNPPDEEDGGNTTTPS TLEPPVKGSTSTVDHVVQSFRSDRRLLRLVIVRSFADILRRKYLRDVVHNLDENQHQA SLDNTNDDDAADNNNLDGC H257_08388 MAFQDAWVVDKTMFDYVSQGGGCACCGITNAMMNWDKFQQCSDW GTHRPLPILSSPSVQWTRRTITFLLILTMVLLDTDDEHRELFSPWPTFIHEDVQTQRC IIRASMKQQLSSYASFRATHGDSFKSWLAALPLSAKQRAFQVPESEVLHYVAAHDCNV HGPYGIVLNAVTDQIKQFHATNYLDGRHPAEIYLEKHLHYEKGAFTVSSTFMASAEPF LSCLSYLGGPRLFPTPPNPPDEEGRST H257_08388 MAFQDAWVVDKTMFDYVSQGGGCACCGITNAMMNWDKFQQCSDW DTDDEHRELFSPWPTFIHEDVQTQRCIIRASMKQQLSSYASFRATHGDSFKSWLAALP LSAKQRAFQVPESEVLHYVAAHDCNVHGPYGIVLNAVTDQIKQFHATNYLDGRHPAEI YLEKHLHYEKGAFTVSSTFMASAEPFLSCLSYLGGPRLFPTPPNPPDEEGRST H257_08389 MLNVGNKSNARQQVQRRSAAHYRYALSMYQDAPVVEVTLEEFES YSIDRLHVLKTVEMHRVRGGNPRETEVKVDKALNMYLPMRTSEDREKDQLSHFILRMA FCHTEELRRWFLAHESYLFKHRLDRATREDKMHFMRTNGLIYEQVDEDEMKALAPKLK TVRATTMTDMKEAYKDLDVHVKVPFTEAIDLIGSRKVYLQGGIAYVPFDHLVSILYAR FRSSLSKQLAVAFRKFGGSAASRDDRLMPVLKNLAKHHIGPDYSTAPVASGNSITAAM VDGLSKTSMPLCMKSLHLALTTQSHLKHGGRMQYGLFLKGMGLQLDDAIEFWRKEFCK KINVDDFNKKYAYNIRHNYGKEGKRKDYTPLNCMKIITSDPPKQGEYHGCPFRHFDEH HLRASLRGIGEIDKEAIVQLAKAKQFQVACKRHFEAMHPKANSDGVGNHPNAFFEESR KYFDSQPSNNNGVTPPNNTPATAVKSE H257_08390 MWVATPFGLAALTTTEAWESLPKVTVKFPWGIGYLQRRIVSTSH AFQVTCFALPRPLERFTLTVELTVSFAALRERILRHLDMPLLLVDNVVVMQPLFGGPD DYRLSPPNPGQLVFTSLRPLLVTIFPTIKLTKCSSLLHIEASDKETAQAIPPTAPSAP STEYHPTTPPIDVNPRDKLSSTTNNRRAAAATSENPTTLVSPVVTQIGRGTGCVTGAE GRLTSGCKYWEVRLDTTLLGDGIFVGVATVDVPLNSTVVGTDTFWGFAAHLGKKVSVT LEPYGGPEAVCVQGDVVGTLYDSELGLLSFYRNGRPLGPAFRHLYFRGFCPAFATTNV GLRFTLLPGTVPPLTAELS H257_08391 MVLSVRNLFVGGAAAFAAMNHASRCGDETLSLLQRYGGACQARN IPLSTRWCSVTPCRDLLARVTKRGCRNSRFMRVIARCEGSAAVNEEDDLDGDGESDFA SIRREAQNAGKYDKDALTKEALDKKILAQFKKKAKAKAGLARKKLKKAAKALMPVMAP PPLPPPPVDSYYSDQDYADDLDDSHSNDDDIGDDDEFDQYDFGNYDQWDVGDDIGDDN GVEYDVINDHRDIQNGGVRDTNALTDDAALANKIKRDFDQRIRSIVDQENRAIVIAFR GSADDVHADMDDDDDDDDDDDA H257_08392 MLKHYLNEAKLKLKDEAYEDALDASSKALDLDGMNFQALMVKGK CLVHLQNATLAETTYRRAVELQPEMPLVWKGLLEVYEMTNAHAKAIEPLEKLSAILIK NEKWDRCQKVVSDLATTATAASLVPKALNAWHPLVVSVADKPALFLGAFPNADVPSEI QIWISVLHLVQTHRTVGPFHSVDIILDNIVRLVPSLSWADEDIQQDEDAAKNMPWAPP SAAASLRSDVAAAIDIAVDNAWRKVKQAPCDAKPAARQALDALAAHLTRACPRAKRAA EVRLLRIEDNDSEVLSPAEEEQCLAVLKSTASSTTSPLVHLYRGLDQANAGQFPEAVA SILQGVASIPEHIQARVVVAMLSLESATFDPQRCLDMIRAAQDAVQCRFDTLGTSPRS YSDTAMNLLQARALIAQHNWANAIMVYEGIIEHEPFLPDAVIGLTEAHLHQSNLDDAA STMQFLPPQESAAYCAAKGWLAYHQGDLAAAQAILEAGLAYPDVTWALKYRLARVYWD LGGDFQTNKAFCVAQLVGAAKLNPHEAVIFRWLGTYYLDVAADPVRAEKCFLKALSLD PSCQVCGLALTDSLYATNYERAVQLWTDMAATPVHAPWALLRLAQHDVTHGNETAIAH LHKLLRHDPHNASLWAALGHVYQVFGRIVAAQKSYAKSLSLVGSPDDATNLPSTSVLC ELARIELSLGLLDEALAHLTTAVEAGVTTTSNDQTNVGAAVHKLLAETLFTQAKTLCA QGLYGRSHDKLKQASTVLCTYLDQTSQSDDDDNDATTSLYKLVGDIHLFAFYLPVDDT WLSFLQQGTDAYLKALSAQLHDAMALFDVGVGYWVQAQARAYLQNVPMAKWSLQHQPA YPPETLSPVTTARSYLTQSLQANPNDANVWNALGAVHDHIVLKQFAFVRAIELENLDA AWANLGMLYLQSGHLALAQKAFLSLQGVNPNHPAMWLGNGLMECQNQDPAQAHAAFTC AMELRLDLDILHGFAYTALLSRTGSLDQALFALKKYNERDARHPAAINSLGVALMRTG LFQQAVAAFQSALELVKNDVLFVAGVTRNLVQALIHVKQFDLADTALHALDKSSPQVS LLKATVLVGRGGHDKEALAALDQAVKDLPASLTDSGVVHVHLTRSVLLHQLGHVDASR ESLQALLLTHPGKSDAILTVMLTLGGASMWWSPSEATMTQALCGPLVALYQKLNLGAE CKRICDFWTTTFPKDPNGWLALAQSSLHFGKLVGPLSCSPTSSVPSSVLPYDATLLRY QIESVEQLFGSWHASDAAVRKWLHLHPVDSLAPLLVAMALLKRLAIAPTDESLLHQAK TWLESYASNATSSTPYTTWLWHVLNSYLYADMDNAKATFHAVDATTLVTTDLSAHVPV LLYQARSVVYTDPDAAIALYLAYLKQEKGAKAVKVELAVLLEAQGYIKSAWRLWKDVD DGETNEWKGLVAVKRAFLQRENPKLAAKCLRDLGPFNVSDAFVQAFKAEFDLA H257_08393 MRVTSILVALAAAVAMASANDVATNTDASAVGSDGAALTQLAAE IESLKSANEELDAKYKQEREQVAALLNVVDELKVSSADMGTKHDQVVALEEDLEALRK ASQKAERTFKENTANLEKSLKTISAAAREAEESRQADKVQATATLKSVEGVVTSLTTQ LNDVNLEVAGLKKRNSELEAQLGNVHADTSATTEQLVALKDTLQAKATSLATENKDLK DKVAELELQVAADQVDISKLEAEVKATKTKLGQIATLQTQLKNAKQKIVDLEEDVKKA DAAINWQAVFSTYYDHVANTLEHSDDYVALAKSKSIEIYDNHVHPITLEALKHASTLS TSAHALYKQHAAQHVDPVVAQVVLAAAPHYAAHAPALQEQYSKFVESARRVLNTADQR FRMTRKWCIGRLKKLYPRIAKYARQIVDFTIFLLALPLAFAAYRVVVEVISWTLYLAT CCCCFGLFGRKAVKHNTAQPVSAPPAATVAKPTTPSVSSSKKGKKGDAAKKNQ H257_08394 MDLPGKLPMNRHVVHVSSWKHPKSPGMDIVQTHPLNQQLEVFLP TATPSSVGSLSRQGSFFYALRLCPRELVEECLTHPDTCYYAILKNAPQDGANTMTLFP SGDLVLSLDHDTYTQFGLVGHPSALPPGLKSIKKSAHGNMHVIAISINGLQSDSPFKA RVFACLDRFGPVDLLVCATNSNGHAQTVSITHDAAQRRRLELSATVHSFESIVLPDAL SSSPPKAGKGGSTADQKDHIQDVHEWLGLMCCRLDGLLQGSSPDEYASSYIVPGVDEP TTAERHRATSVRWRGLIPESFCATALEYAKSQVADKAVPWAAVIVWGFPDTLASWFDK GKRRDHGFQMEGTNGYTILCLPENQYWVIQSIGAQDAAV H257_08396 MAPSTKTSTRSSLPRPALDAKKFNRRLTLQCGRQVSYAEVGDPR GFPVICLLGMRGHRFHSCLYNNLAFNHGIRLFCIDRPGYGLSDNVAPSNIPHPIAFVY IVEQFLTMLHIGRFGLMAQSAGCIYALAITAQESFVSRLIQPVMLIAPWVGIQNPGTL PLLKVAAYCPTILLSAGIKLIDVSNDLTSMSAKPNYILAPLGSRHATPSEDEYPRPSH ADISDAIPFHDFQQRIQSEPNNVLQDAILCLGKSPAGFGFDMPQLHHAKIRVFHGDRD ALVPLKAAEEFVSELPHAQLQVVEQGTHAILFDETLMDFVFAALGAAAKASVHQPGRN CIT H257_08397 MRAASAITSIALVVSVLLPCAQVLADEVSTTAPVVQWYAPFLSG GGYCSEAISFVGAVDNVKSPLFSLRITQHGDSVNPSFSTTLPTDIQALFRSHWFTSPS ATPPTIAICHSEPGAWNPPRYQTSLCPPQGTEYAIGRTMFETDRLPSGWAQRMDDIMD EIWVPTMFAKSIFEAAGVPPNKVVVVPEAIDVEFFDPDTATPLPIDGITEATTVFLSI FKWEERKGWKSLLKAYLAAFSRADDDVVLVLLTNAYHSSSDFASLVERFALDTTEGRS MDELPRIHILPPNLPQDQLPALYKAATAFVLPSRGEGWGRPHVEAMAMALPIIATFWS GPTEYMTLENSYPLDIEGLVPVESGAFQGHLWAEPSVPHLKALMRHVVLHPEVPGSTN IPHATLLWCVGGEDERTTGKTRHDSALCAVRRRGYGRGGHRRCVGFPRCTLRRVVVA H257_08397 MRAASAITSIALVVSVLLPCAQVLADEVSTTAPVVQWYAPFLSG GGYCSEAISFVGAVDNVKSPLFSLRITQHGDSVNPSFSTTLPTDIQALFRSHWFTSPS ATPPTIAICHSEPGAWNPPRYQTSLCPPQGTEYAIGRTMFETDRLPSGWAQRMDDIMD EIWVPTMFAKSIFEAAGVPPNKVVVVPEAIDVEFFDPDTATPLPIDGITEATTVFLSI FKWEERKGWKSLLKAYLAAFSRADDDVVLVLLTNAYHSSSDFASLVERFALDTTEGRS MDELPRIHILPPNLPQDQLPALYKAATAFVLPSRGEGWGRPHVEAMAMALPIIATFWS GPTEYMTLENSYPLDIEGLVPVESGAFQGHLWAEPSVPHLKALMRHVVLHPEEARTKG QRARQDMIRRYAPSVVGAMVVEAIADVLASLDARFDEL H257_08397 MRAASAITSIALVVSVLLPCAQVLADEVSTTAPVVQWYAPFLSG GGYCSEAISFVGAVDNVKSPLFSLRITQHGDSVNPSFSTTLPTDIQALFRSHWFTSPS ATPPTIAICHSEPGAWNPPRYQTSLCPPQGTEYAIGRTMFETDRLPSGWAQRMDDIMD EIWVPTMFAKSIFEAAGVPPNKVVVVPEAIDVEFFDPDTATPLPIDGITEATTVFLSI FKWEERKGWKSLLKAYLAAFSRADDDVVLVLLTNAYHSSSDFASLVERFALDTTEGRS MDELPRIHILPPNLPQDQLPALYKAATAFVLPSRGEGWGRPHVEAMAMALPIIATFWS GPTEYMTLENSYPLDIEGLVPVCTPALCRICDVNVCTGGIGGVPRASMG H257_08397 MRAASAITSIALVVSVLLPCAQVLADEVSTTAPVVQWYAPFLSG GGYCSEAISFVGAVDNVKSPLFSLRITQHGDSVNPSFSTTLPTDIQALFRSHWFTSPS ATPPTIAICHSEPGAWNPPRYQTSLCPPQGTEYAIGRTMFETDRLPSGWAQRMDDIMD EIWVPTMFAKSIFEAAGVPPNKVVVVPEAIDVEFFDPDTATPLPIDGITEATTVFLSI FKWEERKGWKSLLKAYLAAFSRADDDVVLVLLTNAYHSSSDFASLVERFALDTTEGRS MDELPRIHILPPNLPQVRTCCSESSWIPTMLH H257_08397 MRAASAITSIALVVSVLLPCAQVLADEVSTTAPVVQWYAPFLSG GGYCSEAISFVGAVDNVKSPLFSLRITQHGDSVNPSFSTTLPTDIQALFRSHWFTSPS ATPPTIAICHSEPGAWNPPRYQTSLCPPQGTEYAIGRTMFETDRLPSGWAQRMDDIMD EIWVPTMFAKSIFEAAGVPPNKVVVVPEAIDVEFFDPDTATPLPIDGITEATTVFLSI FKWEERKGWKSLLKAYLAAFSRADDDVVLVLLTNAYHSSSDFASLVERFALDTTEGRS MDELPRIHILPPNLPQVRTCCSESSWIPTMLH H257_08398 MSVPLSDVGLYGLAVMGQNFALNMAEHGFSVSVCNRSPDKVDTT VQRAKDEGGLPLVGHKDVKDFVLSLSRPRKIIILVQAGKPVDDTIHAISQHCEEGDII VDGGNEWFPNSLRRAKELEPKGILFVGMGISGGEEGARKGPSLMPGGPRAAFDALEPI LIKCAAQVDGSPCTTYLGEIGSGNYVKMVHNGIEYGDMQLIAEAYDVLKIAGGLSNAE LGDVFKEWNSGELESFLIEITAIILKKKDDLAGADKDVYLVDKILDKTGMKGTGRWVV QEAAERSVAAPTITASLDARYLSGLKEQRVVASKILNGPTEIPSVDKQQLIDDVRQAL YASKICSYAQGLNLIREAGVQNGWKIDLGECARIWKGGCIIRAAFLDRIKSAYVKDPS LNSLLIDADFANELNARHFSWRRVVSLAVASGIPTPSFSGSLNYFDMYRRASLPANLT QAQRDFFGGHSYERTDREGNFHCEWTNAHHSIGNVAERNRGNL H257_08399 MTDVAPPPPPTSMAVRRKFLMMVSYYGRGYVGWQRQAITSKTPP GQPQLVSIQDVVEAAVTSQFRPHEHVNVASVSRTDSGTNALQQYCTFVLPEGHPCTSE MLVALNAALPDSIRAQSLVLLDAVDARRRTKSTRKKYVYYIEQGPRPNPSTLHTAWFI GKPLNLAAMREALGLITGTHDFRAFSQGLQKHEFVDLNTTRTLLDCHVVVRRSVDFSL DVSKAGSGDVVSPDPAPGGTDNFIVCIEITGTGFLRHMVRRIVGTLRPIGEGTRPPSF MLDVLQGRAEPGPSVPSRGLWLHQSWMGRHTNVSPLATTDAADVGDEDDT H257_08400 MTMLLRDSNCPATPIMHDSNNTFVSAVPTVVQGAPRRIIRLPSG YQLPRPPRLGHRVRAAAAAYNIHLHHDIPQAIASRPVFPHTHASAVPSTLQHKPAHDS TAVHTPKALPQGTTHYIQMPQDSQPQSQRKPSTMIALRLRMLAQVLHHTWRHSPLFVL WWVVYAALSVGAFMWKFMSYRHNAGMFNLAGYAICFARGSAEVVLFNGFLLLWPVMTR VQYWLWTLWPGLARCLHMEHRVTCHIVYGCMYYLGGYVHVIAHLVNVLIRVPMACETT WSDSMLANVTDFAHGPKPDAVRVLFQTATGLTGVAMLVCSLVAPYCKFQYRQSKAVYW NKWGHICDGVMFGITFAHGMQHWLEQAQAFPIMGPPLAIYLVVEVAPRYFCTRRNAVT HFTKTQDTVTLHIPTTQRFANALPGTFLRLQVPVVSPFEWHPFSITSHNDTEVTVQIQ VSGDWTSRLHDIVYPHLMVRIDGPIPAPAVEVSQYKVAVLIGAGIGITPYMSTLHQRA TSAVATHDCTPQHQQQLYVHWQTNRQALFGTHGDLLERVAWLDGVDVQLHLTGDCTKA TPDDLNVLKTAQTLIHDQEAVDIISGLRLPKTTDLGRPDFHAILQQVAAKHPNDTIGV FFCGPPALQTLVRQVLWQVERESQQEGHPVVMPFHPEVF H257_08401 MRPLTDNTSALTWSSSLARNNAFSQELNRCLGLHHALHHLHVSA SHIPGAINTHPDAGSRASREPYRPNWQSATAGWTPSPIPPQLRHAYRSSLIFTAPHWP HPGGLVTTKHGPATNIHNIPRNGYPLHRPRTPTKSSGPLRSWFSSFFYSKYQAVSGKP TNQTLQYSDVTFLDHVGRETSDYGAIRTVQVLVRSSKSDQQGRNRGSATPREIRSLVV MPSRTSSLGAQTSRANDRCNAQRTVMLNIKAAAHHVRIHQQSQSV H257_08402 MCRTRCRTLSRRLSGSMEISSGSSLLSKNTSSTDARKGDTGGAV VVAQATAASGNGGANDVCKNLNSVTRIVSCAGGRGLMLCRRMADDVDCLAIVFDRTRR LPPRGESKSHVRRGDTGVNGRGGVPLLLQRSSSKESSTSSV H257_08403 MEMEDDSLLLLPPAEDAPVSHMLQCNEYGDNNNVVDTDDVSSAK GTRKRERLTLGQKRQVVDLAASNQFTHRELAEKFQVGRTTITNICKNTELIRHETDSA DMVKKKRKTTKCTYDLKVLDEALHKWRMESKVSSPETKMTGAALQGKAMQLAHMMLSD PHARLPDKVHAALSKFSASNGWLDGYRTRFGSFTSRMSSADVHHHTPPPHSSSSSSLI KSVDFQTRQRELHHLLQHVDPQDIWTGAEFALASKPPPPPPPLGGASAGHHADNNNGA PSSNSLDGSTARLTVTLCVNAAGETFDLQVIGTDRSATSSPMCTMANLDLRRRYRILY DVTKSGFQVAHTFMHVLKQLNALAKARQRTFHVLLDSAVPHVKAAMLLDALGDQRTFF LFESLRLVFWPPNFPVATCHPCHLGLISTFKARFRYEEVDALFARCRDGASLMDPQLQ WTFKNVLHWLSVALHSLNPPEIVHAWVASGVLPPSTVATLLSRHPAATTTSDAMQELQ ALLADIAHQMPPFLPWVGLHEPNAQLWIDLDGKAPVVDVGVDDTQIVHSVLLKHGFLE LRPGNLDEAVEGGGSLAMGGPTPATSSHGALMEVAPTKDQVWHAIDLLKRHVRVSPGL DMQGVASVVALNVLKTANTTALPSHLASNASYRLHHS H257_08404 MKRKDVTTDAAAQQALSKRKKCPYLDTIRPQLLDFDFEKVCSIS LSDQNAYACLVCGKYFQGRGPNTHAFTHSVQSFHHVFINLSTDKIYCLPDNYEVTDLS LAPIKNALRPSFTPEYTASLDTNRTLAQDSFGVSYLPGFIGLNNLKKTDYISVVLQAL AHVTPLRDYFLQPDNYAAAVAKTRSPLVLRFGELIRKLWSPHNIKNTISPHEIVQAIS VVSKKRFHIGRQSDALEFLSWFLNELHRGLGGTRTPGSSVIHKVFQGMVHVTTLPAPG AATHDGDAAAVPTAVPFLYLTLDLPPTPLFKDSQGGNVIPQVPLFTVLEKFDGDKITH VLHGATRQHKQYSIDSLPPYLIFHIHRFTKNNFFTEKNPTIVNFPVKNLELKEYVASR VPTAAEVDAMTTTELKALLSHCGGTSAGIVDKADLVAHVHKHVAKASQTKYNLIANIC HDSPVTIGKETTAVNMDPLADGTYRVHVQNRSTDQWYEVQDLHVQETMPQLIGISESY VLIYERKDGP H257_08405 MATCFFRSCTAPVDDSTPNAWRCKFHRGRSRCLVHDCDNQAYAR ALCVRHGGKIVCRHPEGCQARARVGDFCPRHGATRAKVLCAQVGCTNVTQLRGRCVKH GGGRVCKWGGCSTFARQGGYCTRHTRQIHKTHAAQVASSLCLEAPWTWAKQSHGQGGG VEGGCWITEDDTSSAAADDDDDGLLDSIDWGMYDTDEDDFFSSLSGQEQHVVRDRGDS FDGEILYLLLNL H257_08406 MAATPVPSRVGNRIRSCGSGVVSTSFLTIAAARAVGSSLRWLLY SSQVDDDVMAVGSTVASALEWTFLLLAQTLLVVISLACVLYLLFLLPQQVPSQSPSIR VSIALRVCRLESIALVAVLGVTSFVWNALFGYLVSQEFLVSNLGTVLTQASLLTAYVA FAPHHLLAHRPIVSDPVVSLLASFPFVLVRTAQSAALSAVAILFSSTDLPFSALLTLV ASSTSLFFVYFTTAKAFSCLFLRTAYSWEGAPGCAPTWLELQHPAVTCTECTKHVPSP SIAPRLTPSELSSLRSRPSANYIAFLQARTSSHPGTTIQPHLVLPSTRSFEFWNFQFQ ALDLHLAAASGNSSAFFASETVWLQVFSVATATIDGFAYTWRALSAWKVQPTNAQPSG LIHVTWQILTSDQPSPSTFLQQITASPMLHAFVATGGLHAALPSPWTWPQKLMANSLW HITLATVLLSSKAVVHSVDALATAVCTSFTKDKQGVVQVTLPAILYSLASCRVACEAL RVPQSEEYEAIVYALDSALQKITGVFGHVLPELTHELPVALATKVTSYAK H257_08406 MAATPVPSRVGNRIRSCGSGVVSTSFLTIAAARAVGSSLRWLLY SSQVDDDVMAVGSTVASALEWTFLLLAQTLLVVISLACVLYLLFLLPQQVPSQSPSIR VSIALRVCRLESIALVAVLGVTSFVWNALFGYLVSQEFLVSNLGTVLTQASLLTAYVA FAPHHLLAHRPIVSDPVVSLLASFPFVLVRTAQSAALSAVAILFSSTDLPFSALLTLV ASSTSLFFVYFTTAKAFSCLFLRTAYSWEGAPGCAPTWLELQHPAVTCTECTKHVPSP SIAPRLTPSELSSLRSRPSANYIAFLQARTSSHPGTTIQPHLVLPSTRSFEFWNFQFQ ALDLHLAAASGNSSAFFASETVWLQVFSVATATIDGFAYTWRALSAWKVQPTNAQPSG LIHVTWQILTSDQPSPSTFLQQITASPMLHAFVATGGLHAALPSPWTWPQKLMANSLW HITLATVLLSSKAVVHSVDALATAVCTSFTKDKQGVVQVTLPAILYSLASCRVACEAL RVPQSEEYEAIVYGAFSRYS H257_08407 MNDKSASKLAAVDTKLYTYINFEMNGPALLYHAIPTERCPGAYN FAVNAHEYTPAAKSSTCATCVAVTDSLRPFGDKKDWCTTAADASDFTDANIAAYQATV AKLTTYRTSAVNNKCSDTRCDAVSVTSRGILSSEPTSLSNQGDKPDDEILGKGENAVV AAWVTCLSKPFSTEEWGKLSTPPATDADWATLLALTGPNCHRSCDFGVTLKEWYTVYT CPLSAGGYTKQCDGADACEFTQTLNIHSDTLIESVGVTLHAVDYESPTQPLIADPATV LDVPAYKSPTTADNTPSYELHFDAECTFGDAAFDTFCGFAIALGDYFSLISSVATTAA ATGLMASTPPRTIDDIVFWRVKVEAPGADVKWIVVAREGETTLRLNHFKTTLTFEAYT ACGKLDTAALAPWTVYAHRHKQLHKVDAWFSSLLTTRDHGGCNVKDSDFALVKLKYDP VQNANYETYVVPDASDVPIKNTFLGLTCWWQYKDAGEAEYNWIFTYRKDHPVPQDKLD KDAAIKLKNVARTRVDAVCNVYFHSNAPRARWVDPERIDLTKTFELKNCDAPRFNVAS PADQGRFVKDTCDKVGFPGLTPWDDWEEEGVPWGGEWQPAPFQACGGWIVFSTDPDTT VTLKPHNELSCCNQKGEGGAQFVCTAFDDTVDAKRCSDVVATTKDSTTDKASFGVGTT YLPNAQTFTSVTVARGYTLDAFTATSPSSPVFSMDATASEVTKALPLDVQSKDIVRLV IRKVIRGGGSLAMLISSSYMEETNGVVVLAVVTMLVIVVAVVMKKRHGKPVEDHTNDD DYIALLH H257_08408 MDVTFDAVELPPKSAAARKHATSILQAGDIGLSADTMQRAGLLP GSYVALAGCEASPEVLWLRRARLLAASLSPSSPQPSDENLEPIVHGALPPTFSHHLPT TVKLHRIPNHVIPSIEYIHLDFVGSLVSDQQQLPLLPMLVGGIFDDNMLWHCIWHGLD YGTWRCRLGATPDTTTDPSNQHVLPRHDQQLHLHGRRFGVVTNQTQLTVSHPSTSSFR IVDPSKSFVPHASATALVTLATQVLTQHTTPSNICRILMHGPMGSGKTSAVHLLASHH GATLLEMDATILTLQSPSSSSLERPFLACFTAALHLQPAVICIKHIERLFPKTLDGPA AHRIADFVNALHSLQVEPSARIAVVGTVVDMTQLFPRVRQCFDDEIDMELTALPFRQK LLQSLGAPSCDVASPSVTAVLMQHGQQPGDVISYMRHAVATAVVENLKYTNDDNVTLP LDLITSSHRQAPKQSATSVVSRPNVSWDDIGGASDVKQTLQEMVVWPFDKPEVFARMG ITPPIGLLLYGPPGTGKTMLAKAAATATMCNFMNVTASDLISAEFGESEKAVTRVFQT ARAMSPCIVFLDEFQSLFASRSSSGQMGSRMASQLLQEIDALRSVRHANSSDQYVFVL AATNCLDAIDEAFLQPGRFEHVVHVGHPDAAGRRQILELVRSQMPWDDDVDMDDMVVD TDGLSAAEVVSVTRMAGLLALASDSEANVSMDHLRQALITTLERYHMH H257_08409 MPSNTGATHAHTDAMLMIVDKTLARRRYFREKQREHRRKVYADE AVVKAQYEHLQSVLDNLQAGRPSSVAPREASDGPLSWHSVATVFKREAHRVLKDRQSL VTQTQEYQSLIQAMQRFAMMNIPPPMSRSNDVWHSATLVADPSARNLGKEWLTQQMYH NMHEPLALLPAVKNEEEFVQFEFQSSDERDDLFTCMDRVQFTWPGTIQMFRRLVETNM KAVVEEMTSNTRLFHTITPKGTFVNLLQGHFVEADRFVMVMRQVEHDETYLCHPLQKQ QHDMSWTEVRQVSPTHILLRSVGRVSHIFRPTTGFLSVDEFAALRSVDVTGIQDDQKD EYVRREMTRRWYAGFLPSRKRFMDLMHQSAIS H257_08410 MVATAASSTLPTTSGEYIAFFDRLVTLRRHFHTHPELSFREVNT QQTLRRFLIDEAGIAEQDIHACAGTGLVVNIFGPVDPKSSTSPRSISCVAFRGDMDAL PMTEENPSLEYKSITAGAAHMCGHDGHMTSLAGFAQLLQRRREHLPVNTCVRLLFQPA EEGHFGAVAMIKDGCLDGVDEVYGYHNVNFPEGVVAVKAGAVMSHGNTFRITLTGPGG HGSAPHQTLDPIVAAGHVIVALQTITSRNISAHDSAIVTIAQVHGGEADNVIPSSVTM SGTTRDFAPSVADVIRTRMSAIVTHTAAAFGVQGTIQFDERYPATVNAVDQAEIVRKV AQSVAGEANVTADGLPLCASEDFSFYLKERPGAFFFIGTVSSASQNRTLHSSTFDFND TILPVSVRMFLELAQHRLDCQLYDPVEMARIHCPLK H257_08411 MSSTALLGVLALAVLTGVYVFLSHAPVVDIPACQGMSLSFMIQG VLPKFVGGRDDKLGTVTALWKCASLYQEQHSNFVVSSFALVYVSLQTFAIPGPLILSI LSGALYPFFYANLLVAVCATTGASLCFLLSHYLGRNLALRLLPDMLASFKAKIADNRS NLFYYMLFLRLTPLLPNWFVNVASPLVDVPFGIFVAATFIGLIPANCIHISTGATLGS TASDASSGNNMVNFGILFALQFLALLPTLFKSKLQALDDGATPTTKKAN H257_08412 MQQVCIVLSHDHSDTSDKHRRDYKVWMPLESSVSHVVTEAVAHW NDCAACTWKDGTWTIYDKDHNEVPRDFTLDYLHSQTLPYPDIPSNSTPQLHLTLCVPP KASPLLPTIAAHPLTPADQVLQIFLVHALQNPWGQGWRINWQQFKTLTKYTMLPSSTS SLGWDTQKMLAFKAYASCPLGLTYPEFLLALARLVNVEATSSLDLPSLHTIILDSTAT TTASLRSLFAHLQSYDYYAESNYSVSTLTPFSKSLHHLLASFRTPGAPSNVLTFSEFR AFVLAVRLKLTVHVTIQQLTAVFVHQFRIDVLRASDFSTPSPFHNVDVPISRLVTGLI HVGLCSVPRLIGLLPAAESSLNAQLAQRVVDPQYPVTCIKIVMQEITHALLPHDIDTI CARLPARSAHSFREAAASLHRVFLSMFQCDGAIDYVAHCRALVSPKPRNQSQAPHVHP LTDQSLFNKPTIPAGSTNSHPCCGPPRQHPLDKADDLFDAIVVEAVEMTHLVDIEFVA AQWLTAVDLYERFIATQLTSGQDHCPVLLRYATTLTVFAQQLWPTRDVPDILELAIEA VRVAGLTFHAYWASLCLHLDPNDVTILTCVWQWARCLQLHGDFVSLQTSQMPDIHLHV LPSNPRSSDKTIEFWSVAPVATAAMLYHEAWRRYLVAVTICPSDVNVFTCVVAQLQLA MHLPSGGEAAYELFHDALDRLAFLEQSSPDSKALEDHIQALVFVRHSFLSPTVTTSPR VAPFYSYVVASLFQSFSTSTTGLSCADLNRLNAACSFPALPPTTLQWLHDTFESTGEE LTQAGLVQYFVHFATTDPMEFHRAFRALTVDFEAAAQVPASCLAAIRSYLPRHPATPQ DSSSLPRRRSSRSRDTFPAFALDHTPHSMADCFVVLSGVVAPISQLQLDRTDSATDVK VVVQITDKLYHPHVKSFRVPDEIATFLYPAPLSVQTAAPPPRWLDTVLTDVKGNQIYA SCLQFSHPTTSTALQGLLVAEGASTIALPPWLDDHATFYLPKCLCFLSRRPTFKTLHL ALLQVFRSQHIQSKVISAFLNVPLPTSSQDAKTICVFHDHTFMLHSPQSFPPNEVDFA LLFERLSLNNILEVLMYIACEKKVAVAAKCVAMLTPILETLRALLHPYFVTQVVYIPI VPEHLGDFLCSPVPFLVGLSVDQLHRAQLDSWDDVIFVNLDTNTVHVPPKCPLPRLPE RSKKKFLKALGAFCERATIAVRDDKHHVDEDNAADFVLYGQVDMDDFHHGNIEPMAYD VAWRTEQCLVADHINDMWSGLQELVTTFFRSLLRDCKKYCRGVGPNAITHQVQFDSKG YLRDFSTTRDFCMHMFDTQVFQEFITREVQQVHPHHHRRSVHPQDAEVVAKMAKTPSP PLTSHPRVEFVVVTITD H257_08413 MRHRGSMFMMAWLVAHVVTGTLTTTCSNDIPVSASFIDPPASST TRSSESELLLVELADTDRMIALQEKKLAQLRDLRRLAVGSLIDRASAGTADALINQQL EAFLLTESTMSTPSTCDVPPSSGGAPPRSHHDAFHRWFVERHAGHVLSVVALQPFRLP HPRLVLPLSLLFVATANGTLHFYDLPGGTLVTSLQVPEAPSPDVDPKVLAATLSLHPT PHVTLVRTWGDSLIQKVNITFDWTSSSSSSVPVDLPAISLLPSSPSTLTSSYSIVDSQ NKVSPVSAQSTTFHGQALHFLLVNRKPTPDLPQERMNSTTTQATPPINNAIHILDDDG VLLHTLTTLDTSVPIRAMVPHRHLLAVAQASAVYLFPLSRLGEQAHYMCEGSRSPIVA LAFDSSSPSIMYATVASRDILVFDLYVERSRTCRLLHRLPPPSTALLTTPQNLAAIVA MSGYIFVASPSTLTVWNVSDVATHRPRYITGVVNPLASRPDSMDLSWRRRVHVALLSR LDVDDTDGGGTAAHAHDLMVVANVGSTHSTVVVLESFLPPTAPAGSLSSALANLDLWW ARLPLLFVVAVVVVVYKVSSHPAKGSSDGPGNNTASPASMEELERMLGRARNPRSSSS SSPQYGPSTRGGRDPTFDPSLLSYSERNSSLNNTPQRSATTFDRPRPTTTRSPSFRTN HMDTAGYTSQHRHTDVTCDI H257_08414 MDGSQHRLRVALKPHFHRLLQQADLIRHTSDYSSTKEMTTANPF STFKEYCASVEILLQHGTSRQHFQYLFVVYAVTNPDGITRSELLALIQDHVDMNNEDA RFFEKTYTWLKKHFASTTAGQSYDAIATLLASHPQKLLDHVHINVDRFLGKVEANNTV HCLLPDDFVTLIQQD H257_08414 MTTANPFSTFKEYCASVEILLQHGTSRQHFQYLFVVYAVTNPDG ITRSELLALIQDHVDMNNEDARFFEKTYTWLKKHFASTTAGQSYDAIATLLASHPQKL LDHVHINVDRFLGKVEANNTVHCLLPDDFVTLIQQD H257_08415 MKAAKTMVRCRDGCGDYGIQELEYICVDCDTRRRLHQVKPATVV SEPLPCKPIPRPSGKDAWHAFQALGREQDAAVVRAEVATFEETFANARQISTALKHYM LATNSQEEVDNSVWIQHSQGKKKGLA H257_08416 MAHRKKVLLKVIILGDSGVGKTSLMNQYVNQRFTNQYKATIGAD FLTKEIMSDEKLVTMQIWDTAGQERFQSLGVAFYRGADACVLVYDITNPASFDKLDSW RDEFLAQAGPREPGAFPFIVLGNKVDQEAERRVSTQKAQQWCKSKNTDQPISFFETSA KEATSVEDAFQTIAKIALQKGQEENIFVPATTIDLSGAASKSTKGGSGSCC H257_08417 MRFRLRLAIDDEDVGTVDVECRAAEKMVLFHMKHRALVLREAHI TAFLRPPSSSTTARDPSQLCANVLSLVLQTAFPTIPWLTDDRATGGTTLLRKRPRNTS VGVSFYGRPSSDPSSTPMVNTAFDAAFHYRIRHSIECQIDGTAPVHHVFALQQPAIDF LRRIQARRSAHNLKLFSFETRSSRKFLVSDVDVFYDMYMQTPPTKRHVYEIIQDNVPC HLYFDLEFKPALNPHVNGDLLVRQLKHLVTVQLYRKYGVLVSVADDIVDLVSTAPDKF SRHLVVIVPDGCLFANNLEAGQFVKELLADFGLDGYRSDDMSFDVMGKDRQVQSFVDT GVYTRNRAFRCVWSSKYNSDRILTRHPDCHVAAASDKAFFLHTLICPTTSSSSSSRRR LLRCRRRRSSAARPQHAPYDCPSLVGTAVMNGGGTSPMPQLESFVTALATRTNGVDAA VRAWQVTHRMSITFHLMHNRFCHHVHRAHKSNNVMYVVDLVRHVVVQRCHDPLCAHYT SPPWPVPPALCATSIESYFPDDAPSG H257_08418 MSGRRVVHDVSQHLLLVVGGTGYVGSNILQRAVQKGITVRSLNR GGRPAWDNTPWLDKVEWFQGDVFSKEDLQKAVAGTTGVISTVGAFGSNEHMQRLCGDA TIEAVRAAKDAGTERFVFISESRVGRHIPTWAPLYGYFNGKERAEAAVRAHFPKTGVS LRPGFVYGTRRVHVNNTDLFLPLQLVGAPLSFAARQLGPVSAVLSRVPVLGGELLATC PVGALAKAAVLSAIAPVHCDVLDTANIIALGDSFHETVGA H257_08418 MSFPKRTYKRPSQVGRDLTLGFIDHCSLGTTGVISTVGAFGSNE HMQRLCGDATIEAVRAAKDAGTERFVFISESRVGRHIPTWAPLYGYFNGKERAEAAVR AHFPKTGVSLRPGFVYGTRRVHVNNTDLFLPLQLVGAPLSFAARQLGPVSAVLSRVPV LGGELLATCPVGALAKAAVLSAIAPVHCDVLDTANIIALGDSFHETVGA H257_08419 MWRSRVSAAVAPVRPIVQRSHATSFSLCMEKQLRCMSKELHPRR VAKPLPEAWAKLATKEIKGKDPEKALLWKTPEGINIKPLYTKDDLEDLDPAQLPGVFP YTRGPYASMYTAKPWTVRQYAGFSTAEESNAFYRKNLAAGQQGLSVAFDLATHRGYDS DHPRVQGDVGMAGVPIDSVEDMKVLFDGIPLDKMSVSMTMNGAVLPILAMYIVAAEEQ GVDQKLLAGTIQNDILKEFMVRNTFIFPPQPSMRIIQDIFGYTSEYMPKYNSISISGY HMQEAGADARLELAFTIADGIEYVNAAVAAGLDVDLVAPRLSFFFAIGMNFYMEVAKL RAARKLWALLIQEKFAPKNPKSLLLRTHCQTSGYSLTEQDPYNNIIRTTVEAMASVMG GTQSLHTNALDEALGLPTEFSARMARNTQLILQEETGIPKVADPWGGSYLMENLTQEL VDSAMEIIREVEDLGGMAKAIESGMAKLRIEESATKKQARIDSREEVIVGVNKYRLQN EDRVDVLSIDNTKVREQQINRINETKKNRDEAVAQECLNRLIHSAKLTESTGPGTNEF NLLKLAVAAARARCTVGEISDALETIWGRHVPKSSVVTGAYRDTFLGTEAEGEYNDVL AEVELFAERQGRRPRLLVAKMGQDGHDRGAKVIASGFSDLGFDVDVGPLFSTPEEVAR QAVDSDVHCVGVSSQAAGHNTLVPALMQALKDQGAEHILVICGGVIPPKDYDFLYEQG VGAIFGPGTRLPTAAHDTIQAIYKKIKQNEQSNNA H257_08420 MTLLNPSSWPHISPPTTPPPSNVATAVFDEHSDGHDQEDLGVAP TEVFVDDEGDALHATMDEDDLFTTFPVRHRYAADNTTVVGYKSVPFHGEDVRLWRQQR LAHLLPTVAEKTVGPSDQLGKRFHNRSKTSLKATAHEMDDDTSDKTPDFWGEVLNPSS WPLISPPTTPPPSNVATAVFGEHSDGHDQEDLGVAPTEVFVDDEGDALHATMDEDDLF TTFPVRHRYAADNTTVVGYKSVPFHGEDVRLWRQQRLAHLLPTVAEKTVGPSDQLGKR FHSRSKTSPKATAHEMDDDTSDKTPDFWGEVLNPSSWPLISPPTTPPPSNVATAVFGE HSDGHDHSLI H257_08421 MFSHGGVLYRFDESKPYTTTMIRPGNETATAPPICNADVPYLPT APSSSTKVVTSMSSVASSSRPSFRARVQRRWKMIMFVCVVVGVAMCIRYLRIGNYMEI LSIWTEHHVALGVLAMAGMFVVSVVVGIPATVLEALCGFLFGWAGGALVSTLGKTTGS ALAFMLGRYFLGDVVVVWMRKYPIVHAISLVFASDATSSWQLLFCAQLSYMPLTIKCY MLSVLHVPTGRFVVTNFVCGIPFSVFWSYVGSQAKDVAKLFSDEGKARNEKLVIMGCG IGSGLLGLVAVGYYTKKKLAELQHATHHQQQHAIRNVRVGWDTTTEGSSNNSAVSCAA LK H257_08423 MASTAIMMDGSMDVDAAVESLRALKLGSDMTGHSRSKGGGFDEV HDHAVRVREHYEALMVDQAKRSHMVAQENLQLRSMLGTMENQNQALRQTVHALEGYRD KAEAQQAQIDQLQNEMRTLKQSNYSLQVYLQQADHSRSMGHLPRPPDVY H257_08422 MVDIYIINENSLTSRLFEMVVPNANRIPPRELPLHKPSTANAFI PRSLIRSSHSKAVWMPTNATSATSQNRRGDKAQHNTTPQPVVDLPIQALLDIEGKVTE VEMEVNALLDDLRMAASVFSAQMQSQTRLLEACRSLARPPMSRAPTSPHSED H257_08422 MRRRPCSPRSLIRSSHSKAVWMPTNATSATSQNRRGDKAQHNTT PQPVVDLPIQALLDIEGKVTEVEMEVNALLDDLRMAASVFSAQMQSQTRLLEACRSLA RPPMSRAPTSPHSED H257_08424 MMLPTRLLMLPHVRLLRSQVHRCGAFPVSMQHLPTDNRMHMARF FATSKSPSTAVGSAPRRPKLLGNNDDFNPRSPSDVPSDVLYEYNRNRYFTMISSASLL QIAFWSWLKGTEATLPAAPVALPAAALDPSFLSIVTNEAWSTVGLGSSVIMAVVVVFF SQRSISRISVISGGSKLRLTTQKFLGGMSPPLDFPVAQLRAASISDKYISVKVGSELG FYLIDLDGQFYNRAKLDQLLQVPLALGAANAAATTRASSTRPKPKEPFRAPIRTDLPK TEWKKK H257_08425 MKAASTANEADTVTLLSRMIKTATASLSSSSIASLVSSESRAAT PTAASSMAANLPRLRAGRLLLEVQGMQRKWESYIVALVGTDVTNLTLYYYKDAADKLP TGMIRLHSASVDLMEEVFCVITLEQTWYLCADTPHDAEEWVDLICTTLEAAAMDAPFP SHADPPASSNIGDGGSRRRRLSSHITTSTVQEIQSRDMSTRVDEFLEMYVRSDETDIR SQAARGALSWSCLRNITWRLWLGCLPMDAPLGEWVDITRRHRRRYDQLRAKHVPRGLC GHDEDSMRHPVVMYDEALSFVTNDLDDHPTEKRSEDEVAHMIWKDVRRTRSTMQFFQN DRIQRTLLHVLLVYAAEHPTISYNQGMGELLAVLVYLLHVERWSSPSRDVAEVSIDDQ SEEDSYVHVDMVDVDTTNVLDATGLLQMRPFAAIKATCTPNCKHAVATLLATLTDDRF LEHDAFALFEQVMERMAPMFCPHATSCDRRGEFSPRRDDSSLLQAQCDHIHNVLLRRV DPVLAAHLHSFHIPPEVYLLRWLRLLLAREFPMYQVWVIWDSIFGLSPTDFAFVDMLC VAMLHDSRTLLLAQDDTTGLLHALKELGGSHSKLVESARKMHTQHQLDALYENFQRR H257_08425 MKAASTANEADTVTLLSRMIKTATASLSSSSIASLVSSESRAAT PTAASSMAANLPRLRAGRLLLEVQGMQRKWESYIVALVGTDVTNLTLYYYKDAADKLP TGMIRLHSASVDLMEEVFCVITLEQTWYLCADTPHDAEEWVDLICTTLEAAAMDAPFP SHADPPASSNIGDGGSRRRRLSSHITTSTVQEIQSRDMSTRVDEFLEMYVRSDETDIR SQAARGALSWSCLRNITWRLWLGCLPMDAPLGEWVDITRRHRRRYDQLRAKHVPRGLC GHDEDSMRHPVVMYDEALSFVTNDLDDHPTEKRSEDEVAHMIWKDVRRTRSTMQFFQN DRIQRTLLHVLLVYAAEHPTISYNQGMGELLAVLVYLLHVERWSSPSRDVAEVSIDDQ SEEDSYVHVDMVDVDTTNVLDATGLLQMRPFAAIKATCTPYVRPFMLPRDEEISQH H257_08426 MKYYLADQLGTFRSAASEDDMNQSLALMCVLLLAADERTEFAAS DGATALGDHLIRLCHEQAAERYRWKGSKAFGFNVSEKGLHQLVRFLLVSIVHASLEAS MAAQFCSANLPQLLFDEFVVSTENFIAAEDMGPNQDTDSVNATPTTPPSEVSLRILAL EGLRNLCYADWPRPQLNNSALDTLWQMLLATSTDSPTSANDNADQRDGTIAGQLACDI LSNLASHAPRASHATKDRVSSLLTLLICTHDAKQSSSTLDGRNLCPQLVAMADLAANL ARDTEYSIVLICSLEQLKPRTRANTSSLAFLTQWADNVNEDPGLRASLRSLNHNLAWT DVSTKVCVQKLAASTFLERFLHVHANQ H257_08427 MPEAPDTRLSSVSTQPSIEQSLRVWLDAHAHHFHRPTAIDLTNE IERRLGPQHNRRHKKRRMLWMMACSAMVLTGAGMAVAIVVGRTVAGTSTSETIISPVH VTTATHMPLPTTTGTLSVAEAGHHGARLATVSMSPTPLTSSTKGDDIMQNVTGLSFDQ DVGSVMPVTSSAQSSGDEMDEKDHSDENDDPDVEDDQDVEVDEEDEDGDADYMMVAGR MMILQGGEASGGGICPLEDGGTTFTDQGTFNGTTLMHHVNVMRDALGLPRVRWSEAET FAVQDWVATANTSCLSTASPMEPPPINFLLLDETTFANASFRYPIKEWYLAGNSHWNY TSNACVDGMYDVDCYRLRYLVGSSAMGCDVSCACPGEDPKLRRLWCSFDLPEGFADNS TIPAPINLKTMDLTLLEANDLGPAASGQSDDNSIRFPSDS H257_08428 MGAEAMLEEDAREDWISRGFNMNDFSLKKMTAIWDTEEKEDVKR AKKLKVLQHKHKPLTEDIKRVVTKVSSELEHASETVRETLRQFEPVILRDRRWLREHV AVIYRMDDECTKYKLMKVTNHAKFMATAKTEQAKNVERHGRIEHKAPLLRRLREMKEE ADKRDRAQATEELETKWKYWHDLEIEERDRYVSQTQTHNDAHIAYKRKMNMQYWKSKL KDGRREAVAVRAALDRSRAMDVQHAVQDVVDGLDMWEAEEGASAAYGTLRRQTESSLG VFGGALFSSLDDDDELDPPPELDSHTIAFPTAGPFDTRRELQRVELMDHQSNLRALEE KMDRLRVLKANAVDDRKCIVDKKAGVVRGLSQAKAAHREVLVGLAGPPRREPSDADRL YIHSLLKQAADLSAELKELSIRDRLLTERLTGWTEEEHAVAEQLAHAAGVVGAMEAAV ADMDKAQNDLPMVVGRSIAHTVDQTMTWQHQHMLPRETLAAVTQRTKLELLKAAAMPA FQLYEQARYLGLESWKLSKQKMVDQAELELTVHRLAKLQDTLTKQQSLNQRSDVINAL QNFHNHRQPLHAVKKISTGLLDWWKSRDVSTSLGVSLARDDATWIGLDPGNRRGILRG AVLLPTHALWKLRFEIAMVKPRDMDVDAALFTPEDRVTVSFGLTSGTVGQIGSFSAID DNGRLCGAHSIVQDYVGSRLSYCIEFFRSSTSTMSHTVVVLGLAVAGTFTQDDYTAAD ANPQSAAVVHHAGGGSHVLSEYVKMLRVQAQQGNHRCASLLEELIKVELSDAEVWDSN VLHGHAQRFPRLTYATQLRQAIQHEISKAIQHDINKRRMVAAVGDLSVPRGSCHIQQL QGIHDHDDMDDRDGIEQFKAPISRLDQSMLNYRHRKSEHIEVATSTARGMVGQRVQLH GQDDRWQQGIILGMRVEWKEGGTKLDISHQVTVASNTSTWVNLAQQKNVLVANDMAPL VVLERREKQVQDEKWKEMVAQKLNAPTKSLLEIEQEYTSINTHEDAQFENAQALDLIR RQKAVTHDAQRTCTYDPQVVSLLEVEARRLMQSVNHPNVITFDVALHTLKSNYIRQTV DARMEFIKKTWARKNKRRLDKRKADRDQRKRKYDHSHQQVLELTKDERLEQENAAKQQ QADAHGAALRAALQIPHFHLAVAPEPPCAHRELKQWGAKYDKGVKCKQCGKEMSRSFD DVDAARGADPALDHDVEMHRLFEASFRFENAEHLRRVEDERVRLEKEARQVQLAEVHS YDSTHMQAIDALNFRHVMGRNVDDRDGPRNDRDRHVAAYRDELSFFGRVNQYRYRLRI LQDLRGAAYKERLIEVESLSQLQVERSTTTQVVAIVQVEQERARQLLTDRKIAIDTYN ASAKHLQECLVEKTLAFRAREGVEEEAKLAMLHAAALERTTANMRLLWDAAHTDRQQV KESIQTLKGQLEGAMEGRHALGDRLLALQYRKKRTKVHTPYGVGVVQYFRESDNILAI RLRTWKATVYMSLVHFTQAAKAMQQRELLAMRSVEVECKAFVSAERRREASECHVMET EEALCREITVWAQQMAAKQGHIRVAVTKVEVQTQVRMALRDAKRRFRTEAEADAVRAH AAKIRLVRPKLKTMPKKLAPQRSTSMAKMTAAMAITSTNNATTNSATPAALPKVSKQS TLDKTRVARAALKRILMAHAEAAVLTTERGLVAEYDRVHHDQLVTTVGNECVASLLHE LLVDVSAETIQEGVVGAKTMELQSTVVHSRQHPHVQVHVHVALRRQVVGRKMQLEVVK RTWARQLERLRCVQAEVRRRNDMERRAEEERKRLEAVCKEMAREDLACRRFYRQEKRV MMVESRHMQLAEMEMREYMRQRELQLMLEKYNTLDGDDKNKEGSKVARRLQIKKGKRE KHRLADEWAAIKLEDDLAMAIREVWLQERREQELEQQQLEFMLQQAEFQESDTESDLE FEDNEDIDDDDGDQRQPVMDAVAARAKERMVHLNPKARAILEQQLERRATAKLIAAKK RHDFAHRLNEEYMVAAAETMFAVASADLTIVQMQEKLAYLQRLDSDADQIKRMQLDLK RNQQQAKEITDGARRKHEYAVECLARCAAAEAALELAIAREKRDEKVMHKTIKDTAYM DSSVLHKRCQRFLTDYLAKELYKKYFQTLVHLIVLRTFTVSTERHMLSLGERIQSLDR ESADKTAQVARLWRKHMRASRMRLCRAELGRVLFRKQRKEALKRAFQGWINVWHHSSV VRNAYDLRYSLARQDQRVAALDAMHASGSHLKANHEASPSQTTLHKFQHRWIQCRLCK TMYSDAQNTRFSCVYHPAKYDRACVKSCPSRRGEPLTANCMLHRAMRWLCCDETDEGA FGSTGCKRRFHVPVRDDPVVAANVQVAEAQEKVKMDTINDELVELEGKSVARTVLRQV RDRLQDIQDDLATKRAKAARYDHFHTTL H257_08429 MDMQLPIAPSPPTAPYDTKGPPPALTMTVEEHRPSTLPAPLASP PAAPITASPTTSPHRPKPLKLIIQLSSKDVDTDVKEDGLPKPTLPHGAFANLKKENRG KKCPINNPDTILEAVRGDGPADLATDVGRLKKLGKGAGGTVYLGCFVPTLKLIAIKEV QLFHEEDYAMITHELHALHDNLVPLADEATHKSLEFLGKLFHRKLHIGNVHACHDMVS FYGAYATPEKASVSIAMEYMNAGTLQDFVDANTTVPEPVLKHIAYCTMKALHHMHLHR MLHRDIKPANILMNSKGDFKIADFGLAATLSKSKSYFSEFQGTLMYMSPERITGGNYS HPSDVWAVGIVLLTLSLGRYPFAREDGFFGLEDSIVNETMPLVPATTFSPSCREFIEA LLAKDPASRLTAAQALDHSFLAQYDPKQNDHEFAHAWATLHTPRHMTPDEIQAVVVAI LEQEERTSGDHDEFDFHPHHILYHPPDSSTYQISFKHLTSLADACGTTTDELLAVFKA LDTSPPPTVTTT H257_08430 MDNRPLYGRENASDTCLYGSVVGLGDASSRAQHSRSGHRGGACL TMELMDDSDDDGDLRAYPPTLSPVDWALTPVTTTEFPLPAEKGTQSTTPREKLRVRRR SRSDSSTTPEKPTMSPTSSSSDLCCDECEVTTAMARVYCLDCDLNYCTGCDDLRHRKG KLALHERTSLPSPPPVEIPVAPLTTEQWTADDVANWLGSLDLQVYETAFQQKNIDGST LLALPPHELDALDQLSQGSSRGHKKKLQREIQRLKDDETQKRGQQRQLPSSSQLGAHS QLRVTVDSALLQRRRRSDMASPVTALRAKIHQGQEDKGRVVRRNSTATTAFVKPTLDI TSEPVPSYTKRRPVASLGLDLNQIAPKSVTTSFDFTAEGKLQSHGFEINTDGITSIPF QTGGKPSTTLPMLLFGTKESLVLLNELGHGASGKVYKALYLPTFKLVAVKIIRVYDQK KRHQMLRELKSLYANFVSLHETAGRGAACQDLVMFYDAFTNPEIGSVSIVLEYMDGGS LEDILHSRTPCAEPTIAAIATSVLKGLAFLHENHQLHRDIKLSNILVNKQGRVKISDF GISRDLESTLAEAMTFTGTLLYMAPERISGGTYSYPSDIWSFGLAIMACAIGKLPMPT EDGYWGVVHAVQEQPSPCLKDYGADFSPDLCDFLDQCLCKDPLKRPSASILLCHPFIQ RYAGATISHSVLKQPAHVVDYAAELAYVADKTRAWMATHDRNRLVTLMDTPARRVQAL KAFAAQMHVSYEAVEAAFSFF H257_08430 MDNRPLYGRENASDTCLYGSVVGLGDASSRAQHSRSGHRGGACL TMELMDDSDDDGDLRAYPPTLSPVDWALTPVTTTEFPLPAEKGTQSTTPREKLRVRRR SRSDSSTTPEKPTMSPTSSSSDLCCDECEVTTAMARVYCLDCDLNYCTGCDDLRHRKG KLALHERTSLPSPPPVEIPVAPLTTEQWTADDVANWLGSLDLQVYETAFQQKNIDGST LLALPPHELDALDQLSQGSSRGHKKKLQREIQRLKDDETQKRGQQRQLPSSSQLGAHS QLRVTVDSALLQRRRRSDMASPVTALRAKIHQGQEDKGRVVRRNSTATTAFVKPTLDI TSEPVPSYTKRRPVASLGLDLNQIAPKSVTTSFDFTAEGKLQSHGFEINTDGITSIPF QTGGKPSTTLPMLLFGTKESLVLLNELGHGASGKVYKALYLPTFKLVAVKIIRVYDQK KRHQMLRELKSLYANFVSLHETAGRGAACQDLVMFYDAFTNPEIGSVSIVLEYMDGGS LEDILHSRTPCAEPTIAAIATSVLKGLAFLHENHQLHRDIKLSNILVNKQGRVKISDF GISRDLESTLAEAMTFTGTLLYMAPERISGGTYSYPSDIWSFGLAIMACAIGKLPMPT EDGYWGVVHAVQEQPSPCLKDYGADFSPDLCDFLDQCLCKDPLKRPSASILLCHPFIQ RYAGATISHSVTSIMLD H257_08430 MDNRPLYGRENASDTCLYGSVVGLGDASSRAQHSRSGHRGGACL TMELMDDSDDDGDLRAYPPTLSPVDWALTPVTTTEFPLPAEKGTQSTTPREKLRVRRR SRSDSSTTPEKPTMSPTSSSSDLCCDECEVTTAMARVYCLDCDLNYCTGCDDLRHRKG KLALHERTSLPSPPPVEIPVAPLTTEQWTADDVANWLGSLDLQVYETAFQQKNIDGST LLALPPHELDALDQLSQGSSRGHKKKLQREIQRLKDDETQKRGQQRQLPSSSQLGAHS QLRVTVDSALLQRRRRSDMASPVTALRAKIHQGQEDKGRVVRRNSTATTAFVKPTLDI TSEPVPSYTKRRPVASLGLDLNQIAPKSVTTSFDFTAEGKLQSHGFEINTDGITSIPF QTGGKPSTTLPMLLFGTKESLVLLNELGHGASGKVYKALYLPTFKLVAVKIIRVYDQK KRHQMLRELKSLYANFVSLHETAGRGAACQDLVMFYDAFTNPEIGSVSIVLEYMDGGS LEDILHSRTPCAEPTIAAIATSVLKGLAFLHENHQLHRDIKLSNILVNKQGRVKISDF GISRDLESTLAEAMTFTGTLLYMAPERISGGTYSYPSDIWSFGLAIMACAIGKLPMPT EDGYWGVVHAVQEQPSPCLKDYGADFSPDLCDFLDQVRR H257_08430 MDNRPLYGRENASDTCLYGSVVGLGDASSRAQHSRSGHRGGACL TMELMDDSDDDGDLRAYPPTLSPVDWALTPVTTTEFPLPAEKGTQSTTPREKLRVRRR SRSDSSTTPEKPTMSPTSSSSDLCCDECEVTTAMARVYCLDCDLNYCTGCDDLRHRKG KLALHERTSLPSPPPVEIPVAPLTTEQWTADDVANWLGSLDLQVYETAFQQKNIDGST LLALPPHELDALDQLSQGSSRGHKKKLQREIQRLKDDETQKRGQQRQLPSSSQLGAHS QLRVTVDSALLQRRRRSDMASPVTALRAKIHQGQEDKGRVVRRNSTATTAFVKPTLDI TSEPVPSYTKRRPVASLGLDLNQIAPKSVTTSFDFTAEGKLQSHGFEINTDGITSIPF QTGGKPSTTLPMLLFGTKESLVLLNELGHGASGKVYKALYLPTFKLVAVKIIRVYDQK KRHQMLRELKSLYANFVSLHETAGRGAACQDLVMFYDAFTNPEIGSVSIVLEYMDGGS LEDILHSRTPCAEPTIAAIATSVLKGLAFLHENHQLHRDIKLSNILVNKQGRVKISDF GISRDLESTLAEAMTFTGTLLYMAPERISGGTYSYPSDIWSFGLAIMACAIGKLPMPT EDGYWGVVHAVQEQPSPCLKDYGADFSPDLCDFLDQVRR H257_08431 MEIPPCPSTSSIKDGVSPRSQTWTYAKILAYAVGHVLNDMCASS WFSYLLVFLNAVAGLSPVDSALVMFSGQIADGLATPLVGVLSDKSTGFPSIGFGRRKT WLTGGSVLVVVCFYGVFGTCVPQLFDASPSRLTLVVYYCVSASLFNVGWAAVQVSHMA MVPELTTNDNVRCVLNSTRYAFTILSNVLVFVVFLFLLHDVAPLEVPDAYKFTLLTTV SLVVGGICTAWFLLGTDEVVKKVTTPPSSVGRRRGSSPSAAEAMNRGPNALSFEADLP IVPLDTTALTWSDWFHVGMFYEVGMVYMCTRLTVNVTQVYIPFLLTVTLHMTATSIAI VPLLVYVSGFLATFLLRWMNEKMGREGTFAAGAALVSVSLGLTWLLTPETSGWIYLLS VLLGLGNSIVMVTSVCLEGDLVGDSCESGAFVYGAMSFTDKISNGIAVLWIQNQREAI QVQFPSSTAEDGEFVRLAYCVVPAVSAILGAITLYYMKHGSKTLVELTSSASESALRV SPTTEKTALKLQTDTVYEAA H257_08431 MYPNTNLSQFYLFFMTNLTASQVPELTTNDNVRCVLNSTRYAFT ILSNVLVFVVFLFLLHDVAPLEVPDAYKFTLLTTVSLVVGGICTAWFLLGTDEVVKKV TTPPSSVGRRRGSSPSAAEAMNRGPNALSFEADLPIVPLDTTALTWSDWFHVGMFYEV GMVYMCTRLTVNVTQVYIPFLLTVTLHMTATSIAIVPLLVYVSGFLATFLLRWMNEKM GREGTFAAGAALVSVSLGLTWLLTPETSGWIYLLSVLLGLGNSIVMVTSVCLEGDLVG DSCESGAFVYGAMSFTDKISNGIAVLWIQNQREAIQVQFPSSTAEDGEFVRLAYCVVP AVSAILGAITLYYMKHGSKTLVELTSSASESALRVSPTTEKTALKLQTDTVYEAA H257_08432 MKETEGSPRGMNHWPSTKILAYAVGHVLNDMCASSWFSYLLVFL NAVAGLSPVDSALVMFSGQIADGLATPLVGVLSDKSTGFPSIGFGRRKTWLTGGSVLV VVCFYGVFGTCVPQLFDASPSRLTLVVYYCVSASLFNVGWAAVQVSHMAMVPELTTND NVRCVLNSTRYAFTILSNVLVFVVFLFLLHDVAPLEVPDAYKFTLLTTVSLVVGGICT AWFLLGTDEVVKKVTTPPSSVGRRRGSSPSAAEAMNRGPNALSFEADLPIVPLDTTAL TWSDWFHVGMFYEVGMVYMCTRLTVNVTQVYIPFLLTVTLHMTATSIAIVPLLVYVSG FLATFLLRWMNEKMGREGTFAAGAALVSVSLGLTWLLTPETSGWIYLLSVLLGLGNSI VMVTSVCLEGDLVGDSCESGAFVYGAMSFTDKISNGIAVLWIQNQREAIQVQFPSSTA EDGEFVRLAYCVVPAVSAILGAITLYYMKHGSKTLVDRVPAANDSEKQSLLQNDTVYG TA H257_08432 MYPNTNLSQFYLFFMTNLTASQVPELTTNDNVRCVLNSTRYAFT ILSNVLVFVVFLFLLHDVAPLEVPDAYKFTLLTTVSLVVGGICTAWFLLGTDEVVKKV TTPPSSVGRRRGSSPSAAEAMNRGPNALSFEADLPIVPLDTTALTWSDWFHVGMFYEV GMVYMCTRLTVNVTQVYIPFLLTVTLHMTATSIAIVPLLVYVSGFLATFLLRWMNEKM GREGTFAAGAALVSVSLGLTWLLTPETSGWIYLLSVLLGLGNSIVMVTSVCLEGDLVG DSCESGAFVYGAMSFTDKISNGIAVLWIQNQREAIQVQFPSSTAEDGEFVRLAYCVVP AVSAILGAITLYYMKHGSKTLVDRVPAANDSEKQSLLQNDTVYGTA H257_08433 MSKSADANDYSRFKDIVDSDEEKDAAASTSKKAANTAVSSACRN CSKADAKLKCSICKKATYCNRQCQTGDWTYHRRICKKPEDKAKADDAARSKPRTVEGA AAAASGSSSSSSTSSRATTKATKPVRRDVVKEDEDLENARGYKNGMPYFHREQSEHEK SLIGDIAPKKIEVEPTLAAAPSATHDGSAWNTAGTFEQRDFTTWATDRLKALLGNVEV TARSFAIRGGNVKDVKGDASVCVVRGKKRFLFDFEFNIEWTVVGKDGYNGKLLCHDIS NDGDYEITVQYKKKPSDALEFKELAAAVNGQAEGFRHAVLARIATFVTEYQAL H257_08433 MSKSADANDYSRFKDIVDSDEEKDAAASTSKKAANTAVSSACRN CSKADAKLKCSICKKATYCNRQCQTGDWTYHRRICKKPEDKAKADDAARSKPRTVEGA AAAASGSSSSSSTSSRATTKATKPVRRDVVKEDEDLENARGYKNGMPYFHREQSEHEK SLIGDIAPKKIEVEPTLAAAPSATHDGSAWNTAGTFEQRDFTTWATDRLKALLGNVEV TARSFAIRGGNVKDVKGDASVCVVRGKKRFLFDFEFNIEWTVVGKDGYNGKLLCHDIS NDGDYEV H257_08434 MDDIDAILNSMAAKTGNVSAVKVAESIPASKSALKKRKHVLSAS SPGDNSAIWNADVQIELRHIGSSEHKVRPCNLQNVSPAYELHRQKCVLKLKAKLSAAV EQMGLPKLPNSAYETWQFCSKLAVPDGDPLIPHSDSDYAGLRDELVAIGANRHAANKT CRAMTQEAHRVGQSLLRLAHGGGKKRATIRPNEDHYLVSYGGTSLRLNRTHLDKLQTL FDRHHAEAATQSFPDFLFCLLLRYESLDGGGFQAALNEECFDVLLRHFDCNMECFASP FNSRYGRFCSAFLDTDRVFGSFGSFFDFHPTSGCFEANPPFVPALIAKMASHMTLCLQ NATKALSFIVIIPAWEHTEGWQLLKNSPFNKEYLLLSQKHHGYCEGKQQMRPTRYRIA SFDTSVFFWQNAMAASKWPVTDAALDDLRLAFKSKQSEERDDLGLKQGGKKVKRVKAS S H257_08435 MMLRLRASTAMRGLRKSTIAATGLPTSRQLHMKISPAVKEALRI GTPLVALESTIISHGMPFPQNYTMATEVEALIREHGACPATIAILDGEICVGLSTEQL HTLASFGSQATKCSTRDIAAVVARRGTGATTVSSTMRIAHAAGISVFVTGGIGGVHRC VEDTMDISTDLMELSRTPVAVVCAGVKSILDIPRTLEFLETYAVPVVGYQTRAFPAFF TTSSGGAQAHIQLNTPQEVASLIKTSQDLNLPNGFLVAVPNPAPVDSELIHSAIQDGL QECRDEHIAGNAVTPFLLKRVNELTQGVSLTSNIALVKNNALVGAKIAVALAATLSAA TPPHTPASSCREASSSSHASARPSHSSTPDVVVVGGAVLDVISKPTTQFIRGTSNIGL TKQTWGGVGRNVAECLHRLDVPVLLVSNIGKDACGHGLLRQLDSLHMDPSGVISSSAH ATATYCAVLNSSGDLDVAIADMAILHDLEWDDTAAAKMDTARVVVFDGNLSPAKMARV TRPPQPKSLNPRRLLWFEPTSVEKAVRVTAVLHRVHVVSPNVDELRAMCEALPKDTGR VDQGLAAAAARLLPVDNGPQVIRDVATVARCMRGDNDEGDVHVVVTMGRHGVLVGTTS GHVNVADERDATLLGKVGDLTYVYLPGIPMAVANCTGAGDSFVGGAVYGLLQGYDIVR SSKLGMIAARKSIGSEFPIHPNLSPHDFA H257_08435 MMLRLRASTAMRGLRKSTIAATGLPTSRQLHMKISPAVKEALRI GTPLVALESTIISHGMPFPQNYTMATEVEALIREHGACPATIAILDGEICVGLSTEQL HTLASFGSQATKCSTRDIAAVVARRGTGATTVSSTMRIAHAAGISVFVTGGIGGVHRC VEDTMDISTDLMELSRTPVAVVCAGVKSILDIPRTLEFLETYAVPVVGYQTRAFPAFF TTSSGGAQAHIQLNTPQEVASLIKTSQDLNLPNGFLVAVPNPAPVDSELIHSAIQDGL QECRDEHIAGNAVTPFLLKRVNELTQGVSLTSNIALVKNNALVGAKIAVALAATLSAA TPPHTPASSCREASSSSHASARPSHSSTPDVVVVGGAVLDVISKPTTQFIRGTSNIGL TKQTWGGVGRNVAECLHRLDVPVLLVSNIGKDACGHGLLRQLDSLHMDPSGVISSSAH ATATYCAVLNSSGDLDVAIADMAILHDLEWDDTAAAKMDTARVVVFDGNLSPAKMARV TRPPQPKSLNPRRLLWFEPTSVEKAVRVTAVLHRVHVVSPNVDELRAMCEALPKDTGR VDQGLAAAAARLLPVDNGPQVIRDVATVARCMRGDNDEGDVHVVVTMGRHGVLVGTTS GHVNVADERDATLLGKVGDLTYVYLPGIPMAVANCTGAGDSFVGGAVYGLLQGYDIVR SSKLGMSIGSEFPIHPNLSPHDFA H257_08435 MDISTDLMELSRTPVAVVCAGVKSILDIPRTLEFLETYAVPVVG YQTRAFPAFFTTSSGGAQAHIQLNTPQEVASLIKTSQDLNLPNGFLVAVPNPAPVDSE LIHSAIQDGLQECRDEHIAGNAVTPFLLKRVNELTQGVSLTSNIALVKNNALVGAKIA VALAATLSAATPPHTPASSCREASSSSHASARPSHSSTPDVVVVGGAVLDVISKPTTQ FIRGTSNIGLTKQTWGGVGRNVAECLHRLDVPVLLVSNIGKDACGHGLLRQLDSLHMD PSGVISSSAHATATYCAVLNSSGDLDVAIADMAILHDLEWDDTAAAKMDTARVVVFDG NLSPAKMARVTRPPQPKSLNPRRLLWFEPTSVEKAVRVTAVLHRVHVVSPNVDELRAM CEALPKDTGRVDQGLAAAAARLLPVDNGPQVIRDVATVARCMRGDNDEGDVHVVVTMG RHGVLVGTTSGHVNVADERDATLLGKVGDLTYVYLPGIPMAVANCTGAGDSFVGGAVY GLLQGYDIVRSSKLGMIAARKSIGSEFPIHPNLSPHDFA H257_08436 MHRHSFRISTCRRITSSLWTSSAPSRRQSSSSRANMSSVNYHVV GWKQCPYYVKAASVASSMQHLFPSQVKATIHEHPNKESFVNWLNESQTEFAHQFDNDA RPLKHKSSPFCYLEQDDTPKFVGGCDDTLAFFRARTQSAPSAPASSASIHANDTSDAD VKYDWDLVVIGGGSGGLACSKEASKLGQKVLVLDYVKPSPVGSTWGLGGTCVNVGCIP KKLMHQSSIIGEILHKDADQFGWSVSNATFDWSKLVSSVQDYIHGLNFKYRVDLRDKK VKYENMLGTFKDAHTLTLTNDAKKKGPTDVTFRRAVIAVGGRPKPLSCPGWEHALSSD DIFSKPDAPGKTLVVGASYVALECAGFLKGQGFDVTIMVRSILLRGFDQDIARRIGEY MESESGIAFIKESVPTSIVKLENGQFQVTHTTGQDVYDTILNATGRSPDVQKLNLDAA GVALNPKSGRIHVVNEQTSTPNIYALGDVIDAPELTPVAIQAGKLLSRRLFGNGTTLM DYTKICTAVFTPVEYGCCGLSEEDAIASAGRENVEVYHQNFTPLEWSLSHDRPLAKEC YAKLIVDTTQQKRVLGFHYLGPNAGEVTQAIGIAIKLNATYDDFINTVGIHPTTAEIF TTLEITKESGVDASASGC H257_08437 MARKYVIGGNWKSNGTQASVASLIEMLNGVTVDTESVEVVIAPP AIFLATAKANLKPEIQVSAQNVSLTGLGAYTGEIAAEHLVDLGVQWTLTGHSERRALY GETDFVVAEKTKRALDHGLNVILCVGESLDERKSDQTLNVITRQLKAVADLLVNDLSL WSRVVVAYEPVWAIGTGVVATPEQAQDAHKNLRAYVTSHINPEVASELRIIYGGSVNA KNSAELIALHDVDGFLVGGASLKPEFVDIIQSAQ H257_08438 MARDGKRKAPVKAESHGSPDDGDDASGEDDEDVEQPRAPTIEDW KRQIEVEVQQAIPGALRMCVSNAKKQKHDLMKHTIEGVTAARNLAPLAKEHSMPLVDR LKQLTKEYALINGHIGAFDSKLTDLERTLQAGPGPQSFNGLLDMSAFHVADDVLSKHE YIKQFDAAAGIEREDEDDEDVMVQESNSVRSMSCPITQMLMTEPMRNLDCGHTYSRVG IESHLKHKQSCPVAGCRKRVGNLERDVDMEVLIANRSKATALPRHGGATAVDTDDDDD DQEEHVVE H257_08439 MFRAVCCRIGGGAAVIGRSSVRSVHITGNQVRPGVAIEVDGKLY RVVKSQSVKPGKGVAYMQAELKEITTGHKINRRFRSSESVKKAPLTKDRPFQFLYNDG NKLVLMEPSTFEQLEVGTDILQDNQLKYLSEGTLVTLQIVDGVPLWMNLPDHVVHVVE KTTAGAGNGKEATLANGTEVQVPGSIEVGDSIKISSDTGLFVEKVAL H257_08440 MNMPWLHHAKAAATDFVRELWSTDGLLNYLTAKTYFLIVALYQV PSAETWRLQLRELATVERNVNITHGAVNSIGSSVSMCLLPAATPIQERIASDRSEVAH AVSSSLNAVRRVRLARSAFLIELVRTKDIRTNKVLSTSLETKMHRLLRALEQVPSTDS WTHQLEELALDDQCAYDTQAHSVHGIASVTSHLVDAPIPTPNPSEVATAALGKHSDGH DQEDLGVAPTEVVDDDEGDVQHATTDEADLLKTFPVRHRYAAINSTVVGYKSVPFHGE DVRLWRQQRLAHVLPTVAENTVGPSDQLGKRFHSRSKTSPKATADDTDDDTADKTPDF WGEVLNPSSWPHISPPTTPPPSNVATAVFGEHSDGHDQEDLGFAPTAVFGEHSDGHDQ EDLGVAPTEVVDDDEGDVQHATTDEADLLKTFPVRHRYAAINSTVVGYKSVPFHGEDV RLWRQQRLAHVLPTVAEITVGPSDQLGKRFHSRSKTSPKATADDTDDDKADKTPDFWG EVLNPSSWPHISPPTTPPPSNVATAVFGEHSDGHDQEDLGFSPTAVFVLNPSSWPHIS PPTTPPLSNVATAVFGEHSDGHDQEDLGFSPTAVFGEHSDGHDQEDLGVAPTEVVDDD EGDVQHATTDEADLLKTFPVRHRYAAINSTVVGYKSVPFHGEDVRLWRQQRLAHVLPT VAENTVGPSDQLGKRFHSRSKTSPKATADDTDDDTADKTPDFWGEVLNPSSWPHISPP TTPPPSNVATAVFGEHSDGHDQEDLGFAPTAVFGEHSDGHDQEDLGVAPTEVVDDDEG DVQHATTDEADLLKTFPVRHRYAAINSTVVGYKSVPFHGEDVRLWRQQRLAHVLPTVA EITVGPSDQLGKRFHSRSKTSPKATADDTDDDTADKTPDFWGEVLNPSSWPHISPPTA PPHSNLA H257_08441 MSCRQSVLAIDLDPAQLPGLCPYIRGPYSSMYAAELWTVRQYAG FCTGRGIERLLALGRRATRAECRVRFGDPSQVLIDGIPLDKMSVSMTMNGAVLSILAM YTVAAEEQGVDQKLLAGTIQNDILKEFMIRSSHRSRPCVSSSCLVHLDIFGYKLECMP KYNSISISGYDVQEAGAWNSRLLLPMASSTCMLPWPRAWTSPRACGFFFAIGMNFYME VLIDGIPLDKMSVSMTMNGAYTVAAEEQGVDQKLLAGTIQNDILKEFMVRSSHRSRPG YLCVQVGVHAQVQFDLDFGVRRARSRRLELAFTIAGGIEYVHAAVAAGLDIAPRLWFF FAMGMNFYMEETKKNCDETEAEGVYNDVLAEVELFAERQGGCLWPRWVSTTEGPR H257_08442 MLSLIGAGPSGAKCAATRRVEHFAPEVRRLVSRVIVRLVGSRLR RRLAPAVEPLAQLVRGPTVFSATVGSKCGSRYCRQSRMSSLWKGTDFDGHDQEDLAFA PTEVFVDDEGDALHATMDEADLLKTFPVRHRYAAINSTVVGYKSVPFHGEDVRLWRQQ RLAHVLPTVAEITVGPSDQLGKRFLSRSKTSPKATADDTDDDTADKTPDFWGEVLNPS SWPHISPLPPLQYL H257_08443 MASAMGDTQSLHTNALDETLGLPTEFSARMARNTQLILQEETGI PKVVVADPWGGSYLMENLTQELVDSAMEIIREVDVYICRYIYTSIEESATKKQARIDS REEVIVGVNKYRLQNEDRVDVLSIDNTKVREQQINRINTNAHA H257_08444 MTTIAPTTQIFNDDIDATGVAQQRPRGERFHAGMTAVATAPTAE TADSTGKHLRKMLCHSIHDALPVLEFFVVAASTRGIACIYILAAGLIMALDRCFRGFV ETSTISRVCIALFCARTSFDIAAYNGDATPLHTLFNPIQDSSNLADIIWAVMVKGVML RLASLSMEAFAGLVLEWLPVHDTVTGASIRRIPPPPPRKPVPPRHRCHLLSSVVGPAP QITNASVVRTFVGMRRRVELHLAS H257_08445 MEEVHVHLHERPPMAFDYQRASADKMLRLLDILLPADFNGEVFD DSIIMDEVDDALNAAN H257_08446 MVDNSTSSSSTSVDVIREGDTVVCYTSDDRVFFQEILPRMVIRI GKSQVSVKCVEGFPFGTIFEEQDKTLVPVEGGLFPDPVAPEVGDFEVPTNDNRSYTDT NDSQKLSNHEIAALRAQGVNGSALIAKLVENSDTWDTKTEFSKQKYLKKKQQKYMPRI QMVRCTAIALCDVYHSRQPAKILNLRYDIIGQILSYGNIYAGAQVLLVETCMGLITGA IAERMLGRGHLLAGYEGQQASVDTVRRFNFDQQVNDSIVYFPFHYIGQLDQDEATLVA TESTAYEVARSTPDEIDARKAEVAAQVSMYTDEEQKKYLQKKELRKLKQRQAKPKKSP TDIRTLLRTPSDSLVIVSHYDPLAMLLQLLPNLGLSRPFVVYCEYLEPLTSAFDALQR MDSIINLQLNDTWTREYQILPGRTHPEMTMSAGSGYLLSGIKVEATPAPAHLADLKVL PRRTNAKKPRVTRD H257_08447 MSMRSATLLALTSMAAAVATDSQGCATNFSQCNGQNWPHGVCCV DPSFTCQQVTQHLSLCAPKQPPAVRVPWYGQCGGLAWRGPVECVDGSSCLLVNDYYSH CFPQTARPSTTASTTTTSTPTQTTATTTASPVIDDSPTDCDDDNTVRDGSSDSFQNED GLLTNLTPDEINALNNATYLDASFLGPYAQCGGLHWAGQTVCVQGFYCRSQDDYFSQC VPIPDLPGVPTYGQCGGKYWTGPTECQVGTKCIVDSEWYAQCLPTAY H257_08448 MCARSCQSACLMRHMHFVNEEDLAGDVDVLDGIPVDAWKPDPKC DRCNACVKKFRLFYRRRHHCRVCGELYCSECLQLRYVRVPWLGVALTLVCMWCDQKAT TSHRLKSSCLRPLPPPSSLGISSLEGQNPLPSKTQCSTHGLHIGLSRSTTQRPLPAAI SSPAIPPSLMSTRSVAHMLPRHHRSTTAQLDRSLNVLCSTMHCAYGAIVITAGSSFKV LTQHGRSVVTTSAFSFEEFLIENATTVTRPWCWNATADFLFCGIAPLLDKATTAIAGY VVVLGRQPRNPAILDALRRILHQHALQITDQLNQPPELSRKLISLRAGCSNQAAISPL WGGQWIC H257_08449 MEAEGLLDTWKIKPTQYLTVVAWVSLRDGRTFPVYFTDGTGDHR LKLTIVSVPTRTKHFLYLPDTTVSILASAAKCGVPSHAFKDFLLGHICLQKRLRKDTF FLGETRFTERSFQVNPPEPSDDIGGTPPSRRMMTDLGDVLRLLSDQRCYQERQIWSNQ HLFDALLKGFEQLQLLASHFMQQEVLVSKLAKDVELWKAAAAERTHRTDQVLHQHQVQ VGQLENFAKSMQNTVQALGNQVHDHAHMMKHMQEELESAWKVKQKTIEMEAERSRKEW QDFRMDNKRHFERIKDAFGLLNEAQSRTSKQVMNIAGEVGTLTRLKESVVHNGQVISE VRQAYVEILPKLQDMYVLERKREAIAAVHRLGCVDTPRM H257_08450 MTNPSTPAKLRLRNEKHSSNITKRGLVPSSTRISHDGYKVGPVL LGFLFFLVVGSAVLEVLRSSQFGL H257_08451 MWSKVVARHGGRPLSVRGIASSANALRFLIVDGYSPEGRLELTK SGVSIASDLYKRMLSTSADGLPTSFDVLFPSDGPFDTPDLRNYDAVAWTGCSLTVLDS ADIRVTRQLELAKQCYAHGVPQYGSCWAAQIAVVAAGGVVSKNPRGREMGLARKISLT PEGRGHPMFDGKPSVFDAFTSHYDEITHLRPGGVVLCGNAFTSVQAVAVRHLKGEFWG VQYHPEYDLREMARLTLARKERLVKYGIFQTHQDGDEFVAELEKLYEDPSRRDIAWRL GLDTDVMDENVRYTESRNFIKHLVVPYKLSKTLLE H257_08451 MWSKVVARHGGRPLSVRGIASSANALRFLIVDGYSPEGRLELTK SGVSIASDLYKRMLSTSADGLPTSFDVLFPSDGPFDTPDLRNYDAVAWTGCSLTVLDS ADIRVTRQLELAKQCYAHGVPQYGSCWAAQIAVVAAGGVVSKNPRGREMGLARKISLT PEGRGHPMFDGKPSVFDAFTSHYDEITHLRPGGVVLCGNAFTSVQAVAVRHLKGEFWG VQYHPEYDLREMARLTLARKERLVKYGIFQTHQDGDEYIVHCSIMVH H257_08452 MAKTPSKKSAKAPKKAGEGKGKGKRVKRVESYSTYIYKVLKQVH PETGISKRGMSIMNSFINDIFERIASEAGKLSRYNKKSTLSSREIQTAVRLMLPGELA KHAVSEGTKAVTKFTSSA H257_08453 MSGKGKATGGRGKKGKSSSRSSKAGLQFPVGRVARFLKKGRFSQ RIGGGAPVYLAAVLEYLCAEILELAGNAARDNKKSRIIPRHIQLAVRNDEELNKLLGD VTIASGGVLPNIHNILLPKKSASEGKATKADKKSA H257_08454 MQSPIRVRRIRMTSAATEEDVVPTQQPEAHPLLRLPLEHLPWSL VPSRNKYAHRPRLRQGSAGGILDGILFLFGGFEDTGHRSNNLVQYDMRTHTWSDVDVY GKIPPPRYGHACALYEHEMWCFGGQGPEEGLNQKNIMGDLNILDLRTREWRRGVVSCA GVNGRVNFLPLPRRGHSIVIHDHSLYLYGGSGPDRMYGKDAYFGDLQRLDLKAMCWHE PTMTGAVPDPRAQHAAIMVHDTMVVFGGQSSAKKHTMMEQLRQRPSKSLKEIAVDRKC LKIEFSPPDTGFASNTVHVLDVHRHHWTIPALAGTAPSARYGHVLTGHPQNPLVLFCF GGVTATGGYNDAAVHCLDLELQRWSTVTALEPIPPRTRHAMFAWESQMMIFGGCGRDG LCVGNVYTIQLPAVPPPSTTASSHSDVLITPASIPQPPPSRPHTIGSSSTKSSTTSRS LGVSISPIKLKKLDKAWTSVGL H257_08455 MSGVRVYVGGIPKTAVNKDVEEAFERFGKIDNVWIARNPPGFAF VDFEDPRDADDAIKDMDGKEVMGERVRVQLARGGIRKGGKDDRGGSSRDDRRRSSDRD RSPRRNDSYERRDRDRSPPRREPARSASYKVRITGLSRRVDWRDVKSFVHKVGDVTFC DMDGDDAVVELATQRDLDDVVRKLDDTEFEGRRIRIRPVGSSSKRSRSPSRSRRRRYS SSRSPVRQHS H257_08456 MIVMIVKIVKIVIIVKIVVIVLDSGRLSLDSVYRFLRLNGFDGI FVIVVGELGIVRLVWDDVSLDHAFLSIVPPVQAAGCMGVRRGGAHRHKQRSHEQRTRN NTHGAIGSKSNMPTSQEII H257_08457 MDADLHMKMSKKIAQLTKVIFHLNTKNEDAAMELQATVYSHRKE NDMLAKEAASKMGQLKDALDKREAQLKAMDNVKKVKERHQLDKAERLDEFKRYKEEVK AHQLRVEGQFQVEMETLASELRKARGAFHERVAELKAALDAVQAKAANGHTDLATMRA KHTNEIADMVTHSNKKYNDMLTSQLNQQDQLKAEHGAKLAALEKAHQFQLQQIAEKAD IDAKLAVKRRELECNSSADSMKNDMVAKMERLLSEIETLRTNETQLRSDKLELQHQIT SVRASFQQVEMDFHNEKRTAFTMQQNANATLADAKANLTERQHQVTLLEAELVKIRSQ AEAVDLERRQLQKSVDKLQSETQSTSSNLSEKEKHWGATIKALEADVESTKQQLKDKA AEVQKLHSLVKSMDAQHTKSEDEVKMQLLAAQKKCKELQSNVAANALREASLLQQLEA CQNDLKQMQDEKTSLRGEFHATEGKLHSTIALLQDEIRVLKQHQGELIRQHDNAIQQL QSSAQSMSQAQKADMESQVQELRAQLDRLTKQLADAQEALSTSQHVHAQVLEQLKASL AECNALQNQLKQAEVAGQKDRKDWRKQLAALELDKDKAAKQAKKDKTAMDKLENDLQA AKHKAELNGRSHLEVVAALKHDHDVQIQALNDSMAQSIQEAVQKAEALMQLHVDEQRA FLFSMHKTELTDMQAQIDLKTLKIHDIQVEFDSALRAQVESHAATCAAMDLERQASEN KWQETSQDVQKNHEDVLRQILATHEAELAATKHELTLQFNAAKSQLMAEKDQLVATHA QDVTKLNQVRKIDLEAAATELSRKLAELRDKMDKEQRLATATLTAQHAQVVSTFENTV ESCNATIARHVGSILGLERDKKALQQTISQNEIELVQKELYWQREKDQALELARKHHK VEVEQTIEVHLHETQALNNQFEKTRALLQDQLQQLVGKIREWEQVYARRDSRLEDLNR IADLEQDVADKDALVQQTVDEMAYIKRELLNREDTYNKTFGRSPNVGVLQVLKPAPPN LKMRKTKTGGVNNNVTSSTTSSTRPLPPIGVNQQQQQTPSYRE H257_08459 MPAYDIELVGHSEFQTVSELIALDLLSYAPVMALNPAVAFDVIQ MVLASSGAEIRCEQPVERDKLVKLLLPTICAQSGGGLLDSIES H257_08460 MHTRCTTHGCPHFAKLGNYCLYHSRAFGLMSLPLRRPYTPTAAN DTFPFVRSSDKAHGSDVAAKPQARYDHPNNSLPRLKSARMCRVAGCSSYARRQGRCSR HGGSITCAAEGCATPAQTGGKCRAHGGGSLCKMDGCGAFARTQGLCGQHRQVAQQTLA ARSA H257_08461 MLGYLKSKGATSSLVRRVNARSFASFAVQNPYTLATEVEVAQHS SKESADILARVKKAQREWAAVTLDERKAVCTKWTDVLSANIDTIATDISRQMGKPLGQ AVGEVKGTIQRAKIMISLADKALAPTTSFSSPPEAGLFRQITKEPVGVVFVIAPWNYP MVTVVNSVIPALLAGNGVLLKHSPQTPLCGDHYERTLHEAGVPRDLLRSVHCTHATAA ALIANPAVNYVSFTGSVRGGAQVHAAIGATRFIHSTLELGGKDAMYVASDADVASAAA GLTDGACYNAGQSCCGVERVYVHRSQYEAFLDAMVPHFNAYHLGDPMEQDTTLGPMAL ASAPSVLSAQVADAVAKGGKVLTKAAKPSETHDPTGRGRFFPPTLVRDCNHNMDLMKH ESFGPILGVMAVDSDEEAVHWMNDSSYGLTAGIFTKDIDRAKRLGQQLEAGTIYLNRC DAVDAYLPWTGVKDTGKGHSLSEHGFAAFTQLKGWNFKLP H257_08462 MSGRLANKIAVVTGAGGGIGRKSALLFALEGAKGVVCADLNLDA AKETARLVAELVGNKKVAVAIGADVSKSDQSKAMIDLAEKTFGGLHVLFNNAGLMHSN DDDAVSTSEQVWDLTMAVNVKGVFFGCKHAIPALRRSGGGSIVNTASFVGVLGAATPQ LAYTASKGAVISLTRELAVIHARENIRVNALCPGPLNTELLQKFLDTEEKKQRRLVHV PMGRFGEAAEMAKAALFLASDDASYITGTKFLVDGGITAAYVTPEQKVDPFGGPADL H257_08463 MTILPKIGYYVAEFWNTLTNAAYVVVGLCAISRNSKAKQEAHGY SRRAAVCCCLTGVFSGLFHATMWMSFQRLDEMFETGIIVFLYHEHATGAALGHFILAA TTILLAPSTSRVCEVHLVVMILATIVRLSAASRDVPAAKPRILAAAVATALGFAAWLM DRTCCHYTVSHFPINVQLHAWWHLWTAPALHFGFQTHQILFRHNRTLHVVE H257_08464 MAGRGRELTLPAWMRQQQQQEAPVPPPPSVHMHRHDPNIYADAS ADLPKPSRSSRDDGYGGSTSTRPREEPRRDEYASSSRQDNSRREDISSSSRRDEVPHS SRSRDDAQPPPPSSSSRSSRDDVPRSSHRSSHHESRSDKPRESDRRGSDRGSASDRGS DRRRRDRSRSKGSSSRDPRRDYDEPRSSRSSRGDRKGDFKKMEGFGTLSLSGSGRVRV RRFDILPAGVTQDTAATYAAEVIMQAKLAALSGIVGPASFGPPTNAHMHHLSFGGSQH SRHARRLYVGGFGEITEAEIERFFNEVIDKALGEVQEHGSVVSVYINRERHFAFVELK TIELTTACMELDGITYHGQPLKIRRPNDYNPSAVKETGPIPKLDVNALGIVSTSVSDG PGKIFVGGLPYQLNEEQVKELLQAFGALKSFHLVKELNTNLSKGYGFCEYLDPAITQI ACAGLNDMQVGDKILTVRTAQTAGGLFPPQFDPLTGTEPLAVVPSAPLGQPSRVLVLL NMVTPADLSDPEEFQDICDDIRAECEKSGAVQDMVVPRPGEAQYHPSSVCKIFVAFAQ LECAEAAANELHGRGFANQTVAVEFMDEAKFTRRDF H257_08464 MAGRGRELTLPAWMRQQQQQEAPVPPPPSVHMHRHDPNIYADAS ADLPKPSRSSRDDGYGGSTSTRPREEPRRDEYASSSRQDNSRREDISSSSRRDEVPHS SRSRDDAQPPPPSSSSRSSRDDVPRSSHRSSHHESRSDKPRESDRRGSDRGSASDRGS DRRRRDRSRSKGSSSRDPRRDYDEPRSSRSSRGDRKGDFKKMEGFGTLSLSGSGRVRV RRFDILPAGVTQDTAATYAAEVIMQAKLAALSGIVGPASFGPPTNAHMHHLSFGGSQH SRHARRLYVGGFGEITEAEIERFFNEVIDKALGEVQEHGSVVSVYINRERHFAFVELK TIELTTACMELDGITYHGQPLKIRRPNDYNPSAVKETGPIPKLDVNALGIVSTSVSDG PGKIFVGGLPYQLNEEQVKELLQAFGALKSFHLVKELNTNLSKGYGFCEYLDPAITQI ACAGLNDMQVGDKILTVRTAQTAGGLFPPQFDPLTGTEPLAVVPSAPLGQPSRVLVLL NMVTPADLSDPEEFQDICDDIRAECEKSGAVQDMVVPRPGEAQYHPSSVCKVGNSIGL FCAYFGFFFRVF H257_08465 MWEVFEASAAACQVLLGSGIPTTIYSYQDGVYEDLLPFSHLLVQ TFAHSVVPFHEKFYPWFASRGDYGLVQLLRCRPQSLPYLMRYAAWSGDMDLLLCLHAK GDLLSLANLLDIASSRGHLDLLVWLHEHGYVGCTTRAMNAAAEQGHLEIVRFLHHHRM EGCTRSAMNGAMMNGHLEIVRFLHEHRTEGCTPYGMDVSARNGHFAVVEFLHHHRTEG CTADAMAWAAAAGHLDIVRFLNEQRNEGDAVWATEAAATAGHRDIVRYLESHAMEEGP SDANVVFSGRDFAF H257_08466 MLIVSTMTRLPTTPIRYCWMEYVPNFSPFLHLSSALYIGATCEA PTPFQSQTPTTTYPVVCSAYHTHINTPFIFFYVYYSRLAYDHSTHHPTTAPFSKTISH SLPPLPRWMTLYFPLFLPTAFILRAHLPTPTKALLDLPRLPITSIRLLKVNLRLLVLL APSTPFCR H257_08467 MQLDQWRLIVPVVMLVLNQSPSDTLGGVAPTIVMTGSRAMSPLD LISIPSAAKVTKLDDLLSWRSDGTAAMATALDKMHAKVLDASTSKRQKNRERRSKKKD AEIAQFDVGDFLLYVTCGRFHTMAWTSNRLKFYADDPLDVTEELLRRIAHSAEGHVVD QFLDFRYYDRLAAFEVCVRWRGLQEIEASWEPADNLLEDIPTEFKRYVRSNKVDAQVK AMATALGVTQSLGEIVANLPFAEPLNPSQDGIQVFD H257_08468 MIVDSNAEFHTVPIAATKLITEYEYLQKEGHPSKTGTRGNVLEA TTSAAIVGRLDTGVVTVADQTVVLKLAGGGVENVSPGMPSHPPMRMP H257_08469 MVLKLLVRCPEGQQFLTITERPADMTVEALAKQISRESKVVGVS LVSAPGLQKKFLNDVGEASYFVLPGYAKVQHVLSELDLVHVHAEHVYTTADARKQAAV PVTPGDNNQPKRKQPHPSHDDATVETKKAKAAAPDKTATINKPLEAVSKKQAATTTNP ATTNPANKAVAPTTTTTSTTEQPSTKSKKKKKNKSSAASAAALAPTTDVEKVIEPAAK AGLAKKPTADVVADSVPQEPVAKKANAAGKDAKTKATGADGAADQPAAATATAAGSKL TKKQAAAKAAADKVVPVDVPAVDVPAVDTTTTPATVVAKTTPKAKKVSPAKKKADKAA TGQAAVEPPTTAAPTKATPTKAAPTKAAPTTPSAANGAKVAPEAKKSKAKAAPTADTI VDVIATSNQEEPVTTTSPSSSSGQDIDSPLVVPNQTPPAKKNQAANFEHESAEHSTTT VAAAKKGTKARADAAKAKKEVPATSDEAASALPKKRGRPAFTPEQKEEAARARLAKSV ASKATVVLDGDDDSDDDDIPLVKKAKATKKATGSNTSDKSPKTPTKKDTEKSRKPPVA RKVVASTPPPPPFAKAAPTTESALARLAAQMPADSDDDSDQEALVKPVAAAAGTKKNK KNVDEDKVALKKRPKSKETKANSSGKNLTKATVFDSIAQANKRLSMTGDLELSNAPNP FQKTKKQPVSSVISL H257_08470 MYTSVTAVVARCKTLSVSSVEDAKHNMVVVCIALLHAVNSILER LHLDASLHIVLQAEQHLRNLLQAERYLTSSPSSAGDEATITSILLSAWAWLHDLAMSV FDHVYAHMAYVPGVSRLVRWIQCHLTAAPSPTQDRTAVDTLTAIVLSYTEKDVPVSSS LNHRIQRSMHYPINLKPFDATVQLQTSSSFAPPSSSSTLKSPQSMPASPTARLHWQSS LASLSQNVLYQVRDQLRAERAAALTGTADNICIVKFNRHDCHAELQLSCGAHAVTKVL PGLYRTVRATAGIPINKAVYFEMTVHDRAVSCCIGLSPRSLPCNALVGTQKHTIGVYS KSGMILAHSIQIPVAGLASHSSSGASTYGVLVHRGRSLDIATFDCVQVVFTHFDAFHD MVVSEQCELRVPSSLELFPTVTLDSMHHTILSRFAPTDLVVSVDQWALLAWQYPAIYT LDGTLCSAYKDN H257_08471 MEERAMVHGCTDSLVAAPTTTTKARPNSVTDLPISPITQELPHH PMRNSAPSLAAAIETLQIDVLSGSGSHAPSSEGGGLRGDLSEVSIDFGESTLLHGTAE LTHLGLAGFLNMREHGPGFHRMKRYYCRLVGVLFYRFYTKESARDLANAHMEKEIVKV ENWDGKGAIHRYSQAFKLVTPQGTYNVNADSEEEKGLWIQYANESIDAAAMQMRDCSL LPKSSVLTAASPGGLTLARPNKEKEKPPKFKGIPNCMHPTCKVRFDNTKRQHHCRNCG DSVCSDHSYHFAPLPHLPTMNGPQRQCTRCFRVHRFMQHMRTMLQVFVKHRHGRKQQQ QQLQHAALLSNKKKALPVSASSCGISQTDDHIEDVNRMRAAVSEADFGVSDAIQALHL HRKDSDDVYCVIVAKLLKLGVQHLPDFDFFLPQLFHLWISMEYETQLVKWMLLFRVLM TAATYHLRLATSIHWLLRATIDDSCGWGFGQRELGVPEYLKFRFAPCKVAMYNLHMLI ENRTTLTFTPDADLRTMPLQAELLQVYIDRILHLQEYDSGLNLPTPDLALPSPMSSTR GGPVPLGPFFNAFAACTFPIHWTDLPYRPRLGPRDASVEQKVFLAQVEFIDQLGDLAE NLRHCPRSERKKALPTELEKIPLPTAAYYPLTPVDEPLHRFVHVCIKEGTVFTTKARA PTLVWFEVESVDVAPETLWLSQTRPSAPLVCDDAPPHRQSMDHDAIDNVLRDERLLTS LRHIHNNGDDDDDTDDVVQVSIKVPLSNGSTAKLRRSGSLVSHANQTYSDELIHAAEA DATRAPMDLSTLTNPHHTNHHHGGGGGGGGGGPPMLGSSLFPRSKGSIKLELLPTDVE KLSTEQLETIADKLLQHLVAHPPPRRALDQHVTSHHTPVRRASTPTTSTVLESKHPAL GRLTVEAIKESMEKMKKNFLHDDDNWDVRHAFTGSDGIATLLEMQVAHNEQHATWLGS ELLHNHLIQPVPPQSSGLNIGGGRDYYDDIVFQNDHATLFVVTLKPDQDTSLHVVNDF TGRSTIVGRQSTRPTRYTSSQMGTRGDDTHDESLMLPPMSHMDRMDPDKVMLYMQTLQ HAIEAYVMPPDERDRAIVTWQLLQDQLDVICNYVREKQVQRHNQVKNMFGEGADDKRK RMRESSTHASTYKAKWDIKAMIIKSNDDLRQEVLCLQLIRQFRDIFNSAELDLWLYPY GIIATSASTGIIEVILNATSLSSLKGSPGYTNLNQHFITVYGGLDTPAYKTAMGNFVR SMAAYSLVCYILRIKDRHNGNIMLDADGHLIHIDYGFMLGIQPGGRFSLEQRVPFKLT TEMVDAMGGTQSEYFREFVTLLIQGFLALRQTQNVDTILMMIAIMARHSSCPCFLNRN PQDILNQTKALFALELTTDQVIPHVMKLVRWSLNSFGYRKYDQFQHMTNDILP H257_08471 MEERAMVHGCTDSLVAAPTTTTKARPNSVTDLPISPITQELPHH PMRNSAPSLAAAIETLQIDVLSGSGSHAPSSEGGGLRGDLSEVSIDFGESTLLHGTAE LTHLGLAGFLNMREHGPGFHRMKRYYCRLVGVLFYRFYTKESARDLANAHMEKEIVKV ENWDGKGAIHRYSQAFKLVTPQGTYNVNADSEEEKGLWIQYANESIDAAAMQMRDCSL LPKSSVLTAASPGGLTLARPNKEKEKPPKFKGIPNCMHPTCKVRFDNTKRQHHCRNCG DSVCSDHSYHFAPLPHLPTMNGPQRQCTRCFRVHRFMQHMRTMLQVFVKHRHGRKQQQ QQLQHAALLSNKKKALPVSASSCGISQTDDHIEDVNRMRAAVSEADFGVSDAIQALHL HRKDSDDVYCVIVAKLLKLGVQHLPDFDFFLPQLFHLWISMEYETQLVKWMLLFRVLM TAATYHLRLATSIHWLLRATIDDSCGWGFGQRELGVPEYLKFRFAPCKVAMYNLHMLI ENRTTLTFTPDADLRTMPLQAELLQVYIDRILHLQEYDSGLNLPTPDLALPSPMSSTR GGPVPLGPFFNAFAACTFPIHWTDLPYRPRLGPRDASVEQKVFLAQVEFIDQLGDLAE NLRHCPRSERKKALPTELEKIPLPTAAYYPLTPVDEPLHRFVHVCIKEGTVFTTKARA PTLVWFEVESVDVAPETLWLSQTRPSAPLVCDDAPPHRQSMDHDAIDNVLRDERLLTS LRHIHNNGDDDDDTDDVVQVSIKVPLSNGSTAKLRRSGSLVSHANQTYSDELIHAAEA DATRAPMDLSTLTNPHHTNHHHGGGGGGGGGGPPMLGSSLFPRSKGSIKLELLPTDVE KLSTEQLETIADKLLQHLVAHPPPRRALDQHVTSHHTPVRRASTPTTSTVLESKHPAL GRLTVEAIKESMEKMKKNFLHDDDNWDVRHAFTGSDGIATLLEMQVAHNEQHATWLGS ELLHNHLIQPVPPQSSGLNIGGGRDYYDDIVFQNDHATLFVVTLKPDQDTSLHVVNDF TGRSTIVGRQSTRPTRYTSSQMGTRGDDTHDESLMLPPMSHMDRMDPDKVMLYMQTLQ HAIEAYVMPPDERDRAIVTWQLLQDQLDVICNYVREKQVQRHNQVKNMFGEGADDKRK RMRESSTHASTYKAKWDIKAMIIKSNDDLRQEVLCLQLIRQFRDIFNSAELDLWLYPY GIIATSASTGIIEVILNATSLSSLKGSPGYTNLNQHFITVYGGLDTPAYKTAMGNFVR SMAAYSLVCYILRIKDRHNGNIMLDADGHLIHIDYGFMLGIQPGGRFSLEQRVPFKLT TEMVDAMGGTQSEYFREFVTLLIQGFLALRV H257_08472 MEKVNVQLGAAHQGSEATLSRAEDFKEKGNDALKAFKFPLAVEM YTTAISLIPTAIYYSNRAAAHIKMESYGLALEDASESIKIDPSYIKAYYRRASANLPL GHLKDALRDYRTVVKMKPTSAEAKSKMKLCEQLIRQAAFAEAIQSERHRPLSETIDVS AMVVDSSYDGPHLPETPPSTEFITDVLDHFKRGKLLHRKYVLQILLSMKSMLQALPSL LRIPLGSDAQAHITVCGDTHGQFYDVCNIFALNGLPSETNPYLFNGDFVDRGSFSLEV VLTLFVMKLAFPGHVHLLRGNHESKNMNKIYGFEGEVKHKYDDVVMNLFSEVFNWLPL AACIESKVLVVHGGLFHQDNVTLADIEKIDRNREPPESGLMSDLMWSDPQPFPGRGPS KRGIGLSFGPDVTKSFLAHNNLELLVRSHEVKDEGYLVEHDEKCITVFSAPNYCDQMG NKGAYIRFYRDLKPRFTQFTAVEHPPIRPMAYAGNMGGMFGL H257_08473 MRLNVSSMLECLQNQTASDNLYIQQSLDDYGDAVLEEDEFHETT NPIMDKTLLDAGAEGFRVLTNFTPEEFEVIWGNAESAMTSRWNDGRGRKSATSAKDAF FVTLTVMKHYQTWEKHAVDFGLKAPTLEKLVVKVVGVCSKLLYACFVSLHRMTTLRSK DKVFTHYPYALYATDVKFQPAHRPSGRFGEQKHYFSGKHKLYGFKIEASVSPEGLLVD MSPHEPGSVSDLTMFRSRLDQHTQALAKDDYDDTINDNGKLFREHPTSWAVLVDKGYI GVAASAHRFDMDRNKEVSSDRVVVENFFGRVCSLWKVSYATFVWGEKLYDDIQRFTFA LTNFHATLMPLRLEDNDHYRAVMARYKSMAAENTSKRAANQRRYLQRRAERFATEAAR ASRTSRGTFLSPMVSGRR H257_08474 MRKGQHKKNLTDSECNNLLQHPLTRCIPHGKIPMGAADEMAKMF GCTPATVELTGSKTICRPVHQRKKGQSGRKPLHYYLPERIQAIPQSRRYCFRSVAHAL GIPKSTLHAYFKRGVIAQYLSVLKTSLTESNKFIDPMYDTVHVDQNWFFMTRLQKKVI GATGEKIKQRSCKQSATLMASSERGTSPKWSQLSVAAASNAVKIQQDYARPHVPPTDA DVVAACKAEGWDMEVVFQPPNSPDLNVLDLGFSRVIQSLQVEKHSTSLEDIVVATEAT WAHVSPLMLNRNFLTLQRCLEEVMLNKGHDTDVDDDIVAALGLVQLLDL H257_08475 MQDNKNNIKNEIVTLLTAYLTRSHPMFKALCASAPLAQASKRWP SKLESTSKRSSRGEHYCAIPRRLICCEDYDGVFEFLPVDDARFRSKATFHRRPFGEYF VKDVTDIRGGAVATLQVLRHALPLG H257_08476 MTISRRVFCTFCDCSCGNAPFSSAYKWPLEFTRDFRSRGHRCLL QCCAARSPCACNLVMRVAGSFTDLEIERFMCFSRVEDARSQGLDMGPVDSTALRAMVA TTTLPRQEAHAIIPKPPLAECLFDVDVAAVASSLIVPSSSGTLYTFKSYLVMTEVEAQ VVVGWAQSPLFTITSAPPLLPTLSLFALCECRCSYFSTDYIRMNKSCGRKELRCFPHC CPDHMPYNSCNVPLHIRLLSASADWTVLARVELATSTLAIGDVLSLDALQTDTNWILG AKMDYYDDDIEDGDIYAFQSSHQIGERQVVMGWPYSWTSTASHTNREQMHVWTAYAME STGTDLRVQGVVSSAAFSVVSYRRRRTTTTTDSSS H257_08476 MTISRRVFCTFCDCSCGNAPFSSAYKWPLEFTRDFRSRGHRCLL QCCAARSPCACNLVMRVAGSFTDLEIERFMCFSRVEDARSQGLDMGPVDSTALRAMVA TTTLPRQEAHAIIPKPPLAECLFDVDVAAVASSLIVPSSSGTLYTFKSYLVMTEVEAQ VVVGWAQSPLFTITSAPPLLPTLSLFALCECRCSYFSTDYIRMNKSCGRKELRCFPHC CPDHMPYNSCNVPLHIRLLSASADWTVLARVELATSTLAIGDVLSLDALQTDTNWILG AKMDYYVRVVLLCIYISRRWIILLVYTPNWFIHIYRTTTLKTEISTLFNRPIKSANAK S H257_08477 MGTTISLAATSLHLWLSRPIVVTLNGVNMITKLKDCRKLYLANL SHGILTRYTWETFKVIVWRNFDIKSSLIQGEYPSRMRCHRQSMHTCLPQSPRTLQSIR HQLCHVTVQETPIPPAICVVNYRFHSCITCGRLVFQLLCCRREQPYPFSRMTTAMAAP PPVFASFRTSGAFRTLVCAKWSEIDVGKLVRYTRTIMGCSIE H257_08477 MGTTISLAATRPIVVTLNGVNMITKLKDCRKLYLANLSHGILTR YTWETFKVIVWRNFDIKSSLIQGEYPSRMRCHRQSMHTCLPQSPRTLQSIRHQLCHVT VQETPIPPAICVVNYRFHSCITCGRLVFQLLCCRREQPYPFSRMTTAMAAPPPVFASF RTSGAFRTLVCAKWSEIDVGKLVRYTRTIMGCSIE H257_08477 MGTTISLAATRPIVVTLNGVNMITKLKDCRKLYLANLSHGILTR YTWETFKVIVWRNFDIKSSLIQGEYPSRMRCHRQSMHTCLPQSPRTLQSIRHQLWYVK TTVDELHIVQLKSCDCTRNTDTPCNMCRQLSVSLLHHVWEASVSVVMLSSRTTISVFK DDYSNGSTTAGLCFLPYFRSLQNPCMC H257_08477 MGTTISLAATRPIVVTLNGVNMITKLKDCRKLYLANLSHGILTR YTWETFKVIVWRNFDIKSSLIQGEYPSRMRCHRQSMHTCLPQSPRTLQSIRHQLCHVT VQETPIPPAICVVNYRDDYSNGSTTAGLCFLPYFRSLQNPCMC H257_08477 MITKLKDCRKLYLANLSHGILTRYTWETFKVIVWRNFDIKSSLI QGEYPSRMRCHRQSMHTCLPQSPRTLQSIRHQLCHVTVQETPIPPAICVVNYRDDYSN GSTTAGLCFLPYFRSLQNPCMC H257_08478 MPSSSSWTDAMVGHRVFCTFGDCPCGNAPFDATYLWECLDGAPP STHLVSLGHRCFLQCCAAPKDVTTSRCTCNLVMRVAGRFTEDERAGFSCYSRVELAQQ RGLEIGQDASATLNKDVLVTTRGRQVRTFGALAEPPLSECLFDVDVAAVASRFVLDQC KSDNLFVFKSYLVLGGAIVGWAQSSPFTIAIKAPIAVKPPLSMSIPAPLLEFIAICDC SSPHFSPDYFRMNKAFGRKELRCFPHCCPHHMPYNSCNVPLHVRLVFGNPRLCIVAAR VELAISTLKLGDSVAMDALDHDSNWITGTTQNDENEVVYSFQASQQLGDRQVVMGWPY SWTSTASHTSREQLHVWTAYAFEHQSTPNALRVVAVWTSPAFSVGSYRRRRITDS H257_08478 MPSSSSWTDAMVGHRVFCTFGDCPCGNAPFDATYLWECLDGAPP STHLVSLGHRCFLQCCAAPKDVTTSRCTCNLVMRVAGRFTEDERAGFSCYSRVELAQQ RGLEIGQDASATLNKDVLVTTRGRQVRTFGALAEPPLSECLFDVDVAAVASRFVLDQC KSDNLFVFKSYLVLGGAIVGWAQSSPFTIAIKAPIAVKPPLSMSIPAPLLEFIAICDC SSPHFSPDYFRMNKAFGRKELRCFPHCCPHHMPYNSCNVPLHVRLVFGNPRLCIVAAR VELAISTLKLGDSVAMDALDHDSNWITGTTQVAGWLATIEIQKNTEKSALVGCIRHSF CRVERRK H257_08479 MRVAGQFTDHERRRFKCYSHVQVAQQRGLDVGPVAVAALSNMVV TSNARQAMSSSTLSTPPLHECFFDVDVAAAASLLDVHPSLDIILYTFKSYLVLDGAIV GWAQSSPFTITVDAPDAMIPPSSVTIGSEPVLSLFAFCDCTGRFFSSNYLRTNKSCGR KEMRCFPHCCPQHMPHNSCNVPLHVRLHSADSQLSLVARVELLTSTLRIGDVVSVEAI QHDTNWITGSEVAHDDTGAIYVFRATQQLGDRHVMMGWPYDWASTSSHASREHLHVWT AYALAIGGNHEGMPTTKMQVVGVLTSPAFTVVSYRRRRKNEN H257_08480 MAAPFTADPAAAAKYAFFSCAAGVVAVASAYEKASLLSFSPDVL LQHLLASKWSLLVLLNVFGLVLVRLFQAIVHRTLGSLAPNEWKYVRESAFSFVLLRCI LLFNAIDWTVLDSRPLLHLVLWMALLTIVHTLFTALRRRLDTIGATSLQIVRTTLLVL LVSLGLTARLSLYVFSFPSVLCIIALSECALLSVQWLQLGLQLFLAHTANRSHDAITA AAIASDERKASLATVSHMALDVVSLVATSCQYAWLRTLDSDTMFRVSFLDFVLMLHAR QAVTGIQRQYHQWARLHQILAACDSTYECVRKLLENDKHSDQSHGRSFATVLHSTDAD VHCVICLHRLTLAMQLPCGHTFHRHCLRQCLQTVQASPHKCAVCRHPIAIIAKTSFSG DDDSLTDAPSSFLWPVQSHRHSRAS H257_08480 MAAPFTADPAAAAKYAFFSCAAGVVAVASAYEKASLLSFSPDVL LQHLLASKWSLLVLLNVFGLVLVRLFQAIVHRTLGSLAPNEWKYVRESAFSFVLLRCI LLFNAIDWTVLDSRPLLHLVLWMALLTIVHTLFTALRRRLDTIGATSLQIVRTTLLVL LVSLGLTARLSLYVFSFPSVLCIIALSECALLSVQWLQLGLQLFLAHTANRSHDAITA AAIASDERKASLATVSHMALDVVSLVATSCQYAWLRTLDSDTMFRVSFLDFVLMLHAR QAVTGIQRQYHQWARLHQILAACDSTFATVLHSTDADVHCVICLHRLTLAMQLPCGHT FHRHCLRQCLQTVQASPHKCAVCRHPIAIIAKTSFSGDDDSLTDAPSSFLWPVQSHRH SRAS H257_08480 MAAPFTADPAAAAKYAFFSCAAGVVAVASAYEKASLLSFSPDVL LQHLLASKWSLLVLLNVFGLVLVRLFQAIVHRTLGSLAPNEWKYVRESAFSFVLLRCI LLFNAIDWTVLDSRPLLHLVLWMALLTIVHTLFTALRRRLDTIGATSLQIVRTTLLVL LVSLGLTARLSLYVFSFPSVLCIIALSECALLSVQWLQLGLQLFLAHTANRSHDAITA AAIASDERKASLATVSHMALDVVSLVATSCQYAWLRTLDSDTMFRVSFLDFVLMLHAR QAVTGIQRQYHQWARLHQILAACDSTFATVLHSTDADVHCVICLHRLTLAMQLPCGHT FHRHCLRQCLQVGWVVVAIGAGIYIFIYIYSLEDGKI H257_08481 MVAPVLPLLLLLLVSGLLPCEAAFWANSPPLFQNSQNVRSFTKD NFTAGVLMSDVVWVVDFYAPWCPHCKHFAPHFEGVADHYSDSTTVKYGAVDCTTEDKL CAEYEVMGYPQLRLFNLPAKGSKPLSMPFRHNGKKFDGVVTWVEEVFAEHNQTTGVDL PDFDLYKDIGHGGTAPPKKKHLRPDIATKQSSRDRRAAHLRDAGLALALSLETGMFLG SSVLAGTKYATAERWLQLLIARFPLAQNRQALATLLNRMQSQRSWALAEWKDLIATWK LDVFGTTYPRDLFSRQDMELCTTYTCGLWTLFHSLTVSQSSDALSRKDAIAVAFGIRD FVSNFFGCETCVMHFTGANPDSKLNAIGATGTPDQQLPLWLHAMHNSVNARTKHSQFP LPADCPTCYSPNNATSDLAVVAYLEQEYSVPESETKHMPTHGKESGVDQASMLYVHSI GQVEVGSVVLMSAFVMLAVYARYYTRGLAAMHRINHGESGKHM H257_08481 MVAPVLPLLLLLLVSGLLPCEAAFWANSPPLFQNSQNVRSFTKD NFTAGVLMSDVVWVVDFYAPWCPHCKHFAPHFEGVADHYSDSTTVKYGAVDCTTEDKL CAEYEVMGYPQLRLFNLPAKGSKPLSMPFRHNGKKFDGVVTWVEEVFAEHNQTTGVDL PDFDLYKDIGHGGTAPPKKKHLRPDIATKQSSRDRRAAHLRDAGLALALSLETGMFLG SSVLAGTKYATAERWLQLLIARFPLAQNRQALATLLNRMQSQRSWALAEWKDLIATWK LDVFGTTYPRDLFSRQDMELCTTYTCGLWTLFHSLTVSQSSDALSRKDAIAVAFGIRD FVSNFFGCETCVMHFTGANPDSKLNAIGATGTPDQQLPLWLHAMHNSVNARTKHSQFP LPAVHRPIQCYLYTLSVHPLLCARIVRPATPPITPQATSPSSRILSKSTPCRNPRPNI CLHMEKKAEWTKHPCCMCTASGRSKSVVSCSCLHL H257_08482 MSTTAATKQLADLSRKIFQRLPQNNIKSGNKIISAQLKGDKVAS WFNKPLVLGMGGYSEYYQKVNQYRLDTNATLKQQGRGPPKKGAGKRSQKKK H257_08483 MCHSCVCKIGPSMLASDLSCLKDEALKVVAAGADYLHLDVMDGH FVPNITWGAPVIKSLRQHTNAFFDVHMMVSEPEKWVDDIKDAGGDQFTFHLESTSNPE ALIRQIRAAGMKVGVALKPGTAVESVLPLVSLVDMVLVMTVEPGFGGQSFMADMMPKV SFLRERFPTLDIEVDGGLGPSTIDAAAKAGANMIVAGSSVFKAALPADVITLLRRSVE VHGNGKA H257_08484 MMLHRAVRRHATTLAARKTPVKVFAPHWGSAHLSPDDFIARVTS AGFDGIEMSLPLDTQERDGWTRRIRDAGLDLIAQQWETAMVPNFHEHKAALEVYLRNA AAASPLFINTHTGKDFYSFEQNSQLLELSEQIAQDTGVRIVHEIHRSRFSGHPMLVLP YLDSFPDLELNADLSHWCVACESLLDDQSELLQNVIFPRVRHIHARVGHAEGPQVTDF RAPEHADAYAAHLSWWDTIVKAGNTTTITPEFGPAPYTTTLPYTNQIVSDPWHLNVAM LNVLQSRYDHVN H257_08485 MTKHDSSVQRFNVKKVKLHRKKRTEMKNQKKVFVNAKGDKKTVG KPPASKKKVRRDTKRAKNNAKYEQDRLVASGLVTKEDIEKLQAASNEADDIAE H257_08486 MQSSLIHPSSLPPSTPSGFVSRLQVSNHRHHPLTRRILQLATTP VTADADGDDCFRSIAADDIEMTPPCTPPRNAKRPREDEPPRHRIPPSTRLSPRRAELV ASCGLPFWDEFDGPSAADVTMSLRAQDDAWPAIAAALRRRPQR H257_08487 MSKYALPTTDEMRQLHAADQSSVFQSNFIKLQVDQLLEEVTVDY TAFSSVNATLFALKEAMDAIPAQQVTSEALNLPGLVVRHHHKQVVLPFHPPARLDVVG SYSLRHGTLLDHTLTIDVAIQLPDACFVPKDFTNYRYHDKRSLYLGVLASHLQTATTT SSKSCKPAPWTRLSLVPFAGDASKPILRLHLAPIKKTQVVVQLIPVLSFQCKFPPSKL HPGKSNLRHDPPLDATPVYNNAVLEDMALLSHFRALHAVAAQSESFVQACILVKVWLR QRQPSSSAAASDSVNGFQATMLMLYLIRSGKLSYSTPVDAMVKIWLHFVATTDLTATP LSFPPLKPGQDEEHDENDHGVVVVPTPTGLSAFQQAFDVVFLDPSGRVNVCSRVSKSG WLEIQWLAQQSISILPKATADAFHQVFLQRHSFWARYDEYIWVPVSTTSTTSSTSSRL QTTTDLALPQEVQHVVAKALGDRVHRVRPLGILPTPSSSWLVTESPPTIHKVVLGLGL NPENAHRIVDKGPEADNKADAATFRAFWKSKAELRRFKDGSIVEAVVWDDVPTSQIVS QIVRTILPFHFDQSSVHGDAIVSSNDTWNDDAYSVAPLLRAWATLQSSVRSLDESVLP LKVSDLQLLAPGLRRTSAAPPTPHPLAGTPSPSSTPQGAKFVTTTLDPYIVVLQFEST SSWPSTPDGVANAKLGFYVQLAVALAKVGTCQVHSTGLDILVGGFPFRLVIVVTGREK INSLQIGALHAPMIHALSTQHAAYAPTVRLWMKWLDAHLATTVMPLESCELLVAAVFL TPAPPQSVLSGFTRVLHLVGRFDWTSQPLIVDLHQHMTEDDRRQVQKLFDASATSPST HPALYIAASYEGSKPSFWTRDVNEPVLAQRLVALAKATSVQWTHWLAQGAAPHGWQAC FAHVMDDYDVVFHLTSLGNKLVFPSSKGRFAIPFYKNLKHANPFDHLFVGLYPLEDVL NRMHLRFQHVALFFVNHDVVAVRWKPTAFLPSRFRVLHATHQLALSDNLLSNGEQQET VRGIPQVLTVLQEMKAMLHGLVDRVELK H257_08488 MSTSPDAVDAPMFVVSSCPPPDDLWMAQQTSTSSTTTVVTTHQP RVLFSRLTGQVVEPLPFADTLGSPDDVLRSLAHADAPFRANPTSNTNQMPLLRTV H257_08489 MAFDQVDALKHAVAVHVETQKSKHSISIAPLTSKRSQQVVYLNA GGPVWAVDWYQPPSTPSTSYIALSAHPTVSSANGDVVVPNHSYNERYDGGNVVQIWAV SAKSKQHSSSLVLSIPHNGAFAWSLKWAPHVSACLPTIGLGVLAAALGDGTLHLYRML HDQSYSMVGTYQDPHSSLLSISWSRTHPYMFLTGRVDGAIHMWNVQEALEASINDLVT TPSSLAPHRRYEDADSTSKQPRHHWGCGWVAVREIAWSAHDPHLFCTMGNDTTLRIWD IREPRACLRAHRLVNFTFGLALLWLNPTTIFVSTDQGSIFGVDPLTGMQRLLLAHPHL DSPVWSCAYLQTKVGNIPVMLSACASGSILQTRLDRLSTKRSCPTLFAQLKTISPQHL SVSFEKIARKANAGSGKRTFPDRNLAIHRVLFSPDGSQMMWAGVTGLVGIAPYEYEVP RVASGKTIGRPRKYDINSKEGRRKKPLKYAKDIKEEVDDEDSSTSSQSDDGDLDLSDG NSSGGEDRVQVQGKKRAARVVVSTRKKPRVSYVEPEDIDTFAQSISEDGDGEEAPLLT SKIQAITPSATAPPKRGRPKKLVPMKKRGGVMTGPLDAHIVKQGVPEVVAAAEVTDAT PLKTRRRVAMKALPPSPSIDLTGKEPADGKSAKRAQTTKALAAGAATPSRGKSTGKGA AVAPRGKSTGKRAAVAKQLAIPAAKVVAQPLAPEVDAIGEDAKQVPAKSTTKAVKATK KAPVAPKLSGKHKAKPAVATKLTKPRGRANPPTPTTASPSSKKMVQTTLQLVKKEPKP TAAVATTTELDSATSAATKLPCFTFAVGQVVQVAARTNPGINKLGGAGFVKAIYPNDG TVDVKYVLGGQERGVPVAYVTAEVTPTNDMPVKRQRRC H257_08489 MIYACKTVADSTSKQPRHHWGCGWVAVREIAWSAHDPHLFCTMG NDTTLRIWDIREPRACLRAHRLVNFTFGLALLWLNPTTIFVSTDQGSIFGVDPLTGMQ RLLLAHPHLDSPVWSCAYLQTKVGNIPVMLSACASGSILQTRLDRLSTKRSCPTLFAQ LKTISPQHLSVSFEKIARKANAGSGKRTFPDRNLAIHRVLFSPDGSQMMWAGVTGLVG IAPYEYEVPRVASGKTIGRPRKYDINSKEGRRKKPLKYAKDIKEEVDDEDSSTSSQSD DGDLDLSDGNSSGGEDRVQVQGKKRAARVVVSTRKKPRVSYVEPEDIDTFAQSISEDG DGEEAPLLTSKIQAITPSATAPPKRGRPKKLVPMKKRGGVMTGPLDAHIVKQGVPEVV AAAEVTDATPLKTRRRVAMKALPPSPSIDLTGKEPADGKSAKRAQTTKALAAGAATPS RGKSTGKGAAVAPRGKSTGKRAAVAKQLAIPAAKVVAQPLAPEVDAIGEDAKQVPAKS TTKAVKATKKAPVAPKLSGKHKAKPAVATKLTKPRGRANPPTPTTASPSSKKMVQTTL QLVKKEPKPTAAVATTTELDSATSAATKLPCFTFAVGQVVQVAARTNPGINKLGGAGF VKAIYPNDGTVDVKYVLGGQERGVPVAYVTAEVTPTNDMPVKRQRRC H257_08490 MQMGVAPLDAAHAEPSSVSITSRPWLRRCIFSFVVIKHIVSALY LIAQIAIICNMDAAEKLATRVIAIPSTTVAYSVLAGLHMTLLVQHCRHPRQTSRSSPD VITSSRLTRATLFRWLGSDGFMILYNVIELTCQSYEAFAISKQLVNHAIVATYVGFVA LHAMVTPMFFATRHTATKIVMVNWTSSMCSFVTSSVVHIFGMIIPLARYVWLDPNLGR NPIFLVRGVKYARYNLVTSVGDWVAKCGIQLGSILSLWRLVHSLRIASKAQRRGSPLT KQPSMQPPRPLLAFFRLNLYVRLYIVGSSLWGAVLLVSLAHTTWYRQPCPTTCQSFTT PLWDTTCQCLYAHVNCATLHVDDVDKALDASLLGQILFAILISRCDLPRGVRNATLHQ FPALYYFGVKFTNTTSWTDQASLPPVVNVVAFRYMPLRTLPSILLENLPSTLTSMTVS NLPLRDAFELPASWISVTRLCLTNVSLSKLSSDVLQSMDVNKLFLYHNALTTLPTRLQ HMPKLSIVDVSGNEFTKAPWDLLNDQRRTLLLCDNPLDDPRVPDTIDETTRQAYIKTF QASCASPCAPQCYPHLVGDHECQLSCFNAACNYDDGDCDEFGFDRLE H257_08491 MVVLTASDTAYAIAKATKGFDDLVSFSQVYVDQDKKKYSSSAQP TPTSSFAIHHAPMIGTLDSLTANYNDAVHVCTEHTTLLCTLSPSISVQHSVLKSPIPF VRDRDAVFSQVQRSYVDSKGRQCYAWYRTSLAAVAAPVTACIRATVNSWGSVLVQVTP HTLTQFTVMDVDWSGNVSSWVAARLASKLVAPLTLVGHRASSAPSSATISREPPKVIN NARRCAMCRVRPSMGKRDLVVCVACSHVVCQACSDLSVTSPRCLACLLGMRKRNVSVI SSTEPLTASSSSSSSMVSTDVDCVTPRTLPACKSTMSHRNRRKLWSSKQPSAVTDLGY VAELYPGAFEADLHCPIWWQVC H257_08492 MVLKPTRLPPNKKTIEEVVLTRKITTANSGTTRQIQSQQQPHHH HPDTTSTRQPRLVQSAATATTSSPSLVHVDAFPLDRPPPLLTTHDVQHLQTHLNRLNE RLQHLEATNALHEPLQHDLAQVTLIQPPVRRDADPKLTAKVTHAVKEVHAVVHRLDRV FFDITSAENKRSHAATVLVAAVRGHLVRKRYAAAMQFLGTWRLRHAKTFLDCIVRFSM RTFRVDVGVDDMLERGRMRKLRLCVDEMRDMTMLKRPGRRAQTLQVDTRYNAKRRVLL DEMFGSWKSVAIGPRSRKRMVEAYRSRLFKCRAYLESLLRYDVITPDMVKAELQKDSI RSIRSQSVYHRYRMYFRLWVTYIWKPMKVNAAKAHAFCREKTVLKVGAAWVAHFRTFQ LERELQKVSDRRTFDRFPQYYNIRRIDFHYHRTMQRKHFIAWTSLHRRCKLVQKRFEK ASLRMLLQLVRAWRLRATYQHRLRDATVREWNAYCVRVFKVPFQAWVLYILQRKQRHV TQDNLIRAFHRRQHRHTTYSFFRIWKHQTLFGHVEGIHSRVTLIQTLEQQKAYCLSLE DNAVGYQRVIATLEASLADEKGRLQAKEAELEALHAHTQATRFAMHNAEQQVARTQSL LNAVRDIHPGTIRRIERMYSDESILAGDLKDVIHLHILRTSEAQQAALAAYEQNVLQT HMHADNADDQLLLRRVKWVLNRLRLNYDTVADMLLATPQDVQALSSQMHQLHALYEFL RSGDTTSLVEENIPLTKELHVLEASLAVPGEDRGGMVLESPALLATDDQWSKFVQDVA QKFPPKRFVPIQDRLVSYALNRVEEKRLAQWETQKPTIYSRVQGQAKAAGGDGS H257_08493 MGWRGHLAVVVAWCCGLLLAEASPILLSVDINVQGQHIPLDFHQ GQEPIDVIERFRADHALPMDFQQRALEAVCESIPCTRASPIIFATSIHGEDNEFVGEF QLMQGDEPADAVASFCRQHNIPRPFQLNMLQSICNQPNIVCARSDALLYRQVITDETG SVLGTLEIFDSQEPVDAIFAFLQPMLATSTSVEHMLRQLLQVVCQPTVATCSRTIPLL FRHPIVGPDGTDYGTLEVYYGQEPADAIFSFAYKYDQGIHGAAAASTSPSSMAMDATM QRNLLATVCNDPIVSRQCTRDRAIVFSSPIQLETGPADDEHPILTLYAGDEVADVLFH FGRQHNLTFPMRSQLFGMLCNRPPITCTRGHAVVYARTFAIETRAEPLGPLELHEGDE AADRVFEFAERFNLSSAVRDQILNTVCVDIKAAINVTCSRFAPVVFQVPITKNASEPP VGMLQILQGEEPVDAIFRFGHAHDLGPDAQAYMLPGVCEASQLPCTRTRSLRHVAVRN HDGIPFYADEEPADVVYWYGSSRNWTFLQRQEWLAELCRIQRAGAPLLNCSRAEARLF YLPVMETADKEIGTLEVLEGQEPIDQVYAFLEKHDLFQTAPVNESLANITCRHVPCSR LRPRRILFSMQATYMGLKHTIQLVQPEEDWVCMESYGSKQCQHYVQVRSIEYCAKYMR GWTECGDVMGNALRQSLTYYEEELWKKSNGKDLYAKLGLVKGATSDEIEAAYHTLVLR FNNETEPQKYEKLRAAYDTLHDPEKKYYYDLPCMKFFGLCGKRQPDGGMTISTDN H257_08494 MPLLTPEILIAVSGSLSVCACLGMVVCFFFFEESRRCGRRLLFC LHLTDLVGSLAWLLTLLPCIAAPSLHSATPLLCFLQGYALLFCSLSSYVWTSCFAFHL YQIMWKQNKTPEMYEVRYLLLAWGLPSLIVMAFGVQHACGFVLVGFGGLPWCWIRSWS RGQWSADGFILQMVFFYTPLACAALFNLTMFVFLASKLGSASAVMSTTMEDKVRRRMM AYIGVFLLTSVWGALGRTFQVISPGHELSPVFLTLTALFSPLQGLLNCIVYGFNSTLR SRLWSSARHRLKRTDQTVPPIVGDQRRPLLIRNQVKAHR H257_08494 MPLLTPEILIAVSGSLSVCACLGMVVCFFFFEESRRCGRRLLFC LHLTDLVGSLAWLLTLLPCIAAPSLHSATPLLCFLQGYALLFCSLSSYVWTSCFAFHL YQIMWKQNKTPEMYEVRYLLLAWGLPSLIVMAFGVQHACGFVLVGFGGLPWCWIRSWS RGQWSADGFILQMVFFYTPLACAALFNLTMFVFLASKLGSASAVMSTTMEDKVRRRMM AYIGVFLLTSVWGALGRTFQVGADLIVG H257_08494 MPLLTPEILIAVSGSLSVCACLGMVVCFFFFEESRRCGRRLLFC LHLTDLVGSLAWLLTLLPCIAAPSLHSATPLLCFLQGYALLFCSLSSYVWTSCFAFHL YQIMWKQNKTPEMYEVRYLLLAWGLPSLIVMAFGVQHACGFVLVGFGGLPWCWIRSWS RGQWSADGFILQMVFFYTPLACAALFNLTMFVFLASKLGSASAVMSTTMEDKVRRRMM AYIGVFLLTSVWGALGRTFQVGADLIVG H257_08495 MEPPQLRRVFNDKEGIMLLRQVSADRPFQAKKGAVMKAWVSIAN QLAGHEDFGRPSFDAKKALNRFGASLRRHGNREVGQCVGLGHSIKVVPNV H257_08496 MRRLRLVRRMMEARVEVDVVEGRVLPPGSPGRVLTWHPVVGGCG TRWLALVAVNARPHNVRAGIRGDLLFGALFLPPAVQATPPYDQEKQENDSTANAERDG RPIGFGNRLDHQLQVAVCHEVNVRRLGDVFLGVVGQHGGSSYECKLARV H257_08497 MSDEEEKDAAAVAAVLPSTTRGVQPRQPLSIHIHDTSNSLSRRA TISTVSSRIPVLRCTSPPKMTLHPQSKQLSFKEQDEKLVDSTTTESAVLFEILQGADP VSVECLEIGRSITSDVVKPSLWKLKSLDTLSSLTNVVTLDVSGHSIYTMDGLDLFVHL QHLNLARNNIKVLKLPKPCVLETLDVSGNYISHIPKSIQQLTRLQCLNLSGNGLAVLK QVEILAPLINLHTLHLCANPLSVLQSYRDYVVFTLLALSTLDGQPITVDQRERSRRRF TGPLPHDEIRRESDRLIHQDQLELEEKQDLLEAENARLKTELHVKSQLLDNKSKEWSS ATHQLLQVEQELAMIHIDRNLPDSPTHQRYSALHDHVNHHLGHPNTTHKDGTCADQHS SALSSTPAGAFDGNALRLVHQVSVLRQNQDSMRAERSDVAAEATAIRNEIVLLDNEIS VLKQALVGEQHTHTSSMEQTRDDPTYFYDDGRARLEELQTQIAFADVEASELEQRLVQ KTKEMLVADLRVSSATAPPRHPRDGRGHERQRMVGVFDKEISALSYKLERMTTQKAEW VDELHKLQTSTQLPVLRLKQVEKHCPTSPRDALRKTFRKCEDTAAIPPETSLFTMLVS EKLAHLQALQQRRLDLVDMLMTREATLQALEDHLARIDKELADIGHTPTSDPTHNNNQ SPSTANPFALTSPQAILDHLKQHVLAHVSTELSKKDDQPSSSSPNASPSRRSPLHRNS AEKPTNPYYAYVPNYHIVPGVDLMSANYTLLSSATGPFAFDVHTRLLLACKKLQQTER TTLIDATTYMDMDPQSTTNRLASRLEVTLIAATNLPRTRRLHSTCDPYVLLHLEHQNI ESGQWERYHPTNAYRSNTKPNTLYPLWEEPFVFTPIESMSTRVCITVMDDKKTADRHE KLGDTSIELRSLLDQKRRVAWYPLSPAQSKDRPQPAVRLRLRFLYNKADRLRRAVDRL VAEFTAERHDLPWFLTLSTDPITSGESTQVPSEPSEPPFETSTSTKPPFRLDFKQDAT IPSSPFTLSSQQEVLASHGPTRTGRPKLEQPMDAYREIFQARKMYPKVKAKRIVGCFD RDSPYHPHRVADVVKAMPVNFKIFRQPSQAPRDTTQAIPDRYFGLTTDKSERLKQMFG KMNNDSRKYHGHAVGFQWHKAHLTKS H257_08497 MSDEEEKDAAAVAAVLPSTTRGVQPRQPLSIHIHDTSNSLSRRA TISTVSSRIPVLRCTSPPKMTLHPQSKQLSFKEQDEKLVDSTTTESAVLFEILQGADP VSVECLEIGRSITSDVVKPSLWKLKSLDTLSSLTNVVTLDVSGHSIYTMDGLDLFVHL QHLNLARNNIKVLKLPKPCVLETLDVSGNYISHIPKSIQQLTRLQCLNLSGNGLAVLK QVEILAPLINLHTLHLCANPLSVLQSYRDYVVFTLLALSTLDGQPITVDQRERSRRRF TGPLPHDEIRRESDRLIHQDQLELEEKQDLLEAENARLKTELHVKSQLLDNKSKEWSS ATHQLLQVEQELAMIHIDRNLPDSPTHQRYSALHDHVNHHLGHPNTTHKDGTCADQHS SALSSTPAGAFDGNALRLVHQVSVLRQNQDSMRAERSDVAAEATAIRNEIVLLDNEIS VLKQALVGEQHTHTSSMEQTRDDPTYFYDDGRARLEELQTQIAFADVEASELEQRLVQ KTKEMLVADLRVSSATAPPRHPRDGRGHERQRMVGVFDKEISALSYKLERMTTQKAEW VDELHKLQTSTQLPVLRLKQVEKHCPTSPRDALRKTFRKCEDTAAIPPETSLFTMLVS EKLAHLQALQQRRLDLVDMLMTREATLQALEDHLARIDKELADIGHTPTSDPTHNNNQ SPSTANPFALTSPQAILDHLKQHVLAHVSTELSKKDDQPSSSSPNASPSRRSPLHRNS AEKPTNPYYAYVPNYHIVPGVDLMSANYTLLSSATGPFAFDVHTRLLLACKKLQQTER TTLIDATTYMDMDPQSTTNRLASRLEVTLIAATNLPRTRRLHSTCDPYVLLHLEHQNI ESGQWERYHPTNAYRSNTKPNTLYPLWEEPFVFTPIESMSTRVCITVMDDKKTADRHE KLGDTSIELRSLLDQKRRVAWYPLSPAQSKDRPQPAVRLRLRFLYNKADRLRRAVDRL VAEFTAERHDLPWFLTLSTDPITSGESTQVPSEPSEPPFETSTSTKPPFRLDFKQDAT IPSSPFTLSSQQEVLASHGPTRTGRPKLEQPMDAYREIFQARKMYPKVKAKRIDALTE TVHTIRTAWPMSSKLCQLTLRSSDNHHRLLGTQLKPFPTDISALQQINRRDSNKCLGK H257_08498 MGVDGWALRFVQRHGRALVVLLWACMAVIQIYQVAVLMRWHPRF GELVTSKVADLFSRDWLAAITSTPNMTLPADTQDAEEDVCLSMKKHSHAKTADCGHME ICKEFRVEDKMASTFADVVWAPGVSKLFGVDTVHQLLLTECNTTRQVYFEYVAPLFIP QAIGLELTQPIDQGFVENVLFRRRATFLDAMASPSPSDMSFERHEVAATTATTTTATT TTSSSLNNIPIGLFSKEPVHLDVEEDVYVSQSILLSLLLSPSANISDDHRPILQDTFV SHTKLMLEALVHRIATSKDDAQSTLDSLSHLVYASLYGGLVALLQHGAASNDDGGFST HLALECLPLLQNVNTSLNTIALAFLSTDRADLSAPPPPVHLHKKRPHSDDDASSSHGN SIMTARIPLKRQRTLYREPDADRQQLDFAHAIKAMLENVHSAITGSSTDVINPTSLLN WELSDSTSTSLMAYFDLSFQAANELVRVSRCHSFASVLVFVAENPTQLPLLQIEMETD CIKTSLALLVELQMTIATVQTPSTDTPVQILHADVQQKLMRWKGAMAVLLSLGWTVST SGWYHLEYIGRESSRQSLLDAADVLAQYILLCDQRHHQLTATHHMLQLEAMASTPSKP GGSSAAPPLEFHCPITHHVYTTSADLADHAAQLEPWLKCMVYCRMKKLFWYSPYSAQP QGNLHYPSYTSCRFEVQASSDVLWVRHPFYLYHACLGHLIVAPSKDENDDDADFLYGL PCVQGHVQFAHARVFPSEVPVVHSLVSCTLDDVDATATALSHEFLHSLVHNEGESDCM WFTQRLGPVPTRSIRRMQVERKVVGLALVVSGLLAAAASNADHPAWPRLRKEFQSFHQ WLHQQSQHPSTESLENVELWASFLLQVFADGPGFDLWAIHTQEEFVLHQVFGFLKRMH GTKLSENLRQRVLRLRRAAWLEMIGLVVLERHLQTGRPVLPTCQHIAVDALTMLFQQR PASSIRYGKWWSKLLQATHTWTRDAGTIADSLYRLWRQPKSIHSVEPCPAAAGAVASL FDAAEMSSEAWTTALFLTLECLQTQSVVELILNQFHQWLMQANDDSVRRGHRLVCLCL ERLPPCSPEDLFPCLSTVCTLVCTQLHLFSHGTQHHLVHGLQTMLPRIQPMPILLEFI TVLAAMHQDPTLVTVEPYLVQNQARLELALKPTLSVYAPTLPAVEAAAAAVEAWSMQS TVASWLSSAAANAMSSSTHVQQTQAQLLGLGSLILFAQDCSKISIGHTVRMTSPSVVV PVTDGIVLSVHRDKTLRATCRCLFRSRSAATPFYVVHVTAGLLHFPCKHPPTTLGLDP SMLSLVEQVATSASCLAMSNWFFKVWLRSMDQQQQHAPLSNDKLAYLLGIAMHPLTFP LPPHALVSPDTAIQPRTYWRVEQMYRHVGYELGRLHLLETLYPGHTPAGLSPSQVLTR DHLKHIAAIAPSVGSTQELAKLIDMGYSPTLSAYVLRYYQHNLQGAIQWLVNDQNYDD IRHIGLQSSTVDLLLPSPHSMTSHYRLNAQVKETLLLSCSTSADMLSALRQVPRESFV PRLYAKEVEDDHAIAHPLGYTLPSMYQCLQVLEALNLEPGVRVLDIGTGSGYFVTLLA TLMGNKATISSWEQDADRLAYAYIYMAQSVQDGHNIVYPNFVESIRFEVCNAFLTSQC DDAVFDRVHVGASCPRESVDLLLQYVALHGILVVPIDGSLYRIHKNQPTNDWLQLPVE PLGAYSIESLTPPTLDVLASQLGQDHTRSFGLHGFEERTYVHKPRVLVMDEPDPTETQ PPRMAANMLFQVDLADTTSSCPQLVQQGRSHTGLVVTRVGPMPVQLKELSMGVKISDA SLRVSNRGSFGTACANVCVRGGGVWFYEVRIGTSKVIQIGWILPGFDPNPESGLGVGD DQFSYAYDGRRKKKWHHGISDDYWKQSCKAGDVVGCLLDLDQGNMSFMLNGTSLGVAY SDLRREFPVGGYSPACSMDGGESVWFNFGVKAFLYPPSHPFCPLAEFAYLPLLDLPSS PDSTLFVAKHIHDDAVAATPLTDPTTTILLGRNKIQPLDSNLSWFYPRQGRHTRHMLS QDLQHDVRIALARSFLASHTSKMAPADLIAYLSVASEHPQVVDSLNVTAQDIPWLVDT MQRQLETAADAMVIEESRHPMSHRLMSLTPTVIVALKTLRTNLLDVDTAMEKGNAKGA MVHLQRATETLVSRTEREPGDHFQRCRAQLEATYQRLRSAASISNDLITLQQPQHRLP ILDKVALLKQALELFESLVSFPSQVPVDAVHGKYVGLSEAQSLRIEFDPRTVRTNWKL LFFKDAACTQRWPYVLSRDGFAPFIVPASHFYYTFQPDTTKTHFVPEWGYAFRVTPYM FHPQFARPTWSLWTAVQTHKSLQDMLITTDGAGSTWLQSILRYIRTPAAPEKVRVLST LVLCLHRNRTRLPAALQPHLASFLWFVQPELNFLYRKSVKWRLHSNPYFQTLLQAVTS VSSVTEHRIQRVGAHVFGYHAEMHRWHQELIETVKGLDVMLPATWQPIIAKQFNPLTM LKQLFKQFEASIKTDVQRWHKNRRELWIRQVDQAYHPALLSQCLCVFNETLLPVCVAS RECGWATERNKWLRHVQAACTVGDVAMQLMVLAGFIDVESHTSSWGSQSYDWRKAVMG LTKTDLAEDAQLHVSGQWTDGHVVADNDLSASVAIDRMFEDSTKLFRVRQAENVHVIA AFEYKTSLIRKINVRLRKTYSNNSTPVTAGMVFVFPVYPTPEDMLKVGHYDYFTSDKY QSYVLNMRRRGLLPLPGDPVAYFDVTSPHYCQGNAVTVVPDFAVLGQYVVVKLLRCYN IRVMEDLADVIKRQEDHSSAYLNVNSAYLWCYKPHEEWLLYPSSIEYMIESKFQAYCQ GEMSCHGEFVVGGEDVVLDYQHMVQYTQTALFASPTTCRIKRVQVTMDMKIKLTAHQC MEVEHMAFFGLQEMAAEEAYNTWTHTFHSLAMARQPHDAFQTSGTQLYRVLSEKSPVH SFPSLSASISTYVLYGEIVAITCRHGRWGCLGHAEAWCLIDSHQPSFFPISQARAAAQ GTVPWLPDQPVHCVAPNGEAYSGVFQIGASRQVDEELVHMVNRLRETSGRCVTNLMVA DIYASLHGKHKDYPYLSIFPIPAITGRLDVLRAINMRLAQCLMFVGIGLPSAQPDWLG GIVLQAKSCLFLETKMHVWKKIWSESYSVERSSEVVSINRHLAFKARESLRETAHVLQ PDLCVYYPTVFVQLWRELHCTPPGLLRRSDGKSWFTKFKGEPSIDDGGLYRETTATTC YELNNGLLPLFLPCSNGKHGQGLNQNTLVPNTSCTQWHECCEWLHFVGVLMGIATQNL EEVFPIQLAAPIWKLLVSEILTPSDFAQFDVATGQTLRYLRLTAFDSDAMFASIFPDQ SFTCINEQDQLVELIPNGANVRVTLANRFEYADALESYRLHQFDEAVACIRNGLASIV QVDLLPMFTWAELELLVCGRPTLNLALLRKKTEYSPDMDMQDTLVERFWRTLAGFTSD EQQLFLQFVWGRSRLPFSEVDFGSYTFKLVRHMSPSNPDEYLPVAHTCFFQLELPNYS SDAIMRTKLLYAMNHCTSIVDEEQAAHQLEIALWDS H257_08499 MTKWLGSLPVAVSESGFSYMLTPWTRVMAPALARHANDELVARY LTPQFPHPYTMDHAFGYISYATTTTSEDILAIVRVNPATLEQEAIGSIGMIYSNDDDT AKFGYWLGQAHWGKGVASAAVHSFLTGVISNDNDRRGVKLVEASVYAPNAASQRVLEK NGFQVLRVDPKLPYRDGGVMEAKILVKRLGDGLVKLP H257_08500 MSYVGGVLNRLRHGQGTYTFPGGYYKYTGDWALGKMHGHGIFFL GDGSTYEGTFVHGEMQGMGLRRWPDGTTYSGEFCRGEMHGEGTFIASSGKRYEGSWRD NQHHGYGELTEPDQSLYEGHFDQHKPHGIGRKTWPDKSTYEGEWRHGKCHGHGRWVDL DGKTSYEGDWVDGSRHGVGKGVWPTGLVYDGSWACNSREKVPTCLVVTRIGPDGLPDA TPLVFRDAVVPEETNGVGDTMPGSSPVVVVIAPPIKLLPQFQVACCVDKATTSSNNPS PEGSTSSDVVVGPTVVVEESRHGIRMSLFQGKSPVDAAREAAAAAAAFDADSKDKRKK STVVEAVHGESATSAAPVPLLMAFEDPTTQANVVEMIVWTLNGVATVPSIQLPMEAAT TCGEYFLQFDSIIDTQGMPSAYFGFTIVRGDADLSFGAAGDKGKKDALKKK H257_08501 MKKNDDPMPAEWAHHLTFALNGRKVVVDGNTLPRFNDLRLIDYI RDHAGLTGTKLACGEGGCGACTVVLCHRVTPSSPLVHRSVNACLIPLASIDGMAVLTV EGVGSTKHRLHPIQSKMVDNYSMQCGYCTPGWVMNMYELLHTSDSSSLTKDTIENHFD GNLCRCTGYRPILKAMHSFGIDGPAPQLEYESSYDDVPFVDADEPEFEFVDRVRCDKS EATRLLKQCATSCDACPHQHHHHDAVEVEDLCIPPLYDRAVHDPEVPSFIASYVPIPL CFHQPQASEAVVWWYKVLTLEQLSAVRAKHKDESVMVVGGLTSRGVSKYFNQTAPYNR PVLSSVLVDITSIPALTAIVPVSDTNCLSVGAAVSLTALLAALRQTASDNPYLETLAH HISKVANHQVRNAATWAGNLSLARAFPSFPSDLVTGLWGYGASVTVLVASDSRAMSID AFLAIPVTQNPLLVSLELPLYPMSPLRTFKCHKVAQRKENSHAHVNAAMMIVRNAQQV CTQARIVLNGIGTQVIRCHLTEKALINQLVTDPLTLDASLGALHADMPSQQQPLDPFK WALVQSCWYKTMLEVVPTLSSSRLRSGKFELPRHLSSGRQVIPSVLPDADSTAPVGLG IPKLSSKLLATGEAKYVADVPPIPGMLYGALVFSTQALANLVQLDTLRARQVAGVVDV VTAADIPGTNAIGDGLEPLFVPLQGQVLYVGMALGLVLATSASVAQHAAGLVVAEYTP LQDDPFWTTDAPITTVAMARAAGTLVPSTPDQPNPIPMPGNDDHIDEKIATAPRQLQG TVSFGSQRHFYMEPQASTVYPDEDRCYRVETSTQNPTGVQEAVAGVLGVSLHAVDVKM KRAGGGFGGKLTRCNVNATAAAIAAHKHAVAVQVVNDRNTDFRNVAGRNALVGEYHVG FDDDGRLLALDLQFHFAMGAYSGGDNNGECLMAILWSDGAYHVSSFRSRGFMYLSNTP TCTSVRAPGVPSSVMLMEMVVEHIAHTLQLPLEWVQTRNFVRDHHKTPYGQELKNVTL DRIWQGLHASADVARRKEAAVYFNSQNKWKKRGLAVTPVKYGIAISGLKYGASVSIFH GDGTVLVTHGGCEIGQGIDTKAAQMAAFMLGIPLAKIKLQPTSTGLIPNSDATGGSST SESIARSVQAACQTLITRLSSVRTKLPKDATWGQVVAAAHADGVQLFASEQPNVVPPP LQVFDYFVYAAACSEVEVDILTGEVNVLRTDIMYDCGKSFNPVIDIGQIEGAFVMALG LFFQESVEYDAAGRLLTSGTWEYKVPSHKDIPEVLNVTLLDKSDNPRGVMSSKAVGEP PFQLVNSVYFALKNALQHSRLERNVTEFFQLDMPATVDRRLLAAKVQPAELQL H257_08501 MVVGGLTSRGVSKYFNQTAPYNRPVLSSVLVDITSIPALTAIVP VSDTNCLSVGAAVSLTALLAALRQTASDNPYLETLAHHISKVANHQVRNAATWAGNLS LARAFPSFPSDLVTGLWGYGASVTVLVASDSRAMSIDAFLAIPVTQNPLLVSLELPLY PMSPLRTFKCHKVAQRKENSHAHVNAAMMIVRNAQQVCTQARIVLNGIGTQVIRCHLT EKALINQLVTDPLTLDASLGALHADMPSQQQPLDPFKWALVQSCWYKTMLEVVPTLSS SRLRSGKFELPRHLSSGRQVIPSVLPDADSTAPVGLGIPKLSSKLLATGEAKYVADVP PIPGMLYGALVFSTQALANLVQLDTLRARQVAGVVDVVTAADIPGTNAIGDGLEPLFV PLQGQVLYVGMALGLVLATSASVAQHAAGLVVAEYTPLQDDPFWTTDAPITTVAMARA AGTLVPSTPDQPNPIPMPGNDDHIDEKIATAPRQLQGTVSFGSQRHFYMEPQASTVYP DEDRCYRVETSTQNPTGVQEAVAGVLGVSLHAVDVKMKRAGGGFGGKLTRCNVNATAA AIAAHKHAVAVQVVNDRNTDFRNVAGRNALVGEYHVGFDDDGRLLALDLQFHFAMGAY SGGDNNGECLMAILWSDGAYHVSSFRSRGFMYLSNTPTCTSVRAPGVPSSVMLMEMVV EHIAHTLQLPLEWVQTRNFVRDHHKTPYGQELKNVTLDRIWQGLHASADVARRKEAAV YFNSQNKWKKRGLAVTPVKYGIAISGLKYGASVSIFHGDGTVLVTHGGCEIGQGIDTK AAQMAAFMLGIPLAKIKLQPTSTGLIPNSDATGGSSTSESIARSVQAACQTLITRLSS VRTKLPKDATWGQVVAAAHADGVQLFASEQPNVVPPPLQVFDYFVYAAACSEVEVDIL TGEVNVLRTDIMYDCGKSFNPVIDIGQIEGAFVMALGLFFQESVEYDAAGRLLTSGTW EYKVPSHKDIPEVLNVTLLDKSDNPRGVMSSKAVGEPPFQLVNSVYFALKNALQHSRL ERNVTEFFQLDMPATVDRRLLAAKVQPAELQL H257_08501 MKKNDDPMPAEWAHHLTFALNGRKVVVDGNTLPRFNDLRLIDYI RDHAGLTGTKLACGEGGCGACTVVLCHRVTPSSPLVHRSVNACLIPLASIDGMAVLTV EGVGSTKHRLHPIQSKMVDNYSMQCGYCTPGWVMNMYELLHTSDSSSLTKDTIENHFD GNLCRCTGYRPILKAMHSFGIDGPAPQLEYESSYDDVPFVDADEPEFEFVDRVRCDKS EATRLLKQCATSCDACPHQHHHHDAVEVEDLCIPPLYDRAVHDPEVPSFIASYVPIPL CFHQPQASEAVVWWYKVLTLEQLSAVRAKHKDESVMVVGGLTSRGVSKYFNQTAPYNR PVLSSVLVDITSIPALTAIVPVSDTNCLSVGAAVSLTALLAALRQTASDNPYLETLAH HISKVANHQVRNAATWAGNLSLARAFPSFPSDLVTGLWGYGASVTVLVASDSRAMSID AFLAIPVTQNPLLVSLELPLYPMSPLRTFKCHKVAQRKENSHAHVNAAMMIVRNAQQV CTQARIVLNGIGTQVIRCHLTEKALINQLVTDPLTLDASLGALHADMPSQQQPLDPFK WALVQSCWYKTMLEVVPTLSSSRLRSGKFELPRHLSSGRQVIPSVLPDADSTAPVGLG IPKLSSKLLATGEAKYVADVPPIPGMLYGALVFSTQALANLVQLDTLRARQVAGVVDV VTAADIPGTNAIGDGLEPLFVPLQGQVLYVGMALGLVLATSASVAQHAAGLVVAEYTP LQDDPFWTTDAPITTVAMARAAGTLVPSTPDQPNPIPMPGNDDHIDEKIATAPRQLQG TVSFGSQRHFYMEPQASTVYPDEDRCYRVETSTQNPTGVQEAVAGVLGVSLHAVDVKM KRAGGGFGGKLTRCNVNATAAAIAAHKHAVAVQVVNDRNTDFRNVAGRNALVGEYHVG FDDDGRLLALDLQFHFAMGAYSGGDNNGECLMAILWSDGAYHVSSFRSRGFMYLSNTP TCTSVRAPGVPSSVMLMEMVVEHIAHTLQLPLEWVQTRNFVRDHHKTPYGQELKNVTL DRIWQGLHASADVARRKEAAVYFNSQNKWKKRGLAVTPVKYGIAISGLKYGASVSIFH GDGTVLVTHGGCEIGQGIDTKAAQMAAFMLGIPLAKIKLQPTSTGLIPNSDATGGSST SESIARSVQAACQTLITRLSSVRTKLPKDATWGQVVAAAHADGVQLFASEQPNVVPPP LQVFDYFVYAAACSEVEVDILTGEVNVLRTDIISRARL H257_08501 MKKNDDPMPAEWAHHLTFALNGRKVVVDGNTLPRFNDLRLIDYI RDHAGLTGTKLACGEGGCGACTVVLCHRVTPSSPLVHRSVNACLIPLASIDGMAVLTV EGVGSTKHRLHPIQSKMVDNYSMQCGYCTPGWVMNMYELLHTSDSSSLTKDTIENHFD GNLCRCTGYRPILKAMHSFGIDGPAPQLEYESSYDDVPFVDADEPEFEFVDRVRCDKS EATRLLKQCATSCDACPHQHHHHDAVEVEDLCIPPLYDRAVHDPEVPSFIASYVPIPL CFHQPQASEAVVWWYKVLTLEQLSAVRAKHKDESVMVVGGLTSRGVSKYFNQTAPYNR PVLSSVLVDITSIPALTAIVPVSDTNCLSVGAAVSLTALLAALRQTASDNPYLETLAH HISKVANHQVRNAATWAGNLSLARAFPSFPSDLVTGLWGYGASVTVLVASDSRAMSID AFLAIPVTQNPLLVSLELPLYPMSPLRTFKCHKVAQRKENSHAHVNAAMMIVRNAQQV CTQARIVLNGIGTQVIRCHLTEKALINQLVTDPLTLDASLGALHADMPSQQQPLDPFK WALVQSCWYKTMLEVVPTLSSSRLRSGKFELPRHLSSGRQVIPSVLPDADSTAPVGLG IPKLSSKLLATGEAKYVADVPPIPGMLYGALVFSTQALANLVQLDTLRARQVAGVVDV VTAADIPGTNAIGDGLEPLFVPLQGQVLYVGMALGLVLATSASVAQHAAGLVVAEYTP LQDDPFWTTDAPITTVAMARAAGTLVPSTPDQPNPIPMPGNDDHIDEKIATAPRQLQG TVSFGSQRHFYMEPQASTVYPDEDRCYRVETSTQNPTGVQEAVAGVLGVSLHAVDVKM KRAGGGFGGKLTRCNVNATAAAIAAHKHAVAVQVVNDRNTDFRNVAGRNALVGEYHVG FDDDGRLLALDLQFHFAMGAYSGGDNNGECLMAILWSDGAYHVSSFRSRGFMYLSNTP TCTSVRAPGVPSSVMLMEMVVEHIAHTLQLPLEWVQTRNFVRDHHKTPYGQELKNVTL DRIWQGLHASADVARRKEAAVYFNSQNKWKKRGLAVTPVKYGIAISGLKYGASVSIFH GDGTVLVTHGKHIRVFHCCCYSKDDMGILILHESLSCFFLYIYKYIFGIFEKF H257_08502 MNEVNKFIVESRESCVKQAIVSSVMGASMGVGLGVFLGTFEGAH GELVGNTMREQLYHGFRKSFVAGYDRSIYFSKQFMVVGAIYSGIECTIERERAVHDVY NTVLAGGTSGAILSGWAAKQLPAKQFLTHTAKGAATFAAFAAVMEFCLDRFRDQ H257_08503 MRNLVVLAERSHVLPAPSSSSASQWVDMSFDPAEERMWCLSSAG YLVGVVDGDVVVEVDLPSQPDWTWCAYHAELDIVVCASQSGALVSVHCPDQHVDVIGH FDFGIRGMRWSPKDEQVALVTGDGQFLTLSTTWNVMHESPCSSCAIDGLVQLSWRADG KFVSIQGPFSLHATLSLQVWAVDNAVWTLHAQGRHEDKSELALQGQGLHWSPNHALIA SSEVFKNQLHVAFFETNGLRHGEMALTVDASASVTHISWNLASDVLAVVTSTSSSGSS PSSTLQLWTRRNYHWYLKHTREYESPVSALQWDLEQPYVVRVLCSTGDCHVLSVMWKV ASNAHGHVAVIDGCHVNVTLCDRALVPPPMSAARVQFAHAINEVLVLADGSIVAQDFR GNWSRSQQLHAPPQAIPLTLKDGRSVDTMALRYVQVVDTSTIAGISTLYASQVVTMNV ETGTLETTDYHEVVSTISNDGCHIQLSQSKAIWPQGVVQLSNGHTLWTEWEVVQCSTV VVQLAQTKLFVNNTLLHASVASFHVIPTLGYLALTTLGAKSEFRLYPLDALRQGTFVP EHTRPMERGATVVTSAHTTVVLQMERGNVEAIAPRPLLLALLQSKLVAHEFASALELC RKHRIDMNVLVDFDPTSFLSHLPQWIQAIPKRVRIDRLCLFITTVHPTDVCATKFPSL AGLNLLTETWDKVTRVCRAIRSTLVELDPDFYLLPLLTCDAKLLELDTALLRLQSLHG QNPTGAQHGLKHLTFLVDVDQLYDVALGLYDLPLTLLVAKHTQRDPKEYTSQLSAFQA LEASHSSSYLRYAIDMSLHRYELALRHLHAAGPSYHSECVELVKSHHLYDVGLTLFPS ASLLRTPIVSAYGRHLTAQAKYTQAGYTFLSIRAFEDAIEAFKRAQEWHMALALVPQV PSFNMASVAYALAEELVNRNELKDAARIYIEYCQDVDEGIATLLLGRYYADALHMALL HNRSDLVETDVHPAVEQAAVDVEEEYEAKLESFQTHWTRLTTLRDQIRLFRLHGIDGK AAADDDDGAKDSASSAAASALSQSSSVSSVGSHNSNRDIKFGSLSVTSLAITSSYNHG RASTTSSTSPSSTMKKKMPRRFRRCKIQQGSAEEDAYVLQSLVAAVPSADDLGHVRRV MQVLLYFGHVQQVTSIQRTIQRCLTHMAAHPLPPPVHGDAVPFDVPPVDESWLVLPLS M H257_08503 MWKVASNAHGHVAVIDGCHVNVTLCDRALVPPPMSAARVQFAHA INEVLVLADGSIVAQDFRGNWSRSQQLHAPPQAIPLTLKDGRSVDTMALRYVQVVDTS TIAGISTLYASQVVTMNVETGTLETTDYHEVVSTISNDGCHIQLSQSKAIWPQGVVQL SNGHTLWTEWEVVQCSTVVVQLAQTKLFVNNTLLHASVASFHVIPTLGYLALTTLGAK SEFRLYPLDALRQGTFVPEHTRPMERGATVVTSAHTTVVLQMERGNVEAIAPRPLLLA LLQSKLVAHEFASALELCRKHRIDMNVLVDFDPTSFLSHLPQWIQAIPKRVRIDRLCL FITTVHPTDVCATKFPSLAGLNLLTETWDKVTRVCRAIRSTLVELDPDFYLLPLLTCD AKLLELDTALLRLQSLHGQNPTGAQHGLKHLTFLVDVDQLYDVALGLYDLPLTLLVAK HTQRDPKEYTSQLSAFQALEASHSSSYLRYAIDMSLHRYELALRHLHAAGPSYHSECV ELVKSHHLYDVGLTLFPSASLLRTPIVSAYGRHLTAQAKYTQAGYTFLSIRAFEDAIE AFKRAQEWHMALALVPQVPSFNMASVAYALAEELVNRNELKDAARIYIEYCQDVDEGI ATLLLGRYYADALHMALLHNRSDLVETDVHPAVEQAAVDVEEEYEAKLESFQTHWTRL TTLRDQIRLFRLHGIDGKAAADDDDGAKDSASSAAASALSQSSSVSSVGSHNSNRDIK FGSLSVTSLAITSSYNHGRASTTSSTSPSSTMKKKMPRRFRRCKIQQGSAEEDAYVLQ SLVAAVPSADDLGHVRRVMQVLLYFGHVQQVTSIQRTIQRCLTHMAAHPLPPPVHGDA VPFDVPPVDESWLVLPLSM H257_08504 MQQRVNLLVLGGIGLDDPDTEVLHVPRFAHRRRLARQLHHGGRG RRNVDHTKGRRWQQDGIGHRRRSNGKVVFVQVQVQVYAIKRRRNLRRAEVDQLHVVFV RHMQLSLLQARRQNVIHHAGGGIGHVVSRRRGHRHRRSCAAFFIFLRCYASCWPLTKE SVRLVANGAMVDRIQQPLFVDFAPPHASIIFNSKNIVVMDCRGIDKLIGF H257_08505 MAMPREWKKSSRDKFRLSIHRNGDVAVNATPLTSDVDGGDVSDE ELSTSKLRKRQQCAIDLKDVSAEMWLITKLAMQLLWAFRVSSKWIIMLLRLLMFVLFL LPAFLRVFYFWLCSPRVLKNIRYGPRGRNLLDIYLVPHPRKKQPVVVFLSGGAWIIGY KAWGALMGRVLSAYGILVVMPDYRNFPQGLLPDMMQDVNVAMQWVFDNVHTFGGDPNN VTWIGQSAGAHIGACVLIEAVENPSFAAWQPSRLRNFIGISGPYNIHESMEVFHAHGL DRQVFAAIMDHNVLKYSPTDRMTNLPKATAALFPSMHLFHGTADRTVPWTSSQSFVDA LVGVGIDAHIKFYTGKTHTDPIIEDPIQGDDPLLSDILAIIRRSSPAESPQPRPDHLQ FRPPGAMLPAMLVHAARRVNPF H257_08505 MAMPREWKKSSRDKFRLSIHRNGDVAVNATPLTSDVDGGDVSDE ELSTSKLRKRQQCAIDLKDVSAEMWLITKLAMQLLWAFRVSSKWIIMLLRLLMFVLFL LPAFLRVFYFWLCSPRVLKNIRYGPRGRNLLDIYLVPHPRKKQPVVVFLSGGAWIIGY KAWGALMGRVLSAYGILVVMPDYRNFPQGLLPDMMQDVNVAMQWVFDNVHTFGGDPNN VTWIGQSAGAHIGACVLIEAVENPSFAAWQPSRLRNFIGISGPYNIHESMEVFHAHGL DRQVFAAIMDHNVLKYSPTDRMTNLPKATAALFPSMHLFHGTADRTVPWTSSQSFVDA LVGVGIDAHIKFYTGKTHTDPIIEVGIVTWTSID H257_08505 MAMPREWKKSSRDKFRLSIHRNGDVAVNATPLTSDVDGGDVSDE ELSTSKLRKRQQCAIDLKDVSAEMWLITKLAMQLLWAFRVSSKWIIMLLRLLMFVLFL LPAFLRVFYFWLCSPRVLKNIRYGPRGRNLLDIYLVPHPRKKQPVVVFLSGGAWIIGY KAWGALMGRVLSAYGILVVMPDYRNFPQGLLPDMMQDVNVAMQWVFDNVHTFGGDPNN VTWIGQSAGAHIGACVLIEAVENPSFAAWQPSRLRNFIGISGPYNIHESMEVFHAHGL DRQVFAAIMDHNVLKYSPTDRMTKYTPSFPRRNPY H257_08505 MAMPREWKKSSRDKFRLSIHRNGDVAVNATPLTSDVDGGDVSDE ELSTSKLRKRQQCAIDLKDVSAEMWLITKLAMQLLWAFRVSSKWIIMLLRLLMFVLFL LPAFLRVFYFWLCSPRVLKNIRYGPRGRNLLDIYLVPHPRKKQPVVVFLSGGAWIIGY KAWGALMGRVLSAYGILVVMPDYRNFPQGLLPDMMQDVNVAMQWVFDNVHTFGGDPNN VTWIGQSAGAHIGACVLIEAVENPSFAAWQPSRLRNFIGISGPYNIHESMEVFHAHGL DRQVFAAIMDHNVLKYSPTDRMTKYTPSFPRRNPY H257_08506 MVHNRNRPGKGKVKVTRKSKPIRKYKTKFVGDAKIKEVWDHKLT TAQNYEKIGLWKNPNSIEHIRECTDEVIDPDELFDVPDSDVLTFHDKNHKKAENHMSE LEIQYLRPIIKKHGDDYDAMARDIKVNNEQWTVAKLKRRCARLKLVDSNIIKQ H257_08507 MPRFTVVDLVHEGLLDYVNKSGKTRSRWFALDGAQQALTKYSSS DRSKQMGPPIHILGARIERGADLAFTVHLHAPYRSLKQLKFTAASPDDKEGWMKSLIT CINGMASGLSQRMCHRGVLNGQYIFVRELGRGASGVVYLYTYHGKPCAVKKLPTKATK FNQHNHVTDDVKREIALLKKVTNLPHVVSLYDVIQAPQHHDNASNDDDDDGKVYLVME FLGGGPIAAYDKVHKRFTTKQHMVERDFKRLFRCATIGLKYLHSHQLVHRDIKPDNIL MTDDFAECKLADLGVAHWFEAAPTSVGSRKRDSSIDMSDNESTSPPSPGSNLLPNDTL RDTKGTYEFLSPEAISGEEYSGFAADVWALGVTLYAVVVGKLPFQADNVLALFDHITD SPLQFPPNVVLSNDLRHLISQMLVKDPQHRISLDNVLMHPWLCTGATSSFLKQHKAAT TKIVVNEADVHAAVSPLQLKFDMMRRASPVDQLSMLTVDALPPTIPSCSDAANNPPPS IPPSIPQTHRPHPIDIQSVGLPPSLAGDLSTLAQQLHFQWCFDKLLVGWSYGAIRNDE LKHHPLMKPFWELDAAAQARNRVSVEASLKCILALGYTVVRKPTEQQHVPSSLARIAL SGELVVLGDLLAENDHEVWATEYFQNGWTYGPVYDNATKHHPALVSYTDLAESVRDST RLGALNILKTLVSLHYVVQHPYTKFQPTSFVPPRRFTILGRKSSK H257_08507 MPRFTVVDLVHEGLLDYVNKSGKTRSRWFALDGAQQALTKYSSS DRSKQMGPPIHILGARIERGADLAFTVHLHAPYRSLKQLKFTAASPDDKEGWMKSLIT CINGMASGLSQRMCHRGVLNGQYIFVRELGRGASGVVYLYTYHGKPCAVKKLPTKATK FNQHNHVTDDVKREIALLKKVTNLPHVVSLYDVIQAPQHHDNASNDDDDDGKVYLVME FLGGGPIAAYDKVHKRFTTKQHMVERDFKRLFRCATIGLKYLHSHQLVHRDIKPDNIL MTDDFAECKLADLGVAHWFEAAPTSVGSRKRDSSIDMSDNESTSPPSPGSNLLPNDTL RDTKGTYEFLSPEAISGEEYSGFAADVWALGVTLYAVVVGKLPFQADNVLALFDHITD SPLQFPPNVVLSNDLRHLISQMLVKDPQHRISLDNVLMHPWLCTGATSSFLKQHKAAT TKIVVNEADVHAAVSPLQLKFDMMRRASPVDQLSMLTVDALPPTIPSCSDAANNPPPS IPPSIPQTHRPHPIDIQSVGLPPSLAGDLSTLAQQLHFQWCFDKLLVGWSYGAIRNDE LKHHPLMKPFWELDAAAQARNRVSVEASLKCILALG H257_08508 MARRDTWPMVQLCLVFLHEHATSTVRSTNGRLAADLVAALKQGK VLVSQDKALDPAVVWTAVDLLLLDPCCNLYLFPPHLYANLVRLVPSEDPTVPDVDQLK ELLHSPPEHSKHRFFFVVRVLHTIWTRTQSAWTDCVDRFTPLLFRDGVSAVRSINHMA GMAAAKKCLSVVLQHLDFMSRDDTKMMVDDLIQYTVHAVLFEYPPLKPPPPLTTRPET IEPTLVVQEGLPETTPLVTELPHDFLIQKPQESQGQSVLKLQAMVRGWLSRRWSGLAL LYRGNLSSSVVPSCSIPTNKSPYCRRQYLALTKRVLYWSVDKLELEKARVKGQLKAFN DTFELNRGSRPLVTDKAAVRPLYELYHFLGLIMDYQRTEPSVDPSDDEAMRVELWSRE RKQAKKERLQMRLLLFERHFELCCGEHVQNEDDYGHVLSTYTAYKKLTALVAPPNQQQ PHE H257_08509 MWVARGLPRVVKRENDEDVVGFSALGHWKVDVTSTYIQLRCMHH KDAPPYRPHRLSRQTGSTPLACAFLPHADTSRIVVLTSTTLEMYSYALDLTDGVRLHA HESIAFGKDGDTEVGRCLAVNEDHIFVGTSTARIMVFRWAFMGHGGVVVSPLLVENSS NSPYTTTSDAASIQCTSIACNLSFQLSSHVVVAVTLSNGKCVLVTLASPAESSGALHF QSVERVPPPPASALFSAVAMDAGAGLLAVGATDSTVRVFRLHHPKKAAPRRSASSMVD LPGLLQSEGNMHVQLLSLGSWGYSSDELGHVSSLAFTEDGRAVAIGYAHRGFSVFSTD GCKLMSSLPQQLGDVSHNEIAAYGVERVSWTYATTSLVIIPNRFCAPAKPVEVASSRD PPLCHMVDVQLVKDADGLCLSLAGEPNQTGAWVRPEAPFVPRQSTGGPGPAQLCTKLH GGELLLSIDGVSVWQSPFDAIVHQLQAIPAGSTVALAFLKVSSKQVFPLAVAAIESFR ESDKLLVWTADHSIYEYSLRMQALYGDCPVASAPSSLVDSDAHAKFNGWTALRECSKD VAMHRYIKLYLSLFPTIHPQQALENLVQPDASSVESNEVVTSTAVVLLLDFARSVLPL DRSALHLVESDAVVMVATQSCNDPCGVLSSATVPVPSAYAQANRPLQHVAVNAADSRL IVAGTRGFVLFNKRTSKWLLFGSELEEQSFAVVAMAWWRDDAIVALVRTTTASQLFLD VFPRNRLDLDSRKAHIAISDNVYAVTVDDNAVYCLSTSKLWVYRITSSGTVESSTDAF QLSLQLCRTEPLPLCNAIQGHARCLRAIPRLTRRSGHSVESSSWFGGAVWSSLFSDST NQWTLPRFLVLDQVNDAYLWDPETKIQTLVANDITQISHVSPPEWPLVCSHVVGLYGR HGYRVWWPFLDGIAFAPPVDHDIVLQFLQANDPLRAKYIATSHANALTWDAYVGLLAE YGVHVQHGSESAVTPSSTLSSMLVDPLLRFNPEVQILGILPDFGVLVGAFQENYTGVL DLSCRVQPFVHTTVCNLLLHHQTDLAAAILKAMPRQCALTTLTLELVLVAILDKCFES KWSVAVLEMALTLLQKTDQDMETYCEIVANVARKVEPNRLPLLFPLAGDPTQLLQLCR QRNEAANFLLCLDESPVATESSKHMIRPRTNSYAQFQSRSALAFELLVDCCEKDQLPL ALQVVRVARAWEPDHYRSHTKDNQQYDRFIDEQVGKYAFQMLVQHRFDKVVWLLTQVE ATLPTLHGQELGITDKNIPIIQTRLLALLSQAQMRKLHAGVVAARYEQWAALIKNLLE QSPAKFNRQQPTTTQ H257_08509 MWVARGLPRVVKRENDEDVVGFSALGHWKVDVTSTYIQLRCMHH KDAPPYRPHRLSRQTGSTPLACAFLPHADTSRIVVLTSTTLEMYSYALDLTDGVRLHA HESIAFGKDGDTEVGRCLAVNEDHIFVGTSTARIMVFRWAFMGHGGVVVSPLLVENSS NSPYTTTSDAASIQCTSIACNLSFQLSSHVVVAVTLSNGKCVLVTLASPAESSGALHF QSVERVPPPPASALFSAVAMDAGAGLLAVGATDSTVRVFRLHHPKKAAPRRSASSMVD LPGLLQSEGNMHVQLLSLGSWGYSSDELGHVSSLAFTEDGRAVAIGYAHRGFSVFSTD GCKLMSSLPQQLGDVSHNEIAAYGVERVSWTYATTSLVIIPNRFCAPAKPVEVASSRD PPLCHMVDVQLVKDADGLCLSLAGEPNQTGAWVRPEAPFVPRQSTGGPGPAQLCTKLH GGELLLSIDGVSVWQSPFDAIVHQLQAIPAGSTVALAFLKVSSKQVFPLAVAAIESFR ESDKLLVWTADHSIYEYSLRMQALYGDCPVASAPSSLVDSDAHAKFNGWTALRECSKD VAMHRYIKLYLSLFPTIHPQQALENLVQPDASSVESNEVVTSTAVVLLLDFARSVLPL DRSALHLVESDAVVMVATQSCNDPCGVLSSATVPVPSAYAQANRPLQHVAVNAADSRL IVAGTRGFVLFNKRTSKWLLFGSELEEQSFAVVAMAWWRDDAIVALVRTTTASQLFLD VFPRNRLDLDSRKAHIAISDNVYAVTVDDNAVYCLSTSKLWVYRITSSGTVESSTDAF QLSLQLCRTEPLPLCNAIQGHARCLRAIPRLTRRSGHSVESSSWFGGAVWSSLFSDST NQWTLPRFLVLDQVNDAYLWDPETKIQTLVANDITQISHVSPPEWPLVCSHVVGLYGR HGYRVWWPFLDGIAFAPPVDHDIVLQFLQANDPLRAKYIATSHANALTWDAYVGLLAE YGVHVQHGSESAVTPSSTLSSMLVDPLLRFNPEVQILGILPDFGVLVGAFQENYTGVL DLSCRVQPFVHTTVCNLLLHHQTDLAAAILKAMPRQCALTTLTLELVLVAILDKCFES KWSVAVLEMALTLLQKTDQDMETYCEIVANVARKVEPNRLPLLFPLAGDPTQLLQLCR QRNEVRTAANFLLCLDESPVATESSKHMIRPRTNSYAQFQSRSALAFELLVDCCEKDQ LPLALQVVRVARAWEPDHYRSHTKDNQQYDRFIDEQVGKYAFQMLVQHRFDKVVWLLT QVEATLPTLHGQELGITDKNIPIIQTRLLALLSQAQMRKLHAGVVAARYEQWAALIKN LLEQSPAKFNRQQPTTTQ H257_08509 MWVARGLPRVVKRENDEDVVGFSALGHWKVDVTSTYIQLRCMHH KDAPPYRPHRLSRQTGSTPLACAFLPHADTSRIVVLTSTTLEMYSYALDLTDGVRLHA HESIAFGKDGDTEVGRCLAVNEDHIFVGTSTARIMVFRWAFMGHGGVVVSPLLVENSS NSPYTTTSDAASIQCTSIACNLSFQLSSHVVVAVTLSNGKCVLVTLASPAESSGALHF QSVERVPPPPASALFSAVAMDAGAGLLAVGATDSTVRVFRLHHPKKAAPRRSASSMVD LPGLLQSEGNMHVQLLSLGSWGYSSDELGHVSSLAFTEDGRAVAIGYAHRGFSVFSTD GCKLMSSLPQQLGDVSHNEIAAYGVERVSWTYATTSLVIIPNRFCAPAKPVEVASSRD PPLCHMVDVQLVKDADGLCLSLAGEPNQTGAWVRPEAPFVPRQSTGGPGPAQLCTKLH GGELLLSIDGVSVWQSPFDAIVHQLQAIPAGSTVALAFLKVSSKQVFPLAVAAIESFR ESDKLLVWTADHSIYEYSLRMQALYGDCPVASAPSSLVDSDAHAKFNGWTALRECSKD VAMHRYIKLYLSLFPTIHPQQALENLVQPDASSVESNEVVTSTAVVLLLDFARSVLPL DRSALHLVESDAVVMVATQSCNDPCGVLSSATVPVPSAYAQANRPLQHVAVNAADSRL IVAGTRGFVLFNKRTSKWLLFGSELEEQSFAVVAMAWWRDDAIVALVRTTTASQLFLD VFPRNRLDLDSRKAHIAISDNVYAVTVDDNAVYCLSTSKLWVYRITSSGTVESSTDAF QLSLQLCRTEPLPLCNAIQGHARCLRAIPRLTRRSGHSVESSSWFGGAVWSSLFSDST NQWTLPRFLVLDQVNDAYLWDPETKIQTLVANDITQISHVSPPEWPLVCSHVVGLYGR HGYRVWWPFLDGIAFAPPVDHDIVLQFLQANDPLRAKYIATSHANALTWDAYVGLLAE YGVHVQHGSESAVTPSSTLSSMLVDPLLRFNPEVQILGILPDFGVLVGAFQENYTGVL DLSCRVQPFVHTTVCNLLLHHQTDLAAAILKAMPRQCALTTLTLELVLVAILDKCFES KWSVAVLEMALTLLQKTDQDMETYCEIVANVARKVEPNRLPLLFPLAGDPTQLLQLCR QRNEVRTAANFLLCLDESPVATESSKHMIRPRTNSYAQFQSRSALAFELLVDCCEKDQ LPLALQVVRVARAWEPDHYRSHTKDNQQYDRFIDEQVGKYAFQMLVQHRFDKVVWLLT QVEATLPTLHGQELGITDKNIPIIQTRLLALLSQAQMRKLHAGVVAARYEQWAALIKN LLEQSPAKFNRQQPTTTQ H257_08509 MWVARGLPRVVKRENDEDVVGFSALGHWKVDVTSTYIQLRCMHH KDAPPYRPHRLSRQTGSTPLACAFLPHADTSRIVVLTSTTLEMYSYALDLTDGVRLHA HESIAFAGKDGDTEVGRCLAVNEDHIFVGTSTARIMVFRWAFMGHGGVVVSPLLVENS SNSPYTTTSDAASIQCTSIACNLSFQLSSHVVVAVTLSNGKCVLVTLASPAESSGALH FQSVERVPPPPASALFSAVAMDAGAGLLAVGATDSTVRVFRLHHPKKAAPRRSASSMV DLPGLLQSEGNMHVQLLSLGSWGYSSDELGHVSSLAFTEDGRAVAIGYAHRGFSVFST DGCKLMSSLPQQLGDVSHNEIAAYGVERVSWTYATTSLVIIPNRFCAPAKPVEVASSR DPPLCHMVDVQLVKDADGLCLSLAGEPNQTGAWVRPEAPFVPRQSTGGPGPAQLCTKL HGGELLLSIDGVSVWQSPFDAIVHQLQAIPAGSTVALAFLKVSSKQVFPLAVAAIESF RESDKLLVWTADHSIYEYSLRMQALYGDCPVASAPSSLVDSDAHAKFNGWTALRECSK DVAMHRYIKLYLSLFPTIHPQQALENLVQPDASSVESNEVVTSTAVVLLLDFARSVLP LDRSALHLVESDAVVMVATQSCNDPCGVLSSATVPVPSAYAQANRPLQHVAVNAADSR LIVAGTRGFVLFNKRTSKWLLFGSELEEQSFAVVAMAWWRDDAIVALVRTTTASQLFL DVFPRNRLDLDSRKAHIAISDNVYAVTVDDNAVYCLSTSKLWVYRITSSGTVESSTDA FQLSLQLCRTEPLPLCNAIQGHARCLRAIPRLTRRSGHSVESSSWFGGAVWSSLFSDS TNQWTLPRFLVLDQVNDAYLWDPETKIQTLVANDITQISHVSPPEWPLVCSHVVGLYG RHGYRVWWPFLDGIAFAPPVDHDIVLQFLQANDPLRAKYIATSHANALTWDAYVGLLA EYGVHVQHGSESAVTPSSTLSSMLVDPLLRFNPEVQILGILPDFGVLVGAFQENYTGV LDLSCRVQPFVHTTVCNLLLHHQTDLAAAILKAMPRQCALTTLTLELVLVAILDKCFE SKWSVAVLEMALTLLQKTDQDMETYCEIVANVARKVEPNRLPLLFPLAGDPTQLLQLC RQRNEVRTAANFLLCLDESPVATESSKHMIRPRTNSYAQFQSRSALAFELLVDCCEKD QLPLALQVVRVARAWEPDHYRSHTKDNQQYDRFIDEQVGKYAFQMLVQHRFDKVVWLL TQVEATLPTLHGQELGITDKNIPIIQTRLLALLSQAQMRKLHAGVVAARYEQWAALIK NLLEQSPAKFNRQQPTTTQ H257_08509 MWVARGLPRVVKRENDEDVVGFSALGHWKVDVTSTYIQLRCMHH KDAPPYRPHRLSRQTGSTPLACAFLPHADTSRIVVLTSTTLEMYSYALDLTDGVRLHA HESIAFGKDGDTEVGRCLAVNEDHIFVGTSTARIMVFRWAFMGHGGVVVSPLLVENSS NSPYTTTSDAASIQCTSIACNLSFQLSSHVVVAVTLSNGKCVLVTLASPAESSGALHF QSVERVPPPPASALFSAVAMDAGAGLLAVGATDSTVRVFRLHHPKKAAPRRSASSMVD LPGLLQSEGNMHVQLLSLGSWGYSSDELGHVSSLAFTEDGRAVAIGYAHRGFSVFSTD GCKLMSSLPQQLGDVSHNEIAAYGVERVSWTYATTSLVIIPNRFCAPAKPVEVASSRD PPLCHMVDVQLVKDADGLCLSLAGEPNQTGAWVRPEAPFVPRQSTGGPGPAQLCTKLH GGELLLSIDGVSVWQSPFDAIVHQLQAIPAGSTVALAFLKVSSKQVFPLAVAAIESFR ESDKLLVWTADHSIYEYSLRMQALYGDCPVASAPSSLVDSDAHAKFNGWTALRECSKD VAMHRYIKLYLSLFPTIHPQQALENLVQPDASSVESNEVVTSTAVVLLLDFARSVLPL DRSALHLVESDAVVMVATQSCNDPCGVLSSATVPVPSAYAQANRPLQHVAVNAADSRL IVAGTRGFVLFNKRTSKWLLFGSELEEQSFAVVAMAWWRDDAIVALVRTTTASQLFLD VFPRNRLDLDSRKAHIAISDNVYAVTVDDNAVYCLSTSKLWVYRITSSGTVESSTDAF QLSLQLCRTEPLPLCNAIQGHARCLRAIPRLTRRSGHSVESSSWFGGAVWSSLFSDST NQWTLPRFLVLDQVNDAYLWDPETKIQTLVANDITQISHVSPPEWPLVCSHVVGLYGR HGYRVWWPFLDGIAFAPPVDHDIVLQFLQANDPLRAKYIATSHANALTWDAYVGLLAE YGVHVQHGSESAVTPSSTLSSMLVDPLLRFNPEVQILGILPDFGVLVGAFQENYTGVL DLSCRVQPFVHTTVCNLLLHHQTDLAAAILKAMPRQCALTTLTLELVLVAILDKCFES KWSVAVLEMALTLLQKTDQDMETYCEIVANVARKVEPNRLPLLFPLAGDPTQLLQLCR QRNEVRTVHCMPPCCFNGIPISDKYIYIVFGRYKSLDFYSHVCLIHKCHDKSTQFIYI AMRNQFNILCCAIGGQLFVVSGRIPRRN H257_08509 MWVARGLPRVVKRENDEDVVGFSALGHWKVDVTSTYIQLRCMHH KDAPPYRPHRLSRQTGSTPLACAFLPHADTSRIVVLTSTTLEMYSYALDLTDGVRLHA HESIAFAGKDGDTEVGRCLAVNEDHIFVGTSTARIMVFRWAFMGHGGVVVSPLLVENS SNSPYTTTSDAASIQCTSIACNLSFQLSSHVVVAVTLSNGKCVLVTLASPAESSGALH FQSVERVPPPPASALFSAVAMDAGAGLLAVGATDSTVRVFRLHHPKKAAPRRSASSMV DLPGLLQSEGNMHVQLLSLGSWGYSSDELGHVSSLAFTEDGRAVAIGYAHRGFSVFST DGCKLMSSLPQQLGDVSHNEIAAYGVERVSWTYATTSLVIIPNRFCAPAKPVEVASSR DPPLCHMVDVQLVKDADGLCLSLAGEPNQTGAWVRPEAPFVPRQSTGGPGPAQLCTKL HGGELLLSIDGVSVWQSPFDAIVHQLQAIPAGSTVALAFLKVSSKQVFPLAVAAIESF RESDKLLVWTADHSIYEYSLRMQALYGDCPVASAPSSLVDSDAHAKFNGWTALRECSK DVAMHRYIKLYLSLFPTIHPQQALENLVQPDASSVESNEVVTSTAVVLLLDFARSVLP LDRSALHLVESDAVVMVATQSCNDPCGVLSSATVPVPSAYAQANRPLQHVAVNAADSR LIVAGTRGFVLFNKRTSKWLLFGSELEEQSFAVVAMAWWRDDAIVALVRTTTASQLFL DVFPRNRLDLDSRKAHIAISDNVYAVTVDDNAVYCLSTSKLWVYRITSSGTVESSTDA FQLSLQLCRTEPLPLCNAIQGHARCLRAIPRLTRRSGHSVESSSWFGGAVWSSLFSDS TNQWTLPRFLVLDQVNDAYLWDPETKIQTLVANDITQISHVSPPEWPLVCSHVVGLYG RHGYRVWWPFLDGIAFAPPVDHDIVLQFLQANDPLRAKYIATSHANALTWDAYVGLLA EYGVHVQHGSESAVTPSSTLSSMLVDPLLRFNPEVQILGILPDFGVLVGAFQENYTGV LDLSCRVQPFVHTTVCNLLLHHQTDLAAAILKAMPRQCALTTLTLELVLVAILDKCFE SKWSVAVLEMALTLLQKTDQDMETYCEIVANVARKVEPNRLPLLFPLAGDPTQLLQLC RQRNEVRTVHCMPPCCFNGIPISDKYIYIVFGRYKSLDFYSHVCLIHKCHDKSTQFIY IAMRNQFNILCCAIGGQLFVVSGRIPRRN H257_08510 MLCGLSGAVCEVPVVNIKSGHVYEKRLIEEYLKTHGNLCPLSET LLAPEDLIPLKLSTVATGAAPPSKVTASIPSLLSSLQTEYDAHALEMFELKQHLQTTR RELSHALYQYDAACRVISRLNRDIEALKQSASSSNGTSDDPWVHVTAAVASRAKELAH YRKKERHVEELVKTPASLQVTTSQTFHQADKPGILTVDIKGERVVTGGNDRHAKIFNT STGKIEHTLAGHAKKVQKVLFHPTADIVITGSADKSIKLWTAPTYEVAHTLTGHVGAV TGLAVHPTGSYLGSSSDDSSWTLHDLGTGSLLQNVRAPEAKALLDFQFHPDGAIFATA DSTVRIWDVATTKNVATFEGHTGTVNSIWFSENGYHLVSGGSDGLVKFWDLRKLKTVL ELNVHAPVHAVHLEVGGTVLGVATDKVALYQESGKKNWDIVQTFENHKGQVTDVKLAH QLAFVVSTSLDRSLKVYA H257_08511 MSKAVKKDDVTVGALRIFHRDSSKEMEEFIVNSATNALKAYYKG DKNHFTEVAHQIKKDLEESNDGSWHVIVGKSFGSFVTHEVKKMVYFFLGQVGFLIFRH G H257_08512 MTDKQQRLHQKYNKTAPWAYLDPGNQNIPLAARDAHLRPPPPRI SKDRDIFIGISTYRDSRRCGFTLFTAFSRAKHPERVTIGVVDQTQDDDTTCLEEYCKL AEASDWNECKFKSQVRIDARDSRASKGPTLARWQQQQLIENEEFCLNIDAHSQFLPNW DVEIVDEWLRTENEMAVLTTYPMDYSFMGPNLTHQSHYSSHLCNYLDRKSAFDVPIIR GMMLIDNSEAPQMSTLWGGCLSFSKCHAERRAPNDKHMNWVFWGEEYLRSMQLWTRGY DLYSPSRHGHVVFHNWSDDKGLKKRFWDNVTQVMTKAQHDKEEQLAYNRLRMVLTLPF DGPVDAQEIDKYHGGKVRSIEQFLKFSGISNVDSKLDEFRCEQLHWVPYAVPEIIEEF LPGWVMRDGRLSENNARVDNRAVVNETWRRMEKELLLRVQQKLDTMTKPIAETSLLVR TMQHRLSVWSRPHEGLWPLALVWLGLISVWLVYKGRRGATSYAAVPTTDA H257_08513 MLSSTSGIPKTSLWRLLKSKKLKRRTSRIKPMMSDTDKEARAVF ARSFLREAMNQMHWHDMLDRVHIDEKWFYVTLINRRYYLWHDEPVAVRKGSSKRHIVK VMFLTAVARPRYDCAKKSTWDGKIGMWPFVTKAPAQRTSKNRVRGTIVTTPMTVTKPI YRHFLLQHVIPSIKLLWPSRRDNPIYIQQDNARPHVGVDDLAVAAAGCSDGWHIQLVA QPAMSPDFNVLDLRFFNSIQALQHRQVVTGIDDLILSWLFMVRSTNSISVCSTRRL H257_08514 MPLTSPSSRQEEDVFSTKHGLYGHKVEVTSVFKANLGIHSTHLE KQPSDTNVSDNESMKAQDAVESDKYTWKKDEYNTYFQTCVALTNVHIRFNPLRNVDGE AYNQYKNRLLSIGSKCKTKNSSSKAKYRENRKAHIQAVLGRANTGYTSEDYDIGYEEG NDIFD H257_08515 MFGSDYRGEETSHCSTIRRCKLGGRCQAQRHRAVDILTYHQDRT DHQQAKRWRKTKQNQTPHCRSRHLLGMLYTVEQVQIEPSTYNSEENKSKRKVFAAALV EHQRNRDFTIYYGETNFNIYCHLSVGRSKKGTRAKVVLPPLKGPNLQVEYAVSATVGL VCYRLQRGSIKMDKNAWFVKDVYRASKASASFADSFVGKKIVIVLDNAPCFA H257_08516 MPDKDRLQRIRQDWNKTVPWAYLDPVHQNIPLAARDAHLRPPPP RISKDHDIFIGISNYRDIRRCGFTLFTAFSRAKHPERVTIGVVDQTQDDDTTCLDEYC KLAEASDWNECKFKSQVRIDARDSRASKGPTLARWQQQQLIENEEFCLNIDAHSQFLP NWDVEIVDEWLRTENEMAVLTTYPMGYALMGPDLTHQSHYSSHLCKYLDRKSAFDVPI IAGMTMIDDSETPQMTPLWGGCLSFSKCHAERRAPNDKHMNWVFWGEEYLRSMQLWTR GYDLYSPSRHGHVVFHNWSNNNGKMKRFWDNVTQVMTKEQHDKEEQLAYNRLRMVLTL PFDGPVDAQEIDKYHGGKVRSIEQFLKFSGISNVDSKLDEFRCEQLRWVPYAVPEIIE EFLPGWVMRDGRLSENNARVDNRAVVNETWRRMEKELLLRVQQKLDTMTKPRAVVNET WRRMEKELLLRVQQKLDTMTKPIAETSLLVRTMQHRLSVWSRPHEVLWPLALVWLALI SVWLMYKGRRAPSYVRRGAHNQGVVSPA H257_08517 MTKPRAVVNETWRRMEKELLLRVQQKLDTMTKPIAETSLLVRTM QHRLSVWSRPHEVLWPLALVWLALISVWLMYKVIKAPSAPGRPSAQVRPYESADLSLS FHRMIYLFLLRQVGHMAVPLPL H257_08518 MELTREMLWSLGSPEQVISRCSVDSIVEWGQHLLASCYHTMQAA KRLTTTALHQQTLDDWRRTSSDASIMDEALRVGLDPSAWHDYLPPPTDYSAIFTPVFL TDLSPSQILWFAIIGLFR H257_08519 MSPMPPRTTPFQSKHYLEFGLEIVSRDQHGNPMVRCNFCTFEGR DKVEITEGGTRKRKSRVDVKYFTKPFTPLNYRSHLNGQHKESWEAYQQMSTSAKKEYF NDKISRSNTLHVHMDLTSDSIEYMIKAPIVDTIIGGLFFNAEAIQEEDCDDAGEDGER ASNGAASYTVKIKNTMWYQLVIDHVGAGMSFKQTALAIGHAKNRAQVPKLAGINDLIV GQYVRVQVAVALQRIGDMLNNVKQVWAFSLAGDSSTHRGQSFFDLRLRLYWHGHLLNL HLVALPMFDRHTAENMFNMIVKLLDALFPKWRAKLIGVSSDGENKMTGRHRSLVTRLV AAVEYNVMRVWCAPHQINIIAKESADRIDGGT H257_08520 MGVKCPRKTNRWVHLGNVLKFLKENRRRLMTYIEEDRPDMLPTD AWWTVTYAIAPGIDAINIAFALLQNRSLLMAQQESHIMALVATISTMFDLELIDPDDA VAP H257_08521 MHLQFYLIGLRPAHFIRNVLDPHRERILRFWSDVDIDVVEENHR QLVATYSNDPILRRTIDEHVNSATFDDAWDIAPHQWLHLREFCGGLATIFPNTTSVES DFSILKWEMDRSWTLSE H257_08522 MDINTVVAAAVGAAAQAVVASLDGRLTPAPKIDKFTVKSSAWNT VKTDHTYAGWYKTHLRCGPSVFFIIAQKVEDKWDDVHGPLHHHTMFCVVDRVAVALHY LSHSDRYSTTAALFGISLTCARQYCVQVCQVIRQRYLSAVFCLPTRRPDWEKVRLGFE SVMGFPNAYGAIDGSLVPIKRFTDHTGWYCRKGFPAFNIQAVVDDKLKFMTYSIPSGS QNDKALFRDSWFVKSSHQLLPLAAAPSVTLDTSCTTM H257_08523 MAKLTTPSKKELIEENRVLRAEMQAATFMIPLVGQPETPSEPRA AVEPKFSANYTDQMIVALLELACLWEKVALQFNILTSASYNNIKKCVEATENDTENPI EYPSYWEHLVTAFGDMKGIGHLEFGTDNPSSLWDVNNSSGVEDGDGDLHVDDAERKRK RIIPGEVDRQRQLRKHGETDVGAGLVSLGAALAQGMRDTRED H257_08524 MEKELLLRVQQKLDTMTKPIAETSLLVRTMQHRLSVWSRPHEVL WPLALVWLGLISVWLVYKGTSAVVHRGAHK H257_08525 MMKLLFVTLAVAAAANDGTYSIKFLSNVAQSSCELIKPLGLTQL HRGIHGINDTLEEVAPRQNKQSVDEIRRNDLLGSQLLIEVFGGGADAVQARANVLCPN GMITRKTKNLRADSVSVRAATIQKIVDSGDPKNRIDVVFMGDGYTASEQAKFFGDIQR LTTDMFTGNTFAQYLPLFNIWASYVPSVQSGVGVGGTPLNTAFGLYRDGTELRAVYTS KPDVARSVCAQTGALACDFPALIANDDFYGGLGGEFVIATRSPTTGTMVLRHEMGHNF GKVGEEYDGGQVYSGANSARTAKAVPWKHWLTEPTKLTEQKWAQLYQKHIWHDLKTGA YTIDFTSSGTYKRWYIVLSVSGTDTQDSLSVTLDGKPLVWTTKGVKDRTFYTWSSNTA GFTAGAHKLVVKAGGAFTGPIIKQLCNAQVAEYASEAEYHQDGDYIGVYPTYDLNKAK SFRPNSEKCLMRNMTSAEFCSPCQENMWQQFLTRVSVIDNVIVTGKKVALKLIPLAQL RLPTDLFVQANPKVAAAEKYSTQWFNNGKEVVQFRNLFSVDTSTVATTTNAWTVSVQF STPNVRLDPKNVLKATKAFTVPR H257_08526 MFTPRRPTTSGKGASPSSDPRRPEQPRPSTTPNVSGWVASVSRE NKDFARFAEYFQTQLEHAVRSSEHQGDPNGFRTSVCFELLDMVGQQFNRYDGLLRTIV RECENSVYVDPQHNHQHHRGARVPLKASDYFVRQPFFAELHVQQGAKQAVDDDIAALD DRHQFMMEQLAIKENVLDRTSQRWARTILLQAFRTWNRVTQAKHKVRMSMQKAMARWK KRHSTILLRAWRQAVVSEKQIAARRRLSALTDNAGDCKESQLRLEGSIMQLRDDIASL KGSIETQTTTQERLHHTFAALKEEIWHSQERQLQSLCNEWGRLCLTLVDAELTYLSYM LATVNLSDYCEPTMMLRPREDKLELLRAPEDVIVLRWASCMFHRHLVYNDVRLGTKAK AAPMTSKSSPASAAAAAAASSDAEFSRVQNFTSDLKGMYVLGSILRVIHRTQPLDQHS NVNSNNDDMVKEWTTDKVLHYLRVLRCPDYLVQHLELHLDNPSADIMYCVLSYLCCEF TCMVPAACPWQEALQSLQDAKTAWDSVRKGWSELETPFDVTKLDHFQPDTTHVSVVAM AKEALQNAVQMVQYACAARAANMQLWTCVQKRVHLKAIDTMLHRARMGLPFQMTNRRE ARERAMYTKVDMVKLARILDMDVAGEMPKLETLFSDQYEDVQRIFKYYAASDVGDACS MSLDEFHRFLKDCKLVTKSLGLAYVKKIFNEINQVEDKSVQCDIDDDDDDEENEVELE FGPTEFVEAIVCVADKRLGSHKGLSLSQRVKKCLVEYILANACRSSTDAFRHQMNAIE CKAVFQTYQTKLEQIYRRYAAQSSSLNGQGFVALLEAYDLFTDVLTVGDVKHVLKKIQ QESDDAPESGAAVTTDVDLELTFTEFCEALGAIAMYYNPDIFVPVHKRVDDFIMKLVR ATESGPKQ H257_08526 MFTPRRPTTSGKGASPSSDPRRPEQPRPSTTPNVSGWVASVSRE NKDFARFAEYFQTQLEHAVRSSEHQGDPNGFRTSVCFELLDMVGQQFNRYDGLLRTIV RECENSVYVDPQHNHQHHRGARVPLKASDYFVRQPFFAELHVQQGAKQAVDDDIAALD DRHQFMMEQLAIKENVLDRTSQRWARTILLQAFRTWNRVTQAKHKVRMSMQKAMARWK KRHSTILLRAWRQAVVSEKQIAARRRLSALTDNAGDCKESQLRLEGSIMQLRDDIASL KGSIETQTTTQERLHHTFAALKEEIWHSQERQLQSLCNEWGRLCLTLVDAELTYLSYM LATVNLSDYCEPTMMLRPREDKLELLRAPEDVIVLRWASCMFHRHLVYNDVRLGTKAK AAPMTSKSSPASAAAAAAASSDAEFSRVQNFTSDLKGMYVLGSILRVIHRTQPLDQHS NVNSNNDDMVKEWTTDKVLHYLRVLRCPDYLVQHLELHLDNPSADIMYCVLSYLCCEF TCMVPAACPWQEALQSLQDAKTAWDSVRKGWSELETPFDVTKLDHFQPDTTHVSVVAM AKEALQNAVQMVQYACAARAANMQLWTCVQKRVHLKAIDTMLHRARMGLPFQMTNRRE ARERAMYTKVDMVKLARILDMDVAGEMPKLETLFSDQYEDVQRIFKYYAASDVGDACS MSLDEFHRFLKDCKLVTKSLGLAYVKKIFNEINQVEDKSVQCDIDDDDDDEENEVELE FGPTEFVEAIVCVADKRLGSHKGLSLSQRVKKCLVEYILANACRSSTDAFRHQMNAIE CKAVFQTYQTKLEQIYRRYAAQSSSLNGQGFVALLEAYDLFTDVLTVGDVKHVLKKIQ QESDDAPESGAAVTTDVDLELTFTEFCEVHDLYDDEMIMLIRP H257_08526 MFTPRRPTTSGKGASPSSDPRRPEQPRPSTTPNVSGWVASVSRE NKDFARFAEYFQTQLEHAVRSSEHQGDPNGFRTSVCFELLDMVGQQFNRYDGLLRTIV RECENSVYVDPQHNHQHHRGARVPLKASDYFVRQPFFAELHVQQGAKQAVDDDIAALD DRHQFMMEQLAIKENVLDRTSQRWARTILLQAFRTWNRVTQAKHKVRMSMQKAMARWK KRHSTILLRAWRQAVVSEKQIAARRRLSALTDNAGDCKESQLRLEGSIMQLRDDIASL KGSIETQTTTQERLHHTFAALKEEIWHSQERQLQSLCNEWGRLCLTLVDAELTYLSYM LATVNLSDYCEPTMMLRPREDKLELLRAPEDVIVLRWASCMFHRHLVYNDVRLGTKAK AAPMTSKSSPASAAAAAAASSDAEFSRVQNFTSDLKGMYVLGSILRVIHRTQPLDQHS NVNSNNDDMVKEWTTDKVLHYLRVLRCPDYLVQHLELHLDNPSADIMYCVLSYLCCEF TCMVPAACPWQEALQSLQDAKTAWDSVRKGWSELETPFDVTKLDHFQPDTTHVSVVAM AKEALQNAVQMVQYACAARAANMQLWTCVQKRVHLKAIDTMLHRARMGLPFQMTNRRE ARERAMYTKVDMVKLARILDMDVAGEMPKLETLFSDQYEDVQRIFKYYAASDVGDACS MSLDEFHRFLKDCKLVTKSLGLAYVKKIFNEINQVEDKSVQCDIDDDDDDEENEVELE FGPTEFVEAIVCVADKRLGSHKGLSLSQRVKKCLVEYILANACRSSTDAFRHQMNAIE CKAVFQTYQTKLEQIYRRYAAQSSSLNGQGFVALLEVAWNGVRLVHGRAHGGGCQARA EKNPARKRRRA H257_08526 MFTPRRPTTSGKGASPSSDPRRPEQPRPSTTPNVSGWVASVSRE NKDFARFAEYFQTQLEHAVRSSEHQGDPNGFRTSVCFELLDMVGQQFNRYDGLLRTIV RECENSVYVDPQHNHQHHRGARVPLKASDYFVRQPFFAELHVQQGAKQAVDDDIAALD DRHQFMMEQLAIKENVLDRTSQRWARTILLQAFRTWNRVTQAKHKVRMSMQKAMARWK KRHSTILLRAWRQAVVSEKQIAARRRLSALTDNAGDCKESQLRLEGSIMQLRDDIASL KGSIETQTTTQERLHHTFAALKEEIWHSQERQLQSLCNEWGRLCLTLVDAELTYLSYM LATVNLSDYCEPTMMLRPREDKLELLRAPEDVIVLRWASCMFHRHLVYNDVRLGTKAK AAPMTSKSSPASAAAAAAASSDAEFSRVQNFTSDLKGMYVLGSILRVIHRTQPLDQHS NVNSNNDDMVKEWTTDKVLHYLRVLRCPDYLVQHLELHLDNPSADIMYCVLSYLCCEF TCMVPAACPWQEALQSLQDAKTAWDSVRKGWSELETPFDVTKLDHFQPDTTHVSVVAM AKEALQNAVQMVQYACAARAANMQLWTCVQKRVHLKAIDTMLHRARMGLPFQMTNRRE ARERAMYTKVDMVKLARILDMDVAGEMPKLETLFSDQYEDVQRIFKYYAASDVGDACS MSLDEFHRFLKDCKLVTKSLGLAYVKKIFNEINQVEDKSVQCDIDDDDDDEENEVELE FGPTEFVEAIVCVADKRLGSHKGLSLSQRVKKCLVEYILANACRSSTDAFRHQMNAIE CKAVFQTYQTKLEQIYRRYAAQSSSLNGQGFVALLEVAWNGVRLVHGRAHGGGCQARA EKNPARKRRRA H257_08527 MADAQVKKLSDEIERLELDLKALEAAITTSEAAKKVSEYCNTTP DPFLGDNESPNVWQAAAQGGGGCVIQ H257_08528 MALEVAAVDRFFCNVMELIPSAHYFPTEPEDNFKHSINKKYHKN VKALSAAADVDITDSKHVGKRLKFNPKLQLSNEATQVHEKEKETKRVAATAADDDDDN VDDATLTGLDGLRKRLAKRIETMRVKRQSVKEHKTTKKEHTKDGGADKSAASKKRKPS TSQDKSQHKKAKGNNDDDVVPTTATTTLSTSSSNAESNAATDVATAKTVDESISYGSL LVGHEKKEEAKTRNGRGLANIQNLLKKAEAKKARMEELKKTEEGRAVVAAKGWEKALK QAQGDKVMDDPKLLRNKLKKKLKQKDKSSKEWKQRVSNEKKGMKDRAKTKAANARTGK KVLRSKAAEARAQKIAASKPKQNGNRAGFEGKKGDGFLNAKK H257_08529 MMHRMTTRQVEKTMADRRSKEAENAELTRYASSNQQQTMANKSD MGVLAKRRNNQAFQRNAEAMLEERSIAVERDATRSQRTRDQNDFIAKALEEERRTQDR KEREIQRICESSEELRELESMLKVAYVNKERAVQQAERETLSQIEVAREKAIEVQMEY DRQRSLVDMAAKEFGKRVEAVASKQSIQQQMLDRLKLHEEAAREAEMDKHKVDTIMRK IEEEDRLEQEKRERYVLEAKKLIEDSKLQRSKERDELLRREKEEDLRILQHGKHVELR EAGIKEKLAEKKKREDELFLAVEAEIQRQRKEEDEFARLRDELWEEEVLEARRHKERE RAQKKQADKEDMMRSNDQQQVLKAQLVAEQKRKEDEYNEFLKRKFASEERRAMEMDMF RRRQKEEYKLSIAAQNQHKQAMYHHELEKEREEAARIADEEAFKKAVVEEAKRRLLDE HAAKLHGYLPKGVAKEVYQRGHMGSR H257_08530 MGIRGLTAYVAARQDACATEVDDLKNVTLGVDLDSFLHFACREL ANSYNAQWLLLGGDARALYVWVREWMQPLFKRKIRLKFVRDPPGMLNIIKDVTHGKRR AEKTDKTKALMRGLFATTTPSSPQGVDDNITVPAPPSTMTDPSDLVQLTADAATNLMD TQAVFAFARKALVRCLKHAGCTIVTAPTEADETLGEMVRSKAIYAVVARDSDYLFMYK MRYITLSSIVVDPSSETVRATVFDTDQLAAASGLAPEKLVEWAIVCGNDFTPYVDLHF HLAEALHLPSLRQTHGNYNIGDALVWLQTHLGPSNNWLDDPEFHQLLADDTDLLAHVY GIYSFYGAGDQVKSRFPHASRHSVLSAAEWKYVRKLLDKLMLPSFTIDVLYDQRRSIN KRSMLLGLSACPMLAAARAGTYGLLGQTHVEEYETCIVTGDRLTRTVQVNPCDMVLKT MNQHAKSKRVHKAKAMVLTWVESSPAVHAAMAAWHPPKKQPDLKYVGYALGMVLASTP VNRLSSPSWMALLLTSVVSICLQKDKRGGFPFASSVGLTRELVELTARFLSSLEALSH VFDILTLHTDVNMHAFYSASLVVYFLSNGPISKSNVRDILLDPALGLSAENVMSCLWS FHTLMAVFAKALPPSSVPSTSSSLLMPSQVIAKHVDKMAAKGTKAAPTKQKHKPVQAA SAQTPSTDDKSPLVLEPLAWDEDVDKSLVESKQQPPPRVADLRQLIFTLPVYKHKAEI LHHVATNPLVVIQGETGCGKSTSVPQFLLDEAIDTANIYVTQPRRVAAITLAATVAKM RGETVGKTIGYRVGQVQKDSEATQITYVTTGYMLERLIHSPDSVDRVSHLILDEAHER SMDMDMLLLMLATNWHLWPQLKLVIMSATMDSSIFFQYFKPVLPVAMAHSDELFVGSA LHPVKTLFLEDMKRIPGLKVTKLADSLNQWDKAIMHDVELMTKKLQNVVTAQLDLCVQ VAHTIVQSQGGRGCILIFVSGLSDIQYLHERFETWKMIELFVLHSDIEIDDQAKAFEN VEGKLKIILSTNIAESSVTIPDVTHIINTALEKQIVIHSQTKTEVLVRSWCSKASVKQ RSGRAGRIRPGVAFHLFTKQFMDTCMDEYTTPELLRKPLDKVVLQMKAQMQHIGLPSA LLANALTPPDLSNIHMAYKVLHAYSAVASPSEKDDITAFGLFSVHFPLDIRLCRLLMY GLSAFDWGVGVVDVVILVAVLASPDLHLSPSRFHIASAAKYVEEMKAVLAAKFELQEG QVHSEPLAHWKLIVECLACPHKGHVTALLKKYAISFRRFQTMLVLVGELCARLMRLAK KPTYQNLRALQPSMLRHLVLLQKFSARMYSPDPWTYQHAPLPLLRLLIVLNYPDCLAR GRLPDTKPTKGGGNSKESKKKSQTNQPPTQLALQVDRVCKWGLQIPPADFKQLVAPLM LTPETMNVAKRLDGFDLSVSSSSDPLPFSLSLLFFLRERKFPVDLPVLPLWIPGPGDP DALRVRFKELKVGGPIRWLQSPSGKSLNVSGRSIFGLPHTKLDKKMVVGVFAEQLLTG DGSTLMGGCCTLLPPQVDTYYPIVMLMTTKRDVWLYMDGRREFWTQAKVDGDNFAVDN GSVALQPTMNIINTVRQELSRGLVQTLLKRDDSNEAAISTSDMDALFAVHTKKLKGKM DWVRLTLDTTVNVPYPPFHLE H257_08530 MGIRGLTAYVAARQDACATEVDDLKNVTLGVDLDSFLHFACREL ANSYNAQWLLLGGDARALYVWVREWMQPLFKRKIRLKFVRDPPGMLNIIKDVTHGKRR AEKTDKTKALMRGLFATTTPSSPQGVDDNITVPAPPSTMTDPSDLVQLTADAATNLMD TQAVFAFARKALVRCLKHAGCTIVTAPTEADETLGEMVRSKAIYAVVARDSDYLFMYK MRYITLSSIVVDPSSETVRATVFDTDQLAAASGLAPEKLVEWAIVCGNDFTPYVDLHF HLAEALHLPSLRQTHGNYNIGDALVWLQTHLGPSNNWLDDPEFHQLLADDTDLLAHVY GIYSFYGAGDQVKSRFPHASRHSVLSAAEWKYVRKLLDKLMLPSFTIDVLYDQRRSIN KRSMLLGLSACPMLAAARAGTYGLLGQTHVEEYETCIVTGDRLTRTVQVNPCDMVLKT MNQHAKSKRVHKAKAMVLTWVESSPAVHAAMAAWHPPKKQPDLKYVGYALGMVLASTP VNRLSSPSWMALLLTSVVSICLQKDKRGGFPFASSVGLTRELVELTARFLSSLEALSH VFDILTLHTDVNMHAFYSASLVVYFLSNGPISKSNVRDILLDPALGLSAENVMSCLWS FHTLMAVFAKALPPSSVPSTSSSLLMPSQVIAKHVDKMAAKGTKAAPTKQKHKPVQAA SAQTPSTDDKSPLVLEPLAWDEDVDKSLVESKQQPPPRVADLRQLIFTLPVYKHKAEI LHHVATNPLVVIQGETGCGKSTSVPQFLLDEAIDTANIYVTQPRRVAAITLAATVAKM RGETVGKTIGYRVGQVQKDSEATQITYVTTGYMLERLIHSPDSVDRVSHLILDEAHER SMDMDMLLLMLATNWHLWPQLKLVIMSATMDSSIFFQYFKPVLPVAMAHSDELFVGSA LHPVKTLFLEDMKRIPGLKVTKLADSLNQWDKAIMHDVELMTKKLQNVVTAQLDLCVQ VAHTIVQSQGGRGCILIFVSGLSDIQYLHERFETWKMIELFVLHSDIEIDDQAKAFEN VEGKLKIILSTNIAESSVTIPDVTHIINTALEKQIVIHSQTKTEVLVRSWCSKASVKQ RSGRAGRIRPGVAFHLFTKQFMDTCMDEYTTPELLRKPLDKVVLQMKAQMQHIGLPSA LLANALTPPDLSNIHMAYKVLHAYSAVASPSEKDDITAFGLFSVHFPLDIRLCRLLMY GLSAFDWGVGVVDVVILVAVLASPDLHLSPSRFHIASAAKYVEEMKAVLAAKFELQEG QVHSEPLAHWKLIVECLACPHKGHVTALLKKYAISFRRFQTMLVLVGELCARLMRLAK KPTYQNLRALQPSMLRHLVLLQKFSARMYSPDPWTYQHAPLPLLRLLIVLNYPDCLVE SLCCYHRYPFTLSIFYSFL H257_08531 MSTEHSQRIRQAWNDSVPWAYLDPVHQNVPLDVRDASLRPPPPR VPATFDIFIGIANFRDGPRCGFTLFTAFSRAQHPDRVIIGVVDQTQDDDTTCLDEYCK LAEASGWNECKFKSQVRVDARDSRESKGPTLARWQQQQLIKNEEFCLQIDSHSQFLQD WDTLMVDEWLKTDNEMAVMTSYPMNYGFMGPGHTRPDHYASHLCTYNKRHNTHDVPII GGYLLVDDHNAPLMSALWGGCLSFSKCHAERRAPNDKHMNWVFWGEEYLRSMQLWTRG YDLYSPSKYGSVVFHNWSDDKGMKKRFSDNVTQVMTKEQHDKEEELAYNRLRMVLTLP FDGPVDAQEIDKYHGGKVRSVEQFLRFSGISNVDSNLDEYRCEQLHWVPYAVPEIIEE FLPGYKMRPMPVVRQVDQERMQDQWSQLVNGSWRGEEKQLLVQLLAQLEAIKQPSADQ AQHLREMRHQLGQLTQPHQGLWPLAFVWLGLISVWLVFNRRRTA H257_08532 MDRAHVQVAFTTTNDDERVKLYSVDDNCYGCIMQPMTREDCDAS RDDCADLAPNENYTFVVQTVYPFTMELRNLDGDKLWVATGIMFDEHGNYSLVANRSET GAVTSSMTKTSDGSSNALITVLLVLVLLWPLACFGLFSWRKHKASRRHNVTVTATHAA NAMHSDIHVDEMLQELPPSPEAVANKKPPRVECLDVFRGVTIFTMIFVNLGGGGYWYF THVAWNGLSVADVVFPFFVWIMGVTMNIGVASHVKKRTPLLNMLLDAVLRSVRLFLLG LLLVNDFRSLHGGRIPGVLQSFAFAYLMVNVAIIAGLACKSSGYLQRAVEGAVMLVVV ATNLGIAFGLPVPGCPTGYFGPGGIGDGGLYANCTGGAHLVVDLAIFGDSYLNQGGTP ASVYETTGSWDPEGALNWLMVSFMAYIGYVLGGWFLNEPGWVRKTGLLLGSGAAMGLT GLVLCGFRINGGFIPINKNLWSLSFVLVVSGLACAVLAATFLLVDKFGIWGGTPLKQN GMNAIALYIGHEMLMNHAPFSWDRDTSSHVENTLSNLGGAVCWTIVAMWLHQAGIFIT V H257_08532 MDRAHVQVAFTTTNDDERVKLYSVDDNCYGCIMQPMTREDCDAS RDDCADLAPNENYTFVVQTVYPFTMELRNLDGDKLWVATGIMFDEHGNYSLVANRSET GAVTSSMTKTSDGSSNALITVLLVLVLLWPLACFGLFSWRKHKASRRHNVTVTATHAA NAMHSDIHVDEMLQELPPSPEAVANKKPPRVECLDVFRGVTIFTMIFVNLGGGGYWYF THVAWNGLSVADVVFPFFVWIMGVTMNIGVASHVKKRTPLLNMLLDAVLRSVRLFLLG LLLVNDFRSLHGGRIPGVLQSFAFAYLMVNVAIIAGLACKSSGYLQRAVEGAVMLVVV ATNLGIAFGLPVPGCPTGYFGPGGIGDGGLYANCTGGAHLVVDLAIFGDSYLNQGGTP ASVYETTGSWDPEGALNWLMVSFMAYIGYVLGGWFLNEPGWVRQSGYGTVYVRLMLKA GA H257_08533 MNIDTALFKVNYSGADNVTLFAIHDNCWECDLRPTVRNNCKPGI ETSCVSFTTTADFNFTTVYPWDLELRKSSDNTTVLWSSRQSFDEHATYQLNVTTDAAT GKVITSTYAQIVTGSSSSALPFVLLGLVLMWIVGCFGSFFWKKQTTVTVVKSPGLQEE MLPRGSRDDGLCAPQATALPLQVQDVAAPVVAPKKERVVCLDVFRGIDLFYMIFCNQG GGGYWFFEHAAWNGIMPTDFVFPFFAFIMGVTMNIGMSSHEKKGTALWRVLFDHAIRS VKLFLLGLYCNNYRNLTTGRIPGVLQSFGFAYLVCAIAIVAGRACQSASVPALRRAVE GGIILAVVAIYLLVAFFLPVEGCPRGYFGPGGDSEHGKYPNCIGGAHLVVDRAIFGDI HLYQHGTSGKIYNTKGGWDPEGFLTWLMVAFCCYIGYFFGGLFLRESCWKKKVSVLAI PGVVMILLALILCGFKINGGWIPLNKNLWSLSYTLCTSGAGCVMLALLYVVVDKFKVW DGVPFKYNGMNSILLYIGHQTLYNHTPFDFDRDDNSHFEKTLVNLTAALLWTYVAYWC YKRNLFFTV H257_08534 MTPQAQDNHASVCVEKSARDSFAAVTTPVMEDDSATVPPMSTGS VDFSSISPPLKKVRVVCLDVFRGVTIVIMIFVNLGGGGLEPFMHAGWNGLQPADCVFP FFAWIMGVTMNIGVASHAKKGTAPWRMLRDNLIRSIKLFLLGMFVNNVRNLSTGRITG VLQSFGFAYLVVSISVVLGLYCKSDVRKWQQRAVEGVVMTGVVATNLLLTFFLSVPGC PTGYLGNAIESCTGGAHYYIDQLIFGDAHLYYPGHIDPEGFLNWLMVSFVTYLGYVIG GVFLAAPAWKRKVTVLTASGMVLGLVGLSLAGFSVEDGPIPINKNLWSLSFVLVVAGL ASVVLCVLYVLVDKLEWWHGMPIKETGMNAIALYVGHEVVGQHAPFGWDRDEEVMAQN VLSNLGGTLCWVVIAMWMFKHGIFITV H257_08534 MEDDSATVPPMSTGSVDFSSISPPLKKVRVVCLDVFRGVTIVIM IFVNLGGGGLEPFMHAGWNGLQPADCVFPFFAWIMGVTMNIGVASHAKKGTAPWRMLR DNLIRSIKLFLLGMFVNNVRNLSTGRITGVLQSFGFAYLVVSISVVLGLYCKSDVRKW QQRAVEGVVMTGVVATNLLLTFFLSVPGCPTGYLGNAIESCTGGAHYYIDQLIFGDAH LYYPGHIDPEGFLNWLMVSFVTYLGYVIGGVFLAAPAWKRKVTVLTASGMVLGLVGLS LAGFSVEDGPIPINKNLWSLSFVLVVAGLASVVLCVLYVLVDKLEWWHGMPIKETGMN AIALYVGHEVVGQHAPFGWDRDEEVMAQNVLSNLGGTLCWVVIAMWMFKHGIFITV H257_08534 MTPQAQDNHASVCVEKSARDSFAAVTTPVMEDDSATVPPMSTGS VDFSSISPPLKKVRVVCLDVFRGVTIVIMIFVNLGGGGLEPFMHAGWNGLQPADCVFP FFAWIMGVTMNIGVASHAKKGTAPWRMLRDNLIRSIKLFLLGMFVNNVRNLSTGRITG VLQSFGFAYLVVSISVVLGLYCKSDVRKWQQRAVEGVVMTGVVATNLLLTFFLSVPGC PTGYLGNAIESCTGGAHYYIDQLIFGDAHLYYPGHIDPEGFLNWLMVSFVTYLGYVIG GVFLAAPAWVLLLLVLLVVLVSTTNGVGWLILLRRSGK H257_08535 MADNTTNLSTTAHYTLAIEDVETSSKPVVDAVGIPRQKTTSTSR VVCLDVFRGITIFAMIFVNLGGGGLEAFTHVAWNGLEVADVIFPFFAWIMGVTMNIGM ASHVRKGTPPWRILLDSFVRSVKLFLLGLVVNNVRNLNTGRITGVLQSFGFGYLLVTT CIIFGGIVKSDVRKWQQRAVEASSMLAFVLANVLITFYLPVKGCPTGYIENDIEGCVG GAHLHIDLLLFGEEHMYIPGFNDPEGFLNWFMVAFMAYIGYVVGGSFFQARESRHKLM VLIGAGICLVFVGLALTGFSVVDGPIPINKQMWSLSFVLTVAGLASLSLALLYAAVDK FVIWRGMPFMQNGMNAIALYVGHEVMGQHVPFGWDIDDESKTQRVLSNAGGAICWTLI ALWMHKKGIFITV H257_08535 MADNTTNLSTTAHYTLAIEDVETSSKPVVDAVGIPRQKTTSTSR VVCLDVFRGITIFAMIFVNLGGGGLEAFTHVAWNGLEVADVIFPFFAWIMGVTMNIGM ASHVRKGTPPWRILLDSFVRSVKLFLLGLVVNNVRNLNTGRITGVLQSFGFGYLLVTT CIIFGGIVKSDVRKWQQRAVEASSMLAFVLANVLITFYLPVKGCPTGYIENDIEGCVG GAHLHIDLLLFGEEHMYIPGFNDPEGFLNWFMVAFMAYIGYVVGGSFFQARESRHKLM VLIGAGICLVFVGLALTGFSVVDGPIPINKQMWSLSFVLTVAGLASLSLALLYAAVDK FVIWRGMPFMQNGMNAIALYVGVRNEGCLFYISICLQCVNSLGARV H257_08535 MADNTTNLSTTAHYTLAIEDVETSSKPVVDAVGIPRQKTTSTSR VVCLDVFRGITIFAMIFVNLGGGGLEAFTHVAWNGLEVADVIFPFFAWIMGVTMNIGM ASHVRKGTPPWRILLDSFVRSVKLFLLGLVVNNVRNLNTGRITGVLQSFGFGYLLVTT CIIFGGIVKSDVRKWQQRAVEASSMLAFVLANVLITFYLPVKGCPTGYIENDIEGCVG GAHLHIDLLLFGEEHMYIPGFNDPEGFLNWFMVAFMAYIGYVVGGSFFQARESVRNHV AYCDDIGLYQRWDCLYIVSATS H257_08535 MADNTTNLSTTAHYTLAIEDVETSSKPVVDAVGIPRQKTTSTSR VVCLDVFRGITIFAMIFVNLGGGGLEAFTHVAWNGLEVADVIFPFFAWIMGVTMNIGM ASHVRKGTPPWRILLDSFVRSVKLFLLGLVVNNVRNLNTGRITGVLQSFGFGYLLVTT CIIFGGIVKSDVRKWQQRAVEASSMLAFVLANVLITFYLPVKGCPTGYIENDIEGCVG GAHLHIDLLLFGEEHMYIPGFNDPEGFLNWFMVAFMAYIGYVVGGSFFQARESVRNHV AYCDDIGLYQRWDCLYIVSATS H257_08536 MDAANARKAAFERIRKMPSWTERAAFVMSQRRRRHQRRKGHRHH RDVSPVLESIDVFLSNEDEPLEAEEQPPFLPDIDTLVSRRRIRQTHKAWVQIDPLQVM FTDFYKMMEG H257_08537 MTLKTEGELRGLVAVIQDALATNALNALESIASEPMGRCFLGVA GFLEFAMAKVGAFTKSIAPSDDEVGAAEQVLSIVAKVMKDEDNAVHAAQLGWHTLLLK LLLHDNELISDAASEVVVTCATHNCNTTGINISFPYTTLPLEPPTRPWPQLQALPRDA RDADVPVVLIRAVKRRMTGQPKTGYLLWGAAVILARWIHLHRELFDGKSVLEVGSGLG LSGIVAGAYSLRTILTDYQQDTLKALAYNVMLNQTMGTTVEHLDWDHLDKTNEQAKVD LVIASDIICDPTTAEGFANVIRTRLSTSGVAYLVNATGHSRFGVGRLRELLLAPPFDT TIVPVETLPDGLRLLDTVWDAKELRYEHYTIRLK H257_08538 MADSVSVDDVSVQVADNDGASSEHFNEGTSEGRVDVGIHPLVLM NLCDHWTRVHAAASLTGPPTAKPVVGALFGIQKGREIEVIDSFELPSAHELSVSDSNL SLKAFLTQRTEQFATVFPGFEFLGWYAVQDKIHASDLATHRMLMEFNESPLFLVLDPT PPPAQPKDHAKVKLPLALYESELHVVNNAPTMLFVKTPFKVTTTESEGIALDHISKVA PTNATAQSSLHGSLGSLRDATHMLDKQLGVLRRFLEATKNGEIPVDHALLRQVASSCQ QLPAMKSALFDASFAQEYNDTLLVTYLATLTKGMACTNAVLDKFTIAQERPARSFMM H257_08539 MSSGQKVGAAVFSSIVVGTASMGVWQTNRYFWKLDVIEQRKKRL DEPVVTLPSDVTAATVADDLEFRPLKLDGIFVPGSTFYLYPRSPPIDMQDTKGGRVSS GGYIYQLFQRQNGTSVMVNRGWLPKADMEAHRDAAPSPASSKVETIVGLLVQGEEEKT FSPPNEPEKRHFFWLNQPQLAHAMGATEYVPVLVDQVAPDDDTERPAGEPCRKAKQNY LEFYMTPWKHATYAGIWFTLAILGTGMVVTRFRPAATRRVKPVHR H257_08540 MAALRKPKRPILGALEEGDELEPAEPPTAAGTPPMEKKRLQLTI KNVLLRAQVTRLFTDKPVNSIVPEIPSSIPLHTPSSVKLAPIAAPPQRPSAVPSPAAS PPLIFNHTVSQNGNIWSSVVRKAMLKAPQEELALASHEHDSITNDTIDNSKQSLRALL QSPKVKLYVDRFSGRAGTSVRPLPQSAAMRKWSKVNGSSSMADMESSNQETSSEGGST NDDDHGAAVEARKKEASQPDYGRNLVLAESCRAAFCRDAENRSTSDLQALRTWFQKTK LKTCTDFESLQAMELTLLCRRMKLHAYYPNEVVFKQGDEGDALYIVFSGKVEVRVNQK IMGDFIEVVVCEMNKGEFFGERSLLNNEPRAATIVTKTATELVSICRDDYDVMLKQDQ QAFLQKAAVAGSRRLSQVLLNTHDTYIKILKKKPSTRSKTDLVTLATFLQTLKFFRGL PKTFVQELCTIIDLINVDANTTIFREGEIGKLFYVILSGAVDVKISAVDQRGGTVQTK LVNLGEGSHFGDLALMKKDGLRSATVVSTHSCELLIIAEKDYNSILKKLQKEDMQKRM ALLDKIPIFQSVEWTSEIMQELSYVLMEQRLAAGTALYKQGDKAVHLYFLTRGEVIIS KSVVDPKSHKEHLVVVDRLGPFNVLGDDAATGKNFNEPVIRSETATANTPIEVLALSK YDVFNRLSRTARETLRGHTHRHKHPVVVFDQLYKTLKWNQFKAKVVHDEVHTDRLNKI LPTSGRYVKPTKAAPATTSSLYQRGHMQHAPLIEGNELLLLSPVKPTPPVKTLASYTL NYNPEITTQDRPALLRHVLENHSKAARNTLDEGNPMVYLEYLAPLALTSTSSQKDHFE DIIHPTDSVAENFIFSIPSSPRRHKKSRGDLLTKGSSSKAATSSTSAVVVASAPPRLH SHHGFVIVNIASQELHPVSPQPAFRLVGHFATSADARDRAAQIEAHENSHSYRSFKAD PHPVEFFVIETDKHALVPPSMDRLMSPQYCNQRLHEYLHDHGNLNKLHASFCQLTQSP TGGHQDMGGTMSSHAIARIMHDLCGHLECLHLVPATTTSPPTTCNPNDSFKKKTPTTT LQLQDIEHNHMPLELKQSSCNFACISTLIVGDGTEPSLCVYGCFPTEADATAFAEHGF PNELSDQALLCVVPMYEWIYFEDATDWCVQMRKSQDRHNLAVHKKELHAIQQQPAAVA PVLAPHEAPKWMVEQQQGQRLHQLVCSHMGMKVAEKDSDMKAIDDEAYTLKSSTTLEQ KMEALHDILGARHQSTGLGSSLQKMQKVQRFGHIMKMRLQKGE H257_08541 MSKRCRDNADDRKESKKAKKSSHKKHKKHKKSRQDGPETTLTVD DYFQKAKEFRVWLKLEKGVYFDDLTSADAQAAFEKFVSKWNANRLESMYYTKIPQQVL ESVHRTKHKWGFKMNDHERLELASTKDTVDISTNKETTSARPALPSHSTATSRATPKQ PASSPREVDDSDDDRKRAKRDRKAYNKHKDMVHEELAPKATGRDAAIEKKRQVGAAVH GAARQKDDAKDGLTLSEDFLMGGSDDFQARVQRRKQAQGRRAEEMNQRVTAAKDAEAA RMQKFLADMGIQPGQERITIAPRQQ H257_08541 MLESKKAKKSSHKKHKKHKKSRQDGPETTLTVDDYFQKAKEFRV WLKLEKGVYFDDLTSADAQAAFEKFVSKWNANRLESMYYTKIPQQVLESVHRTKHKWG FKMNDHERLELASTKDTVDISTNKETTSARPALPSHSTATSRATPKQPASSPREVDDS DDDRKRAKRDRKAYNKHKDMVHEELAPKATGRDAAIEKKRQVGAAVHGAARQKDDAKD GLTLSEDFLMGGSDDFQARVQRRKQAQGRRAEEMNQRVTAAKDAEAARMQKFLADMGI QPGQERITIAPRQQ H257_08542 MAIGTTTTTLVPRSESHVTQTITKLKTTIKSTVRAAFTPRLAPA RRNVSTRQRPAAPSSSSPLERMLHKASKTTAAACRALTTALRVPDAIAKPLAKFTSLA HKYVFSKLPTVKVLDKLKQFAKFFLSHSVASAALQSEHATRLVERFVRGWVGRVKGRI ALRHYKAAVHSAVTTNIMHLLDATTAHVASQLHQAWYLKQTYAANRIQHAWRHASSRW ALEAHDTHAAIQYLRETGRRLVCRRQAESVVATVVMAGLQIMAVRLMQRWWRRRCRLR RLAKARRRRRRTRPPQKTQQQHTCDSPGHHQRELVVATLKRVWAREDFAPSVRPSRRR HMVAIPPRPTLAPLSSVTPPRTHGVRKMNVKTWHRLQGYVNPKQCWVAIPVQVHPATS TTSLAPLRHRHYQLEYDWIPGPLLQDPPTPPLTFEQKLKRFDQARRTNKGMQTKDRAN KSPSEPRL H257_08543 MRALTPFLKTSLHAVLTAPLQDVLDTRERDGANYGMMGPHIVLV SRVDPQTNAVLVNDGTHSMWAFLPPTSVQACHRMYPHIRAMKDFRGCVLRVEVCHFST TGRVSTAFQSTTSLGRICLHITCMEIIDVPSISPNESPHVLNDPSVASLVDMPLARLE PRLLPHSIASPITSHHQQHPTNTFNHAYMFHEQHPLTLEDCIIPSDQWRQLEGLVMDM TDSQLIAQNGSVPIPPSSQSQPPAAALPHSTASDPSSITMLPSTASPTHDIITDQAVV EASNLPTTGPTQASSAPSPARPYAFCHQSLPDNFIVDPDSSSASSSSPTPRLTSTPAR LPRNATPVPSSIDKENSPHASAGTPMTTSSGHSTSSGFKKQPLGNATNLIPSPNQVED EPHDALTRKSPIRTAALRRRTKSTASMSSSSSTSNRSQFSSRYDWDDETKDDAPDFRG PHEIASNKRVRNQSTSSAMVPAPAAVTGFSQWQTQPPTQPVVHPSQRSAKRPRWTSYD RKANDQELMAVLDDPQHNNSSSTDDDDQNQHHVTREPDFFRAVHFRPSIRRGVLYSIQ MATPDCTTAVLEFIQGARRGSR H257_08544 MEASLFPMDNCRQRPPRAASRQPSTWSRGLMRLALVSLCAACLA LVYYPRPLLPEFPQPVDLKATTTTTTHSLPSFLFVGDSITELGSHPDLMGFQVLFQKD YVRKADTINRGNSGWTTRKWILALPTLLAEWRTKPPTLVVLFLGANDACLTTGADAWA HVPLPEYKANLNTLVRAFQTSFMHSRVLLVTPPPFDDTSIVWKDTRTNAETGRYAAAA VDVAKRANVPVVDLWTALQPRIGTIFYDGLHPNANGNVHIHSLMRQTIRTAYPDLTPD QLPVVYS H257_08545 MCDDGSDVREQILARSIGTACQSSLRARSQRDAVCGGTVVGRDR VGGRDVQEAKRIRVPPRASSLGQCCRARRVEVYEVLGPQIIGNVARSTCPRDGSGQGR AGRGKPRDLRCVTRRRRGSARRVRRCSDFCIARRRPAQPVRVDGVGVGSVIGKDSFER RRSATFQVVSARLSQRDGVGGDDIGVAVSARHRDGHAQSSNKVSELHDDW H257_08546 MFKFCPECGVKATAGSAKFCAECGFRFEQGQTTAPPPLPATPDV VKPPAAAVGGSIPMAVPVRMPSSKSGTYVPVAHVVVPPAATGAEAQTIYAQCMDTIRA SRGGNNEAGVKAFRTNCKAYGLNEVEATTFHASLVAELGAEATSALVPHLVRLIPDED KRRVLLEVDAATTTDQLQRMSISSDGDSERASFNANSKLNPNSSRGFLSGRYSDHPNC DICRASFDVYKRRHQCRFCGMYVCSACSPIKLLIPLGQEIPGCPGYVEAEPQRVCIQC APRLHPMQDDLVAKHGMLHRDNQHQAAGRIHVPYSSTMEKECRNAADIVGNFFRDDWG ASKDRSIPASFLEKAHGLAILTIAKAGFLISAQAGTGLVIAKLPDGTWSAPSGIGTVG ISGGFEIGGELVEVMIILGSPNAVKVFHKAQVNLGAGLDITVGPYGRAAQAAAMVGPG GLGANYAYSQSKGFYAGLSLTGTIIAVRKELNLKFYGRSLEASAILSGDVEQPNAAKP LYEALHNAMEGVKNHRLETARRVEAMGACRSCGCRTFVPHTTQVWNKNCKTCKHIH H257_08546 MFKFCPECGVKATAGSAKFCAECGFRFEQGQTTAPPPLPATPDV VKPPAAAVGGSIPMAVPVRMPSSKSGTYVPVAHVVVPPAATGAEAQTIYAQCMDTIRA SRGGNNEAGVKAFRTNCKAYGLNEVEATTFHASLVAELGAEATSALVPHLVRLIPDED KRRVLLEVDAATTTDQLQRMSISSDGDSERASFNANSKLNPNSSRGFLSGRYSDHPNC DICRASFDVYKRRHQCRFCGMYVCSACSPIKLLIPLGQEIPGCPGYVEAEPQRVCIQC APRLHPMQDDLVAKHGMLHRDNQHQAAGRIHVPYSSTMEKECRNAADIVGNFFRDDWG ASKDRSIPASFLEKAHGLAILTIAKAGFLISAQAGTGLVIAKLPDGTWSAPSGIGTVG ISGGFEIGGELVEVMIILGSPNAVKVFHKAQVNLGAGLDITVGPYGRAAQAAAMVGPG GLGANYAYSQSKGFYAGLSLTGTIIAVRKELNLKFYGRSLEASAILSGDVEQPNAAKP LYEALHNAMEGVKNHRVNAILNISQT H257_08546 MFKFCPECGVKATAGSAKFCAECGFRFEQGQTTAPPPLPATPDV VKPPAAAVGGSIPMAVPVRMPSSKSGTYVPVAHVVVPPAATGAEAQTIYAQCMDTIRA SRGGNNEAGVKAFRTNCKAYGLNEVEATTFHASLVAELGAEATSALVPHLVRLIPDED KRRVLLEVDAATTTDQLQRMSISSDGDSERASFNANSKLNPNSSRGFLSGRYSDHPNC DICRASFDVYKRRHQCRFCGMYVCSACSPIKLLIPLGQEIPGCPGYVEAEPQRVCIQC APRLHPMQDDLVAKHGMLHRDNQHQAAGRIHVPYSSTMEKECRNAADIVGNFFRDDWG ASKDRSIPASFLEKAHGLAILTIAKAGFLISAQAGTGLVIAKLPDGTWSAPSGIGTVG ISGGFEIGGELVEVMIILGSPNAVKVFHKAQVNLGAGLDITVGPYGRAAQAAAMVGPG GLGANYAYSQSKGFYAGLSLTGTIIAVRKELNLKFYGRSLEASAILSGDVRPF H257_08546 MFKFCPECGVKATAGSAKFCAECGFRFEQGQTTAPPPLPATPDV VKPPAAAVGGSIPMAVPVRMPSSKSGTYVPVAHVVVPPAATGAEAQTIYAQCMDTIRA SRGGNNEAGVKAFRTNCKAYGLNEVEATTFHASLVAELGAEATSALVPHLVRLIPDED KRRVLLEVDAATTTDQLQRMSISSDGDSERASFNANSKLNPNSSRGFLSGRYSDHPNC DICRASFDVYKRRHQCRFCGMYVCSACSPIKLLIPLGQEIPGCPGYVEAEPQRVCIQC APRLHPMQDDLVAKHGMLHRDNQHQAAGRIHVPYSSTMEKECRNAADIVGNFFRDDWG ASKDRSIPASFLEKAHGLAILTIAKAGFLISAQAGTGLVIAKLPDGTWSAPSGIGTVG ISGGFEIGGELVEVMIILGSPNAVKVFHKAQVNLGAGLDITVGPYGRAAQAAAMVGPG GLGANYAYSQSKGFYAGLSLTGTIIAVRKELNLKFYGRSLEASAILSGDVRPF H257_08546 MFKFCPECGVKATAGSAKFCAECGFRFEQGQTTAPPPLPATPDV VKPPAAAVGGSIPMAVPVRMPSSKSGTYVPVAHVVVPPAATGAEAQTIYAQCMDTIRA SRGGNNEAGVKAFRTNCKAYGLNEVEATTFHASLVAELGAEATSALVPHLVRLIPDED KRRVLLEVDAATTTDQLQRMSISSDGDSERASFNANSKLNPNSSRGFLSGRYSDHPNC DICRASFDVYKRRHQCRFCGMYVCSACSPIKLLIPLGQEIPGCPGYVEAEPQRVCIQC APRLHPMQDDLVAKHGMLHRDNQHQAAGRIHVPYSSTMEKECRNAADIVGNFFRDDWG ASKDRSIPASFLEKAHGLAILTIAKAGFLISAQAGTGLVIAKLPDGTWSAPSGIGTVG ISGGFEIGGELVEVMIILYAITHSSIFGFDRWML H257_08546 MFKFCPECGVKATAGSAKFCAECGFRFEQGQTTAPPPLPATPDV VKPPAAAVGGSIPMAVPVRMPSSKSGTYVPVAHVVVPPAATGAEAQTIYAQCMDTIRA SRGGNNEAGVKAFRTNCKAYGLNEVEATTFHASLVAELGAEATSALVPHLVRLIPDED KRRVLLEVDAATTTDQLQRMSISSDGDSERASFNANSKLNPNSSRGFLSGRYSDHPNC DICRASFDVYKRRHQCRFCGMYVCSACSPIKLLIPLGQEIPGCPGYVEAEPQRVCIQC APRLHPMQDDLVAKHGMLHRDNQHQAAGRIHVPYSSTMEKECRNAADIVGNFFRDDWG ASKDRSIPASFLEKAHGLAILTIAKAGFLISAQAGTGLVIAKLPDGTWSAPSGIGTVG TTYPSASLPPCFFVKLNRSTLLLSRRYFWWV H257_08547 MAVSVARADCDADVITANAVTLTQACTDDLEGGTPPTLETVFAD YRTNANTIYTYGLCGSTTCNAEIAASTYPTCTPTTPTSYTAEIAGTTTTTAAPGSTTT TTAAPGSTTTTAAPNVTTTVAPANTTTTVAVTTTTVAVTTTTVAPTPTPTPTPTPTPT ASSASTLGSAVAVMVTVVAAATTL H257_08548 MAALNAVAIVANVLVPGAGLVIQNLPQIASFLAGINGKCDQLSV NEAHFQRVSDRLHELLSQLTAMETKGQLPSVQVVKRYAELLESFDAFLTKYMASNFLA RLLTQDTVLNKITVFHQEVDELLVVLNLAHIAQMTDWRAQYDADQQIEGAKWTALLQS NRLLVDECSDARKQREAMMRLLHSMQHSNNTTGGSQKQQRQLVKQAFQTLQRLSHAPI EAVPPWFIPPEDVSVNPVAFARGATASVFHGMWRTHTPVVVKCFDTSSSCERELDLWF GLRHPHVLTLYGACHVGARPFALCEVARVSLDQCIVECEQPLSHEKLSRWLWEAALGV QHLHGQNAVHGDLKCNNLLVTHDGHVKVADFGCSFHVHRGDRPPRSSPTMNVGENECQ EEGGLRWTAPECLTNSSRTFASDVYALGLCFVEAATRNVPFPECTTDATVKDAIRNNL LPDLSRDNGICTALHSLIASMCCADPSSRPSIATVVDALHDILQAAPQAATCSYCASS LLATALFCHSCGSVVQKPCEDAPSTHLVDPLPSQAPSLLTSEGSTTTPVEVVVREYGY ALKSVTEEDATDDTKDDASKRRLHRQSLHLSTSDVQSMVANDDVDSLVNLLVHGRGPI QERALQALHNMTSEATKLVASGVIDPLVHLTTRGVTPTCKELAAAVLGHLAFRSADIA ATIRNQGGVTALLKLLRHGTFVQRSFALRGLAHITAVDAASCAMVVADNGLAAVYDML RGGSGQLLEHAMWILANLSDEADDFALDVSVLPPVVTEVEDMSYDQQHHALRLLANSI GVLPRKITVSLIPVLVTMLRRRQHTHVLVLALATASYISDEFAIQVVEAGAVPLLWTL FQQNQHPQACLVALNNVAISDDCRCQLSRNRGLQLGLGCLVQSQDPAIHTTALHLCFN LALEATNREWMVELGGAGAVLQLILARDDLVLLGLETLARLTLITSSIDSFVPIVAWV VQQLVPRRRDGTPFVDLALELLQNAIATTPGRCEEAFLSAGGVAILLKLLPKCTSHRV SAVLANVATRAETVATIAKEPETVHILATTAGPPSSHLERLHALRCIANMTFFEPGVM VGVKGRGIPLMFDLLAKPREPQGRRAELQILALAILFHLAHHPQFQTLLIDDPAIIRK LRAFATQTNHPSSNVALSTLRLLNVGTTTTAFHAPFSATSMYAVAVAKLKRAVFASNQ DEEKRIESLATVLPLLQHPKTRRTALDVLTQQIPHGIKPEDIVASEEAFETILAALGA TPTRLSALRVVALIVDHMDSKLLSPSLHERMHAPLMYITKHGRSEAERSMAMEAVMTL GCHDDRKSSVTDDLVPESRSLLDE H257_08548 MAALNAVAIVANVLVPGAGLVIQNLPQIASFLAGINGKCDQLSV NEAHFQRVSDRLHELLSQLTAMETKGQLPSVQVVKRYAELLESFDAFLTKYMASNFLA RLLTQDTVLNKITVFHQEVDELLVVLNLAHIAQMTDWRAQYDADQQIEGAKWTALLQS NRLLVDECSDARKQREAMMRLLHSMQHSNNTTGGSQKQQRQLVKQAFQTLQRLSHAPI EAVPPWFIPPEDVSVNPVAFARGATASVFHGMWRTHTPVVVKCFDTSSSCERELDLWF GLRHPHVLTLYGACHVGARPFALCEVARVSLDQCIVECEQPLSHEKLSRWLWEAALGV QHLHGQNAVHGDLKCNNLLVTHDGHVKVADFGCSFHVHRGDRPPRSSPTMNVGENECQ EEGGLRWTAPECLTNSSRTFASDVYALGLCFVEAATRNVPFPECTTDATVKDAIRNNL LPDLSRDNGICTALHSLIASMCCADPSSRPSIATVVDALHDILQAAPQAATCSYCASS LLATALFCHSCGSVVQKPCEDAPSTHLVDPLPSQAPSLLTSEGSTTTPVEVVVREYGY ALKSVTEEDATDDTKDDASKRRLHRQSLHLSTSDVQSMVANDDVDSLVNLLVHGRGPI QERALQALHNMTSEATKLVASGVIDPLVHLTTRGVTPTCKELAAAVLGHLAFRSADIA ATIRNQGGVTALLKLLRHGTFVQRSFALRGLAHITAVDAASCAMVVADNGLAAVYDML RGGSGQLLEHAMWILANLSDEADDFALDVSVLPPVVTEVEDMSYDQQHHALRLLANSI GVLPRKITVSLIPVLVTMLRRRQHTHVLVLALATASYISDEFAIQVVEAGAVPLLWTL FQQNQHPQACLVALNNVAISDDCRCQLSRNRGLQLGLGCLVQSQDPAIHTTALHLCFN LALEATNREWMVELGGAGAVLQLILARDDLVLLGLETLARLTLITSSIDSFVPIVAWV VQQLVPRRRDGTPFVDLALELLQNAIATTPGRCEEAFLSAGGVAILLKLLPKCTSHRV SAVLANVATRAETVATIAKEPETVHILATTAGPPSSHLERLHALRCIANMTFFEPGVM VGVKGRGIPLMFDLLAKPRGVVQVISVDDDDLDDIVLIRRATRASGRASDIGAGYSVS LSPPSAIPNVANRRPRYYSKAQGIRHSNQSPIIECRSVNATVVECGHYYYCLPCSVFS DFHVCRGSSQTQASCFCKQPRRGKAN H257_08548 MAALNAVAIVANVLVPGAGLVIQNLPQIASFLAGINGKCDQLSV NEAHFQRVSDRLHELLSQLTAMETKGQLPSVQVVKRYAELLESFDAFLTKYMASNFLA RLLTQDTVLNKITVFHQEVDELLVVLNLAHIAQMTDWRAQYDADQQIEGAKWTALLQS NRLLVDECSDARKQREAMMRLLHSMQHSNNTTGGSQKQQRQLVKQAFQTLQRLSHAPI EAVPPWFIPPEDVSVNPVAFARGATASVFHGMWRTHTPVVVKCFDTSSSCERELDLWF GLRHPHVLTLYGACHVGARPFALCEVARVSLDQCIVECEQPLSHEKLSRWLWEAALGV QHLHGQNAVHGDLKCNNLLVTHDGHVKVADFGCSFHVHRGDRPPRSSPTMNVGENECQ EEGGLRWTAPECLTNSSRTFASDVYALGLCFVEAATRNVPFPECTTDATVKDAIRNNL LPDLSRDNGICTALHSLIASMCCADPSSRPSIATVVDALHDILQAAPQAATCSYCASS LLATALFCHSCGSVVQKPCEDAPSTHLVDPLPSQAPSLLTSEGSTTTPVEVVVREYGY ALKSVTEEDATDDTKDDASKRRLHRQSLHLSTSDVQSMVANDDVDSLVNLLVHGRGPI QERALQALHNMTSEATKLVASGVIDPLVHLTTRGVTPTCKELAAAVLGHLAFRSADIA ATIRNQGGVTALLKLLRHGTFVQRSFALRGLAHITAVDAASCAMVVADNGLAAVYDML RGGSGQLLEHAMWILANLSDEADDFALDVSVLPPVVTEVEDMSYDQQHHALRLLANSI GVLPRKITVSLIPVLVTMLRRRQHTHVLVLALATASYISDEFAIQVVEAGAVPLLWTL FQQNQHPQACLVALNNVAISDDCRCQLSRNRGLQLGLGCLVQSQDPAIHTTALHLCFN LALEATNREWMVELGGAGAVLQLILARDDLVLLGLETLARLTLITSSIDSFVPIVAWV VQQLVPRRRDGTPFVDLALELLQNAIATTPGRCEEAFLSAGGVAILLKLLPKCTSHRV SAVLANVATRAETVATIAKEPETVHILATTAGPPSSHLERLHALRCIANMTFFEPGVM VGVKGRGIPLMFDLLAKPRGVVQSHKGVGQSFRYWRWLFCFT H257_08549 MADNTVELIADNDVKGGPERRSGRAPKKPSQSYEDILEREDIVR SVAKIDRASKLAEAHGNAEEGESIDVDLTKQGKSSKKRNRGEVEEGYVSLTMPKEISV VLNSYSPCVMCHELLGLDVLSHCLDCCKYFHTLCLKDTTIPLNCSSCELTRDAINANP SYKNNEVLGEYNEMGPAAAAKHLFEYRMTLLHRYAHPDLHKHSKSKTSSTPSQVLVAA TPSKASPKGKRRPKGKASAKGRSHKRKAPSMATPAIHVDEIEQDEEEEEQDANSFFDQ TSIPVELPTKPKQTNTPPTDTAESTAKFNDMEPQQAPLHRLRRGSGDFTSFGEDVVKM EATARTGEPSADMKDFGGSIDVDLVPPPHMALLTAPTPSPPPQVEDIPMLDNIMDDEQ HAAVETETYESAWPAGMMFGDDAKEDDPDQTT H257_08550 MDIPVKRTFFPAIRLSPRETSGYYAKATRALEQVREAATTFSWK RVENKDGLVVGKVHIVEQHGTSSASRQRKNTACLVMRGTTTVRATVAEVVQLVGAADT ADARKAMRRLHGKNFVDTMTLARVHCGDDDDGRLQSCHVKWAAFKESDTSVDYCFVEF AGVQVDARGSPLGFCILSSIERPKEVMSMAALGFRRDEFHRTGFLVYPSLDNPEAVHV TAIAQSTSTDKTTSVDKLEATLHHRLSRVLLALPDFVTMRRLGCLPAVDRSQWIQDAC RKFCAVCLKSFHFLRKHHCRMCGEVVCGTCAVPREVDLPSLGSSALVRVCSVCVGRAH AVAGQQVQVFSRQRRPSSGVPPRHRTTLVSQVEGGATNNQLALDPFDVVDRQVLAQHY NRLHRRQDDDHDLDIRTTLGTADGHDDMHQDLATTAQPQRATSRASPNVPTTSHRLVY DSSGTSGHEHLQESEPHQEYQGMACTVASVGATQLRNVQELSLRLTRIRDMLNQNSMP PPSSVSTSWVMLSPSSVPHKSVHTQRRHALERDFEVLDDDDVRQDVLHSNDVAPELAD NNYSSNDDDGGLDDSSGSLHEEGGEGSGHSNGHEDLERSTSDLDSNDEDIHDDFRDDE DEDDLLVYNQAATSASFGHKAETNGGEPPPSSTAAKNKANLDGFDAHNRHVIPSDVDD LRFQISHLHHLLALANQKLDAVEASVAQSPQTHNPTHNVHRTVGVVELQTGNSATDPC VVQRRHAHRAVVLELHAIMGLDE H257_08550 MRGTTTVRATVAEVVQLVGAADTADARKAMRRLHGKNFVDTMTL ARVHCGDDDDGRLQSCHVKWAAFKESDTSVDYCFVEFAGVQVDARGSPLGFCILSSIE RPKEVMSMAALGFRRDEFHRTGFLVYPSLDNPEAVHVTAIAQSTSTDKTTSVDKLEAT LHHRLSRVLLALPDFVTMRRLGCLPAVDRSQWIQDACRKFCAVCLKSFHFLRKHHCRM CGEVVCGTCAVPREVDLPSLGSSALVRVCSVCVGRAHAVAGQQVQVFSRQRRPSSGVP PRHRTTLVSQVEGGATNNQLALDPFDVVDRQVLAQHYNRLHRRQDDDHDLDIRTTLGT ADGHDDMHQDLATTAQPQRATSRASPNVPTTSHRLVYDSSGTSGHEHLQESEPHQEYQ GMACTVASVGATQLRNVQELSLRLTRIRDMLNQNSMPPPSSVSTSWVMLSPSSVPHKS VHTQRRHALERDFEVLDDDDVRQDVLHSNDVAPELADNNYSSNDDDGGLDDSSGSLHE EGGEGSGHSNGHEDLERSTSDLDSNDEDIHDDFRDDEDEDDLLVYNQAATSASFGHKA ETNGGEPPPSSTAAKNKANLDGFDAHNRHVIPSDVDDLRFQISHLHHLLALANQKLDA VEASVAQSPQTHNPTHNVHRTVGVVELQTGNSATDPCVVQRRHAHRAVVLELHAIMGL DE H257_08551 MVPTRPVAASPMKSLLPATPEGGGGRSNRGAMKSLSVIPSATAP RVSTALREPRHATITPLTKPPIKEKLTFLTNLKDVGVELRPPSPFDERAVEADYGPQL RPLMPENYHGTDALSRFWTMFQHHDTSFRSKRPRPGSPRTTYLSRLRQLARCPEPLGV IRKCNSPRVDLHHYEIGNELAAAMGESIALIPGIDSLNLASNRISDQAASDVIANLGA SPTLGCLDMSNNLLNLHAATSLSAMITGSKTLVHINLEHNQLNNRAVTLLCDALKKNQ TVTRLNLSENQFSTPGMLALASMLAENAKVEELYLSWNKIRGVGAQRLVEALGYHTSL RVLDLSWNSLNSCPNNSVAAALATSLTNNKVLVHLDISNNALDAIACGILAAALVANH TIVGLHMVGNQGVVDSRGFVRPQSTPIALQAQHKHCAIKHFELHQDNQDPNTSLDAWW PYVDRACWLCGRWSEHRFVWTPSHKLDAKAKVKLHLSIDDWTGDEMNRSNDMFVLYRM LPPGKTKYFITVETEMGPGADKKRQYVVLKDKRTARLLRAHGDEPLFGTLQHVNYIAM TRYEGANPCHATLPRPGANTIKVLKWDIKKSVFVSRYKESPSKAPVDTDALVAKAYAV DLKHSKVDRIVRDVDRRRELEAAGAIHYRAITHLYRKYCARGVRVAVDQVSVSLSGFN DFLSDCRLIDESSERCKASDMDNVFVAANLEVTEEAKQQDNPDRSLTRFEFLECVFRI AINKYCNCKPVECESPALALHRIMDEHLMPMVPPDPNDFRTRYLYKEEISDCFLEYVV LLKELYAAHSGHYCRVGEPRGMAIQEFIELMETFHVLDDKLKQRDMRDLFFASKMLLL DEMAPPEVQKKLLYFTDFLELLLRVALARYPPSSPTVADAAIALQTLFVRHICAKEKL VETFQHSADKVRVLGAISRLERRPSKKGMLQSKASAKALQSPSDTPPA H257_08551 MVPTRPVAASPMKSLLPATPEGGGGRSNRGAMKSLSVIPSATAP RVSTALREPRHATITPLTKPPIKEKLTFLTNLKDVGVELRPPSPFDERAVEADYGPQL RPLMPENYHGTDALSRFWTMFQHHDTSFRSKRPRPGSPRTTYLSRLRQLARCPEPLGV IRKCNSPRVDLHHYEIGNELAAAMGESIALIPGIDSLNLASNRISDQAASDVIANLGA SPTLGCLDMSNNLLNLHAATSLSAMITGSKTLVHINLEHNQLNNRAVTLLCDALKKNQ TVTRLNLSENQFSTPGMLALASMLAENAKVEELYLSWNKIRGVGAQRLVEALGYHTSL RVLDLSWNSLNSCPNNSVAAALATSLTNNKVLVHLDISNNALDAIACGILAAALVANH TIVGLHMVGNQGVVDSRGFVRPQSTPIALQAQHKHCAIKHFELHQDNQDPNTSLDAWW PYVDRACWLCGRWSEHRFVWTPSHKLDAKAKVKLHLSIDDWTGDEMNRSNDMFVLYRM LPPGKTKYFITVETEMGPGADKKRQYVVLKDKRTARLLRAHGDEPLFGTLQHVNYIAM TRYEGANPCHATLPRPGANTIKVLKWDIKKSVFVSRYKESPSKAPVDTDALVAKAYAV DLKHSKVDRIVRDVDRRRELEAAGAIHYRAITHLYRKYCARGVRVAVDQVSVSLSGFN DFLSDCRLIDESSERCKASDMDNVFVAANLEVTEEAKQQDNPDRSLTRFEFLECVFRI AINKYCNCKPVECESPALALHRIMDEHLMPMVPPDPNDFRTRYLYKEEISDCFLEYVV LYMF H257_08552 MRWVLLLLVGLLAYTATAQQLLTSYVRVQWNSTNLTAIEGYEAV LRSSVVQFLAPNITANVTFLEIRASNANISVSQNFTIEFAVQANATTATASTFLTTLL NSTSTTSNLTRAITTNINGASITCAVATTPSSTRLQVAPTPSTVTDLASNASYIFVNL RYLGAPSFNATNFTGTAFSLSTLTRMRYALYSLLAIKTDEEATVLQVTNATVSSKRET DVLVFFRFNSTSRLANTTIATLVNGTTTGTVTTTLADAMTSFNFTARSYNLTVDVPWI PASANWWIITSATTTTNSSNTTNATSTTTNSTTTTNSTTLAPPPSNSTNTTKAPSQSS ITTPPPSTTTSIVFPTTKPVATTPQPTSPLPTTVVPQLDGGLVPFPFVYAFNVTTAAV APVYTQPGYCGGNNQFCLHLKWVVEDPKDAYVLARIKGQSYINASVLASSYGPAVNAS VASVWTLYDIPRSSVILDFVRSADLALLSVDISANLLSANSATSTVTSTSNSNIYVRY TATPISAKELVLEVRIVVGTPPAIATQTLQDEGCAYCSKLASQCRNDPTCASLKLCVD NATSVWDQTNLILNGDYGDSLNTTLATGACFNYGASSLGRDLFDKYIRCMLTRSCPFV VDGPSKLVWQAPTPGWIQLQLLPWSLSYFNTLQFNLSYANNPPCPVVLSNNNTTENLT QQLAACVFNDCEVVVLSNTTNVTTIDIVFNNHIGPLPVLSWDPSESLPFIDTAFAILP KLASSSALTLLPVNDPVTNPATPQTACSSCWLEFLEKCLLDEGCNAYINCIMRTSFES ISSLIQFGRTGATFNLSPTILSCTDPTVPTWSSWRALQRASHCYAQAQCPVATQGSKN IVWQLPNRTQSITYTLPADPTYSSPPQFVFKNQLDYNWNVMYGGVTDFGWVLPTILDI PDVVVSDPTNSTSDVDGSFTYSWVVTYPSYAGFLPNFFIGDFGGNALTILNDAPPSAL LVVQNKTWNATAWNLPLF H257_08553 MAHVYNTLVHHYYVDHSDYFSSLTGKHGSTNHHHTYLPPPPSST MHHPPSYYHQTSQPPPYLMTTQDCVKVEPMSHKHEESPPFVHIEGLQFFDASLLEPIP FVQAATTCTSSSFAAETGEYAFEALTLECAQDFLFDAIHHDLTFPATCAASSSPSPPR VQPCNDDDDVDIPTTEFPGKCFSEGCSKSISYRGFCKDHGGVRLCSVVGCPKGNQGKR LCISHGGGKRCRISDCEKSAQSHGLCKAHGGGARCTIANCDKSSQGGGRCRKHGGGRR CSVADCSSGAQRANLCAKHGGSRLCGVPECGRTDRGGGLCENHRKDLVCKEGTCNRLA ISESTDGLCLRHMRRQK H257_08554 MLMACQHDNGPVKSTLEHHHLWNIPLNHHHLHEQVVMDLIEYLP RPPTAHHSSSPETGDFDLNTTGSSLDDSLQIQQQQLPSLQSVSTKNVQQIPGQCLQHA SRTVVTYCGYCKAHGGFDAEKCEKSAQSHGLCKALGGGARYTFSDYDKSSQGKGVCRK HGGPLTLSEATCVRSMAGRDYAWMSSAD H257_08555 MKPEPATPRALVPPTTYDPQQGSLSDRTLDDGFYSASAAAATPQ PEAATEPMASLPRVQAIPRIPETSGQQRDTHQARPTVVSQHRPTAHKRDSRRGWALKG IIHGGQRVAPSQHTSFHHHVYAAPVQPQSQGWTDHVRAREHALQQHELQMVAHRECIE ADWRQAHFEWEQRQMHQAAAQYKYAIEQDATAHTTRFKAKLEREVSGYKAHLDMEQQR AFNNANLNWQQMQQVQQQQQHVVTVNGGATHQRDGFKERDQQKFVKRFIVYARGQDAI SVSSGVRIGTDIRETEWEAIYEASMLISSSSKAVVVARLKQLSMDNTLLRTSDRMTDW QARYMDILTDEAAEDIDYFHPKAVIQALKYGMKSDEAKALVRNSYDFDDKEIKFNVSK FWSHVRCVLSNVLPAMAAEADALHAKGISNKERAEAVPASATVLAAEVKRLQDYAKLT AAEVKSLTLEARTRPACGGGGGNGCDSILRPPDPLVDRAKASCGNGDVAKPKTVLAIS HPEVV H257_08556 MERDGHPVNRTQILDKAAAIHDTVHGFTAPTKPTSGWYQKFLAR HDQLKTKKSNVLSKSRHGVYENAVTAFYEELFDALTHVNMDPKRVFNMDETSFSPSKA ATKVVVHRSTQHVYVEESTASAHVTIVACVGADGSKIPPLFILPGDRVTTGACDSLVI PGATVTTSEKGWSNSYICRKWLTMLDSAIPASTPRPILLILDGCFSHYSTYIYDEAAR VSILLVFLPANSTHMFQPLDVTVFRPFKQAIRREIANNMWNDVATSINKQHAISIACL LHCNHREPTMMTSTGNDTAQVPVPDNMQCCVSVKVGRPQANSRTTVGDPVVLTIRTTD TFNVITAKMFDIVAGLVAAHHAGASNDRLLWGAQTPWETYVKVALNAPQSKYVALTDE NYHDMIPNVWDNAGKTCTDQGSFTLQFMRTLVRLTQ H257_08557 MPKPPVPGALVKEHDISTKQLMDDALVDHDVSESGGDSDDESTK PAPVKPTPMIQKAMYKINSYTALTKLDKGGAQTPNAATPTETRRASVRPEADVVCSRC AGSKDMPSLHFAAYAGHASCLAKLVQDTGFVAQDKHHRTPLFYACASNQAECTKLLLQ LRPQWIDMPDSQLDTPVHVSCFFGWEVCLELLLAAGANPHVRNAKGFRPSHITKTPAC LKILVGHGDDLLQGDKLGRTPLFVACTRNRVDCVEFLCQWDYQVHSWMLEQEDDRNDR PIHAAACNGSVGSLEVLVKYGADVFARNARGLTAVDLAVNNHHTPCVELLQKAIKDSE TASTWFHPANARHDNRPQTSSEWSECMDTDSGYVFFYNNMTGKCQWERPDGYEVPPDP NSTDGISSAVPDNAVVAVTTHPPEDDGGDYVWVKKKKKTISVVASTQLEWTVVQDPGS KAIYYKNTLTGESQWEEPEAIQKLQHDAQAHTSQHAQELWDELNKSRDALAEKLEAER SRQLALTTATTQAFQASIQARREEMHQKELKTLLPKSSFVKAKHKPPSMRGSMMKMSM HTIQDVDNENTDDSDALTKLCDDEPFLNLFLGSFIRYDSTTGSSQQARLVGSKRSLKL DMTGAQRIYNGIFHYYLTLLDPFNVVGMSKGQFRVLLKDAGLLPNPGGSAPGNFAPPL KLHAADMIFTLLATLDTRATLKESTMLITGFYKAMVNVGERAVATLDEKVEAGIDDVD AWFCTEFMLPLLRRLASKMAENVKRYKDIDAAMVGDPQVMALVAGNRVQLQTLHRFYS SDLRFKLMTFQTLSQLATDFGLTPTLCCSVPTLYHVCEAINWINGHSYTVVISFEKFV QISRTLAVLFPQDGATGEVACWQAFLALLNTHRHNRATTLGTGPLNFVPFLTDLNQVP AMQEAKPLLPPMEQDEEDPGDDM H257_08557 MPKPPVPGALVKEHDISTKQLMDDALVDHDVSESGGDSDDESTK PAPVKPTPMIQKAMYKINSYTALTKLDKGGAQTPNAATPTETRRASVRPEADVVCSRC AGSKDMPSLHFAAYAGHASCLAKLVQDTGFVAQDKHHRTPLFYACASNQAECTKLLLQ LRPQWIDMPDSQLDTPVHVSCFFGWEVCLELLLAAGANPHVRNAKGFRPSHITKTPAC LKILVGHGDDLLQGDKLGRTPLFVACTRNRVDCVEFLCQWDYQVHSWMLEQEDDRNDR PIHAAACNGSVGSLEVLVKYGADVFARNARGLTAVDLAVNNHHTPCVELLQKAIKDSE TASTWFHPANARHDNRPQTSSEWSECMDTDSGYVFFYNNMTGKCQWERPDGYEVPPDP NSTDGISSAVPDNAVVAVTTHPPEDDGGDYVWVKKKKKTISVVASTQLEWTVVQDPGS KAIYYKNTLTGESQWEEPEAIQKLQHDAQAHTSQHAQELWDELNKSRDALAEKLEAER SRQLALTTATTQAFQASIQARREEMHQKELKTLLPKSSFVKAKHKPPSMRGSMMKMSM HTIQDVDNENTDDSDALTKLCDDEPFLNLFLGSFIRYDSTTGSSQQARLVGSKRSLKL DMTGAQRIYNGIFHYYLTLLDPFNVVGMSKGQFRVLLKDAGLLPNPGGSAPGNFAPPL KLHAADMIFTLLATLDTRATLKESTMLITGFYKAMVNVGERAVATLDEKVEAGIDDVD AWFCTEFMLPLLRRLASKMAENVKRYKDIDAAMVGDPQVMALVAGNRVQLQTLHRFYS SDLRFKLMTFQTLSQLATDFGLTPTLCCSVPTLYHVCEAINWINGHSYTVVISFEKFV QISRTLAV H257_08558 MAEILVISDDAHLSRLDHKQQEKCTLSRDEILSSAIMPNALFHT WNEWWRSITPRFEVDISSPTVVTMAMGKQPVEKAALGLG H257_08559 MTWSSSVGIVVNAELEALADIFVVGSTTSYCDEFVGPRRVDRYD QAVKGLLAGHNRVVPARKKKVHRHQPVFRSNLLGIGVTVDGVGLDASLQAMEYVKATN IVGMVLWNAPNILEKA H257_08560 MKMHASMLLLAVVATGSLGQGLQGRCLDDTECAANAACVTVDTG RSAFSKCTANKPVCGGRTFGHCPSQDAEIGNMMCVFVETKKIRNVVCCPNSGGTQLLP DSPLASGVATTVAPADAATTSPTTTKAAITRELLVDAPAVPCFDCYKPVGSNRTIPGS FECVLKDQCKTQSVFPKVCDTGLSCDTAKNELCSKHGTCVPIDSDAPQGTFRCMCDVG FGGRFCDQVISNDCVADCGQGGTCIAGQCVCKKGFIGDQCYGCTRDETCNSEKLSGTC NLKTNTCDCVPGFQGDKWCGTTSDASVSVVDKCALANGPNCGTLGICLQSECYCRDGA CIGSSCTKCTLPGCVDCGTSAAADKRSLSTIVGAMMASALYFVL H257_08561 MHHGHDNAQPPMYIDHPQEGYRDDAADVAIRSMQYHHPQPDMYM HQPAQHHGGFDFSQWPSLTQHHPHYQMQQHVSSTLNLPTSSNPTTHQTTSSTYHYNFQ NYPRADDEAGQQYPIPTTSLPSPMSSYTVTLPSPYTHDNLGRSQHPPPTLVDYNANNN YAAHQVAPELYEVPNQCLDRQCTAALRYRGYCKLHGGARKCSVAYCTKGIQGRNRCIA HGGGKRCQHSNCVRAAQSHGLCKSHGGGTRCKFHGCVKTSQGGGYCRTHGGGRECKWP GCSNSAQRRELCAKHGQKRICNVAGCGRTDRGGGFCMSHRKDKICRVEGCTRLIATTV FAGVATMCAQHVSEQHGAFAYCN H257_08562 MARTYVRVQGNVQKVMFRQTLIRAMVKRGIRGGASNNRQQKDLV DITMDGNADEVEALVEALRTTKPLNSWGAEVVTLAVLTSGLDVDAHQVTTTNVDDRSW NPNVEMYL H257_08563 MVRTLIGRWNLLMSWADTLAREPSSTSIFGARRRALHISPATRP PMIRASPHASPRRLGTTSRNSPSLNPVQPPPSSTPEEDASKDILSMLSLLKLSAPVAV QDSVNKSTSNNDNSSPSHDDNQISDDEIDIRPLNATELSLWNSLKSSATPVASATSPV QTPTRPTPSTPVSKALLKKNKTTNDPNDKRDFLFGGLAPELRVQLQLDDVAQYSVTDM KTAARISHFLMTLPGLSPDSVVTDATACVGGNTASFCTFFRYVQAVEIDATRFQMLVH NLHAVMSYVNAQCFCANYVDVVECFGQDVVFVDPPWGGPEYRSRSTVDLFLGDTPLAD VCERLVGRTQYVVIKVPENFDLDKLTRHVSGTVGYVASFRKMGLVVVDYRFVSPVHSD DQDLLASKFYS H257_08564 MACQHLQLVVRLRKDGFPANDNHNNSSALRSTMLLPVPPKFFPP VKLNEDDESHLRRLAKEKLRGMQDLLQGRYSQMMNWVPCEEKGQATSLDGPQVMKTHF IDMENNSQRAHACMLYRSTIVVNASFSEVMNAIASCKTDEYRKQMRGLYGSDFVDGVC LHKLPQTKQNRPAYFYTALKWCVLQPPSKVNGLGSDFCFLEYAGIHKETEVNEKMGFC IQQSVSMDSEVPDFAHYGLQRDTFQRTGLLVTATGREHTVRLTSFCQIQNARLQPAHP RDLELMMFRRVAAVRDFAMYLERGRLGKMQFVERWRWIPDTDRRTCAVCLKMFLFRRK HHCRQCGEVVCNMCSPHREIDATNIGLTRVRICTICMMRARSDQAIPDDHCGSSVFTV NVDPSVVEFLQSPPHKGGREHDDDNDDDEAFDRQDSDEDDETRLVVARRTTLQQQRTA LAYDTMHRSKQQATTPTFAPTPSNNSNMLASTPRPVLEFDDDDDADSDFVPVVLPPPP ERRTSSNMRPSPPFPQPNNSHPQSNNHRLLTFPHPQHTLSAYRPQQPPQLAQFPYPSS FNQASDMESTVSFQTNATDDTECPYATQNYGKLMHEFDQPPPPQQPPHSPHPHNNNSV DHAFGTNLSSNRSNPPLPTRRPPPVDNLSNFTLQLQISEATHPTPPGPLTMTSAGTTV CRPPLTSNVDPPQSLPPTLTDSMKASLLARQVLLKSRDRSEYTATRPPASGRTSKVRS LSHHEQPTTTTTNQPQTQTTPRPNSTSMEPPSSSPPHDDKDSNDDDDIVVLHASLAFA RLNQRPTSTTGPNKAIDLALDFLTVDIPLLPDRLHVLRSLELLLTAILDEHPDCLRID DRSSYRPLVAAYPSVQHVLRAVGYTSSSATSLVLSLDQVDQSVVSHALASVKRRNRGP H257_08565 MVEVTGTTPVDETAFEEALGEAVWKGCASGYCLEIQQWILSRVQ FYARCSSLDEGSSVREMNDLSFLELKPEHHIRQSTLTPDQLIYAYLNYLRVHFKSEFK DVMPQQATDVPPIMVANSTHQVHTPSTTHREVKGPKKRVALSSQPTAPAPSWTNQDFP PLGGAPNKATKAIHTPKKPLKDKRRIRSTLLSTTPQVDDGGGGKLFTSAANVDVPLKK DVMAKFETRLMAPPSSLQEGATSHLSCPQPSMESTNNHDPPPPDFDNAPDVEHEVLVL DEIDHTPSPAALLYSFLLQSKLAPCTTIELQWLFSLLVQKPSDNDPTSKQFAMSVLNT IPSWLEAYGVDILKLVIDAFQKARVATPLLDRFVLYLDQYEGDRATESQVAGAPLPIE SEAIPSLHGNFALPFREDTDSRLHFRTPHESVVFTNREKARDGFLALLRSWQNAQSAI AVRRQEDVRPPSAVLDDLLVENHWWFAQLFVMELLQVAANPVGEHDHDLVRQIIHDDK QLKNADRLRKLHQRFTHVPSTQPQLTLEKPRDPSHAAAPCAAAASFPDNQRFFYDFLV MTNHFQFSSLVAVVLQSHLCQTLATFQPTSSSSLSTTAMRKQFNVKVLQAKLLGKFLG WLHYAPCWSSTPRSFSKHNAAMEAATREAIGMRNHVQVPLDIASYITTAVAQHTLMAH VPWVCEYMAMVAKDPVACATAYFRAVLARLHQVFCSRRLNESPRENAWFVALQLEALL KGTPHQQQPSQAGMMVAARRLVPSMSGSTATDDESNNVATLLDEPCQGMDGTLFLANN LFVQCCVQDVPTFRRFLQTIHQDDKQATSRKVKLRPLVVQEPSLLAPSLDTPPPSTPP STSSPFSPKNLVESALTLAFYKQYPSVKPTIEFVVDTMMTNVCHFANTTLLQPAAAAF VDRLHATMDPTLVKDDQTNWISAQVRLHLPAAKAQACRQAREASQPYCRHHIPLAMTS LLSPTLPHCVKAIAIDLATERAVASLDTLVHTSLAMEFTKHIVARMRKMKKESTPPTP ISTASTALDRMYALSKLVLEDDPIVHLRAFADLVTCTQVTPVVLCCLSDTLPHIPLDH PSVVADVVRCIHHVLVAPPHTHETFAAALVTSIVSASLNRDKTDLTVQLIRDLCDVWP PLGGSIQAVIMHARHTHGDLVRRVYSHNALLFATAMAAITLASTPHPSTSPAVIY H257_08566 MTGTIAWSMLMRPQSSDDRRVGKKRKVCLKDLLRERVDLQDVLR TPELFLLITQFQRGQTPDLQPFRQFKASQSDKISALVPLLDNTHEALTAWYSIHGLAR LCGMFRCLEYMHPIVVLHALAHGNLDVLHHLHTKWRCKLESSIRNEANVMIPIMNIAA IHGQVGAVRFLHDRQYRRCTHFAMTGAAQHGHLEVVQFLHEHGYPCLDDAMNVAARFG HLNIVKFLHTHRSEGCTTDAMDWAAESGYLKVVQFLDTHRSEGCTTAAMDGAAEHGHL EVVQYLHEHRTEGCTTDAMDHAAHAGRLDMVQFLHTHRTEGCTTSAMDVAAGDGHLEV VQFLHANRSEGCTEDALDTAAWHGHLQVVEFLHDHRPEGGSVFAMDMAAAKGHLDVIV FLHTRRTDGCTTHAMDWASHNGHLEVVQFLHVNRTEGCTAYALRSARTSGHTRVVRFL ERHGYKNETTLESALADDSLRTHLDDIVGHILTH H257_08567 MGVPAFYRWLSEKYPRTIVDAVEESVMTIEGRKRFNLIDMEAAN PNGQEFDNLFIDMNGIIHPCAHPENGEQPRTEEEMFLRLMEYVDRLVACVRPRRLLYM AIDGVAPRAKMNQQRSRRFRSAQEAKQHAEVDKEVREYMELMGQKPPQKQTPWDSNVI TPGTKFMAKLSKYMRFYVRDRMNNCPVWKQFKVVFSDASVPGEGEHKLMSYIRTQRSQ PGYDPNQHHVLHGLDADLIMLGLATHEAQFSVLREEVLFGKAKWEKDQKNNQTKLDAN GVPDAVAKRKRGEFGEHDSSTAPSNLKPLQFLHVSILREYLAIEFEPLQARLPFPYDF ERIVDDFVFMCFFVGNDFLPHLPCMDIRDGAVDYLLLVYAKLLPSLGGYLTKPGGDVD LDKVDVILAEVGAVEESIFQRRVAKERENTMRDARRLTSGQRDQVMATVAKDGAVAVT TKRLKGGEGAAVATAGDDDNDDDSTKVLTPAERQEAKYQSMPVELALKYRIQAKEEAK LDKLKAEIKDHVRLGEPGWKTRYYEDKLKADDIEIGTILVSTVIIMYICAGGGREKVF QSYVEGLCWVMRYYYTGVASWQWFYPFHYAPFASDLKNIDRFKISFDVGQPFCPFEQL MGVFPADSRHAIPKPYQWLLTDAESPIIDFYPQDIPMDPNGKAMPWLWVVLLPFIDET RLLDAMRPINDKLSDAEKKRNERFGKELLFFHASIAPDHPLPSAEGLQSVALTPSTFQ MFGNVHYVESSDFPLRCTVPSPQASKLELQDVPNNQCLSFHFEMPAAGQHLSATLEGA IVPPPVLVTPEDRTIAVPKLGFKSISIVDLAGAAAGATAARQRGGGYRGPWQGGGNNN TYRPQNNYQQGGSYSHQQNSFNNYPQGGRGGGRGPPSYHHNAPPQAPPPFGRRVNAPQ GGGYYQGRGGGRSPPRRDFGGRGGGGGYQPDYNQRPPPRGYPPYQQQRADLAPGVPRG FGPPPGAGLLPPPPPAGLAPGVPRGFGPPRPNLDALRQGLRNMHAQRETKPHQAQPSR RYDR H257_08567 MGVPAFYRWLSEKYPRTIVDAVEESVMTIEGRKRFNLIDMEAAN PNGQEFDNLFIDMNGIIHPCAHPENGEQPRTEEEMFLRLMEYVDRLVACVRPRRLLYM AIDGVAPRAKMNQQRSRRFRSAQEAKQHAEVDKEVREYMELMGQKPPQKQTPWDSNVI TPGTKFMAKLSKYMRFYVRDRMNNCPVWKQFKVVFSDASVPGEGEHKLMSYIRTQRSQ PGYDPNQHHVLHGLDADLIMLGLATHEAQFSVLREEVLFGKAKWEKDQKNNQTKLDAN GVPDAVAKRKRGEFGEHDSSTAPSNLKPLQFLHVSILREYLAIEFEPLQARLPFPYDF ERIVDDFVFMCFFVGNDFLPHLPCMDIRDGAVDYLLLVYAKLLPSLGGYLTKPGGDVD LDKVDVILAEVGAVEESIFQRRVAKERENTMRDARRLTSGQRDQVMATVAKDGAVAVT TKRLKGGEGAAVATAGDDDNDDDSTKVLTPAERQEAKYQSMPVELALKYRIQAKEEAK LDKLKAEIKDHVRLGEPGWKTRYYEDKLKADDIEIGGGREKVFQSYVEGLCWVMRYYY TGVASWQWFYPFHYAPFASDLKNIDRFKISFDVGQPFCPFEQLMGVFPADSRHAIPKP YQWLLTDAESPIIDFYPQDIPMDPNGKAMPWLWVVLLPFIDETRLLDAMRPINDKLSD AEKKRNERFGKELLFFHASIAPDHPLPSAEGLQSVALTPSTFQMFGNVHYVESSDFPL RCTVPSPQASKLELQDVPNNQCLSFHFEMPAAGQHLSATLEGAIVPPPVLVTPEDRTI AVPKLGFKSISIVDLAGAAAGATAARQRGGGYRGPWQGGGNNNTYRPQNNYQQGGSYS HQQNSFNNYPQGGRGGGRGPPSYHHNAPPQAPPPFGRRVNAPQGGGYYQGRGGGRSPP RRDFGGRGGGGGYQPDYNQRPPPRGYPPYQQQRADLAPGVPRGFGPPPGAGLLPPPPP AGLAPGVPRGFGPPRPNLDALRQGLRNMHAQRETKPHQAQPSRRYDR H257_08568 MSQADVDRINLVLHYEKIYMAAPTRQLPVTILTGFLGSGKTTLL KHILKNRLNLRVCCCISDVAALNVDEQLITDSKTRTSTGGFLMENGCMCCPVRLDLDD TSEFKDIVWQVLSQDEGLVMDYLVVETSGTTDPLALIQAIQARFGKMTRARLDSVVTV VDAEALVDSALCPVAKNQLQCADVVLLNKMDLLADDPERQAAARAIVQQVAPRGVQVH ETSFCDVYLPRILDISPPDGIYNAVSHESVQAHWNTAEASSLRRTNVNLDQYTVSQDT WTTEVFESKSPISLAALHAYVGSRLPPTTLRAKGILYIQEDPTHRYVFQLSGKQRIQV VSTGVWPCAPSTQLAVIGTDFEFDQVQKDLETLSQNDLASDALACADLVRADDRFELV HVTASHIEFRVRCPVTTLDETMLRHHHHIDMDDLNEQLGVAVNLAGGGGGLVLTLPRP DVALLASVAGPHTLRTMWPLIDLHATKILHVVRRKLAECMCGF H257_08568 MSQADVDRINLVLHYEKIYMAAPTRQLPVTILTGFLGSGKTTLL KHILKNRLNLRVCCCISDVAALNVDEQLITDSKTRTSTGGFLMENGCMCCPVRLDLDD TSEFKDIVWQVLSQDEGLVMDYLVVETSGTTDPLALIQAIQARFGKMTRARLDSVVTV VDAEALVDSALCPVAKNQLQCADVVLLNKMDLLADDPERQAAARAIVQQVAPRGVQVH ETSFCDVYLPRILDISPPDGIYNAVSHESVQAHWNTAEASSLRRTNVNLDQYTVSQDT WTTEVFESKSPISLAALHAYVGSRLPPTTLRAKGILYIQEDPTHRYVFQLSGKQRYNI IYIYMAKSRQYQARIYWHRT H257_08569 MASIDELSQSIRQHEFNSTKEYAPIVIKEGMSEEASNLGTETRR KQGAEFWAEFRDAARKVSGDDDITAQTIIVDDLEQRYNAVLAETKQLKRQAKTRSSDL YVDSRIHKLQEENERAWEVQMEAGKKSLERLEDQLAVVQHENDSLDATLLLQAHPPDR ASILGDNQRLREVLLLRDEEKGDLVAAIASLKRKHADRVNAQTFGDAASDDTLHELKA TRSRLRESILSLTPSAAATANNGEARYDEDAAALSTQLQRAHDALASHEANAALLKTH MRTLVQIKASERHVAAVLLHVLFEHDGEWTKNDLQTQVAAIVGVDESIVIRALYSLVA SGLVRLDRSHALGLVTSLLV H257_08569 MASIDELSQSIRQHEFNSTKEYAPIVIKEGMSEEASNLGTETRR KQGAEFWAEFRDAARKVSGDDDITAQTIIVDDLEQRYNAVLAETKQLKRQAKTRSSDL YVDSRIHKLQEENERAWEVQMEAGKKSLERLEDQLAVVQHENDSLDATLLLQAHPPDR ASILGDNQRLREVLLLRDEEKGDLVAAIASLKRKHADRVNAQTFGDAASDDTLHELKA TRSRLRESILSLTPSAAATANNGEARYDVIFFPSVVQNIDLVTNG H257_08570 MATVFDFPATAFVDAAACGDLDHVNDLLTSTSPSLTAEVINKVD KDGKSAFHYACLNDDANLLRILLADDRVDVCLVSRNGDSGFHMAALYSSLKALELLHG DGRLNINAQNQYGETPLHLCAGSGDKSAHRTADLLLTFGADLTVTDKWGRGPKDVSHD NAENPIVETFNAYLWDRTRCSQEHADAIHGTTESYRAKLEEERAAAALQAQSRPKMGM MMSLGGLKGVQLKKTETVVKAMFKADEGKVSGVTTGNVSNGDGRTALSKLIDFPGDLD AITRHLADVEKVNPGGADAYGLTALHKFASWNKTDYIELLLPHLAAPTDLNAQCPEGK TALHYAVEMASVAAIKVLVAAQLDRHIKDNKGRTVQDILDGATASGVIERIRNALGE H257_08571 MEKKQAVVGDYVVTARIGSGSFAIVYKGYHKVTNVPVAVKAINK TKLNPKLLENLESEISIMRQINHPNIVKLYDIKKTDKHIYLMLEYCDGGDLQHFIKKQ PNGVVTEATARHFLRELADGLHVLWSLNLIHRDLKPQNLLLTEPSATSSLKIADFGFA RHLESTSLAETLCGSPLYMAPEILQFQKYDNKADLWSVGTILYEMVVGRPPFNGANQV QLLHNIQRDNVRFPKDLPVGRDAVALMEGLLVRDPKRRISFDQFFHAPFLDRPPPSSA MLLSATLVRTNPVDIAPISRTNPPPPPHSKLHESYRASMMQSYRRRSASSTSSSSSIL KTSVPVPPPLLLPSPSSQLPVSPDLVPISMSSLRINPFKTDLAASTSSAMLTSTSAPA TGGHRYMSRGLSPPSTPPSSTFITTPTLPLSSSPPHPIPASPLIHAVPPNAAADPMAP TARGRPMVVAGLELTMQVSDMVERVQAILSIVDVIMQRSRAAADACGGLVHHPSGTSS VSSSANSSPASSVVGPDNGRVATRHHLVPSCPEHALMLVMKALRMLHMVADGQISANN QGRIKAVLIQCIDKAEQCTSDERSGVEGGGGKASTTTSTTSLSFDEILYAQVVRLGRE AAVHEVLGQKGLAGDLYGRALLLLESVLIASASTLHPDDRLRLVQFWHAFRQRLGQCR DDDVAVCPSDTNDQST H257_08572 METNLYEIEPGPLGVYVVLMFVSCCLPVAIGVFVRRRQFPTIRY ANPRQTAFCSSMAAVLSLGGSCMLLNQHAVACGTFNAFLFVFVVVTLFGLALNQLTLV LTFNLTQHLVNHHADTSDQNKAKSVVRIMRLRRLLQPRAIVVKWMVGTLLWLLPPVAI LDHASVAHMQSLSVADCIEIPLNVAMNFVLLALVGVFIASFGILAHYLSKVIDNFGLR RRYQTMGRLLAVLFVLEAIAIEYRETYWVATYKLRYVIFPIAGFVVVGCQVVWPLVHS RHELPVHFTVAEGHGSKLAVLEDYLATPDGYLMFSAFARIEFSFENVVAWKAAFEFRL HGGGDAYAIYKAYMAVGAPMETNIPDALRQHYHRIFAKDRKGKVVLGLASAIDHDSAV FDLFRDAILKLMALDKFNRRKVKCAALKGDSWHSGHVIRFKATFY H257_08572 METNLYEIEPGPLGVYVVLMFVSCCLPVAIGVFVRRRQFPTIRY ANPRQTAFCSSMAAVLSLGGSCMLLNQHAVACGTFNAFLFVFVVVTLFGLALNQLTLV LTFNLTQHLVNHHADTSDQNKAKSVVRIMRLRRLLQPRAIVVKWMVGTLLWLLPPVAI LDHASVAHMQSLSVADCIEIPLNVAMNFVLLALVGVFIASFGILAHYLSKVIDNFGLR RRYQTMGRLLAVLFVLEAIAIEYRETYWVATYKLRYVIFPIAGFVVVGCQVVWPLVHS RHELPVHFTVAEGHGSKLAVLEDYLATPDGYLMFSAFARIEFSFENVVAWKAAFEFRL HGGGDAYAIYKAYMAVGAPMETNIPDALRQHYHRIFAKDRKGKVVLGLASAIDHDSAV FDLFRDAILKLMALDKFNRRKVKCAALKGDSWHSGHVIRFKATFY H257_08572 METNLYEIEPGPLGVYVVLMFVSCCLPVAIGVFVRRRQFPTIRY ANPRQTAFCSSMAAVLSLGGSCMLLNQHAVACGTFNAFLFVFVVVTLFGLALNQLTLV LTFNLTQHLVNHHADTSDQNKAKSVVRIMRLRRLLQPRAIVVKWMVGTLLWLLPPVAI LDHASVAHMQSLSVADCIEIPLNVAMNFVLLALVGVFIASFGILAHYLSKVIDNFGLR RRYQTMGRLLAVLFVLEAIAIEYRETYWVATYKLRYVIFPIAGFVVVGCQVVWPLVHS RHELPVHFTVAEGHGSKLAVLEDYLATPDGYLMFSAFARIEFSFENVVAWKAAFEFRL HGGGDAYAIYKAYMAVGAPMETNIPDALRQHYHRIFAKDRKGKVVLGLASAIDHDSAV FDLFRDAILKLMALDKFNRRKVKCAALK H257_08572 METNLYEIEPGPLGVYVVLMFVSCCLPVAIGVFVRRRQFPTIRY ANPRQTAFCSSMAAVLSLGGSCMLLNQHAVACGTFNAFLFVFVVVTLFGLALNQLTLV LTFNLTQHLVNHHADTSDQNKAKSVVRIMRLRRLLQPRAIVVKWMVGTLLWLLPPVAI LDHASVAHMQSLSVADCIEIPLNVAMNFVLLALVGVFIASFGILAHYLSKVIDNFGLR RRYQTMGRLLAVLFVLEAIAIEYRETYWVATYKLRYVIFPIAGFVVVGCQVVWPLVHS RHELPVHFTVAEGHGSKLAVLEDYLATPDGYLMFSAFARIEFSFENVVAWKAAFEFRL HGGGDAYAIYKAYMAVGAPMETNIPDALRQHYHRIFAKDRKGKVVLGLASAIDHDSAV FDLFRDAILKLMALDKFNRRKVKCAALK H257_08572 METNLYEIEPGPLGVYVVLMFVSCCLPVAIGVFVRRRQFPTIRY ANPRQTAFCSSMAAVLSLGGSCMLLNQHAVACGTFNAFLFVFVVVTLFGLALNQLTLV LTFNLTQHLVNHHADTSDQNKAKSVVRIMRLRRLLQPRAIVVKWMVGTLLWLLPPVAI LDHASVAHMQSLSVADCIEIPLNVAMNFVLLALVGVFIASFGILAHYLSKVIDNFGLR RRYQTMGRLLAVLFVLEAIAIEYRETYWVATYKLRYVIFPIAGFVVVGCQVVWPLVHS RHELPVHFTVAEGHGSKLAVLEDYLATPDGYLMFSAFARIEFSFENVVAWKAAFEFRL HGGGDAYAIYKAYMAVGAPMETNIPDALRQHYHRIFAKDRKGKVVLGLASAIDHDSAV FDLFRDAILKLMALDKFNRRKVKCAALK H257_08573 MATAVAPAAFAAIAVVEATAVRPSMDHREIPIAALVDDRPSYRV DWWVRPSTNAMGKLFSKKSSWQWCQVALVGQTLTVFHHDKSLLSLATPSSTLARSSGG QSTYVLSGNGGVELLELHCPSATAIQKLVAAFGASQTSEHWTFPTSSSVLDELVDVAK TIVEGANVSPKKRPVVPTPSTATVAQVQAHIRRLVAIYNDMSAVATSKEALYKAVLQL EADYMADQSTLSPLVALVLEAYPDLEYATTAFQVSITECPVCETSLHLKQTIDIHVGG KMLHCRYCHTVLSYETFLLADLVKNHLPTTVEFQVGLFSKSTHVLPMPGVPADGKVKT FVDTLWGVLSDFSTKGGDKCPRHVYADVMGPVAKVLYRTDLVQHMYRVLLLTSHVASH AEYWALPVVIQASIHRFDQFRHIAQNVVAVGSPVASIDIALVAQSVQVFETSLILPRD HGSRSLNVRLAETAVLFHEAFFEPYAPSIGLNQALWLSTKVFVQSQKKRWDQAHVQVA SRDSQFRGVQEVFPARRLRHVTALAHRPDEDDVAVAVLGAFEYDVRVGNATKLRRALV ADPSLLYDIPSIQKHTTKFSIFLKKK H257_08574 MHGDDILDDVSIAAEADGAIRTLTGGSKTKASPSADVVGRWSSS GLNRPSTAPPKRFQPPSAVGTHCLDGQLQNPSTRLHLNTSHSRPASAKPRPTATPGGV IHGTHAVTTTSKQGQDTFRPISAPSNRNLSVSKVYLKKAANDKRARERDFATELFQEK ADYERKIALKIGQANKLMRRHNIPKVYSQTKNRDNFILVKVVEGHHERVMSADVFLRE FDKVATELVSQRETGGDTHISDNNNYPSTASAKPAKARMHSKKDIQDGLRLVLKGTIE LTAILEEQLFELQRKGWNTTNQYAGRNSNIQ H257_08574 MHGDDILDDVSIAAEADGAIRTLTGGSKTKASPSADVVGRWSSS GLNRPSTAPPKRFQPPSAVGTHCLDGQLQNPSTRLHLNTSHSRPASAKPRPTATPGGV IHGTHAVTTTSKQGQDTFRPISAPSNRNLSVSKVYLKKAANDKRARERDFATELFQEK ADYERKIALKIGQANKLMRRHNIPKVYSQTKNRDNFILVKVVEGHHERVMSADVFYIL FVRDYSCSRPIHVSSVSLTAIS H257_08575 MLLRPQLQIRICVQAGTEMMLSRQLGRRLVPRHMMLWRGLATPS NNAWVNPENVPKGEHLKKYGRDLTQAAQDGKLDPVIGREDEIRRAVQVLSRRTKNNPV LIGDPGVGKTAIAEGLAQRIASGEVPESMKKKHVVALDLAALVAGAKFRGEFEERLKG VLKDVEGSDGEVILFIDELHMLMGAGGGDGSMDAANMLKPALARGSLHCMGATTLDEY RKYIEKDAALARRFQSVLVTEPSVEATITILRGLKEKYEVHHGVRIADSALVQAATLA HRYISDRKMPDKAIDLVDEAASRLRLEQESKPEPIEALERKIIMRKIEMEALRKETDA ASVKQRQIVQQDLAAMEAELKALMTEWNQEKARLEGLKTAKKRLEEARRELEAAQRHG NYARAGELLHSVIPQLEREVEHDDSVADEEPKHSKLLLGDAVTSDHIAMVVARATGIP VSNLLSGEKKRLLNMENLLETRVVGQLPAVKAVSDCIRLARAGLHAHNRPLGVFLFLG PTGVGKTELTKALGEFLFQDPSAMTRIDMSEYMEKHTVSRLIGAPPGYIGYEEGGTLT EAIRRRPYQVVLFDEFEKAHRDVSNLLLQVFDEGRLTDSQGRLIDFRNTVIICTSNLG SDVLATLPEGVPSVDAEDDVMEIVRHHYPPEFLNRIDEMVLFNRLGRDQIQAIVDLQL HDIQALLDEKELALDVTDAAKSWLAEVGYSPMYGARPLKRLIHKQLLTPLSRQILVGS FKAGDTIHVDQPNPAADSLVFTNA H257_08575 MLLRPQLQIRICVQAGTEMMLSRQLGRRLVPRHMMLWRGLATPS NNAWVNPENVPKGEHLKKYGRDLTQAAQDGKLDPVIGREDEIRRAVQVLSRRTKNNPV LIGDPGVGKTAIAEGLAQRIASGEVPESMKKKHVVALDLAALVAGAKFRGEFEERLKG VLKDVEGSDGEVILFIDELHMLMGAGGGDGSMDAANMLKPALARGSLHCMGATTLDEY RKYIEKDAALARRFQSVLVTEPSVEATITILRGLKEKYEVHHGVRIADSALVQAATLA HRYISDRKMPDKAIDLVDEAASRLRLEQESKPEPIEALERKIIMRKIEMEALRKETDA ASVKQRQIVQQDLAAMEAELKALMTEWNQEKARLEGLKTAKKRLEEARRELEAAQRHG NYARAGELLHSVIPQLEREVEHDDSVADEEPKHSKLLLGDAVTSDHIAMVVARATGIP VSNLLSGEKKRLLNMENLLETRVVGQLPAVKAVSDCIRLARAGLHAHNRPLGVFLFLG PTGVGKTELTKALGEFLFQDPSAMTRIDMSEYMEKHTVSRLIGAPPGYIGYEEGGTLT EAIRRRPYQVVLFDEFEKAHRDVSNLLLQVFDEGRLTDSQGRLIDFRNTVIICTSNLG SDVLATLPEVNIYCILYLVDIRYKFSDPVEYLAAESNHMNRRYWLIEF H257_08575 MLLRPQLQIRICVQAGTEMMLSRQLGRRLVPRHMMLWRGLATPS NNAWVNPENVPKGEHLKKYGRDLTQAAQDGKLDPVIGREDEIRRAVQVLSRRTKNNPV LIGDPGVGKTAIAEGLAQRIASGEVPESMKKKHVVALDLAALVAGAKFRGEFEERLKG VLKDVEGSDGEVILFIDELHMLMGAGGGDGSMDAANMLKPALARGSLHCMGATTLDEY RKYIEKDAALARRFQSVLVTEPSVEATITILRGLKEKYEVHHGVRIADSALVQAATLA HRYISDRKMPDKAIDLVDEAASRLRLEQESKPEPIEALERKIIMRKIEMEALRKETDA ASVKQRQIVQQDLAAMEAELKALMTEWNQEKARLEGLKTAKKRLEEARRELEAAQRHG NYARAGELLHSVIPQLEREVEHDDSVADEEPKHSKLLLGDAVTSDHIAMVVARATGIP VSNLLSGEKKRLLNMENLLETRVVGQLPAVKAVSDCIRLARAGLHAHNRPLGVFLFLG PTGVGKTELTKALGEFLFQDPSAMTRIDMSEYMEKHTVSRLIGAPPGYIGYEEGTPQS LEHTKRCPN H257_08575 MLLRPQLQIRICVQAGTEMMLSRQLGRRLVPRHMMLWRGLATPS NNAWVNPENVPKGEHLKKYGRDLTQAAQDGKLDPVIGREDEIRRAVQVLSRRTKNNPV LIGDPGVGKTAIAEGLAQRIASGEVPESMKKKHVVALDLAALVAGAKFRGEFEERLKG VLKDVEGSDGEVILFIDELHMLMGAGGGDGSMDAANMLKPALARGSLHCMGATTLDEY RKYIEKDAALARRFQSVLVTEPSVEATITILRGLKEKYEVHHGVRIADSALVQAATLA HRYISDRKMPDKAIDLVDEAASRLRLEQESKPEPIEALERKIIMRKIEMEALRKETDA ASVKQRQIVQQDLAAMEAELKALMTEWNQEKARLEGLKTAKKRLEEARRELEAAQRHG NYARAGELLHSVIPQLEREVEHDDSVADEEPKHSKLLLGDAVTSDHIAMVVARATGIP VSNLLSGEKKRLLNMENLLETRVVGQLPAVKAVSDCIRLARAGLHAHNRPLGVFLFLG PTGVGKTELTKALGEFLFQDPSAMTRIDMSEYMEKHTVSRLIGAPPGYIGYEEGTPQS LEHTKRCPN H257_08576 MVVAERERESIFTQSSRAAAQGPGSRVATPHPRRSSSSVQAYSC VVQSSLPYITMKDHPQSQERSAFVQCREDGDANQTTDAVVEKVDAVVRPDSGGDSYDS EEGKVGAIHPWRQLMDDRKSSSGDDATPFFHSGRIKRLRPASQETLSPLTLTPRAPSR RDSRSRVNSMDDPASFEDKLTYQPVQASRRNSELPHALSIPAPSDLIPLYASSSVPPS ISTPKPSTPGSSPFFYEFNLWKKAPHRRPDVQPVQTSTSTPTVSQAADPGETKTGPGK VGLAVLHPPNNQVDDSTSAMLHEHVDVGAVVRKPRSASMQDDMRDCELTRSNSPDFKY LHRFFGDSERPATRIKWKRGELIGEGTFGKVFKALNSCTGELFAVKQIQVPRDKKHEH ESKMLAKLGEEITLMKELRHEHIVRYKGTDRDAHFFYIFMEYVPGGSIASMLTHYDVF NLDLIRKFTRQILLGVEYLHSKNIIHRDVKGANVLVNEQGVAKLADFGCSKQLSSVKT TSMEESLRSIRGSVPWMAPEMAKQSGHDFKADIWSVGATVIEMATAKHPWPANTNHLS VMYHLAINPTGPPIPDWLPDVVKSFLQRCFCIDPRGTYPTASTSRLPSSCCTLQSERR RRNCCSIRSSSSPKNIRILEIRERGARPVVVM H257_08576 MVVAERERESIFTQSSRAAAQGPGSRVATPHPRRSSSSVQAYSC VVQSSLPYITMKDHPQSQERSAFVQCREDGDANQTTDAVVEKVDAVVRPDSGGDSYDS EEGKVGAIHPWRQLMDDRKSSSGDDATPFFHSGRIKRLRPASQETLSPLTLTPRAPSR RDSRSRVNSMDDPASFEDKLTYQPVQASRRNSELPHALSIPAPSDLIPLYASSSVPPS ISTPKPSTPGSSPFFYEFNLWKKAPHRRPDVQPVQTSTSTPTVSQAADPGETKTGPGK VGLAVLHPPNNQVDDSTSAMLHEHVDVGAVVRKPRSASMQDDMRDCELTRSNSPDFKY LHRFFGDSERPATRIKWKRGELIGEGTFGKVFKALNSCTGELFAVKQIQVPRDKKHEH ESKMLAKLGEEITLMKELRHEHIVRYKGTDRDAHFFYIFMEYVPGGSIASMLTHYDVF NLDLIRKFTRQILLGVEYLHSKNIIHRDVKGANVLVNEQGVAKLADFGCSKQLSSVKT TSMEESLRSIRGSVPWMAPEMAKQSGHDFKADIWSVGATVIEMATAKHPWPANTNHLS VMYHLAINPTGPPIPDWLPDVVKSFLQRCFCIDPRERATATELLQHSFLQFA H257_08576 MDDRKSSSGDDATPFFHSGRIKRLRPASQETLSPLTLTPRAPSR RDSRSRVNSMDDPASFEDKLTYQPVQASRRNSELPHALSIPAPSDLIPLYASSSVPPS ISTPKPSTPGSSPFFYEFNLWKKAPHRRPDVQPVQTSTSTPTVSQAADPGETKTGPGK VGLAVLHPPNNQVDDSTSAMLHEHVDVGAVVRKPRSASMQDDMRDCELTRSNSPDFKY LHRFFGDSERPATRIKWKRGELIGEGTFGKVFKALNSCTGELFAVKQIQVPRDKKHEH ESKMLAKLGEEITLMKELRHEHIVRYKGTDRDAHFFYIFMEYVPGGSIASMLTHYDVF NLDLIRKFTRQILLGVEYLHSKNIIHRDVKGANVLVNEQGVAKLADFGCSKQLSSVKT TSMEESLRSIRGSVPWMAPEMAKQSGHDFKADIWSVGATVIEMATAKHPWPANTNHLS VMYHLAINPTGPPIPDWLPDVVKSFLQRCFCIDPRERATATELLQHSFLQFA H257_08576 MVVAERERESIFTQSSRAAAQGPGSRVATPHPRRSSSSVQAYSC VVQSSLPYITMKDHPQSQERSAFVQCREDGDANQTTDAVVEKVDAVVRPDSGGDSYDS EEGKVGAIHPWRQLMDDRKSSSGDDATPFFHSGRIKRLRPASQETLSPLTLTPRAPSR RDSRSRVNSMDDPASFEDKLTYQPVQASRRNSELPHALSIPAPSDLIPLYASSSVPPS ISTPKPSTPGSSPFFYEFNLWKKAPHRRPDVQPVQTSTSTPTVSQAADPGETKTGPGK VGLAVLHPPNNQVDDSTSAMLHEHVDVGAVVRKPRSASMQDDMRDCELTRSNSPDFKY LHRFFGDSERPATRIKWKRGELIGEGTFGKVFKALNSCTGELFAVKQIQVPRDKKHEH ESKMLAKLGEEITLMKELRHEHIVRYKGTDRDAHFFYIFMEYVPGGSIASMLTHYDVF NLDLIRKFTRQILLGVEYLHSKNIIHRDVKGANVLVNEQGVAKLADFGCSKQLSSVKT TSMEESLRSIRGSVPWMAPESTPSYSNTRSKV H257_08576 MVVAERERESIFTQSSRAAAQGPGSRVATPHPRRSSSSVQAYSC VVQSSLPYITMKDHPQSQERSAFVQCREDGDANQTTDAVVEKVDAVVRPDSGGDSYDS EEGKVGAIHPWRQLMDDRKSSSGDDATPFFHSGRIKRLRPASQETLSPLTLTPRAPSR RDSRSRVNSMDDPASFEDKLTYQPVQASRRNSELPHALSIPAPSDLIPLYASSSVPPS ISTPKPSTPGSSPFFYEFNLWKKAPHRRPDVQPVQTSTSTPTVSQAADPGETKTGPGK VGLAVLHPPNNQVDDSTSAMLHEHVDVGAVVRKPRSASMQDDMRDCELTRSNSPDFKY LHRFFGDSERPATRIKWKRGELIGEGTFGKVFKALNSCTGELFAVKQIQVPRDKKHEH ESKMLAKLGEEITLMKELRHEHIVRYKGTDRDAHFFYIFMEYVPGGSIASMLTHYDVF NLDLIRKFTRQILLGVEYLHSKNIIHRDVKGANVLVNEQGVAKLADFGCSKQLSSVKT TSMEESLRSIRGSVPWMAPESTPSYSNTRSKV H257_08576 MVVAERERESIFTQSSRAAAQGPGSRVATPHPRRSSSSVQAYSC VVQSSLPYITMKDHPQSQERSAFVQCREDGDANQTTDAVVEKVDAVVRPDSGGDSYDS EEGKVGAIHPWRQLMDDRKSSSGDDATPFFHSGRIKRLRPASQETLSPLTLTPRAPSR RDSRSRVNSMDDPASFEDKLTYQPVQASRRNSELPHALSIPAPSDLIPLYASSSVPPS ISTPKPSTPGSSPFFYEFNLWKKAPHRRPDVQPVQTSTSTPTVSQAADPGETKTGPGK VGLAVLHPPNNQVDDSTSAMLHEHVDVGAVVRKPRSASMQDDMRDCELTRSNSPDFKY LHRFFGDSERPATRIKWKRGELIGEGTFGKVFKALNSCTGELFAVKQIQVPRDKKHEH ESKMLAKLGEEITLMKELRHEHIVRYKGTDRDAHFFYIFMEYVPGGSIASMLTHYDVF NLDLIRKFTRQILLGVEYLHSKNIIHRDVKGTITTTWTCKQLA H257_08576 MVVAERERESIFTQSSRAAAQGPGSRVATPHPRRSSSSVQAYSC VVQSSLPYITMKDHPQSQERSAFVQCREDGDANQTTDAVVEKVDAVVRPDSGGDSYDS EEGKVGAIHPWRQLMDDRKSSSGDDATPFFHSGRIKRLRPASQETLSPLTLTPRAPSR RDSRSRVNSMDDPASFEDKLTYQPVQASRRNSELPHALSIPAPSDLIPLYASSSVPPS ISTPKPSTPGSSPFFYEFNLWKKAPHRRPDVQPVQTSTSTPTVSQAADPGETKTGPGK VGLAVLHPPNNQVDDSTSAMLHEHVDVGAVVRKPRSASMQDDMRDCELTRSNSPDFKY LHRFFGDSERPATRIKWKRGELIGEGTFGKVFKALNSCTGELFAVKQIQVPRDKKHEH ESKMLAKLGEEITLMKELRHEHIVRYKGTDRDAHFFYIFMEYVPGGSIASMLTHYDVF NLDLIRKFTRQILLGVEYLHSKNIIHRDVKGTITTTWTCKQLA H257_08576 MVVAERERESIFTQSSRAAAQGPGSRVATPHPRRSSSSVQAYSC VVQSSLPYITMKDHPQSQERSAFVQCREDGDANQTTDAVVEKVDAVVRPDSGGDSYDS EEGKVGAIHPWRQLMDDRKSSSGDDATPFFHSGRIKRLRPASQETLSPLTLTPRAPSR RDSRSRVNSMDDPASFEDKLTYQPVQASRRNSELPHALSIPAPSDLIPLYASSSVPPS ISTPKPSTPGSSPFFYEFNLWKKAPHRRPDVQPVQTSTSTPTVSQAADPGETKTGPGK VGLAVLHPPNNQVDDSTSAMLHEHVDVGAVVRKPRSASMQDDMRDCELTRSNSPDFKY LHRFFGDSERPATRIKWKRGELIGEGTFGKVFKALNSCTGELFAVKQIQVPRDKKHEH ESKMLAKLGEEITLMKELRHEHIVRYKGTDRDAHFFYIFMEYVPGGSIASMLTHYDVF NLDLIRKFTRQILLGVEYLHSKNIIHRDVKGTITTTWTCKQLA H257_08576 MVVAERERESIFTQSSRAAAQGPGSRVATPHPRRSSSSVQAYSC VVQSSLPYITMKDHPQSQERSAFVQCREDGDANQTTDAVVEKVDAVVRPDSGGDSYDS EEGKVGAIHPWRQLMDDRKSSSGDDATPFFHSGRIKRLRPASQETLSPLTLTPRAPSR RDSRSRVNSMDDPASFEDKLTYQPVQASRRNSELPHALSIPAPSDLIPLYASSSVPPS ISTPKPSTPGSSPFFYEFNLWKKAPHRRPDVQPVQTSTSTPTVSQAADPGETKTGPGK VGLAVLHPPNNQVDDSTSAMLHEHVDVGAVVRKPRSASMQDDMRDCELTRSNSPDFKY LHRFFGDSERPATRIKWKRGELIGEGTFGKVFKALNSCTGELFAVKQIQVPRDKKHEH ESKMLAKLGEEITLMKELRHEHIVRYKGTDRDAHFFYIFMEYVPGGSIASMLTHYDVF NLDLIRKFTRQILLGVEYLHSKNIIHRDVKGTITTTWTCKQLA H257_08576 MVVAERERESIFTQSSRAAAQGPGSRVATPHPRRSSSSVQAYSC VVQSSLPYITMKDHPQSQERSAFVQCREDGDANQTTDAVVEKVDAVVRPDSGGDSYDS EEGKVGAIHPWRQLMDDRKSSSGDDATPFFHSGRIKRLRPASQETLSPLTLTPRAPSR RDSRSRVNSMDDPASFEDKLTYQPVQASRRNSELPHALSIPAPSDLIPLYASSSVPPS ISTPKPSTPGSSPFFYEFNLWKKAPHRRPDVQPVQTSTSTPTVSQAADPGETKTGPGK VGLAVLHPPNNQVDDSTSAMLHEHVDVGAVVRKPRSASMQDDMRDCELTRSNSPDFKY LHRFFGDSERPATRIKWKRGELIGEGTFGKVFKALNSCTGELFAVKQIQVPRDKKHEH ESKMLAKLGEEITLMKELRHEHIVRYKGTDRDAHFFYIFMEYVPGGSIARYVRR H257_08576 MVVAERERESIFTQSSRAAAQGPGSRVATPHPRRSSSSVQAYSC VVQSSLPYITMKDHPQSQERSAFVQCREDGDANQTTDAVVEKVDAVVRPDSGGDSYDS EEGKVGAIHPWRQLMDDRKSSSGDDATPFFHSGRIKRLRPASQETLSPLTLTPRAPSR RDSRSRVNSMDDPASFEDKLTYQPVQASRRNSELPHALSIPAPSDLIPLYASSSVPPS ISTPKPSTPGSSPFFYEFNLWKKAPHRRPDVQPVQTSTSTPTVSQAADPGETKTGPGK VGLAVLHPPNNQVDDSTSAMLHEHVDVGAVVRKPRSASMQDDMRDCELTRSNSPDFKY LHRFFGDSERPATRIKWKRGELIGEGTFGKVFKALNSCTGELFAVKQIQVPRDKKHEH ESKMLAKLGEEITLMKELRHEHIVRYKGTDRDAHFFYIFMEYVPGGSIARYVRR H257_08576 MVVAERERESIFTQSSRAAAQGPGSRVATPHPRRSSSSVQAYSC VVQSSLPYITMKDHPQSQERSAFVQCREDGDANQTTDAVVEKVDAVVRPDSGGDSYDS EEGKVGAIHPWRQLMDDRKSSSGDDATPFFHSGRIKRLRPASQETLSPLTLTPRAPSR RDSRSRVNSMDDPASFEDKLTYQPVQASRRNSELPHALSIPAPSDLIPLYASSSVPPS ISTPKPSTPGSSPFFYEFNLWKKAPHRRPDVQPVQTSTSTPTVSQAADPGETKTGPGK VGLAVLHPPNNQVDDSTSAMLHEHVDVGAVVRKPRSASMQDDMRDCELTRSNSPDFKY LHRFFGDSERPATRIKWKRGELIGEGTFGKVFKALNSCTGELFAVKQIQVPRDKKHEH ESKMLAKLGEEITLMKELRHEHIVRYKGTDRDAHFFYIFMEYVPGGSIARYVRR H257_08576 MVVAERERESIFTQSSRAAAQGPGSRVATPHPRRSSSSVQAYSC VVQSSLPYITMKDHPQSQERSAFVQCREDGDANQTTDAVVEKVDAVVRPDSGGDSYDS EEGKVGAIHPWRQLMDDRKSSSGDDATPFFHSGRIKRLRPASQETLSPLTLTPRAPSR RDSRSRVNSMDDPASFEDKLTYQPVQASRRNSELPHALSIPAPSDLIPLYASSSVPPS ISTPKPSTPGSSPFFYEFNLWKKAPHRRPDVQPVQTSTSTPTVSQAADPGETKTGPGK VGLAVLHPPNNQVDDSTSAMLHEHVDVGAVVRKPRSASMQDDMRDCELTRSNSPDFKY LHRFFGDSERPATRIKWKRGELIGEGTFGKVFKALNSCTGELFAVKQIQVPRDKKHEH ESKMLAKLGEEITLMKELRHEHIVRYKGTDRDAHFFYIFMEYVPGGSIARYVRR H257_08576 MVVAERERESIFTQSSRAAAQGPGSRVATPHPRRSSSSVQAYSC VVQSSLPYITMKDHPQSQERSAFVQCREDGDANQTTDAVVEKVDAVVRPDSGGDSYDS EEGKVGAIHPWRQLMDDRKSSSGDDATPFFHSGRIKRLRPASQETLSPLTLTPRAPSR RDSRSRVNSMDDPASFEDKLTYQPVQASRRNSELPHALSIPAPSDLIPLYASSSVPPS ISTPKPSTPGSSPFFYEFNLWKKAPHRRPDVQPVQTSTSTPTVSQAADPGETKTGPGK VGLAVLHPPNNQVDDSTSAMLHEHVDVGAVVRKPRSASMQDDMRDCELTRSNSPDFKY LHRFFGDSERPATRIKWKRGELIGEGTFGKVFKALNSCTGELFAVKQIQVPRDKKHEH ESKMLAKLGEEITLMKELRHEHIVRYKGTDRDAHFFYIFMEYVPGGSIARYVRR H257_08576 MVVAERERESIFTQSSRAAAQGPGSRVATPHPRRSSSSVQAYSC VVQSSLPYITMKDHPQSQERSAFVQCREDGDANQTTDAVVEKVDAVVRPDSGGDSYDS EEGKVGAIHPWRQLMDDRKSSSGDDATPFFHSGRIKRLRPASQETLSPLTLTPRAPSR RDSRSRVNSMDDPASFEDKLTYQPVQASRRNSELPHALSIPAPSDLIPLYASSSVPPS ISTPKPSTPGSSPFFYEFNLWKKAPHRRPDVQPVQTSTSTPTVSQAADPGETKTGPGK VGLAVLHPPNNQVDDSTSAMLHEHVDVGAVVRKPRSASMQDDMRDCELTRSNSPDFKY LHRFFGDSERPATRIKWKRGELIGEGTFGKVFKALNSCTGELFAVKQIQVPRDKKHEH ESKMLAKLGEEITLMKELRHEHIVRYKGTDRDAHFFYIFMEYVPGGSIARYVRR H257_08576 MVVAERERESIFTQSSRAAAQGPGSRVATPHPRRSSSSVQAYSC VVQSSLPYITMKDHPQSQERSAFVQCREDGDANQTTDAVVEKVDAVVRPDSGGDSYDS EEGKVGAIHPWRQLMDDRKSSSGDDATPFFHSGRIKRLRPASQETLSPLTLTPRAPSR RDSRSRVNSMDDPASFEDKLTYQPVQASRRNSELPHALSIPAPSDLIPLYASSSVPPS ISTPKPSTPGSSPFFYEFNLWKKAPHRRPDVQPVQTSTSTPTVSQAADPGETKTGPGK VGLAVLHPPNNQVDDSTSAMLHEHVDVGAVVRKPRSASMQDDMRDCELTRSNSPDFKY LHRFFGDSERPATRIKWKRGELIGEGTFGKVFKALNSCTGELFAVKQIQVPRDKKHEH ESKMLAKLGEEITLMKELRHEHIVRYKGTDRDAHFFYIFMEYVPGGSIARYVRR H257_08578 MADNSTKSVQICSACHRQSSKLLLCGQCNLAYFCNAACQRQIWK AHKPVCVAIANPAVEMRKTQRCGNGLFATKAFRRGDLIIMEKVQYLTMKDLAGRGRLT QFGYTLTEENGSEGLASHGPILSILNHACIPNAWVKREAGPYRKLYARRDIQSGEEIC TFYDGADSADEECGSTESRAAKRASLKDRFGLDCLCSHCEMDVVLGEDGAMDMD H257_08577 MPRESFTPDDVLELEAPTKSFLCPLSANVYSIDFLKFQIIDYES KRKIFQVGRDCPPRAAAKVDYSQPLDEESYRKIRYEFSEDVLRLPTIMTSLEFSVGGK EVHGFRMIERHYFREELVKSYDFAFGFCIPRSTNTWDAVYSVPALDEDLIQDMVANPY ATVSDSFYFVGDELIMHNKAEYKYIVEDRAQSKRSYYDSDDEGDAKERKGAAGSAGAK EAKEAKDAKWSKEDEYDD H257_08579 MKVNADLSLPAIVHYDEITFVASPSHGVFRGMLDRDGDEVALAT TLVRYAPNSTFPSHKHDAGEEFLVLEGTFHDDHGAYPTGTYVRNEIGSVHAPSIGHDG CLILVKLRWMTPEDTHVVVPDTAVHTAKDWSSLSTGSNVRLLYQNAATGEHAWFLVLG PGARVESLDGFDGGEEVFVVAGTVTALYASTSTQMLKYSWLRLPPQAIASCDPSKTVF RNTSTEEAHVFIKTGHLRRFVHQ H257_08580 MVETRVTIEVHDGRDLPDPCSLRVALLDEAMARHQALPYPPNAQ GGDCKINVTLTPSNFDKKHTFHFIWTNTSTPILSVELWDCSKSYDEFVCVAQRSCESA LPPTWWELPRRHHMQPALFISIAIESATDDLASSAVDPSPASDFTFHVPCARINWRSL HEINIGAIVHKKQFDALEHVMDLTLFGDANTDDGNSLTSALVLLQFACQYAEYCRNEL SQRATSLQLALEAHVATKHAIQKRRRHLAEKQHLYQRQISALDDRIVSLKAILHNDEA PQDTSCPHA H257_08581 MQYGSPSVNSVLMSGSVRRQQKRQSSPIATSALVEIQWTLVVGR PLLVLCLAQHALCCVYFIIQGALMSAMTPYETVLLQAWSPFVSSIVCYGVASLHVAPF VRLGHHCYGTRPGPQLAVRSASSLSALSKLYVSATHLVEVACETFLAQQMANKLTHSY VAYGYALCVTTNCWFTPWLFFLPVSGLLRMLVDFVDCLLSFLLSTGIPLFYHVVPLVQ LKLGAKSYENSFDWLATNLPVARLLVVSSPVDLIATVGPNLSNFIILWRIVGELQCAT IPRRRRRHVQQLDASTTFRRGSVTYESKASTHPTTKQTTSPKHKSWSDRVLQLEPRIL KVMLVVNFIWGAVVLVLSTVSTFGRRSCPPFCVQKTAPWDHLGCNCLYVRLHCPELVI TSNTTMDSLLNSSVLGPNVLLLLVKSCAMPYGLAADTMSQFPTLFAFRFQNTSMHSWD IPSSAFNTSVLMMQIFQSDLNHIPPALYYPHPRSGVYISNAPRLASIPSDVWSRWQEL SMLWLRNCSLREFPLDILTMPYLGDVGLEWNSISIIPAQVADMPSLTSLHVDGNQVRQ VPQRLLTASQLTVFAEANPIETLDWHDSSIAAALVSQRLLVSSTPFCDALTKTSPTLL DVSVVCATNCARNCTRFNLGDFLCTADCNTDSCGYDNGDCDLPFD H257_08582 MLVVRSAFSHALFPCLNRQKRATAPSAFQILHRRNASSANAPPT SQLDIDLQPAARDASGRFPVTASGPRFRDLAKWWLTAKEETGPRVPTDAAELDRTLPV LTPDFSVPIAPGHARLTWLGHASVLLEVPVPGHDKPFVVLTDPVFTDRCSPSQYFGPM RYRPAPVAVTDLPPVDVVLISHNHYDHLEEVTLAQLHAAQPANISYFTPLGNAKWIAE AGIPITSIHEQNWWDISSTSFPFEVGCVPANHWSKRGFFDRNVALWGGFVVRGLGGSF YFAGDTATGSVFDAIGYKYGPQSVSAIPIGAYAPRHLFRDQHCDVAEAIQIHDQVQSA ASVGVHWGTWVLTGEYYLEPKQQLAKQMAQTSQPESFTTVHHGQSKVVKWVLEDKNKS S H257_08583 MTSTAASTTSAVRRNPLVKQFVLENDRPSKISHLNFGMLSATDM MRMSEVPIHSKDLFIMPTREPAPNGVLDKRLGVSNKSDDCETCHQKLTDCVGHFGYIQ LELPVFHIGYIKATIEILQNICKSCSRVLLGGDVRESFLRRMKDPTADALKKINTRKR ISLLCKKVVRCPHCDAINGTVRKIASPTLKIIHEKFRAKSAHDMRTVFVAQFAQAQAA NSDLTNALLSKAQEDLSPVVVQELFERIPDQDCALLWLNAFAGRPEKLVMNAMLVPPV CIRPSVAMDVGSGSNEDDLTVKLQEIIQVNFALKAALQKGATLKMVMEDWDFLQIQVA QFMNGDTPGLTKLQGAPKPIRGLCQRLKGKQGRFRGNLSGKRVDFSARTVISPDPNLR IDQVGVPEHVARTMTYPEKVTRYNIAKLRQRVINGPAVHPGANSIRIEGQKYTKNLMY GDRASLADDLKEGDVVERHMEDDDIVLFNRQPSLHKMSIMSHRAKVLQWRTFRFNECV CSPYNADFDGDEMNMHLPQTEEARAEAATLMCVPNNLITSRNGEPLVAATQDFLTASY LLTQKNIFFNREQFCQVLTLMGDGIDDIELPEAAIVCPIRLWTGKQVFSLLVRPNRRC PVKVNFELKERNYTTNLSMCYKDGYVVFRNSELLSGNLCKKTLGDGSKKGLFYVLIRD HGSAEAARCMNRLAKLCARWLGNFKGFSIGIDDVTPSNDLKEKKATLLNNGYDRANDT IEQYRRGKLELKPGCNALESLESELNGLLGKLRETAGAECMRSLPFHNNPRIMAECGS KGSALNISQMVACVGQQSVGGKRAPEGFVNRTLPHFLPYALHAAAKGFVSNSFYSGLT ATEFFFHTMGGREGLVDTAVKTAETGYMARRLMKALEDLSCQYDATVRNSEGSVVQFT YGDDGLNPAFMEGDDRPVDFDRLVVHVKNTVPDRSGVALSPLELRELGTAAVQRGEFQ AILPLGRKFLTEVEQFFYKAAATLAGIRKNVHLPMLDNSSMTPFADSLGAAFLAEHLG HTTKAGEGQKEKITSRKSAPAAAAADLKTDALAYVGQSQWHRTFSNSTELAKWQKQAK VHGSHEQKEAARLLVHNVCRLTKAQCETLLRLSLTKYHRAMMEPGEAVGAIGAQSISE PGTQMTLKTFHFAGVASMNVTLGVPRLKEIINAGKNISTPIITAALVCADDERSARIV KGRIEKTTLGEVAVHIKQVFARDQAYLSVKLDMAAIDALQLTIDARTVRHAILGAVGM PPRSIIKLLKEPHVLLNARRPDKLRILAPAKYKSATDSRSSMYFAMQSLKAALPSVIV QGIPTVNRAVINYEEGKDKKLHLLVEGYGLSDVMGIPGVDGLHTTSNHIIEVEKTLGI EAARFLIASEVSYIMSAYGIGIDRRHLMLLSDIMTFKGEVLGITRFGIAKMKESVLML ASFEKTTDHLFDAAVHSRTDAIVGVSECIIMGIPIAVGTGIFKLLRQVDQPALVKRLP LMEQYA H257_08584 MHEYDMSSLSTPHHHTPAHSFISIVSTSVRTNDIKPTMTSTTTL PEDRRCRYKTGKCHYPRALKTNKKDGTDAMLLLCERHRRLQNKTKKRSDTKYKADRAM LRVVKRLHPDLYTSRDSRSSCYLVPQSPASSSSTSTTTESSSCASNAAKRFQSTSEQF SPSELDMLAFYLL H257_08585 MFLTDAVLFSERSSPSQLFGPSRGPPHVLTQCFGATTIRTIWTC QRCRRSRPPHAHYFVPLHSTSGHKQGDWVVQGCGGPSTLQVVISPSWKFQDQHVNLYE AVQINRDVGSPVSVGIHWGTNQLITGEPKQTLAVLMSIASKSRFVALDYYDLEIIPWT L H257_08586 MPSRMRRWLCLAAVALIAIHVNAHASMPKHNRRRDACTEDYQQS NVALNLIQVSSSDVVLPRILCFVNTISPNHATKAQAIKDTWGKRCDKLVFLSNVTDNT LGAVAIADVPSDHSHLWQKHKASMQYIWNLYRHDFDWFYKADDDAYVIMENLRAYLRS PEIVMQQDVVPLQLGHRFRLTDNLTEYYVRDKHLLEEYQQRWPHWWVFNSGGPGVVMN SLFMRLAVQSFPLWTCLSDEYSQTLPDDAAIAFCMAWHRVFPPSTRDLSGRERWHANH PHGVYFTDPSEYPDDMWFNMYHQGIGGIQWKENCCAPDSIAFHYVGPDYMRHIERQLY DCRKDEFKGAVDSVRGVYHFADDIVFS H257_08586 MPSRMRRWLCLAAVALIAIHVNAHASMPKHNRRRDACTEDYQQS NVALNLIQVSSSDVVLPRILCFVNTISPNHATKAQAIKDTWGKRCDKLVFLSNVTDNT LGAVAIADVPSDHSHLWQKHKASMQYIWNLYRHDFDWFYKADDDAYVIMENLRAYLRS PEIVMQQDVVPLQLGHRFRLTDNLTEYYVRDKHLLEEYQQRWPHWWVFNSGGPGVVMN SLFMRLAVQSFPLWTCLSDEYSQTLPDDAAIAFCMAWHRVFPPSTRDLSGRERWHANH PRKTCL H257_08586 MPSRMRRWLCLAAVALIAIHVNAHASMPKHNRRRDACTEDYQQS NVALNLIQVSSSDVVLPRILCFVNTISPNHATKAQAIKDTWGKRCDKLVFLSNVTDNT LGAVAIADVPSDHSHLWQKHKASMQYIWNLYRHDFDWFYKADDDAYVIMENLRAYLRS PEIVMQQDVVPLQLGHRFRLTDNLTEYYVRDKHLLEEYQQRWPHWWVFNSGGPGVVMN SLFMRLAVQSFPLWTCLSDEYSQTLPDDAAIAFCMAWHRVFPPSTRDLSGRERWHANH PRKTCL H257_08587 MQQPQGYPAAPGSAAGYGQQAQYGQQQQPQYGAQPGQYDQQQQY AQQQQQYGQQQQQQQYGQQVPQQQYDQYAQQGQYGQAAPQQYAQQGYGAQPAYGGQPG YDQQQPAAAAASQHNVVAPAVWDIEALEAKDGIRFSWNNWPSTPLEQTRAVVPMGCIY QPLKPIEGMPAAVEYDPVHCKSCAAILNPFAHVDFLSKLWVCPFCITRNHFPPHYAEH ISEQNLPAELIPSFSTLEYELPQRQAGPPIFVFCLDTCLPEDELEELKDSIQQTLNLL PDEALVGFVTFGTMVHVHELGFAECPKSHVFRGNKDFTAQQVQDMLGLVPTRQQPAAT TSIQPGQQPQHPPAAARFLLPLGECGFTLDNILRDLQRDPWPVNAGHRPQRATGVALS VSVGLLESTFRGQGARIMLFVGGPATTGPGAIVNRERTEDIRSHTDLHKGNAPLSAKA IEHYTGLAERCVAAAHVVDVFACALDQSGVMEMKVCVAKTGGVIVLADSFGQSVFKES FRRMFSRFSDEAADSDRDHLTMAFAATLEVLTSREFKVSGAIGPVAAQKKTNSAVPAK NISETEIGVGGTNTWSLGGLDPQTAVAIYFDVTNQGAIAPGKGRYLQLITRYQHSSGK YRTRVTTICGPWTTDPNDVESLKRGFDQEAAAVLITRLAVFRSERGDETNDIMRWIDR SLIRLAARFAEYRKDDPTSFRLSREFSIYPQFMFHLRRSQFLQVFGYSPDESSTYRHC LLRESTTNSLVMIQPSLLSYSFQGPPTPALLDSSSVRADTILLLDSFFYVVVFHGETI ASWRDQKYHEHADHAHFKNLLEAPQADAQLIMDSRFPVPRYVVCDQHKSQSRFLMAKL NPSTTHMSGDGQGEVIFTDDVSLKVFMEHLIKASVQT H257_08588 MRRSVVQVLRGPSGAPRRHVHATTALACPSLSREDIAAMAKVSP TPLTLQQMKTFATNGPELRLKASAFLHNELQIRFARAVVELSELPLGLNETPPVKMAI ANYTTFLHDVAAMKAPSTPEEDAIFTSRITQMKKQGSNLVPMICGGLHTIKTTPRGID ALRLQDVQDDLNTRLDTFFLSRIGIRMLIGQHSKDGGRVKLTDVQDIVNEAVARASAL CAAFCGPPPPVLVRVVENGNAPFMYVRSHLHHMVFELLKNSMRATVERHARLLSEDGR PTCALHPKPKMNHLSPLLGFVIPDLDTIDGVTIFPPSAAAGLTLPPITVVISQGVEDL TIKISDEGGGVPRSEWRKLWQYTYSTADDDMDPSIFQGQVNFRDHFCGGGYGLPISRL FARYFGGEVTFVSSEGFGSSVFIQAHRLGKQAELVPGHTNFTLQPLTF H257_08588 MRRSVVQVLRGPSGAPRRHVHATTALACPSLSREDIAAMAKVSP TPLTLQQMKTFATNGPELRLKASAFLHNELQIRFARAVVELSELPLGLNETPPVKMAI ANYTTFLHDVAAMKAPSTPEEDAIFTSRITQMKKQGSNLVPMICGGLHTIKTTPRGID ALRLQDVQDDLNTRLDTFFLSRIGIRMLIGQHSKDGGRVKLTDVQDIVNEAVARASAL CAAFCGPPPPVLVRVVENGNAPFMYVRSHLHHMVFELLKNSMRATVERHARLLSEDGR PTCALHPKPKMNHLSPLLGFVIPDLDTIDGVTIFPPSAAAGLTLPPITVVISQGVEGA WLLSIHDIFIFTGYAAAITNAWNMMIHRPYIV H257_08589 MSKVTPQNGVSTYQSTKVSLMTSWNNPLGGIFDSYKPPSTSRPK LILRFPPNLTMYSSIVLALALVAALATADSPPATPEVPTPPNANESPAVDSKDSKEWL GWYRPLYRPVIAVPVLPIVPVYRPVVVVGRPLLRRWEGAAVVDAKSSKEWAAAGVGPR GGAVVAAGRPRRYWEAQQPSTTP H257_08590 MEDGGPMLIPRVVTVESKGPSPPLAPSTPPPRRMLAQLKTIPSA YNIHRFRNSIANIMSLRGAIRAYLKHELLRRSTPDSEFQTIALGCLRKSNAFRRVCID IVSWAWFDRFITLCVLVNTLMLGFVDYTNPWADGPNPTRPANRAIDLVSTASLGIFMC EVVLKIVAHGLLWGPHSYFSDGWNRLDFLIVMSGMLSWVRVGGKVGSIRVLRVLRPLR TLHSLPGLKVLTTALLASLPALGNVAILLSFIYLVFAILGMELWRGAFNHRCRLTPFP VQLNFNASDAPNATAYPPDASYIAAVVANPLQYACNLTISGQLMPLNDTWFSPMNCFW PVDPLEANAVLCSPNIVTGRQCRPELTCGSNFDSFGNPRFADVWFNGSFVISPSQYDT FNDKLNYGYTTFDNVWSAFVVILQTVTASGWMVLTQMTQQAGGYVGGALYFNALLFIG MCFLLQLNMAVLYSEFVKAKDEQNLQAKVKRRRSAVSNFIHAATRKQIQMAMTSYSSQ LHPTTKRRIKRARRWMRQLARLPLFQRTGLVVTVLNIITLASDHHPMDPRFSYYAQML NFVFMWYFAFEVAIKLVGLGPSQYWSDLFNRFDLVTVLLGIVEIAVSPPTILKGVKGG SSASFFTALRAARAIKLTRAWKSLHKLMLAIGAAMGEILNFMFFLVLFLFVTSLLGME LFATKFQFDEGNNPLPFNTSNPSARLHRSNFDSIEWAFFTVFQIITYDNFPAILYDGW LVAGWLAPLYVAFIIVIGAWIVMNMFSAILVESVLVDADDFVLYDVDSIISSNNDDED SELNSSMASQSNSHLRLDGIASDVAKKYRLGHFPKLIALSRVRALRRVVRRYFKRASE PDLASSSATSDHPSHPPIERRSLGLFAPQHPLRRFCVWLLQRPEFTWATTAAIATSCI FTALDTPLYEHSHGIGFVVEQSNKIFAVFFGFEMAINIIARGLLEGSDAYVRDPWGLL DGLIVVVSIVPLFVDDVDGLAGLQALRPARALRPLRVINKLPQLKVVINVLFKCIPDI GRAMLFFVFMLLMFGIMSVMLFKGALASCSLSPYNYGTSDAAHTPPPWFPPAYPGSYR NVDLRRVDIMTYPQPWTNLSADQQAVLRPVWNTTGCGPFTDDDVPTSKDICMCFAATH GTSWDPLVPQRFDNIFESMGALYELTTMEGWAVVAIATIDAVGPDMQPIANNHPVLMV YWWVFMITCAFFTTNLFIGVLCESFVRENYGALVTEEQVAWVKMQRRVMVLSPHVRRC PPRHPWRQWCYRLVHHRYFDPVMTGATLLNTALMASQTYGQSEQVWQVLGNIYVVMAA VFAVEAGVKFSAWGRHYFDNRWHRLDFCVAVLTWLSIAVSQLGQIDLGLADSLVRVAR VSRTLRLIRQTESLKVLFDTLTVSLPAVANVTSLLLLFYYIYAAVAVQLYATVALNHD QITDYQNFQTFWIAFQTLIGFSTGENWDTFLWQVYDTAPATNAQCESRKFDAAMCGFN TTDTCAPLDGCGSWTIVPFMYSFFLMLGYIGINLFSGIVVDAIGDSMAECPVNGHNLA EFADLWATYDPLATGLITADEFTDFLMALSPPFGFHGVDSMTRKRVVGVIGELNIPLY DEQLVHFKDVPRALVQRIMGDGKRDKMREIGRVLDDLGVNKQLDDLWMKQHGAKDKVD VRWRAWSPSAVYTAKYVLTRFVRNTRARKAREALVKTNVLSPVDVPAATAHEPAVEPP EAVVDPSTNTNSPPPSSSLLQMSPTTSTETDTSGGKVHLGPLKMPAKFKSHVVVPVCD DPVVG H257_08590 MEDGGPMLIPRVVTVESKGPSPPLAPSTPPPRRMLAQLKTIPSA YNIHRFRNSIANIMSLRGAIRAYLKHELLRRSTPDSEFQTIALGCLRKSNAFRRVCID IVSWAWFDRFITLCVLVNTLMLGFVDYTNPWADGPNPTRPANRAIDLVSTASLGIFMC EVVLKIVAHGLLWGPHSYFSDGWNRLDFLIVMSGMLSWVRVGGKVGSIRVLRVLRPLR TLHSLPGLKVLTTALLASLPALGNVAILLSFIYLVFAILGMELWRGAFNHRCRLTPFP VQLNFNASDAPNATAYPPDASYIAAVVANPLQYACNLTISGQLMPLNDTWFSPMNCFW PVDPLEANAVLCSPNIVTGRQCRPELTCGSNFDSFGNPRFADVWFNGSFVISPSQYDT FNDKLNYGYTTFDNVWSAFVVILQTVTASGWMVLTQMTQQAGGYVGGALYFNALLFIG MCFLLQLNMAVLYSEFVKAKDEQNLQAKVKRRRSAVSNFIHAATRKQIQMAMTSYSSQ LHPTTKRRIKRARRWMRQLARLPLFQRTGLVVTVLNIITLASDHHPMDPRFSYYAQML NFVFMWYFAFEVAIKLVGLGPSQYWSDLFNRFDLVTVLLGIVEIAVSPPTILKGVKGG SSASFFTALRAARAIKLTRAWKSLHKLMLAIGAAMGEILNFMFFLVLFLFVTSLLGME LFATKFQFDEGNNPLPFNTSNPSARLHRSNFDSIEWAFFTVFQIITYDNFPAILYDGW LVAGWLAPLYVAFIIVIGAWIVMNMFSAILVESVLVDADDFVLYDVDSIISSNNDDED SELNSSMASQSNSHLRLDGIASDVAKKYRLGHFPKLIALSRVRALRRVVRRYFKRASE PDLASSSATSDHPSHPPIERRSLGLFAPQHPLRRFCVWLLQRPEFTWATTAAIATSCI FTALDTPLYEHSHGIGFVVEQSNKIFAVFFGFEMAINIIARGLLEGSDAYVRDPWGLL DGLIVVVSIVPLFVDDVDGLAGLQALRPARALRPLRVINKLPQLKVVINVLFKCIPDI GRAMLFFVFMLLMFGIMSVMLFKGALASCSLSPYNYGTSDAAHTPPPWFPPAYPGSYR NVDLRRVDIMTYPQPWTNLSADQQAVLRPVWNTTGCGPFTDDDVPTSKDICMCFAATH GTSWDPLVPQRFDNIFESMGALYELTTMEGWAVVAIATIDAVGPDMQPIANNHPVLMV YWWVFMITCAFFTTNLFIGVLCESFVRENYGALVTEEQVAWVKMQRRVMVLSPHVRRC PPRHPWRQWCYRLVHHRYFDPVMTGATLLNTALMASQTYGQSEQVWQVLGNIYVVMAA VFAVEAGVKFSAWGRHYFDNRWHRLDFCVAVLTWLSIAVSQLGQIDLGLADSLVRVAR VSRTLRLIRQTESLKVLFDTLTVSLPAVANVTSLLLLFYYIYAAVAVQLYATVALNHD QITDYQNFQTFWIAFQTLIGFSTGENWDTFLWQVYDTAPATNAQCESRKFDAAMCGFN TTDTCAPLDGCGSWTIVPFMYSFFLMLGYIGINLFSGIVVDAIGDSMAECPVNGHNLA EFADLWATYDPLATGLITADEFTDFLMALSPPFGFHGVDSMTRKRVVGVIGELNIPLY DEQLVHFKDVPRALVQRIMGDGKRDKMREIGRVLDDLGVNKQLDDLWMKQHGAKDKVD VRWRAWSPSAVYTAKYVLTRFVRNTRARKAREALVKTNVLSPVDVPAATAHEPAVEPP EAVVDPSTNTNSPPPSSSLLQMSPTTSTETDTSGGKVHLGPLKMPAKFKSHVVVPVCD DPVVG H257_08591 MPQSKPSTAAMEVCVRWIQSNGEANSLDSSTRPRPEQIDLHHSA FNRWKHVKVWLRFALHTWHSHTIATISPSPSPSIPTSKSAPLSSAPVDDGVEEVYVSI QVLGKGAFGTVVLSRKASTNTLVAIKSVDKAKVCAEESARLLTERTVLSTTQHPFVIS LDAAFESPSHYHFVLEYCPGGDLYGLLEHQHRLDVPVVVFYTASILSALLYMHQVCGI VYRDLKPENILLDAKGFIRLSDFGLAKTHMLPSTITYSFCGSVDYMAPELIAGCGYGL AADVWSLGCVVFEMLTGLPPFYTTAGRRVLFERICSGHVVYPPHMPSDACNFVAHCLQ LDPLKRWSVSQLLDHPFVAGIDRYQLGIHQVPVPFVPALDHMEDTRYFGDQFKCEPVP HAKLVLTTKRNKQQLSHVDDEQHFSSFDWCRDSNAPTSAV H257_08592 MSGSTMDRWVDRAWSNIMGVFGRSTLSPAPTVPLARRASASQSP SISCTDPRCRRSMPSSSHSRRPHSRNTSTPMPSSLIQLPRCDEFQTLRTYDIDRSVHH ARRYPRFTFVMDEHPIRSLEPTIGPTTGTLLHLVAHLVEQVDRAITAGEITTTDHGRN WINRLHAQILVSLHGPSRLGNGPSSAAAVRDIHTALQAQLDSRDIVLVAIWQDWYALE WRRILHLASMSKPPSLSALPPTRPKKLLVLDLDRTLWFRSYRPFDTADFVAVYQLPQT QVTSSIYVSIRPGAQFLLDSVAPFYDIAVFTASDRKCTDDLVDLLDVKRLIQYRLYRD ICTTDTTNTNVLRKDLSVTGRPVTDVIVVDDNPIAWTGWLANTVVCKPYIGSNRDVEM YSLTHKLLALYDVADVRVHVAPLSTSTSQVA H257_08592 MSGSTMDRWVDRAWSNIMGVFGRSTLSPAPTVPLARRASASQSP SISCTDPRCRRSMPSSSHSRRPHSRNTSTPMPSSLIQLPRCDEFQTLRTYDIDRSVHH ARRYPRFTFVMDEHPIRSLEPTIGPTTGTLLHLVAHLVEQVDRAITAGEITTTDHGRN WINRLHAQILVSLHGPSRLGNGPSSAAAVRDIHTALQAQLDSRDIVLVAIWQDWYALE WRRILHLASMSKPPSLSALPPTRPKKLLVLDLDRTLWFRSYRPFDTADFVAVYQLPQT QVTSSIYVSIRPGAQFLLDSVAPFYDIAVFTASDRKCTDDLVDLLDVKRLIQYRLYRD ICTTDTTNTNVLRKDLSVTGRPVTDVIVVDDNPIAWTGWLANTVVCKPYIGSNRDVEM YSLTHKLLALYDVADVRVHVAPLSTSTSQVA H257_08592 MSGSTMDRWVDRAWSNIMGVFGRSTLSPAPTVPLARRASASQSP SISCTDPRCRRSMPSSSHSRRPHSRNTSTPMPSSLIQLPRCDEFQTLRTYDIDRSVHH ARRYPRFTFVMDEHPIRSLEPTIGPTTGTLLHLVAHLVEQVDRAITAGEITTTDHGRN WINRLHAQILVSLHGPSRLGNGPSSAAAVRDIHTALQAQLDSRDIVLVAIWQDWYALE WRRILHLASMSKPPSLSALPPTRPKKLLVLDLDRTLWFRSYRPFDTADFVAVYQLPQT QVTSSIYVSIRPGAQFLLDSVAPFYDIAVFTASDRKVH H257_08593 MYTTAESRTWKFMKVVAVKEHVTSLNFIAFILASGLAICMFVFL SSTQGFVLNQILHINLDVIGNISGNLTLFDECISLVMVSVWGVLSDRWGRRGIYSSGF VIMGIGLVLYPFASSLSPDLILFRGIFAFGGAATSSMLTAVLADYSSEKDRGKVSGLV GLMSGIGALVAVFVFLRMPAKYADQVQGLRVTYSVVAAICALFGVFLFFALRPKQLTN PMSTTLMYATDDDTTASSSPSTGSQATSKQVSFLAGLRAGYAAAKDGKVLLGYFGGFL ARGNTIIITIFLPLWVYKYYVDNNMCASANAADSETIKTDCRDAYIRASILSGVAQTA AMVAAPFFGWLGDKLYRPLVVLLATVLGLVSYLWLFMCPNPLANVLFAVVVLVGVGEM AIIVSSLSVVTSKAIPSHLRGAISGAYSFSGTVGIMVASKLGGYLFDGWQPTAPFFIM AAGNLIAVVIAIVVIAHDIHVVKTKSPSSSQNGLAGLHQALMQLQHTLGELPL H257_08594 MMGNVVEWSIANGYVIDRTFEFPQPVLDIALVEFNDDDGSSVCI LARPDRMTVVTPSGSTIDVPLPFQATSIFAMQKGLLLERSKHPPSSANMPVLFSLMHP LDEIKPVVVQGDPLQPLDHCAFVSDPVETLVFVHAAAPSFLAFFHPDHRTFRVKSIAH VVSPRDAKSTSIVPEIALRQIWESPCIATSTPHKLACKLFVTSNVDLSPILCIFNPSS GELVMQPMSIIVPTPSSTSPLPPPVTFPSPTILRCRLACPVLRKCTTTCQQRSLRSFD LVVVTLDHTWELYRHLHKICSLALPVRQPPVLPHQVVAIKDTPCVHTIEVQVAGTPDT SLRLVLPLDFTSALLERAFECLDAVVPSLVLLWRVRIRTTTPTNDWQAFTSQLLVAAL PPVEKVGEPSAPAPSTTLVFATAYDRLVATESFQKLAHAQPKIFGRPSPPSPSTIDDH PMDCVDLVAQIRVHLPTVFTTLHLLYEDLKLSTSSFPWLRPLGVVLHAVAHATALPAY MQHYVADLGPIPVMSTNRDSKGDKNPSNLFKSLQNASAPADVYGWVQRRMAMFHYHRL HNSRVSRFGTTTLFPTSHLFPTTQHVVALYSKLYPDVDSLHPVRAQDVVHYLVTIPAF EHLMDDLPDGVALPLRYARTICKHAPGNSWDAATCHVIQRPDWVGQGGRQEDGDAASK NRPAPPDDDDGFDDVMHLHAPLFPTDLRLKEVGRLLRSNKIMYLKIPLDPSLSDSDVI NMQQARLLMLCKRSMALPVARGMVTLGSLTPATATTTSWIAPLPIPPMPLAARIAESN AKIHLDSSTYKELTLWPQFHNGVATALRLPPALETNVTVQWIFSHKPKPPTTDDDHDP MAAHAGFVLGLGLRGHLSQLSQSAIFQYLSMDHELTSVGLLLGLAATSVTRPKDLTLE RSATRVLSLHIPSLLPPTYSHVNITPSVQTAALIGLGLVYQGTGNRNMTEIMLSEVVS APVVMSPTSSQPSVDLSQKESYALAAGVAVGLIALGRRNDPGLADLQLEAKLVKYMVG GSRKVRPIPGNCVLRTKTKSPSREYVNVDVTAAGSALALAFMYMHSNNERVRQQLAIP NTIVSLEAIRPDLLFVRVVASNVVAWDAIRPSEEWIHGHQPPCFTSDGPVDPPTAQEG YANILAGACFSVGLKFAGSHDVVAKSTLLACISDFCEWRSKAKAVSRVTWERCLGVLT QSLALVMAGSGDLDTFRALRVVLLRQKADAMADVTYGNHMAVTSALGLLFLGGGRCAF QSTPFAIAVLVVAFFPMYPSKTSDQKYHLQALRHLYVLAIDSNRFVETIDADTGAQSP VDLVISTTGLKNHKVVRTPHLLPAYDKLSVLSSRHFPVNVNVKTVGNEDDGGNANACR VQTLNERRRIYVKRKQGDLDSPQLALLRQFRRWFVHDTSSHTNHAFCKRVWQECEVQQ KPHVLAVYLNAKHTEVAVLSDALAHSLNVSTLHLMHLHMEVEGHMFPYELPIEAGAFD HEKDQHDDLGVAQLVNEDFVLGYTNALLRYFSELHPNENVDTLAQCAHLRFLGDHMLA QLE H257_08595 MTDPPPPNPNNEQPATTTSRIHVTEPHWLLGESTKQQQWTLTGN ALTITSGKKATLHCVTEGKVWHERDLGIQLCTESGHWLRGTATTRSQWAMWLQAFHDL TPPKATQPSPSRVQFSNHVRVRRIPSITEEDAPHLYYSSVEMATMSKALYV H257_08596 MFTSHVIVEHVHWLFGRCFTCETWTLTDAVLTVVTETHESMQCH VVCGQAWAAIQYGIQVQTDDGKWLHASAGSKVQWAMWLDAFQDLAPPPKPHSPVKVQF NDDVAVLEIPVLSDEEKALLFAPDPVFGTAPAGAAAVPSSTEVEVPRSSNFILPTWP H257_08597 MHTSAPLKTKSVVAVQESGWLGKTFRDRIWSLEQGTLTMTTNGH PTKPVSVHVESGKVWQGMKFGFKVKTDDGMVIRAHANSKAQWAAWLQALHNLAPTEHK SATKVHFCDMVRVRVIPSSDDEDCTTSSDDENGD H257_08598 MPPTTHHRKVTSFMSVQETRWVVMKTFKDRIWTLNKRTLTVSAD ERFKFNTYAVKQGIAWTGAPHGIQVETEEGKWLRAVANNKAQWAMWLQAFQNLNAPND VPQTPAKVSFCEHVRVRTIPASDDEDDDMYTTNSSDEDDR H257_08599 MELSCVCRNAKCLHRVQHQATLLELTPRHVDCVHRQVRHHTEAS GQAGWGVVGKGAWNPSRNRRWHLVSRHGHVQGALGHVDAGVSVAAPSILTHDVPKESE LSRQGADSADPTQVARRSRADALFRQRVVGHDNRRQTAPRCVANEDQSTQAVPSRQAF CVHVIPCLRLLIFNAVSSLTFIFHT H257_08600 MTLSGYKIYRQQTDMDLRKRQPRPESRDRRLSSSPRDPNVKVRF RTSLHNTVCDVMTSLDGWEETDSDMDWDLHWADVGWVREYFDAMQPKLHEHQRLNHFK NHYELTRKDLLVKNLKRMKKQQAKSELSVPPADFWSLTFVLPMEYGMFLEEFKRFPGA MWIMKPIGKAQGKGIFLFEKLSQISDWKKDHTWKPDGLQAKTSDTYIVQKYIENPYTI GGKKFDLRLYVLVTSFSPLVVWTYRAGFGRFSNARYSQAKADMDNLYMHLTNASVQKT AQDYDKSMGCKWPLDSIKQFLISKHGVRAVDSLFHDIQTVVTKSLLAVQPILIQDKHC FELYGYDILIDSDLKPWLLEVNASPSLTGDTDEDYGLKWNLVAHTMQVIDMARHRNGS ERHVGGFDLIWDNGPVLDAHPDGLRIRPLASPTPSQSVIT H257_08600 MTLSGYKIYRQQTDMDLRKRQPRPESRDRRLSSSPRDPNVKVRF RTSLHNTVCDVMTSLDGWEETDSDMDWDLHWADVGWVREYFDAMQPKLHEHQRLNHFK NHYELTRKDLLVKNLKRMKKQQAKSELSVPPADFWSLTFVLPMEYGMFLEEFKRFPGA MWIMKPIGKAQGKGIFLFEKLSQISDWKKDHTWKPDGLQAKTSDTYIVQKYIENPYTI GGKKFDLRLYVLVTSFSPLVVWTYRAGFGRFSNARYSQAKADMDNLYMHLTNASVQKT AQDYDKSMGCKWPLDSIKQFLISKHGVRAVDSLFHDIQTVVTKSLLAVQPILIQDKHC FELYGYDILIDSDLKPWLLEVNASPSLTGGRWWKHIYIYFCVFLFYSNVTATFTLINM NGWLALGVDTDEDYGLKWNLVAHTMQVIDMARHRNGSERHVGGFDLIWDNGPVLDAHP DGYLSYLGCAFDRLPHQRPVKA H257_08600 MTLSGYKIYRQQTDMDLRKRQPRPESRDRRLSSSPRDPNVKVRF RTSLHNTVCDVMTSLDGWEETDSDMDWDLHWADVGWVREYFDAMQPKLHEHQRLNHFK NHYELTRKDLLVKNLKRMKKQQAKSELSVPPADFWSLTFVLPMEYGMFLEEFKRFPGA MWIMKPIGKAQGKGIFLFEKLSQISDWKKDHTWKPDGLQAKTSDTYIVQKYIENPYTI GGKKFDLRLYVLVTSFSPLVVWTYRAGFGRFSNARYSQAKADMDNLYMHLTNASVQKT AQDYDKSMGCKWPLDSIKQFLISKHGVRAVDSLFHDIQTVVTKSLLAVQPILIQDKHC FELYGYDILIDSDLKPWLLEVNASPSLTGDTDEDYGLKWNLVAHTMQVIDMARHRNGS ERHVGGFDLIWDNGPVLDAHPDGYLSYLGCAFDRLPHQRPVKA H257_08600 MTLSGYKIYRQQTDMDLRKRQPRPESRDRRLSSSPRDPNVKVRF RTSLHNTVCDVMTSLDGWEETDSDMDWDLHWADVGWVREYFDAMQPKLHEHQRLNHFK NHYELTRKDLLVKNLKRMKKQQAKELSVPPADFWSLTFVLPMEYGMFLEEFKRFPGAM WIMKPIGKAQGKGIFLFEKLSQISDWKKDHTWKPDGLQAKTSDTYIVQKYIENPYTIG GKKFDLRLYVLVTSFSPLVVWTYRAGFGRFSNARYSQAKADMDNLYMHLTNASVQKTA QDYDKSMGCKWPLDSIKQFLISKHGVRAVDSLFHDIQTVVTKSLLAVQPILIQDKHCF ELYGYDILIDSDLKPWLLEVNASPSLTGDTDEDYGLKWNLVAHTMQVIDMARHRNGSE RHVGGFDLIWDNGPVLDAHPDGYLSYLGCAFDRLPHQRPVKA H257_08601 MASSSPTSSSSSSSCSSEHGSESGSQLDYRKAYYAKNKDKEIAR MKVYYETHKEKLSAYGKEYYKRNRETELHRFKAYREKNLGRDLERKKLWYARNRDKIL AYAKAYDLKNRDKRNAYQRERRRRMKEAAKGATAEVAASTAEPSMKIEPVQHPESADD HELTRRMKQASLAPQLKLSFLLNPTE H257_08602 METADVPAVAGFVVDEDVVQQVPMWPIDDKEPDQVQPPSADGTS EAPEIDPLDAFMADLSNTGRDDVAEQRNLELPKAKVISFEEIMSKNKEVDFYGSFLPP STSLLPASIYPPRQPNETDAERDAREKAELDEFMRAIKLKRDREDAVNKEGFLAPNEG NDDSTTHVGDKKSDTGRIYQGVEEDAIGIEMANNVDSRTALEILQEQQKKKEIKPVDH KSVSYIPFRKKFYIEPADVAAQPQEELDALLVSMEIKIRGKGCPRPIQKWTQTGLSQR LQKLIARQEYPTPFAIQCQALPAIMSGRDVIGIAKTGSGKTLAFLLPMFRHILDQPPL SDGEGPIGLIMAPARELVQQIYIESKKFTKDLALRSTAVYGGSSVSEQIANLKRGSDI VICTPGRMIDILTMSAGKMVSLKRVTYVVLDEADRMFDMGFEPQITKIMMNIRGDRQT LLFSATFPRSVESLARKVLRKPIEITVGARSTASGDIKQYAEVREESDKFMRLLQLLG TWYEKGNVLVFVNTQQACDQIFQDLMKAGYLALSLHGGKDQVDRDYTVDDFKRKVRTL MVATSVAGRGLDVKDLVLVINYHCPNHLEDYVHRVGRTGRAGRKGTAYTFISSHEDEY APDLVKALENAKQDVPPELRALSDQFKEKVRSGLARYHGSGFKGKGFTFDESEKSEAQ RAVDFQKRQYEMDEGLADAGGNDDNDNDDDKATKATSAAEQPEKSIEEMTMAEKMNSF KERARQVANMLVGEPSQKDEVRHFRDELEINDYPQQARWKVVQKESSDLVAEITGAAV ICRGTYVAPGRKPNVGERKLYLAIEAGSRQAVAEAKRELQRILDEKTMEVGIGDDRKY GKYSI H257_08603 MPMAKKHDTGHYAAYAERRANSSLQMLAESSTSVSMWVDVGSKD DVSLSKTTSDWKVFCANRATTTIDTTLHNVVQRLFQATESSKYQELMRSMWGDAYLDA RVIEVIGADPENAASMATVAPQHRNVPSVFHKVHKRTSVKWFATLGKSKLSKASEFHV VEFIGLVYDSHSRIQAIYIYQESMSKVDDAPLPLQTPVSATFDRVRVDGLIMKFEALE LPSGGEYVMMSLALQRQPSLLDFGFKNPAEDLVLRFAKGYRAGLRRTSAALSSSLRIA DTGSWVRDQDRPACSVCARAFHPLVRRRHHCRKCGEVVCFQCSNLFNTLDQQSSSAAP DVRLCNRCVVQQQADAGRHLGVIDANELKEWLDEELAENNPDLFDVPPPAARRPLPSG GAFTGTLEVNVLAATTTTQLLDTSKWNNHPSTASATLDAAPSTDNLVLGPMMHPPPGG GSATTPPHPNSNQSPRTTSSSHGRLSADKHMPTHPGLGTSTICLGAERRNFDALYDGD DHRKYGRSNHSDDNNHDDGGGGGGSSKDGENDGGIHIVDPPQLYRTSTPHLGIPPPPP AAAHTRSTSTSSTSSRLHHKSPRTLDTFTLQLTASSSMSMGVTAQPTPLRQSSHRRMP SIESLPSHSVDQFIASTSLPSLQIDPRYLPRTNTTPTRPPSTYLLPSTRPPSFGGIRT ASAWASVTTSTTAAVEAAMRDLHNKIGGPVHFLVVSFSDGCDAIDVMVAMEHGAPGVP FVGGLSARGICDEAAWVSMKRGGLVALWGIHDPLGSYTVGSTGYDEFTAKHNVADAVH EALHTTPNPVFCLVYACPLVVDEALAGLRRAVPCPVLGGCSVLSAHYQGYLQISSAGG STIGMTFALCSPSVETSVGWFSGHNVVHTKATAVASELSPPMPCMGVVTSADSQTRVV YEIDHRPAATVYKEWLANVHAADAPMKFPRLGYMYPLGQVVQESLPSLHVNATPVVTA VDDVAGTLSLTTPIRTGTTVALMHTSVDVLKDAVKRMAVTVMRTSSFDVKDVDGCLMF LSAGVQVVLGSSMSMSGLVGAFKAWSGGASFLGLTSFGEVGHLAHDSTPLCDALMFSY LIFSNQRRNPHA H257_08603 MPMAKKHDTGHYAAYAERRANSSLQMLAESSTSVSMWVDVGSKD DVSLSKTTSDWKVFCANRATTTIDTTLHNVVQRLFQATESSKYQELMRSMWGDAYLDA RVIEVIGADPENAASMATVAPQHRNVPSVFHKVHKRTSVKWFATLGKSKLSKASEFHV VEFIGLVYDSHSRIQAIYIYQESMSKVDDAPLPLQTPVSATFDRVRVDGLIMKFEALE LPSGGEYVMMSLALQRQPSLLDFGFKNPAEDLVLRFAKGYRAGLRRTSAALSSSLRIA DTGSWVRDQDRPACSVCARAFHPLVRRRHHCRKCGEVVCFQCSNLFNTLDQQSSSAAP DVRLCNRCVVQQQADAGRHLGVIDANELKEWLDEELAENNPDLFDVPPPAARRPLPSG GAFTGTLEVNVLAATTTTQLLDTSKWNNHPSTASATLDAAPSTDNLVLGPMMHPPPGG GSATTPPHPNSNQSPRTTSSSHGRLSADKHMPTHPGLGTSTICLGAERRNFDALYDGD DHRKYGRSNHSDDNNHDDGGGGGGSSKDGENDGGIHIVDPPQLYRTSTPHLGIPPPPP AAAHTRSTSTSSTSSRLHHKSPRTLDTFTLQLTASSSMSMGVTAQPTPLRQSSHRRMP SIESLPSHSVDQFIASTSLPSLQIDPRYLPRTNTTPTRPPSTYLLPSTRPPSFGGIRT ASAWASVTTSTTAAVEAAMRDLHNKIGGPVHFLVVSFSDGCDAIDVMVAMEHGAPGVP FVGGLSARGICDEAAWVSMKRGGLVALWGIHDPLGSYTVGSTGYDEFTAKHNVADAVH EALHTTPNPVFCLVYACPLVVDEALAGLRRAVPCPVLGGCSVLSAHYQGYCTSPSSSR PSESTTENELRTVVQISSAGGSTIGMTFALCSPSVETSVGWFSGHNVVHTKATAVASE LSPPMPCMGVVTSADSQTRVVYEIDHRPAATVYKEWLANVHAADAPMKFPRLGYMYPL GQVVQESLPSLHVNATPVVTAVDDVAGTLSLTTPIRTGTTVALMHTSVDVLKDAVKRM AVTVMRTSSFDVKDVDGCLMFLSAGVQVVLGSSMSMSGLVGAFKAWSGGASFLGLTSF GEVGHLAHDSTPLCDALMFSYLIFSNQRRNPHA H257_08603 MSKVDDAPLPLQTPVSATFDRVRVDGLIMKFEALELPSGGEYVM MSLALQRQPSLLDFGFKNPAEDLVLRFAKGYRAGLRRTSAALSSSLRIADTGSWVRDQ DRPACSVCARAFHPLVRRRHHCRKCGEVVCFQCSNLFNTLDQQSSSAAPDVRLCNRCV VQQQADAGRHLGVIDANELKEWLDEELAENNPDLFDVPPPAARRPLPSGGAFTGTLEV NVLAATTTTQLLDTSKWNNHPSTASATLDAAPSTDNLVLGPMMHPPPGGGSATTPPHP NSNQSPRTTSSSHGRLSADKHMPTHPGLGTSTICLGAERRNFDALYDGDDHRKYGRSN HSDDNNHDDGGGGGGSSKDGENDGGIHIVDPPQLYRTSTPHLGIPPPPPAAAHTRSTS TSSTSSRLHHKSPRTLDTFTLQLTASSSMSMGVTAQPTPLRQSSHRRMPSIESLPSHS VDQFIASTSLPSLQIDPRYLPRTNTTPTRPPSTYLLPSTRPPSFGGIRTASAWASVTT STTAAVEAAMRDLHNKIGGPVHFLVVSFSDGCDAIDVMVAMEHGAPGVPFVGGLSARG ICDEAAWVSMKRGGLVALWGIHDPLGSYTVGSTGYDEFTAKHNVADAVHEALHTTPNP VFCLVYACPLVVDEALAGLRRAVPCPVLGGCSVLSAHYQGYLQISSAGGSTIGMTFAL CSPSVETSVGWFSGHNVVHTKATAVASELSPPMPCMGVVTSADSQTRVVYEIDHRPAA TVYKEWLANVHAADAPMKFPRLGYMYPLGQVVQESLPSLHVNATPVVTAVDDVAGTLS LTTPIRTGTTVALMHTSVDVLKDAVKRMAVTVMRTSSFDVKDVDGCLMFLSAGVQVVL GSSMSMSGLVGAFKAWSGGASFLGLTSFGEVGHLAHDSTPLCDALMFSYLIFSNQRRN PHA H257_08603 MSKVDDAPLPLQTPVSATFDRVRVDGLIMKFEALELPSGGEYVM MSLALQRQPSLLDFGFKNPAEDLVLRFAKGYRAGLRRTSAALSSSLRIADTGSWVRDQ DRPACSVCARAFHPLVRRRHHCRKCGEVVCFQCSNLFNTLDQQSSSAAPDVRLCNRCV VQQQADAGRHLGVIDANELKEWLDEELAENNPDLFDVPPPAARRPLPSGGAFTGTLEV NVLAATTTTQLLDTSKWNNHPSTASATLDAAPSTDNLVLGPMMHPPPGGGSATTPPHP NSNQSPRTTSSSHGRLSADKHMPTHPGLGTSTICLGAERRNFDALYDGDDHRKYGRSN HSDDNNHDDGGGGGGSSKDGENDGGIHIVDPPQLYRTSTPHLGIPPPPPAAAHTRSTS TSSTSSRLHHKSPRTLDTFTLQLTASSSMSMGVTAQPTPLRQSSHRRMPSIESLPSHS VDQFIASTSLPSLQIDPRYLPRTNTTPTRPPSTYLLPSTRPPSFGGIRTASAWASVTT STTAAVEAAMRDLHNKIGGPVHFLVVSFSDGCDAIDVMVAMEHGAPGVPFVGGLSARG ICDEAAWVSMKRGGLVALWGIHDPLGSYTVGSTGYDEFTAKHNVADAVHEALHTTPNP VFCLVYACPLVVDEALAGLRRAVPCPVLGGCSVLSAHYQGYCTSPSSSRPSESTTENE LRTVVQISSAGGSTIGMTFALCSPSVETSVGWFSGHNVVHTKATAVASELSPPMPCMG VVTSADSQTRVVYEIDHRPAATVYKEWLANVHAADAPMKFPRLGYMYPLGQVVQESLP SLHVNATPVVTAVDDVAGTLSLTTPIRTGTTVALMHTSVDVLKDAVKRMAVTVMRTSS FDVKDVDGCLMFLSAGVQVVLGSSMSMSGLVGAFKAWSGGASFLGLTSFGEVGHLAHD STPLCDALMFSYLIFSNQRRNPHA H257_08603 MPMAKKHDTGHYAAYAERRANSSLQMLAESSTSVSMWVDVGSKD DVSLSKTTSDWKVFCANRATTTIDTTLHNVVQRLFQATESSKYQELMRSMWGDAYLDA RVIEVIGADPENAASMATVAPQHRNVPSVFHKVHKRTSVKWFATLGKSKLSKASEFHV VEFIGLVYDSHSRIQAIYIYQESMSKVDDAPLPLQTPVSATFDRVRVDGLIMKFEALE LPSGGEYVMMSLALQRQPSLLDFGFKNPAEDLVLRFAKGYRAGLRRTSAALSSSLRIA DTGSWVRDQDRPACSVCARAFHPLVRRRHHCRKCGEVVCFQCSNLFNTLDQQSSSAAP DVRLCNRCVVQQQADAGRHLGVIDANELKEWLDEELAENNPDLFDVPPPAARRPLPSG GAFTGTLEVNVLAATTTTQLLDTSKWNNHPSTASATLDAAPSTDNLVLGPMMHPPPGG GSATTPPHPNSNQSPRTTSSSHGRLSADKHMPTHPGLGTSTICLGAERRNFDALYDGD DHRKYGRSNHSDDNNHDDGGGGGGSSKDGENDGGIHIVDPPQLYRTSTPHLGIPPPPP AAAHTRSTSTSSTSSRLHHKSPRTLDTFTLQLTASSSMSMGVTAQPTPLRQSSHRRMP SIESLPSHSVDQFIASTSLPSLQIDPRYLPRTNTTPTRPPSTYLLPSTRPPSFGGIRT ASAWASVTTSTTAAVEAAMRDLHNKIGGPVHFLVVSFSDGCDAIDVMVAMEHGAPGVP FVGGLSARGICDEAAWVSMKRGGLVALWGIHDPLGSYTVGSTGYDEFTAKHNVADAVH EALHTTPNPVPFPLASLFSHICDGFSVGILSSVCMPAGR H257_08604 MVRYVPQIQPFQVFTPMLAKRVTFGDCTKAMNGNDFYMEPKLDG ERITCHLQQSSSSNTTQRHMQLFSRNGVNYSDKYGPCIEAYVQAQVRPDVDCILDGEM LVWDSVEFRYHPFGSLKTVASEQPQGINKHRWLCYVVWDVVYLGGSAAPALIQEAWPF GVHTTNIMGLPLSARLTLLDRILKSVDHRVVRIEQTLVRSTMTAQERHDVVMADVDAK LAAGFEGLILKDATSHYMCGEVSRRSQKWIKLKPDYAGMTQHLDVLVLGGYYGEGQRR GGAVSHFLLGVLQHPIDPNHVPKDIPVVSFCKVGTGYSLEELDTLRTQLAPHWRPWEP ISDKRPAHFRGWAPKGDVRPDVWLPPDQSVCMEVYGFELTYSTQFQTGLTLRFPRLKA IRYDKQWHECLTLSQLNALKGQQLGQKKRAVDVVLGEQKPKKRKNDAERRRSTLDRGH VGVSIEYSQANMDIVEAQSTIFQGLTFCVLPGKYDAKGVTKATIEQLLFANGATITQN PHPKLHDPQTTVIVAASADGVRVGNYIKQATYNVVYVDWILRCVQTQVQEPWKATDYV FANPETTATLKTLYDRYGDSFTAPVTADDLATILSSAAVFATIDMSMGWQRMLMDQDD ETQEAMETSGNFLWRCVVYVDMADDVHMHHVAQCVRLYGGVVEPAIVSTVTHVVLPDG TRTAERLDAVRPGIQQIRRSGGREPVVTTAKWVRACVEALEQVVVDAQFHIPC H257_08605 MLPALTTKPKQPIKQIRGLTNDSIDSYANTPGGGSTSTNAVTTT YNDLTETSSTTTTGGKKQKSKENDDTTPDDGDGSTVSVVARALRDRKPKSDEELTLKT GDVLNVYSTKKTGYLKCECNGKTGYVPASYVEFLDKDTTGEATSSPRKAGKKKKSKKK KGKRHSGSSDDDDDPDVTDDEEVTSRKKHRDKPKKQDEGDMSDDKGGGDDNGDDTGKK AKKKHHSAHKSSRQRRKKRHSSDSNSSDDDTKSARRRHRRRHRSSRRRRGGHDDDDED SDSPRSSDDDSEEDRRRRRRAAKKKNDGGSDDDDSDRGGGGSPKKKVSKEGGGGANKG ESSDRPQDKSAKATASAAIAKKESTDDDNDTERGQSQGGGGSAATAAASSTKDAKQEQ PPSSARRKDNEVREESSTKNLTTTASASSSNAPKSTIGKMQDKMRSMLGKKGDGTTST KKSTRAKTQSNGILNACSGTVQGEEGWYEHGECERYYFVLLEGKWSLLYGPMTDDDFE LFCSKVVEYDLMIELPATHLHKSGYYLDKDFKVRHIKP H257_08606 MNLVLEFEDVQEYGSHLLQGSNADGKVGTAQHCEPTSTEKRRVK MQCLRKLPTWNERVALVLLNRLRKQETARRRSQLAQTMLDVGSFLKALRVTDANDDEA EDE H257_08607 MSLLALPAPPKGADDSKVLLGLGGYPHLKRVEIAGRSLHKRVRN AARGRSLSMESVGDDAKVAAKLQEEAILDKYRKSIKGKQFLQLTMYQQLGLTDVMFDA TPEQIKKAYHRVLIEHHPDKTLKDEDDPNYLAVQKAFHTLTDAQKKRAYDSQCEFDEW IPLGTEKIKTDDGKGTVDFYALYGPVFERNARFSEVKPVPLLGDDSTPLDDVTAFYNF WFQFDSWRDFTHNAEHDVDSAEHRDEKRFLMKKNEAAAKKLKKKEYARLATLVDRAQA NDPRLRRVKQAAKDKKESEKRAKEAAAQAIIDAAKKAEADAAAAKAAAEEAEKASKNE AKQAKEKLKKAFRKVKKQFRELLQTAADVRVDEYEVEFLCESLEFDQVTALNEALAAN QSAGVEEVVQVLTGLRGDNYMQKQAAKRG H257_08608 MQQQPVGPLSLVTCCGTLPNVHTTHRIMLSGLSWAATGLLLVSL PSGWSLAFDSTYAWCQYHCSEFALAHPRNCSTCQQDDGMSSEGRRRHLEAAATSKASL NLSNASLNLIACDAHHLHVNMTTKGVGFHSFWTQFQQATDHILDSPTSLFLQGCDLFN LASLPSIDVAMAMGNATMALPVLVQLQRSHHEHECVEAIQSIASTATVVSRSNGIDHG RTVVLVHATLDQQVAMSSLACVDDQVLPLPPLFKFSPLARSLHAMYSTASPAVHIALV DGASSSGVLASLNAGLKSTTGIHNLVTFDDDGLLGVPPLTNFKTWATAIALACSHPLV DYVTRTSIMETFDLPLSSYTRSSDYSSDQISSIVGIDNAHKHGILGHGVVVGISDSGL YMDHDQFDQPSPREFGTINPHARKVVLYEPMADRVDQSKSVTCGHGSHVSGILAGSSW SQLHPDVGVAPQAKIAFTDIGSQNASCANNRALECPVKLTTPLTAAALMDKQVQAGAK IFSYSWGTQKDDYSRQAQNLDEYLFNHPEILVVIAAGNGGDDGVRTISSPAGAKNVIT VGASLTSADSLLAKFRCPRVYNPQSVASFSSQGPTSDGRMKPDLVAPGEVLWSAKSEA PGSTAKTSDVCPLQGTSQATPVVAGMAVLIYEWLRDGWWHEGVYDISVGMTYIPASLI KALLVHSSNGLVRRLDHNKVHQACDARTSVPLHYPDMSQGYGLPNMSNVAFFSSDDPI VKFWPNALQPAAPLVAHHSQDSYPATLTRHQVFRATLVWTDPPGNLVATRMLQNDLDL FVTVRGAPHIVVRSLTSSDECNDRLNNVEMVQVSYKDVLRAAGSSELQSRQAIELELH VKGASVLIHGPQAYSVVMSITPSTGAASRRSSGKSPMSTLMASNSWDDWSSLWWASAL GVVWIGLVVALVRHFRRHKDQAQPTKMVVHLHSDGKSYGST H257_08608 MQQQPVGPLSLVTCCGTLPNVHTTHRIMLSGLSWAATGLLLVSL PSGWSLAFDSTYAWCQYHCSEFALAHPRNCSTCQQDDGMSSEGRRRHLEAAATSKASL NLSNASLNLIACDAHHLHVNMTTKGVGFHSFWTQFQQATDHILDSPTSLFLQGCDLFN LASLPSIDVAMAMGNATMALPVLVQLQRSHHEHECVEAIQSIASTATVVSRSNGIDHG RTVVLVHATLDQQVAMSSLACVDDQVLPLPPLFKFSPLARSLHAMYSTASPAVHIALV DGASSSGVLASLNAGLKSTTGIHNLVTFDDDGLLGVPPLTNFKTWATAIALACSHPLV DYVTRTSIMETFDLPLSSYTRSSDYSSDQISSIVGIDNAHKHGILGHGVVVGISDSGL YMDHDQFDQPSPREFGTINPHARKVVLYEPMADRVDQSKSVTCGHGSHVSGILAGSSW SQLHPDVGVAPQAKIAFTDIGSQNASCANNRALECPVKLTTPLTAAALMDKQVQAGAK IFSYSWGTQKDDYSRQAQNLDEYLFNHPEILVVIAAGNGGDDGVRTISSPAGAKNVIT VGASLTSADSLLAKFRCPRVYNPQSVASFSSQGPTSDGRMKPDLVAPGEVLWSAKSEA PGSTAKTSDVCPLQGTSQATPVVAGMAVLIYEWLRDGWWHEGVYDISVGMTYIPASLI KALLVHSSNGLVRRLDHNKVHQACDARTSVPLHYPDMSQGYGLPNMSNVAFFSSDDPI VKFWPNALQPAAPLVAHHSQDSYPATLTRHQVFRATLVNLDRVV H257_08608 MQQQPVGPLSLVTCCGTLPNVHTTHRIMLSGLSWAATGLLLVSL PSGWSLAFDSTYAWCQYHCSEFALAHPRNCSTCQQDDGMSSEGRRRHLEAAATSKASL NLSNASLNLIACDAHHLHVNMTTKGVGFHSFWTQFQQATDHILDSPTSLFLQGCDLFN LASLPSIDVAMAMGNATMALPVLVQLQRSHHEHECVEAIQSIASTATVVSRSNGIDHG RTVVLVHATLDQQVAMSSLACVDDQVLPLPPLFKFSPLARSLHAMYSTASPAVHIALV DGASSSGVLASLNAGLKSTTGIHNLVTFDDDGLLGVPPLTNFKTWATAIALACSHPLV DYVTRTSIMETFDLPLSSYTRSSDYSSDQISSIVGIDNAHKHGILGHGVVVGISDSGL YMDHDQFDQPSPREFGTINPHARKVVLYEPMADRVDQSKSVTCGHGSHVSGILAGSSW SQLHPDVGVAPQAKIAFTDIGSQNASCANNRALECPVKLTTPLTAAALMDKQVQAGAK IFSYSWGTQKDDYSRQAQNLDEYLFNHPEILVVIAAGNGGDDGVRTISSPAGAKNVIT VGASLTSADSLLAKFRCPRVYNPQSVASFSSQGPTSDGRMKPDLVAPGEVLWSAKSEA PGSTAKTSDVCPLQGTSQATPVVAGMAVLIYEWLRDGWWHEGVYDISVGMTYIPASLI KALLVHSSNGLVRRLDHNKVHQACDARTSVPLHYPDMSQGTRL H257_08608 MQQQPVGPLSLVTCCGTLPNVHTTHRIMLSGLSWAATGLLLVSL PSGWSLAFDSTYAWCQYHCSEFALAHPRNCSTCQQDDGMSSEGRRRHLEAAATSKASL NLSNASLNLIACDAHHLHVNMTTKGVGFHSFWTQFQQATDHILDSPTSLFLQGCDLFN LASLPSIDVAMAMGNATMALPVLVQLQRSHHEHECVEAIQSIASTATVVSRSNGIDHG RTVVLVHATLDQQVAMSSLACVDDQVLPLPPLFKFSPLARSLHAMYSTASPAVHIALV DGASSSGVLASLNAGLKSTTGIHNLVTFDDDGLLGVPPLTNFKTWATAIALACSHPLV DYVTRTSIMETFDLPLSSYTRSSDYSSDQISSIVGIDNAHKHGILGHGVVVGISDSGL YMDHDQFDQPSPREFGTINPHARKVVLYEPMADRVDQSKSVTCGHGSHVSGILAGSSW SQLHPDVGVAPQAKIAFTDIGSQNASCANNRALECPVKLTTPLTAAALMDKQVQAGAK IFSYSWGTQKDDYSRQAQNLDEYLFNHPEILVVIAAGNGGDDGVRTISSPAGAKNVIT VGASLTSADSLLAKFRCPRVYNPQSVASFSSQGPTSDGRMKPDLVAPGEVLWSAKSEA PGSTAKTSDVCPLQGTSQVRTKCHSTYHSCQNDIRYICRPRLWLRVWQC H257_08608 MQQQPVGPLSLVTCCGTLPNVHTTHRIMLSGLSWAATGLLLVSL PSGWSLAFDSTYAWCQYHCSEFALAHPRNCSTCQQDDGMSSEGRRRHLEAAATSKASL NLSNASLNLIACDAHHLHVNMTTKGVGFHSFWTQFQQATDHILDSPTSLFLQGCDLFN LASLPSIDVAMAMGNATMALPVLVQLQRSHHEHECVEAIQSIASTATVVSRSNGIDHG RTVVLVHATLDQQVAMSSLACVDDQVLPLPPLFKFSPLARSLHAMYSTASPAVHIALV DGASSSGVLASLNAGLKSTTGIHNLVTFDDDGLLGVPPLTNFKTWATAIALACSHPLV DYVTRTSIMETFDLPLSSYTRSSDYSSDQISSIVGIDNAHKHGILGHGVVVGISDSGL YMDHDQFDQPSPREFGTINPHARKVVLYEPMADRVDQSKSVTCGHGSHVSGILAGSSW SQLHPDVGVAPQAKIAFTDIGSQNASCANNRALECPVKLTTPLTAAALMDKQVQAGAK IFSYSWGTQKDDYSRQAQNLDEYLFNHPEILVVIAAGNGGDDGVRTISSPAGAKNVIT VGASLTSADSLLAKFRCPRVYNPQSVASFSSQGPTSDGRMKPDLVAPGEVLWSAKSEA PGSTAKTSDVCPLQGTSQVRTKCHSTYHSCQNDIRYICRPRLWLRVWQC H257_08608 MQQQPVGPLSLVTCCGTLPNVHTTHRIMLSGLSWAATGLLLVSL PSGWSLAFDSTYAWCQYHCSEFALAHPRNCSTCQQDDGMSSEGRRRHLEAAATSKASL NLSNASLNLIACDAHHLHVNMTTKGVGFHSFWTQFQQATDHILDSPTSLFLQGCDLFN LASLPSIDVAMAMGNATMALPVLVQLQRSHHEHECVEAIQSIASTATVVSRSNGIDHG RTVVLVHATLDQQVAMSSLACVDDQVLPLPPLFKFSPLARSLHAMYSTASPAVHIALV DGASSSGVLASLNAGLKSTTGIHNLVTFDDDGLLGVPPLTNFKTWATAIALACSHPLV DYVTRTSIMETFDLPLSSYTRSSDYSSDQISSIVGIDNAHKHGILGHGVVVGISDSGL YMDHDQFDQPSPREFGTINPHARKVVLYEPMADRVDQSKSVTCGHGSHVSGILAGSSW SQLHPDVGVAPQAKIAFTDIGSQNASCANNRALECPVKLTTPLTAAALMDKQVQAGAK IFSYSWGTQKDDYSRQAQNLDEYLFNHPEILVVIAAGNGGDDGVRTISSPAGAKNVIT VGASLTSADSLLAKFRCPRVYNPQSVASFSSQGPTSDGRMKPDLVAPGEVLWSAKSEA PGSTAKTSDVCPLQGTSQVRTKCHSTYHSCQNDIRYICRPRLWLRVWQC H257_08609 MKELQIIWNDPPANAPNIDDDGDAFWGSVVLCEAIMWDDFQGWL NCNEGRVRRWVFEPLADGTATGRVVIYSIPSFVHAETAGRIATIFLEQIARAGNDFGL MYSVKPATDPTCRTGDRG H257_08610 MSQGYGLPNMSNVAFFSSDDPIVKFWPNALQPVVPSVAHHSQDS YPAPLTDAATDVGGCYQPGLKERSSSRKSRRVAEAAGGTAGDL H257_08610 MSQGYGLPNMSNVAFFSSDDPIVKFWPNALQPVVPSVAHHSQDS YPAPLTDAATDVGGCYQPGLKERSSSRKSRRVAEAAGGTAGDL H257_08612 MATGLQFVKNAIAQHAVVVFSKTTCPYCVMAKEVLKSTGATFHV VELNRMGDEPTGDDVQNACFQLTGQRTVPNVFVSGTSIGGGSDTKALHRSGKLVPMLR EAGALP H257_08611 MTSPPPVLKEGYLTKRSKDAALVTNWRKRYFRLVPGELLYFESK EDLEPRRRIQLGLDTVVSLNNDQGYTLCLSVKSSPTSEVFYVQATTEAEKKAWVDALF DAARFTKDVVRKASLLEPPPPPPPSSLKSRELSRQGSSVVQGGVLLNIRVVQAKGLIA ADTSGTSDPYVGVTLLDKNAFPIKHTTQKTNIIDKALDPVWNCDMRFGDKLDLNTVGA IRFEIIDHDNFTKDDNIGVVTVPLGCFKMNVASATSSETIDHWFHIDPPLTGARPTTN LIAFGQNERQMDERDHGELHLIMNLKGAGLPDFFRNLELRGSSPTKHQLTSSLDETDN RLEVTVVAAKDLVYVDPKDPTGQPTNAVNPMCEITLLDAKTHAAMKNELFRTVVQFKT ICPVFPDANFVCGRVADIDRAGYVKATLYHVENAKLSVALGSVEVDLNTVSAFKVANW YPLYSHASPPAKVGEVRLQLLLIGETRGEKEQREQIKRAINAAANAKSIEQTELESAQ FLMLQSMRDLDGARVSCAEDGYQIRHPKFYGVNGYLHAAHSQLVKANTRHQTPEDVFQ SRGHIEGYSLLDVTVVGVHNMQLGDRVNMPGVGTYARIDVEPTAAVTKAKRMYKPYLA RKATKTPAIKHMQSQRVVQHDSDGREINLNVGAVRHAIWGKTPVAGEVSPSRQRIGRN ESRMERSQVMTDDRPYLRVRVVSGHNFIAGDLNGYSDPYCTLFLTNPQDMPYEQEKKR TAVVSKTLNPVWKHEDFTFGYNIDLNDAKSLLVHVKDHNNIGKSTPLGRVEIPLQDLC QGSATTTSINSVAVTKRYPITPEPWMKKQNLHLGELCLETEVMGNATVLAKLLMRQNQ MLTSMTSALSVTSEGSVGADAVLSMEEDESVYEDGQIIRTVSVAGSEPQWTTDRFQLQ LSYKGLLADIGPPVVYRNEGFPYDIRVTVMCGRNLITCDRNSEADPFFTVTPVWGSGD VLLAAKRQSLTVYESRNPVWPTQEFVFGSNFDVTQMSHLSVHFYDRDWADLDTSVLKK LGNPNETHNSVVVPRSQLRKVTPWGDVDAAPITDVLEYDQKVLAFRKCGADGGNYFPA RVRHYTPFPSDMYLVLFEDSLDSISKIRKLMSYDVQGEIAYVRGDGTVDVKLVAGGRG EYLSRVPIRTLKPVKAFNDAVDTSVVHSASTTTARHDKWEQVQLQVLSLTEFPLPNPS VRPAVIVTLVAYSIDHAGVTTNSRGEILATAASNIPTDEQPKSPKFGGKWSKKGKTPT ATTSTWTYVVPAGSNNPGSISLSSSVPPLVLDKPFLDRTVALVIRIVDQGSADVPSLD HPCLGLVKIDLAACDEGVGNWHLKIVPPETSQPYNKYYGAIHVRTTCAPRIVDDDVIL PGLTPNTSTALVIKGVAEWYNDVLRKERQMAAFNWKALWVPETVVVYTYLARRQALRG ALTARQNRQIDNLGTALNAIYRHVLGALREIALFDEFEGLSRDDMLKFNAVHTLSAHP DSEWLNRRINEMNVHVRKKIVVDLEMQLLMIAGVRSLPEMPLPDADLDSWLALRKARQ DALAPFSDFLPMERGLLLKYSACFTGEGLVSWILRRPSVLWQDEWVQFAWNELSDDNT KLNWTDPELLLNAEAMQAPEGREHVVVWLKALFDVGLVESVSEKRDFADKSDRFYRMH NLEFERLHIRAADSTAPLDLVREVDCDTSEGELFCKKLTSHAAGFLGTLSSVSNMIGS AVDAVESLGRVKVPHLTVPNVSTSLRLPQETLWQWRYCIFRPDHKYLYLYESVHTTNA TVVIDLSGTNTIVTYANPTAHGPDCFEIRQPVFLIPHPSTSKLMRMTDADVDASFLGK LPDLKAKVVLKAQDSQVWMQAMLMAGVKVTLEKRQKVLVSRLNAAVLHSKCIEHSLNF SSSDLEGSFQHLMNRVFGHDQVAPRSSDRRVKEVRARLRAELKKAGAEGLAISAKYAR SNRFDPGPTDPRKYTPGYEYAARIVAIRTPFTDATYPVKKQFLIDAAPLRLKDLLERY KITTRASWLEQPIAIRDLFLLYDVEYNNNMETVIEKDMLREDFRTMDGDLDPAKVRDK CLDLNYTFRPTDLEGCVSQFAECTNGETPMGCFKIQLQSLSEQREIDWWYKLAPERGM LQRRELGMVRVRVEMKKHDKKTCVGSTPLPPPLAQQVERAIQMQNKASSVNDSNWFNR LKKTISSPLGSPAKVNKPPVLSIVQVDIVEGRKLIISDIRTSDPYVVVLLVNMQDEEK ACGKTDIVPSTLNPKWKNQQFTLGRTDETHLHDKKALLLRLFDHDTYSANDPMGYLKL EFGKDERGYIRKVKLHHSGPGGSATSTVLDVSKNGEVEVFERLLRDTKAGQSTWAKAK GNSDEDGVLGRLRFKLKLTHQDYVDDKKVAVVGGAAANHTTASPSTQVVINTSNHLTR FAAEIMLASVDNDDLLDTMTWALVPRTVEGHVIMYDAKAEQMSHDSKKALREHVHQQA ICGLTYDVTRVAAYDVVLHHIEKGRTFVGSWPLHPAMDSTPVAVACKCTEKGDASVIQ VSLVVSFVGLNRADYIKRVLTETYQHASLEFDARSVAALGETAEQFLWDVCHVPVSPG QNLSEVLLAQLHKMHASTTLHWKHTPKLLLFVLHHALAANTKDRLQFRHTVPLDDILK RWSKLLSFVAEAKSQLTGRLHGELTPRLIGTLASLCDWTDLPDVETATRSLPQLHVGD IVQANVPTRATLQVGRLVDVRLGTSKYPDGATQLYPGRVVKTYPDEFVDVLVAANLHW SVSLAIPDHVLPQLHPGDVVYVGDVTLFHELRQATIVDYNASHDAPETPYLVAFTDEV PPVSNRPVEVWCRRDHLVQILPRVAVADVLPQLQLHEFVHVSSDHGKATKSAKITESH GNGTYSVQFIEGSLAVEANVGRDRLSPASTSTLVRGVVTHVHPNGDVYDVLQDQSWTM AAALPRDSLRLGHEGWNTDRYHLSSVYLVDVACGLPGSDVAAQLVRLWQDVNVIQTML ELPGAVGSVSSRDSLRGNLVQLVERDNAAPIMQGQYHGYTLGSKLEDVDKRKVMHLKN QPQDQIPWANIVAVTVAPEPYVSIPGSLNLSGANSRAFRALLDQCKTQEVGAALTRQL VDAIQHVWLPWTREMPSVRVAAITSTINGKAVKDVSLTLLGSIDAAYVSTGKRIKGVA PHLLTIHVRFEILLPFVGQNNCAADAAMAALDHANAIVSTLAEVSSLVYVPTKLESWS LMCTDALDVPSDAVLYADISSLDLRGNPKPALALKALDDVRLNIVTTDGTTFEVTLAE STLRQECQLRHDLLPLCPAVVVSPVVTSTGRCDVQFVDDPDAVPHSIALADVRLDNLT VEVLSARDLYTTMLRDGKRQDEDISVRVYLMTDEVPPNGGGRNRLGYTVASDGTVVRD LKDIEYPRTTTTEILKSKLPDWEKSQKLKKFYFGHPTVDLTHMTMLALEVVSLTTGKT IGIHTTPLAKIQAREATRTEVLFVKGDPLNKEPQGNLTFRVCRDTYVQEGSNVLARLG SARDKWILSPMELLTQSMKFKTKHAERGLTDKLANVIVVNNAIEQEKEVQKLLRLVRL LTVQASLTPAGRSRGVRSLTAASAFPPPPPTSLNQVQAKHILAAGIATAETVLELVQS SRGLSSTRSLVRRKWSADYVDEDLADTYEVDVEQRSPFDVATDRLRSTLLKLHGVCSR KLLPKLDEMAAMALASYVHLDKAQSLLAFFEDEVEDLDHEDFETFDRLQKRTRVIQLT QLVSDLMRSFVKISILVDQDSKGHAGVRGDELVGVAIIPLIDLIDRKEHNQQYALHLD RMYRDQKTPANSGYDRILRRGTVHVKTKLTFSEVSLLETAIVLLKEYKAQYIYQFEVS RRRVNSAVVPAQRRRWQTLLGYLEALRLQSTGKLHWETTPTLLEHVWDIFLSHKRQLP TFLANFSSQVHMYREVVVKVHTRWVNLQPKLNELLEIQSQPQIHATRTPHLIAEIESE IEGLDVLRNTAWLQVQGKWLALEGALEELVQMKERNKLHMGRAPLLLNFVAQKCSKGL NDRHADAVSTVQFRWVALTKHDGPINELRLMDTHGLHWRRTNDLLMLLNEQCEGFSDV DEIALKAVTARWAQVETWLSDFLEMQLAHKIHCQEAPLALRKFNLIKDHAKLPSTSTK AHDEESVEGLMEWYAQEESRRELIRLPYHRITTDAERDNWLAYSENGRDSRLLITKQE MLFTCDNVRAALMDRGVLPKSMPVSQIDHIHKSTGLWPRAVLDEIDNLELLVEQNLPL PNPERVVELYNVLEDVGKGDLLWKVKHCVNQNHELAVPETFTELLYELKKRYLSTTEA EDLVKGLLNTMQKEQLTRLGINVPANASYAKVCSIMVANQVKEVPLPSKTSDIQDLLV GHNLDKKGDPVFCRGVRINTHALGMNKEHGHLGIVDRHVEALRKQLLVEAMRKRNSLV KTFPVASPALMKELADVVELDMSGDYMVLVHRFHDWLVHEAYTARLAGYAALDRCARA LVQAKRDQVLTKEDMAVGLLTLQCRLPPEAFTRDELLEAARKNPGKIKPPSESLAKAC PTAENAKAMAYYAALRTTAHAFQKHSSFASRFDAATQKAVDAMDIHLTFETIQQTSLP DDKSKLTLGYMMADWLLGCDDTPIKLKTLDGQYYMQRLQWASAAFAIRHRWWQFGVGW CDTSPAAQIGVGVKVLLDDLLLMSGENKMHMLKAEHLLKEINTKCYQLRPRENEALQN ILWRYNENMGLLEELVQHAERCINNRKLHSERTPELLHLIQQHCVVPKGLSTRHSEAY SVVTKHWLPHSRQLDELVQMHKDGTFSISRTPELLEAMATHTEGLAGTTETVKPVDDS TNQPLDEWSEKQMAEWRKGQRKSLMNDIEPGVHLNVPDVAQLLSPDEENWKSLAVEPK AKPPISPFKRNVTWSFAEAANQGKDQVRDATKVLNPNNPLDTTILQLAAKHSTSRKRT VSEELCTMLKEPTKWLMCGAPPPATAQARIPAKKFFPIQVVQDEE H257_08613 MDEVGIDRKIVIVGCTDTGKTSLTIRYCRNNFNILTAATIGASF MQKHIALDKYKMTLQIWDTAGQERFRSMTPMYYRNAKAAILVFDVTKEDTFEKAKGWL SDLRKYVTDDIVLAVVGNKCDLPTAFNFALAEAFAREIGATAHQTSAQTGQGVTGLFD AVSRSLLKKHLEYERMNAMSPLPNRRPTLAPDPLLRLDLATKPPRKANGGCCK H257_08614 MQMQESVTTAPGTVLEQAYKPIRMTPPEPVDVELSAKLEAFMAS HFPTETEKNIQRRTMILAELRRIFRAWVKDVCLQRGVLEEIANEAGGTVLVSGSYRLG VNEPGADIDTNCVAPKFVTREDFFSSLKEILLKHPKVTNLVAIEGATVPILTFDYEDI NIDLQIAILNLSSIPDNINILDDNILRGVDSATEKSLNGPRVTELMINLTPNRSSFIA VLRIVRRWAKRRGLYSNKLGYLGGVNWCILVCFINQLYPTAAPSTLLLRFFMVLSQWK WPSAIQLCKTYDAKLGLEIWNANVGGNRFQVMPILTPAYPSMNSSYNVSVHSLSVMKE EFARGYAMVKTIIAAGGADWAPLFDPSEYFVDHQHYLAVEMYVADAADQGAWCGFAES RLRKFIESLAYHNPQLCRLRVYPKKFDLCSEDAQGKFGCTYFVAFDVDKTKLRGKEVR LDSAVDDFKLNSLYRWPKRVDGMDVRITALGWKSLPEAVFHDMGGLKAAKDQRQKYLK RKKEEQAKVEAEADKAAAAAAAVLSTSSGSEGVLSQQDSELQPTDEQPPATSSSKDQT RPAIVLDEPTPIPPAPSPLLVAASPSAEKRTRVDAPPPPLSLEKPSVKDESPPMPKRR KMKISLGAM H257_08615 MEPEQMQLCAEVLDDIFKQEMSEPFRERVNWEEWGLYDYLQIVK IPMDMGTVRVKLNKGEYKKPEDFGRDMRLIWENCKLYNQDGSDLWTVADDLTKLFDEK MKELKLDAGDPSSKASSSEPTLEERIVFSQDIYKISSKDLGIVVDMLEELCPKALDKN ADELELNVDAIDAKTFKEIDAFIKDCVPGGALPRTLKKSKRKNKDGGSDKGSSKRHKE H257_08616 MKHTQPPVDLSFTLYVCGGSLLGIAILLRLYCRRRRTRFHSPLD VGQVTLSENIARRKQDLQRFISTLQRQLTTRQQQLDMHDRVEQVLTARQALETPPSDD PRWNEIMQRGKEMYQDEWEVGTDGQLPLGVLLTMHKDELLAATTRATPPTLASSHAKA IDRQNHAMLIQQEYMQKTARSQQGVPGSVLPVPVAASQWKSQRPVGPSAMQPRGLPLP KMAPSSNTTWSHHRFHPDANLPPRTNHRPPPVPRLNLQALLSASSTRFSSTSTSPAPG VVSIKDIFKRVHA H257_08617 MAGHKRTADGEMAAATTESEIVGMPQKKFYRSRAHCNPLSHNDS FDYPLNPADMNWSTHFPKLENPKVDILDIGCGFGGLTIALAGLFPDKTTLAMEIRPKV TEYVRLRIEALRQENASTNQFQNVSVLRTNAMRYLPHYFEKGQIQKMFFCFPDPQFKQ RNHRRRIVNTHLLAEYAYLIPEGGILYTITDVEDLHNWHVAKCDAHPCFERITDEAVL SADPCVAAMTNETEEGKKVARSGGNKYIAVYRRVSDAHVQATASTLF H257_08618 MAFDASKREIMHKLQEVIDKSPKGSVDAPIVDMITRLNAHPNYV TCSSCSGRIAVFCGVAQTPHDEDSHLITKGGKWLVSSHAPVTYDEFTSSVLADPTSLQ GMVIFKHEPFIMHVQCRDEESAKALLQVGLACGFRESGVVLGNKRTMVAIRTTANAME IPIALQGQLMVTDAYLRWILDIANQKFHANRSKTDKLFAAMRTSLFFDSPPAPTDAST CTSRLTQLHVTESSRLAISRAGHATVLGPNRKLCIVGGQGPTATNTTRLADLVVVDLS TQDQSSVQLDMPPRMYHSAVYDSVQDKMFVFGGRTSPTKPLGDLWTVDIAHGVAVPVT TQGTPPCPRWSHTATWVDGKLVVVGGRDATSVLNDVYVLSFTTSPPTWHQLASSDDAR RFRHAAVAVGSCIYVFGGWQELDPNSQCTLNCITVLDTKTGAWSQLACTGAAPSARAS AALCVVDNQHILLSGGTSTTGPCHDQLYSIDVQTKTWKQWPSFPSDALLVNHTMTYDE EAKAVVVVGGSCQCFGFGAVYSPAFILDTQTKLLSNKSTQSLTNHELLVVVVTKPRVK AIKTLVEALAVYDKSRRIQPIPDVAGYFTVPVMDSFRDHDHPELKHLPVTQAHVAAAA VAEHAQLEGTDEVPVGGEVVAEQVAVSTVHDSKVLLHAVLVAKDSVKSIKTHLERLSL YDKTRRIHPHADDMTLFAVPITTSSLPSDDLMLTALDMIVDDLRDVKPELNPTVVLHS LLQTFATAHDLAPVAAKFEFIADVLVLPKNSFTELAWVSDEAKVLWQSVCESSPRPLK RVARSADVDTNEKRQSHVELLYVHPSFTPTSRGEGWVQVRENGLTYAWDLQKVMFSSG NVTEKARMAKIGCAGETIVDMYAGIGYYVVPFLVHGHASYVHALEWNPDSVAALRFNL ETNHVAHKCTVHPGDNRITGPSLGAIADRVNLGLLPKSEHGWPVAVQVLKPTGGWLHV HENVAIDDLTTWREHVIRSIRNLGLAIGKAWHVECPHVERVKSYAPKVLHVVADIHCR PLE H257_08618 MAFDASKREIMHKLQEVIDKSPKGSVDAPIVDMITRLNAHPNYV TCSSCSGRIAVFCGVAQTPHDEDSHLITKGGKWLVSSHAPVTYDEFTSSVLADPTSLQ GMVIFKHEPFIMHVQCRDEESAKALLQVGLACGFRESGVVLGNKRTMVAIRTTANAME IPIALQGQLMVTDAYLRWILDIANQKFHANRSKTDKLFAAMRTSLFFDSPPAPTDAST CTSRLTQLHVTESSRLAISRAGHATVLGPNRKLCIVGGQGPTATNTTRLADLVVVDLS TQDQSSVQLDMPPRMYHSAVYDSVQDKMFVFGGRTSPTKPLGDLWTVDIAHGVAVPVT TQGTPPCPRWSHTATWVDGKLVVVGGRDATSVLNDVYVLSFTTSPPTWHQLASSDDAR RFRHAAVAVGSCIYVFGGWQELDPNSQCTLNCITVLDTKTGAWSQLACTGAAPSARAS AALCVVDNQHILLSGGTSTTGPCHDQLYSIDVQTKTWKQWPSFPSDALLVNHTMTYDE EAKAVVVVGGSCQCFGFGAVYSPAFILDTQTKLLSNKSTQSLTNHELLVVVVTKPRVK AIKTLVEALAVYDKSRRIQPIPDVAGYFTVPVMDSFRDHDHPELKHLPVTQAHVAAAA VAEHAQLEGTDEVPVGGEVVAEQVAVSTVHDSKVLLHAVLVAKDSVKSIKTHLERLSL YDKTRRIHPHADDMTLFAVPITTSSLPSDDLMLTALDMIVDDLRDVKPELNPTVVLHS LLQTFATAHDLAPVAAKFEFIADVLVLPKNSFTELAWVSDEAKVLWQSVCESSPRPLK RVARSADVDTNEKRQSHVELLYVHPSFTPTSRGEGWVQVRENGLTYAWDLQKVMFSSG NVTEKARMAKIGCAGETIVDMYAGIGYYVVPFLVHGHASYVHALEWNPDSVAALRFNL ETNHVAHKCTVHPGDNRITGPSLGAIADRVNLGLLPKSEHGWPVAVQVLKPTGGWLHV HENVAIDDLTTWYVHYY H257_08619 MSASAAIEQRNQDATIYVGNLDEKVTEELLWELMLQAGIVVNVH IPRDKVTSRHGGYGFVEFRTEDDAEYATKVMNMIQLFNKIIRVKKSSQDTKTLDIGAN LFLGNLDPDVDEKLLYDTFSAFGGIVETPKIMRDPDTKMSRGFGFVSYDSFEAADLAI ECMNTQYLCNRQIVVQYAYKKGSNNERHGSQAERLLASSNPNKLKPHTRFAFATPAVP QPPPMQQLPPPPQQYHHQQQQQYHQPYQQQQQQQHSHYQPQPPLMMMQQQQPQPMMMM HPQQHMQHVPPPPPPPSMYMTHGVAPPPPPPQHF H257_08620 MSSSENSPPAPAKINAQQSSALAKRTAHKATSLRQQHDQPTDDT ARTSDDLVSQINLLVHMYTLRREDAADHVADSLGFSVYLTSSRVDERAFVAHVAKVAA GLRRVRVDSCHLQSAWQCVDKLLSHRNHDTRAIAYTFLDVCLELHYDRVPLGMRLAIF QLLTTGHGEFLRRQNSLRLLVQDGRTVLPFAKDLGWVLLTLLETSDAQKELSSLLHCI LRRSPHALEPEIVTSITTLLSGRADAAYARRDKDACKRFLKFMTILVNHELDAAAATP ECLASLCGLVNVKEDGVSTWAIIKHLLSGASRYQVLHGLLGLLEAPVAPFVVRGAVFF IGMSAWGSQRVTSLEVGRSSVLRSLLPAVQSPHSIVIFEVVLSLQRLIKKYGDQMLIE WDLVFDYLRRLFPWMAAQAFADEGPADRLAGELLDTLLLVEALHHPKQLSDPPLSSSH RRFQGNLYDFYAVVEVYMAYCPLATVTNLVTFRAEACHPASDSNWLANLHDLVATFFA STGVHVIIRLQALSVLDEIVTLCRHICEDRVLDDLFVPCLQLVHDDDDPQVREAGLDL IVRVAREVDSVKFYPLVDLLHMAATSAKFADAKHKATHGLVTLFHACFPHIPSTRCVR IFELLTGYAVTHRDSYVRGVAITCLRQVCTANASFHMLLTDETTHEDGRSTRTSPFLM ACRGPTTKPSVGILPIPKLVVAALTMASTETHAANFDVAVDVLVRMVENRYVLHDVDM NDMTVKLVSCVDCRAFGRAAAAPPLSPLPPRRPRLRAHTDSHVLIADMMDEEQTADAV SGRTTKTVLTQYLTRGVELLLLLASYQSRVSPAVLHRVLLTFVSVLDFEPTVATASVS PTMLKRTKSTMQVLPLACCAPDIDGLQAAEVALVHAVTRGLCVMALIQPHPVVATLPA ILHTLVHRVCKPLDDINGPRVHSVEIILCLCLALVWNFLHVLPPSLDDDINDKVNSND DKVVQSDDLVVALALQALCRPASKFMASLALQVLMQCFSQSKFTRRDHLARAILPTLL HAHKSSLVDAAVDFIQTHVHHLPLPPSNTVAALNGPATCHPSTKTSWYHRGSILTLCT TDRDAVITVRYATITHTWTLPSNDPMQLMTTVFRLDPLALDSPSMQRLVEGAPLSRAL AVLDRSPTYETHKVGVLYVPHDKATEIELLEVVGGSPRYLEFLRGLGEMVPLQHLVGY NGGLDISTSQSDGKYGLVYRDAITHVMFHVATFMVAPETSSSSPTATSDSPPDSGRHI AKKRHIGNDFVHIEYLDYDDTDNDDGIPSLGGQFSDVRIFVQPLTGGDDLFRTRVQCK HAATLAPFGPLHGVQVVPGHMVAAAVRLTAIHANLACREMHQDRFEFVLNVEDRLRQI KQIGTRFVDATASPMV H257_08621 MDFLACHEEVACASSPCASSGASFEFTTVAADDTADILDTLYRR ESGSRSRPAADYLVRTQLHGMTSSWRAQVVDWMMSVAHTVEFEVTTVALAIHYLDMYL SVVSVRQTDLELIALVCLMTASKFNDTDGLTVAEVSHMIDDKYSAASVLAVERSLLLK LQWRLHAALPHHFIECFVAQLPQPDVAADVMSRCATMLAAVVRDITSLDFAPSEIAYT VLTLAMHELHVGASLPTYQGDSPRLVECEEVVEAIVGPSLKGGNDGTVSKRSKRASSP SNVEDFIDDNVDAPPRQRQRLGSV H257_08622 MYGLVWSVPDDGNLHLVFEFMDREKLRYTIQLIEALVCLHEASI IHRDVKSKHVLLHSSGDAKLTDFGWSREMDVQVLLTHGAGSFRWSAPEILETPKPQQT IYAV H257_08623 MGVLGKCCCLTALLTKIVCLACTFGPLIFMYLLYALYIKPWGEQ QVRDLTRGVNLSSPTVNCSGCVVGVNTQFPTNTTGILHFMDTSGGKASSAAVGTSLFA TIAAILAGTAVGGSVAQLGSSGSMGAGAYEMISIVEQAQFVGLLGQLATGGVPTFFQQ FTKDLSWVNFNVVKLANSDLAPKRQLLNLLEAQHQQTGVDRYATMVGVLPEELFYYTL LALGVVLGGVLVLYVVAVAVMSYLSKSKANLWGTYFRKVIWAFVLILLLALYILSMTG SYRAYFHIKRDGAGAASAAIVILLVIVGTTLIYGILVIATNPSELADIGTFEHEQRPF NAAYGPYYEEFNVDNRYFFVPKAILAVTSGVIVGVVQAPTWQLGLLIGANLLFLLALV VREPFLLRFLFYIGVLSSFLKVFLLLLMVIMIRDDVFPQNVRDHVAYVIVGVNLAVFA LLIVRQLYVAGRKVVLSCGAKKNKDANNTTATRADFDQGESPVQTPMTRQPKTASYTN DLERARHKPTRQNRNNDVREPLNVQATSSGAAGTYPLGDVDRDTNLSSTAPTAPSKFS FGRPPNTRTTAMGIHSKPLPPSPTTSQLPPPPPTSALASPTSGAAPASAAAASGAFLA ASPRSPRPHDPNEYDVDSEIHGYGGGGFIPDMRGDQSFDSYGSLSFGSYENNMVRQSS KISSDSASVGGEGDASSSIAYLGRKSSYDSFTSLQPGNYVSPSHRPSQSDTPTSFASY NQGPSTFTPNPVRERQNNDTLNDSFQSFQSDEASFTNSNLFQSGGSQQVLDTLAAKYL AERNGQQANKGAVAATPLSPSAVPMLERRVLSGDVIARQRSRSLDDWGLQPQTKGGGS PSRHSESSLLDPHKRNHDDDDDGKRKSVYLRYIANDQPHSFMDSEDEFDL H257_08624 MSDTVPLTPILDVPASAAVVSAPAIVTDVATGFAVTFGGQANEY IAELTTLVEKFTTVRTFVEAAQSALVEEAKAFPNVTIHPFLWTQDASSLPSKDALASA TLSYPLIFLTQFANYLAFLEAAHLTHEAFVPKLRAGSGHSQGVVAAVLLAAAKTTDEL RVLGIQFVRYMFLHGVRAQATFGAISNSGDVSPMLLVRGLPEAGLRKAVDGVNAKLKL KDARALQLSLFNDTAAIVVTGLPDVLVLLKTTLEKISAKPDESQGRIPFSQRKPLISF IPLAVSVAFHNTNLAPAQSLIEADLARLGLTIPGQSLQFAVVGTNEHAVNLQTYGAKD VLPDVVKMQLTDIVNWPVTSAALTQTVSGVTHVLDFGPGRGAATLTLKQVEGYGITTV VPTPLHKASATLPGLDYILSTPANFVKQSWEALYGPTLTKAVDGTTVLHNKYTARFGR QPVWVGGMTPTTSYYGVPLVAAITESGYIGELACGGLPRPSIFESKVADLLSRLSPGN GIFLNLLYLNSKQWAFQFPMIKKMRQDGIPIEGVTVAAGIPTPEKADQVLTELLSVNI NVVSFKPSSISSIHDVLNIANKHPAATVVIQWTGGRAGGHHSSEDFHTPLLATYAAVR RTPNVILIVGSGFGSAEQSYPYITGEWSLAFGEAKMPVDGILVASRVMVAKEAATADA VKSLLVATPGIPDESTWESSYESSAGGVITLKSELGEAIHKIENRGALCWRDFDRKYF ALPMKDQEAAILADKDAIIARVNADFQKPYFGRTVRGDVVDVEQMTYLDVLSRLVSLM YVASSKRWIDVTFMSRVFAFFQRTEQRFLTKATTTSKLVVQKASQLKTSDPWQLLRQF TAAYPGIASALLSVDDVDFFYHSCKFGGKPVNFIPIVDKELITWFKKDSLWYSEDLEA VPDQDAGRVMILQGPLAVYHIKTKDEPVGDILHGISQGVVDTLVAKAFRQHSPEVLSA AVAAAGWTRSGDDNGAFVLSKSITTATTTEEWLAELASVITPRNWLHDLLTVDHYVSG RQWVANSTPQVVSARVGQTVEIEFNGTSPVALRVHDIAVVRTAPVVEITKSSVDVITL RLNIVRPATREWTSDVVSLNRVFQYKPALSWSPIHLNESESEQNVKLFYAQHWLATSV AASQPALESSVHATHTASFVVTADDIAEYNQSLGLSADRITAPVDFSTVAGWKPLIAP VFSKEISGDLLRLVHLSHSYTLLSSTDDGSFAAGDSVVSTGFVTAMRNNASGKLVQGV IVVAKNGVDVVRVQSEFLIRGAFTDHHHTFEKTESTHVVHLADKAAVTILADKSWFKS TSGALLPAGATYKFVLKTSVAFADGFSTLSTFDVQGSVYGGANLDQLVGSVSIAEAGV PKDPVASYLERVATPDTAAATTQCHLLETPLTITIPDFAGDYARSSRDLNPIHRCAYA ASFANLPHGTPIMHGMWTATKVRNLVMDVMADQFQVSHLQSFHADFKGMVYNGESLYL QVTQSGVADGLILLNVNVANSRGESVFGAKAKVVMPKTAFVFTGQGSAQVGMGMDLYA TSPVVRKIWDDGDRHLLDKFGFSILDIVRQNPLSLTIYFGGKRGIAIREHYMALRCQK PDASAPHGTKTVPLFPEITPTTQSFTFSAPTGLLFATQFSQPALVLMEKALYNEAKSK GVVPSDCYFAGHSLGEYAALSSFAEILATPDLAEVVFLRGMVMQNAVERDATGMSDYG MVAANPARVGSKHFDESTLFQLLDLIEEQSGQLCQVVNFNVQDSQYVVAGELVNLEVL SRIMTTLREQPASLQTVGLDSLVQESLVLVRSQKEALVTKGKPFGLKRGTSTIPLVGI DVPFHSRKLLSGVPAFRELMRPMLKKEVLFGNKALLESHYIPNLIAKPFSCTKEYVQE IVDLTGSPSLTPILANWDSTPLDELVWTLVIELLAYQFASPVQWIKTQAYFFNNGLRR FVEIGPSATLTGMATRTLQSGRFPRTKCDILFITKDRDTIYYDNESDHPSAVDFAHAQ AAAASAEAALSADVQVEEDAASAAPVVAAPVAVVAAPAPVAVAAPAAPAAAAVTDEPV SANHVLRVFLAHRFKKQLAEIDDNATIHGLAAGKSAAQNEVVGELEKEFGGGVDRVPE LPLSVLSSSFKAYKGLGPVFNTLTTDFVRKQLPGGFNINQVKSYLSSEFGLGAGRVES VLMHALLFPPAARHANEGVAKSWLDTVVADYAKFAGVSISKGGPSQGAAVMLMPSFGA AAAAPLEPVPDADITAAYGLKAFLAHKFKKKYADITDAVSVHDLAAGKSAVQNEVVGE LEAEFGGGVDGVAETKLGDLAPKFASYTKPGKYFTTTVAKMLSAKLPGGFSASQLRSY MAQERVLGPKRIEIALIHSLVNAPEARFATEAEAKTWINSVVDEYGSIAGVTIPYASK AGAAVGGGMAMVGGGGGGLSSAALDGLKNELHTMLRDQVSAYETFMKVDPLDALKKIN ADDVARRELETQVDLWVTEHGEYYEKGIQAKFDANKVRIYDSSWNWVMQDALDFYYKT LAKTIPTKKGNGAGFDRDAHLAEMSAFLNADASQLTKMGEPEGWFKPFLCNRATPELL AATEFFLSRQQANGNLEYAQAIQLLNEEVEKWMDRDPVHVQLLQPFRPEVTIEANGNI VYKEVPRGATSVDYVDEVSRGFAYRSDDHKAASPKSAHSSVALVRGIETAELDDGHDS DVNSVSSEPVLHKRTVTKRSTRVGGSKLWGLKAVTRRLKRAKVGGAPHVLPYVHIRSA DHVDPTNRVVDEHLTKDFLLSMHEIATSGVSFVGKNALVTGCGRDSIASEVVKALLEG GATVVCTTSSFSTKATQFFRSVYENHGSRGSKLILLPFNQASAKDCGSLVAHIYQQLK LDLDFVIPFGAISVVGPTLADIDSKSELAHRIMLTNTYRILGKIIDYKRAQNIRTRPC LAMLPLSPNHGTMGGDGLYAEAKLGLEALANKWHSEGWEDYISIGGAVIGWTRGTGLM AGNNIVSAGIEQLGVRTFSQIEMAFNLTSILHPRMVAAAAKAPLWIDLGGGMAQLHDL KVQTDRIRAAITDESKIRRASVDDRKKDNVGTTPEDEDDKPVLNRANMHNYYSQFPDL PAASDLARLGKDYKGMINLDKTVVIVGFGEVGPWGNSRTRWEMESFGVFSLEGCIELA WVLGYITYHNGPTKSSGDHYIGWVDAKTKDPVADTQIKALYEENILKHSGIRVVEPEL FDGYDPKKKMFLQQVAVDKNMRPIEVASRDEGLEYQKELGEENCDVFELDGTWMLRLR QGAVLSIPKSLHFNRWVAGQIPTGWDAKRYGIPADIAEAVDPITLFTLVSTAEALICA GITDPYEFYQYVHVSEVGNTSGSGMGGMRSLRRIYHDRAIGKNIPSDSLQECFINTMA AWVNMLLLSSSGPIKTPVGACATAAESVDIGVETILSGKARVVIVGGYDDFCEVGSYE FAQMKATSDSEKETAMGRDPREMCRPCTDTRGGFMEAQGAGIQVLMDATLALDMGVPI YGVVGITNTATDKNGRSVPAPGKGVSTTAREHVVGSGNMRNALLNPAFRRSQFEEEIE AIEFWKARQLKNIAAGVQTLYDVDMVHAMAEKKVKQAQYTWGHEYFKGNAGISPLRGA LNMWGLTTDDIGVASFHGTGTNANDKNESEITHRQLEHLGRTAGNPIMVVCQKYLTGH PKGAAAAWMFNGLLQVMQSGIVPGNANNDNTAPELQKFDMLVYPNRSIQTDGIKAAIM KSFGFGQAGAEVLLIHPNYLLAALNDTQFEKYVTKRSKRAGGLHQYMQDVLSGKNTFV RVKEHAPYTSENEMNVYLNPLARASYNAKEKTWTFGDVSSAKAAKQATDAVTVAAPTP PSVDQLPKKLLESSLAQSGAQLILSSGQGLGIDVEPVATFADYATKQVFIQRNFTAAE IAYCESSAGAASSFAGRWAAKEAVIKAICNANPGATLTQGADAPLIDIEVGKATSGAP TVTLTGRALEAFQNSNLSSIKLSISHSGEYAVAQALVL H257_08625 MTRLNLHDVASLGDTMMLWLMVPSITSIVVVAAFVIHHIRHHDG GKQVRQNAAADAASSSSHPSATAAHSDHGFGHGLTLRDVANPTATIDFNSRLPIPIDT SLFTGFAYVLLRRPDGDAHWDPHFEGKQRTMWVMVQGTFKRAPEGTVYVCGELPRPMT LTFWSKAFVSMIVTTIKSLLGGSLHFSFGDETELPHLSLPLYQSADTVIATPLDDVPP KLGTSAWREDPAARAERKRTPVGSECFRTDMVYSFQFHTMHVDLASWSLVNLPGVPNV RLTSLMGDMSLRLGVYEHVPDPVATDAMAHVTKHYCFSFDISSTLASPRPMSSATIPE DKLACHDAIEFEAWMWVETFDVTSERRPISYLFRILQEDGTPKTVYVSSAAVASVLAS HPGLLTRARLDHYAAIDDQLVEINRLLHIVSTTPHASTAYDRLVDTLRPAASPSALLL PHGLPPKALGVNMWRWDLNVCMEGSGYRIVSDICLRQEYFVLTSASLLLYRTYASQPA VKVSVHDITDVVTRFVHDLHVVAVYTWTEVLYLHVADPMAWKMALLAVTDRNSKLLGL TRSNHKNLSTWSPFNIVTFDGLLVLNHRKIAALDDGGRNEDEAMPSSDVPTDAVAASA QCLHAAVVAATSRHHRAAFQRAVHALRQVDLATFTSHSDTKLVFLLNLYQALLVHTSL VLPFSTTNASMHQCAYEVGNSNQIKLSLAEIEHVLMRAAAPALKDVPYLDFVPDAAAY PSSYAVLALPTRDFRLSCALHVHRTTKCLVAYTVDSVHYQLSEVVRQFLGQHVQVTGR NVTLPVACQWFKPDFGDHILRKIMGLLSCDTVAKVQDLVDHPNGFSVHFRDTSPRRVP SHWTISSPSSLDHHQPSTLSSATA H257_08626 MVRKGVATTTPASPNVTYTISSLHSSKNSSSRRPSSEHKRQNPP PNESLATKAINTHRPIQVARKNDLTSGMDEVALAKTTSSQDIPLATTYVGGKLEKHGS LRDVQNTVDRSSQPQMSNAWVLEKQNITKPQVRADPKPRLGLSNSPPKARLPDESSSP SKATPWWSNSNSQSTQQPHVPKSTGHTRSLIVHSDNTVEDIERWSGAKWDKDKGFVKM TQHHPLPRSTSSTRGPEMVSHELASATIGKAKRHDSHGRQLAHVGLRRSAMSLPQLPF EKPSSMAVEELGPGTTVSPAAELQRHIRSRIHRGYNDQ H257_08627 MFWKNPSALTQVLIVSFVCFTCPGLFNALGSIAAGVADETITYN ATSMLYAFFALFGLFAGGMVNVIGPKYTLFIGSWGYILYSGSLLAMDKGYNATTKEYT MWAYWLMGQFSDDLGTLGRYAGYYKCVQSGMAAVSWRLGGIPISPIATVVVNWVLATV GITCAYIAVKIYMEDKSIENYEGVESPSDKKAVPMH H257_08628 MFWKNPSALTQVLLVSFVCFTCPGLFNALGSIAAGVADETISYN ATSLLYAFFALFGLFGGGVVNVIGPKYTLFIGSWGYILYSGSLLAMDKGYNATTKEYT SASTNFFYAANAILGICAGRTPLPTSTVTTKYTLYEPALRSTNDVGMNDVGPVMLISI NEGCEENGPHDALRRHKARVA H257_08629 MLLCRRRVVVAWLCVALCVVGTTGQIGSKNNIYCKKTPNMGTGA SSSFLTDSKCELDVLLVASATGPGKEVKFYFQVNRTVPFVPYPVYEVPADPTSQLAEI ETGFVGLVPSDFDIFKIGRVDTISFVNGSILERKNFSDYGVETLLEPRRAISVTAKGS YKALGWFRVTDNTTNSTNEYIAIRDAVEIKDIKAISFPSMYIDMHPLHEKLPLKLVLF CSAFDAKTTYCWRVTNTTKFDGLTYDDQSFDSACPVSISVSSPRVAANLVTFGVAWTI KVVPNYVQNGGKSVYALGLSEDAAKVAPILHTTLHFCSIDDAALCHMFSPNPFALSSP DLTGAFDGGRADFTAPGLTLTKGLYVGFVHGVVAKADGSLLHVATYVTIKVDEKQVKP PPEIAPLTQIANKTYCWKVFASASARNVSAASALGGYSVDDSCPLTVKVAIPSQVVTN SSVGVQALASASPVLPSVVTVATLPDGFVVSQATLAVCRRNASCGPFSSHPSLIDINI TGPTSFIPTSLVLRKAGSYNVYLVVTVDVGKGVRLDVSVMASVQIVDAMGLRHSNQV H257_08630 MTSRSRSNSVDVSALLVVLTPSSVTKHQQADLEKVHLNADAHPD LRQETVALLQLAFPIIATLALEFLPGAFSVAIVGHIDSPLRKEYVDAATLSTMFLNIS GLSIGCGLSTAMDTLCSQTVGAGKLYNLGMYFQSGLIVLGSMFLPSLILNYHAEYFLL ALHQDPVVAALAGTYSRVSVWCLPGFFLYELLKKVLQAQNIVHPMAYIAIVSNVVYGL LGYYMCYYTELSFLGAAYARTISNTLLPVFALIYLTWNPVYKVWWPADHSVSSQWKAA LAHVPEFFTLGIPGMLMMLMEWWAFEVCAVMAGWMEDPVLAISVQSVLMSLSAQAYSL FLGLSIATTVRLGNALGANEPHRAELISRVALGVALVAGAFVSLVFLVTHEYLPLIFI SDPASIEATQHALAMFVVFELIDCMSCAAQSLLKGMGKQAIGAWVNAAAYYLFGIPIG ALLAFQYGFGVEGLWVGLALGLFGGFCVYLWFICRVNWKQMADDAAVRTST H257_08630 MTSRSRSNSVDVSALLVVLTPSSVTKHQQADLEKVHLNADAHPD LRQETVALLQLAFPIIATLALEFLPGAFSVAIVGHIDSPLRKEYVDAATLSTMFLNIS GLSIGCGLSTAMDTLCSQTVGAGKLYNLGMYFQSGLIVLGSMFLPSLILNYHAEYFLL ALHQDPVVAALAGTYSRVSVWCLPGFFLYELLKKVLQAQNIVHPMAYIAIVSNVVYGL LGYYMCYYTELSFLGAAYARTISNTLLPVFALIYLTWNPVYKVWWPADHSVSSQWKAA LAHVPEFFTLGIPGMLMMLMEWWAFEVCAVMAGWMEDPVLAISVQSVLMSLSAQAYSL FLGLSIATTVRLGNALGANEPHRAELISRVALGVALVAGAFVSLVFLVTHEYLPLIFI SDPASIEATQHALAMFVVFELIDCMSCAAQSLLKGMGKQAIGA H257_08630 MTSRSRSNSVDVSALLVVLTPSSVTKHQQADLEKVHLNADAHPD LRQETVALLQLAFPIIATLALEFLPGAFSVAIVGHIDSPLRKEYVDAATLSTMFLNIS GLSIGCGLSTAMDTLCSQTVGAGKLYNLGMYFQSGLIVLGSMFLPSLILNYHAEYFLL ALHQDPVVAALAGTYSRVSVWCLPGFFLYELLKKVLQAQNIVHPMAYIAIVSNVVYGL LGYYMCYYTELSFLGAAYARTISNTLLPVFALIYLTWNPVYKVWWPADHSVSSQWKAA LAHVPEFFTLGIPGMLMMLMEWWAFEVCAVMAGWMEDPVLAISVQSVLMSLSAQAYSL FLGLSIATTVRLGNALGANEPHRAELISRVALGVALVAGAFVSLVFLVTHEYLPLIFI SDPASIEYVQDFTVIGDTWTTRSYGDFRVGPRNTPSPCLSCLN H257_08630 MTSRSRSNSVDVSALLVVLTPSSVTKHQQADLEKVHLNADAHPD LRQETVALLQLAFPIIATLALEFLPGAFSVAIVGHIDSPLRKEYVDAATLSTMFLNIS GLSIGCGLSTAMDTLCSQTVGAGKLYNLGMYFQSGLIVLGSMFLPSLILNYHAEYFLL ALHQDPVVAALAGTYSRVSVWCLPGFFLYELLKKVLQAQNIVHPMAYIAIVSNVVYGL LGYYMCYYTELSFLGAAYARTISNTLLPVFALIYLTWNPVYKVWWPADHSVSSQWKAA LAHVPEFFTLGIPGMLMMLMEWWAFEVCAVMAGWMEDPVLAISVQSVLMSLSAQAYSL FLGLSIATTVRLGNALGANEPHRAELISRVALGVALVAGAFVSLVFLVTHEYLPLIFI SDPASIEYVQDFTVIGDTWTTRSYGDFRVGPRNTPSPCLSCLN H257_08631 MSPRKRRGCGLNKTKKYAWQPTCKSKSTAKPLEVIRAPTPRLCK LAKTSPNKASQQHTASPEYIFDTQTKRQAWAVYFIETLNAPPSDEWTTYRGAIWSIMK TFSVLSGSYGSVLSVLQDVAECAVAGVAYAAEPKQTDGVNKLIELGSIESDLIADCME WGWGLRETTHMVNQLRLSLNPMHVGTSAVYTAYLRMNPVVTSIGALKQGNVDASSAWA KARLGWAQQLATRFGIWSWDADSVVDCPPYLDATCVTALEPSQIVSWDETHKEVKIGG YGVNGLKRQVRFRRDVNGKVHPNGELAATKSFLNMKYMNKAKFCFGCAIVDDGNGGVV GLRCNPFLYSGKWIRTIDEFEQLQQQEIRRVKALEGKGLPWFQGKRTKADVNDLKNMC DEDMRAASKLGLGITLCALRQLHMQTLEAQPGAYMDVSIDHKLAVNPYLSLYGSEWKS HIQRSNLMQGSICIMDLVEHIIQESAMVMALPGLSYKQTHHSRCNQVFFKPFAGCDQR QWYSCAGTWKPKRVTKHGAEWWLGWHTY H257_08632 MGNNIAKLAQDEYWDEVKNRILMRTVEDVNSTAGVLEWTALCFA SWKGQLEITSLLLHYRGIEINKANSDGNTPLHEAAKHSHVDIVVLLMNAGANPHVINH DGLKPLDLASDNDITYFLGMCMLPVAVCAERCEWREVKRRLRARQISDINASFGENGW SLLTFATLHHQVDIATLLVRYKHIDVNFANRADGTTALHEAAAQSHVELVKLLLSAGA DTSQRNAAGQVAYDVATSPDVQNLLIESTVAGFNTPTDVQTCAHCTYVNPATHVACQI CGLDLNPEAKKTSNVDELLERIHALEEANLCAICQEYVKDTVFGCGHETCATCAAKLT ECPHCRILIVTRIRRYI H257_08632 MNAGANPHVINHDGLKPLDLASDNDITYFLGMCMLPVAVCAERC EWREVKRRLRARQISDINASFGENGWSLLTFATLHHQVDIATLLVRYKHIDVNFANRA DGTTALHEAAAQSHVELVKLLLSAGADTSQRNAAGQVAYDVATSPDVQNLLIESTVAG FNTPTDVQTCAHCTYVNPATHVACQICGLDLNPEAKKTSNVDELLERIHALEEANLCA ICQEYVKDTVFGCGHETCATCAAKLTECPHCRILIVTRIRRYI H257_08633 MAASKKAVYVAATRQHVGKTSTCLGLLQGLTNRFDHIGFLKPVG QQSVLVENNVRVDKDVRVAKEIFGLDRCDYADMSPVVIPPGYTRDYLDGKISLESQLS KIRTSFDRIASQNEFTVVEGTGHTGVGSIVNVNNARVAAELGVDMVLIANGGIGSAFD DLSLNRAMCQQYGVRIRGVILNKVRPNKIDMMWDYFPKALKQWDIPLLGVVPDLPRLA QHSMMDFEDLFQTTLLSGHSRRMKQYNAVNLVTSGLRRFLVELSSNHFNDTLFVAHAS RNDIILGFLSHSQNFELKTGQEFGGGLILTGVPPKDEPQDYTMDIISRANLPVLYAPH TTFEAMDMMGNFTAKFNATDVNKVLSCAKHYEACIDFASLLRDA H257_08634 MEPQSAPGDDPAGLLPIPDWMKARARVVSTQNVTWQKPAVYHSS ATHPLRQSLSSATVKPPAKLTPLPLDNNQQDDYSHHNNQSHRHHPLYHDPQSLPSIQK SVSCPPLPTIATRRPATPPPPNAFAPTPSALPTFVHEPALDSSRTMTPPPRSARTPPP PVRSTTPSRSTDASSPCIPLRQSTESNKPSNKWKARAIANPFAKRKPATQASNNDRPI STPPELQNDQTSVIKPTAVVKKSAFRMQAAVASPSPSTSSVPSDNTTNQDSGGDVANE TAGINLPITSSSSATVHRQPYGKMQHSPTKQLPQQPQQLPRTPPPRPQQRDSPPKGRA AASPPPSPTWDGEMAPKPKAKPVPKAKTKGASSCVGALAEIQRKREQRRAMQVQEKQR KDDEVKEHGDDTGHKFRRLIKAFRDGMKKPSKSSRTDEPRSSSKLSVFVRKRPLSKKE LSHKGYDVITCPRHTQLYCHEPKFKVDMSESLVNHLFSFDGVFDDHVDNGTVYEQSVG PLIPLLVDDGIITVFAYGQTGSGKTYTMKSVYRQAAIDLFAVLSKATGPTLHVGVSFY DIYKNNVCDLLNDRKKIQALEDNEGVVQLVGVSEAAISTDTMLADLVEKGEASRITSI NGVHDDSSRSHAILRVTLYAGATVVNQMITGGTARGRLSMVDLAGSERACETQTDDKS TRMEGAEINKSLLALKECIRAMDVGARHLPFRQSKLTQILRDSFMCDTSRTVMIATVS PCSEHSNHTLNTLRYADRLKEINSRGHDDGITS H257_08635 MAWSFAALWSCMWWLAVAAANTLPPFYGFRFETPAPTASLMSAV VDQARSHACFGWVQTTAQEHLVGEVRCRGQHGTAMQTWIESSHPQARVHVYESTKIRY HFTSFRVLEASRRTCFQSAPHACASLNSYATVKDEL H257_08636 MAPSNVVAPLGLLDATPSVAAMHQHPSASMNPLHIPAMPPSVCG LSPTSWLRKMCIHAFFSEPFHVLMYLLIVGNAILLGFEEPERTRNPILDPSNLETPQE ARTREIVNWLEMAFNIAFTAEMSIKMLAGGLWRGRFGYFRHKWNYLDFGLVLLGWVSV VLWLTLPVDTAYTPLPPMPTTPPTDFPSPFPADTFSPETFSPDAFPPDALTPLTFAPD TFPPESTDILFPTVEPVVAPFTPVSDDATPQPSTTVQNDPPFTPPTTTASVTDGESIG DNAPNTMDPPNRRRVSEMDDTSFPRNNDEATLDPTLLDWQGSTNSPPDNPDAFPADSP DATFPEFPTEPTRLPDVATLSPDTDATPSYLTADFTAPAMAKNLASLFRLLRVFKVLR GIRLSKHAQALVLSLFESMRLLVNVIELLLVLFVLFAIAGVSLFQGALHQQCDNEYVT DGYLNVDTNGFLVSGNGFRCSTNPDVGRACMADGVCVLNLTDDRLNKNPNFGFTSFDN VGLGLLSVIEIASLSDWGSTMWNLAEARGLVVGLYFLVVIPVGGYFVVNLVIAVVHNA YTRKWKDIRDEFVSAKLERKQKRLQAQKRKRRPSIIDMVVVKPLPATKLSHLELKTLA TRFSSVDGRVKYKNFIEFFSPSSGVSHTVQSLREFLQSAKAAGLHSRSIFRFIDKDNS GDLSRDELVFGFQEIEERVGVKLDNAIVQALHDYLDVNNDGKVTLTEFIEFADPPSKA STLLEQKVLIELSGYEHAEGRVAAIFHEFDPNDTGTISMSQFRSALARIGFSGSGIKY LSRDDTLRLSMRSLDSFQSTAPLALFYITWRRRLARCIVHPMFTAVVNAALVIQLVCF NLKSFDPVEIAANAALEAHLVTAQDVVAYTLGMEMMVKLTALGGRGYLVDKYNRFDGV LAIVGLVEVACRHDARVPVGMINIMFTCRVLRLLHLFRQWPNFRVLLETMLASFRGLL HFVFLLCVAMYIFALIGKHLFGSQMTDDHGFPTPFYATFDTVWTALLTVFQVFSGDAW TDVLYACMRVHAATGAVYVVLMFFTGNYLVVNIFLSILLQDFESDENEHHRSYFSTIA DADIAHYYDAVVHWVTDFLDRYAPSSANRISSPRQQTKRRLRLKVQPMFSKLSSSMEP SPSTHLDELNRLHEIADIVLFKLDTHTIEAGPRQYFECFHGYEAIRFLMASGFCGTVA EAEALGNRLIELERFKCEASSIGRQADFDLQALFHATDPRNLPNSNEGDEDEAEDAVL GILDDVVAAVTTSQGVRFGPSGPFGNHTGVFSVHSSPWRDNIHAALEDAKEAHRRRDD VAASACDAKSLKFMVGKSLFVFGPRSGLRRVAKRVLMHPWFKYVVLVVVLTSCVMSAL EISDTAHASLYLWADVVVTSLFFVEMALKIVAQGFIFTGRYAYMRNGWNLLDFVVAVT SVLAVVFTFVLMHTTPPCDVAVDNTCPASVDVKSDRVVKIFRLFRALRPLRAIHLNPG MKVVVKAILITIPCVLNLVVILTIFLFMFAVVTVHLLAGKLWYCQGNAEDKYTLNATA CVSDSVTTRIWGPLTTSFDNIPNATLQLMEISTVQGWSSVMHMAMDAPSVGFASLHPI RNNTPEMALVFVSFVVICGFFMMGLFLGVIVYKFQQLKAEQNGTLFITEDQQKWVATQ RRLFALKPKPAPSNKQLQGVRKRVFNVVVHPIFQGSKRVLILASVIVLAMDHYPSTPR FDSAYTQVLWFFTGVNVAEAALVLYAHGLKTYVRQNWVDAIAVVVSVMDSFPHLRVLR ILRLVRVYHLVSNSNSMVSILKTLTMSLPALLNVASLLLLFAFVYAILGMNLFYDPDN PLYGECIRDVVNFDSLTSTMMVLFVVVTGDNWTCYMHDVLPQYPIVGRWYFTSFLIVG NYIILNLLIAVIMENFGNYMDGFDEGEGRPANAHLDAFAAQWQQLAPTGSLFLPSYKL VALLRNVPPPLGFVAPPKHDGQPEATMNHPKSSQDVIRFIRSLHARRNHVHDMFYLDI FYTLCHHAMPNSFTVFESAEVEAHLQAKLAGRALRHFHELHNIHLDTAYHRFDLTEEF NSVRVIQEAWKAYLSRKYASAPRRPSVKPNRRHSRGMSLLKQLTRSPWSTRKRPSVGV AAAASSPSPLLHSPTHGSQWHQQGV H257_08636 MAPSNVVAPLGLLDATPSVAAMHQHPSASMNPLHIPAMPPSVCG LSPTSWLRKMCIHAFFSEPFHVLMYLLIVGNAILLGFEEPERTRNPILDPSNLETPQE ARTREIVNWLEMAFNIAFTAEMSIKMLAGGLWRGRFGYFRHKWNYLDFGLVLLGWVSV VLWLTLPVDTAYTPLPPMPTTPPTDFPSPFPADTFSPETFSPDAFPPDALTPLTFAPD TFPPESTDILFPTVEPVVAPFTPVSDDATPQPSTTVQNDPPFTPPTTTASVTDGESIG DNAPNTMDPPNRRRVSEMDDTSFPRNNDEATLDPTLLDWQGSTNSPPDNPDAFPADSP DATFPEFPTEPTRLPDVATLSPDTDATPSYLTADFTAPAMAKNLASLFRLLRVFKVLR GIRLSKHAQALVLSLFESMRLLVNVIELLLVLFVLFAIAGVSLFQGALHQQCDNEYVT DGYLNVDTNGFLVSGNGFRCSTNPDVGRACMADGVCVLNLTDDRLNKNPNFGFTSFDN VGLGLLSVIEIASLSDWGSTMWNLAEARGLVVGLYFLVVIPVGGYFVVNLVIAVVHNA YTRKWKDIRDEFVSAKLERKQKRLQAQKRKRRPSIIDMVVVKPLPATKLSHLELKTLA TRFSSVDGRVKYKNFIEFFSPSSGVSHTVQSLREFLQSAKAAGLHSRSIFRFIDKDNS GDLSRDELVFGFQEIEERVGVKLDNAIVQALHDYLDVNNDGKVTLTEFIEFADPPSKA STLLEQKVLIELSGYEHAEGRVAAIFHEFDPNDTGTISMSQFRSALARIGFSGSGIKY LSRDDTLRLSMRSLDSFQSTAPLALFYITWRRRLARCIVHPMFTAVVNAALVIQLVCF NLKSFDPVEIAANAALEAHLVTAQDVVAYTLGMEMMVKLTALGGRGYLVDKYNRFDGV LAIVGLVEVACRHDARVPVGMINIMFTCRVLRLLHLFRQWPNFRVLLETMLASFRGLL HFVFLLCVAMYIFALIGKHLFGSQMTDDHGFPTPFYATFDTVWTALLTVFQVFSGDAW TDVLYACMRVHAATGAVYVVLMFFTGNYLVVNIFLSILLQDFESDENEHHRSYFSTIA DADIAHYYDAVVHWVTDFLDRYAPSSANRISSPRQQTKRRLRLKVQPMFSKLSSSMEP SPSTHLDELNRLHEIADIVLFKLDTHTIEAGPRQYFECFHGYEAIRFLMASGFCGTVA EAEALGNRLIELERFKCEASSIGRQADFDLQALFHATDPRNLPNSNEGDEDEAEDAVL GILDDVVAAVTTSQGVRFGPSGPFGNHTGVFSVHSSPWRDNIHAALEDAKEAHRRRDD VAASACDAKSLKFMVGKSLFVFGPRSGLRRVAKRVLMHPWFKYVVLVVVLTSCVMSAL EISDTAHASLYLWADVVVTSLFFVEMALKIVAQGFIFTGRYAYMRNGWNLLDFVVAVT SVLAVVFTFVLMHTTPPCDVAVDNTCPASVDVKSDRVVKIFRLFRALRPLRAIHLNPG MKVVVKAILITIPCVLNLVVILTIFLFMFAVVTVHLLAGKLWYCQGNAEDKYTLNATA CVSDSVTTRIWGPLTTSFDNIPNATLQLMEISTVQGWSSVMHMAMDAPSVGFASLHPI RNNTPEMALVFVSFVVICGFFMMGLFLGVIVYKFQQLKAEQNGTLFITEDQQKWVATQ RRLFALKPKPAPSNKQLQGVRKRVFNVVVHPIFQGSKRVLILASVIVLAMDHYPSTPR FDSAYTQVLWFFTGVNVAEAALVLYAHGLKTYVRQNWVDAIAVVVSVMDSFPHLRVLR ILRLVRVYHLVSNSNSMVSILKTLTMSLPALLNVASLLLLFAFVYAILGMNLFYDPDN PLYGECIRDVVNFDSLTSTMMVLFVVVTGDNWTCYMHDVLPQYPIVGRWYFTSFLIVG NYIILNLLIAVIMENFGNYMDGFDEGEGRPANAHLDAFAAQWQQLAPTGSLFLPSYKL VALLRNVPPPLGFVAPPKHDVRVQL H257_08636 MAPSNVVAPLGLLDATPSVAAMHQHPSASMNPLHIPAMPPSVCG LSPTSWLRKMCIHAFFSEPFHVLMYLLIVGNAILLGFEEPERTRNPILDPSNLETPQE ARTREIVNWLEMAFNIAFTAEMSIKMLAGGLWRGRFGYFRHKWNYLDFGLVLLGWVSV VLWLTLPVDTAYTPLPPMPTTPPTDFPSPFPADTFSPETFSPDAFPPDALTPLTFAPD TFPPESTDILFPTVEPVVAPFTPVSDDATPQPSTTVQNDPPFTPPTTTASVTDGESIG DNAPNTMDPPNRRRVSEMDDTSFPRNNDEATLDPTLLDWQGSTNSPPDNPDAFPADSP DATFPEFPTEPTRLPDVATLSPDTDATPSYLTADFTAPAMAKNLASLFRLLRVFKVLR GIRLSKHAQALVLSLFESMRLLVNVIELLLVLFVLFAIAGVSLFQGALHQQCDNEYVT DGYLNVDTNGFLVSGNGFRCSTNPDVGRACMADGVCVLNLTDDRLNKNPNFGFTSFDN VGLGLLSVIEIASLSDWGSTMWNLAEARGLVVGLYFLVVIPVGGYFVVNLVIAVVHNA YTRKWKDIRDEFVSAKLERKQKRLQAQKRKRRPSIIDMVVVKPLPATKLSHLELKTLA TRFSSVDGRVKYKNFIEFFSPSSGVSHTVQSLREFLQSAKAAGLHSRSIFRFIDKDNS GDLSRDELVFGFQEIEERVGVKLDNAIVQALHDYLDVNNDGKVTLTEFIEFADPPSKA STLLEQKVLIELSGYEHAEGRVAAIFHEFDPNDTGTISMSQFRSALARIGFSGSGIKY LSRDDTLRLSMRSLDSFQSTAPLALFYITWRRRLARCIVHPMFTAVVNAALVIQLVCF NLKSFDPVEIAANAALEAHLVTAQDVVAYTLGMEMMVKLTALGGRGYLVDKYNRFDGV LAIVGLVEVACRHDARVPVGMINIMFTCRVLRLLHLFRQWPNFRVLLETMLASFRGLL HFVFLLCVAMYIFALIGKHLFGSQMTDDHGFPTPFYATFDTVWTALLTVFQVFSGDAW TDVLYACMRVHAATGAVYVVLMFFTGNYLVVNIFLSILLQDFESDENEHHRSYFSTIA DADIAHYYDAVVHWVTDFLDRYAPSSANRISSPRQQTKRRLRLKVQPMFSKLSSSMEP SPSTHLDELNRLHEIADIVLFKLDTHTIEAGPRQYFECFHGYEAIRFLMASGFCGTVA EAEALGNRLIELERFKCEASSIGRQADFDLQALFHATDPRNLPNSNEGDEDEAEDAVL GILDDVVAAVTTSQGVRFGPSGPFGNHTGVFSVHSSPWRDNIHAALEDAKEAHRRRDD VAASACDAKSLKFMVGKSLFVFGPRSGLRRVAKRVLMHPWFKYVVLVVVLTSCVMSAL EISDTAHASLYLWADVVVTSLFFVEMALKIVAQGFIFTGRYAYMRNGWNLLDFVVAVT SVLAVVFTFVLMHTTPPCDVAVDNTCPASVDVKSDRVVKIFRLFRALRPLRAIHLNPG MKVVVKAILITIPCVLNLVVILTIFLFMFAVVTVHLLAGKLWYCQGNAEDKYTLNATA CVSDSVTTRIWGPLTTSFDNIPNATLQLMEISTVQGWSSVMHMAMDAPSVGFASLHPI RNNTPEMALVFVSFVVICGFFMMGLFLGVIVYKFQQLKAEQNGTLFITEDQQKWVATQ RRLFALKPKPAPSNKQLQGVRKRVFNVVVHPIFQGSKRVLILASVIVLAMDHYPSTPR FDSAYTQVLWFFTGVNVAEAALVLYAHGLKTYVRQNWVDAIAVVVSVMDSFPHLRVLR ILRLVRVYHLVS H257_08637 MTILRVPTPLPPSSTKEMKQRTSFKPLKSLASVHWTRLRLWAAS KNPIHLTASAATLASSTSLLLDDTDTDDDLTTDFVRPRGRSDPGPPRKQTKLFAAWRT VESVDLAVGRTR H257_08638 MFNVSSLQDMRSSQRFAPSAYASELTIEIPPGTAGSIIGIRGCN IRRIRESCRGLRSCKILSTDHAHLVGSKAAIEQAVGMIEELANATLKFKAHSRPNADG SCGVTFSVLVFAPSEYDILLARPSPMARRHLERIGSKAQYPSYTYSTVNQESWPPPKD NADALAELSVFGSKKQCVTSASLKPLGGYLKTVHDKYTGNNKLENQYVVVSVGKTYFQ PWQDIGEDSSMSLDEFMAQTPKMRQQFSTGGISATHVEAAELWCSYNGYKQLELVQTM TLHIQNNESPARSISSRTQVTLTLPPGVPDWEAMTADDIASLELHFNTAPQRLGFVSM HTADESTLEMRLAFKACIAGELIVPDDLLEQVVAAWSFRKPGVCLELDPSCNMKVDAV RFQATRVWANAHYTIAIDEVMQSWWGKSDDATFWTIQMASPAMEEVGWMDMTRDEFAS EVLELADQGQSLLAALNNVEVFAGRRILLPRLPLHPHQVQHHLVHLHLYLPQLLSVHH NLPLRLLLHPPRYQRLHRRLNQLRRPLQHPHQPTPAPTPEPTPAPTPEPTPAPTPAPT PQPTPAPTPEPTPAPTPAPTPAPTPAPTPQPTPAPTPAPTPVPTPQPTPAPTPAPTPA RTPHRTSAPIPARTPSRTPAPTSARSSAPTPVRTPAPTPPRTPASTAAHTPSPTTSTS ASTPALTPAPTPAPTPALTPAQSPARTPQHTSSSTPAPTPIQTPVPTTTSTTSCNGIE DNIDYFGNDLASTSQSSAEFCCSDCKVTSGCKVFVWTDYNGGTCWLKYEIGAKTYLAG AKASIVVPSAPTPAPTPSITPVCNGIVDNVDYFGNDIASTSQSSAEFCCSDCKATAGC KVFVWTDYNGGTCWLKYEIEVKTYLDGAKAAIVDSSCASQELNVDYFGNDIVSTQQSS ADRCCDDSTATSGCHAYSWTDYNGGTCWLKSSKGSAIPRDGAVSGDLSPVTAYLVVTA SGAHKVGLQYEAVPELASSTTTQYILYRTPLFRISSRCSSPEAWKTQGFHENTMAMTV FFSPSLSRNCADLLSGLFHAAILPPLLQPKVDMYNQGQAGYDQQQYGYANQNFAGDPH GAPYSAPHSGGHGSYPGGHGFVQPSYGQQSQQQQHPGGPWYAGDASSVPTNAPLAGSM GSLGDQSATYGDDFENEPPLMEELGINFGHIWAKTQAVLIPTKSVSEHILDDVDLAGP LVFAFGFGMLLLMSAKIHFGYIYGFGIVGCLSMWTLMNLISPSKTIDVYRVCSVLGYS WLPIILLAAVNIVAPIKDLKSIGFVLAFLCVAWSTQAATRFFDKYLHMQEQRWLIAYP TAMVYTCFVLITVF H257_08638 MFNVSSLQDMRSSQRFAPSAYASELTIEIPPGTAGSIIGIRGCN IRRIRESCRGLRSCKILSTDHAHLVGSKAAIEQAVGMIEELANATLKFKAHSRPNADG SCGVTFSVLVFAPSEYDILLARPSPMARRHLERIGSKAQYPSYTYSTVNQESWPPPKD NADALAELSVFGSKKQCVTSASLKPLGGYLKTVHDKYTGNNKLENQYVVVSVGKTYFQ PWQDIGEDSSMSLDEFMAQTPKMRQQFSTGGISATHVEAAELWCSYNGYKQLELVQTM TLHIQNNESPARSISSRTQVTLTLPPGVPDWEAMTADDIASLELHFNTAPQRLGFVSM HTADESTLEMRLAFKACIAGELIVPDDLLEQVVAAWSFRKPGVCLELDPSCNMKVDAV RFQATRVWANAHYTIAIDEVMQSWWGKSDDATFWTIQMASPAMEEVGWMDMTRDEFAS EVLELADQGQSLLAALNNVEVFAGRRILLPRLPLHPHQVQHHLVHLHLYLPQLLSVHH NLPLRLLLHPPRYQRLHRRLNQLRRPLQHPHQPTPAPTPEPTPAPTPEPTPAPTPAPT PQPTPAPTPEPTPAPTPAPTPAPTPAPTPQPTPAPTPAPTPVPTPQPTPAPTPAPTPA RTPHRTSAPIPARTPSRTPAPTSARSSAPTPVRTPAPTPPRTPASTAAHTPSPTTSTS ASTPALTPAPTPAPTPALTPAQSPARTPQHTSSSTPAPTPIQTPVPTTTSTTSCNGIE DNIDYFGNDLASTSQSSAEFCCSDCKVTSGCKVFVWTDYNGGTCWLKYEIGAKTYLAG AKASIVVPSAPTPAPTPSITPVCNGIVDNVDYFGNDIASTSQSSAEFCCSDCKATAGC KVFVWTDYNGGTCWLKYEIEVKTYLDGAKAAIVDSSCASQELNVDYFGNDIVSTQQSS ADRCCDDSTATSGCHAYSWTDYNGGTCWLKSSKGSAIPRDGAVSGDLSPVTAYLVVTA SGAHKVGLQYEAVPELASSTTTQYILYRTPLFRISSRCSSPEAWKTQGFHENTMAMTV FFSPSLSRNCADLLSGLFHAAILPPLLQPKVDMYNQGQAGYDQQQYGYANQNFAGDPH GAPYSAPHSGGHGSYPGGHGFVQPSYGQQSQQQQHPGGPWYAGDASSVPTNAPLAGSM GSLGDQSATYGDDFENEPPLMEELGINFGHIWAKTQAVLIPTKSVSEHILDDVDLAGP LVFAFGFGMLLLMSAKIHFGYIYGFGIVGCLSMWTLMNLISPSKTIDVYRVCSVLGYS WLPIILLAAVNIVAPIKYANPQCNVVLSNVIFGRYIYIFV H257_08639 MPHMTHATLSRYMSPWPDSTKKAWTMPGRRICGTPWTIPLWRRV VAKYTFTTRYTTTMHATCTRHSHPRRVKLPNWTTPLVHVAWIPKREYVHVGCSEMDTV WGVVTWMIVSTTQTKAVLMWAWRVTSGSENGSKKSCRTTVSHVASPAMTIVLNRVGGS ATRDENPS H257_08640 MGKPATTTPHRIIPVQTKEKYLEAREDGPVQHGPLQLSRLATVL GFLYLAVTVSCSAWYLKIVEPHLDNDLWLPHFNSTGMQTYLGDLIHLRRNLNQVGTFD VSLPDSTILRAYGEVDTLLTLPPSNPRQTLLDSIPFDDVITTIRMQSLDTYLAYRIPY CWADMSRRFEMAHTVTRQARCAAADKDNAAVYLETVLRNTEVQAILAWPLFDLLNETV LVPMTVVDAVEGPKWIASIVHGSLLPMADEVRFWDRQGLHRFTLQLQNTFPQRIDDAI LLEDALGMQQRFTISSMSVTSPERGAGTTFWTSLSLSSDLTVASAFGCSIVRGSPNDA AALGLSWDTDLVYAQAAGFVGTDLMRANVGPLGSIDIRTIPVPPALTEYFLAFRAGLY DYLQQDSNARKVYFHLSEPVVSPVPATWGGLSYYGGNPMCGLQSSATFVQPSFGISDD CAEQVPYTLTLRRENVFFALISSGLSIDQLGFVCNLSSTSSDQCLATLFTALPLVTVW NQTTAFGNQSPPPITAMSNLNISFMQFASAIDDTTSQSFLLQPLVTPNDLWAFYGWLG IHEWLSGLREVYSFEGDIATLTVLTEAQDEVYLVANDLEIPRKGCFYIWVITIYVTFV LVLVVSLMICYAFFIGFHVEWWNLFQCNWVIGYVWIGRPFLFLRGMTAMLLLSSSTVS FANNLGFARISFTPKPLIHTMVLAGESTWLTIVLHDILLPFTDQELTVYAPLSTAFIW AIMTVIQVVSPHGATLTLDRTCSYEFVGLSASCTSATVQFGSVRRFGLLFIVHVASIA LAYLIVKVFYNVTGRRRAHGNVVAHVLIPGVAQAFFIQSGNGELFLDRVACVMCGMFS YRDTIFHAPSWIVLHLHAHNGIGFLFDVAKFVMKPLTAPETIKKHKYIRILGLVGLVN MGMSVTGSWAYLGQVKDIMSNDFWWAGFNTTGHQTYLCNWFNRQLNEPTLGRSVELQM NQLEYAEVGTDNHYNATDTVVYVAPLYASAIQLEVNTLSNVITGLRAMQGCDVPWIAT AYCYVDFGRKWEMANSETRQARCLTSERQNAAVYLDAVLRNADWASLTSCWQDSLSTG VFSYLNTFQDGKTWLQTLPSGLAIHDELQFWQANGISEYVTQWQNYKQLGIVETFDVQ NAFGFTYPMTIKRSRGSFRTELGASSFKMSWGLASDLWAVATNLTLIGGLHLVRQSPS FAFRNVTPAALLQQNLTLGSPMNQGLSLVQDTLGPFGNIDMKRVTCPTSLRQVYQNLT ESLVLLLNVNASTVSDYQWLLQNNANLMNILETYQGLFVHNLAPFSLLAPEWNSTVAL CGGKLMCGFDSCGGRMAQDFFSATEECGAPLVYDEDAPSNENLLKALIASNVSNMQVD DTMAYLCDCNQVDPLACDNCKGMLANSTTFLATYFTPTSLAALRTMAMAVEIEVRTKL KLEMVQFFTDAVDGVIVEGNVVELSRIPLMTDPKLTFTTWLYLFEWVEGQREAVTFQG DTDALTTLSNPLVIQRDPANPRELPLSFSYYAYRLSQYITGVLFMVAIVVCLYIITNK GDVEYGNIGTFNMVAGLVWVGRPMILLRAFSAISVLATANMELTRSPVLTRIYADTYP WFTTFLSTGEVSWLVFVIDDVASVIMKEHTAECKIKNKVMKLLAGQLLANSGQLSWVS SGLWSALTPVHHIARVGRICTLISVDMDVECSSGLFEYGIPSRFYGIVLVTFAGCCFA YLFKWRYYVHHDGPHKASSFFLPAVAKYNFNFRKWEVNDTLYLDKPSAVLSGILIFEY HDILYVFDVKIWRRYSIDVSASRQSMKGHTHLQHFYHALPLVE H257_08641 MILIQAAKSRPLRYFALVLLALAVWRYITHVAQRQSEESFPVSL WTPTTLTFDVWGGFVYAILAIALVRECLTPRPPAPYMGLLLILLSVEFAVAILAQELR MFGHLTFALVALCVLWGTLLTSFTLVEHHVEPIVISSLVYSDTDHDYTTSSRWDYLWI RLPLGLWWVLSSAELLAVCHAVALHSDAAPDMRVFVCSMSGWVLVSVGLLLTTGDIAI MVGSLWMLWGVANANSSKSTDENHTMATLAGLGAFDRKTVGLLCLVYVECLLPAETSA QCPIRTMPFVRFAVVALVAIASTTVVMGACKTAVGGSVTVNKLGDAFYLKAGTLVSVN MALSAPYLVTIAGKQGGKGYYKEADLKC H257_08642 MDNTVVHSPLTPLAHGPTTSPTVGFVRLPTPDSKGNTIDMESTA PVETPTTLWKEVKANFVLAFPLILSSGLMFIPFTTSTVLIGHMDSDMTSAYIAASSLS GLVMSLTGVSVVIGLLSALDTLCPQAVGAGKLHHLGMYFQSGLIVMSLACIPIFILNW NVEAILIALNQEHEIAALAGEFNKYAILGLPGFILYELMQKGLQAQGIVTQMVWISAI DNVLNIGLGYWLGYHTSFGFVGVALGRTICYNLLPLFAYMYMLWNPVHKLWWPESHSW MAQWQTAWKHIPEFLKLGVPGMIMTMVEQLAFDAASITVGWLPSAVLSLAVHNVLLTI NVIVYSISIGVGTACCIRLGNALGANEPNRARVISNASLAATVGIVLVVAAVFVASHR YVPGLFLNDPDAIEAFQGVVAIFAGFIVVDGLNSTSQSILRGMGQLTIGAIVNAIAFY IVGLPLVGLFAFQFEWGLQGTWLGLSVGLTLGVGAYLVIIYRSDWQARADEAILRNED EKDDIAE H257_08644 MPPPSTQTSCCSICLEPCRTFTWVHKLLRAFHRRIGESEAWSLV TCHHVCCHKCIGLWIEELARSRRFDQLTCPWANCQEAIELAHVRELELSATARSFVEQ AHRWRLGTGVSCPQCRYINPLKETNATSLQPATKLVCTSCKTAMCTACANQWHPRQTC RESQGMRTLSATDVLFVHLAKVKWNYQPCPHCGIFTERRSGCASMTCRFCWKTWVWKS RR H257_08643 MILLATSTMFPTSCDHDTRLHRCESTSPMAIRDLLNPQPDDVMS SMVPTASMPLRVQSRKRPLEVRFHMSRLLLSRVSRPPITTLHHVQLPPKFDEMATLSP SKHPTTDDSVLLAPQQCRYRNGKCTQSRARKTNGQLHTLCDMHRHRNIVNQHRVDARR RKERLAKVV H257_08645 MHEICVQAEMPVHPDDPSHVPEHQVERLATFAHVMKDKGLDVEL IRVGNDKTTTLTHTYLLLLGIAAASVEERIVASLPDEYKFVHALPGSARTQQVILATL REATVDDNLYLGDENLELAFHAHEKLFPQLQAHLKVSLFPLHNEDARHRLIQKWHATP LYAIPFESIHAYFGPELSMYFVWLDFYTRFLIIPSVCGVALFAMEYCGVATSAYMWPY TIVFSISTSVFVDAWKKTQRATEFNWQYMPIEDTYAEPRPAFIGEWMQDAITGELVFD TPHWKRMTTRLCVTLPLVLGMCLCVLLYVLGLELFYDNNRVWFPMCYDRQDDNDTAMC GLILQGPSVLNAILIEVMDLLYLRLARWLTTMENYRTVAEHDNHLIIKRMPFHFININ ASLLYLAFVAQDMERLRRRLWILMVGMQCLDNIKEVAMPYLMVWMHGGGLHPGHANDH VHSTKAERVEHILMQKQQSRYADTFTDFKEMMVQYGYVTLYAPVFPLAPLFALLNNVI EARSDLFKLVNVYGMQRPYAKHVHGIGVWERVLFMISVVAVLVNCGLLGVYELPKLAP TLSDVHKCCVVVLLEHVVLLVKLCVSWSSKDVPAWSAVDNRRQYLNLQAVHLKQALQK AA H257_08645 MHEICVQAEMPVHPDDPSHVPEHQVERLATFAHVMKDKGLDVEL IRVGNDKTTTLTHTYLLLLGIAAASVEERIVASLPDEYKFVHALPGSARTQQVILATL REATVDDNLYLGDENLELAFHAHEKLFPQLQAHLKVSLFPLHNEDARHRLIQKWHATP LYAIPFESIHAYFGPELSMYFVWLDFYTRFLIIPSVCGVALFAMEYCGVATSAYMWPY TIVFSISTSVFVDAWKKTQRATEFNWQYMPIEDTYAEPRPAFIGEWMQDAITGELVFD TPHWKRMTTRLCVTLPLVLGMCLCVLLYVLGLELFYDNNRVWFPMCYDRQDDNDTAMC GLILQGPSVLNAILIEVMDLLYLRLARWLTTMENYRTVAEHDNHLIIKRMPFHFININ ASLLYLAFVAQDMERLRRRLWILMVGMQCLDNIKEVAMPYLMVWMHGGGLHPGHANDH VHSTKAERVEHILMQKQQSRYADTFTDFKEMMVQYGYVTLYAPVFPLAPLFALLNNVI EARSDLFKLVNVYGMQRPYAKHVHGIGVWERVLFMISVVAVLVNCGLLGVYELPKLAP TLSDVHKCCVVVVRILYNWLQRRIGAVLMMICHGYLYVRSCWNMSCYS H257_08645 MHEICVQAEMPVHPDDPSHVPEHQVERLATFAHVMKDKGLDVEL IRVGNDKTTTLTHTYLLLLGIAAASVEERIVASLPDEYKFVHALPGSARTQQVILATL REATVDDNLYLGDENLELAFHAHEKLFPQLQAHLKVSLFPLHNEDARHRLIQKWHATP LYAIPFESIHAYFGPELSMYFVWLDFYTRFLIIPSVCGVALFAMEYCGVATSAYMWPY TIVFSISTSVFVDAWKKTQRATEFNWQYMPIEDTYAEPRPAFIGEWMQDAITGELVFD TPHWKRMTTRLCVTLPLVLGMCLCVLLYVLGLELFYDNNRVWFPMCYDRQDDNDTAMC GLILQGPSVLNAILIEVMDLLYLRLARWLTTMENYRTVAEHDNHLIIKRMPFHFININ ASLLYLAFVAQDMERLRRRLWILMVGMQCLDNIKEVAMPYLMVWMHGGGLHPGHANDH VHSTKAERVEHILMQKQQSRYADTFTDFKVTETSYTVMS H257_08645 MHEICVQAEMPVHPDDPSHVPEHQVERLATFAHVMKDKGLDVEL IRVGNDKTTTLTHTYLLLLGIAAASVEERIVASLPDEYKFVHALPGSARTQQVILATL REATVDDNLYLGDENLELAFHAHEKLFPQLQAHLKVSLFPLHNEDARHRLIQKWHATP LYAIPFESIHAYFGPELSMYFVWLDFYTRFLIIPSVCGVALFAMEYCGVATSAYMWPY TIVFSISTSVFVDAWKKTQRATEFNWQYMPIEDTYAEPRPAFIGEWMQDAITGELVFD TPHWKRMTTRLCVTLPLVLGMCLCVLLYVLGLELFYDNNRVWFPMCYDRQDDNDTAMC GLILQGPSVLNAILIEVMDLLYLRLARWLTTMENYRTVAEHDNHLIIKRMPFHFININ ASLLYLAFVAQDMERLRRRLWILMVGMQCLDNIKEVAMPYLMVWMHGGGLHPGHANDH VHSTKAERVEHILMQKQQSRYADTFTDFKVTETSYTVMS H257_08646 MRTATCLISMSLWIYLSSACSDFLLNASSSSNVISARTMDFNLD LHTVITTVAVGTSFTEQSGHEWTTKYGFLSFNVATLPFATDGLNTEGLSAAWLYMSDT VYPTTNALDTPSRPIVSNLCSYILGNFATIDDVKVGMAAIQPTGIDLTHIGLTLLAGL GPLQTLPLHVAIHDATGASLAIEFLDGKMHLLDNPLGVLTNDPRLSIQLNRVAATPPH QAVPAGWTSTDRFIRLAMYNNVASRSQDVETSFVATASIDQSGVSSALHLLNTVVQPI ISPLFSTEWIVIRDHKRRHVYFQATENSVLRRIDLTAVNWMDPMKQKSWPVTSPQAPW YVDMAVDDLAEIASE H257_08646 MRTATCLISMSLWIYLSSACSDFLLNASSSSNVISARTMDFNLD LHTVITTVAVGTSFTEQSGHEWTTKYGFLSFNVATLPFATDGLNTEGLSAAWLYMSDT VYPTTNALDTPSRPIVSNLCSYILGNFATIDDVKVGMAAIQPTAIHDATGASLAIEFL DGKMHLLDNPLGVLTNDPRLSIQLNRVAATPPHQAVPAGWTSTDRFIRLAMYNNVASR SQDVETSFVATASIDQSGVSSALHLLNTVVQPIISPLFSTEWIVIRDHKRRHVYFQAT ENSVLRRIDLTAVNWMDPMKQKSWPVTSPQAPWYVDMAVDDLAEIASE H257_08647 MSQANKVFVGNLSDKVKESDIRDKFEKFGKILEISIKTPSRPPS FAFVQYEDVRDAEDAVRELNGTELDGNTLRVEITKRGPRGEDPRDMERDERSRRQHGT SYRISVSGLSSDTSWQDLKDFLRDAGDVAHAEVDRRGHGTASFQTADQMDRAIRKLDD EELKGRRVRIREDYERSRGRSRSRSRSRSPPRRRRRRSPSRSRTPPRRSRRSDSRSPP RRRRSRS H257_08647 MSQANKVFVGNLSDKVKESDIRDKFEKFGKILEISIKTPSRPPS FAFVQYEDVRDAEDAVRELNGTELDGNTLRVEITKRGPRGEDPRDMERDERSRRQHGT SYRISVSGLSSDTSWQDLKDFLRDAGDVAHAEVDRRGHGTASFQTADQMDRAIRKLDD EELKGRRVRIREDYERSRGRSRSRSRSRSPPRRRRRRSPSRSRTPPRRSRRSDSRSPP RRRRSRS H257_08648 MSDIGIVNETSILGGNSTGNGTVFGLPLNLVETERGWCLFVSFV CALVASGLTLCNMYLHLRAYTRPNLQRYILRILIIVPFYAIGSFLSFWLVHQAIYFNI LRDIYESFVVYSFLELVLSFAGGESTCVSKMVGEKELDHPFPCNFCFPPMARDGRLLR ACKKATIQFVLIKPSIALLSLFMLAIDAYNSTGYQWFLWVVYNGSYSIALYGLLVFYL ATKHILAPFSPVLKFFAVKSVIFMTFWQSLMISSCPDITVEQAFAWNDFVLSVEMVPV AILYMISFGARQFQVSHHHRGAAGDGDGKLPLPSPPHPPGSTRSDDAAAADDDVNDED NGTPHAAGEVVRHMKEVLNVKDIVADAFHNFSSSYQDYMLQRADDDDDDLEKGGDVSS SSILDAPTPTPDDEMEAVAAAAIPAPDHPHEDEYEAPPIETCAVEVVAVETVSQTTPL SPVSSSSLSGLAMRKASTGSNSTSSSQRRSSCDVDSPPLRQEAIAMPFSMSDDDEDET LLVSPRRKQSDKASLLK H257_08649 MCIVAKPAVIVVDPVSTGLHVAREVLARGFQLIVLHSSDADKPA CIANMPADVATHAVAEIHHHGTTLSAVPHDHQSVDMDSTLHALKAHVVGGVLAGCETG VTLADHLSDRLGLTTNGASGAVARRNKYAMGECIRNAGLRAVKQCAATSWNSIVDFIE HDLKPVPFQVIVKPVESAGGDDVFLCRSMTEVKDAFDHIQGHVNQLGMTNMATLVQEY LVGTEYVVDTVTRHGVHKVVAMWEYEKGPANDAPFVYFAVRLVEATSPKHKTIMEYTL KVLDALHIRHGPAHAEVMWQPHEQAPCLVEVGARCHGNGGYFVPTVDRCLGYNQVRAT VDCYFDPTAFASLPPFPGKLLAHGCEVPLVSYDEGVIETCPGVNAVASLASFQCAYWS VGVGSRLVRTIDVFTKPCSVWLLHASKNVMEADVKRLRKLERNRGLWTVQGEAVGMCP PPPPY H257_08649 MCIVAKPAVIVVDPVSTGLHVAREVLARGFQLIVLHSSDADKPA CIANMPADVATHAVAEIHHHDMDSTLHALKAHVVGGVLAGCETGVTLADHLSDRLGLT TNGASGAVARRNKYAMGECIRNAGLRAVKQCAATSWNSIVDFIEHDLKPVPFQVIVKP VESAGGDDVFLCRSMTEVKDAFDHIQGHVNQLGMTNMATLVQEYLVGTEYVVDTVTRH GVHKVVAMWEYEKGPANDAPFVYFAVRLVEATSPKHKTIMEYTLKVLDALHIRHGPAH AEVMWQPHEQAPCLVEVGARCHGNGGYFVPTVDRCLGYNQVRATVDCYFDPTAFASLP PFPGKLLAHGCEVPLVSYDEGVIETCPGVNAVASLASFQCAYWSVGVGSRLVRTIDVF TKPCSVWLLHASKNVMEADVKRLRKLERNRGLWTVQGEAVGMCPPPPPY H257_08650 MDHDHETGSTSPIDPSRPAAVQAPPQQSPLTRASSISSLVKPSS SIGTTNAKSNKESRTQEALAMKDEQMRILSDQNTQLLATLNQLDDELQTLKMEKFHSD DENRSLRDANFELQSRSRASDAVVKKAESNMEEREMQIKVLTDHNGELLRLLEIEEGQ SGALGKENATMKVEMETLASKYASLMTTAKMHEEMAGRAMRDGQLRAEEVRLLRIDTD QLRTSNNELKMKAQVELESLHEQLRVRKEKQYQLLEKIQSLEEAKRQSDDTLHGMEDK IRQLLEQGQERDTQLQLESKAKRSQIDANKHLQADNDQLASDKTSLQSRLDKAEQERT RMEAENRDSADQLREMAEKVFQLLERLKLAELGKTKSLDALKQKELEMISLKKKNARL LKDVTQEGKSRVKIEMDKDVLLEQLHALKKHNNQLSIRCRDEVKGKLKEAEERGQLAE KLKTMSSRVSFLLNKMQADEEAKLCTKEDMKKMHAQILSMQDKNSELTQKLNTTGESN RVVTEALRSKQDELDTLTIKFDALQHKMVTQAMQEEPLIELDAANNSNNRSGHHEGYA DDKEAEASGRFFVECRASHGGLLVVKPKRSTHGCPEYLDKLGINAYLKWAQKQQNTKH RLVEKIALMCHQLMVGEEATLAVQQVLESKQDHLDHVGKKCQWLQDKLTTEEDAKRKT LIRYVHEVKSQVSADGDAKLCLKLPESGIGDEEVHAIAALLRNNSSIHDLQLHGNAIT SEGARAIAAILGSTTCGLSHIDLRKNFVGEDGIRVLTEALERNPRIRHVYVHAGGKIE ALGANKATNNNHDGIVQVETVCVIDVRENQAKKNDMEMPDELMGRPGGGPLSNGPGSE LFSKRPSALALANVSVQEKKREWQRLQKQKKQMQLDREKLKEKELHWNGRAGGLELSV TKSKKTALPPLDDAALERSASVPHMKVSEEQRPTSSGAEMTTSTDQLVAGGVKEAQAA LDAALLDSAGGASPDKKKKFQSATAYSRRLKESPLAGPPSKPPL H257_08651 MCSASVVVFIVDGVNFALGPLLVCFGLSLLTFLVACFYLCILPL VTTWPWSLGCMGAYLFLQVGLHYILCISTDPGRLTRGHPLSESDEGFDDQSRTDLPVC QYCDVAKPPRTHHCHSCGTCVLDMDHHCVWMHNCIGHFNYRYYWRFLLFTWLACAFVA AASHHSIQAMADGDSEPSYFKACVRLPYVLCLCIGLVVFGLWLWHVYTVLAGVTTLEA VILYRNKQKSKPLTWATMQHNVHRTIGPMWTTVLPPFTNPFPWTTNRPRIWSRGLVER NSVV H257_08651 MCSASVVVFIVDGVNFALGPLLVCFGLSLLTFLVACFYLCILPL VTTWPWSLGCMGAYLFLQVGLHYILCISTDPGRLTRGHPLSESDEGFDDQSRTDLPVC QYCDVAKPPRTHHCHSCGTCVLDMDHHCVWMHNCIGHFNYRYYWRFLLFTWLACAFVA AASHHSIQAMADGDSEPSYFKACVRLPYVLCLCIGLVVFGLWLWHVYTVLAGVTTLEA VILYRQGLLYHHYSPI H257_08652 MSPFVPPVTSTPSPSIDSKASILLTSTAERREIAASVAETTFVN CHLAAPAVEENDRKPVDLVVVLDRSGSMHGDKLSLCKRTMDFLAQQLAPHDRVALISY DTYVTTDLHLTKMNADGKAKLAAKVAAIQAGSCTNLSGGLLAGVDEIQRPTRFDNGEP NPVQSVLLLTDGQANEGVTSADGLAKLLDGLLAPQVSLHTFGYGSDHDASLLGRLADI GRGSYYFVQNVDGVALAFASCLGGLLSVVGQNIKVEVVASPGALIACIKTKRPVTTIT DQVHFEVDMGDMFAEESRDLLVQVQLTPQTPAEKMDLVEFRMRYVNVLQSTMEKASTT VTVARPVAVTNDNVVDESVVAQKLRVTAVEAIEAAQQQAKKGNLREGRQALQNVITSV MQDMASFGAPAKAQAAHLLADLNECTANMQTQTTYQTRGHGRMQQKIQSHWMQRQNNI EVEEESLDMECAAGTFGSDNEDEDKESGDVEESGDVDIGDLFAQRSPAPAPRARMARS SAAPPAPPAPGGYSRIGNTVQMKMMKKAFKVANK H257_08653 MEDGYVPLMLLWISYLYMPVAVAVYLYHRERPSIKHRLPIGTAS AGLFASIYCLAQPLCAYFSESTSCGSALLILTITSNTAVAVLVWTAFVVLVLYSITEI IAQPTNVSHDRVAVWNYFRGMIIPSIQVPVGICVCVLWNFPHILLLALHATTISQLSY AECITMDFFNLIMYISIGQAAVLLVGCLFIGHQLRHTTDTYHVRWSYVYTSMYMVVCS SLCLLYWLVSTYSSALTGFHVIEVMTTQGLQGIVFFNILLPLVSAYKDRHKVKDLTGS TSLQVNWDSYLQSNEAYLSYMEFCHGHTAILLAWKASVDFRNGDGKLNVFEVYQMHIA PDGAFTVYDDLPDLLRRKYTKKIHRLRQKASLVMTNVSKVVPMPEIVDADFYEPLRRE LVLIMVTSSLALYEKDELGKDWLSFHTRRRSIHSLEYVQKVASKVDVLRESVAVKQPK AEPLWGSVLHSKSSDTQLVKDEILNAPESPRRTAGGSGKFATEVPHRIESGSARKSAG DERRKRGKSQDDGVAAANDEGSGGAMRKIFFE H257_08654 MPPSNNSVGQALVYLRPLRHMYFHHLSPFFPQLTPSRFDVVTTV PVLNDDGTSLGSLVIHVRPSVKATPSDALKSRGNNAKLVPGDVDDLSDDEADDLTALD GAFLWIFIDVHVDPSTPSQLLTNSSKYRVSYTFFQSNAQLFPLEHTHVLKVLVTPPFV DYVSADMMSFDVVPHVDSLDTTAPCKDDSMSHTALLEEIQRDKAKLAQQHEAEKQRND QLCGELSKLIDHVKDQEGAMLQQVKTGQSATTEVVRLQEKARADAREKELLLKQVTDA DSKYQTLLDSANNKSKACLIQ H257_08654 MPPSNNSVGQALVYLRPLRHMYFHHLSPFFPQLTPSRFDVVTTV PVLNDDGTSLGSLVIHVRPSVKATPSDALKSRGNNAKLVPGDVDDLSDDEADDLTALD GAFLWIFIDVHVDPSTPSQLLTNSSKYRVSYTFFQSNAQLFPLEHTHVLKVLVTPPFV DYVSADMMSFDVVPHVDSLDTTAPCKDDSMSHTALLEEIQRDKAKLAQQHEAEKQRND QLCGELSKLIDHVKDQEGAMLQQVKTGQSATTEVVRLQEKARADAREKELLLKQVTDA DSKYQTLLDSANNKSKACLIQ H257_08654 MPPSNNSVGQALVYLRPLRHMFDVVTTVPVLNDDGTSLGSLVIH VRPSVKATPSDALKSRGNNAKLVPGDVDDLSDDEADDLTALDGAFLWIFIDVHVDPST PSQLLTNSSKYRVSYTFFQSNAQLFPLEHTHVLKVLVTPPFVDYVSADMMSFDVVPHV DSLDTTAPCKDDSMSHTALLEEIQRDKAKLAQQHEAEKQRNDQLCGELSKLIDHVKDQ EGAMLQQVKTGQSATTEVVRLQEKARADAREKELLLKQVTDADSKYQTLLDSANNKSK ACLIQ H257_08654 MPPSNNSVGQALVYLRPLRHMFDVVTTVPVLNDDGTSLGSLVIH VRPSVKATPSDALKSRGNNAKLVPGDVDDLSDDEADDLTALDGAFLWIFIDVHVDPST PSQLLTNSSKYRVSYTFFQSNAQLFPLEHTHVLKVLVTPPFVDYVSADMMSFDVVPHV DSLDTTAPCKDDSMSHTALLEEIQRDKAKLAQQHEAEKQRNDQLCGELSKLIDHVKDQ EGAMLQQVKTGQSATTEVVRLQEKARADAREKELLLKQVTDADSKYQTLLDSANNKSK ACLIQ H257_08655 MATNGVGLYRQVCRVAREFPPLMGKKIRFNAREILRLRRHEQDP VKAAAYLRQGEADVATLRLVALSPSLVDAMDRKPPQPAALHR H257_08656 MGVAVAVRRVGAARTGVLDNVAMGSCSLDGSILIIDVGVTNVSE EGTVFSAHAVDDANENDLTWFHDRDTTRRFCGELCTVLKYFGGSFAAIVATTLTADAV SSE H257_08657 MATKKAGKPDDQVKLKKILDELMKRDDNRFCADCGARGPRWASI NLGVFICIACSGIHRSLGVHLTFVRSVNLDSWTGDQVAQMQKWGNAKAKDYFEALVPK DYRIPTEHSPVRDKEIWIRDKYERKRFIARDGDDPSAKRTNSTSKSKKVVESESEASE ADDQPAPPTRRGTSKATAAAPAAPAADILNFGDFSAPAAAAPSSAALFGDFGAPPPPA PTFDAFAAAPPAAAKHDEWAAFGGSSQQSNFGSNSTNGGQFASAPKSGGNDPFQQGGG GHQAAKNNIMASFGQTPQNAFGNAGPTTQQQQQPPFGQGGFPQPSYGVPPPLGYPQPP FPGQPNGPFGAPSQPFGGLQQPVGGGYGGQQPGGFPGQQLPGGFPGQQPSYGAPTPVS NFGGQVQQPGGGFGGAQPGLGGGFTQAPQQGGGAFANINPLGAPGGSFGGAPPPANQS GAVGMSAFGTGSRNNPPQPPFGLNQPPFGTPGYNQGGNFGSNGNRNSLPGGFGGQTFS QQPQYGQQPPQQQYHQPPQQPQQQDNRFGSFGALTGMGQPQQQQPNRGGFPPQQQQQA NANPFF H257_08657 MATKKAGKPDDQVKLKKILDELMKRDDNRFCADCGARGPRWASI NLGVFICIACSGIHRSLGVHLTFVRSVNLDSWTGDQVAQMQKWGNAKAKDYFEALVPK DYRIPTEHSPVRDKEIWIRDKYERKRFIARDGDDPSAKRTNSTSKSKKVVESESEASE ADDQPAMRVAPPTRRGTSKATAAAPAAPAADILNFGDFSAPAAAAPSSAALFGDFGAP PPPAPTFDAFAAAPPAAAKHDEWAAFGGSSQQSNFGSNSTNGGQFASAPKSGGNDPFQ QGGGGHQAAKNNIMASFGQTPQNAFGNAGPTTQQQQQPPFGQGGFPQPSYGVPPPLGY PQPPFPGQPNGPFGAPSQPFGGLQQPVGGGYGGQQPGGFPGQQLPGGFPGQQPSYGAP TPVSNFGGQVQQPGGGFGGAQPGLGGGFTQAPQQGGGAFANINPLGAPGGSFGGAPPP ANQSGAVGMSAFGTGSRNNPPQPPFGLNQPPFGTPGYNQGGNFGSNGNRNSLPGGFGG QTFSQQPQYGQQPPQQQYHQPPQQPQQQDNRFGSFGALTGMGQPQQQQPNRGGFPPQQ QQQANANPFF H257_08658 MKQVTIVSPSLAKLKPPSLNLTEAGLAPATPASPPSPASSRPPT PRPASPRMKPLRPVQRALLVDFLYREVFGIMDIKESDGPHNQQRVVDFFKSFVEMEKL VIYGLVLCVDSFLYVFTYLPLRIILALGSAVVSVFYTRIFRRSHVHDLLTAVIVILST GVLMQVDMSRVYHLIRNQALIKLYVLFTMLEIFDKLFSSLGQDVLDAMYFTVRYQPRR VGRMMFDFAVCSIYVVLHSLLLFGQVVTINVAIHSSSSSLMTLLISNNFAELKSSVFK KFEEQNLFQVACSDIVERFKLMVIIGLILVQVDGKDVADGTFVVLAAEMLIDWIKHAF ISKFNQIPPSVYSKFLTVLCRDVSGYRSDTTFLDHSQFVSRRLGLISLPLACVVLRML FKALRDFDLWSQLYTPSGMSIALLGFANLSAFKVHTLERTPAMNGYKRGHSSTNTLTC GLKMNVLVV H257_08658 MKQVTIVSPSLAKLKPPSLNLTEAGLAPATPASPPSPASSRPPT PRPASPRMKPLRPVQRALLVDFLYREVFGIMDIKESDGPHNQQRVVDFFKSFVEMEKL VIYGLVLCVDSFLYVFTYLPLRIILALGSAVVSVFYTRIFRRSHVHDLLTAVIVILST GVLMQVDMSRVYHLIRNQALIKLYVLFTMLEIFDKLFSSLGQDVLDAMYFTVRYQPRR VGRMMFDFAVCSIYVVLHSLLLFGQVVTINVAIHSSSSSLMTLLISNNFAELKSSVFK KFEEQNLFQVACSDIVERFKLMVIIGLILVQVDGKDVADGTFVVLAAEMLIDWIKHAF ISKFNQIPPSVYSKFLTVLCRDVSGYRSDTTFLDHSQFVSRRLGLISLPLACVVLRML FKALRDFDLWSQLYTPSGMSIALLGFANLSAFKVLLSLWLMVHSTTSGRLSPQWKASS PAKKEGPKDEGYTLWKGRLQ H257_08658 MKQVTIVSPSLAKLKPPSLNLTEAGLAPATPASPPSPASSRPPT PRPASPRMKPLRPVQRALLVDFLYREVFGIMDIKESDGPHNQQRVVDFFKSFVEMEKL VIYGLVLCVDSFLYVFTYLPLRIILALGSAVVSVFYTRIFRRSHVHDLLTAVIVILST GVLMQVDMSRVYHLIRNQALIKLYVLFTMLEIFDKLFSSLGQDVLDAMYFTVRYQPRR VGRMMFDFAVCSIYVVLHSLLLFGQVVTINVAIHSSSSSLMTLLISNNFAELKSSVFK KFEEQNLFQVACSDIVERFKLMVIIGLILVQVDGKDVADGTFVVLAAEMLIDWIKHAF ISKFNQIPPSVYSKFLTVLCRDVSGYRSDTTFLDHSQFVSRRLGLISLPLACVVLRML FKALRDFDLWSQLYTPSGMSIALLGFANLSAFKVILAYIPSPNRYIYIPYCLPYFYKC VYCIYIYI H257_08659 MADLPPIWFDVAPDADYYAALNVHRDASTEEIRRAFFVLSREFH PDKTTHTDDANQQYPRLDRAYKVLSSQPLRLAYDQYGERGVVALEEDKSADEWAVANY VHSDEYVQERVRVLMRRWYEKQLEAPFSSHTECEVEVDARDFVKHPLYSLQQVFNKQF RMIGISQMVMRQSTSIDVSRNTTVVVGGYLYDKHGLGLGALTCGINYITADPTALRIH LNSEVGWTPKLSVHLEQPVSSVTSCFLMPELTVDGLDVRVGVNHVMRLLPQYAPLQGS MMLSANTGLVSSLQFHQNTWQSTATAAVRAQGPSLGLSVRKQVTTSSSAKVAVDLGVG GAALTLGSSGKVSRRSRLSMGLRFALQGISVRIGFARGSVRFVVPVMVAPLSTSNAWN TFVAATAPFLVAAVVRQVVKPAHKRKQQAALQSAHARRVSYLMEARRCALSQQTLMEK QAAKNTAVEGGVNILVARYGQHPSTAPTNPESDSSIEDLNVDVTIPLQFFLQNGRLHL ANTSKAGLLGFYNPTMGLYDPADTSHPTHPHLYIRYAFDGHVYEATFGDLQAVELPSA HAQHMGQVGQIF H257_08659 MADLPPIWFDVAPDADYYAALNVHRDASTEEIRRAFFVLSREFH PDKTTHTDDANQQYPRLDRAYKVLSSQPLRLAYDQYGERGVVALEEDKSADEWAVANY VHSDEYVQERVRVLMRRWYEKQLEAPFSSHTECEVEVDARDFVKHPLYSLQQVFNKQF RMIGISQMVMRQSTSIDVSRNTTVVVGGYLYDKHGLGLGALTCGINYITADPTALRIH LNSEVGWTPKLSVHLEQPVSSVTSCFLMPELTVDGLDVRVGVNHVMRLLPQYAPLQGS MMLSANTGLVSSLQFHQNTWQSTATAAVRAQGPSLGLSVRKQVTTSSSAKVAVDLGVG GAALTLGSSGKVSRRSRLSMGLRFALQGISVRIGFARGSVRFVVPVMVAPLSTSNAWN TFVAATAPFLVAAVVRQVVKPAHKRKQQAALQSAHARRVSYLMEARRCALSQQTLMEK QAAKNTAVEGGVNILVARYGQHPSVIYKRARSLYMVDMLICRLRQPTLKATRPSKTLT WT H257_08660 MISRVRNAVGGGTSPSDVVRSTPMKHSLGVSEKRTCYKYIQSRQ LSALEFSSPDVVRDLVKSKNGVDVYESRLMWQDIRAVTRINGTVKDVMALLCADDTES FVACQKEILGDDFVGAKVLDSCLSDAKHDSSHYHCGLKWLAVTGDVDQGQPSTFDMVF LDYTDVCQTADKLWMGFRIVESIRVKGCPPIPGCTRASIRSEVYVVADTATWGVVEVT YAAHMDWKMPGKPKVDRLSDAVIQRLTNMRLFCESQRLKKIEIMDPLDWVQNAARSSC AICDLAFQFVLRTRHHCRMCGEVICRKCNSKVDVPTGFGMKQLRVRVCVHCLVRCRET QTELLQRPSAQPATRNRQREETFLCPDY H257_08660 MISRVRNAVGGGTSPSDVVRSTPMKHSLGVSEKRTCYKYIQSRQ LSALEFSSPDVVRDLVKSKNGVDVYESRLMWQDIRAVTRINGTVKDVMALLCADDTES FVACQKEILGDDFVGAKVLDSCLSDAKHDSSHYHCGLKWLAVTGDVDQGQPSTFDMVF LDYTDVCQTADKLWMGFRIVESIRVKGCPPIPGQVLPHSFHKDIHHHHGGSVYRCTRA SIRSEVYVVADTATWGVVEVTYAAHMDWKMPGKPKVDRLSDAVIQRLTNMRLFCESQR LKKIEIMDPLDWVQNAARSSCAICDLAFQFVLRTRHHCRMCGEVICRKCNSKVDVPTG FGMKQLRVRVCVHCLVRCRETQTELLQRPSAQPATRNRQREETFLCPDY H257_08661 MSFPAGNTPLEHHDPELFNLIEHEKNRQWKCLELIASENFTSRA VMDCLGSCLTNKYAEGYVNQRYYGGNEVIDQIEQLCQDRALQAYGLNPAEWGVNVQPY SGSPANFAVYTALLRPHDRIMGLDLPSGGHLTHGFYTYSKAENTRKAVSATSVYFESL PYRVHPETGLIDFDKLAESASLFKPALIVCGGSAYPRDWDYSAFRKIADDNGSLLMCD MAHYSGLVATKEHNDPFEFCDIVTTTTHKSLRGPRAGMIFYRKDARNFEVKINQAVFP GLQGGPHEHQIAAIATQLKEVQTPEFKQYAIQVKANCRALAKALTDKGYVLATGGTDN HLLLWDLRPAGITGAKMEKLCDVASITLNKNSVLGDRSAISPGGVRVGTPALTSRGLT ESDFVHVAEFLHRAVQLALEIQVTSGKKISDFNAALASHAGVKQLRDDVNTFATQFNM PGFDVATMRHKEL H257_08662 MLQDARSSADCVASDDEPPTLNDVVSRRKWTSGDPRRGRQGLWM YEGLYPDGKSKWLYRGHPDECQQPRIFDVVDRYEAYVATKPGHHGHPTLSLGDFKKAD VNYNRKIGARSGLSGIIFEVKGSFGDRSIDRSVYNKSCMRNRPVGKDKMAYICGILDD NGPGVYVIYTSDLSLLGLDMLRTWHHQRHGHYTNPPACTTTQTTDKSMLTNIARHRRG TNTPPREVCCGRGTTNTTGTGTGTTPIHPPARPLRQPTKACSPTSHATDEAPTLHHDK WWQNSNLERLPWQRPGMEDMVVLRTWHHHHHGHGHYTNPPACTTTQTTDKTMPASIAR PTLQLQKWWYKQDF H257_08664 MGDADDAQFNGIEVSLQVFPAIQYLMCFFHVMQKCWEHGRQMKW SEWDAVTEDIYFLHMSSSRDMLDVRMRNVHIKWGQGSLPPLLNNDQVAIGSRFWKWQI FHSAQETALTNNPNEQYSATIKSVLKRRKLHIPHLLQTFATLLRKESERNTTIALAPK VNERLRRHYAMLMKQGRLRLRSAGPALLGLWNVKHLARAHDADDDDEEEYFRRDLRVF VDIGSAVVFAFTSSL H257_08666 MTSGPLFGNQLQPNNGGLRTLAVVPAVLFHANPHSIKGGVTGVD MFFVISGYLIQAGILFKESSGSSFAYTDFYSHRIFPALLLVLTFTLVVGCVWLLDTAV QSMALVSGTFFEANIQLLIVQQGYFDASVKENLLLHLWSLGRAV H257_08667 MIKVLISLSVLAAAATAGSVTELPESVTKLIDYSVNPCDDFYQY ACGAWQKDAVIPPGKHKIDTSFTKISIQNEAILRKILSDNKTKLGKFYNSCLDTATLS SLGLTPLEDAFKAIRSANTTLDLLIVAGELVKNGIPAFVDIYSSADDNDSTKNALFGF RAPLSLDRPYYTTRSKWETVEADYKVYIATVLQLAGYTAEKAAAAVPVIIRFEQTLAG VALRELKEMEAVVSPYTAFTYSQLDQKYPLLIGSWLKANGFKVHEEGGGSNDWVGFHG LNYFDKAEVLLKNTTLDNLRTIIEYKLIHASSKYLTPEFRTANWNLFGKRIDGEKMEP SREKFCRYDTEETVGDLLGQYFLDEVLSADAAKMADELVKALRSSFSTGIATADWLDN WTRANAQTKLSKLVHLLGGPEKPQLYPTLTFDSKSYLNNRWKVSQVNIDTNLKLNGQL VERRKFDKSPHEANAYYCPSKNQITLPAGILQSPLFDGQFDAAQNFGAIGMLIGHEIT HGFDNRGRNYDGDGNLKQWWSNATNTAFKTKSQCIVDQYANFVVKSDVNGSALGNVNG KISLGETIADNGGLKTSFRAYHEYLKEFPSQYTAEAGDKLFYLSYAQSWCSKSTDASL RATLWRKYPPGRYRVKGALQNNAEFARVFQCPIDSTLNPSNKCLLWE H257_08668 MALTKKQRNWTSEEDLALLVQVAADLPFAGHQGLANVSGHPGRL QSLWEAHRRLQGRDETRLIQLLRPFLKKDKLQRGAFKHVAEIMQIHPRTVSYIWLKFR DTGSTTSNKVGKVGPKPTYSKQLVQQLVEEVHVDQRSTLRDVAAGSGLTMGTLSRHLK NGTFERRSTRIKPLLSDVNKAERDRRKVYLVPGEKPPRRAWKSKRFVPKVMFLAAVAR PRYDEVGGVQSQDRHVAVCSFCACHPQLAQPTGWYYGDVIPAIKASFPSANKRVVLQH DNATPHGAITDSELAAVSTDGFFASIQSLQYKKMSRSVDDVVRNTMEAFDELNHEKLD NVFLTFQAVMRLILEHSGCNGYALPHLKKESLRRAGLLMSNVSCPVSLLL H257_08669 MSQADKRRNWSYDEDIMLLIQVANDKPFAAEKGQVAKAWQTQAE TLMESEQFTRVVDARKLLNRFTILVDEHRRFAVASAKLSGSDQEEQGKHMLLDDIVCL LDDIKATATTDKSNATADKSNAAADKDKIEQDGLIIRELAMQTMKRRSDKAPDGESSK KKPPVESRRTSLASAIEIESERERATREKELEFQRFKFESDLKHQQHGHEHL H257_08670 MKRFKLFEEGGAPEPYVHATWLVHQEAICAEELCLPAKGKKRVG RSTIDVGGRILTLALLSEIDATVDERKAATKLKQAKRAKKRKKRHQGKQLDYDDHDDG VDMDERGSEDGQRLGGATEDVELRGAMEDKQRGSSADAG H257_08671 MVATHVVIVTLAVALVAGPLAVAALVVAWVMAPAVVAEVLQMLT KHGRAHFNYTLSSMHMAVECAFGRLKERFQVLKGPVNAKTLGSTVTHVMS H257_08672 MDRPSNSLQPRSAGERQHDDAIEREDRPQLLPVTSRIYCTFSDC LQCTHGFNDSYLRSYRPNGLKVLRCFPHCCPTHMLNSTCGTSIVMQVAGQFSHDEAMS FVAFARFETTSDPPSFPLGYRLPAANFADSDSKASVWYPGGRSTNEPSTVLMRYTFNE HMQRPWSYGWTSSASAALRNTLHVFKAYLFCSTGDFLQVVGTSQSRGFSIIPYKSAMA DKRVVVRAPSTAAAVSTTPAIAPCMSSVLFPCHFVPCACDKPMFNANYIRYKAINNLI FVMIKNPLCLYAGAIARTAEKCFPHCCPDHNLHCSCGGPITVAVHVNHPLDGQLVMYA HGERAGEAELALHEEISHDVILSNLHEPGHNDRGDWVPGILQQQQPSASASSQSSTST RVLYTFNELSTQLGWPYHWKGSATKADRNQQHVFKVIQTDLLTSYVILYFTQAYVFQL LPDNQTLRVVNWISSTPFTMSSFRRCNTTPTSRTNKYIQVIPTEISDVRKPTVSRKRS HHDHVDSPTTLLNEINSAG H257_08672 MDRPSNSLQPRSAGERQHDDAIEREDRPQLLPVTSRIYCTFSDC LQCTHGFNDSYLRSYRPNGLKVLRCFPHCCPTHMLNSTCGTSIVMQVAGQFSHDEAMS FVAFARFETTSDPPSFPLGYRLPAANFADSDSKASVWYPGGRSTNEPSTVLMRYTFNE HMQRPWSYGWTSSASAALRNTLHVFKAYLFCSTGDFLQVVGTSQSRGFSIIPYKSAMA DKRVVVRAPSTAAAVSTTPAIAPCMSSVLFPCHFVPCACDKPMFNANYIRYKAINNLI FVMIKNPLCLYAGAIARTAEKCFPHCCPDHNLHCSCGGPITVAVHVNHPLDGQLVMYA HGERAGEAELALHEEISHDVILSNLHEPGHNDRGDWVPGILQQQQPSASASSQSSTST RVLYTFNELSTQLGWPYHWKGSATKADRNQQHVFKAYVFQLLPDNQTLRVVNWISSTP FTMSSFRRCNTTPTSRTNKYIQVIPTEISDVRKPTVSRKRSHHDHVDSPTTLLNEINS AG H257_08672 MDRPSNSLQPRSAGERQHDDAIEREDRPQLLPVTSRIYCTFSDC LQCTHGFNDSYLRSYRPNGLKVLRCFPHCCPTHMLNSTCGTSIVMQVAGQFSHDEAMS FVAFARFETTSDPPSFPLGYRLPAANFADSDSKASVWYPGGRSTNEPSTVLMRYTFNE HMQRPWSYGWTSSASAALRNTLHVFKAYLFCSTGDFLQVVGTSQSRGFSIIPYKSAMA DKRVVVRAPSTAAAVSTTPAIAPCMSSVLFPCHFVPCACDKPMFNANYIRCNRSNGRK QLRCFPHCCPDHNLHCSCGGPITVAVHVNHPLDGQLVMYAHGERAGEAELALHEEISH DVILSNLHEPGHNDRGDWVPGILQQQQPSASASSQSSTSTRVLYTFNELSTQLGWPYH WKGSATKADRNQQHVFKVIQTDLLTSYVILYFTQAYVFQLLPDNQTLRVVNWISSTPF TMSSFRRCNTTPTSRTNKYIQVIPTEISDVRKPTVSRKRSHHDHVDSPTTLLNEINSA G H257_08672 MDRPSNSLQPRSAGERQHDDAIEREDRPQLLPVTSRIYCTFSDC LQCTHGFNDSYLRSYRPNGLKVLRCFPHCCPTHMLNSTCGTSIVMQVAGQFSHDEAMS FVAFARFETTSDPPSFPLGYRLPAANFADSDSKASVWYPGGRSTNEPSTVLMRYTFNE HMQRPWSYGWTSSASAALRNTLHVFKAYLFCSTGDFLQVVGTSQSRGFSIIPYKSAMA DKRVVVRAPSTAAAVSTTPAIAPCMSSVLFPCHFVPCACDKPMFNANYIRCNRSNGRK QLRCFPHCCPDHNLHCSCGGPITVAVHVNHPLDGQLVMYAHGERAGEAELALHEEISH DVILSNLHEPGHNDRGDWVPGILQQQQPSASASSQSSTSTRVLYTFNELSTQLGWPYH WKGSATKADRNQQHVFKAYVFQLLPDNQTLRVVNWISSTPFTMSSFRRCNTTPTSRTN KYIQVIPTEISDVRKPTVSRKRSHHDHVDSPTTLLNEINSAG H257_08672 MDRPSNSLQPRSAGERQHDDAIEREDRPQLLPVTSRIYCTFSDC LQCTHGFNDSYLRSYRPNGLKVLRCFPHCCPTHMLNSTCGTSIVMQVAGQFSHDEAMS FVAFARFETTSDPPSFPLGYRLPAANFADSDSKASVWYPGGRSTNEPSTVLMRYTFNE HMQRPWSYGWTSSASAALRNTLHVFKAYLFCSTGDFLQVVGTSQSRGFSIIPYKSAMA DKRVVVRAPSTAAAVSTTPAIAPCMSSVLFPCHFVPCACDKPMFNANYIRYKAINNLI FVMIKNPLCLYAGAIARTAEKCFPHCCPDHNLHCSCGGPITVAVHVNHPLDGQLVMYA HGERAGEAELALHEEISHDVILSNLHEPGHNDRGDWVPGILQQQQPSASASSQSSTSV YVVSAKSNIYIYKSTRILHDSA H257_08672 MDRPSNSLQPRSAGERQHDDAIEREDRPQLLPVTSRIYCTFSDC LQCTHGFNDSYLRSYRPNGLKVLRCFPHCCPTHMLNSTCGTSIVMQVAGQFSHDEAMS FVAFARFETTSDPPSFPLGYRLPAANFADSDSKASVWYPGGRSTNEPSTVLMRYTFNE HMQRPWSYGWTSSASAALRNTLHVFKAYLFCSTGDFLQVVGTSQSRGFSIIPYKSAMA DKRVVVRAPSTAAAVSTTPAIAPCMSSVLFPCHFVPCACDKPMFNANYIRCNRSNGRK QLRCFPHCCPDHNLHCSCGGPITVAVHVNHPLDGQLVMYAHGERAGEAELALHEEISH DVILSNLHEPGHNDRGDWVPGILQQQQPSASASSQSSTSVYVVSAKSNIYIYKSTRIL HDSA H257_08672 MDRPSNSLQPRSAGERQHDDAIEREDRPQLLPVTSRIYCTFSDC LQCTHGFNDSYLRSYRPNGLKVLRCFPHCCPTHMLNSTCGTSIVMQVAGQFSHDEAMS FVAFARFETTSDPPSFPLGYRLPAANFADSDSKASVWYPGGRSTNEPSTVLMRYTFNE HMQRPWSYGWTSSASAALRNTLHVFKAYLFCSTGDFLQVVGTSQSRGFSIIPYKSAMA DKRVVVRAPSTAAAVSTTPAIAPCMSSVLFPCHFVPCACDKPMFNANYIRCNRSNGRK QLRCFPHCCPDHNLHCSCGGPITVAVHVNHPLDGQLVMYAHGERAGEAELALHEEISH DVILSNLHEPGHNDRGDWVPGILQQQQPSASASSQSSTSVYVVSAKSNIYIYKSTRIL HDSA H257_08672 MDRPSNSLQPRSAGERQHDDAIEREDRPQLLPVTSRIYCTFSDC LQCTHGFNDSYLRSYRPNGLKVLRCFPHCCPTHMLNSTCGTSIVMQVAGQFSHDEAMS FVAFARFETTSDPPSFPLGYRLPAANFADSDSKASVWYPGGRSTNEPSTVLMRYTFNE HMQRPWSYGWTSSASAALRNTLHVFKAYLFCSTGDFLQVVGTSQSRGFSIIPYKSAMA DKRVVVRAPSTAAAVSTTPAIAPCMSSVLFPCHFVPCACDKPMFNANYIRYKAINNLI FVMIKNPLCLYAGAIARTAENNSGVFPTAVPTITFTAAVGGPSPWPSMSTTRWTANWS CMPTGNERGKQSWRCTRKFPTT H257_08672 MDRPSNSLQPRSAGERQHDDAIEREDRPQLLPVTSRIYCTFSDC LQCTHGFNDSYLRSYRPNGLKVLRCFPHCCPTHMLNSTCGTSIVMQVAGQFSHDEAMS FVAFARFETTSDPPSFPLGYRLPAANFADSDSKASVWYPGGRSTNEPSTVLMRYTFNE HMQRPWSYGWTSSASAALRNTLHVFKAYLFCSTGDFLQVVGTSQSRGFSIIPYKSAMA DKRVVVRAPSTAAAVSTTPAIAPCMSSVLFPCHFVPCACDKPMFNANYIRYKAINNLI FVMIKNPLCLYAGAIARTAENNSGVFPTAVPTITFTAAVGGPSPWPSMSTTRWTANWS CMPTGNERGKQSWRCTRKFPTT H257_08672 MEDGLMMALRRVFRCFPHCCPTHMLNSTCGTSIVMQVAGQFSHD EAMSFVAFARFETTSDPPSFPLGYRLPAANFADSDSKASVWYPGGRSTNEPSTVLMRY TFNEHMQRPWSYGWTSSASAALRNTLHVFKAYLFCSTGDFLQVVGTSQSRGFSIIPYK SAMADKRVVVRAPSTAAAVSTTPAIAPCMSSVLFPCHFVPCACDKPMFNANYIRYKAI NNLIFVMIKNPLCLYAGAIARTAEKCFPHCCPDHNLHCSCGGPITVAVHVNHPLDGQL VMYAHGERAGEAELALHEEISHDVILSNLHEPGHNDRGDWVPGILQQQQPSASASSQS STSTRVLYTFNELSTQLGWPYHWKGSATKADRNQQHVFKVIQTDLLTSYVILYFTQAY VFQLLPDNQTLRVVNWISSTPFTMSSFRRCNTTPTSRTNKYIQVIPTEISDVRKPTVS RKRSHHDHVDSPTTLLNEINSAG H257_08672 MEDGLMMALRRVFRCFPHCCPTHMLNSTCGTSIVMQVAGQFSHD EAMSFVAFARFETTSDPPSFPLGYRLPAANFADSDSKASVWYPGGRSTNEPSTVLMRY TFNEHMQRPWSYGWTSSASAALRNTLHVFKAYLFCSTGDFLQVVGTSQSRGFSIIPYK SAMADKRVVVRAPSTAAAVSTTPAIAPCMSSVLFPCHFVPCACDKPMFNANYIRYKAI NNLIFVMIKNPLCLYAGAIARTAEKCFPHCCPDHNLHCSCGGPITVAVHVNHPLDGQL VMYAHGERAGEAELALHEEISHDVILSNLHEPGHNDRGDWVPGILQQQQPSASASSQS STSTRVLYTFNELSTQLGWPYHWKGSATKADRNQQHVFKAYVFQLLPDNQTLRVVNWI SSTPFTMSSFRRCNTTPTSRTNKYIQVIPTEISDVRKPTVSRKRSHHDHVDSPTTLLN EINSAG H257_08672 MEDGLMMALRRVFRCFPHCCPTHMLNSTCGTSIVMQVAGQFSHD EAMSFVAFARFETTSDPPSFPLGYRLPAANFADSDSKASVWYPGGRSTNEPSTVLMRY TFNEHMQRPWSYGWTSSASAALRNTLHVFKAYLFCSTGDFLQVVGTSQSRGFSIIPYK SAMADKRVVVRAPSTAAAVSTTPAIAPCMSSVLFPCHFVPCACDKPMFNANYIRCNRS NGRKQLRCFPHCCPDHNLHCSCGGPITVAVHVNHPLDGQLVMYAHGERAGEAELALHE EISHDVILSNLHEPGHNDRGDWVPGILQQQQPSASASSQSSTSTRVLYTFNELSTQLG WPYHWKGSATKADRNQQHVFKVIQTDLLTSYVILYFTQAYVFQLLPDNQTLRVVNWIS STPFTMSSFRRCNTTPTSRTNKYIQVIPTEISDVRKPTVSRKRSHHDHVDSPTTLLNE INSAG H257_08672 MEDGLMMALRRVFRCFPHCCPTHMLNSTCGTSIVMQVAGQFSHD EAMSFVAFARFETTSDPPSFPLGYRLPAANFADSDSKASVWYPGGRSTNEPSTVLMRY TFNEHMQRPWSYGWTSSASAALRNTLHVFKAYLFCSTGDFLQVVGTSQSRGFSIIPYK SAMADKRVVVRAPSTAAAVSTTPAIAPCMSSVLFPCHFVPCACDKPMFNANYIRCNRS NGRKQLRCFPHCCPDHNLHCSCGGPITVAVHVNHPLDGQLVMYAHGERAGEAELALHE EISHDVILSNLHEPGHNDRGDWVPGILQQQQPSASASSQSSTSTRVLYTFNELSTQLG WPYHWKGSATKADRNQQHVFKVIQTDLLTSYVILYFTQAYVFQLLPDNQTLRVVNWIS STPFTMSSFRRCNTTPTSRTNKYIQVIPTEISDVRKPTVSRKRSHHDHVDSPTTLLNE INSAG H257_08672 MEDGLMMALRRVFRCFPHCCPTHMLNSTCGTSIVMQVAGQFSHD EAMSFVAFARFETTSDPPSFPLGYRLPAANFADSDSKASVWYPGGRSTNEPSTVLMRY TFNEHMQRPWSYGWTSSASAALRNTLHVFKAYLFCSTGDFLQVVGTSQSRGFSIIPYK SAMADKRVVVRAPSTAAAVSTTPAIAPCMSSVLFPCHFVPCACDKPMFNANYIRCNRS NGRKQLRCFPHCCPDHNLHCSCGGPITVAVHVNHPLDGQLVMYAHGERAGEAELALHE EISHDVILSNLHEPGHNDRGDWVPGILQQQQPSASASSQSSTSTRVLYTFNELSTQLG WPYHWKGSATKADRNQQHVFKAYVFQLLPDNQTLRVVNWISSTPFTMSSFRRCNTTPT SRTNKYIQVIPTEISDVRKPTVSRKRSHHDHVDSPTTLLNEINSAG H257_08672 MEDGLMMALRRVFRCFPHCCPTHMLNSTCGTSIVMQVAGQFSHD EAMSFVAFARFETTSDPPSFPLGYRLPAANFADSDSKASVWYPGGRSTNEPSTVLMRY TFNEHMQRPWSYGWTSSASAALRNTLHVFKAYLFCSTGDFLQVVGTSQSRGFSIIPYK SAMADKRVVVRAPSTAAAVSTTPAIAPCMSSVLFPCHFVPCACDKPMFNANYIRCNRS NGRKQLRCFPHCCPDHNLHCSCGGPITVAVHVNHPLDGQLVMYAHGERAGEAELALHE EISHDVILSNLHEPGHNDRGDWVPGILQQQQPSASASSQSSTSTRVLYTFNELSTQLG WPYHWKGSATKADRNQQHVFKAYVFQLLPDNQTLRVVNWISSTPFTMSSFRRCNTTPT SRTNKYIQVIPTEISDVRKPTVSRKRSHHDHVDSPTTLLNEINSAG H257_08672 MEDGLMMALRRVFRCFPHCCPTHMLNSTCGTSIVMQVAGQFSHD EAMSFVAFARFETTSDPPSFPLGYRLPAANFADSDSKASVWYPGGRSTNEPSTVLMRY TFNEHMQRPWSYGWTSSASAALRNTLHVFKAYLFCSTGDFLQVVGTSQSRGFSIIPYK SAMADKRVVVRAPSTAAAVSTTPAIAPCMSSVLFPCHFVPCACDKPMFNANYIRCNRS NGRKQLRCFPHCCPDHNLHCSCGGPITVAVHVNHPLDGQLVMYAHGERAGEAELALHE EISHDVILSNLHEPGHNDRGDWVPGILQQQQPSASASSQSSTSTRVLYTFNELSTQLG WPYHWKGSATKADRNQQHVFKAYVFQLLPDNQTLRVVNWISSTPFTMSSFRRCNTTPT SRTNKYIQVIPTEISDVRKPTVSRKRSHHDHVDSPTTLLNEINSAG H257_08672 MEDGLMMALRRVFRCFPHCCPTHMLNSTCGTSIVMQVAGQFSHD EAMSFVAFARFETTSDPPSFPLGYRLPAANFADSDSKASVWYPGGRSTNEPSTVLMRY TFNEHMQRPWSYGWTSSASAALRNTLHVFKAYLFCSTGDFLQVVGTSQSRGFSIIPYK SAMADKRVVVRAPSTAAAVSTTPAIAPCMSSVLFPCHFVPCACDKPMFNANYIRYKAI NNLIFVMIKNPLCLYAGAIARTAEKCFPHCCPDHNLHCSCGGPITVAVHVNHPLDGQL VMYAHGERAGEAELALHEEISHDVILSNLHEPGHNDRGDWVPGILQQQQPSASASSQS STSVYVVSAKSNIYIYKSTRILHDSA H257_08672 MLNSTCGTSIVMQVAGQFSHDEAMSFVAFARFETTSDPPSFPLG YRLPAANFADSDSKASVWYPGGRSTNEPSTVLMRYTFNEHMQRPWSYGWTSSASAALR NTLHVFKAYLFCSTGDFLQVVGTSQSRGFSIIPYKSAMADKRVVVRAPSTAAAVSTTP AIAPCMSSVLFPCHFVPCACDKPMFNANYIRCNRSNGRKQLRCFPHCCPDHNLHCSCG GPITVAVHVNHPLDGQLVMYAHGERAGEAELALHEEISHDVILSNLHEPGHNDRGDWV PGILQQQQPSASASSQSSTSTRVLYTFNELSTQLGWPYHWKGSATKADRNQQHVFKAY VFQLLPDNQTLRVVNWISSTPFTMSSFRRCNTTPTSRTNKYIQVIPTEISDVRKPTVS RKRSHHDHVDSPTTLLNEINSAG H257_08672 MRYTFNEHMQRPWSYGWTSSASAALRNTLHVFKAYLFCSTGDFL QVVGTSQSRGFSIIPYKSAMADKRVVVRAPSTAAAVSTTPAIAPCMSSVLFPCHFVPC ACDKPMFNANYIRYKAINNLIFVMIKNPLCLYAGAIARTAEKCFPHCCPDHNLHCSCG GPITVAVHVNHPLDGQLVMYAHGERAGEAELALHEEISHDVILSNLHEPGHNDRGDWV PGILQQQQPSASASSQSSTSTRVLYTFNELSTQLGWPYHWKGSATKADRNQQHVFKAY VFQLLPDNQTLRVVNWISSTPFTMSSFRRCNTTPTSRTNKYIQVIPTEISDVRKPTVS RKRSHHDHVDSPTTLLNEINSAG H257_08673 MMLGDPFDMAPLRNRVFCTFMDCATCGQFFRDAYTRNYKPNGVK VLRCFPHCCPDHVPHSSCGTSVVMQVAGQYSAAEAHSFVAFGRFETCSEPTFSLGHQL HLDDMQVSCSATNSLAMWFPSDSPSDENPRTFTFKEKQHTPWHYGWTSGASAALRNTQ HVFKGYLFHVMAESPNVLQLVGIAQSPGFIIVPYKPLGHEAVDTVPPDSISVDIIDVA PASSAFDCHFVSCQCEKPMFGDNYIRCNRANGRKQLRCFPHCCPEHILHCSCGGPIMC GVNLSLAPPLARPAKELVMYAHGERFNMPELHLHDELPHDLILSRLHQPGNERGDWVK GVVQPGISTPTTLLFNFNQNSRQAGWPYNWKGSATKVDRNRKHVFKAYVFQVLRGGHT LRVVNWVSSTPFTMSSFRRCNTTPTSRTNSYIEVKPTEITDLVAPVPRKRSLDRIESP PSVLHDLHSAG H257_08673 MMLGDPFDMAPLRNRVFCTFMDCATCGQFFRDAYTRNYKPNGVK VLRCFPHCCPDHVPHSSCGTSVVMQVAGQYSAAEAHSFVAFGRFETCSEPTFSLGHQL HLDDMQVSCSATNSLAMWFPSDSPSDENPRTFTFKEKQHTPWHYGWTSGASAALRNTQ HVFKGYLFHVMAESPNVLQLVGIAQSPGFIIVPYKPLGHGTYLPLPSRTRHSMVHAEA VDTVPPDSISVDIIDVAPASSAFDCHFVSCQCEKPMFGDNYIRCNRANGRKQLRCFPH CCPEHILHCSCGGPIMCGVNLSLAPPLARPAKELVMYAHGERFNMPELHLHDELPHDL ILSRLHQPGNERGDWVKGVVQPGISTPTTLLFNFNQNSRQAGWPYNWKGSATKVDRNR KHVFKAYVFQVLRGGHTLRVVNWVSSTPFTMSSFRRCNTTPTSRTNSYIEVKPTEITD LVAPVPRKRSLDRIESPPSVLHDLHSAG H257_08673 MDRCFPHCCPDHVPHSSCGTSVVMQVAGQYSAAEAHSFVAFGRF ETCSEPTFSLGHQLHLDDMQVSCSATNSLAMWFPSDSPSDENPRTFTFKEKQHTPWHY GWTSGASAALRNTQHVFKGYLFHVMAESPNVLQLVGIAQSPGFIIVPYKPLGHEAVDT VPPDSISVDIIDVAPASSAFDCHFVSCQCEKPMFGDNYIRCNRANGRKQLRCFPHCCP EHILHCSCGGPIMCGVNLSLAPPLARPAKELVMYAHGERFNMPELHLHDELPHDLILS RLHQPGNERGDWVKGVVQPGISTPTTLLFNFNQNSRQAGWPYNWKGSATKVDRNRKHV FKAYVFQVLRGGHTLRVVNWVSSTPFTMSSFRRCNTTPTSRTNSYIEVKPTEITDLVA PVPRKRSLDRIESPPSVLHDLHSAG H257_08673 MDRCFPHCCPDHVPHSSCGTSVVMQVAGQYSAAEAHSFVAFGRF ETCSEPTFSLGHQLHLDDMQVSCSATNSLAMWFPSDSPSDENPRTFTFKEKQHTPWHY GWTSGASAALRNTQHVFKGYLFHVMAESPNVLQLVGIAQSPGFIIVPYKPLGHEAVDT VPPDSISVDIIDVAPASSAFDCHFVSCQCEKPMFGDNYIRCNRANGRKQLRCFPHCCP EHILHCSCGGPIMCGVNLSLAPPLARPAKELVMYAHGERFNMPELHLHDELPHDLILS RLHQPGNERGDWVKGVVQPGISTPTTLLFNFNQNSRQAGWPYNWKGSATKVDRNRKHV FKAYVFQVLRGGHTLRVVNWVSSTPFTMSSFRRCNTTPTSRTNSYIEVKPTEITDLVA PVPRKRSLDRIESPPSVLHDLHSAG H257_08673 MDRCFPHCCPDHVPHSSCGTSVVMQVAGQYSAAEAHSFVAFGRF ETCSEPTFSLGHQLHLDDMQVSCSATNSLAMWFPSDSPSDENPRTFTFKEKQHTPWHY GWTSGASAALRNTQHVFKGYLFHVMAESPNVLQLVGIAQSPGFIIVPYKPLGHGTYLP LPSRTRHSMVHAEAVDTVPPDSISVDIIDVAPASSAFDCHFVSCQCEKPMFGDNYIRC NRANGRKQLRCFPHCCPEHILHCSCGGPIMCGVNLSLAPPLARPAKELVMYAHGERFN MPELHLHDELPHDLILSRLHQPGNERGDWVKGVVQPGISTPTTLLFNFNQNSRQAGWP YNWKGSATKVDRNRKHVFKAYVFQVLRGGHTLRVVNWVSSTPFTMSSFRRCNTTPTSR TNSYIEVKPTEITDLVAPVPRKRSLDRIESPPSVLHDLHSAG H257_08673 MDRCFPHCCPDHVPHSSCGTSVVMQVAGQYSAAEAHSFVAFGRF ETCSEPTFSLGHQLHLDDMQVSCSATNSLAMWFPSDSPSDENPRTFTFKEKQHTPWHY GWTSGASAALRNTQHVFKGYLFHVMAESPNVLQLVGIAQSPGFIIVPYKPLGHGTYLP LPSRTRHSMVHAEAVDTVPPDSISVDIIDVAPASSAFDCHFVSCQCEKPMFGDNYIRC NRANGRKQLRCFPHCCPEHILHCSCGGPIMCGVNLSLAPPLARPAKELVMYAHGERFN MPELHLHDELPHDLILSRLHQPGNERGDWVKGVVQPGISTPTTLLFNFNQNSRQAGWP YNWKGSATKVDRNRKHVFKAYVFQVLRGGHTLRVVNWVSSTPFTMSSFRRCNTTPTSR TNSYIEVKPTEITDLVAPVPRKRSLDRIESPPSVLHDLHSAG H257_08673 MMLGDPFDMAPLRNRVFCTFMDCATCGQFFRDAYTRNYKPNGVK VLRCFPHCCPDHVPHSSCGTSVVMQVAGQYSAAEAHSFVAFGRFETCSEPTFSLGHQL HLDDMQVSCSATNSLAMWFPSDSPSDENPRTFTFKEKQHTPWHYGWTSGASAALRNTQ HVFKGYLFHVMAESPNVLQLVGIAQSPGFIIVPYKPLGHEAVDTVPPDSISVDIIDVA PASSAFDCHFVSCQCEKPMFGDNYIRCNRANGRKQLRCFPHCCPEHILHCSCGGPIMC GVNLSLAPPLARPAKELVMYAHGERFNMPELHLHDELPHDLILSRLHQPGNERGDWVK GVVQPGISTPVRPLPSPSLATQAHVVIMFGCRRRCCSTSTKTRGKRDGRTIGRAARPR WTATVNTCSRHMCFKCCGAATRCAWSTGSRPRRSPCRRFDGATRRRLLEPTRTLK H257_08673 MMLGDPFDMAPLRNRVFCTFMDCATCGQFFRDAYTRNYKPNGVK VLRCFPHCCPDHVPHSSCGTSVVMQVAGQYSAAEAHSFVAFGRFETCSEPTFSLGHQL HLDDMQVSCSATNSLAMWFPSDSPSDENPRTFTFKEKQHTPWHYGWTSGASAALRNTQ HVFKGYLFHVMAESPNVLQLVGIAQSPGFIIVPYKPLGHGTYLPLPSRTRHSMVHAEA VDTVPPDSISVDIIDVAPASSAFDCHFVSCQCEKPMFGDNYIRCNRANGRKQLRCFPH CCPEHILHCSCGGPIMCGVNLSLAPPLARPAKELVMYAHGERFNMPELHLHDELPHDL ILSRLHQPGNERGDWVKGVVQPGISTPVRPLPSPSLATQAHVVIMFGCRRRCCSTSTK TRGKRDGRTIGRAARPRWTATVNTCSRHMCFKCCGAATRCAWSTGSRPRRSPCRRFDG ATRRRLLEPTRTLK H257_08673 MDRCFPHCCPDHVPHSSCGTSVVMQVAGQYSAAEAHSFVAFGRF ETCSEPTFSLGHQLHLDDMQVSCSATNSLAMWFPSDSPSDENPRTFTFKEKQHTPWHY GWTSGASAALRNTQHVFKGYLFHVMAESPNVLQLVGIAQSPGFIIVPYKPLGHEAVDT VPPDSISVDIIDVAPASSAFDCHFVSCQCEKPMFGDNYIRCNRANGRKQLRCFPHCCP EHILHCSCGGPIMCGVNLSLAPPLARPAKELVMYAHGERFNMPELHLHDELPHDLILS RLHQPGNERGDWVKGVVQPGISTPVRPLPSPSLATQAHVVIMFGCRRRCCSTSTKTRG KRDGRTIGRAARPRWTATVNTCSRHMCFKCCGAATRCAWSTGSRPRRSPCRRFDGATR RRLLEPTRTLK H257_08673 MDRCFPHCCPDHVPHSSCGTSVVMQVAGQYSAAEAHSFVAFGRF ETCSEPTFSLGHQLHLDDMQVSCSATNSLAMWFPSDSPSDENPRTFTFKEKQHTPWHY GWTSGASAALRNTQHVFKGYLFHVMAESPNVLQLVGIAQSPGFIIVPYKPLGHEAVDT VPPDSISVDIIDVAPASSAFDCHFVSCQCEKPMFGDNYIRCNRANGRKQLRCFPHCCP EHILHCSCGGPIMCGVNLSLAPPLARPAKELVMYAHGERFNMPELHLHDELPHDLILS RLHQPGNERGDWVKGVVQPGISTPVRPLPSPSLATQAHVVIMFGCRRRCCSTSTKTRG KRDGRTIGRAARPRWTATVNTCSRHMCFKCCGAATRCAWSTGSRPRRSPCRRFDGATR RRLLEPTRTLK H257_08673 MDRCFPHCCPDHVPHSSCGTSVVMQVAGQYSAAEAHSFVAFGRF ETCSEPTFSLGHQLHLDDMQVSCSATNSLAMWFPSDSPSDENPRTFTFKEKQHTPWHY GWTSGASAALRNTQHVFKGYLFHVMAESPNVLQLVGIAQSPGFIIVPYKPLGHGTYLP LPSRTRHSMVHAEAVDTVPPDSISVDIIDVAPASSAFDCHFVSCQCEKPMFGDNYIRC NRANGRKQLRCFPHCCPEHILHCSCGGPIMCGVNLSLAPPLARPAKELVMYAHGERFN MPELHLHDELPHDLILSRLHQPGNERGDWVKGVVQPGISTPVRPLPSPSLATQAHVVI MFGCRRRCCSTSTKTRGKRDGRTIGRAARPRWTATVNTCSRHMCFKCCGAATRCAWST GSRPRRSPCRRFDGATRRRLLEPTRTLK H257_08673 MDRCFPHCCPDHVPHSSCGTSVVMQVAGQYSAAEAHSFVAFGRF ETCSEPTFSLGHQLHLDDMQVSCSATNSLAMWFPSDSPSDENPRTFTFKEKQHTPWHY GWTSGASAALRNTQHVFKGYLFHVMAESPNVLQLVGIAQSPGFIIVPYKPLGHGTYLP LPSRTRHSMVHAEAVDTVPPDSISVDIIDVAPASSAFDCHFVSCQCEKPMFGDNYIRC NRANGRKQLRCFPHCCPEHILHCSCGGPIMCGVNLSLAPPLARPAKELVMYAHGERFN MPELHLHDELPHDLILSRLHQPGNERGDWVKGVVQPGISTPVRPLPSPSLATQAHVVI MFGCRRRCCSTSTKTRGKRDGRTIGRAARPRWTATVNTCSRHMCFKCCGAATRCAWST GSRPRRSPCRRFDGATRRRLLEPTRTLK H257_08673 MMLGDPFDMAPLRNRVFCTFMDCATCGQFFRDAYTRNYKPNGVK VLRCFPHCCPDHVPHSSCGTSVVMQVAGQYSAAEAHSFVAFGRFETCSEPTFSLGHQL HLDDMQVSCSATNSLAMWFPSDSPSDENPRTFTFKEKQHTPWHYGWTSGASAALRNTQ HVFKGYLFHVMAESPNVLQLVGIAQSPGFIIVPYKPLGHEAVDTVPPDSISVDIIDVA PASSAFDCHFVSCQCEKPMFGDNYIRCNRANGRKQLRCFPHCCPEHILHCSCGGPIMC GVNLSLAPPLARPAKELVMYAHGERFNMPELHLHDELPHDLILSRLHQPGNERGDWVK GVVQPGISTPTTLLFNFNQNSRQAGWPYNWKGSATKVDRNRKHVFKVM H257_08673 MMLGDPFDMAPLRNRVFCTFMDCATCGQFFRDAYTRNYKPNGVK VLRCFPHCCPDHVPHSSCGTSVVMQVAGQYSAAEAHSFVAFGRFETCSEPTFSLGHQL HLDDMQVSCSATNSLAMWFPSDSPSDENPRTFTFKEKQHTPWHYGWTSGASAALRNTQ HVFKGYLFHVMAESPNVLQLVGIAQSPGFIIVPYKPLGHGTYLPLPSRTRHSMVHAEA VDTVPPDSISVDIIDVAPASSAFDCHFVSCQCEKPMFGDNYIRCNRANGRKQLRCFPH CCPEHILHCSCGGPIMCGVNLSLAPPLARPAKELVMYAHGERFNMPELHLHDELPHDL ILSRLHQPGNERGDWVKGVVQPGISTPTTLLFNFNQNSRQAGWPYNWKGSATKVDRNR KHVFKVM H257_08673 MDRCFPHCCPDHVPHSSCGTSVVMQVAGQYSAAEAHSFVAFGRF ETCSEPTFSLGHQLHLDDMQVSCSATNSLAMWFPSDSPSDENPRTFTFKEKQHTPWHY GWTSGASAALRNTQHVFKGYLFHVMAESPNVLQLVGIAQSPGFIIVPYKPLGHEAVDT VPPDSISVDIIDVAPASSAFDCHFVSCQCEKPMFGDNYIRCNRANGRKQLRCFPHCCP EHILHCSCGGPIMCGVNLSLAPPLARPAKELVMYAHGERFNMPELHLHDELPHDLILS RLHQPGNERGDWVKGVVQPGISTPTTLLFNFNQNSRQAGWPYNWKGSATKVDRNRKHV FKVM H257_08673 MDRCFPHCCPDHVPHSSCGTSVVMQVAGQYSAAEAHSFVAFGRF ETCSEPTFSLGHQLHLDDMQVSCSATNSLAMWFPSDSPSDENPRTFTFKEKQHTPWHY GWTSGASAALRNTQHVFKGYLFHVMAESPNVLQLVGIAQSPGFIIVPYKPLGHEAVDT VPPDSISVDIIDVAPASSAFDCHFVSCQCEKPMFGDNYIRCNRANGRKQLRCFPHCCP EHILHCSCGGPIMCGVNLSLAPPLARPAKELVMYAHGERFNMPELHLHDELPHDLILS RLHQPGNERGDWVKGVVQPGISTPTTLLFNFNQNSRQAGWPYNWKGSATKVDRNRKHV FKVM H257_08673 MDRCFPHCCPDHVPHSSCGTSVVMQVAGQYSAAEAHSFVAFGRF ETCSEPTFSLGHQLHLDDMQVSCSATNSLAMWFPSDSPSDENPRTFTFKEKQHTPWHY GWTSGASAALRNTQHVFKGYLFHVMAESPNVLQLVGIAQSPGFIIVPYKPLGHGTYLP LPSRTRHSMVHAEAVDTVPPDSISVDIIDVAPASSAFDCHFVSCQCEKPMFGDNYIRC NRANGRKQLRCFPHCCPEHILHCSCGGPIMCGVNLSLAPPLARPAKELVMYAHGERFN MPELHLHDELPHDLILSRLHQPGNERGDWVKGVVQPGISTPTTLLFNFNQNSRQAGWP YNWKGSATKVDRNRKHVFKVM H257_08673 MDRCFPHCCPDHVPHSSCGTSVVMQVAGQYSAAEAHSFVAFGRF ETCSEPTFSLGHQLHLDDMQVSCSATNSLAMWFPSDSPSDENPRTFTFKEKQHTPWHY GWTSGASAALRNTQHVFKGYLFHVMAESPNVLQLVGIAQSPGFIIVPYKPLGHGTYLP LPSRTRHSMVHAEAVDTVPPDSISVDIIDVAPASSAFDCHFVSCQCEKPMFGDNYIRC NRANGRKQLRCFPHCCPEHILHCSCGGPIMCGVNLSLAPPLARPAKELVMYAHGERFN MPELHLHDELPHDLILSRLHQPGNERGDWVKGVVQPGISTPTTLLFNFNQNSRQAGWP YNWKGSATKVDRNRKHVFKVM H257_08674 MTTTNTTQVIFPPFERPQILPSILSHVGDTPLVRINKISAKAGL KCELLAKCEFFNAGGSVKDRIGRQMVLDAEASGRIKPGDTLIEPTSGNTGIGLALAAA LRGYRCIITLPEKMSAEKVNVLKALGAEIIRTPTEAAWDSPESHIGVARRLQQEIKNA HILDQYTNPSNPKAHYEGTAEELLYQCDGRIDMIVMSAGTGGTISGIARKLKEKLPNI IVVGVDPVGSILAQPEALNDHNRLCGYKVEGIGYDFIPEVLDRSLVDQWIKTEDQESF VMARRLIREEGLLVGGSCGATVAAALRAAQTLQEGQRCVVLLADSTRNYMTKFLNDQW MFDNEFVDDSLHQSTNYTKFKKSMTTWWSTQPVAALHLPAAFTILPTLSCKAAAELMD AKGYDQFPVVDVSGTLHGVVTNGQILSKLASGRLAAKDPVARGMFQQFARVTSTTALG ELAELFDKEFFAVVQGDNGALHIATRIDLLNFITTNEQQ H257_08674 MTTTNTTQVIFPPFERPQILPSILSHVGDTPLVRINKISAKAGL KCELLAKCEFFNAGGSVKDRIGRQMVLDAEASGRIKPGDTLIEPTSGNTGIGLALAAA LRGYRCIITLPEKMSAEKVNVLKALGAEIIRTPTEAAWDSPESHIGVARRLQQEIKNA HILDQYTNPSNPKAHYEGTAEELLYQCDGRIDMIVMSAGTGGTISGIARKLKEKLPNI IVVGVDPVGSILAQPEALNDHNRLCGYKVEGIGYDFIPEVLDRSLVDQWIKTEDQESF VMARRLIREEGLLVGGSCGATVAAALRAAQTLQEGQRCVVLLADSTRNYMTKFLNDQW MFDNEFVDDSLHQSTNYTKFKKSMTTWWSTQPVAALHLPAAFTILPTLSCKAAAELMD AKGYVRTYHVIFLSCLRRTCDHVIFLMVT H257_08675 MRLVTSGTHHLFDSYGSSSDETARRAALHCVAEARMKRKNPFVS GHDEQKKQVVRMSIDEEKAEPEDTLMHDVTKDPGAPDNEDDLIEVVVLRQEDLTPPTD QFTWNPSLATVPWSEQYAALEHFRQTIKFHASSIQSAFPDTESCLSGLVVPSAEHLRS ALTRSGLYCIAEYVQCVQESATIYLGVLVPVLLRRSINEKKFIRDAAHEALDHIVTYC SPQDLVQLLTSFSNDKNPHLVATAGKFIEKSLRRCHDNTTPNQFSTWQPHVAAWLPSL AQFLACRVVACKNDTKRTFALLRDMLGAADMTQLATNHLVGSALVDVLRLVKAAPAKK TQGKQPRGLSLKERMLLQQQKANPSAPKQPHVVVEQPDGAA H257_08676 MRGLWWGAVLMLTAMTSQTGPAYMPFSMNLATSPRSVQVHLLMS LSASLGDLNSEASNITTALEDFLARAGSANTNVTVVDANVIGVSELSFQSKGRLEVTL DVALTNVAPRNQIVQAWHNSVTWSGVKTNISSLPLRFNLFAAVFPWQLLWDSSFASIP RVPVAPYVALYLVLAQDFATSSWLRIRVKHAIAFVLDVSPAAVHVSTSYHPPPMIYSQ PTTIVQVVVELMSSELSYRDRIVDNLLAQGLALHQAILSLSNLTLLTYSVERDADENT APASPTSHLSLAVQPLFYRTPVYFPTTSTTDGSSTPTVYLRSFARQTPYSLQTIYQDI EILVDALNAATTNQPTSSPPVWQFNRLVFLPSAEPSPPPDNTTDLPGASLVIDMVVNA ANDPISTLLEQTQSVVALATAWTPGGVNVVEPVPVTLWTSHLRFACDGPLTHWTAQVR VAFAVLFNVSYDNVQCVAESTTDHTTLWELQVRDPWHRADLLTRFDALELWHDSFLPF VDAPPPNCTVLEGADGVYSALPTQYPSSAAPWKGVAEESSPVCLVRQLVPLQRSTSVD SYGNPPSGPCVTKLCVELSLMSTGSPPLVLDLVEMTHNVQFVNVNATALLLDQFATWT LQTTEEVLDVTLYFRVATTQPHHGPTSTNDVVLAVQLHVLQTADVREVSVTPVVGGPI HMTTTKSYQDTGGLSPLVVNVQLPHVDPPTYAILPNPECDYLVQQCQGSAGCVDHYNC MPYLVFSQLLLDDESTASAGTKSDLTETVLACFDDSYDATWQVHAAAIQCALSRPHYL HHTSTLMTTFQQPTKPRQRWQLIALATLTLTMTLQDSSVVLVIDQTSDESALATLVYD LVMPVASAVSVRIHPTLLTNNNNDFPQLGWEVVVEYSNYIGALPQVDYEASSGTASFD QITPQLVLAVVSSPYLLASSTSPPPPSPSPLAIVGAIDRCAACHDLTLKCLQSEACAA VATCVGSAYPTVFLSFPIGYVDTVPAATLATCQGRAPSVTAWRQFITATVCFVQSSCP SSTDAVDRMASLTITPASHTLRLPRRVDTARLVFRMDDGTVVASFTWTTYWRPNDLVM SLQSQLANVTTATTIDVAHAVSLDGDNDITITYTNHIGQLPHLVVLTSRQSLDRPIEA TQATLVKTVQPLDLSQYKVAPSPCPCGSFSTAFYAEMVSSATSLGLSQPFVDISSVLE AAAPSDAAVTSCFAALSCPLTPPQPHTAVLFTLTPTSVTLLVYPTTDEADFYAADFTF GPVPAVLSVSPNSTGSSLLASLLAQDSATSPGVTIDQTCDNARVCRVVVLLPHLAGPV PTVDVQATTNANINYFVSYPPPVDGSTTPAGRRHQRLELQLF H257_08676 MVVNAANDPISTLLEQTQSVVALATAWTPGGVNVVEPVPVTLWT SHLRFACDGPLTHWTAQVRVAFAVLFNVSYDNVQCVAESTTDHTTLWELQVRDPWHRA DLLTRFDALELWHDSFLPFVDAPPPNCTVLEGADGVYSALPTQYPSSAAPWKGVAEES SPVCLVRQLVPLQRSTSVDSYGNPPSGPCVTKLCVELSLMSTGSPPLVLDLVEMTHNV QFVNVNATALLLDQFATWTLQTTEEVLDVTLYFRVATTQPHHGPTSTNDVVLAVQLHV LQTADVREVSVTPVVGGPIHMTTTKSYQDTGGLSPLVVNVQLPHVDPPTYAILPNPEC DYLVQQCQGSAGCVDHYNCMPYLVFSQLLLDDESTASAGTKSDLTETVLACFDDSYDA TWQVHAAAIQCALSRPHYLHHTSTLMTTFQQPTKPRQRWQLIALATLTLTMTLQDSSV VLVIDQTSDESALATLVYDLVMPVASAVSVRIHPTLLTNNNNDFPQLGWEVVVEYSNY IGALPQVDYEASSGTASFDQITPQLVLAVVSSPYLLASSTSPPPPSPSPLAIVGAIDR CAACHDLTLKCLQSEACAAVATCVGSAYPTVFLSFPIGYVDTVPAATLATCQGRAPSV TAWRQFITATVCFVQSSCPSSTDAVDRMASLTITPASHTLRLPRRVDTARLVFRMDDG TVVASFTWTTYWRPNDLVMSLQSQLANVTTATTIDVAHAVSLDGDNDITITYTNHIGQ LPHLVVLTSRQSLDRPIEATQATLVKTVQPLDLSQYKVAPSPCPCGSFSTAFYAEMVS SATSLGLSQPFVDISSVLEAAAPSDAAVTSCFAALSCPLTPPQPHTAVLFTLTPTSVT LLVYPTTDEADFYAADFTFGPVPAVLSVSPNSTGSSLLASLLAQDSATSPGVTIDQTC DNARVCRVVVLLPHLAGPVPTVDVQATTNANINYFVSYPPPVDGSTTPAGRRHQRLEL QLF H257_08677 MSEDVPESQPSPVPSTTFMTPFDLTREEEADLHDSARSKASALL AATSNPPGPEWTRTKSLGPSILESTSPTQASVRATALIRGSPELVLQVLDSAVVSSYR NAMRLVYQHAFADTAVLYHHQMNSHESLAVQWTAFRCHNPLLTDIDMCCVEYTNIPLF PPTSPKQSRRSTNQEDRDPNDDECLGQTCIGYKLCESITRKQCPSLQDSHCLERVSSP LWGYALYPSNNGIQVVFTMSLSHDSSSPRRLANRRLLLFLAAALPRLQQAVDVVQHQQ HQYPPHTSTNTYDNNALEASSSSITCGLCARLFSHRRRRHPCRACSQDVCHICSSFHD VAVPGVGLARVRVCTKCRPLPVETPAAPAIPPPRLRAVPTNQTTPISSTPTPTSAPAP PPIAIRSRMQLDLDMVHTPAPRASPGKWAPRSPLLPPRSAGMSKAGFHGFSVRHPPTS PVPSPGKPPHSTDSPQRKLSVPTTTTLLGLLEQATAAMQCKFAGLSMVKTPSDPVLQH FLHVQNSAKMLVVPSQMAICAPVLACDQSLVVGHTHLESTPQVDWLKLPIVMGPQKAT FYAGVPLRSSTGQSLGALCVFDSTARDVDAIPPEALKTLDVVADTIVALVEGKQRVGL DRRRSRVSDDCEGREEGQHESPASSPARKPSLQQRGGYLSPSMPLTNQDAVSV H257_08678 MFYFPFRLVPCTSIPSTDMLTSVVARYADSQLATYVRLHARVVT CVLALSGVTIVLSRTEPWKLCLLAVAGLILLPVMLQHSFMVHEQGKSSTDCVVEFNVE QLTKAKKDKQVQPVMMDQIVLTNNQSALPTLDPAYTVSFPLPCIPMNATDGRKGLMPK SVLQIREEMFAKAMGSLRSLSPRNQPVVHHLDLIKYAEEIDELESHVL H257_08679 MFTTHPRSMDAIKVAPLLWLGAATVVAAVVLHNVFSYTSVPKQA KGSHPALLEGASSDLDKHFAHASGYVSSKPSISNEEKLVLYAFFKQATAGNCAGDEPS MLDFVAKAKWDAWQALAGMPSVEAKKRYLEVVSSMFPGYAYDAPLPPPRDDVSDTESD LGGDMSLAPLMSQVVVDKTTKEWQVEENAFHFAKTGQVEAVQGLVANATDINQTDDEG RTMLHWAVDRNQVDVVATLLAQHANVNATDIDGMTPLHYAVTCEHVALVDLLLEHGAD PEQVDVDGESPFAAASTAFQAHITESLKRF H257_08680 MDVDDLLDSELQEEIEGFSVPPLVMAEGQETNEDKLMREALLDG ASRVSISTMLRRRSLVDVETDMLPPSPTVVKTLDFDDTASFDSESQYSEISCHTEDSF MASSPPPPSRLTKPNRVSSSSSSSSSRSKPVSSLPRAPSYAFGSSSSTTVPRTRPSSI PVPRESSLRARGTSTATSATSSSSSRTLSTPSSMVPTRASSVKSSKLPLKPSPSSAPI SRSSGDPKAPPRSSRSSTPAASPTYASGGKSGFGGSAASSDTGRPSRSNSVSSTKSAK AATTSSAIVSPPTLLRGKSMSQVSVAALFKVHFTTEAEIDDVLAMPPLRAIDSKGRID QMDKYIRKLKYRLRELKMQRDAFSLACQGIERDLTDEHAATARAYATAKQELLHVSSR GSDDVARCGDRRGSAGEPSGPVDPSPSCSLLHPSSSKQVPPDNEEEDQSVLNTLDSNM QEFVRNAMERSTSQMVAKYQALLAEKEHAQEAQLQTLREYYTHSMSSSTADAWATVTQ LQAQTKALETDKTSLGLEFTQLKIDHAALKEELQDFQERVRLQDEENTSAKSFWQKST DMIKENRRLTEEIVHLTSEVAKAHEVIAAKEAAITALQVSVHELEVQSKGMDDEKRVW SDRLTTVEAAWQEEKSRREHFQVAQHQMTQDNTAMYAQMMAMQTGCDARLEQMKAQYE HQRRTYVDEVKMLQMENRVMTRHTRPPAEVVEDKGELEKLSADFLEVRTQLATQMEYV AELERQVHDGNIQRRQMHNTIQELRGNVRVHVRLRPFLPSDGELTKLKASCWSVDDDH STISNGKHRFTFDKLFGQTNGQEHIFNEVSDFIQSAIDGYHVCIFAYGQTGSGKTFTM QGGRRPEMRGIIPRAMSLIMGCCSTLGEQGWSYSLEVTFMEIYNETIRDLLSPSDPSV KYSIRTDKQGKNYVENLRRFPISLSEGVDQVELIMETAACNRSVEKTDMNAESSRSHS IFTLHLHGRRTDDDDAADVDLLGSLSLVDLAGSERISRSGATGDRLKEAQAINKSLSA LADVFGAIAKKQSHVPYRNSKLTYVLQPALSGDGKTLMMVNLSPTDNSVDESLCSLRF AQQVNACELGAAQRNVKKETLKSPPETPPKPASQLPKQSALSAMQPRLSITPKPKLRP GRSSIEST H257_08680 MDVDDLLDSELQEEIEGFSVPPLVMAEGQETNEDKLMREALLDG ASRVSISTMLRRRSLVDVETDMLPPSPTVVKTLDFDDTASFDSESQYSEISCHTEDSF MASSPPPPSRLTKPNRVSSSSSSSSSRSKPVSSLPRAPSYAFGSSSSTTVPRTRPSSI PVPRESSLRARGTSTATSATSSSSSRTLSTPSSMVPTRASSVKSSKLPLKPSPSSAPI SRSSGDPKAPPRSSRSSTPAASPTYASGGKSGFGGSAASSDTGRPSRSNSVSSTKSAK AATTSSAIVSPPTLLRGKSMSQVSVAALFKVHFTTEAEIDDVLAMPPLRAIDSKGRID QMDKYIRKLKYRLRELKMQRDAFSLACQGIERDLTDEHAATARAYATAKQELLHVSSR GSDDVARCGDRRGSAGEPSGPVDPSPSCSLLHPSSSKQVPPDNEEEDQSVLNTLDSNM QEFVRNAMERSTSQMVAKYQALLAEKEHAQEAQLQTLREYYTHSMSSSTADAWATVTQ LQAQTKALETDKTSLGLEFTQLKIDHAALKEELQDFQERVRLQDEENTSAKSFWQKST DMIKENRRLTEEIVHLTSEVAKAHEVIAAKEAAITALQVSVHELEVQSKGMDDEKRVW SDRLTTVEAAWQEEKSRREHFQVAQHQMTQDNTAMYAQMMAMQTGCDARLEQMKAQYE HQRRTYVDEVKMLQMENRVMTRHTRPPAEVVEDKGELEKLSADFLEVRTQLATQMEYV AELERQVHDGNIQRRQMHNTIQELRGNVRVHVRLRPFLPSDGELTKLKASCWSVDDDH STISNGKHRFTFDKLFGQTNGQEHIFNEVSDFIQSAIDGYHVCIFAYGQTGSGKTFTM QGGRRPEMRGIIPRAMSLIMGCCSTLGEQGWSYSLEVTFMEIYNETIRDLLSPSDPSV KYSIRTDKQGKNYVENLRRFPISLSEGVDQVELIMETAACNRSVEKTDMNAESSRSHS IFTLHLHGRRTDDDDAADVDLLGSLSLVDLAGSERISRSGATGDRLKEAQAINKSLSA LADVFGAIAKKQSHVPYRNSKLTYVLQPALSGDGKTLMMVNLSPTDNSVDESLCSLRF AQQVNACELGAAQRNVKKETLKSPPETPPKPASQLPKQSALSAMQPRLSITPKPKLRP GRSSIEST H257_08681 MLLRRSLAVSCAVQRRTLSTDAKKLNKYSTILTENKSHGAAQAM LYATGLKEEDITKPQVGIASVWWEGNPCNMHLLDLAQEIKTGVQDAGLVGYRFNTIGV SDGIAQGTDGMSYSLPSRDLIADSIETVMGAQWYDANILVPGCDKNMPGCLIAMARHN RPSLIVYGGTIRAGCRNGERLDVVSAFESYGEYLSKRFTDEDRKDVLRKSCPGPGACG GMYTANTMATAIEVLGLSLPYSSSSPAESQDKKDECRAAGHAIRYLMEHDIKPKDILT RATFENAIAVTMALGGSTNAVLHFIAVARAAGVPLTLDDFNHVAARTPYLANLRPSGK YVMEDLHQAGGVPAVIKYLLEKGLLNGDCLTVTGKTLAENVEHLPGLTDEGRIVRPVE SPLKPTGHIRVLRGNLAPDGAVAKITGKEGEHFQGPALVYDCEEDMLTALEKGEIAKG SVIVIRYEGPKGGPGMPEMLTCTSAISGAGLANDVAMLTDGRFSGGSRGFLIGHITPE AQVGGPIAHIRNGDVITINAVTNRIDVDVSTNELQQRATSWTEPPLKYTRGALFKYAK LVSSASLGCVTDE H257_08682 MDGSSDRISDHTLRDGASYRPGRRSSHLPGGPQLLSATLGDDVV LAAVFAAEERARRDEQIVGQAAELTRVTVKAESVQEELKATRVAREEQAFLRASQERE AAVAVRLSTQPSATVPGVHQGKARQSLEAYSVQGVFPRDVASDYRITPLLSGYAAAPK APQTPGIRRRWPSEVGVCPIGTCIDVKAKRSAAMMHLGKDPDIVTNAEWMAYFQYALD DQALTSKNNMMSFHSKHPKNAVKALMEGIHPASLKAVVQIHLNLDQKHLRNSVLQFMV YVKTKLVAQLEFTWAAKSVGLVKAAGEDKPRQMDQAPKRARSLKKDVLRAFTGPNLLD VCVSASETEKEAIREEEVGQVGQQESTFRALKARNAPMLSEAEDPDDFKDGDSPEVEG DPRRLTGVIRLGRLLTDFEDVFRLEFQKDPPIALEPLKVRFIVDATPTTCEARRYLPL LTDYLRSH H257_08683 MVVSPSDRSEKDGTFRMTVDTRGPNSRIEPMHWSMLVLEVVMAR LVGKSCFFAVDWFKGFWQLALHPNSKEAYAIMGVDAMVVSNRVLMGQSDAVASCTCNF PGGVRRAIQTWYRSLAGRRPRKCIDETELLDLLEWLLAKCVKFGLKPSERLSEARISH DSKGLAGLVDLPTPVTFALKLDAAVLPWQVSVAASTTGECAIGCNWLKP H257_08684 MKIFRSLLLVGATVVAAFDTYSAKILVDTNTFECELIDSVHVAQ LHRSVEHPDSFIELTTKRKSHLNDLDLLRNSEEGTQEFVEIIGGSVDAVLGQLAKRCP SGALRHNALRSLESIGETTVIKKIVDSGPPKNRIDIVFMGDGYQASEEALFFKDIQRL TDDLFTGDTFTQYLPLFNVWAVHVPSVESGIGVGGKPRNTAFQLYRRGTELRGVYPNK PQYAREVCKTVGEFACDFPSLIGNDAYYGGLGGEFVIGTSSATSGTLVLRHEMGHSFG KVGEEYDGGTMYIGANTAKSINEAPWKHWLTNPDVIREEKAVQRFQKHIWYDLQKGSY QIKFTSNGAFKRWKIVLSVSGADTNDALSITLNGEPLPWTTKGIKDRSFYSWRSSDAG FPAGDHVLNITAGGSFDSPIIKQLCSALIYEYAGEDEFKLDDNDHIGFYPTWDINNRL LYRPDNEKCLMRNMTSPQFCTPCQENMWLKFLTRISFIEDVVVTGKDVALKLIPLGQL RPNPIPNERYSVQWFNNGHEVKTFRDQFSIDVSTVSGATKQWTVKVNFTTPTIRVDSK GVTRAERPFNADYTPPSTTLTPTTTTATPTTTKATPVPTTVPPAPIMVTLTPTTIKAQ Y H257_08685 MSDSPRATTGMVLHRTRSQEVTYSSAIPIRSPPTGQLVQYATHP PEMSSVHQLGQQVQYQSSRLNDVQQAVDAKKQFAYEQLMALHQQQQNQKQHQMAMNQQ LVTELEDQRRQHAEKVSGVTRPPVPVAVRADIIQVVYGFQEVPKAPEYSGSTKVQMQN FMDQYEAYTREIVLANAQRPGAEYMRRYSEFEPLMLTAGAPRPPGDNIQRPVTHKKND TDA H257_08686 MKLYQSLLVGATAVYALDTFSAKVLVDTKTSQCELIESVHLTQL HRAIQSTTDTLEIVTGRQNLPTADDIILPLGGDAKLQSFVEILGGSTNAVLNHLHRRC PQGALQSNKVERGDAPRVVATPVVRRIVNSGDPKNRIDIVFMGDGYTASEDSRFFGDI QRLTDEMFKGDTFTQYLPLFNVWAVYVPSTQSGIGVGGKPLDTAFGLYRSGTELRGIF TSKAAYAREVCKATGVSACDFPSLIGNDDYYGGLGGEFVIATRSPTTGTVRRQLGTIR RGRDVEALADQLVRPP H257_08687 MCLCPVPASPRHNLGRFDRSQYARCSNSMRVAIWLPRRLAKRVG EPQSCIPQFSPMKVPSSLAIAAAFSASSVAALDARFFGINYDLRTNQWGGCKNFHTIA EDFNILKRLTDYVRIYGIEFDCTKNILKAARNSGLKVWLGLWSEVGTTVVRDGRNHEV IDSFPSQFDALKKLVDQTKWINNDEILGIQVSSEALYRYYVQGPGKSAGSSDRYGIDT LAQLHEAGWSTAGEDPVVNEASPRAQGVFTQDFLTLVPRQNLNTFYFAAFDLPFNPTE IERNFGIHDVNRTLKPGVEAVHVGAPLQAVRLWAGDNVIKAHRYWNANDSVNENFGGV YAAKPSVGPSGLLDDEIWLWDKDSSILYSKSSNQCLESTGEDNDTQNLHTSPCSKDNR DQQWSVANGNIASQNDAKFCIDVNRPTTPDGNLVVTVSPCNKQPTQSISIVPATDEPL EIGIKTNGDGLTVFPGGVKLQSTSHPHRQSHQWFYDPVIQSIKSKSSSQCLDAPKGVN DGPVVLANCDPNNVNQKWVLNDFTGQIHHATHFGFSLGAPDDVDGLVRLLWSDKNNVN QHWNIKPVKANA H257_08688 MKKAPKLTPMHMLRRKEWASEMVDYGNEKWSSVVFSDEKKWNLD GPDGLKSYWHCVGRDVITVFSRQNGGGSLMVWGGIWADGTTRLAFVEGTQTAQDYIYT LGEFMLPAAQLRFGTDFVFQQDNASIHTANAIKAFLDEQGVVVMDWPALSPDLYPIEN IWGYLVEQVYAGGKQYDTKEELKASIMRHWNSLEFHHLPHSFLCGAMNISTASDDEVA VPFGTVLGITDGGVEVYNCDYSTLPPPDMLDRASFKNEYNGVTTGYKWQCVELGRRYL LVNFGVIYDNIAMAYDIFRLKTVRRVADGQLVPMLANVNGESTELPVKGSLLIWNPVG EFVQTGHIAVIVNVQVDYVDIVEQNVDDTIWPPDVKYSRRLKADLDEVTGAYSITCTF PDSSILGWMTVDMHTEYNYEDVPIATPSQDLHLHNVTLTDAQVAAPWMDHTLPFVQAF ESAFGSALASSPSSAYFRLTPRGQAALEYATEHLHHMFLDATDYVLHHEKELGPHFRL PSALWPRIRRSWFRRKPDALAGRFDFTLTESGIKVYEYNADSASCLMECGYNQDAWAA AAGVPGRSNSSALFEKLKQGWVHKNVQGPLHLLCDTDPEERYHTEYMKAAAEAAGLTC YVVVGVHTLHRIGQDIVDTAHDGGIVVQNVWKTWSWRTAIDQLDDDDWQHFLMDDVAD PKGLTTPKLRPARTTAVHLVDVLLHPSVRIFEPLWTVLASSKAILPVLTTLYPNHPML LRSSFTLTPELELSGYVKKPVAGRAGENVSLVAADGTTVVASEGQWAADTPIYQELAL LPNYGDRGNVQLGTWAVDGAYGGTVLRADPSHIIRMDSAVYAVRVDDDH H257_08688 MKKAPKLTPMHMLRRKEWASEMVDYGNEKWSSVVFSDEKKWNLD GPDGLKSYWHCVGRDVITVFSRQNGGGSLMVWGGIWADGTTRLAFVEGTQTAQDYIYT LGEFMLPAAQLRFGTDFVFQQDNASIHTANAIKAFLDEQGVVVMDWPALSPDLYPIEN IWGYLVEQVYAGGKQYDTKEELKASIMRHWNSLEFHHLPHSFLCGAMNISTASDDEVA VPFGTVLGITDGGVEVYNCDYSTLPPPDMLDRASFKNEYNGVTTGYKWQCVELGRRYL LVNFGVIYDNIAMAYDIFRLKTVRRVADGQLVPMLANVNGESTELPVKGSLLIWNPVG EFVQTGHIAVIVNVQVDYVDIVEQNVDDTIWPPDVKYSRRLKADLDEVTGAYSITCTF PDSSILGWMTVDMHTEYNYEDVPIATPSQDLHLHNVTLTDAQVAAPWMDHTLPFVQAF ESAFGSALASSPSSAYFRLTPRGQAALEYATEHLHHMFLDATDYVLHHEKELGPHFRL PSALWPRIRRSWFRRKPDALAGRFDFTLTESGIKVYEYNADSASCLMECGYNQDAWAA AAGVPGRSNSSALFEKLKQGWVHKNVQGPLHLLCDTDPEERYHTEYMKAAAEAAGLTC YVVVGVHTLHRIGYILYRVCLVEMTFLRMIYGERLLKYRGWCVKEG H257_08689 MSVLDKGTRYSFECIPHKASQWETSEMKLKLMQWNLDQHGGVKR FRMRGKFDPANDAAFLRAFFESKSVRANLPFPATMPASLDDLSFRKLKTNVLSMAFFD KLEACPDIVSCGGMLRRCMDDVVDDCTVNDNLRDMLVNPHSDHESSLFSSSEQDEAIF QIFKRLAIGGAMAQHDDTLQPYLDCTKQVYKALLSVHKATSSHHHHSENAADPLAKTQ IGVRTSLYMVHTGERDSNQQEIAVSNATCQLFPKPNSPFNACFVAIDPHTFSVLCWYV PFVPFW H257_08690 MRFFTSLSALLLLGLAAVSGATIEEEENVLVLTDENFEEAVTSN EYLLVEFYAPWCGHCKSLAPEYAKAATALLENTPPYRIAKLDATAHAKAGEKYGVSGF PTLKFFKGDTDPTAVKAYTGGRTADEIEKWVIKNSGPSVKIIETAEELKKLTDANDVV VLAHIDDVAGTQKDILEKVADGEEIAVIVATTDASLHEDAKAAGSVVLFKKFDDKKVV FDGEFNKKDIAEFIAGNNKPLVMTFSQDKASQIFGGDIQVHLLVFADSTKDYYESLVE SVTEAAAVNKGKILHVIVPLSETRIVEYFSLKEDDLPAIILVNMAAGMKKFPFPTKAA ELTETLTAAALIKFETDYFTGDLKPTLKSAEPYDDSNEAVKVISGKEFQSRVIDNKKD VLLEFYAPWCGHCKTLAPKYDELAENFASVDTIVIAKIDATENEIDHPEVDVKGFPTI FFFPANDKAHPIAYEGAREVAGFTEFLKKHATKFTLDGESHGVDHDEL H257_08691 MSNHDLHDKMQKMRMHRVPSDYATPKASTSMYPPTSASSRTSNG STHGQVGGGGLYHSGIPVGGQRGSNTNLYAQGITSPRCVGGGYLSQRGSSQDLYQAGG SSAGTPSSSSGGGRDLIAAAAAASQKNRQIRGRPGSGSPLATHVAFNDHPGYYKAASD STMMRKNSSWSSMDSLDLSRTIQYDDPASTIRLPRPTPSQPAPPLMSTFQSPFLEQLN FDGLDEDDSSSIGYMEDYEHSATSINGGGITDCPGGFDDDDEEDDEDTRSDTSSLGAH ADTAPSVPYLLHGHRSVPTAFPDDGRRVTSPSSIGRQHHTGQYMVSSSPPNYHVPHHP RHHSSSVPPRSMLPPPAHHNIIQHQQPPPPPLPSSSPPVPASSSMSSTTTPGRKPHIH AHADSSKYVYSSSGTRQEGDISIWIGTWNLGAADPFSDSRGLMDDADTSRMVRHLVPV GYDLYVLGVQEGVNENVYFAIQAYLNRNPQLLRYQRKELRNDKVVLPNKQAPTDAVFD AVRGRGDGAFMGTKFTGMAVFCGDHVAADVQVLRAGLHKFNIASGSKGGVAVALKIKH STLCLINCHLDARNDTYRRDQIRLLNTNLGKVMGHPYFDLTQQFHHVVWMGDLNYRIV KMDATEVCRLLTEDRIEELHDRGDGLLNDRKQGIFEGFKEPDKFHNFYPTYKKFPLRG HVDMDDPRWPERVYRVLYKEPFYKGGQVKKRVPGWCDRILVYSTPMRNSDLVAEKVPC PFIQGRWVDNYQSINDGVGMDVSDHSPVTCTMLLKFVRPAMTNIPGGLAPDGLGAYTD LRPSVRLQGPSYGGGPHGPVTTVLTLFNMVVTWGNHSHVPKKTRVVAPLLGEDDLLTR QTEATGERNITITNVATLSLNVKLHHERSLQDLHMLVWVRHESVVGHCVVSLKRVADL HTDGVADEARYKAGLYFNSVPVTMDGGVPVKLIFSVKTNSLQGRSRRH H257_08691 MSNHDLHDKMQKMRMHRVPSDYATPKASTSMYPPTSASSRTSNG STHGQVGGGGLYHSGIPVGGQRGSNTNLYAQGITSPRCVGGGYLSQRGSSQDLYQAGG SSAGTPSSSSGGGRDLIAAAAAASQKNRQIRGRPGSGSPLATHVAFNDHPGYYKAASD STMMRKNSSWSSMDSLDLSRTIQYDDPASTIRLPRPTPSQPAPPLMSTFQSPFLEQLN FDGLDEDDSSSIGYMEDYEHSATSINGGGITDCPGGFDDDDEEDDEDTRSDTSSLGAH ADTAPSVPYLLHGHRSVPTAFPDDGRRVTSPSSIGRQHHTGQYMVSSSPPNYHVPHHP RHHSSSVPPRSMLPPPAHHNIIQHQQPPPPPLPSSSPPVPASSSMSSTTTPGRKPHIH AHADSSKYVYSSSGTRQEGDISIWIGTWNLGAADPFSDSRGLMDDADTSRMVRHLVPV GYDLYVLGVQEGVNENVYFAIQAYLNRNPQLLRYQRKELRNDKVVLPNKQAPTDAVFD AVRGRGDGAFMGTKFTGMAVFCGDHVAADVQVLRAGLHKFNIASGSKGGVAVALKIKH STLCLINCHLDARNDTYRRDQIRLLNTNLGKVMGHPYFDLTQQFHHVVWMGDLNYRIV KMDATEVCRLLTEDRIEELHDRGDGLLNDRKQGIFEGFKEPDKFHNFYPTYKKFPLRG HVDMDDPRWPERVYRVLYKEPFYKGGQVKKRVPGWCDRILVYSTPMRNSDLVAEKVPC PFIQGRWVDNYQSINDGVGMDVSDHSPVTCTMLLKFVRPAMTNIPGGLAPDGLGAYTD LRPSVRLQGPSYGGGPHGPVTTVLTLFNMVWVYFFPETPVTFVCADDS H257_08692 MFARISSTAVRRTAATQTRGFRKGNPTKFTENKADTGFNAVLNA DNSKHTSKVMHYTSYGLLALVPAAVVLSPSALNVPVDYLLAVLVPVHSHIGINNVVSD YVPKNFKTLARAGVLGASIVTFLGLITLNVTGAGVTETVKSLWREPPSKEVSH H257_08693 MHRTRTLRHAIRVVGDLTKVPLGVLPEEGVTASIDHVFHAADVE TFAALSGDSNPLHVDAAFAATTTFGKPVVHGILCTSLFPTIFGATFPGAIYVAQDVRY HKPIHVGDLVHAEIEVLQVKARMRLVVCATRCLDRHGDVAISGQAKVLLPKPTLSADT GTPTTTSAV H257_08694 MKKVNEKRAVNAVNLPKLSTTSTDGEVTPRRPPRLPLDSPSSSE TPQPPLALTPILGLDGGLTTPTKPVALLAPTTPSKQSKGQSLRARKLEDQQSPSHQPP SAVLNTAQLYDPTSSSLLNPAFEVDMTLLEAEVEKMKQLGQAAEGHVEAIYANNTKER MFFRQASSEIANMESDIVAKRRASVQEKQAWGAEKVEFEALLVVKETELHTNERERAK LSDTLTQTIQYFTHEVESRDRTIAAHVTDLQTTRDVLASTEHSLRQSQMQHQRTVDAL AKHVDELDVTKSELAGCRQVVAQHEVTMANQSKHILATEASLAQARTAIASLEAKCVD QKGTLHAYKEEMHGYVFNVDQLRRDVKLGQDKQDELTAAIKTHEKTIASFKRQQAKWE AAKAAHDVEQSEQRAMTKMYKERIDAQAKMIHRQEESIVASTVAHVADFTTIDLQTAQ LKSLARTVGDQSAYIAKLETTCRAAEIQHLKNRSLIELLQTKIHGLDDTAVRQDKETT SLLERLAKRDGVVAARDAEVAALKAAYESMSAELMGQVETNQAAIAVHIAVIASMRAT QEELVATKSQLKADLDLQTARANDLDVALGTSRREFAISEDNFTKLSLRHSVDEAHYT RTMEDLVAWTRATLDERGLELSERNQVLREASEDAAATLTALQTILDETNAMFAACQA QARATAADYEAKVIERTEALEQQEERIHLLEIQLKMNAEQANQRRQTLHEDRQREMAQ FQMQLKGKEDVFEIELSRLKTQLQQERATALAQLTTLQETSELDMSKVQSLLQQERTT SAATIAQLEENGTKLTLERAQLRDDLAHVRAVAAQATRTSRDQLGMLAEEVRGVAYAV AAAEVAQDKLVQQHDAFVANLRVEHDESVTRLHASHIASVTSLQEDHANAMAAQVAQQ AAAAKQFQVELATLQATHTVQVEAAAEDAQRQRLELEAKLQYQKETLTVQLKASKLAF EEQLVEQRIRLECLIEHQRDTLEGQLRDQVAHATARDAAACAAFDEKLLATKTDLEGE LAKQRAGYEVVISQQRERYEATIRQRKERYELDVATQRAELESTLATTKARLEGELAD ITLRLGTELRTTKETLETDLATQKAFYESTLRRTKETLESENVEVKTTLEGRLVAVQS TLESELVRQKERLEGQIAHQTQVFELEMANQRRRFETQIADQKTALEGQIMSQRMEYE AEILRQRTDLETSMKQQVDVLERQVASTRAALEGEVVRQKSEFENEIAEQRGTFEAQL GALDADLSGQVREWEAKYTEDTMAMRAKFEHDLSSQRTELEGALARQKEILESDLLTQ KQTLEAKLARQEDTFDTQMADKCRRYNLDMEAQVNAFEKHMAERNDAWEAAVLAQSAQ FDSQLQALVQQAEQDQAVAKVAIDGWEIKTSDLERVTSLRIAELESEVASLQTQLGDS VNDHAAVVKALAAADMSHHEAAMAAESTVASLMQNIATLEAHVAQCYTGDFLLTRIKM GLRSLIQDQFHPNVAEHVAGMTTLDDLDALLAMLLALAVECQTFVQLGPQRLGVDVLR KVVDEHDELWANVPVNDTCHRDIRQVIFYTKEHTRLLHTLPRLVPELAPSVDAALEYI SAYQSLRRDAAPTLTSTEMSTNPSNNDNGGDAGQLLATAKDIMDALQAWQSCRLNVAK ALRINDNGTCCEADILACINEYMGLKDKTGHRFQLQEVNSSVIFEHLDTYHACLSQGK AILAAESGDVDTVTLLRHVDAYDQLRTRVAGVIHVPKETVDNHTILQHVQEWSSLRDH VAQTLSPMGQHKISDSDSDARPTAATIGNVVDAHVSMLRAVAGVWGVVDDTPIAPSRI VATLQEYNTFREDAAAVLQLSPVVTLSPRDVIATLVEYAGLCKHAANQLELKASRATP RDITDVLDTYQTLRADCARVWQGVGLPLLPMVPTSSSESGALVPVLSKRSSAKDILAW VNDYHALRSRVSVLLGQDGGLCTSEDVVAVVQTYLELAVKHRDLVRAKMAIEANNNAL MGSLDVARQALQDLEMRAAAVGKKYGLVVFSSGNAPSFFESLDLKLQDGKDFETAFHR RKQVHEQDNAAHATAVDTLRREAAVAMDALRRDLDTKRQDAERSGALALAAAERARAL EVEDVKTASARQFDDLQRSTACQLESLQRDLTNVRAIKLKEQADYDATMDAIRRALEV QATETYSRRDGGEKAAFFARYVDRDRMLMELVYGAIRSVTQLLSTSSANKAGATMPTE LSHAILGCIKELKRMKEYVMGSFDALQKDMAPFLPFEVTWHAVEWQPDMDLATWCVES CNKTNEHARMQFQQFLAHANSTMTMLAATQRERAMEVLAFMRRGVAPCDNSSQDKDAS MLKMRLMDAVTDRDQVGLELQLKETFFTDLLHQHKAVAADMHAKLSQQQQLWKAMMAS SSPTLSQPPRSPTMKPLDDQQKLTMVKPGPKPKWQEDDPTTTVLSNGRTLKERFVSDL ALETGQNPPMKRGQTVQVLRPPNANAGDSSTRKISQPYVSMAVTPSKHIQSSSVHQSS AAAVHLKPGNDTNRQQHLWYQGVKQIDGQSLSLALGYIPTRNSLVLDVFNTDTEYMQA IEVNPKLLQGFNKPLAELTPVEQRHVVEAVLTRLHVDSRGGNMQVGVAVDDL H257_08695 MFNLSTFNHGTQRHMASKNAAPSSPPIAPANESGVLLFNVVLPL SIFGLASQYTSTLNAMILYTVPPLGKCLYELVGNCRIDYISMVQLGITGVGIAVMLVT NDPRVGLLKDILPPMVIAVVILLSALLQNSFNLVWLVYRSWYEATKGDGVARLDRVWQ TNARVRNQFKGLALVVGSVIFAEDSLRLYLVFHAPLSTMLVLNPVLGIGTGMSLTLWV VYSVKHTPELADNAAAAAETTPLLS H257_08696 MDAFLTQLHAQPLIFTDGSVPFAYEAMTTRLPNLLNDMADQNSK PHPHKTLPADAIAALRTLAANVGANGAIPALSDPSSDPTWHADWKLHGEGRTWHNAAW FFAEAYLFRLVLDVTGYAVHGIDPYHCQKMAELDDPTPWRLLESAAALHDTIDDTTAD ESLGVLLKLSLWGNKADGAYKQVKDSIRGTHATLDIDDAYLLADDSAAVIQHLATHKS KPVHFINDNCGTEILLDLALADHLLTHKWTPSIVLHTKAAPTYISDATPHDVLETIHL MGHPSRSPHVRALAARLQAHVDHHRLVPQSHLFWNQYRFYFELPADLSAVLATAGLVI SKGDANYRRFLGDRLWPATTPLRAAAPFFPTSFVTLRTMKSDPVVGIAPEVEANLNEE DPKWRINGKRGVIQAVLKH H257_08697 MREILSIHLGQGGVQLGNSCWELYCLEHGIQPDGQMPADETIGL GNDSFTTFFTETGAGKYVPRAVFVDLEPSVCDEIRTGTYRQLYHPEQIISGKEDAANN YARGHYTIGKEVVDVVLDRIRKLADNCTGLQGFMIFNAVGGGTGSGLGSLLLERLSVD YGRKSKLGFTIYPSPQVSTAVVEPYNSVLATHGLLEHTDVAIMLDNEAIYDICKRSLD IDRPSYTNLNRLIAQVISSLTTSLRFDGSLNVDVTEFQTNLVPYPRIHFMLSSYAPVI SAEKAYHEQLSVAEITNSCFEPASMMAKCDPRHGKYMAACLMYRGDVVPKDVNAAVAT IKTKRTIQFVDWCPTGFKCGINYQPPTTGAGKYVPRAVFVDLEPSVCDEIRTGTYRQL YHPEQIISGKEDAANNYARGHYTIGKEVVDVVLDRIRKLADNCTGLQGFMIFNAVGGG TGSGLGSLLLERLSVDYGRKSKLGFTIYPSPQVSTAVVEPYNSVLATHGLLEHTDVAI MLDNEAIYDICKRSLDIDRPSYTNLNRLIAQVISSLTTSLRFDGSLNVDVTEFQTNLV PYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSCFEPASMMAKCDPRHGKYMAACLM YRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLARVQRAVA MISNTSAIAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEE VSAETQEGDGEDDMEYGEEY H257_08698 MREILSIHLGQGGIQVGNSCWELYCLEHGIQPDGQMPSDKTIGG GDDAFNTFFSETGAGKHVPRAVFVDLEPSVCDEVRTGTYRQLYHPEQIISGKEDAANN YARGHYTIGKEVVDIVLDRIRKLADNCTGLQGFMVFNAVGGGTGSGLGSLLLERLSVD YGRKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAIMLDNEAIYDICKRSLD IDRPSYTNLNRLIAQVISSLTTSLRFDGSLNVDVTEFQTNLVPYPRIHFMLSSYAPVI SAEKAYHEQLSVAEITNSCFEPASMMAKCDPRHGKYMAACLMYRGDVVPKDVNAAVAT IKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLARVQRAVAMISNTSAIAEVFSRID HKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVSAETQEGDGEDMEYG EEY H257_08699 MSGGNGESTKLDYYKLLNVESHASMEDIKQAYRKLALLHHPDRK LGQTTDEASNSSYFQDVNEAYDVLGDVDARTAYDLKYYGMSTFASTLNPTITGVVKDD GYKRLTSDDVNRLLANAKAMERMTTADYHLQRSSVAPSAIGRRTIDFTERKAFRGRHT PLPSKNATMAWLAVPAVMLVVWGVGLNSMWSSSTKARRNAN H257_08700 MMRFLGIVSAAVAFVVLATLPSTDAHASHAAHAAQATAAAGIHD DKHCPLCNMYVKDDNLTWFTELKNGQRIYTCGMTNGTQFSRGKNSFSHPSLVGATLGS LIVPDGTGCNATCPECDDPTTPVLDPITGTKITSAKFTYLCLKKGQKIYFESDTSKAT FTSGALSKSYFGVNTLTCNGVACPDSFQEVPVVVDTPAPTSLRGSTGQGSNGEPFCSG SSAMFSGFQTTVHGTCVKLLFQPWVLNSQLKYALGFIGVFLLPLLNEGLVHTRESLRQ SFRQRPTTSTSTKRLHKLTLTVLYMGQMTLAYFAMLVVMIYDTGLFVSLIFGFGVGYM WFKESKRTKDVAVTKPSKTSDPAAGGGPVAPWRFQRLEDLFVLYVPQMKCMANCGSTV QRALEGIDGVDQVYVDMNDKCVYVLASTSDARGVLVDAVDAVGFHATVLRAPN H257_08701 MAAFPLRWLLALFVWTCMFGAVSADQDLRETRCPLCDMDVIPSL NQTIKGNQAIYACEMAGHLDTLRDPASKILRGAVAVTALDVPYTNAGMACPVCGKTEL KYALPWGNRGNQKIFTCSEEHAHLIAANQEAYYEGTTPSHSGEFCDHASVMFDGFQSS IGRTCVKLWFQPWVLSSGVSYALGFVGIVLLGIFLEWFGEYREGMEELFIRNYGITDT SNVLADQTYPKTAMTTLIPSTPGGIQTVTTCTLPTWCTVALTCMYMLALVVGYMIMLV AMVYDSGLFVACILGLGIGFYLFKDTEADSMSGNIDPCCST H257_08702 MMDPHVYEQVLRAVDVCHAGSSAQSERDAAYVYCETFQERLDCI QYAFYLLQDASRPFHHRHFALHVVETWIRKHWKACSATEDAVTYRANILTIMASHLNA DEPTFLKEKLVKVVSDIAKRSFPQRWPDMLDHLMQIWSVGPLQTELVMLVFRSIAEDC VSSTFNSTIPPARRKDLLQGLNASFPVLFPRVYRELELQYTRLQQQDAHAAAVILAGL QMMKEFLDWMPVTTPAAPDANFIAVAGLLLHPNIDTPQLLAMQIVAAECLEEYFSRSF GKEHMALLHQASLTCWSHLAALSLTGPVDDADTLLLHTHINRIVVSWGVHQLDDVLAD PLPSTTLPVLQSFLSLLQTLFNHPSALLTEAQVIVWLNVLKHASCATLLPYINVPALW TTCLDKYFKLHSPDNDDTSALEFDDRDAYNAFYGNFRGRLYGILRLLVQKQPAAALTH LHDRLQYVLTVHAAGRDNLDDLGHCTDTTTAHIYHEGMASLVDCLVKSLPEVVYETPA LRHHLGLSVELLLAYHTRDPWLLFRHCLCLSSLSKYYMRDPTLYPRVFDRLFGLIVFC EPGESIAHGSPMRPTSTNVRRRALASLISICHAGPLHVLPYLPMLCTQVIGLFPQVLD SEGVLMYEMLVVVSNSLPTFEEREAFIQQITAAPLAQWTDMTPIVTSQDKLVHALETH NATVVFGLLKVLTTLYGIAKRIQVTPPPSSPPPSSTSLSGHGDIPSPSPHPFASVWPV LLPNLMALIRTLHALSAPLARESLVQTTTSARGILVMSVEEINQAMLGKEQVQVVDVA VTKYARWAKNTRDICYHLVGCAFGHANIFTTTDMPSSWVQSSLLSNLEYMEHRHWKTF LSSVWLPFVKSCPPHLYEMLLQPTVWHLLSHLTDRLATGYAAASSGDDMKHDVAYLPQ FAGVDIQATTVDTVSNAVFVEVVRYTVDFVEALIDAKTVVDMDSTHPKHVVVETDRVL REFILSSDALTRQIVAVLVGVVGYTDTLSCRRATNLLDRLVSALAAQTQQFHQLLGKD VFTAALCALLAHFHDVDDGFKWELINLLRNIYCRVTLGLLPVDECKGIDPLHQPVKPD SDLCFAPRQIVASLHGVQPDDVAALEQSLRTHHSTKTQKNYLKEFLETPFLAYVDAPH TLKAIEDLPPVASSSHPRATSTSNDADISSLFGAHDE H257_08703 MQRIERIQQHLQAGAPTEIVIVAATRTPITKAKRGAFKDTTPDV LLRHVFQGVLAQTKIDPSLIGDVVVGNVLQPGSAAGGARMGQLCAGIPFSVPLTTVNR QCSSGLQAFANVASAIQAGFYDVGIAAGVECMSLTDMGGTAPDVCWEQVHANKAARNC TVPMGITSENVAEKYGITRTQQDTFAAASHAKAHAAQEHGWFSREITPVTTTRTTADG VDQQVTVTADEGVRPGTTVDGLAKLKASFKPSGTTTAGNSSQVSDGAAACLVMTRRVA EQLKLPILGSFVSYAVVGVPPEIMGVGPAVAIPAALKKAGLTIDQIDVFELNEAFASQ ALYCQQTLQIPSHKINPTGGAIALGHPLGCTGARQIATLLHGLHRTNQTYGVVSMCIG TGMGAAAVFKRD H257_08703 MQRIERIQQHLQAGAPTEIVIVAATRTPITKAKRGAFKDTTPDV LLRHVFQGVLAQTKIDPSLIGDVVVGNVLQPGSAAGGARMGQLCAGIPFSVPLTTVNR QCSSGLQAFANVASAIQAGFYDVGIAAGVECMSLTDMGGTAPDVCWEQVHANKAARNC TVPMGITSENVAEKYGITRTQQDTFAAASHAKAHAAQEHGWFSREITPVTTTRTTADG VDQQVTVTADEGVRPGTTVDGLAKLKASFKPSGTTTAGNSSQVSDGAAACLVMTRRVA EQLKLPILGSFVSYAVVGVPPEIMGVGPAVAIPAALKKAGLTIDQIDVFELNEAFASQ ALYCQQTLQIPSHKINPTGTTV H257_08703 MQRIERIQQHLQAGAPTEIVIVAATRTPITKAKRGAFKDTTPDV LLRHVFQGVLAQTKIDPSLIGDVVVGNVLQPGSAAGGARMGQLCAGIPFSVPLTTVNR QCSSGLQAFANVASAIQAGFYDVGIAAGVECMSLTDMGGTAPDVCWEQVHANKAARNC TVPMGITSENVAEKYGITRTQQDTFAAASHAKAHAAQEHGWFSREITPVTTTRTTADG VDQQVTVTADEGVRPGTTVDGLAKLKASFKPSGTTTAGNSSQVSDGAAACLVMTRRVA EQLKLPILGSFVSYAVVGVPPEIMGVGTYCFLLTISIFYKS H257_08703 MQRIERIQQHLQAGAPTEIVIVAATRTPITKAKRGAFKDTTPDV LLRHVFQGVLAQTKIDPSLIGDVVVGNVLQPGSAAGGARMGQLCAGIPFSVPLTTVNR QCSSGLQAFANVASAIQAGFYDVGIAAGVECMSLTDMGGTAPDVCWEQVHANKAARNC TVPMGITSENVAEKYGITRTQQDTFAAASHAKAHAAQEHGWFSREITPVTTTRTTADG VDQQVTVTADEGVRPGTTVDGLAKLKASFKPSGTTTAGNSSQVSDGAAACLVMTRRVA EQLKLPILGSFVSYAVVGVPPEIMGVGTYCFLLTISIFYKS H257_08703 MDDIEQTLDTYMQVELGHARQCSSGLQAFANVASAIQAGFYDVG IAAGVECMSLTDMGGTAPDVCWEQVHANKAARNCTVPMGITSENVAEKYGITRTQQDT FAAASHAKAHAAQEHGWFSREITPVTTTRTTADGVDQQVTVTADEGVRPGTTVDGLAK LKASFKPSGTTTAGNSSQVSDGAAACLVMTRRVAEQLKLPILGSFVSYAVVGVPPEIM GVGPAVAIPAALKKAGLTIDQIDVFELNEAFASQALYCQQTLQIPSHKINPTGGAIAL GHPLGCTGARQIATLLHGLHRTNQTYGVVSMCIGTGMGAAAVFKRD H257_08704 MATTASILQVLTQGLGKTLPAHPGKNLSVPQAPTRSPILTEREY QLAIKNALRYFPKEWHATLAPEFAAELKDEGHIYMHRFRPTTYEMKGYPVESYPGKIT AANAIMMMIMNNLDKAIAQFPAHLITYGGNGSVFSNWAQYLLVMQYLSQMTEDQTLVL YSGHPLGLFPSSPDAPRVIVTNGMMIPNYSTREMYDKLYALGNTQYGQMTAGSYCYIG PQGIVHGTTITVMNACRKYLHKEDMKGVVYVSSGLGGMSGAQPKAGVIAGMISVTAEV DIAAINKRHAQGWVNEIASTLPQCLDMIRSARKDQRVVSIAYHGNIVDLWEALADAAE AGELLVELGSDQTSLHNPFNGGYYPAEISFEASLALMAADPAAFKALVQSSLLRHVNA INRLTRRGMYFWDYGNSFLLEASRAGADIYKTNREEDGFKYPSYVQDIMGDIFSLGFG PFRWVCASGSPDDLRTTDRIAARILKEYLEAGAPPRVAAQLRDNIRWIEAAEANQMVV GTQARILYADRFARQSIAVALNQAITSGELSGPVVLSRDHHDVSGTDSPFRETSNVTD GSMFTADMAIHNVIGDAFRGATWVSIHNGGGVGWGEVTNGGFGMVLDGSKAAERKAAN MLSWDVNNGVARRAWGRNDNAVFAMEREMEADPLLKVTLPQFADDDLVKSVCDAAFK H257_08705 MESAADLKTTPVDPRFPTQNQTQHCWVRYNEWALCLKKNDDDED ACKHKRQYAKSLCPGSWLEKWDTERDEGNFSGVPK H257_08706 MTVDFRWCMAMQSYGGAGGNMCTVSANTGILAVAMGSFVDLYLL QDMEESSIHPDGTISSSSDVHIPFAAQVCLRTHLWNSLSNETDNVVATCVHFVDNTLL VGTLTTRRVGSQIERTASIQGFRVFGGTTSVVTHHAFSRLWKGGFTKIVAFESMGGTS TGVFVLAAGQSTTTSVDAITWTDRCVSIHHHAITSAHESIAAIAFQATNEGGGIGWLA VAHAAGLAIYGIHNLHGSSLKSDSVIATTLTSTIHRGVPLTALAWQGRTSLCAGAADG ATLVYQVMTLPRPALITSSSPIPSVHLRHVYPARFAPPEPHEGGAIASNMSITPAFKG HRFLHLSASQLLAWYEDDLYASFVVWPTDPWPLVEHAIALLMPSSSASSPQHGWAMTE QCRGMASVPMALLPRWIFDRHDLSEYVDPHGHVVVLLTTQALRLGMVMHHHPDTVHLE QSQLQAFIPQDEVINHAREATTDVPTSVVDEPNTVQNRYALPSPLPVVSPCNDPQTWT KQAYRQRLDHLHTRVDSMGHTIRSLRSSFQLFTNDVNNHMTAITIALHQVLALHDESD EVVAPPHSNDNQVYCNGTEK H257_08707 MWAAIESYEDRQTPTNPMVLPSSTQIVESQSALLCDDICPESSD EPSDDEDSPGNVDDSSISGHLLLSSSNPQSAPLSPHLSASVSPIKAASLERTVRQERV HGVVGTTPCATETEDTPDVELTTPPATHNPEPCPSTTPPRPSTTSPRLSNTTVASIHE EESRPVVAKEPLDLSLLPVSLSTDDDARGGAVIMQDPHVDSKRNSLRMQECEANLVVV QSPPRQSTLMDQTQTLAAADHTPIVTQPDSNQVVLAQNQTSPPTPLLPPIRPQAASMI PIDLGGPAQTDDSSSTVLIPPTSTLSHIHHPPIRSQELDAVQVLASLTSDCPSLASWL RLEGDVDTTMFDDNSYYHSPPPSPVSHAEPSCHPPIPPAPPCPSVIPSLIDAWPRSLR RRCHVIHPTASSSQPLPPSVKPESIVLWIQETWRVHHNYALAAADWLHATHDLPLVAF CVLPSSMFYPEASPMTTNDNGMRASVAYMRRQLQARGIPLHGIILTHDKDGDDVSSAL LSLRPWAVVTDDVVDAYGVPPVLELPTTTLFLMDSTCCVPWRTFVGNAVTYSKHEFER VWLAAWDAVDDDDNRIPSPHRRDGAFPASCCSSNHLPWSNLDKPSAFDLSERTALSHM HTTCVQVGTTKPALQEELHGRGVTSCLPFLRHGSLSAMYLLTTLKATSNQVLYSRALT HCVLAREYAKYVLLRQVDARHDGSTLAASNPPFHAAASRPLWVYRSLVAQAVTPRSTP LQDPAPALHSEKEDQVVVYQLPYQLEASRSPDRTWNDIMTHVRLTGYVHPVLASYWGR QLLGPWSPSPVAGLGMLEGLVMRYSIGATADVVVQVLLSMIHDDATADLLAAQTDDHP LLVQLRAAVTDAPLSHD H257_08708 MLSLNSYGLAKASNQHLTLPRLNPPAIRSSNTLSVGKLAQERRD VHPWYRTTSGFEYGRHVDFQDIGLNVSPVNPHAKHMDFTHGFLQGPYTDSSLGSLDMK KKRLVLSDKLRAEHKIRMQAKFDEKQLQLNFKREVRRELRDKRRLELTEKTHASSVIQ RHVRGMLARTHVAAAHSALAHVSAVRIQSFCRSKMRIYHAKLALQRIKDDLAVDAACV IQRKIRDHLAKRNARAELQRRREQRSSRRQQIQKELMDARRRASVAIQRIGRGLIVRQ RMRRRRLVADTGVPNPFSSKRKQPPLQTAQVQSKQQFKQAAAAAPSPAKPPGKKKASA VPAPALRRLSSVDSSLNDA H257_08709 MQGEGSHAPTTWLDRTKADLTSSDEWNVLMNAVYVQVQGRLKLS KTKHFGDLTTDERVMLMAQVEIELMQHDAYGAFLKRLTRTMDNQLAMHVDIAFAQEGN AATKQTLALQSAAQAASLLVQGLPAEQRSMLRLLFNHPFPPPFRPQAWKLFLSDPTTR FKFESKCVTNRIGTISVLDAQFTVKCQAVLDAFPSVPPSRNIHMAMKTALSYIHTITP QAFSTLGEAYFSLVLPLLLVWPDADASSLVEAYATLLAIVPRPHFVDKAFVSRVVDRL NTVDASYATSLVTLFPSETTPTASWTELLEAYIERLFVGYTSPDTLLFIWDQLLLVGH GRMLPELCAAFLSILQPQWVLSTSFAAAVATITSLAPTVQVWQIQEWIDQYASASIRQ DLQLESLSTDFHTNYSNEPTSIVIPPQTPPKAPDMMTLTPRTDQSVAPVSARLALLSP TSSPRHVAPLLEPLVVENAFNPLVNELHSSLTALFIGSPEDGVHIQHQRDALETAAAA AANGFARHVDTFRESIASAFLDNAPQINVTASAEEAFQADLVLQSQKAVFGRTYTSNE VRGLTGKAKAAYDKKYPKYMSTYTQKLAKARK H257_08710 MAGSSTIHTERLDENAAVASSVDVATDLSSRSLISCAIEVLTTS CAFAKASKTHAFATAWQSGEMTVIMGPLDDLGSVPDAPSRPDYVRIVGKKEKVKQGNR KAFAHSVAHAESYAIDLMWDMICRFGPSNDMPRSFYDDFVRIALEESQHFTSWATRLL DFDSFYGDLPGHDGLWDSAADTADDVLARLALVHLVHEARGLDTYPMAVARFTKCRDD TTLTFMAKNHAEEVTHVEAGVRWFSYICALKDQPVLPTFHRLVRTHYRGHLLPPFNTP ARDRAGMTEAWYLPLTTETPFDDAQTQGLLQS H257_08710 MAGSSTIHTERLDENAAVASSVDVATDLSSRSLISCAIEVLTTS CAFAKASKTHAFATAWQSGEMTVIMGPLDDLGSVPDAPSRPDYVRIVGKKEKVKQGNR KAFAHSVAHAESYAIDLMWDMICRFGPSNDMPRSFYDDFVRIALEESQHFTSWATRLL DFDSFYGDLPGHDGLWDSAADTADDVLARLALVHLVHEARGLDTYPMAVARFTKCRDD TTLTFMAKNHAEEVTHVEAGVRWFSYICALKDQPVLPTFHRLVRTHYRGHLLPPFNTP ARDRAGMTEAWYLPLTTETPFDDAQTQGLLQS H257_08711 MESPRKAATDVGGYHEASTPYDPLAKKEEDIVGGEDDSAIKASD FLAITQGLEYPQVTVKSLVVGTIIGSFLSVLGMYYGLKVGVVPSLNVLAGVGGFMLTN WLLKIKLFHGYFSVQENVVIQTCAVACYSLASQGGFASGVLALTQNVYEDVGEKKGNN ASDVVDVTWTKAFGWCISIALFGFFISFPLRRKMIIESRLLFPSGTATAVMIQTLHSS KDAVEYQWNVLFKSGIVSYCWSIFVYCFEGLGSFPIFGLEPAKYGWMCDWAPGTFAIA VMLPFRVMSSIFVGCVISFAIMTPYLAAYKGNGDWFTSTKVDGLKAYYTFTAVAIICV DALYSIVKVVIMLYKAWRDSRNAPSAAIDDAGDVKSVDVQRQAFLDKLFDATHVPATI WVSGLVLFAAVSVVVISLIFPSVEWWKVLVCCLLIPVFAIGIIQGVGMTDWNISSAVG KLIIFIIGGWSQDGSIIAGLLMCQMVIVGCSQAADLMQDFKTGYLVGASAKSMIIAQI FGAVMSCLIVPSVWVMMTSAYTIPGDVIAAPFGEVYRILGITAIQGLDGLPKHCGLFM LIGAIYTVVFNIFIDVCSESKIKIVRLVANHCPVPMAVAIGMIIPASFGLQGIVVASI CAYWNHVNPAQFHKTQYILAAGLMVGDGFSILTQIVITLAGGSAPMHITYAVGPSH H257_08712 MQRQPGYSESMLHTAIQVAAKKIEALIPTQPSSSLQPPTYSILT SESTESEPPMTAHTPDKQVVTSVGNDTAEPIKDHPRTGTLREFSDDDVMAFMLLSREE KSLLLEFDTARTRRLIKAQGQFLRGYKYTTKDSNGQEHGTLKLVDKALQDATQALKQR LHDAMSQQAADTRFGHDNSKP H257_08713 MTVPQSCSTSLHDCLTTSASPVELSLTLLLILGSIGARYAGSGS VLVLTLPTLRAHATALRHERRVTVDGT H257_08715 MTQSTAAAAPSEVSPLHSPVNTPDNQDVQYTKVDSTRAINMPAS PPSFVQKVLPALGSAFVASVAYLDPGNFATNIQAGSNYGFTLLWVILLANLMAMLFQT LSAKLGIATGKNLPEVIAEQFHPWVVVVMWLAAEVAAIATDVAEFIGAAIAFKLLFSI SLAWGAVITAGMTLVLLYVHQHRIQAFEVVIFILVSVIVVSYIMETALQPSIPWAEVA YNTFVPSFDGKDSLVLAVGIVGATVMPHVIYLHSALTQSRFVVSDDGDVIFPPLRYEV VGIVIALGIAGLVNMSMLIMAASTFHTAGYTQVDSIENAYITLEPLLGKASSYVFAIG LLASGISSSAVGTLAGQVIMAGFLNFHVNVWIRRAVTLVPSMMIIFSGADPTQALVFS QVVLSFCIPFALIPLAMFTGREDIMGKWKSAWPMQLLTAALCAFIVGLNVYLVLASVF DW H257_08716 MPPSAGLHGLTHLLAGDTEWRNIQTIVKTTLQAVAKIVSSHAVM LDTMDARIDRMQDAAFTALAPPPHISNNDDDASMVVSRKEFLALVADVRKLKKRSVSP DDLEARAVASGNELKRRLDHAITPLQDTIRDMEEVLLKQFMAHANSSFASNEALRHVQ DDVTERYAAKMSKIEQHMATKGDLHALEQRVMTDTKAQHRAQALQVKDTIGHLEKGLR KHLADQLAVSRDDLTKFKAQLDQSLAATSAECHAQVRQRAMASDVKVVLAAKVDRDEL DSREKQVEDRVGGRLDGVLQQAVMEWKHEVATALHKKCFKADVAKLLARKVNREDVDA VLADKITRAELHESIRDAITSMQIESKQELRHVQHVLEAKLHDHGDMVTQHMRDLQHE HVQHADGWTEALEDLRSKVVVKMGIKDACTLLDTKCNVVDVNDALGALQQTIHTKADE GDLQALVEDLTGLRKQMRGELCVGRWIWKTGRPTDRHTIAWTVQVVNTNPDVFAWDKG SDHVTAIIPGLYQLQASFFTDYAPTLQVLVNGEPALVLSGDKGDSQAPNNCQHQRRRR RHAAGNVAGITLCEFLALPPRATVSITYDIDERAQGFLTLRKM H257_08716 MPPSAGLHGLTHLLAGDTEWRNIQTIVKTTLQAVAKIVSSHAVM LDTMDARIDRMQDAAFTALAPPPHISNNDDDASMVVSRKEFLALVADVRKLKKRSVSP DDLEARAVASGNELKRRLDHAITPLQDTIRDMEEVLLKQFMAHANSSFASNEALRHVQ DDVTERYAAKMSKIEQHMATKGDLHALEQRVMTDTKAQHRAQALQVKDTIGHLEKGLR KHLADQLAVSRDDLTKFKAQLDQSLAATSAECHAQVRQRAMASDVKVVLAAKVDRDEL DSREKQVEDRVGGRLDGVLQQAVMEWKHEVATALHKKCFKADVAKLLARKVNREDVDA VLADKITRAELHESIRDAITSMQIESKQELRHVQHVLEAKLHDHGDMVTQHMRDLQHE HVQHADGWTEALEDLRSKVVVKDACTLLDTKCNVVDVNDALGALQQTIHTKADEGDLQ ALVEDLTGLRKQMRGELCVGRWIWKTGRPTDRHTIAWTVQVVNTNPDVFAWDKGSDHV TAIIPGLYQLQASFFTDYAPTLQVLVNGEPALVLSGDKGDSQAPNNCQHQRRRRRHAA GNVAGITLCEFLALPPRATVSITYDIDERAQGFLTLRKM H257_08716 MPPSAGLHGLTHLLAGDTEWRNIQTIVKTTLQAVAKIVSSHAVM LDTMDARIDRMQDAAFTALAPPPHISNNDDDASMVVSRKEFLALVADVRKLKKRSVSP DDLEARAVASGNELKRRLDHAITPLQDTIRDMEEVLLKQFMAHANSSFASNEALRHVQ DDVTERYAAKMSKIEQHMATKGDLHALEQRVMTDTKAQHRAQALQVKDTIGHLEKGLR KHLADQLAVSRDDLTKFKAQLDQSLAATSAECHAQVRQRAMASDVKVVLAAKVDRDEL DSREKQVEDRVGGRLDGVLQQAVMEWKHEVATALHKKCFKADVAKLLARKVNREDVDA VLADKITRAELHESIRDAITSMQIESKQELRHVQHVLEAKLHDHGDMVTQHMRDLHEH VQHADGWTEALEDLRSKVVVKMGIKDACTLLDTKCNVVDVNDALGALQQTIHTKADEG DLQALVEDLTGLRKQMRGELCVGRWIWKTGRPTDRHTIAWTVQVVNTNPDVFAWDKGS DHVTAIIPGLYQLQASFFTDYAPTLQVLVNGEPALVLSGDKGDSQAPNNCQHQRRRRR HAAGNVAGITLCEFLALPPRATVSITYDIDERAQGFLTLRKM H257_08717 MANVFGFGRMRDEQKDDDEEKQAYYTGGTSSHGGGSGLSVYGNP TGAAGGNPNVDNIISRAQQQGREAAEGSSTSGAAVIPAHVITFYAEGFTVDEGEYRRR DDESNREFLSAIERGHVPRELEALHQRGEHVDISLVDKRHEQYQGKPKPQYEAFAGAG QSMGASPVDASAIVRDVDTSATLKPVDTTQPTTVVQIRLANGSRVRETLNTTHTVQDL HAILRREGAATQSYVLLAGFPPKPITDMQATLEAAGLLGAAITQKWA H257_08717 MANVFGFGRMRDEQKDDDEEKQAYYTGGTSSHGGGSGLSVYGNP TGAAGGNPNVDNIISRAQQQGREAAEGSSTSGAAVIPAHVITFYAEGFTVDEGEYRRR DDESNREFLSAIERGHVPRELEALHQRGEHVDISLVDKRHEQYQGKPKPQYEAFAGAG QSMGASPVDASAIVRDVDTSATLKPVDTTQPTTVVQIRLANGSRVRETLNTTHTVQDL HAILRREGAATQSYVLLAGFPPKPITDMQATLEAAGLLGAAITQKWA H257_08718 MDDTRVRRATADEHEVLEEFLRSTYVENGMAFPFLEHSKQDAWM YVAEDSHGDTIAAASAVWDASTSTVTLEHFAVASPCRHRGMGSQLLAEVDSLVQLDIP SCIYLSFVATASPMIEAWAQSRSFREVSGGFLPHSSSRYVVYRKHLLASPTNAKESHD IMGDFLAQAMEDDDVATPTSDDDPHHMDTSLLQSKNDLFASLLSNIPLGKLQAMATSL DVDAAAVSIKAQDNTTMSGNAAFDIDDSSSTTATRLSVPGINQHDPSLDSLVRLLMHQ LQDPAQRGPLFNGNSTTIFSNHGVAHSTHTPPVDVPAIAFDT H257_08719 MYASRGDEHVAARKEWFFRRLLSSDVRQRAASIQKIRVELLEME PHVLDVHVPSLRRLARDAPLPDVRAGCLDILDELNTPHDAHDDTPVSYYMDEREIVDV TATHDPDVAAIFVKCFLQSGRVSHLTRMLAWHTSYLKLHRGLITSIMLSDGPLPLEWR NYIALMAASEYRCHYVSILHQHYFLINGGDATWLDGLDYVPSKLARLHSLNALLAHQP WLVTSDDVASLLQTTTDRDGGSSSSWSVSELVHAIIVMCMFHSMSSIALGLGCVDEAD LTLLSSSAMFVPTMRSRRKSSNLSDRRSECSSTGGPEYDVARMEVEEAELRARLEVVP PGSGDDDGDDDDSSCSSISSGDDESLEMDGEAPFDLAAAPLSGHGGGRQSAHHRRLRQ STHHRSRGDSMETVVPLKGDMWRYCSETIDEYVDFDVRSAEYNVLHTEDFSWDEHCFS LVSRYFPGPGGAILEDLFKLTMKLTYRTYGQDQASNTTTTSRAANHHPNQEEDGQETA DKAAAANQEDDDEKKSEVDTTPFRHAIWYYVHRIYGICHDDYDYRNVNIYLNRPTKHF VKKVACTPWRVTVKDFEHFSHTLTASEKCHVTLLAAEARKQAGLMYGLRAVMQHFL H257_08719 MYASRGDEHVAARKEWFFRRLLSSDVRQRAASIQKIRVELLEME PHVLDVHVPSLRRLARDAPLPDVRAGCLDILDELNTPHDAHDDTPVSYYMDEREIVDV TATHDPDVAAIFVKCFLQSGRVSHLTRMLAWHTSYLKLHRGLITSIMLSDGPLPLEWR NYIALMAASEYRCHYVSILHQHYFLINGGDATWLDGLDYVPSKLARLHSLNALLAHQP WLVTSDDVASLLQTTTDRDGGSSSSWSVSELVHAIIVMCMFHSMSSIALGLGCVDEAD LTLLSSSAMFVPTMRSRRKSSNLSDRRSECSSTGGPEYDVARMEVEEAELRARLEVVP PGSGDDDGDDDDSSCSSISSGDDESLEMDGEAPFDLAAAPLSGHGGGRQSAHHRRLRQ STHHRSRGDSMETVVPLKGDMWRYCSETIDEYVDFDVRSAEYNVLHTEDFSWDEHCFS LVSRYFPGPGVRTVV H257_08720 MLGTFEPVMPYDFAVDEVLVTAKYQRASKLVTSVFNKYHNGSHR AVDTVVVSALLQEEDALFGLQCLVEQGPAAICRERKESEVRTITSQTTINAVQGSWFP TLTLCGGLLGTPNTIMRKWDMLGDISKLTAMQEYIELLNTLLPGWDDQSEFPVSLPDH FWKQDAAAIACASCHTPFSMQLRRHHCRMCFDIYCHPCSPFVVDLQLSAGAPARPARV CATCKAAIDNDKGLLEVRRLLHENRELKVRIQTIAQDTDTTVNAQLAVAATLRTDAIA QGCNMLAVDKSIQSKWREDDNPALDLHVKTPPAHKFRPSESVEASEQLRVANRQLVMC LKVAETRAKKALDKVEITTAVLQEAIQNQRKPWRPIARLIVPFLTVVDIKRLAQTARP FQAYIATLDLERESCIRLGFPVHFRPQFWMWRACHDPDTHKYVCDLAEAVTSHLGGAS AADEDSDEALHWSSIMLKASPTWSEAYALVVAKCGENGCLEHDKQILADVDRTFGRSS LRKQARKLHVSVTDVDEKKLALARVLRAFTATHTTMGYCQGMNFLTAFMLSNVQWNEA QAFWLVTAIAVSPQYQLMELYRPGVPLLNLRFYQLHSMVQQLLPDLHAHFEAEDFHVS MCASGWFMTLFTNCDTLPADAVVRVLDCFLVYGWKIIFQVALALLQFLQVRAFR H257_08721 MWRACHDPDTHKYVCDLAEAVTSHLGGASAADEDSDEALHWSSI MLKASPTWSEAYALVVAKCGENGCLEHDKQILADVDRTFGRSSLRKQARKVPLHVSVT DVDEKKLALARVLRAFTATHTTMGYCQGMNFLTAFMLSNVQWNEAQAFWLVTAIAVSP QYQLMELYRPGVPLLNLRASTRYFGDDDDVVLHSMVQQLLPDLHAHFEAEDFHVSMCA SGWFMTLFTNCDTLPADAVVRVLDCFLVYGWKIIFQVALALLQFLQHDILQVEFEAIV DLFYSLDDAALILHPEYLIHAAHAIPVTDDMLVCLQDDYEREFPGTLGPLRCPTTEAK STPKQPSRVVNGRASLLPSLVTALSFSSGIVDAPNADDEALDIDCNLS H257_08722 MSRLYGLTAGPCWLLYLVATLASSFYYITLVVSSTSNDLWRPHF NSSGVHTFIGNVYHARLALTPSTPLDLFAVVVQKEYAGSATFMDISPSFPRLFWLDNI PLQAAVTSMRSNNFGANMRMFSQYCWADFNQRYEMVHTLLCQARCLVNDADNAGVYFE ALLRNVGTAKHPRTSTCRTSQHRVCADSGGDWVRSTSLPWLAVGDEVDLWQSHGLLRW KTQLQNIRELGVVEPISIVNALGMSTTIEINKTPTLFRGMNLWSTAYASAWNDLRWGF QHNFSLILNTPTNAVAKGIDWDADLDIGYDQTPILSTVRQFIEPFNRSTLIDTAVLSR VMDNAEDVVVDPVPPSWTNMGAFYGGNPMCVYGVQISYV H257_08724 MLTSILATTKPPSSAPYGIDTAVLSRVMDNAEDVVVDPVPPSWT NMGAFYGGNPMCVYGVQISYV H257_08723 MSCQRRGQRRCVLGNNVNDVNSNTYMYTAMLNAIMFTPVQVYFS DGPSFVQRITTFQWLSTTEEARCGGRWGLIWWQTQLKNFREPQEVDETIRITDALGRF TSTKTPRPIAGRISENADSGTTSCRGTWRASASCSARTRSSRCEISIGIRT H257_08723 MSCQRRGQRRCVLGNNVNDVNSNTYMYTAMLNAIMFTPVQVYFS DGPSFVQRITTFQWLSTTEEARCGGRWGLIWWQTQLKNFREPQEVDETIRITDALGRF TSTKTPRPIAGRISENADSGTTSCRGTWRASASCSARTRSSRCEISIGIRT H257_08725 MRHRSTPPLPDYGSVEYWDNRYIEAGNQASFEWFFPYKDVQGSL ESYLRPDKSLERVLVLGCGTSALGADLRKSGFHHITCVDFSGAAIRSMMARLGTTTQN LPPIQYLQMDVRDMSALADNSFDVVIDKGVLDSVVCDVSNSVGATKMIAEVRRVLQAK SSVFFVFSTGSYATRVPYIIETSTAVAPEWQITPVVLAVACVGIDVATAGQYQSRECQ WGNHRTQCDDERVGRTAGRGGVTNK H257_08725 MRHRSTPPLPDYGSVEYWDNRYIEAGNQASFEWFFPYKDVQGSL ESYLRPDKSLERVLVLGCGTSALGADLRKSGFHHITCVDFSGAAIRSMMARLGTTTQN LPPIQCTLHQNTTPFLMPRSPLDLQMDVRDMSALADNSFDVVIDKGVLDSVVCDVSNS VGATKMIAEVRRVLQAKSSVFFVFSTGSYATRVPYIIETSTAVAPEWQITPVVLAVAC VGIDVATAGQYQSRECQWGNHRTQCDDERVGRTAGRGGVTNK H257_08725 MRHRSTPPLPDYGSVEYWDNRYIEAGNQASFEWFFPYKDVQGSL ESYLRPDKSLERVLVLGCGTSALGADLRKSGFHHITCVDFSGAAIRSMMARLGTTTQN LPPIQYLQMDVRDMSALADNSFDVVIDKGVLDSVVCDVSNSVGATKMIAEVRRVLQAK SSVFFVFSTGSYATRVPYIIETSTAVAPEWQITPVVLGQPSHVLALMLRPQDSTSPES ANGATTAPNATMKE H257_08725 MRHRSTPPLPDYGSVEYWDNRYIEAGNQASFEWFFPYKDVQGSL ESYLRPDKSLERVLVLGCGTSALGADLRKSGFHHITCVDFSGAAIRSMMARLGTTTQN LPPIQYLQMDVRDMSALADNSFDVVIDKGVLDSVVCDVSNSVGATKMIAEVRRVLQAK SSVFFVFSTGSYATRVPYIIETSTAVAPEWQITPVVLGTMVSSSLCDDESRGQYRKW H257_08726 MESRYPRNVVIHVAKAATGDAVLERLQVHTHRVGKSKRHRCHQC QARLVFFWSKRNCHMCGEVVCAPCRRVVAVDRPATRMSKAAPTPIVQAKVCLHCVDVH FNKPPSPHNRPTKRTNAAEPPPLQRTATKIWLQSIVTSKQPRHESRRSGRHSVHRSSI HRPMRNHAFDSPRVRRTSRHSQRLYLPPKPTAKWTNPWCPPPVLPDESERLRTLRSFH ILDTASEDICDILCTLAATTYSCAVAGVTFMDKDRQWFKARHGLKQDEIPRKVALCAH AMASPTTPMVVLDTDDDSRFAKNPLVTGHAQFKFYMSVPIVTPLGHPLGTIFVADTKP RQRADADELEKLAVAVLQFLMDRLNKTDHEDVVAAHLWDQRGTDALCGMDV H257_08727 MADSLVAILIVLGVIVLIGLVLLYNSIYVVQQAEGIVIERFGKV QKVLDSGIHFVLPFVDSPRSFQWKKVVQGYNGHLETRDASSYRIDLRESIFVFPAQEV YTRDTILLDVHSVMFYRIVDIKKAVYEVDDLNMAIANVAQTQLKEVFGNMTFTEALAS QSVINAYIKKSFGERFAQWGLVVERMEVLDMLPKQGTTIADAMKRQMIAERSRRADFI QAEGKKAAMRLLSEGTKLQKYNMGVAEQESTRKTSEGSAGAKVELARAESKSLELISA AFEADGASQTEYVISQRYMAMFLKMAQNLEKKTLFFPYETKGLSGLIGDLRGVYGHHR KGAAVPPVQTNKKQTFQALN H257_08728 MSHAIPNGQVQGNNESIERHIGTLMAQSGLYSYAMPNSSQLELD SLEELDEFDYDPNFFDGITFTKDEHAVAIPGAIIDARSYPDSPSLTGYTSEESGYSSN MSVDDHHNHNGSGGKWSPTSDSSSASSSKRTINDGMMTNGKTSMFRGVTRTSKTAWGA KYSSKRIVNTCKTEQDAARQYDEYLKLHVPEKYLKYANFCPTCDKYTNSLGLPWAVKE CRCSGAGSAVVDMPPLFLARSAAATTPLTDDERDYRPVNAATSTTLTPHVVTPPSPLF RHGESTDTLDDFADLLKDEPSDLIFQENDRYTAVKNEPDDDDSMMLRTSSSLPDDEIL SAILNAPANSNMFGSFQSSDEPPISSRATPATDTPQPLASSLIVTMETYFLRKYFRND RKNLQCFPYCREHGNYFEAKMNGLEHTGKGVCRAPVKAKLFHGLGVQLPPHVVVLARC QRKVQPGPSLPHTLTLLQLTDLQAATWVRGTASDVTEADTTVYFLPEVWKFDGELPKK RRLHDDSDDDDLQYCVHVAVFGSADGGSVYTKLTSAESNVFDIQSTRTLLRQKQRKND TMCDLQIGVPEKKKLKILVVQNHQMDRAGSIVIESSPTAAAAAAAMSLKDEALLVELD LEKDILWSAPGEPIDDAVHPDAEISPDVAEATVVSYAAVHTPSNKPPTATPTTPDGSA LLSWKERFVAGPCMYSLLSIPLGVVFLVLHVLVLPFAWVGGPLLHLSDSIADVDLYLA NSLSPPEEPHTPLKRLSDRSGLIGRVGYYVLVKVPVALLAFVASFALLVVAVVAMVMP PVSRAVRVASNASAMLAVDGCKRACGQKTSASQPAANQPPHHHRQDAV H257_08729 MAAAAATHTEWPTSAEDYQIIEKVGQGAFAKVMKAYCRPKDTYV AIKIMDLEKITTSFEDIRAEVQTMKMTNHPNVLKCYCSFVYKDQLWLVMQLMNKGSCL HAMNILKKKGFGEGMKEELCAVILRETLQGLQYFHENGQIHRDIKAGNILLDGDGNVL IADFGVSGWMMEGGDRRKNRQTFVGTPCWMAPEVMEQVRGYDYKADIWSFGITALELA KGYAPYARYQPMKVLLLTLQEDPPSLRSYDDDGSGHQFSRHFKDMVRLCLQKDPAKRP TTSTLLKHNFFKKAETAAYLAAELTTKIDDIGETSINTQPMLPGMGPLYATKEPTTAE PKVYVPGTTWVFEDTPAADATPQTAAAAADEIDDFERQFLAASGGESVRKPQQ H257_08730 MPHRPFRRDGEALSRWENEIDEFDSGGHLDQLDRITLDWSQPQV HRSGDCSAGHRRMREKKQSTTPRRLSPQQGDNGLPPRIVVVVQASKPPASKPAPLTPV AVSLTSPRDQMSKVSPPQQRRQTVQDRWQPPSPRLAHSSPHVVPPPSVLVSLPRGAAG VFDAPLRPSRKPPQHPSSHTSNPPTTTTQHLLRVWPLTV H257_08731 MVLLAEPSGVFSPLPSPYSAVATECRLGVPTAHRAAVYLYLCCE SDVKPTFIEASYTAAMSQVFKSGVPTTLTRHASYFGGLVHLAPYDLTPIQVQGFHHVC ILFQHLGGVSYCPYLVHLIPLLLSQTSEAATFVAVSNLLKPHQQPGPHHAYRKLPTSV IADTTLARFFKEILQWRYTEVATALTTCQGDDDSYFIHLFHRFFVGFFPMPVVYRVLD SYLADGPKVLCRVLLAVFKLTRHEMVKCTATGEAWWAQLQAVVNGPSFDFDAMFTAAF RSWYGYLFKKANLQTMHKALEKRKSVRLQSAKHQHAHVHHHLPLLISPKSSGGRLLDP HRDAAVTSWLPLGLQMKHFRLLYNAEVHGRGLDRLYSHCERGAASPEMLLLVEELTTG SVVGVFVSHQLGVHQSFFGDHRCFPFALVPTPHAFKQLVTSPAHHHAKPVLLKYMLCL PTMMAFGVSSTSSAAALELDEDLMRGKSDESDLFQSPPLVGGGVVEFDVGGVEVYDFT LE H257_08731 MPTGSPNCPPRRRLLVPVLRKRRQANVYRGIVYSCNVASVQVWG PHDLDSPRVVFRWARALGPVRPDANPSARVPSRVHLVSASWRRQLLYHLHTIYPSTRT PTVRTVGPYLVHLIPLLLSQTSEAATFVAVSNLLKPHQQPGPHHAYRKLPTSVIADTT LARFFKEILQWRYTEVATALTTCQGDDDSYFIHLFHRFFVGFFPMPVVYRVLDSYLAD GPKVLCRVLLAVFKLTRHEMVKCTATGEAWWAQLQAVVNGPSFDFDAMFTAAFRSWYG YLFKKANLQTMHKALEKRKSVRLQSAKHQHAHVHHHLPLLISPKSSGGRLLDPHRDAA VTSWLPLGLQMKHFRLLYNAEVHGRGLDRLYSHCERGAASPEMLLLVEELTTGSVVGV FVSHQLGVHQSFFGDHRCFPFALVPTPHAFKQLVTSPAHHHAKPVLLKYMLCLPTMMA FGVSSTSSAAALELDEDLMRGKSDESDLFQSPPLVGGGVVEFDVGGVEVYDFTLE H257_08732 MNTAVYDVSTLQLGDQVCIWDFGRWPISYSHHGIVYERGTSLQT VKVAHTWSPLSNFAEAQADSYFRLTTLEQFLDGRQFKHLRRVQYNSSILGDAISKLGE VHRSASDIPPVVIARCKFLLGAGRGHFNILSLNCEHVAYWCKTGRLFAKQIFTTSPTE VPYIRQRSLSCKVDALFATMHELKERYRIECMALCSRLNDIDEGGSRKRIYIKAKDRS NRYLQVRGEHVYLVECDFEETNPLLQQFPTPFYAFAEHTDVNVVKVSFREVATGRMVC SKAKCVKLITQRLYHRENLFRFEYAYNGELQSRRLRRWYIGARPKDGLVRTLVSSDDA MAFEFVDAKMLAASPASEPPVAPSCSDATNDTDISSS H257_08733 MRRAKLMFPHPSFGHTQSPSVPSRGGGPPPIMLSPHTIYENSHP EIYIRVRINVEAVGIFSKVLMPKRRHPSKVCAAATINEEDVAKRNDVWHSDVVGYELR SSGFLVVTVQRCIPPDLVMSNVFIYFRGGGPGGVWWVSHANTTGCVLTCTSKSKLPHS EWDVTPPLVDGENIVLRQRTKLPKGDPPSSFTADSSVPPATISCAILPPLVSYCRTTV FNVFEINTVLQTFRADAFFELRFRTIAASDVPQASVVDLLDSLYINTSVVECLNTASV EGDVQSWSSYTPSTHHKGTLMDYFLLKLRFKGCFSEMLELWPFPFDTQGLTMSLTINR PTSYVVFRSNDDFPSVFLVNRFAISNLFHVVTAEHVLPRVGVSDPAESSQGYRYSCID FTVILQRKPGYYVSNVMVPITILTYLGFLSFGIESFRTRMATSNRISISVTLLLTAVA YKFAIAGALPQISYLTSLDWYVTMSSVVMVVVTVENAVFPWLCVTTADDDVCFDTEQT VMWTMFVLFTGGVVGSVVVMWWASVRRRNVNDTLVAQRQLRLLAAKDYPTACYKSRRA MVDHVLAKMNLPRLEWVHQKTNHDTSGPYVGHVSTETAKAHLAAFVAAEHRNICE H257_08734 MWSRFDGLGYDELEHGALPPETVQTFTLAAFVALRVIEHLVDEF QNSRRHQNLHRGIFPLAACRHHLAQLWTSTPVKDHHKLLHRDSVTCVQRVLSRRFVSD TASTIRVAVRHLLATLPENDIAVHDAAYVADNLFTSTLLSCGTAVDWVGRELGKALVQ ISVVVAISVDVTIDTIQAAAISADDRQSGATGKKKKRRDKKPMGTEMCTSTPQTAVAK ACVQQVWPHALVLVFGSFATGLEGPQSDVDGSVIGSVEMSTWIAPASSSERFVSEECI LHDVKQIYPHHALQHLRQPTNWRGIETSVYVQKLVHSFPELTPLVLVVKQFLHHHHLH NGFSDGLTSYRLTLMVASLLQDDYHSHRHDGEHHESHLGDLLMRFMHVFGSALDPHRS FPDTWDKSTKWTEGGLVVQDPLRPDLNAAKSCFAFGRVQAALPWGLCQIHIVSSYDVA WPHQFELGCLFNTTHHDYVVNILRQQWSPHSSLQHHDRQGDISVECTHKPWANDVMAL LQVLGASVCVMCGGCNNMHVPQCHLHDLLTRYLEL H257_08735 MTRPDAQEKDAASIQRALKELGKTADLMREREKKMDVSLRFQAV DSSSLESTYVVDPAITRWGPDLVPSTDMAPWPASVVESTQKTSTVVPMGLFPEIQHAW AVVDTTTLVLWDYVSSKDGVVSCPVVSSPIVSAGLVLPLPGTLFSDQVQYLLAVLTER DVRLFAVVTDKAAPTSSSSRPPWKVIDTLMTAPLANTQLATSLVCTPSRRILLGGVDG TLYEYAYSPNMPSADTVKFRRIQPATTSPWATYLPNVVRDLLFPSPNAAVASLVLDTA RRLLYVTYVHSNVLSVYDIRSDIVLVSSVNLTDLARHALGNHVVLAPVVAIAPVEPAS TQRVHVVALTSTGQRLALSFGPNYTLKALYLRVLPPPPSSLSTTTAFSSGGFTHIVAQ PSGVCLLGHASAYQLLGLVADAAAPPSTLVVETASTLPVRGTLHAVVAAAIPAPLPSA LPPSSSSVGVKRSANGLQKPSSPLDFLKHLGSLGSQLTSPPPQFLAVSSAGVQKWTQT RLIDHVDMLLRRSASVTPVVEWYGAPYVAALLFGLPHNPAAAHAVCALDANDTVQGLA QYVAHLLAPLWATPVTKLLPSSSTATVLQTALDKLTALHQLVNQVVPLAVALHTDVAH LQPHHAVVRDLHALVRRVADALFGWIQLSTLLWKQESPLQGVPFHDVVGTDAGAAKFQ AQLKDLAKNVPRVVPQLLAHCASFFSVWEAAPYQGLQTIAAAKAAATLAGRDALLQES LTQLRQHCAQWLPTKPTLQLLRHILKEYTAASFYYGMVELTVAVARVYTTTTSATSCY DLLLSLATTTEAQEAVAKYACQANDGGALEAAVLAWLPDLSVLQSCPWTPTIKAFLRT NHPDWFVRLSIHHHDVDDAAEYLWTQAHDLTSKRTIGERSALLARALLCVQVTGNAQH IADVQDALDVFNMQTRVYETLRHQKVPTGVLEALQSSILNMSTLFNEYAMPYGLHTEC LRILHACQTNEPRVIASLWKQLIFGFIPPCTSPTTPAAVSQWLQAQHKALNQDPSTAS LDGSFEDMTWVANVRSYMLQMGATLLHSGADYVFPVQELVETLETLHYYARLVVNDSL DSTWVAKLFVDLQCVSPSLLVSIYVHLKHQSPKSMELHWLLGMHAIVATTSDRHPFAN LIHEHLDLLRRCYPDACDQLVRWTDLLKRL H257_08736 MPIDAASAPTATTVPPSSKPRPSVENQDEVTAEELLDQELIQRA GSLTLDPVAMAAAEEHLDVEESTAALSALLALEDNEHCADCQALHPKWAIITHGGFIC TQCAGVHRSLGVHISFVLSCTLDKWTPLQLAALVAGGNTKLNECLEFSVPESFRKPHA DALREDRAAYIHTKYVEQAFRMGPNKRRQEAILASPSSTPTHAPRQVAVSSHGSCGMV EYVGIVTIELVEGSTLAAMDVNGSSDPYVSFRLGDQVVSSHTVKHSLNPVWKETLRLS WDGASPLVVQVYSYNKLQPDRKMGVAVVDCDRLQQLDKPLDLWILTTMPREWPQNFGD HMVAAGEGFTKGVVSGITGIVMDPIRGAKRNGWGGFAKGVGLGMAGAVVRPIQGLGAM IKQTALGVLGKKGRDRVWKRGDNEVNAGSIHVKLALQTF H257_08737 MKDKAGQHDNEEPPLHLEDEDALYGWSEPHLEETLSQLTVDWSR PLTMVEQEDQLEMCRETQRKLYKQKKAKLAAQAAAEDRLVTHTRTCHRELLSHRPQAR IVPVVMELDSPPLDDTQLHSSIPRSEAELHALTLSLHARQRIRTMKLRSLSPPPLSEQ LPPATPPTVRVCTVPPAVVLELDKTSLPKVTDPPRWGPMLDDADIYAHETHYSNNLPM RVKKGLSFQTTPPLRPIERDQAQKALAHKSKTRRRLELQQLEQALSIRETRKVDIERQ MRLVRSLATLRQPLDALTIPQINVSVPSMSTLTLSIEKRPSGSATTIARSVSTPLLEP PRPNRGYNRYVT H257_08738 MVKRKKHDKDKYYNLARQQGYRARSAFKLIQLNKKYDFLSKAKV CIDLCAAPGGWCQVAAKYMPASSIILGIDLLPIRPIRGVKTYECDITTARCRQIIKQE MQSWSADVVLCDGAPNVGSEYSKDAYVQNELALIALKLAVDVMGKGGTFVSKVFRSQD YNALLWVFKQLFKKVSATKPLSSRNESAEIFVVCEDYLAPHSIDPKLLDPEHVFAQLE KEKDSALTIFHPKYGQQKRHREGYDETLGQTLTRTLTVSDFVGTMDAIRMLTDATSIA FGPDDDMFKDHASTTHEIKACLSDLKVLGKGDFKSLLKWRTKMQKYQDELLKAAAPEE DVDVLPVEPTPARELTEEEKNAAVREELAQLRANVLAKKKREKKKEREKKAKERVRAA FGMNEAGIELTDDMQAFSLKKLGVKNVADVDDGAYISDASDSDDDIVAPGSRGTAQGD DEDGSDDDNGYDALLESQMDKLYDDYISRKGDGQKTKKAVKRTKIAKRALAGEALVED HAMFDGDQEAYRKQINPEESSDDSDDENDNQKANNPLVVSLRRPERPSAVASRWFSGN ALFDEVKDELPQVVVDKDGDAVPVMPLTDKEKRHLKRKEALERKDRRGAKKQRLEDEE LAKLASDDEDGFLDDEATATAKEEARKTPLTALQLQRKNEKESLIKAGMGSAAHIPVS STSAFEVVKADVELPVMDARQYDSDHEDYDEEDKARTMAIATMMLKKHKAKEMVDDSY NRYAWNDPTDLPDWFLDDEERHYRPQLTVPKHLMDQMKEKFMDIATKPVKKVAEARAR KRRQTLKKVKAAKKKANDIANLPDMSTREKLKAIDKAMKTAKTKKESKLYVISRRGRS AANTKGHKKGDKGAVKVVDPRMKSDKRNAEKREKRKGGGKRKK H257_08739 MPPSTEVSSHDITISECPHDPLPCHGSIDSSSDFSFLQSPDHAA NPHATSWSTRCCRAYSPLRMLVMCLIVAVSFAAVIFLGFVMQQEVTKDSASLNASDFP EVTAFDIFVFSLPNSCRVLSILGVLTVMLCYVLKPTFRFNSMPLIMPLLLSQLGFLAS RFYVAIAPLLTLPDRNSQWNSTNHQAFMCRTTDYCGLPSSILSTTFNLSQIAFTIAIT LRLLQSVGSPVEALCDTRHMDRKLNRWIALVLSLSVAVAVAISFATHPDDATTAKQQK KQPNLCRYNNHVCMSPETQMLNNMIAPAIGLATVTALYFRIRAKIHDLYPANARRTFK SVATYHVMVFVVTWGISLFLFSLLTVLRQLPDPNPKRTTAPWPLHSKVNLEAVRTKMQ FMLLTFVPYDLQGFLTSVVAICSYFRLRTRFDLGLSLKAINPSSIEFDEPLAILGQGA FAVVVKATWFPSRQLEYGTVLGCLFPMTAAKSSTSTDNHPRRRPMSPHDNTSVRVAVK TFKLERNGANSTLNGIQEEAYLASKLIHPNIMATYGCYTVGSTLYLVCEYLGGGTLQD VIDTAQPLPYEQVLLYALQIASGMEFLHGLAVPVIHRDLKPLNCCFDQTHSTLKLVDF GFSRLFRADPKQAASNTTPRPTTCTDREMESRRTNLPLFSSATSRFSRVPEQLGDSGH TCPSLLLTSRVGTVCWAAPEVLSEDEQTRYSLKVDVYSFGIICWQLYTGKQPFSDIPG SVLAVEEAVLQGTRPRIPDDCPVHFAKLMRRAWHANPDRRPSFVDVVRVLAAELNQFH MFGTVRSEPKSPCDWD H257_08740 MQFMLLTFVPYDLQGFLTSVVAICSYFRLRTRFDLGLSLKAINP SSIEFDEPLAILGQGAFAVVVKATWFPSRQLEYGTVLGCLFPMTAAKSSTSTDNHPRR RPMSPHDNTSVRVAVKTFKLERNGANSTLNGIQEEAYLASKLIHPNIMATYGCYTVGS TLYLVCEYLGGGTLQDVIDTAQPLPYEQVLLYALQIASGMEFLHGLAVPVIHRDLKPL NCCFDQTHSTLKLVDFGFSRLFRADPKQAASNTTPRPTTCTDREMESRRTNLPLFSSA TSRFSRVPEQLGDSGHTCPSLLLTSRVGTVCWAAPEVLSEDEQTRYSLKVDVYSFGII CWQLYTGKQPFSDIPGSVLAVEEAVLQGTRPRIPDDCPVHFAKLMRRAWHANPDRRPS FVDVVRVLAAELNQFHMFGTVRSEPKSPCDWD H257_08741 MQFMLLTFVPYDLQGFLTSVVAICSYFRLRTRFDLGLSLKAINP SSIEFDEPLAILGQGAFAVVVKATWFPSRQLEYGTVLGCLFPMTAAKSSTSTDNHPRR RPMSPHDNTSVRVAVKTFKLERNGANSTLNGIQEEAYLASKLIHPNIMATYGCYTVGS TLYLVCEYLGGGTLQDVIDTAQPLPYEQVLLYALQIASGMEFLHGLAVPVIHRDLKPL NCCFDQTHSTLKLVDFGFSRLFRADPKQAASNTTPRPTTCTDREMESRRTNLPLFSSA TSRFSRVPEQLGDSGHTCPSLLLTSRVGTVCWAAPEVLSEDEQTRYSLKVDVYSFGII CWQLYTGKQPFSDIPGSVLAVEEAVLQGTRPRIPDDCPVHFAKLMRRAWHANPDRRPS FVDVVRVLAAELNQFHMFGTVRSEPKSPCDWD H257_08742 MNIDDQPRPSTPDALDVSAACKDRGGSSDSDFSFLQSPTKPLST GLESWRTRCCRMYTPTRMLVACLLVAAAVAASVLLGYIVSTEFNGITPTPNRDVSSSS PPILTGFHKTTWDAMVFSLPNTCRILSLLSVTSVLLYYTWNETFRFNAMPLMLPLLLS QLGLLTSKFLVAVASIFSSDHVVPVTTTTKASRFVYTPVCKPTVYCGLTSSILSTTFN LSQIAFTVAITWRLLQSVGTPVEALCDTRHIDKQINRWIIGIVALSCAVAVAITFATE PPQPPSPTACQYNPWVCTSAETQLLNNMVAPAIGLGTVTALYFRIRANIHDLYPANAR RTFKSVATYLILVFVVTWGISLFSFSLLTVLRQLPDPTLKTRSAAAFAPPSRAALDAI KRKVQFMLLTFVPYDLQGFLTSVVAICSYFRLRTRFDLGLSLKAINPSSIEFDEPLAI LGQGAFAVVVKATWFPSRQLEYGTVLGCLFPTTAAKSSTSTDNHPRRRPMSPHDNTSV RVAVKTFKLERNGANSTLNGIQEEAYLASKLIHPNIMATYGCYTVGSTLYLVCEYLGG GTLQDVIDTAQPLPYEQVLLYALQIASGMEFLHGLAVPVIHRDLKPLNCCFDQTHSTL KLVDFGFSRLFRADPKQAASNTTPRPTTCTDREMESRRTNLPLFSSATSRFSRVPEQL GDSGHTCPSLLLTSRVGTVCWAAPEVLSEDEQTRYSLKVDVYSFGIICWQLYTGKQPF SDIPGSVLAVEEAVLQGTRPRIPDDCPVHFAKLMRRAWHANPDRRPSFVDVVRVLAAE LNQFHMFGTVRSEPKSPCDWD H257_08743 MNLIARTAQRMPRQFALDAFCLRQFDDPNYKGTQLHHDKAAFEA KINQLFDDNEVTLVDGYAPFCKHLFVPNFVGARLSSAAITADNAHLLQSDYVSRVPAE LPVLVRWFPVSQVTPAVAQYLDVILYSRAQIEAENRATGHEPDAANADVPWGIISVKA QDVPYELPMNPITMMRNALGKDEGGSGVPLTRDAYLEAVAYWSKNAVLQ H257_08744 MDIMMVLSTDDVKRLKNKFVRPLSVDEFVFIMMKCLHDHIHNEL EFVISVIELYETIDVNGDGSLEWDEFAGYIVDAGIAKAEEAIVAQATIKLYSPLVFKG EAANSIQPMAADRTYIQQVTILAGRQALAYYEHASDVVHIYLFGHDRDVEPRFASTIR LHTAYQAHKVLYIEDIATRSSLAISSYLTTGCITVWDVTRLYNPIPLQRVDMAVPQEV LTWVPSHQLLLAATTQSTYKTTAKKPQQHQFTFVTALDIATLERVPLDVGVKHVTALC VVKRSTRTAVAIGSMDGTITVHDMAKESQHPSHNIVVDAHEKGVKGLTYSVKFGYLAS MGHYSFAEESTMEVLVWHFDDENARVLLDRALSGHHAALCAVTTVDSESHLVSGDEGG MMRVWSVSTWDCLQTFHTSQHISTLRCQVVWPTSPQADALLMCAGKSVEFHDCALVRE REEFLFVDFNATFNVILAATYHRLILWDMASGDMRKTYELHVIYNGKPATHSVTAVCL DDRERKLIVGDDAGQVLVVNLVNGNLMKELDPHAQAISSLSYVASSKCVVSTAIDATI HICDENNAHGYYVPFAGAPLSVLLRSLRLSPAVALLPPRQRRHTMAIVASSSVAPTSS SHSPDIDVLTSVSSDSLRLIATVSSCSIGESYVQLWDFDSSVLVQTCVAPDPTAEISC VVFLPGYPGLVAGLSTGEVFVWGVRPSPASSLGCLFQLTQWPLSPSMIPAPSLAAHAP AITSLLTLPLATTDEPPGVLIFAGDEAGQVTRWTLPYDAIVGSGLVANDADGDDDGVT TEATFVTALPSRQPVTATRCSTPSVASTTSSATSGRHTHTDVAWDTMAKSARSAKLAS ASPAPVASDVQWQVDGGGVTKLAKASVTLDVYSVLTCVATGKIQAWSVDGVDQGTLDY FATRRQPVHAPWRLPVDRSLRLQQQQVQANAVLQQVRGVTALLQNLDVKPPNHQGPQS YPNMSSKLSTPATPASRQPRTTTTSPNSVDAMVTPRTATRFQTLGQLVSRNERRALQQ PDNDEDSNVSLDVVLHDIGKLNQVARTTHTRKKRTIHTADTIMERSLSMPTLLLKPAA TAAAVKSRKGGSSKGKTPLLSRSTTSTCVLPPLDLRHELAEMALAKQHQVDVADSDST QSVLSYHLKLAHAWQQ H257_08746 MLCSNFSETSRKSNLWWHQTTMWWLALSSSFVAVVASTRPIPNH TVPLLHSILDAEIHVVESLLGLYNQQARTLALSIPVAQPTSQPANLKAPPTAGLKTWQ HLEPPSAEPHHGLDTVLVDKASHLFAPDVTALQLLSWRVHTAGRRRKLVDTDVETVDY LAVARTSGLLELRNPRTFELLWQLHTRIKCITSIHQLAGTRSALALVSSHGDVTVFTV RVLENGRLRIGEPQRDRTSDRPVCLVEAVDVPPKVFKWGEPQPKLTSSPPPVGLHVDM SRLFQTPRGPEFVGAKVLLVQVSYDIYVVVATTQGDLLIYTHGGICVHHVALHRPLAA LVALLGGSIAFTLGTDVGILNVPQWGHPIQLCTGSTNALISLERDVHRPSILYAGTSA GTVLVLRLHRSFSQRQPRAACSIQQQLVPQDQPTLTGWGEVTSRATHVQLASLKRLVF VVANRTFAGYQLVDTNVARRLFATDWNDRHNTTHTKLLVTRDKTHDALVVLSSRFANG STLVVVHENLMVQDAPHYDVSWVRAPLMVVCAGGFIVWQKYRKKMRPNSVDQDEILQI ARQLQRQTQYN H257_08747 MSFNISTLLHRAQAVSNDAFHRFHTKVLASTASTAATTPISSTF WRGSSALSKKVASSNDAHRTATTKTAFFMTQGLSEELVLTIAGFLDAASLCRLQQTSR QWRHHIVVHASTLWQGLAFKELGINPPMLANDVLGYLGTYQTAVEGELRHMNEVKAPY AALEQFCLAEPADHGIIGMFCDVCYFDDVCIGQAIAKQRFGAMAMVVVQQPRHVQRFR SASHYVGPINFVPLENPHWPSIDLPKVDPKTPGFRGYAYNLVHMIPGYDHLKPTVVRA ILKNIMVFDSAEHAAAYFTMSQTLAPFVTLDNAQPAYELGFASPLRGSLSALSMDQKV HTLTRWRDNTRHAMAYIQYGR H257_08747 MSFNISTLLHRAQAVSNDAFHRFHTKVLASTASTAATTPISSTF WRGSSALSKKVASSNDAHRTATTKTAFFMTQGLSEELVLTIAGFLDAASLCRLQQTSR QWRHHIVVHASTLWQGLAFKELGINPPMLANDVLGYLGTYQTAVEGELRHMNEVKAPY AALEQFCLAEPADHGIIGMFCDVCYFDDVCIGQAIAKQRFGAMAMVVVQQPRHVQRFR SASHYVDPKTPGFRGYAYNLVHMIPGYDHLKPTVVRAILKNIMVFDSAEHAAAYFTMS QTLAPFVTLDNAQPAYELGFASPLRGSLSALSMDQKVHTLTRWRDNTRHAMAYIQYGR H257_08748 MSGLAAQSLNGVKIYNLSAGKTLPQWIAQKTRRALSRDEDYQRR LELLQDFHFPVSSQRVTMSPDGHYVIAAGTYPPSIKVYDVRDLSLKFERRLDSEVVRL ICLSEDFGKLALLQLDRSIAFHAPYGTHTSVRIPKAGRDMVYHRGDCDLYIGASDSEI YRLNLDQGQYLAGLQTDMPAVNVVRLNPLHQLLGAGGDNGVVEMWDTRSHTRAGSLAA ASTGVTALAFDVDGLTFGVGTQTGEVKLYDLRSSTPLLTKTHQYGLPIVDLTFHEGPS KQILSSDAKCIKVWDKHSGALFTNVETSADIRDVCVVPGSNGMSGVLMVAGEQERVMS YYIPELGIAPKWCSFLDNLTEELEEEATTTVYDDYKFITRVELQQLGLEHLMGTPLLK AYMHGFFMDARLYGKVHAVAAPLAYDTYRKDKIKQKLEAKQANRITIQRRLPKVNKAY AEQLLAQQAKKTSAKEHEDVSTTNPLGDDRFAKMFMSSDFHVDEESDTYRSMHPNAQY TKKKRSDDVDSDNDDAVDEDRFDLVRDDNEKEGKPSDVSSSDDDDGSDDDKKTPVKKL VKAPKFFELAKGEAIKNVVGFGSAADRAAQRERRQLSKLPLADRVKLERVKGRERSVM KKVDDHGTSSGGMVREMSYMPTHSHGKRASRGPAEADHARKKRGVQDLKFKAEHRRPS AGGRGGRGGRGGRGGGGGGRGRGRG H257_08750 MMWNYGMLVPEVTALHRAVRGTDNQLDCITSTLSPCDIPTTVRA HVHASTTTSPQHNQPITWRSPVLVDMHTQRNHKFKLKRQFLEMHGQVLYLGKHPTSMQ VLLHLDDVTAVEPSCLEPHSLLVVTASTYILVALADEREYTRWKALLRHARPLGYDGT LQIKAHDDVPTVDTTLRTDWSVAECMAHVGLDEDKFCIHVNGTSQYWTDPSACLEDFA PPGKSLQLTAVSYPAPQISTSSRSMCNALNVRFHKICHVFQHVVDVKGGHRHLTERLD STACVLRLEVVEGSHVHCAVDTAPFLLMPDNSRHLDNVWYTLWNVPAASLTSSWRLMC TVFAVTNQPEEDGQGNDDASTTWTKLATTGMQLRHVDGDVVDGHTHLQLLDSTADLLH GPLPLGVVHGAPFVHMEFQWQDLDFTRRPSQQLAALSDSTIMKQGLVHVLQSSSGGGG GRLGSGKLWSHWVSKWCVLTSHGHIVLRPVDHTDPGAGTTKLMSFSLTDATSFHVTDK LNATSRRSSNAGSKSTQKVHTTHAFTIDVDAATTLVLGVATRNGRDDWLHTLRVATSS VAARKSIDWSFRGTSLSDDTTTSADDHVSSIITNHHWLQWLLERMAQDPLFALSSYQR SLLWQFRQSLPKTFALLPRLLTCREWRQPMYHDELVSLLDTTLPPAHPTEYLSLLGRP LAHLRIVREFAIDKLDSALDDDMLATVLPQLVQCMKWEPYAASRLVSWVVHRAVDGAP MLLGVPLFWALHVESFVPHYTQRFQLLVQAYLMAAGRSMRRMLHNQLDLCQHLHRIAR DMQTAAATSSLDSQLRARLCALNVSFAGRLSLPLHSKCTLVEFISSECRVLKSPKRPL WLTLETASRTKVRVIFKAGDDVRQDMVTLQLFGLMQQLWRDANIPVQLQLYECVATSP SSGVVEVVGDAITTAAIHKEGGVLGPMQDMRFAKWLETQNASSPKHYMQALDLFRRSA AGYCVATHVLGIGDRHNDNIMMTRRGQYFHIDFGHFLGHCKYHMNFKRDQTSFVFTKE MAFALGGTESPFFATFVALCGRALNQLRQHVHLISTWLTLMVPANMLELQDVHDIYFV VEALVMDLTPTEAALDFAGKIQTCLGDPYKRIDNTIHNLVHLLRP H257_08750 MMWNYGMLVPEVTALHRAVRGTDNQLDCITSTLSPCDIPTTVRA HVHASTTTSPQHNQPITWRSPVLVDMHTQRNHKFKLKRQFLEMHGQVLYLGKHPTSMQ VLLHLDDVTAVEPSCLEPHSLLVVTASTYILVALADEREYTRWKALLRHARPLGYDGT LQIKAHDDVPTVDTTLRTDWSVAECMAHVGLDEDKFCIHVNGTSQYWTDPSACLEDFA PPGKSLQLTAVSYPAPQISTSSRSMCNALNVRFHKICHVFQHVVDVKGGHRHLTERLD STACVLRLEVVEGSHVHCAVDTAPFLLMPDNSRHLDNVWYTLWNVPAASLTSSWRLMC TVFAVTNQPEEDGQGNDDASTTWTKLATTGMQLRHVDGDVVDGHTHLQLLDSTADLLH GPLPLGVVHGAPFVHMEFQWQDLDFTRRPSQQLAALSDSTIMKQGLVHVLQSSSGGGG GRLGSGKLWSHWVSKWCVLTSHGHIVLRPVDHTDPGAGTTKLMSFSLTDATSFHVTDK LNATSRRSSNAGSKSTQKVHTTHAFTIDVDAATTLVLGVATRNGRDDWLHTLRVATSS VAARKSIDWSFRGTSLSDDTTTSADDHVSSIITNHHWLQWLLERMAQDPLFALSSYQR SLLWQFRQSLPKTFALLPRLLTCREWRQPMYHDELVSLLDTTLPPAHPTEYLSLLGRP LAHLRIVREFAIDKLDSALDDDMLATVLPQLVQCMKWEPYAASRLVSWVVHRAVDGAP MLLGVPLFWALHVESFVPHYTQRFQLLVQAYLMAAGRSMRRMLHNQLDLCQHLHRIAR DMQTAAATSSLDSQLRARLCALNVSFAGRLSLPLHSKCTLVEFISSECRVLKSPKRPL WLTLETASRTKVRVIFKAGDDVRQDMVTLQLFGLMQQLWRDANIPVQLQLYECVATSP SSGVVEVVGDAITTAAIHKEGGVLGPMQDMRFAKWLETQNASSPKHYMQALDLFRRSA AGYCVATHVLGIGDRHNDNIMMTRRGQYFHIDFGHFLGHCKYHMNFKRDQTSFVFTKE MAFALGGTESPFFATFVALCGRALNQLRQHVHLISTWLTLMVPANMLELQDVHDIYFV VEALVMGTYTSVCMRFSSFDWNIYRLDAHGSGVGFCRENPNLSW H257_08751 MTWSRILDQGHFVDLWKAAIVTDAIYIRVRVPPPSNLHTNLLAS GDPGHSTDHTSTNGVSPSAPPSSASVPGLKQFYGATVPNVKSPATVG H257_08752 MIRIPPQDLQAMTARRGSFLSNTFSRGQSFVLPPPVPLCATTAS LSTSSSTDDSSASDSTAIDIPSFHSLNPYAHYGSSNDTSAASRWQPVPRLVHSKESLT LRYAIGDILTAVHAHVTPATLEIRAATPEYRLESDDWIGHATTASNGDNNTVKAVARL PMDLLKLNTSSATSEASPALSVSGWLKKRGETNKAMKKRYMELEDRALCYYKRKPETK TGESLPRAQKSLFMKGQIDLDSVSSVQPTVIKGASVTWGIDLVTTNRTWTLQAESEAD YDMWVNCLCNSVPFHSVNIVFRRMLQLAEVSASGPNEVRMVLLPSHTVEATVANVFKC YHNMLDATPLHPHDPKDYVLKFTGFRDYMLDPLQEIGRYQHVAECFLTRKTLGLTLVH ISHINQVATMSPSLFAAAAFLHAISPPHDTSMAITTLGADWKCQPPQQAGAATSSSTT GGSSRGEVVASGQIGTSSAVRLQFRRVVNIPRFTCALKRSAHDAGAVERKPLTCVSVF VRVELYDGGQLLEVVGETAEVPLRAIPGGTNLLVAQWGPNSTTDPALSSWLESTLRLC NAPRSTRVVLTVCSGGPRQLDRGCVLLTTGYNLFNIDGVYVQGEQYIQMWDNLHHARY GPVPHVVAPERPFVHLELQSNSSSSNNNNSVVVFDWCEQLQLPHEPPPLVVQPYITPS SSSPSPPKIALKARRADSVTKEGWLKKTGKFHSLTNWQPRWCVLSQVYGALYYGDGPN MRHKGAIDLAQGATVTTADELNETYTTFAVSKCTRKEQRTWVFKVQSNETSREYILCA ATRQERDEWMLAIKVVANPGIDGTAESVADLRSLLMRDPLFQLSDFQQTLLWRHRLLF VDSFEALRHVLECVNWQNAVEVADMLALLPQWAKPQHPAAYILLLDMQFAHEGVRHFA VTRLGEMADSTFRCFLPQLVQALKYENHHVSHLAKLLIQRAIENPNQIGFDLFWAMKV ESYNDQYQERYGLLLNAYTDVCSLKMRGILELQDKLFAEKGEFERICQHVKALAHAGK TKDEMVAALRERLTTLNETLPLSYQLPLDPRVEVGKIVVRKCKIMSSAKLPLWLEFEN AEEGGDPVVIIFKAGDDVRQDCLTLQLITLMDEMWREDGKDLAMEPYKCVSTGPMTGM LQVVLHAVTTAAVHKRGGALGGIFGAFNDVSFSDWIAANNGDPRSYKTAVNLFLRSCA GYCVATYVLGIGDRHNDNIMITKQGRYFHIDFGHFLGFMKYQYGIKREQTPFVFTPEM AHVFGGVGTDEFKRFQTTCGDAFNVVRRHLHLLVSLLLLMIPADMPELRTRHDISYLV EVSATERTDQEASAWFAGLIVECMNNTFKRIDNALHIIKHR H257_08753 MAATASSDDSRWGSTAHTYDENSFSVMGRPSNFSGNSGGGGGGV STPDFHDVNPYAHYGRVPATSTSTNGGKSHRRWQPMQGIVHSKESMALRSAIGSILNQ VYEHTSAETLEIRANTPQYRLEKGDWVMHNAQANNIDVPIKTVARLPLSLLDMSKAAG QAISTHTLGRHLAHDGYLWKRGESASSTMKRRYMVLQDKVMRYYQKPPESSRKLFGGA PKVKGSIKLDDVSLVRPFQGQPTNHVIELVTSDRTWVLQAESDGDYQEWVRVLCHTVK FQCVDVVFRRMLQLAEVDASGANEVRLVTLPSCSVKETVEHVFNCYHNMLEAVPLHVY DPNDYVLKVTGYRDYLIEDNQPVGRYKHVRECILTKKTLCLTLVHKSKIAEACDQVDD EIFKTYTRSTGSNSRHGLRQMSHRRPSWNCTTLEYDHLDDMDMYDHSALLPSSQCMDT LRFSINRVVHIPRHTTHVHRTAHAKGVMTRPLQYANCVVQIELVHAGQRVELVGETSD IRLKSLPPPPTKAAGATTPLPDLIGVWLAPKAFATRLRVCQIPRSARIVFTLFGVSAD GRERIMTTGWNVFDVDGMLVPGEHFVQFLDNTHTCTTGAVPHVVFPDQPFLQFAVETE HTHQYVEFDWRVDNPATTYTPGAGLPLTTWIKEDETVARLSPRSPTLNRAGWLQKTGK NHKTTAFKLRWFSLDQFDRTLSYQESPTPSPAPLQVIPLAGAEILRDDLLNKVLTDKL TATTRREIQTWVFKVRPANGTREYILSAETSQERESWVNALQLVASEDWVDDKLLLPT RALSSVHCRSGHKVLQYLRNLIQTDPLYRLSAFEKSVMWDNRYDLMDCFEALPRVLTC VNWLSAREVAEVSSLLTRWAAPSHPAGYIALLDKEFACDVVRTFAVDKLSEMADTTFS YFLPQLVQALKYENHHVSPLAKHLIKRAIENPNQIGFDLFWAMKVETYNDQFKERYGL LLNTYVDVCSHKMRSILEIQDKLFSERGEFEAICQEIKALHHRGVTCDDLKQALRDKL TELNPKLPNSYQLPIDPRVEVGKILVHKCKVMSSAKLPLWLEFENAEDGGDPVVIIFK AGDDVRQDCLTLQLIRLMDEMWREDGKDLAMEPYRCVSTGPMTGMLQVVLNAVTTKVI HTRAGTGKLLGKAMGSFNKNCFVDWIKENNPRDSAAKAAGDLFLRSCAGYCVATYVLG IGDRHSDNIMVTQQGRYFHIDFGHFLGYIKYQPVAGVAWKRETTPFVFTPAMAEVFHA TSKVTGRHEMDRFGRTAGEAFNVVRGHMHLLVSLFLLMIPADMPELQRAQDINYVVAS LYPKMTPPDAFSLFGELINKCLHDKWKSVDDVLHAWKHSK H257_08754 MSRAAPATYDGLDIQSLAQSCKLPAFNDLNPFAHYGHNKASAAQ PQHEHGPSSGRWQPVPGLIHSKESLALRQSIGSILKQVHEEVSTEKLEIRANTPEYRL ESDDWIAHKNSKNVLPPTLKSVARLPMSLLTVDPHACVGRKDLTDLLVFGNLKKRGET NKAFKRRFFDLEDKKLSYYRKEPMKNGVMLGNNEKASLVTGVINLHDVSAVQPHADHS VAWGFELVTTNRTWVLAADSEAEYYRWVEAICHSVPFHSVNIIYRRMLQLAEVSANAA NEVRLVLLPSYTVAETVVHIFECYHNMLDAVPLHAYDPSEYALKLTGFRDYMIEPSRE VSDYQHVRECLLTRKTVCLTLVHRSKIDGPELLKGLSQSQDLLYNEKVCHLTTKSSRS NLNFTTLGGEWNVHRQQQQPERPVGAADATTNQNHDDGQHAVVVGKSCDYHEPLRFCV NRVLNIPRFTTHLTRNAHEMAVEGRPLLFTNGVVVVELYNGGKLIEEPIETTDVRLKA QMDDGLIALWTEPKWYRTKTRLNEIPRSARLVFTLYGVRKSHSGSSSTGDDRERILTT GINVFDVQGLIAQGEQYVQMIDNLHRCHYGSVPHIVDTHKPLIHISLSSYHTDIAFDW SQGNGYESTKKKTNSHRSQTLEKSGWLKKTGKSYTLSQWQRRWFSLNQTTNSLSYSDD VHLPAKHTIHLVGATVWAADELNSKFTTFVTSKSTKKEQQTWVFKLRADGSSREFVMC ASTKQEREEWMSALGMVARGETFSEEDDDEDDNQSEGSDSFANTRTNSHALRSTSSSS DRPPSVLRSFTMPQSSVFLTHRASSASSVSGGVDNNARAVEDLRDIISRDPLYRLSSY EKAIMWKNRHQFKNDFDALPRILTCVNWNDSREVDEVLGMLPLWNTASHPAGYIRLLD MEFANEGVRTFAVDKLSEMADTTFSYFLPQLVQALKYENHHVSPLAKHLIKRAIENPN QIGFDLFWAMKVESYNDQFKERYGLLLNTYVDVCSHKMRSILELQDKLFSEKGEFEKI CQLVKQLHHDGKSKDDVKAAMREQLGKLNETLPNSYQLPIDPRVEVGKILVHKCKIMS SAKLPLWLEFENAEEGGDPVVIIFKAGDDVRQDCLTLQLIRLMDEMWREDGKDLAMEP YKCVSTGPMTGLVQVVLHSVTTAAIHKRGGGVIGAFDDTSFASWIGANNGDARSYKTA VDLFLRSCAGYCVATYVLGIGDRHNDNIMITKQGRYFHIDFGHFLGFMKYQYGIKREQ TPFVFTPEMRYVFKPSTAEGRDEYPRFQKLCGEAFNVVRRHMHLLVSLLLLMIPAAMP ELRHRDDISHIVEVTAQKMTDEDAAAFFADLTSQCMNSTMKRIDNTFHILKHR H257_08754 MSRAAPATYDGLDIQSLAQSCKLPAFNDLNPFAHYGHNKASAAQ PQHEHGPSSGRWQPVPGLIHSKESLALRQSIGSILKQVHEEVSTEKLEIRANTPEYRL ESDDWIAHKNSKNVLPPTLKSVARLPMSLLTVDPHACVGRKDLTDLLVFGNLKKRGET NKAFKRRFFDLEDKKLSYYRKEPMKNGVMLGNNEKASLVTGVINLHDVSAVQPHADHS VAWGFELVTTNRTWVLAADSEAEYYRWVEAICHSVPFHSVNIIYRRMLQLAEVSANAA NEVRLVLLPSYTVAETVVHIFECYHNMLDAVPLHAYDPSEYALKLTGFRDYMIEPSRE VSDYQHVRECLLTRKTVCLTLVHRSKIDGPELLKGLSQSQDLLYNEKVCHLTTKSSRS NLNFTTLGGEWNVHRQQQQPERPVGAADATTNQNHDDGQHAVVVGKSCDYHEPLRFCV NRVLNIPRFTTHLTRNAHEMAVEGRPLLFTNGVVVVELYNGGKLIEEPIETTDVRLKA QMDDGLIALWTEPKWYRTKTRLNEIPRSARLVFTLYGVRKSHSGSSSTGDDRERILTT GINVFDVQGLIAQGEQYVQMIDNLHRCHYGSVPHIVDTHKPLIHISLSSYHTDIAFDW SQGNGYESTKKKTNSHRSQTLEKSGWLKKTGKSYTLSQWQRRWFSLNQTTNSLSYSDD VHLPAKHTIHLVGATVWAADELNSKFTTFVTSKSTKKEQQTWVFKLRADGSSREFVMC ASTKQEREEWMSALGMVARGETFSEEDDDEDDNQSEGSDSFANTRTNSHALRSTSSSS DRPPSVLRSFTMPQSSVFLTHRASSASSVSGGVDNNARAVEDLRDIISRDPLYRLSSY EKAIMWKNRHQFKNDFDALPRILTCVNWNDSREVDEVLGMLPLWNTASHPAGYIRLLD MEFANEGVRTFAVDKLSEMADTTFSYFLPQLVQALKYENHHVSPLAKHLIKRAIENPN QIGFDLFWAMKVESYNDQFKERYGLLLNTYVDVCSHKMRSILELQDKLFSEKGEFEKI CQLVKQLHHDGKSKDDVKAAMREQLGKLNETLPNSYQLPIDPRVEVGKILVHKCKIMS SAKLPLWLEFENAEEGGDPVVIIFKAGDDVRQDCLTLQLIRLMDEMWREDGKDLAMEP YKCVSTGPMTGLVQVVLHSVTTAAIHKRGGGVIGAFDDTSFASWIGANNGDARSYKTA VDLFLRSCAGYCVATYVLGIGDRHNDNIMITKQGRYFHIDFGHFLGFMKYQVRRQDNR I H257_08754 MSRAAPATYDGLDIQSLAQSCKLPAFNDLNPFAHYGHNKASAAQ PQHEHGPSSGRWQPVPGLIHSKESLALRQSIGSILKQVHEEVSTEKLEIRANTPEYRL ESDDWIAHKNSKNVLPPTLKSVARLPMSLLTVDPHACVGRKDLTDLLVFGNLKKRGET NKAFKRRFFDLEDKKLSYYRKEPMKNGVMLGNNEKASLVTGVINLHDVSAVQPHADHS VAWGFELVTTNRTWVLAADSEAEYYRWVEAICHSVPFHSVNIIYRRMLQLAEVSANAA NEVRLVLLPSYTVAETVVHIFECYHNMLDAVPLHAYDPSEYALKLTGFRDYMIEPSRE VSDYQHVRECLLTRKTVCLTLVHRSKIDGPELLKGLSQSQDLLYNEKVCHLTTKSSRS NLNFTTLGGEWNVHRQQQQPERPVGAADATTNQNHDDGQHAVVVGKSCDYHEPLRFCV NRVLNIPRFTTHLTRNAHEMAVEGRPLLFTNGVVVVELYNGGKLIEEPIETTDVRLKA QMDDGLIALWTEPKWYRTKTRLNEIPRSARLVFTLYGVRKSHSGSSSTGDDRERILTT GINVFDVQGLIAQGEQYVQMIDNLHRCHYGSVPHIVDTHKPLIHISLSSYHTDIAFDW SQGNGYESTKKKTNSHRSQTLEKSGWLKKTGKSYTLSQWQRRWFSLNQTTNSLSYSDD VHLPAKHTIHLVGATVWAADELNSKFTTFVTSKSTKKEQQTWVFKLRADGSSREFVMC ASTKQEREEWMSALGMVARGETFSEEDDDEDDNQSEGSDSFANTRTNSHALRSTSSSS DRPPSVLRSFTMPQSSVFLTHRASSASSVSGGVDNNARAVEDLRDIISRDPLYRLSSY EKAIMWKNRHQFKNDFDALPRILTCVNWNDSREVDEVLGMLPLWNTASHPAGYIRLLD MEFANEGVRTFAVDKLSEMADTTFSYFLPQLVQALKYENHHVSPLAKHLIKRAIENPN QIGFDLFWAMKVESYNDQFKERYGLLLNTKRRV H257_08755 MAAAATSDPHMLLPSVDELNPYGLSWDALFENEIHDDNNNHSLS GSEHTTWHPVPGLIHSKESVRLREAMSVILQDVHVGTSPDDLEMRAAAPEYRLEEGDW ATHDHFDNSSSTTSTADPRDSMGGVLMAVIKTVARLPADLLQEDPSHNNDSSSAPPSD SSVSVSGWLKKRGVRNVALKSRFMQLEGNVIAYYGKLPSPKLSKGDRTKLQKGVVELD KLTSLQPCGEKDLWWAFELVTTNRIWVLQAESEAEYMKWVTALCHTVSFQVINQQFRR MLCLQEVRATAKTDVRLNLQRTFTVQATIDHIFTCYTHAMDAVPLKPYTPTDYVLKLT GFKDFLIDPTRLILDYQYVRDCLLTKKTLRLSVVSKASLYASVIRKASASSLHAAGMP ASKSSQRLSSLVAAINISSRGHHPSPLLADNDDNNNQSSSGDSLSLAGPHHVADDDGT PPSPSLHGAASTTSSHFIAKSCRINESLRFCIHRALQVPGHTSQLKRTSHDVSTETAP LTYFDVVIRAQLFDGGVPLDDWTETVDTKLQPMSRSLKDNSVTSYTAVWDHPTWLRSK LKIRELPRSARLVVTLFGGKKDAGRVSADDRECIGTTAINLFDVDGLLVQGDSYVQLL SNVYRCVSGPVPHIVDPAKPYLQISWKRFPTDVQFQVGDLPGASNSVDDQPNVQYDDD DRDDDDAMIEGISTTSSGSNNSSLVHNVHIALIDKKGWLKKLGQQGTMSKWRDRWFSL NQATASLTYADSPASSSSKTIPLLGATVLIADQLNEAYTTYAVNKNTRRQQQTWGFKL KPANKARVYLMSAQTKQEREEWMAAIRAVAFESNVDGTAELDSGVSSTRHSLLFTMSP DTAADAATTMSVVRPNDNDSSSTLLEEIKLLMQQDPLVKLNKLQKHVLWTHRHLFLDQ FEALPRILSCVNWVDPVESKEALHLMHQWEPSKHPAGYLVLLDAEFANDQVRKFAVDQ LNDMADTTYSYFLPQLVQAIKYENHHASALALQLIERGLRNPNQIGFDLFWAMKVESE NDQYRERYGTLLNTFLDVSSANMRDILHLQASLFSSSGKLEAICQHVKQLKGNRKVLD EIKVDMRKKLDQLNATLPTSFQLPIDPRVEVGKLIVSKCKVMSSAKLPLWLVFENAEV GGDPVVVIFKSGDDVRQDSLTLQLIRVMDELWRAEGMEMAMEPYKCVATGPMTGILQV VLNAVTTADIHKRVGNWGAFDDTSFSNWIQANNQDKKSLKNAVDLFHRSCAGYCVATC VLGIGDRHNDNIMMTHGGRYFHIDFGHFLGHFKYQFGIKREKTPFVFTPEMAHVLGGR DAPSFAAFVDTCTRAFNILRKHVHLMVTLFLLMIPADMPELRSRDDISHLVEVCLTTM SNEDAAKAFEAAIDFCLGNRFKRLDNYLHILAHKYL H257_08757 MEGYLMRHSATMPSQVCFCRLDHGYLRFYTSADMACLVSELRLS GCKVDVKPHKRVDNIPFSFTVESQRVLVKDRTYALSPKTVVEVSGLGPSVRSEWARAI STWQRHYFKDEEPKTALDHERERERLEEALLVFRKVATDTPRAAVPPPTPSSILGIRL PSLRKSIIGVLEVLTSRARVSESTTFNTAQPHPVATA H257_08758 MSEHNSNDEPRLIEYFFVASKIGSTHSIVFQYPPQNHDQDEYPQ SVLLFMFPSRSADAPPAMYNAFVLTTATGTSLHGASICISSSVSNNYADAVCLTSLCI VSKHPFYTSLLQYLEQLAVLGTCQHRWNTQANQLLQQSHHSVPSSDDSHHQPTVHFVE QCLTNLLHEVPVPRVGSAGVLCSIAETDILLQAAPLDWEFVEYTFQLVEPENLVALVH HALLEHSILILGTDNLFITAVATTIRLLLAPLQWDHVFIPVVPHGVDIATLLDAPVPF IAGAHASQVPHPASLSSPTAVVRFDMRDNRLHGSRARLPVLPPAAEALVTLLSSHLVG ADGGESPPSLLPHILQDRRMRMHARLRESVEGSPSLHKSEGSNLSTSFLTSVNPLRRT MVKWFRRLVCEYRAGLAKQGTKKFLAQKTGTAKVFFTAWSNTSAFQQYVDQFSSEKAS SSGGSSSSLRNDRDDSDDDIDNEDDEPASLGLDAIHVPLRPAGHDVMLSFFQQASAAD EAAIPSSQRLTVSTANLSHYEIAFPTLTSDALGASRRPKLPYEVPEVACKKSRKPNST VVEWLDNWLHGGKLAKSPPAIFHRAKTIGSHLSPRLNFHRTKTNPDVPDHPWLHTACV PECMANVANCTRVLDDLWATNAKKPSLALEQAYVELIHTLGACRKTSHRHDLEAVWET CLGASPLGGIFNQLGHWRPFSWLIKTWMDHGDVALAVTWLAQIQVNYPHHHDAVLPPT SPTSPTRLFSSGSSSTSSADITTTTSSSASASMGGGLGLAPCMEVELEPLVHRVYATF GMGSLGLILQPFNDKQGCHVAGFQHQDERCRIEEGDVVDTIGGKPMMLSSFHDIVTCL VESPRPMTVSFIRGLTNVEDVFQTSGTVKLRPRREMHDRFGELFERGIRLTLLADCAT CGHRLSEADLQTTFRSTCPSCQGSLSPFFCVRCMDQPPSSPIPYFSWSYLHARMTAHD DGGGETSWPLRQWHAKDPHVYWNIVVKCLSLDCPFDSFLVDLNEDEGEVEPAAPETTT SADIHRLCQYVLGLPSSATDSKLAPTQALARRLLRVGDLVVVDTSVERHSKVHAKPSH KWRDDRVESMATTEFSSTTSMND H257_08759 MRIVIVSNVLQVLVPIVVGVVAWEYLDQRWKGHQEDARQDAGAR TTLTCPVCLDPILHPIATRCGHIFCRDCLDRWWTEGRMVSTRCPVCNKRLQRRDIFVL YPYIE H257_08760 MATDSSVSSITSSCTLPLDATIVHKYGMEFHALLAMDGPGAKKK SKITGVVFHPVRPWVAAVESKDCGVVWNYETKEVIKRFTLQIGEDVPTAVSDDTTAVA TPTAVALTKSMALSTPFSPKLSSGKAKSSSVLMFFDRESIAHVSGISRGIDCFEEWLV ILSSQQIAFCDINDAQSNRCITPDDLGRALPNSIEVLPGGFLAIGCSDGKIRIWSPRL WKVCHVIDTGAIKEIPHMLLVPSSSSSTSSSPSTAAMLHCYLIAVHVDGKAIVWSITR VSSGDFQQSRACEFDLKDANKRGGGAINTTANVDVTTCGYYELKLLLQDSFLTAVSKD GNVLVWDMSFLWSTTPTKEPRVTFVGLFHTLTPSTKPLHGTLLLGSSRHSYVYLSTCH TSMLNVSELHKGKATQDLEIAAHRVDLVKDVRTLHSKISKKIKLISVSQSPRCATLLV AATNYGLLLFHLHAYTPRQSMTFLPSLASIVLNTPTHLRSVPLGDKGKKELYALKYPN KDSVGLSIKHPSAKYYVAVVTGSHYEIVHMDATGSCHTIASGPGVGVAWHSKLLRFAV LVPDEDDVAKRGRPAAMSTDSPRKKLGVFFGKADEVPAATAGGPALPPFFKHDVDLRP HALAVYDVDASTGNCTRLVDKLTSGQPRHWIVHAFTGPLLGLVRCVMPDDGALKPSKA TTMSTVSDSTSIVTGSSITTGEATTGGMHMTLEFYEWGGAEDIAGKSSVSTALHKVGP VLGGPLLVEWEHSGKYAVLVYPTKCHVIKWEGHSSKLLPLHEISTPRPVQSVLWVHHA LFTATEDEIKCYFICGSRTFSFVIASTTSCFDGQEEMDHTVNLADFPAAQRHPGGPFM LLGVFQEKLLCGGIMHQSMYSVTLKHPVLQFCMFVAQGVPKRALEVVPMISADLLDWM ATFLEAFGFAADALDVPGTSSHVKASICIKHMLVHTLADMLPSLVASVATDSADMMGT SLIQRACAALCRGGQEAAVAALLPTCMQMNKWNDALVMAMLVQDRATMAQVLASKEEL SHALHTSNKNADVEAQWNQQMDAHGQIRPARFAGTWMQR H257_08761 MVDTSPHLYVFYGSQTGCAESIAKRVQSDALERHMTVALHTLNE FEKSGVLTQPSATVFVVCSTTGNGDPPTNAEKFWRFLKRKVHPTTLLQHVRFTVLALG DTNYDKFCYMGKSIQRRLGELGGHSYYAIGCADEAMGLEDSVEPWLHGHWEMLASTDM NGIASLVGPEANQVTTNDSHPIANTLDPTQDDQPNENSSSTKLGSIDHLHIYYGSQTG CAESIAKRIQTDAADHHVPTSLSPLNAFEKSGILGLTAPTHVVIVCSTTGNGDPPTNA EKFWRFLKKKAHPPTLLAHVRFTVLALGDTNYDKFCYMGKGIHRRLKELGGECVYDIG CADEAMGLEDSVEPYVMGLWSTLVGTTTTTAVAPAAAISLELTTATVAPAAISLDLTT TPSSPPAESTPKPPPSDYMSLDEVTFLTAYHTMFPSGPPDVVDASRLPRLQPATVSVT FDNTTFHPPTHQSVGTSGDFMATITKAKYLTSSIPSDRVVLWLELDTAGMDMTYVPGD SIGVRCPNNPDVVAYFVRRLGIATDDLHIGCTVQSIKKNAASKSSGSSSNLPTRSLFQ LLTHHYDLEAQVKKSTLRALATYCDVDSTDQRALLYLSSKDGPLHFQNFVESQRLSVV DILRLFPACRPPLASVLSLLPTLSPRYYSLASSPLSSQPHKVHIAFTIVEYDLPVVQG GTTTSRLLRRRGLCTSWLHALARPLLHPQTTPVNAAVAVQIPIFHHPTKDFTLPANPS YPLILIGPGTGVTPFVGFLQHRQLQRLKHVDDSESCGTWRGNHAVELVEHAFELGQID LFFGCRHRHQDFLFQRHLDSFIHEGVLTHLHVAASREQEAKHYVQHDVAEHGAAVYDL VARQGGYVYVCGDGMHMAKDVHAALVQVFVEHGHMTHQEAEVAWKDLALRQRYVRDIW G H257_08761 MVDTSPHLYVFYGSQTGCAESIAKRVQSDALERHMTVALHTLNE FEKSGVLTQPSATVFVVCSTTGNGDPPTNAEKFWRFLKRKVHPTTLLQHVRFTVLALG DTNYDKFCYMGKSIQRRLGELGGHSYYAIGCADEAMGLEDSVEPWLHGHWEMLASTDM NGIASLVGPEANQVTTNDSHPIANTLDPTQDDQPNENSSSTKLGSIDHLHIYYGSQTG CAESIAKRIQTDAADHHVPTSLSPLNAFEKSGILGLTAPTHVVIVCSTTGNGDPPTNA EKFWRFLKKKAHPPTLLAHVRFTVLALGDTNYDKFCYMGKGIHRRLKELGGECVYDIG CADEAMGLEDSVEPYVMGLWSTLVGTTTTTAVAPAAAISLELTTATVAPAAISLDLTT TPSSPPAESTPKPPPSDYMSLDEVTFLTAYHTMFPSGPPDVVDASRLPRLQPATVSVT FDNTTFHPPTHQSVGTSGDFMATITKAKYLTSSIPSDRVVLWLELDTAGMDMTYVPGD SIGVRCPNNPDVVAYFVRRLGIATDDLHIGCTVQSIKKNAASKSSGSSSNLPTRSLFQ LLTHHYDLEAQVKKSTLRALATYCDVDSTDQRALLYLSSKDGPLHFQNFVESQRLSVV DILRLFPACRPPLASVLSLLPTLSPRYYSLASSPLSSQPHKVHIAFTIVEYDLPVVQG GTTTSRLLRRRGLCTSWLHALARPLLHPQTTPVNAAVAVQIPIFHHPTKDFTLPANPS YPLILIGPGTGVTPFVGFLQHRQLQVRD H257_08762 MTFVSFVPASTSMFSRAIRRVAVTGPKFTRGKYSIRVSKEKDVS FATAHVDDPFHHFVLNGCWGLTALATSTAFYQFVTNLHTVPITGRTQVVVFNREDDTE MGNQHAKEALAGKTLVNSGPRFKMVKDVATRLVAVADKIFEPGFQWQIYVVQDPQINA CCFMGGKMFVYTGLLDFIDAMVEQGICSNKYNALATVLGHEIAHALARHTAETLSYLP VLIALSLLTVDSELIASIFTYFCQLPFSRLHETEADHIGLMLMAAACYDPSEAPKFWE GMKLVNEEGIDWFSTHPADDKRQKHLEQLTAEAIAYQDKASWCGDMQSKVSQLIYRRI TRRRATAGTTHSAEMAAMWDGMQATTNQPPPPPPATTIPVP H257_08763 MCDVKRDVYFFNCDSCCCCADGHCPVSMNTYLSVWVNVGRTTSL NLPTLMRLSSSSELFFSASSNPSTAVLKFSFCKSNSSHVQSALRLRWCGSSDAVSACP SSSSRFSISGSSRVRSRIGMWDGSGSDIRGAHTQ H257_08764 MSPATAAAAVRTPMSNQCASAEHEAIEVREEKLPVACCAKFGRT RFLCQRSANAFYFPHVLHVGPNLGCMLITYSIILAPTLIFVFANSLASWVSVLLIVSI ALTFLSFSMVACSDPGVIREHYVNAVGDDAGILCAHCQIRRPLNAIHCYECEVCIDGM DHHCPWTGKCIGKKTLYWFYLFLWMICIHMTFGIGTVVYYCIGGRTS H257_08765 MKLIHLFAAAAASTVTLATTTTVKPVATSAPSVTSAGVCKLQFT SVCSSDTECGDLNGFNMTCIKSGSNKLCGCLGGKDKCQIASTSADVAFQFDECTDQRR CVRGNGFTALTAEDTSKVCQEPLFCVREQNPDPVAVLKSQCHTCGSCTTQNVKNKDDG SLMRYDCAKICPTPAPTTVAPTTDPTDAPTTKASKSTTTAAPGSPGAVVTPVPSSAAL SSLAMGVALVVVAAVQIAM H257_08766 MIPLPDSFRCRLVAKVRKPLGKSRTSVGQPVELNLSKTSSFGVV SAMLVDAITSIVAVHHAEPANSKLLWDPATAKDIYVKTAANTTQDKYTKLTMDNYNEV ILQVWENANKVRNGQATFALLLFVYIDKSTENAGIRRATAHNIETSAARVASYMQEQH MELGPLQTNYASVVTARLPAATPISIPNNATMQQLGHIDRMAAEHSEARCREVSAQAE TYRMVRIRLGTLSSPPVECFIAVEDLRLILGIPPFDLTPIFREPFVGDVPIPSHNVDD IDYIDHE H257_08767 MDMGEAQVNPIMDKFVNVLGPEGILSLANFTVSVIKSLWSIVDD ALNAAWLEGRGRKSTTSPKDCLFMTLTSIELVLSNKFIVCPSMATLNETGCRFTNHAY ASYAVEKHYFSGKHHLYDNKIETAVSPHGRCVSMSYVHPGSVHDLTIMHTRRDVHKAN LTKTPREGALPDNGELSTDFPTYCACLVDMGYSGGCT H257_08768 MTTTTTADDAVTPVPPTAMTVWRETDWVPSRSMAEKDTDEYEAS FLQGNRKNILIVFAAALVVVGTAIGVIVATSTETADASQASGVSSSSTTIAPVSTPST IAGGSGELLVGSTGGAVVSEVDVTASDLTSTGNFTLANNITATTNSSDVESLIIVSPT PTTTLQPTPEPTPEPTPEPTPAPTPAPTPPPTPAPTPAPTPALVKGSIRNVNNCGYPI ELMISLDNKDQFVMVQPGQWYDLLGNYRIATIRVGRSPEATLFEVCRNDGKFWYDISV IPPNCGHGTSWEECSKGGTVKGFNVKLKVDVQYLANNHQYNCASIECTWEQCPAAYLW PSNDSKTRNCNLDESFVATWC H257_08769 MTTTTTADDAVTPVPPTAMSVWRETDWVPSRSMADKDTDEYEAS FLQGKRKKILIVFAAALVVVGTAIGVIVATSTETADASQASGVSSSSTTIAPVSTPST IAGGSGELLVGSTGGAVVSEVDHWQLYLGQRYHGHNEL H257_08770 MVRCNFSTFEGRDKVEITEEGSRKLKSRIDVKYFKPFTRLMARN CLLGDSGDQAAGYDSSLPREQDVLPNLPGQLVGLRPAHFVRDVLDPRRERILRFWSDV DIDQVEENHSQLVTAYNNDPVLRRTLDEHDNSTTFDDALGRRPSLMAASVRVLRWTSD HLHYLSRI H257_08771 MPPSRERSTTTIEGAKNNPRTESTNRCYTTQIRTIVQWVMAHGR SQGVLNRNTLNLATPHRHQIGVLTTRAGWSLGDVLDCYIHYAPAGDQYASRIASRLPE SSTALGTQPPHFGARDDPVMSRMEDIFEAETGAKPHDHDRPSERYVTESFDACLGYNG LDVTRSANATRPT H257_08772 MHVIIDAKGDNRYKMPRSKVADEDEVALARMTSRLEEEDRLEEV SNLLSDMGYHWLKLCKHFGKQFTSPNVAFQLVNRIYDRGGEENVHDFVRGPRLTRGLG SKDLLTCSSQLVFVLRDVASSFESPMR H257_08773 MDAVCSTYDVNPTTVWRIFKRGQATKAASGVANVATRIKGNTGR RPTFSPDEVEQRVKCIPLHKRQTYRSLAAATGLFVFVLWTYVRKKWMTCRSSWTRPYL KDSQKAARLDFYNAFTTGSNNIEDMLNMVHVDEKWFYLTKLRRRFLLWHDEKIMPRHL QSKSHITKVMFLVAVARPREDWDDEISCWKIVERGPAQRSSHNRPAGTEVLKTVTVTK DVYRKLLVDFVVPAIKDKWKWPADDARRSIVIQQDNARGARTSQLTMLLLLLHPKMET GTFRSRTNQRNLGFFNSIQALQQALECQTMDELIDAVKEA H257_08774 MVAATIQRMKDFVKQHRQRSLTYDERLDILWLQATLWEDNTVDV AGTIARLLGRIRDLVQTFVRDKSVTSTPTVAKDVLALLRDAHVVTVADGAKDHAACLR AVQRFLDKQGKFD H257_08775 MRYGEAADEDNDVQDANNELMDKVIEEAGDEAFRVLTNFTPNEF DIIWANKVQDDAQGCFTLTVLKHYQSWEKHAVDFDLKAPTLEKIVVKVV H257_08776 MTSLGQKFVHYPYALYATDVKFQPSHRPSGRFGEQKHYFSGKHK LYGLKIEASVSPDGRLVDMSPHVPGSVADLTLIRNRLDEHQRFLSKEASKSMINDKGY IGLAASLRAIHPKKKPTGGALDRHDLDRNKEVSSDRVIVENFFGRVSMLWKVSYATFV WGEKLYDDIQRLTFSLTNLHASLLPLRLEDHDSYRAAMARYKRMAAENTTKRAAAQRR YVQRRAERLAASAARADRVVFTSPSVNRRR H257_08777 MPAPLLPQRIQRRYNLDMRIKLFMAYGNTNLSDKAISARRYSTH NLADMPE H257_08778 MMLSSSYNAYKPIPDTPPPRFDHVQATRSWRIQVALINSMEFAA ESSRGIVMPTLFLYCQSVGGTLADMGILMSIFSIGRLVSSMVFGYLCDRPGASFRFVY MVSLAIGVVGNIMYLLADSHVANSKTLLVVSRFIVGVGAGNRSVCRADIARLTSMDQR LTYLTLLSTVVFLGYALTPGLGEVFVGVNFTLVGGISIHELNDPGLVMAAFDFVLAIC MWRGYSEVLHASIPPPSSMDTSSMNVVVHAPTEGSNTTGDDVVDEELSTRLFYFGVAV FVGLNIIGRGVLSIFETISVPLYLDVTRLSGDQAVASASAFQFNMGLLGLLSYLAIMV CRRKVADSGWLLLGLAAMVVGNGLLFFLWPLSFNEMALGIFFVWSVGSPLITTVSLAA FSKILGSRQQGTWMGILGSSASMSRIVLPLLPALFDTFAPLFVVSLIMAVVGMASLHG FHTLVTQAKAKRANRERV H257_08779 MPAIFLLSTQVLVLEPLNFVYHCVSTTTVLNESVTDQFIRYAKK IFLAASEQYTTAYVFKCNLMVWGLSAILSFASPTNHTATFDRKCEFSHVDFQLVFSNG TSYSGHFHWVASCLLPLRAPLPSDAPSPHQNSLFLAGSATHVCYVDQASAAINGILSL RIKNTFYISDLKICMFVIEEPANRRDRLVRDSEYHLLKAIPLGD H257_08780 MAGDVLEDKLGLMMLVPSDTTMRACDVGENRNSPNSELQADSAL QVAANCIAVVQPPCWCAPHQNSLSLAASATHAFDPKRWVAHEVYYVDQASAAINGILS LRIQNTFYIFDLKIWRVFVIEEPADIPDRLVRDALDQVAPQHVAENVSTSVNYYRRSS NLASPFAKSTLKLQAGGGLW H257_08781 MTTEKVNYQSIPRDLSPLTSPAASPRRTAHWYLQMFLINFIEFA AESSRGIVMPTIFLYCQSLGGTLVDMGMLTSVFSVGRLISSFVFGWMCDRYTFRTVYI LSSSLGIVGNIIYLFADPHVLSSLQVLLISRFFVGFGAGNRSVCRADVARMTSVDQRL KYMTILCMVVFLGYALTPGMGAVLVGVDVNIFGLHLHALTAPGFILSILNLITLTLML FVYDESMNSDHAPISTPVLKKPSITSKGPALLSSPLLNSTYELPTNLVYIGVGVFMVL NIIGRGILSIFETINVPLYLDVTGYSNANAVASAASFQFNMGLLGLLSYLAIEVFRHK IADTAWLLIGLAALVVGNTLLYFLWPLSFNEMALGIFFVWSVGSPLITAVSVAAFSKI LGSRQQGTWMGILGSTASVSRIVLPLLPALFATFSPMFLISLIMAAVGIVLLVWYERL VNDDKDTYGALRTISHV H257_08782 MASINLKVNSSQGSIKLSGLAPDTTLLQLKQRLLQETGLTPDNQ TLLSGYPPKLIVGDNSELLTKLGITSGSVVTLKEEVTLASTKPSTATFVRKVIAADNS CLFNAIGYCLGKGDKQNGQALRSIVKDKILSDPSVYNPTFLGRAVDEYCAWIMDDKSW GGEIELSILSAHFGVEMLVFDVVSMSRLCYGEDQGFTQRLFLLYDGIHYDAIAETGHR GPHSDKTLFSINDFVKVEHASVLAVEAHQTHQYTDVGGFTIQCMQCRQVFQGQRQAQA HANETGHFQFGEVRRG H257_08783 MPKEKTHISLVVIGHVDAGKSTTTGHLIYKCGGIDKRTIEKFEK EAAELGKTSFKYAWVLDNLKAERERGITIDIALWKFESPKFFFTVIDAPGHRDFIKNM ITGTSQADAAILVVASGVGEFEAGISKEGQTREHALLAFTLGVRQMIVAINKMDDSSV MYGEGRYNEIKEEVTNYLKKVGYKPAKIPFVPISGWEGDNMIERSPNMAWYKGPYLLE ALDGLNPPKRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTE VKSVEMHHESLPEATPGDNVGFNVKNVAVKDLRRGFVASDSKNDPAKGTETFTAQVIV LNHPGQIGNGYSPVLDCHTAHVACKFKEITQKMDRRSGKVLEENPKFVKSGDACLVIL EPTKGMTVESFQEYPPLGRFAVRDMRQTVAVGVIHSVIKKEAGGKGKAAAGKKK H257_08784 MTTTRTAPKVRTAIAMMNMGGPSTLDEVPSFLKNLFSDPEIIPL GPFQEFVSSMVVKRRSPKIQAQYAAIGGGSPIGKWTNHQGNAMCKILDEIRPESAPHK HYTMFRYANPLTEAALTEMKNDGAERAIAFSQYPQWSCTTTGSSMNHLWRELKRLDLG DSFQWSLIDRWNTHPGYIAAVSRRIQLGLEAFPADVRDKVVIMFSAHSVPMKVVYKGD PYTKEIASTSERVMEHLNVTNPHVLSWQSKVGYLPWMGPSTSNVIKGLASQGHKHVLA VPIAFTSDHIETLFEIDIEYAEEARELGIEHFKRSPSLNEEPLLAQAMADLVKDHLDS NTLHSPAYPLNCAGCENPTCRSIVNPIKPYARSRDVACGRPTSQSVHDLE H257_08785 MASSSGHGPSKKRRTLADADEDVRLYQSRLAEATKKMIVLAREN ARMKVLLQKYLDKDDTLLSTSQMTMGSQLSQPSQSSTTVLPPVPPPPLHAIHESNSED QTSSSSSYAVGDAVDSFGGSNSQDCFSSSLSSSMHDEFVFDSQLSQLDDVANTSHLDE ILSFLDRQEALDHDRLFTMQSEVATLRESATVPRVGVGVLLYSAAHPSCVLIGVRKAS HGAGKVQLPGGHLEFGESWEECAVREVKEETDLDIGDVTFAHSTNDIMPDDDKHYITI FMQATVRDGQVPVRMEPDKCEGWEWQPWEHLKSPAFQARLFMPLRHLTLSGFTPHTVE P H257_08785 MASSSGHGPSKKRRTLADADEDVRLYQSRLAEATKKMIVLAREN ARMKVLLQKYLDKDDTLLSTSQMTMGSQLSQPSQSSTTVLPPVPPPPLHAIHESNSED QTSSSSSYAVGDAVDSFGGSNSQDCFSSSLSSSMHDEFVFDSQLSQLDDVANTSHLDE ILSFLDRQEALDHDRLFTMQSEVATLRESATVPRVGVGVLLYSAAHPSCVLIGVRKAS HGAGKVQLPGGHLEFGESWEECAVREVKEETDLDIGDVTFAHSTNDIMPDDDKHYITI FMQATVRDGQVPVRMEPDKMGVAAMGTLEVAGVPS H257_08786 MAQRLPAAMRKMPKREKFIPSFPSWNIFRGDLVEVISGPHRGKQ GEVKEVIREKNAVVLNDINMRHRYVKAKPGVAPGRIYLAPGAIHYSNVNLIDPSIGKP TRIGIRFGEDGERLRISKKSGTIIPKPDVLKERQSPRRTETGPLDTAPEDVLERTVDD SEITRL H257_08787 MLRKVIVDRGICELTLAHAKSRNALSLELIRELKTSLHDIHNDD SIRVVVLSAEGPAFSSGHNLKDILAARDDGDEDKCGILSASSQGASVIDATFLECTDL MQFMLHMQQPVIAKVNGVATAAGCQLVATCDLAYASKSSRFCTPGVNIGLFCSTPGVA LARAVGRKDAMRMLLTGDMIPAEEAARMGLINAAVPDAELETTVYDVASTIAAKSQLA TRVGKSAFYKQVDMAVPDAYAFASAVMMDNMRSHDAKAGIAAFLGKTTPAWTNK H257_08788 MNMQPDEFNRQPRRRTTKMATAAAPPKFLCHLHAILAVEDPNVV AWSDDGSCFHLYDVNRLEREVLPKYFKHNKLSSFQRQLNYFGFRKWTKTQSCVCTFSH PRFHRDSTPAAWGAVRNPPVPRPQGPLQHHPPPQQQLVDAGASVHWKQDIATIELKGL EQDDDDDAFRLLAGDLDSVYMYDWEMCINLLLDDSVFVEWAEPNQDTVLAASV H257_08789 MSWKRNNAQALAPPNATAKPAGGSRKQPSNLKRKPRFNSAVYAP GATAVDDITSTVWRTHDPSTDPFAAAAWDHRSVSSSSSPMRASTKGRKSTTSTRSIGT QTTLHSPAGNAIALPSTAAAVHAATDDTSDWRHALNDVDMMPAETVDYLLQILTDRKL ALQRLSTHERVVPAIENTSSMDIPSPPGHVEPPLPPLPPPRLKDASPSVVHVVTSTHS LIHDDDDMTEDDMTAITPRRSRSYEALVQLEAILETRHRQLMANGVLDDVRDNTSSPL VMTDIQSELYRIQHEPPLRPQRSQGDQTTPRALDLIESDGWTFDPKHEFDDFPGTKTK H257_08790 MNHAAATPRVKFRQHRLLPSGCMQRKGFNNLHVVGGDVNGKYFA FCSTLAVYVYDITSFQLHRLLSTADNLAGLAWCSSPQHGHWLATVSLSQKVVLYDVET EQILYHLLLPYHPVSFQWHPSSLQLVVATSDPSGKTSAASTLVGWTIDHTNRSTSVTT LQRGNTGMLASPSAITVIRFNTAGTLAIGFENGNLAIYTAKSPTLRLLDKKKMSKKQS TGAPPGSPTANAIIDLQWDPLSTIYLLIATRDGHCALWEVTDDTGTPLHTFDKQGSGT SAVAWLPWGSGLFVTANARTGNLKLWNVSQSTPLEHVRVRPSGIGIHALALLPSTQHV LCASSDGSVALYHVPRRQLAWQSQAGHKETIFDLRFQPQSAQVLATCGHDGAVRVWNT STMECTHHLQPQDVGGIAYSVAWSPSNANRLVSASSLGTVYVWDLAGTLAPASQLTHH TDAVYCVAWRQPSANNAKSMLASSSKDKSVVIFYDAVTSSASPSREAGHLPLYIVRRY GLPCSAYGCAWQPGNSGVLAVGGGDHVVRLFDVDNTDMDTPLRVLAAHEARVFHTVWS PHQSTHAWLATSSDDCTVRVWTLPPPKGLQAPPPPRGEGGRTEAKESKDADEAAVVPY VTLKGHSNFVRALVWSSSPSTNNSSSSSRRHNTTRQKQQRGDDQAADGGNDDTAERPS HCSQPWSRTPPPLLLSGSWDGTIRIWDVERRECCVVVSDHLADVYGIATHPQAPSVFV SCSRDTTVRFWGLTTSATDQVVLQMMLQMTSASSSREEMAELAAVVAAQSSAASSGVT NLMALLQENNMGGTKGSPSAVKPAKSTTTTATMVVPAEGQLLAVALEHARRLECGHGR RSKPTKLNQRDAVREAATQYLKAGAVQKYCQLLVEVEDWEAALAMAPVVSIEFWRQLA AKYADVLQAKQDESAVMYYLATHQVAKAVDVLQGRGQFNDACVVAKAHPRIHGVVAAT PPVSGSLDCGTVTFPPVSSPSPPFETASPKPKAMLTTRFNTVDDQVKDVEDDNCSTPN ANKSAHEVAGTRLLHKTFTWLADLYSDRGEPVLAACCHLAVAQVLDAIDRLVRGDELE LAWMLSRWYDLKPSDVLVAAVVGRCEVLGDGALALSFANLMACPEKEMALVYVRLNRP KELQELAQVANVEKLEDSLEAFVSTCQVVEAIQTCLVLDRVPQAIEMATTHLQAALST SSLSVASSPTVSQKQLLLDVTYVLRSSDLSQVDTKPRAMTLAYMALAGALRAMDARYP LVLVSYLMQLVHTQVHSYNVPFPVAMTKLLLLEAQYASRIDIAKASLLVTQLSANISK DETTRLEDGDFCDEVEALQRHVQAQPRDRWLNHAYTTGAVVVSGAKLPSAGQTRNPIV SILTGEVVHGPFVRLEDEVSALTLEEAIMWHRVNPFSPLCTGDKLRFD H257_08791 MVSAREVAQVAVYGGPLEKKGRTGWKKKYFYVTTTQWIYFDSMD ATTPTFAISATEIVSVEELQAANATSGDSSHSAPSTSFTNKGFAFNVTVGKKKTELRA ATEDDRDGWIGALMPYVADVAPLSATHSHHPKSRSRAELAKVADYIKQQMAVQKKKTS FTTHDVLQALGRKFPDLSDTDLLAWGEALVSAQLLLGPSTAFKDTTTISSLRLPDKLA KKLSASDRSKLSDLMSSPDFDAKAYAESFLKRNPPDKIDSHCHVLLDQKDVIIHELKA DICANYTTFLAASTEIRHMENHVSLMRAAIVDCKRALQLLQSRPTCIPAIVSSNHCSA TSLESPACPHNSLGEGGVVVHVPGRSPCTAATSALSASHNNNINIEQQRLQDARDDLA HSLDMHLFENDLDAFVHLVVAAKANPDVAEVVASAVDRFVRHVAGVDGAHRDRHVLHL LELDQVVPATALCLDGYARRMVSQVHHVTATGHVEAYVLALSRAVFTTLLMCYQDFLV VFHGHKSGPFVSFTMWMTDQLSHFATQVTLHVFECSPPPPSILSPISPSSVTKDVVEF KAATKTIATCLRKVFYGARQLELAGLPIATYLAPHFQLPLQHYIRSYVKTIKWKTKDE VKRERWELVTMTLRSDDKHKDVAMVKSARVFAGYVQQYLRDMVKLVHPSCASSHLPEI HLSILYESELVVVQYMQDIKAVVDNPKTTTSIKYAQVVSMLLTLQYIEQDIFPRVQEV LQGVCPSSQIEKASFGSKVRSLSDEIASVALVRLAKALVGNSMRWPELHLGQETLPND GAKSMFVTNVQNDLSTVPPDHLEQIVRHAEEGDDVAARLVDLMLMEIANDDVTWTAKA KAVGSGGASQFLAEVRMLSNDAKTVHLIEQKLRQAMSICPTGGKKPPTLAPDAWFAQY QVAIAAKT H257_08791 MVSAREVAQVAVYGGPLEKKGRTGWKKKYFYVTTTQWIYFDSMD ATTPTFAISATEIVSVEELQAANATSGDSSHSAPSTSFTNKGFAFNVTVGKKKTELRA ATEDDRDGWIGALMPYVADVAPLSATHSHHPKSRSRAELAKVADYIKQQMAVQKKKTS FTTHDVLQALGRKFPDLSDTDLLAWGEALVSAQLLLGPSTAFKDTTTISSLRLPDKLA KKLSASDRSKLSDLMSSPDFDAKAYAESFLKRNPPDKIDSHCHVLLDQKDVIIHELKA DICANYTTFLAASTEIRHMENHVSLMRAAIVDCKRALQLLQSRPTCIPAIVSSNHCSA TSLESPACPHNSLGEGGVVVHVPGRSPCTAATSALSASHNNNINIEQQRLQDARDDLA HSLDMHLFENDLDAFVHLVVAAKANPDVAEVVASAVDRFVRHVAGVDGAHRDRHVLHL LELDQVVPATALCLDGYARRMVSQVHHVTATGHVEAYVLALSRAVFTTLLMCYQDFLV VFHGHKSGPFVSFTMWMTDQLSHFATQVTLHVFECSPPPPSILSPISPSSVTKDVVEF KAATKTIATCLRKVFYGARQLELAGLPIATYLAPHFQLPLQHYIRSYVKTIKWKTKDE VKRERWELVTMTLRSDDKHKDVAMVKSARVFAGYVQQYLRDMVKLVHPSCASSHLPEI HLSILYESELVVVQYMQDIKAVVDNPKTTTSIKYAQVVSMLLTLQYIEQDIFPRVQEV LQGVCPSSQIEKASFGSKVRSLSDEIASVALVRLAKALVGNSMRWPELHLGQETLPND GAKSMFVTNVQNDLSTVPPDHLEQIVVLFIVLAISFLYLCMVLCGFGGLMEYLATCRG RR H257_08791 MVSAREVAQVAVYGGPLEKKGRTGWKKKYFYVTTTQWIYFDSMD ATTPTFAISATEIVSVEELQAANATSGDSSHSAPSTSFTNKGFAFNVTVGKKKTELRA ATEDDRDGWIGALMPYVADVAPLSATHSHHPKSRSRAELAKVADYIKQQMAVQKKKTS FTTHDVLQALGRKFPDLSDTDLLAWGEALVSAQLLLGPSTAFKDTTTISSLRLPDKLA KKLSASDRSKLSDLMSSPDFDAKAYAESFLKRNPPDKIDSHCHVLLDQKDVIIHELKA DICANYTTFLAASTEIRHMENHVSLMRAAIVDCKRALQLLQSRPTCIPAIVSSNHCSA TSLESPACPHNSLGEGGVVVHVPGRSPCTAATSALSASHNNNINIEQQRLQDARDDLA HSLDMHLFENDLDAFVHLVVAAKANPDVAEVVASAVDRFVRHVAGVDGAHRDRHVLHL LELDQVVPATALCLDGYARRMVSQVHHVTATGHVEAYVLALSRAVFTTLLMCYQDFLV VFHGHKSGPFVSFTMWMTDQLSHFATQVTLHVFECSPPPPSILSPISPSSVTKDVVEF KAATKTIATCLRKVFYGARQLELAGLPIATYLAPHFQLPLQHYIRSYVKTIKWKTKDE VKRERWELVTMTLRSDDKHKDVAMVKSARVFAGYVQQYLRDMVKLVHPSCASSHLPEI HLSILYESELVVVQYMQDIKAVVDNPKTTTSIKYAQVRCFKACVPRRRSKKRRSGPRC VR H257_08791 MVSAREVAQVAVYGGPLEKKGRTGWKKKYFYVTTTQWIYFDSMD ATTPTFAISATEIVSVEELQAANATSGDSSHSAPSTSFTNKGFAFNVTVGKKKTELRA ATEDDRDGWIGALMPYVADVAPLSATHSHHPKSRSRAELAKVADYIKQQMAVQKKKTS FTTHDVLQALGRKFPDLSDTDLLAWGEALVSAQLLLGPSTAFKDTTTISSLRLPDKLA KKLSASDRSKLSDLMSSPDFDAKAYAESFLKRNPPDKIDSHCHVLLDQKDVIIHELKA DICANYTTFLAASTEIRHMENHVSLMRAAIVDCKRALQLLQSRPTCIPAIVSSNHCSA TSLESPACPHNSLGEGGVVVHVPGRSPCTAATSALSASHNNNINIEQQRLQDARDDLA HSLDMHLFENDLDAFVHLVVAAKANPDVAEVVASAVDRFVRHVAGVDGAHRDRHVLHL LELDQVVPATALCLDGYARRMVSQVHHVTATGHVEAYVLALSRAVFTTLLMCYQDFLV VFHGHKSGPFVSFTMWMTDQLSHFATQVTLHVFECSPPPPSILSPISPSSVTKDVVEF KAATKTIATCLRKVFYGARQLELAGLPIATYLAPHFQLPLQHYIRSYVKTIKWKTKDE VKRERWELVTMTLRSDDKHKDVAMVKSARVFAGYVQQYLRDMVKLVHPSCASSHLPEI HLSILYESELVVVQYMQDIKAVVDNPKTTTSIKYAQVRCFKACVPRRRSKKRRSGPRC VR H257_08791 MVSAREVAQVAVYGGPLEKKGRTGWKKKYFYVTTTQWIYFDSMD ATTPTFAISATEIVSVEELQAANATSGDSSHSAPSTSFTNKGFAFNVTVGKKKTELRA ATEDDRDGWIGALMPYVADVAPLSATHSHHPKSRSRAELAKVADYIKQQMAVQKKKTS FTTHDVLQALGRKFPDLSDTDLLAWGEALVSAQLLLGPSTAFKDTTTISSLRLPDKLA KKLSASDRSKLSDLMSSPDFDAKAYAESFLKRNPPDKIDSHCHVLLDQKDVIIHELKA DICANYTTFLAASTEIRHMENHVSLMRAAIVDCKRALQLLQSRPTCIPAIVSSNHCSA TSLESPACPHNSLGEGGVVVHVPGRSPCTAATSALSASHNNNINIEQQRLQDARDDLA HSLDMHLFENDLDAFVHLVVAAKANPDVAEVVASAVDRFVRHVAGVDGAHRDRHVLHL LELDQVVPATALCLDGYARRMVSQVHHVTATGHVEAYVLALSRAVFTTLLMCYQDFLV VFHGHKSGPFVSFTMWMTDQLSHFATQVTLHVFECSPPPPSILSPISPSSVTKDVVEF KAATKTIATCLRKVFYGARQLELAGLPIATYLAPHFQLPLQHYIRSYVKTIKWKTKDE VKRERWELVTMTLRSDDKHKDVAMVKSARVFAGYVQQYLRDMVKLVHPSCASSHLPEI HLSILYESELVVVQYMQDIKAVVDNPKTTTSIKYAQVVSMLLTLQYIEQDIFPRVQEV LQGVCPSSQIEKASFGSKVVTIIYIYISLSATWFFSRLNVI H257_08791 MVSAREVAQVAVYGGPLEKKGRTGWKKKYFYVTTTQWIYFDSMD ATTPTFAISATEIVSVEELQAANATSGDSSHSAPSTSFTNKGFAFNVTVGKKKTELRA ATEDDRDGWIGALMPYVADVAPLSATHSHHPKSRSRAELAKVADYIKQQMAVQKKKTS FTTHDVLQALGRKFPDLSDTDLLAWGEALVSAQLLLGPSTAFKDTTTISSLRLPDKLA KKLSASDRSKLSDLMSSPDFDAKAYAESFLKRNPPDKIDSHCHVLLDQKDVIIHELKA DICANYTTFLAASTEIRHMENHVSLMRAAIVDCKRALQLLQSRPTCIPAIVSSNHCSA TSLESPACPHNSLGEGGVVVHVPGRSPCTAATSALSASHNNNINIEQQRLQDARDDLA HSLDMHLFENDLDAFVHLVVAAKANPDVAEVVASAVDRFVRHVAGVDGAHRDRHVLHL LELDQVVPATALCLDGYARRMVSQVHHVTATGHVEAYVLALSRAVFTTLLMCYQDFLV VFHGHKSGPFVSFTMWMTDQLSHFATQVTLHVFECSPPPPSILSPISPSSVTKDVVEF KAATKTIATCLRKVFYGARQLELAGLPIATYLAPHFQLPLQHYIRSYVKTIKWKTKDE VKRERWELVTMTLRSDDKHKDVAMVKSARVFAGYVQQYLRDMVKLVHPSCASSHLPEI HLSILYESELVVVQYMQDIKAVVDNPKTTTSIKYAQVVSMLLTLQYIEQDIFPRVQEV LQGVCPSSQIEKASFGSKVVTIIYIYISLSATWFFSRLNVI H257_08791 MVSAREVAQVAVYGGPLEKKGRTGWKKKYFYVTTTQWIYFDSMD ATTPTFAISATEIVSVEELQAANATSGDSSHSAPSTSFTNKGFAFNVTVGKKKTELRA ATEDDRDGWIGALMPYVADVAPLSATHSHHPKSRSRAELAKVADYIKQQMAVQKKKTS FTTHDVLQALGRKFPDLSDTDLLAWGEALVSAQLLLGPSTAFKDTTTISSLRLPDKLA KKLSASDRSKLSDLMSSPDFDAKAYAESFLKRNPPDKIDSHCHVLLDQKDVIIHELKA DICANYTTFLAASTEIRHMENHVSLMRAAIVDCKRALQLLQSRPTCIPAIVSSNHCSA TSLESPACPHNSLGEGGVVVHVPGRSPCTAATSALSASHNNNINIEQQRLQDARDDLA HSLDMHLFENDLDAFVHLVVAAKANPDVAEVVASAVDRFVRHVAGVDGAHRDRHVLHL LELDQVVPATALCLDGYARRMVSQVHHVTATGHVEAYVLALSRAVFTTLLMCYQDFLV VFHGHKSGPFVSFTMWMTDQLSHFATQVTLHVFECSPPPPSILSPISPSSVTKDVVEF KAATKTIATCLRKVFYGARQLELAGLPIATYLAPHFQLPLQHYIRSYVKTIKWKTKDE VKRERWELVTMTLRSDDKHKDVAMVKSARVFAGYVQQYLRDMVKLVHPSCASSHLPEI HLSILYESELVVVQYMQDIKAVVDNPKTTTSIKYAQCRN H257_08791 MVSAREVAQVAVYGGPLEKKGRTGWKKKYFYVTTTQWIYFDSMD ATTPTFAISATEIVSVEELQAANATSGDSSHSAPSTSFTNKGFAFNVTVGKKKTELRA ATEDDRDGWIGALMPYVADVAPLSATHSHHPKSRSRAELAKVADYIKQQMAVQKKKTS FTTHDVLQALGRKFPDLSDTDLLAWGEALVSAQLLLGPSTAFKDTTTISSLRLPDKLA KKLSASDRSKLSDLMSSPDFDAKAYAESFLKRNPPDKIDSHCHVLLDQKDVIIHELKA DICANYTTFLAASTEIRHMENHVSLMRAAIVDCKRALQLLQSRPTCIPAIVSSNHCSA TSLESPACPHNSLGEGGVVVHVPGRSPCTAATSALSASHNNNINIEQQRLQDARDDLA HSLDMHLFENDLDAFVHLVVAAKANPDVAEVVASAVDRFVRHVAGVDGAHRDRHVLHL LELDQVVPATALCLDGYARRMVSQVHHVTATGHVEAYVLALSRAVFTTLLMCYQDFLV VFHGHKSGPFVSFTMWMTDQLSHFATQVTLHVFECSPPPPSILSPISPSSVTKDVVEF KAATKTIATCLRKVFYGARQLELAGLPIATYLAPHFQLPLQHYIRSYVKTIKWKTKDE VKRERWELVTMTLRSDDKHKDVAMVKSARVFAGYVQQYLRDMVKLVHPSCASSHLPEI HLSILYESELVVVQYMQDIKAVVDNPKTTTSIKYAQCRN H257_08792 MIKGCPSGVGLRRRSAGDMDKKLLVQRQNGGAQSLAVLVKRLAL VAHTVLSRLELGGDDAVDAAVLELVQRADHLRQRVLGFDQLGPRNSRRGVVHGHLPLD FLHLSFQSVHERLEFRQLVQGRLARIAVDALTRREHVLEHGVGGGGDSL H257_08793 MATTKTSRTPRFRTQSDCYTSGERERAWRRSLADDLGLDEAFRA SLNGMTSSDGRLSLGASPQSSDRVDFGKLSDTAAEILSDARLVRGGKEAKLYQQTVCV SDEGRVSITSADGSADVQTMLIDAQDALAMGESNEDDKDDNRGLHALAPELVEWEGVL SKRSEWLRRWEKHYYVMVGTTLKRFASKEAFMATLQQSPVEGRPPPPSYVIQGVKEAP GRTNGFVFSCHDGKTLQLTAPTAIERVIWMRLAQEAMASLPDLPVFPLEIEEFYAMLI VLYTAHSGNWRTSGDMAVTLPPPSEQVFSRFFRLLDRDMLVSSNYPPSVPFCGSFRGA SGFVTFLHTFALHTNWSNFKIEGIAMEDMVAVASGKEELENVRDRRKFVQNWVHKFNF AKDGRLIKFEINGDVVAASAVYKVPGAATTLTLPQDFHEVQTPLSGTLTVRVLQGSGL KLLSSSTSTVSSHSISTKKSSSTLHDPRVVLSLALGDHTSHLGVAAAPVSCATTAPSL SVSSLSSTASSYLLPSTLTRKLSTSLLLRSVSAPMSRPQQPDAAHTPLQMPPSIAKPY PCMTDAARNKAQSSGGGGDLSAPVWNAVLTLPFETVQGSIRLLVDVVDMSPSKPPVKV GSAFVNVAKFIMEQAAATTPRQTSSATEQTSNPTNPSNTNTQWVTVSTTRGEFCGRVQ LCISCTLTPHPDKQVATTPLAVAQLMQWKSSSSSSAKKDDMPSLNRPGWSQMNLNTDD ATAADSSSSLDALVKSPAGNNANTTDPLQPKLCDDDATMHVYTVCGAPFVIPQHYHLI KVCGRGAYGIVIAATNQDTGGSVAIKKVIDCIWHPHQLKQILREVRLMRHLCHANILS LMDLIPPPSYQDFRDVYMTVDLLEMDLHRIIYSKEVLSDDHIRYFVFQMLSGLHHMHA CGVLHRDLKPSNLLINSDCQLKICDMGLARPKDIDDLGMTEYVVTRWYRAPELLLGSA YDEGVDVWAAGCIMAEMLGRKPLFPGRSYVHQLQLIMNVLGVPEEVSFKENPQAQKFK GRQLLSRTPTRQGIDTTMLFPNANPEGLDLLWKLLVFDSSKRMSVQEALRHPYLASYY VESTDDSQVERFHSFDFEDLGENDLKELMFREICHFHPEEMEKRAKQMADMPKEEKLP PGWVKRESRSIRGKFYYSHAKRGVSTWVKPTQ H257_08793 MATTKTSRTPRFRTQSDCYTSGERERAWRRSLADDLGLDEAFRA SLNGMTSSDGRLSLGASPQSSDRVDFGKLSDTAAEILSDARLVRGGKEAKLYQQTVCV SDEGRVSITSADGSADVQTMLIDAQDALAMGESNEDDKDDNRGLHALAPELVEWEGVL SKRSEWLRRWEKHYYVMVGTTLKRFASKEAFMATLQQSPVEGRPPPPSYVIQGVKEAP GRTNGFVFSCHDGKTLQLTAPTAIERVIWMRLAQEAMASLPDLPVFPLEIEEFYAMLI VLYTAHSGNWRTSGDMAVTLPPPSEQVFSRFFRLLDRDMLVSSNYPPSVPFCGSFRGA SGFVTFLHTFALHTNWSNFKIEGIAMEDMVAVASGKEELENVRDRRKFVQNWVHKFNF AKDGRLIKFEINGDVVAASAVYKVPGAATTLTLPQDFHEVQTPLSGTLTVRVLQGSGL KLLSSSTSTVSSHSISTKKSSSTLHDPRVVLSLALGDHTSHLGVAAAPVSCATTAPSL SVSSLSSTASSYLLPSTLTRKLSTSLLLRSVSAPMSRPQQPDAAHTPLQMPPSIAKPY PCMTDAARNKAQSSGGGGDLSAPVWNAVLTLPFETVQGSIRLLVDVVDMSPSKPPVKV GSAFVNVAKFIMEQAAATTPRQTSSATEQTSNPTNPSNTNTQWVTVSTTRGEFCGRVQ LCISCTLTPHPDKQVATTPLAVAQLMQWKSSSSSSAKKDDMPSLNRPGWSQMNLNTDD ATAADSSSSLDALVKSPAGNNANTTDPLQPKLCDDDATMHVYTVCGAPFVIPQHYHLI KVCGRGAYGIVIAATNQDTGGSVAIKKVIDCIWHPHQLKQILREVRLMRHLCHANILS LMDLIPPPSYQDFRDVYMTVDLLEMDLHRIIYSKEVLSDDHIRYFVFQMLSGLHHMHA CGVLHRDLKPSNLLINSDCQLKICDMGLARPKDIDDLGMTEYVVTRWYRAPELLLGSA YDEGVDVWAAGCIMAEMLGRKPLFPGRSYVHQLQLIMNVLGVPEEVSFKENPQAQKFK GRQLLSRTPTRQGIDTTMLFPNANPEGLDLLWKLLVFDSSKRMSVQEALRHPYLASYY VESTDDSQVERFHSFDFEDLGENDLKELMFRCVCLKTYLSYIEPLYLME H257_08794 MGNALIGCCTSPDDHADDGMTGHKSPLLQRMHSFDDEHDSCSGS TASLSSSAKSSILSPRPAYETTGSRLLRDFYQHVVDTDHSDSDGEEDSFHGETVLPAT APSPQPRRRSLLLQHTQTMRHLSSASVDPLNMYSSLGLLHTNDPLLLSTDDRVLRAKS VDSLFLPHQIIHHTTGKLAPHHRDGHTYKPFWADSIKPSPAGPSTDVNPSTTASSSTT SMAPLPAS H257_08794 MGNALIGCCTSPDDHADDGMTGHKSPLLQRMHSFDDEHDSCSGS TASLSSSAKSSILSPRPAYETTGSRLLRDFYQHVVDTDHSDSDGEEDSFHGETVLPAT APSPQPRRRSLLLQHTQTMRHLSSASVDPLNMYSSLGLLHTNDPLLLSTDDRVLRAKS VDSLFLPHQIIHHTTGKLAPHHRVHYIPFAYREDAF H257_08795 MLEMKRASSVSAATPNVVAFTTHDSTMSKDSKKLDIYDDTELAI VQDDIPTLSGEQTQDANGIPLCEWRTGLFDGSSTNLTLGLLSVFVPCIPVSHIAHRIG LGQFVTVLFVAGFFYVASFSLSFLQRPAVNAIATACSVVFIGLLSVLRYRVRHLFGIS GSLFTDACTITWCGCCAIAQMSTHVQAMTPGSCCSLVPRDVLPGYK H257_08796 MPTPPPFPSRHLASETPYCSVHDNDVISRPAPRTSQWHVQMGAI YMSQFTAEAARGLVLPTLFLYCQSLGGSLEDMGRATSIFSIGRLASSVLLGWLCDRFS FRSVHIVCAIIGIFGNLLYVAPASFKSSPASTDTTPNAFVWLYASRFLVGFGAGNLSV CRANVAAMTPMRHRLQYMNRLAVVVFLGYALSPGIGGLFASLNIRIWEVPINAFTMPG LLLAALNLLSLVCMLVMFDNSIEASDSPSLSSQDDATPHAKLTDEDSLKRDSWGIAIF LLLNVVGRGVIASFETVLVPLHLQTLQAVSATSPQDPVHAVAAFQFFMGLLGLLTYVA VELWRDALADIAWLVLGLGGVAVGNALLLVEPPKWSVYCTAIYLVWSVGGPLLTAVTV AAFSKLLGAQPQGLWMGVFGSVGSAARIVVPVIPALFATLQPMFWINLGLSGFGIVML TAFIVHSARRKAAREDAEPPSVCV H257_08797 MSLLPSSVQPFVGTPLDELRPLVYTLWKTYFLSQAKFRDIAEFN STKDHHDVPRARLSEHSELYVVDPTLSATDRDACLAEIKAHTTAIQPISTNLHRLYQA TACPFELFEHIKTRFESNLMDNNPTVIASYLRTLKFTDESCIDTLSVELINLVKCYRV SMTPLSVNPLDPSATSSVDYHNHIWNSYTMSDTFIGDKELWEVVTNLVATVRAANQSV VVADVWTSLRRIITNRVHRASALGDNGSAATLQTRTQLTAVTHVVKPNPKQLRKPMAR FML H257_08798 MSLRRVLSNILVMTAMIAMGLVATRALIATMDGAITPDSVSMSV AQASLARLWHRRLGHPGVDAFNQMTKTNPELMLFKSKHLRGQLCETCSYAKSKRNLFC TSTSLKPISMTNQVQHGHTFNNFPSALYCTDVKFQPSYHPTGRFDEAKHYFSGKHKLY GLKLEYSVAYPCVAVNRSEHSPGSVADVTMFMHRRHVHKDMLRKSASEIKEVDHDEGA EEYPDSWSILVDMGYQGIQHEVRSMQPKRRPQGGLLTARELERNAQASSDRVLMENYF GRICSLWKVMRETYKWNESRFDRI H257_08800 MVPTLNDAVSYCAASLCSRFAKDSGLCLFHAATIQQHATSLRQY RLSSAIMSLQTPPLSSVTTTPHRYHDLTSYLPSKSSSGRSSPHSDVVRVRRKLCQVDQ CTKRAKSGGLCIAHGGGRRCDVDGCVKSAKERGLCISHGGGKRCLGKGCNKSALLGGF CVHHGGGRRCGDGGCTKYALSGGFCIAHGGGKRCNFYACPKSAVSGGYCVAHGGGPRC ATAGCMKGAVTGGLCIKHGGGKKCLQTACRKNARTKGYCFTHLKAMETLVDDHEGEGD DSGVVEL H257_08801 MKTRIEQLLVDNPLRKTATLHRLIVNESSEGRFGDPLDFPCPSS SQVAGVMKLMKAKLFGHVDTDEAVLKELKTRMVPGVIGEPSAKQAFAFGLAYVNDEPV VGDGSDLDPLRIDLGGTFHVLCVAVTSRRTADDVSWLLRGLQQVFHDRLAHNFSPTFI MGDADDA H257_08802 MDELHSHLADVWLPFGAGRQEDAHEFFFQFVSAMPMCLPAFGGE VFNIVECNTCNTQARSSEVFLDIDIPVTPDVVTVEDGLRRAFGVTALTGDNSYECAYC NRRCPAVRTSAIAEWPHVLVLRVLRFAVGEKRTIKKNGQPIQYTSELNMSEFATVDHA DIVYELRGVVLHLGSSLTSSHYIAYVLAPSGRWFSMDDKLNRQHVQRNAAPNAAPNGS PQRSPQRIPESNPHYSPQYGGPKTALKAPHNLTL H257_08803 MFQLFCTPSLVVPRHDEGHDSRHDKQQFFLVSSVVVFTVAGVVV IFAASSAVAVSAVVVVSRVVVSILSILLCDGPGRRSRVCAEGAQRLVDFSALLLLIVR LLDVTVRDAAGVLGPGQVDKPDGKTRSLTCSIWRRACDRLLARWTFELPVARLTVNDF TVNHV H257_08804 MLLHRMPHSTLAPSAMVPALDDAVSYCAASPCYRFAKDSGLCLF HAATIQQHATSLCQYRLSSAIMSLQTPPLSSAITTPRRYHDLTSYLPSQSSSGRSSPH SDIVRVSRKLCQVEQCTKRAKSGGLCISHGGGKRCDVDGCVKSAKERGFCISHGGGKR CLGERCNKSALLGGFCAHHGGGRRCGHDGCTKYALSGGFCIAHGGGKRCNFHACPKSA VSGGYCVAHGGGHRCATAGCMKGAVTGGLCIKHGGGKKCLQTACRKNARTKGYCFTHF KAMEALVDGHEDEGDDGGVVEL H257_08805 MLRTTPTIEECYRRYDAQDKGYLTKSELKWAVTALLGSAPSRLV LLSLFEIAPASYEIMQVEKDTFVRVMTRRVANIDVTEGIRRWFKAFDLDSSGFISLAN FKQVCQAIAPHMTPSVVHQLFREADTNHDDKVSYGEFERLMLLSLQLQTLAPHP H257_08805 MLRTTPTIEECYRRYDAQDKGYLTKSELKWAVTALLGSAPSRLV LLSLFEIAPASYEIMQVEKDTFVRVMTRRVANIDVTEGIRRWFKAFDLDSSGFISLAN FKQVCQAIAPHMTPSVVHQLFREADTNHDDKVSYGEFERLMLLSLQLQTLAPHP H257_08805 MLRTTPTIEECYRRYDAQDKGYLTKSELKWAVTALLGSAPSRLV LLSLFEIAPASYEIMQVEKDTFVRVMTRRVANIDVTEGIRRWFKAFDLDSSGFISLAN FKQVCQAIAPHMTPSVVHQLFREADTNHDDKVSYGEFERLMLLSLQLQTLAPHP H257_08805 MLRTTPTIEECYRRYDAQDKGYLTKSELKWAVTALLGSAPSRLV LLSLFEIAPASYEIMQVEKDTFVRVMTRRVANIDVTEGIRRWFKAFDLDSSGFISLAN FKQVCQAIAPHMTPSVVHQLFREADTNHDDKVSYGEFERLMLLSLQLQTLAPHP H257_08805 MLRTTPTIEECYRRYDAQDKGYLTKSELKWAVTALLGSAPSRLV LLSLFEIAPASYEIMQVEKDTFVRVMTRRVANIDVTEGIRRWFKAFDLDSSGFISLAN FKQVCQAIAPHMTPSVVHQLFREADTNHDDKVRTPPSILVHCPFFPFKNALIFVFYKY ICSKHR H257_08805 MLRTTPTIEECYRRYDAQDKGYLTKSELKWAVTALLGSAPSRLV LLSLFEIAPASYEIMQVEKDTFVRVMTRRVANIDVTEGIRRWFKAFDLDSSGFISLAN FKQVCQAIAPHMTPSVVHQLFREADTNHDDKVRTPPSILVHCPFFPFKNALIFVFYKY ICSKHR H257_08806 MKSMETDKSVADFVSKASASRPVTAVHPTSPGMSSYMSSTEPNL FHVLQVHEQPPILKRTIPTPHYAKDLVSPQKTRLNFYLDQRQQVQTHQPNPPPSWSPI SPGTPRPVTVSSPSPREKANVTTPRVVHVHGREHLEVRACMLAHRYRLDVDQLVKSHD DHRQSVAELKQQRRATLLPNTANAQESARRKTQFLLDHYFGVHDSAQPCISPAAATGT TPPLRHPHKAAASSSPCPMTRTRKSLGSSTSPSRGGISDGHHVLLDVVDEWSLHADAT TSDVESFWQSVTAHMESSYVMQATVVDAAHPHALAVHIALDCLTKVADHLPSYARLLH VLKVVLERALYVPDPVTPPPNDKPSIPPRQLYVDQLAATQKSLDDCMAQIAAHKASQR VAPLDKIAGIVASAASDAERHELLLNVVGQHLPQSTLGMDVVVAAATHASVSDQLTLM ASVVAQRSLHDMQPLLLAIHNKHKHAMAMFMEDHADAMEALVLDDGGRGVQRVMEANG PFFSDLFLRAPSLLNNALQAPTLLLSQVVEQNRSAIAHVLGQKPDVFLHVLATALKDN NLLALEEYLVKTPKALRDVLLNRPTLLSGIVKSSPSILTDVLVNAKAAFARVVTEVPD HLTAVFNAHPSSIGTMFAASGDMLGPILDQCPQALTAYLENAPETIVDIAHRAPKLLS MLFARFPDLLVEPLEMNPTVLATFLASHKEILHAMPVHDFMDASSGGGPFRKLAMAAT QTEATVAMQKQVASGASKLKKRNNVLMQIVKKRKVNAMAEADVLKEISKLYAKKLVFD DIDDRSGLDRYSLAELTQDIYVQEFGLKSTAQKRIASLVAGLKKIDKENSRAKWFSVL LGATAELYNAQAIDVYLQALQFMVPPGDVEARLGDGSSPCFIPLQVAKELAVHAYDNS IPMDVRLQLQKRIVGLPSEAHVPDAVTSSSSSLPDDGLHMEVSLDDVMDCVMSMWYEY QTNVDKEMTKLFAEADEDGNGVLTYQEFESMIKKVDADCDDRTIMRIFNMCGEENDQG EHEIKPSDFATVMRAYHVNNNQEMTHG H257_08806 MKSMETDKSVADFVSKASASRPVTAVHPTSPGMSSYMSSTEPNL FHVLQVHEQPPILKRTIPTPHYAKDLVSPQKTRLNFYLDQRQQVQTHQPNPPPSWSPI SPGTPRPVTVSSPSPREKANVTTPRVVHVHGREHLEVRACMLAHRYRLDVDQLVKSHD DHRQSVAELKQQRRATLLPNTANAQESARRKTQFLLDHYFGVHDSAQPCISPAAATGT TPPLRHPHKAAASSSPCPMTRTRKSLGSSTSPSRGGISDGHHVLLDVVDEWSLHADAT TSDVESFWQSVTAHMESSYVMQATVVDAAHPHALAVHIALDCLTKVADHLPSYARLLH VLKVVLERALYVPDPVTPPPNDKPSIPPRQLYVDQLAATQKSLDDCMAQIAAHKASQR VAPLDKIAGIVASAASDAERHELLLNVVGQHLPQSTLGMDVVVAAATHASVSDQLTLM ASVVAQRSLHDMQPLLLAIHNKHKHAMAMFMEDHADAMEALVLDDGGRGVQRVMEANG PFFSDLFLRAPSLLNNALQAPTLLLSQVVEQNRSAIAHVLGQKPDVFLHVLATALKDN NLLALEEYLVKTPKALRDVLLNRPTLLSGIVKSSPSILTDVLVNAKAAFARVVTEVPD HLTAVFNAHPSSIGTMFAASGDMLGPILDQCPQALTAYLENAPETIVDIAHRAPKLLS MLFARFPDLLVEPLEMNPTVLATFLASHKEILHAMPVHDFMDASSGGGPFRKLAMAAT QTGSTCVVLYTCYILLIVRGYLYLCKYIVHFN H257_08807 MCTRVYVGNLPMDVRTRELDDLFYKYGRIRDIDIKQPSRPPAFA FVEFEHSRDADDAIRGRDGYQFDGQRLRVERTNGGGGRGDRDRGGDKGFGRNLMGSGK YAVDVTGLPESAAWQDVKDFFRKAGDVIFAKVDKKGVGFVEFTNKEDMLRAVSKFDDV EFKTRTYTAVIRVKEANYTAKKSSSARSRSRSPQGKKSSSARSRSRSPRGRGRSASRS RSRSVSRSRSRSSSRGRSHSRSRSRSHDIKNDKKNDDEVKEKIDDKGTTPDEAVEVDA AIAE H257_08808 MLVTAMDHIHQSRRLNLGLMSSHAADADSEYLDLANHNMHASAP STYHLSLQHSHSLDMNAQYLDQDGLQDDQYTLGGQLSSPKNNAKPSLYKTELCKRFSE YGSCRYGAKCQFAHGLPELRHVLRHPKYKTTKCKSYWGSGHCPYGSRCRFIHEEEVYN PNVKNSFRGGITQENELSPTGQGGPSFLNSSGYAPDFSPTSTDMGPGMYNPDFSHGPS SPQSWLGDASRSNFIRSSPSDMYPTKPNPIGPKKSPMAGASHHHTNDLDHGAEYSGLQ DAIKVLMNFNYEESTPMAPASSPTGTSPSLSIKSPLARGDMSLHADELWKDFSAASIS DAADDASAPSSSSDQKWFSNEHPPKQPPPPSNSASASLPPQFDTIDSRDDISRLSFFT KFK H257_08809 MASKGLVVFDYDRSLIDDNSDTYIFHQVQPELVVHLKELTAAGV QWTQAVDQALSKLNCSKETLIDVIGRIPVQDGMLDAVHLAHERGWEVAIVSDANTVFI DAFLNLHNLTSIITQVFTNHSAFQGDTLHVTPYHPLDQPPHGCPRCPTNMCKGSIVKQ IKASAEYTKILYIGTRHNTYVIRGQWHTMIATIGLISVSVGDGGGDFCPVATELSSND VVFAREKYELLHKVQQTPVLATVVPWNTGFDILKGFQAHIA H257_08809 MASKGLVVFDYDRSLIDDNSDTYIFHQVQPELVVHLKELTAAGV QWTQAVDQALSKLNCSKETLIDVIGRIPVQDGMLDAVHLAHERGWEVAIVSDANTVFI DAFLNLHNLTSIITQVFTNHSAFQGDTLHVTPYHPLDQPPHGCPRCPTNMCKGSIVKQ IKASAEYTKILYIGDGGGDFCPVATELSSNDVVFAREKYELLHKVQQTPVLATVVPWN TGFDILKGFQAHIA H257_08810 MVAPAVANGLVVFDYDWSLINDDSDFFVFQQLQPAVLEEFAHLV HDGAPLTKAVDDALGRLTCTKETLVEVMARVPVQPGMVDAVRLAHEKGWDVAIVSDAN TVYIQSMLAHHQLTSIISQVFTNPSSFQGDRLRVSPFHSAERPPHGCPRCPSNMCKGA ILKHLKSCHSYGKVLYVGDGEGDYCPTTSQLSSHDVVFAREGCELLRRIRADPVTARV VPWSTGLDILAGFHCHLNIESASR H257_08811 MVDSNAVNAAKLLWTPTPELIATSHWTKFTAFVNTKHGLSLVSV HDLWQWSVDNLSHFWAATWEYTGMVSSAPHTQVIQDETKMPGAKFFPGARLNFAENLL RHRDDRTALVFKSETSNQAIRITYNQLYDQVAALSQRLRQLGVAVGDRVVGYMPNTPD TVVAMLAATSVGAVWSSCSPDFGKNGVLDRFAQITPKVVFATDGYFYKGKRIDTLPGL KDILHELPTVHKVVLFRYTIGPTYDLDLSALPNAQYVDHFLAELNAPVQFPIQFEQLP FDHPVYIMYSSGTTGLPKCIVQGPGVLLNHLKEHMLHLNVSRDDVVFYYTTTGWMMWN WLVSGLAVGATVVLFDGNPLYPGASALWQFAEEVGMTVFGTSARYLAAVMDSGCKPGK EFNLSKLKMIASTGSPASTNIFNFVYSDISADVQFASISGGTDLNGCFALGCSNLPVY NGELQVRGLGLDVAIYNDNGDALVQSQGELVCLKPFPSMPLYFYGDTDGSKYFGAYFD VFPNVWRHGDFAEITAHNGMVLYGRSDATLNPGGVRIGTADIYKVVEQIKDVADSVIV GQDYTLSDGTPDVKIVLFVVLAEGVALTAELQKRIVSKIRTETSPRHVPGLIVACPDI PYTVSGKKVEIAVKRVLGGKTITNASALRNPESLAWFAAYANKASQ H257_08811 MVDSNAVNAAKLLWTPTPELIATSHWTKFTAFVNTKHGLSLVSV HDLWQWSVDNLSHFWAATWEYTGMVSSAPHTQVIQDETKMPGAKFFPGARLNFAENLL RHRDDRTALVFKSETSNQAIRITYNQLYDQVAALSQRLRQLGVAVGDRVVGYMPNTPD TVVAMLAATSVGAVWSSCSPDFGKNGVLDRFAQITPKVVFATDGYFYKGKRIDTLPGL KDILHELPTVHKVVLFRYTIGPTYDLDLSALPNAQYVDHFLAELNAPVQFPIQFEQLP FDHPVYIMYSSGTTGLPKCIVQGPGVLLNHLKEHMLHLNVSRDDVVFYYTTTGWMMWN WLVSGLAVGATVVLFDGNPLYPGASALWQFAEEVGMTVFGTSARYLAAVMDSGCKPGK EFNLSKLKMIASTGSPASTNIFNFVYSDISADVQFASISGGTDLNGCFALGCSNLPVY NGELQVRGLGLDVAIYNDNGDALVQSQGELVCLKPFPSMPLYFYGDTDGSKYFGAYFD VFPNVWRHGDFAEITAHNGMVLYGRSDATLNPGTNERLKNRSRHPDIYIYIWITSYDD GTM H257_08812 MKRNRWSSDDDDSEEEVPRRSKKDLKDVHQPLEVEASSSAASAS ALPPSPQRTVVRKGRPSCRGVDNYARIGKIDEGTYGVVSKARDKVTGDIVALKQIKMA ADICKEGFPVTALRETNILLALQHPNIIRMREMVVGSTPDKVYMVMDYSDNDLKRVFE KQAATKHPFLSSEIKTLLQQLLKAIDHMHGKWCIHRDLKSSNLLYDQGVLKVCDFGMA RKYGSPIRSYTHLVVTLWYRAPELLLGTLQYSTAVDMWSVGCIFAEMILLSPLFMGRG EIDQLDQIFKLLGAPSEANWPNVSHLPNVANVKWKGAKRSMLREKFPVASVIGGQSVL SNAGFDLMQKLLTLDPAQRISAHDALHHEYFAESPPPKPLHLMPTFPSSSSG H257_08813 MGKTTTDNRDIFYRRAKEVGFRARSAFKLMQLDDQFNLFENVER AVDLCAAPGSWSQVLSRKLYHNRDIPNVDSTTTSKDDDTIIRVVSVDLQEMAPIPGVA LLQGDITSTHTADQIISHFHGQQANIVVCDGAPDVTGVHDIDEYVQSELLLAALNITT FVLAPGGSFVAKIFRCKHYDFLASQLSLFFGEVTCAKPQSSRVSSNEHFVVCRGFKLP PHYTPVMTSPLLPGYGHPNAVAANPTVLSFVACGDLSGYDDADDE H257_08813 MGKTTTDNRDIFYRRAKEVGFRARSAFKLMQLDDQFNLFENVER AVDLCAAPGSWSQVLSRKLYHNRDIPNVDSTTTSKDDDTIIRVVSVDLQEMAPIPGVA LLQGDITSTHTADQIISHFHGQQYVESSLSHGSPSTPCKQRRANIVVCDGAPDVTGVH DIDEYVQSELLLAALNITTFVLAPGGSFVAKIFRCKHYDFLASQLSLFFGEVTCAKPQ SSRVSSNEHFVVCRGFKLPPHYTPVMTSPLLPGYGHPNAVAANPTVLSFVACGDLSGY DDADDE H257_08814 MTVAAVSTNTIVALAYVSGIVGIFVSLHGHYSTPVRVLVVVLTL FVGFASVRREGDIAFSNVVAFMQQSSVDAFAEDSTPNNI H257_08815 MAPQLKKTTFLLNWYSNPYHTPIFVAKKRGFYEEEGIDLAIMET TNPSDVTEIVGSGAVNLGLKAMIHILAAKDRGINLTSIGSLLDEPPTGLIFKASQNIT KFTDIVGKRVGYIGHFGKVMIDDLAKQAGLAPDSYTTVRVGMNVTDAILRGDIDTGIG FTNFQRLELEELSGEPAGMLRIDECNGLGCCCFCSVMFVASDAFYNANKELIAGFMRA TRRAMDITIEYPEVAWEDMCIMNPRLRSTKRLVQVDVEGKSVSVPTGSDVYEKIFQRT LPYFSRTLLNVDRDWNKVGNFCKYLHVLENDVDQNSVWTNELVPSMGKPSVEPITPGG VLNIA H257_08815 MAPQLKKTTFLLNWYSNPYHTPIFVAKKRGFYEEEGIDLAIMET TNPSDVTEIVGSGAVNLGLKAMIHILAAKDRGINLTSIGSLLDEPPTGLIFKASQNIT KFTDIVGKRVGYIGHFGKVMIDDLAKQAGLAPDSYTTVRVGMNVTDAILRGDIDTGIG FTNFQRLELEELSGEPAGMLRIDECNGLGCCCFCSVMFVASDAFYNANKELIAGFMRA TRRAMDITIEYPEVAWEDMCIMNPRLRSTKRLVQVDVEGKSVSVPTGSDVYEKIFQRT LPYFSRTLLNVDRDWNKVGNFCKYLHVLENDVDQNSVWTNELVPSMGKPSVEPITPGG VLNIA H257_08816 MFIWIKYGFEEVPPRMFNSNVTCDILLGFVRASFLKEVDDICKQ RSLKLSIDIEGVKKQREAVGAEVGSTSVESVSPSSQDLGGMRPVIPRVGNVNSCHHTD WQVKLEAQLEALLAISKSVKDLQSVNALDVVDESGQRLKLNDRPRDRAMDILKPRQVY QLVKLGDTPEAPPTPLKFALPAALPSAAAAAT H257_08816 MFIWIKYGFEEVPPRMFNSNVTCDILLGFVRASFLKEVDDICKQ RSLKLSIDIEGVKKQREAVGAEVGSTSVESVSPSSQDLGDWQVKLEAQLEALLAISKS VKGSFRHVKLVGIMLDVDLQSVNALDVVDESGQRLKLNDRPRDRAMDILKPRQVYQLV KLGDTPEAPPTPLKFALPAALPSAAAAAT H257_08816 MFIWIKYGFEEVPPRMFNSNVTCDILLGFVRASFLKEVDDICKQ RSLKLSIDIEGVKKQREAVGAEVGSTSVESVSPSSQDLGDWQVKLEAQLEALLAISKS VKDLQSVNALDVVDESGQRLKLNDRPRDRAMDILKPRQVYQLVKLGDTPEAPPTPLKF ALPAALPSAAAAAT H257_08816 MALVLRDDVKDVSMNSHVRWLEEVPPRMFNSNVTCDILLGFVRA SFLKEVDDICKQRSLKLSIDIEGVKKQREAVGAEVGSTSVESVSPSSQDLGDWQVKLE AQLEALLAISKSVKDLQSVNALDVVDESGQRLKLNDRPRDRAMDILKPRQVYQLVKLG DTPEAPPTPLKFALPAALPSAAAAAT H257_08817 MEKQVVTRLEQAWSSADVGAFLDESKLHEIMVLFHDMEPSAKVR LLLAIQTAQQEHVKQQLSRERHGGSNSNAALPSSLGLPEDLLKQILSKADADSDEWVR IGSGILRRMLFTDSPDDDYLAQSVQTTVGQVMALVDASGGGEIAVDDWFSHDLAYMTP HPNVSLQGGAASKNDHFTVVEEGRPLDDVKKGAPSLHTPLRSATSSSSGAAVRRGTSS VSISSSSFPPKPHATAPSSLKRSLTEMGSEIRRQAENGRFKRNRSRISVIDLDEVKQI EADKVLKAEERKQLARRGPTKSAAAATVAATVGDNDAKAAAASSSADAAASAVDTQDE FHQAALSMASLPEADAGHMPQGTYLDYGGMMQYDESYLQGEDAAASAAVAAVAAHARL PVFNDPYQYVDQQGQQQQQQMYQQQIHMQQQAIHQQQQQQQQQQSMAFPFDSHNALYQ QPPPPPFSTNAAEFPYNAATGGGFLDSTNNNTFWR H257_08818 MVWPVVPSSSVMHNFLRRSHASFDLLSCGSSLVDIVSHVKHLPT AHHTTYLHRKNLAHEVVAGGAMNHLAWCQALGLNSGLLAFQGADKYGDMIREKLHEHQ VNTDFLVQKEDFETTVSRTFVAASGDSCSILSGGSMLELPQHAMKLFFAEPLQHAKCV STEIRHVPLASVEETLDLASHSVRFLDLATPPSVAVHDAGLGDWETLERCLAKATVVR ASVAAARELVDDSASRTDQDIAHRLRDKFGIPFVALTNDVNGVVLAFASGGGNDGACT AVVAWPSSSGADLQGADDAFFSGLIAGVHRWGMPDDHSSAVRLATLAGLIRGACVDTV GAWPSPETADRLQRLLVLPTASPPVPVVKTRAQSVEAMMMPFAKAIEGPNSSLTRDID ALLNLRRLFHNLEYATHFESFVQRIICCRENRNRVYTTGIGKSGIVAKRFASTLSSLS IPSQWIHGSEWTHGELGNLLPGDVVVLFSNSGKTPELVNLPNVFRQFDCDVLCLVGND DSPLYHASDFKIFTPAKDCLFDSVPARSIVAQEAVCNAVAESVVAITGIQRATFKKNH PGGNIGAAAAKTNTSPSNPQLGK H257_08818 MVWPVVPSSSVMHNFLRRSHASFDLLSCGSSLVDIVSHVKHLPT AHHTTYLHRKNLAHEVVAGGAMNHLAWCQALGLNSGLLAFQGADKYGDMIREKLHEHQ VNTDFLVQKEDFETTVSRTFVAASGDSCSILSGGSMLELPQHAMKLFFAEPLQHAKCV STEIRHVPLASVEETLDLASHSVRFLDLATPPSVAVHDAGLGDWETLERCLAKATVVR ASVAAARELVDDSASRTDQDIAHRLRDKFGIPFVALTNDVNGVVLAFASGGGNDGACT AVVAWPSSSGADLQGADDAFFSGLIAGVHRWGMPDDHSSAVRLATLAGLIRGACVDTV GAWPSPETADRLQRLLVLPTASPPVPVVKTRAQSVEAMMMPFAKAIEGPNSSLTRDID ALLVRYRDDPYLPIYIYIYCSFIQPFVGLVCADKSMYRIIR H257_08819 MQRTLRQSRQSGALNLSARDLKDIPKAVFFPNMHMESDEQHWEC RDLVKLDLSYNDLVAVPADVEQLQALTWLKLKQNQLTDIPPQLASLTSLVYLDLSNNK LHEASSFLGSLVRLRELSLSANVLTSLPDTLGLLVHLETLALHDNQLVCIPSSIAHLT KLRSVSVQQNLLETLPPLSSLVLLETLDVSKNKLAAMPALDALRRLKSVDLRHNRLDD LPTLPSTLTMLFAGHNRLRDLPQLERLAPALTVLDVRCNQLDALPPSIASLDFLTSLD VSNNNLSDLPPGLGSLDALNHLVLDGNPLRAIRQSVVAGGCVALKQYLQKRTAQLQTP AAADVVTSTAHSIPDHFIRDACASGVLDLSNRRTLDLSHLASAPRLGASLIHLNMSHN GLSALPPGLASLHLLQSLAAENNYLTSLPVDIAELPRLQALRVQKNRLTDAALAAVVH ITAPIRYTLVELDVRNNNLTKVPPGLSAFQLLDTLLVSFNQITALDGVHWANMTKLTS CLASNNRLESLGTIYVAPSLTSLNVENNALRHIPIELGQTVHLRLVNIQGNPQRHIRS SVVQRGPHAVLQHLQQLAEKPVDLTRAPAKRPLDQSPSATWQNDDESPPSTKRRATED SNVLIPVETKSLAQQIQAAEAEMDGFGVSSARLAALKKELANLRKQQAQHE H257_08819 MQRTLRQSRQSGALNLSARDLKDIPKAVFFPNMHMESDEQHWEC RDLVKLDLSYNDLVAVPADVEQLQALTWLKLKQNQLTDIPPQLASLTSLVYLDLSNNK LHEASSFLGSLVRLRELSLSANVLTSLPDTLGLLVHLETLALHDNQLVCIPSSIAHLT KLRSVSVQQNLLETLPPLSSLVLLETLDVSKNKLAAMPALDALRRLKSVDLRHNRLDD LPTLPSTLTMLFAGHNRLRDLPQLERLAPALTVLDVRCNQLDALPPSIASLDFLTSLD VSNNNLSDLPPGLGSLDALNHLVLDGNPLRAIRQSVVAGGCVALKQYLQKRTAQLQTP AAADVVTSTAHSIPDHFIRDACASGVLDLSNRRTLDLSHLASAPRLGASLIHLNMSHN GLSALPPGLASLHLLQSLAAENNYLTSLPVDIAELPRLQALRVQKNRLTDAALAAVVH ITAPIRYTLVELDVRNNNLTKVPPGLSAFQLLDTLLVSFNQITALDGVHWANMTKLTS CLASNNRVR H257_08820 MADEHDVASTSAAKKASKKQKKQQKQENADVEAAAPVTEQSVAA DETAVKSKKASKKSKQQKQEAVDVEAATAPVADQTVTTVEPTKASKKSKKQKKEAADV EVPVQVVELVPELASFFSTSSQVFGKRIEPEIKLPVVVAPGADDSTSEQLAAVVASTS TPAPPQSRDAVAKKVKKPKHKKVKALAEAAAAAAASGGDGADLATKPSTETPVVVAAS SPTIQEEEEEENAVDVKDPRTIFVGNVSLEATAADVKKFFASCGKVESVRLRSVPVAG CKVDQNGKQNLVKKVCVNKKLFVQGRDSCNAYVVFATDASVDAALKLNGSEFFNKVLR VDRKAVSMDAKRSVFVGNLAFNATDDDVRSHFDKILREDADTAAVESVRIIRDKVTHL GKGFGYVLFKDLSTAAKALSLHGSKMGKRELRVTVCGKRFKNTHGDKKPELKFEGRRA HPGAQLRLLKKRKAEEPADGAPPSKLPGQKKPGFIVRGEKKPFDKTNKAPFDKTSKKP FDKTNKKPFDKKKGGGAKGFNSFKKGGYQDKSKASKAPRAKIQKPKHAARKARQALEK A H257_08821 MNEQREAANAAVLARVGPWVGKRLLPGSRSDDTTADESAETVYE GDINHIPYRIITGGYTEDLQLTRLNVSIDSNRVVTRVWIG H257_08822 MTDRNHGYDFVYLKNTVGAPLADALAQLALDQPEDPIEYVGNYL LKYVSNERQRTERMIQSRVRKTEADFAAEEVARKLAAAQKVKDALNEAILADNATREE ILSANDWDVLCRVAMSKLAAATHAEACYLGRRVTDADGANFIQWFAATDSSKAVVDKF VGEETGFTFDVLKEVELDPPAVDSEGNPVPPAIPPFVHVENVIREPRIKYFGIPRMGA YLVKGIKLNSYLHDDVAQGDAMPTVESWLIVAVDTLGAARPFNGDNIREFLKWTATLG EAVEQYEKRTAVAQIELRKVDERDVKGKLDAIKETIAANETRVANAVEGIDDEARKAV EEATVKAQLVHDLLTSHLDALHIVGTSLIPFKAPVLKTLAAGLVLLGDDGFAKKDVVN AATLMPSWDKLRPWLTNAHLVPRVQAFHVRSVPLAAVALAKELLGDVGADDVELPAPS VLVLYMWIQTMCATAEALEEARLRAENPDE H257_08823 MLMCVKVIAWALTSVAYASVSLDGCPWPPDPPASDPPLCLRYSN GTSIAVNGTRFSPRRDVLWNLTHQHISAVNEFPRSDNNDTLYINLAHNNITRLSGQGP SAATTSLNLSHNPLTVNWLHAPLPVSELDVSYTTPDGLSWFADTAWARYLPQLTNLIF RSNRLVHLSLSSATLPQTVKHLDLRDNPALMVTITDCDTLARVQSSWLTLRLDSMVDA NKASVGCTNGTLQSVADAFVCLVATCHPAMASRAPFPVVTPKASPGVVKDKWTGLGLA LGMLNLTVFVFVGVVIVRRRCQERQETRQRNTVCSSPGNSEGSGWHRH H257_08823 MLMCVKVIAWALTSVAYASVSLDGCPWPPDPPASDPPLCLRYSN GTSIAVNGTRFSPRRDVLWNLTHQHISAVNEFPRSDNNDTLYINLAHNNITRLSGQGP SAATTSLNLSHNPLTVNWLHAPLPVSELDVSYTTPDGLSWFADTAWARYLPQLTNLIF RSNRLVHLSLSSATLPQTVKHLDLRDNPALMVTITDCDTLARVQSSWLTLRLDSMVDA NKASVGCTNGTLQSVADAFVCLVATCHPAMASRAPFPVVTPKASPGVVKGIHSTIRAK VQL H257_08824 MAEVKLEARQDAPILRLPTTAHPKRKREEMEAAANVKAAPTVDH KAMVAATTPTLSKKERKLLRNAAMATKSKKAKKKKKQKTAASGDGATKKLSKSAKKEQ RKAAAASATTPQPPGAVVPSATSSIMTNPFQHNDNSAQRPAKKAKSMTFGTTRLSWGG DEVVRSFIRCDVDVHLKKGVTYELDSMDGGYVQVSELRASTSMWRQVLQVGDYVDGRM ALNKKWFECKVVECDADSVTLHFMGWAKTFNSSFARTSPYIQKLHTNVPSWRSTLKLS QEVEVASSLTITPTTEWKLLPITGIRAAVPSTKEPAPLPGAIRFNPTSLHDDIGTAME VQFGRSKKWVSAITDKICLRGTHFCS H257_08825 MKSWGVLACNLLKVPGFSCHELEVDGKKTSHRFHLILDNHEKFH KDSDFFVKWYTVRTIHIPTTASLWLQADLCPSVEGVRAQVQKVLCPPRAVTFQWTPPS PIELKPKTTYSFTVFSNGETINESPIWLNGANEFTTKNDPNADVQLSYTYYPGSDWSL RHLRDGRVVPSLQMYTS H257_08826 MDQLTNALAFANCSITTTKAHELILDVGAQRRKELELVDTQYSN ALLGVSFVTVFGICLCSLYAFYRSHMFHLHVRAEIAHLATTETKSLPAFHGTLMDHAM DKSPTAGPCTISFAYLSYFVQETSLKGAPEKQIMHDASGTFKPGRLTAIMGPSGSGKT TLLNLVSGRVFTGSYYGYRLLNHELTSPTDYDVFMSSQGYVEQTDTFIETMTVRESLL FSAYLRLPDSMTLREKIERVQTILRVVQLDDAANTIIGGLLSGLKGLSGGQKRRLSIA TELLRLPSVLILDEPTSGLDSTSSLLLVQMLASLANQQGLTVVSTIHQPRAEIFDLFH DVVLMDKGGFLIYCGPSHDAKTHLAAMGSLTIRPDTYINPADFIIDALGLDPEKEATK LSALQVATTTDSSPFLNHWKASSYFATLMQSLAHYSDVPAERRVYKVPKQSWIHVQTW TCFERRYSRLYGKKIDLLYKIGQIVAVAIVITTAFSYTPLSQLTQDPRFTPNDKQIIR EYGLLYQNVMLLFTISAYGLVTEYLKNVPEYFIERPLLLLERKSNALSYYPYVYAAFL IETFEGVVNSIVLMTVALVLHNWNGLTPRSIFSLYLIFILSTLAWQSIVCFASSVSHR TPVVYSVLFMVLGVGFLFSGVCVGYDDMAPIWYWSYFGMAPALMARSLIVASTSSDLQ VQMLVSSSMLNMSLDAMFGVLVASIGGFRLLAIAVFYWREYHAKQLKFKSVDWIEARL RSFA H257_08827 MERLAVKRAEEGEWHELVDMAENIPHSIMVPDEFGMLPLHWVCT EQSVPLDTLQVLLRVFPDACECKNMSGMLPLHVAIASKLPGVHLNALVDAFPASVNIK GGDGLDPAEMAHRHRLPDHSINVLKKSVSLVMSSSSMMGSSDTSTRRHSVSDLTLTSL DIRTSCIPRNSSWESVDKLKTSSSNTSMSSSHYSAVSSPKSISSRLSADTDELGADLR ELSGKLAALQVEMRHNHASEPVEHSVLWNPGDRLGVSFESAVEDPANVIVGARVKRLT GDSEALGISTVSVGDRLLSVNGVDVTQVPFGIICKFLKRTNVTCKLTFASMSSAPLSE TSTTVDDVHSILATTLHKVQSVEDIVRLSSALTT H257_08828 MSNTPPLPPCPVAIVDDDLGYDGDDVPSSRQYGESPPPPPPPSE TPPPPPALSLSNETIDESSHDLVGRVEDHDRVHRLAGVKLDLLTSISSSPPPQPLTPT LTPPASRWHLGLIENYTIIDKVGSGTYGEVYKCQHKITKDIVALKKLRQDVEKNGFPV TSIREMKILKQLKHPNIVELKEIVSKPDLPKDGKKPPLYFAFEYMEHDLSGLLTHEKV PKFSRTQIQCYMRQLLYGIAFMHGQKIMHRDIKASNLLLNNAGMLKIADFGLSRFWTE ANARSGRYTNKVVTLWYRPPELLMGCTAYDYSIDMWSVGCIFAELLLGKAPLQGRNEL EQLQCIYGLVGVPTVDNWPSYEKLPNANVFTPDAKHVCLLAERFKEFPTTTVDLLSKM LTLDPSQRITALDALDHDYFWKIPTCKPKDLPKFPVASTHEYQSKKRHAAAPPSSQAN PKRHPHTSTSSARTDAGGRGVGSTSSTSHAPPPPSHSLHPHHHHHHHGGSASSSSTLR DSHHYGGGRPVPRYHEDSSRRQRQRRSQSRERPSQH H257_08828 MSNTPPLPPCPVAIVDDDLGYDGDDVPSSRQYGESPPPPPPPSE TPPPPPALSLSNETIDESSHDLVGRVEDHDRVHRLAGVKLDLLTSISSSPPPQPLTPT LTPPASRWHLGLIENYTIIDKVGSGTYGEVYKCQHKITKDIVALKKLRQDVEKNGFPV TSIREMKILKQLKHPNIVELKEIVSKPDLPKDGKKPPLYFAFEYMEHDLSGLLTHEKV PKFSRTQIQCYMRQLLYGIAFMHGQKIMHRDIKASNLLLNNAGMLKIADFGLSRFWTE ANARSGRYTNKVVTLWYRPPELLMGCTAYDYSIDMWSVGCIFAELLLGKAPLQGRNEL EQLQCIYGLVGVPTVDNWPSYEKLPNANVFTPDAKHVCLLAERFKEFPTTTVDLLSKM LTLDPSQRITALDALDHDYFWKIPTCKPKE H257_08829 MTFFSNKQVCMWDGGYKSSRHEPASDATMGYSGATAAVLHDTTL VVGGRDQLGETLHEKSLVILFGLKQERILNDYDVMGTISRRIGHAVGALPSNAAWFVF GGELLADLDGGGGLFQPLGDLHRVTYANHVVRIERMQLKEGPTARSWHTLTTIRYRPP PDAPQALKGKQTTQPTIQVSELDDALLLLGGKDGGKDVWVFHYDKLPQPDDGDTTSDV EPTRAPKWSKLQTDGASPLPLAYHTCHALGEGTKVVVVGGVHLGEFVDTVSILDVLTG MWSTLAQSPSLQRSCHVMALVHVPTGIKSNTDDGKAAPDDHRISLLFPRCPVLPGPAS NNNESTPPSAFRHGLDCFLIFGGITPDAIAPLDGFVVIDPIGGTVAQVDDGHLGIPSH MGHAIATSSDRRKLFVFGGTHASTHAWLDTMSCVDFWTYQHDPLPPPPLERIRTVEYP NGDVYLGELDAANLRHGLGRCDYATGDVYEGHWVEDAWAGDGRWESTGGDMYVGSFQG NERHGRGLWTCSPKAMNVSTITPTPRLIEISYDGPWERGLRHGSDGVVTYSNDAKLKG AWVDNVLQSSTIVIESYVDRDGKIVGLYEGAVDDSALHAPHGPGRFESQGYPKAGEMF SGSWMHGKRDGQGMCMAFDGTVYMGEWKNGKRNGIGTCDYAKTRDRYEGKWVGDVRCG LGTCTYAAGFVYEGQWALDKRHGTGRCTYKDGTFYEGQWENDEFCGDGALILPST H257_08829 MTFFSNKQVCMWDGGYKSSRHEPASDATMGYSGATAAVLHDTTL VVGGRDQLGETLHEKSLVILFGLKQERILNDYDVMGTISRRIGHAVGALPSNAAWFVF GGELLADLDGGGGLFQPLGDLHRVTYANHVVRIERMQLKEGPTARSWHTLTTIRYRPP PDAPQALKGKQTTQPTIQVSELDDALLLLGGKDGGKDVWVFHYDKLPQPDDGDTTSDV EPTRAPKWSKLQTDGASPLPLAYHTCHALGEGTKVVVVGGVHLGEFVDTVSILDVLTG MWSTLAQSPSLQRSCHVMALVHVPTGIKSNTDDGKAAPDDHRISLLFPRCPVLPGPAS NNNESTPPSAFRHGLDCFLIFGGITPDAIAPLDGFVVIDPIGGTVAQVDDGHLGIPSH MGHAIATSSDRRKLFVFGGTHASTHAWLDTMSCVDFWTYQHDPLPPPPLERIRTVEYP NGDVYLGELDAANLRHGLGRCDYATGDVYEGHWVEDAWAGDGRWESTGGDMYVGSFQG NERHGRGLWTCSPKAMNVSTITPTPRLIEISYDGPWERGLRHGSDGVVTYSNDAKLKG AWVDNVLQSSTIVIESYVDRDGKIVGLYEGAVDDSALHAPHGPGRFESQGYPKAGEMF SGSWMHGKRDGYVLHGDEGLHHKTIL H257_08829 MTFFSNKQVCMWDGGYKSSRHEPASDATMGYSGATAAVLHDTTL VVGGRDQLGETLHEKSLVILFGLKQERILNDYDVMGTISRRIGHAVGALPSNAAWFVF GGELLADLDGGGGLFQPLGDLHRVTYANHVVRIERMQLKEGPTARSWHTLTTIRYRPP PDAPQALKGKQTTQPTIQVSELDDALLLLGGKDGGKDVWVFHYDKLPQPDDGDTTSDV EPTRAPKWSKLQTDGASPLPLAYHTCHALGEGTKVVVVGGVHLGEFVDTVSILDVLTG MWSTLAQSPSLQRSCHVMALVHVPTGIKSNTDDGKAAPDDHRISLLFPRCPVLPGPAS NNNESTPPSAFRHGLDCFLIFGGITPDAIAPLDGFVVIDPIGGTVAQVDDGHLGIPSH MGHAIATSSDRRKLFVFGGTHASTHAWLDTMSCVDFWTYQHDPLPPPPLERIRTVEYP NGDVYLGELDAANLRHGLGRCDYATGDVYEGHWVEDAWAGDGRWESTGGDMYVGSFQG NERHGRGLWTCSPKAMNVSTITPTPRLIEISYDGPWERGLRHGSDGVVTYSNDAKLKG AWVDNVLQSSTIVIESYVDRDGKIVGLYEGAVDDSALHAPHGPGRFESQGYPKAGEMF SGSWMHGKRDGYVLHGDEGLHHKTIL H257_08830 MEAALDITVLDALLDLTGMPCSTDSESASSDQEHSSPHRTKDGS RHHRSRGAPIACQVSGCGRRARRGNCCLGHGGAKVCKAEGCGNAAQTHGLCKSHGGGA RCTKPGCDKSSQGQGLCRTHGGGKRCSHQGCTKGVQRGSKCATHGRIRVCSAEGCGRM DRGGGMCDGHRKARECKAGDCKRLSHATNLCKLHLRRQERVYVGVIMLEIAESATSLS IPY H257_08831 MAFTTINNDPLFMLDIPPSSSMHQDFQDWLYWSNVTTSSLESAI VDAPFDFEILSMLACPNDLYSSPCTTPESESSTVHSPRSGPSSPDCSIVQRCRQRTPC KVQDCLRTARRGRFCPIHGGAKCCKVKSCGNAAQTQGLCKTHGGGPRCREHGCDKSSQ GGGLCRAHGGGKRCSHVGCSKGVQRGNKCATHGGTRMCSVEGCVRMDRGGSLCEIHRK EHKCAVNGCKRLSHVLGKCKLHLRQQTRQLAATHSG H257_08832 MPRPLCNNSSMRHPFAVDSWIPFARAKDFQACPASCSGTTRPLA ESWNSLEGATSGCLHGMLAVDPTIQRAVPEFVVQGWPLPSSLDSRMVKARETLRALRT F H257_08834 MLCPLFNLGHIPFELAVIMSNGWKCIAQPSNGAVAAVQLNSDDE VQCLGFNSRDCVYFHSMQDCHANLNPAKSVNPLVCGNMHKNVWGVSGYDSASHWCAAG RHHLGNLPAMSFLAKVDAHKVEVSVGAVATFILALVAFIAVRKYKKTDYQLVK H257_08835 MRFTIEPFPFYPSDLVVIIFALPFRRQRKHRRGGSPRPVPPEPL VVGPVSVQDAPVERATANIAIPMAPLQLATCQTAPTMPKTATIAFPTAVESALARNPT TPNPKAFARPMVAALTRGLV H257_08836 MGEGIMKSSEGFAWMWGTALVCFIGIGYFMWKKKQELLTATAIG RVPSATNVEENNVYVPSATPVATAVPAKVVGFHFSYTYS H257_08837 MSTPPKRRNFTEEEDIMLLRQVSADMPFLARRGLIMDNWTAIAE TLAANEDFGRPDFDAKKANNRFIALAEAHRKIHRVSARASGISEDVGEKVALLDDILS AHDDAKEEESQRIADAKKTHEHNDNLGSVVREEAMQSLGKRKHDVDDDGACRGGGGKM LKVTTMMHEQVQSELEFQREKHENEIKERQKDRELLAQQIWNKQESMRSQQESMAALI KLLMNKQ H257_08838 MRLRPVKPIVSYNNFDRDMHNATAVHKFRFTIEQIRVLAVALRL PAFLSTSISDQVESLEALAILCRRLAEPCRLFTIADEFGRSVEACSRIDRNCSVQDLE RCDPLSGSLAQTYAGAIESKSGLRGLRTCVAFIDGTKQYISRPSAEGQEHENLQRSVY NGHLRRHCLNWQGITVPDGIIISMYVPVVGRRHDSTMFSMSRIWRG H257_08839 MVKKPCALKARFEYLLAKHEKGESASLRKSGTTEEYSERDQLLT GIKLRVDEAVRKDAARRKLEIVKNSGLIMRQLVMAELETSAKETEDAEITPIKRRKKS KKPAPTLDIASLMGIIREGIEDDKERCEAQRLQYDREQDNRHAEQLAAHQRALTNN H257_08840 MEVVNEKVITIVAMVATPHQSGVASMSTILMTFSLAAVSIEMVE VNNDLPFKQAKNTTKTWDAVADKLCQVPGFGKSGLDRKKAPSRFYQFLRVHRKFQVGF KYLSGVKQDDTGKIMLLDELFQLFDEASDER H257_08841 MSDADARLKFRFDVVGIQRLVCALRLPEAWSRVLYFCNAAVVPR VATYVATIKAKGSYMGNIFGFIDCRKFETCRITQKRGRMSADFPDMQRVIYSGHKHSL NFQAVTSPDGLCVQSWGPVKGSRHDTALLRLSKLEAFLDPRRDIFGDYLVYGDPAYGV LEWIFSGYKATHLDDKKSFNSAMSKVRQSVEWSFGIMKKPWSMVGEISRSCVSKMALR LRLTTSLLVVSPSSLDVRRPWLIAWSGTYPAEVFRTPHCRRSVDQHSNHNGG H257_08842 MSRTKEAIMIVLDASVAMRSPLAEVTRKVEVGSRFEAAKSAIQG IVNQKLLFRKNDEVGIVMYGTEGTDNQLNADDDNSYKHVTVVSSVAPVTIDLAKQVLA MEAASVETPADVLDGIIVALDLMIRRTDNKKYDKRLVVITDAATRINNPSDMEVVCTM IQNLDVHLQIIGIDFGLSQDTKLERMDNVKGENVAAPSIKEENEKMLQSIATEVHGEV QSVSKRIDFLAQSKLKPVLQTTKMRGNLEFGDAMSIPVYVFAKVLEATIPSLQKESQV AKQSTTYAEDDAPGKVRMERTSFAPDHPDEEVPPERRIKAYKYGSEMIPFSTADQAAL KLLTTRGLKVLGFVDKSQWKHGLGMTGTDAVFGDFTKPKAQEAIHALSTAMHAEGKFA LARFVRVANAAPKVVALFPNVQEPRLHCLWMQQLPFEEDLRPYEFSSLASNPTIQPTA TQLAAADTLVEMLSRPASTSHAFNPALQRLYDTIAHRAMDPTAPLAPLPPHVERHLRE DRTLFEPALAAIHAFDKAFQLKEAAKAKDHKKKSFWSDVSIGDTKPADVPVADGGGGD DNDDGELDLDDLLGEDVTAVGSMNPISDFEALLATNQLAKAQLAVMGMEEQILGLFRQ DARGYHAKGLDCLRYFRRRSPEIHRTTLFNAFLHRVKAEFGPSNGPVWQLLVEHHVTL LSTRDDPSCDTSIREAESFLLPDAQVKDEPTGGAEVVDAGQDDESDLFADLE H257_08843 MVLGYVGSISFRFSMQSTVLPAAMLPFLTCEPIPFHSLQPPPTS SYPNIGHYLSWYLDLDVETDSSSSSASLPSSPMPSFPPSPMRCAMPSCHREAQHSQYC ISHGGGKCCRVALCPNAAQSQGVCKAHGGGARCKFQHCDKSSQGGGLCRAHGGGKRCI EDGCTKGVQRGNKCATHGGCRKCTMPGCTKTDRGGGLCETHRRDKMCMVQGCKRLAKN QGMCTPHIRRSHHKDAATAGV H257_08844 MAFMEHTRSSSGAYDVSDSGSHATARDAFVIMSTLLTASVPRAY LELCSQILQERHMRDVFEERSVQLRCGWPLCRESIANRRPQKYRVSLAKQQVYNAREE QQFCGDACLQDARKYVASLPIKPPQMLPSLTQVFGTSKPHPKDYDESRPAASSLGVPL PKHPILGPSSASRRATSAGPKVVWAKQAGMGVIERDVQIVEHVAPPRPSTDFSTANAV LIEGYVFPAHKGNRASKLAKRLAAASSTSTSTTTPDPLDEEEVFTSDDDDTSSGGDSS AAEESSEDSEGNVDTFSAADLSPFASLWWKVSEMVTPATIQLVARFNGQSNVEYVAAP VTSSTDLDNRRLLFGTFCTRQLPFVVQACHALRSDRGVQMNMSDVVQTLHLRQPIEGT RVCEWNAMCLLLLLVVHGRTPTTWHNAIAPTSLKHLTGLEVCEMDQLIGVFCETMHAY VLADQLDGPAASTKAIPSATTAAAAGRTQCRKCRHRTCQCKAKAGDANRSEFSDAEIA AMMKESLKIQEMAEFGALD H257_08844 MLPSLTQVFGTSKPHPKDYDESRPAASSLGVPLPKHPILGPSSA SRRATSAGPKVVWAKQAGMGVIERDVQIVEHVAPPRPSTDFSTANAVLIEGYVFPAHK GNRASKLAKRLAAASSTSTSTTTPDPLDEEEVFTSDDDDTSSGGDSSAAEESSEDSEG NVDTFSAADLSPFASLWWKVSEMVTPATIQLVARFNGQSNVEYVAAPVTSSTDLDNRR LLFGTFCTRQLPFVVQACHALRSDRGVQMNMSDVVQTLHLRQPIEGTRVCEWNAMCLL LLLVVHGRTPTTWHNAIAPTSLKHLTGLEVCEMDQLIGVFCETMHAYVLADQLDGPAA STKAIPSATTAAAAGRTQCRKCRHRTCQCKAKAGDANRSEFSDAEIAAMMKESLKIQE MAEFGALD H257_08844 MAFMEHTRSSSGAYDVSDSGSHATARDAFVIMSTLLTASVPRAY LELCSQILQERHMRDVFEERSVQLRCGWPLCRESIANRRPQKYRVSLAKQQVYNAREE QQFCGDACLQDARKYVASLPIKPPQMLPSLTQVFGTSKPHPKDYDESRPAASSLGVPL PKHPILGPSSASRRATSAGPKVVWAKQAGMGVIERDVQIVEHVAPPRPSTDFSTANAV LIEGYVFPAHKGNRASKLAKRLAAASSTSTSTTTPDPLDEEEVFTSDDDDTSSGGDSS AAEESSEDSEGNVDTFSAADLSPFASLWWKVSEMVTPATIQLVARFNGQSNVEYVAAP VTSSTDLDNRRLLFGTFCTRQLPFVVQACHALRSDRGVQMNMSDVVQTLHLRQPIEGT RVCEWNAMCLLLLLVVHGRTPTTWHNAIAPYVCFVCCCTRLFVSVFIDIYIYLIFFVE TDRMYYIGRH H257_08845 MRVIVTAAAVLVAVVQAAHTSTSILVGAKASATGAPLTAHSLDC PDCDFRLVKVPAVQYTESTSSDLTRNVFLTSSQYPRHVGNDRGPAYSVDNLKQPGEFF NWTDSPAIAQIPQIRETYAYLDGVVGIVNELQLSFGHSSCGAKLAARPVSQGGNAAFD IAELTRVALERTATARDAIALMGKLAETYGFYGSSWGGDDIYSGEALTVADETEGWIF HILPDDSGASAVWAAQRVPDTDVAVVANQFVIHQVNVTDSDWYMASSNVHDVASRNGL WDETADTFDFAVVYGHLPESTVGSTRRMWRVFSLANPTLELSPVADPYAITYPFSVPV EAEVTLAAMDLIRFQRDHYENTEFDLTVGVAAGPYGSPDRFAVDSETALNGRFETPIG GSTYSYVVTLDPTSAFNSLLWFAPHAPSASTYIPVFVKATVVPAVIGTGSLREFDITS AYWLNSLLSNYVGRWFEHVYPVVAEARALAEYTADTAQTSVQVAVQEVKDKVGDAAAI DVLTKTTESFANDAQAATMNLLSDVITRFHDGFLVSGFENEVLEVQPMSYPEWYLDSV GYYPEAADATTEVSTDDTEEPTTTIPAAIAKVVGPIVTAGSTAAKATSTNMTTGTSSD CPDISSTKNNTWGAVVIIGFAAMLVATTALGYIFGKRQALTRTRGYAYIQ H257_08846 MSLVAPSTLGLRGTAVTHFNVDPDALQLPADEQDYEYVLDCKTT ASKDHVLLALSDRTIQARSRATLSCERQFTAHTDTINELVISETQPWNVISGSNDGTI KVWDLRQSQPAAVQTIRVGEEVWSCSVGCGDTLVVAGAADSAVFFDLRTLRKLGQYGE SHMDNVTRVQFHPVRRSEVITASDDGIVCLFDCTIADEDEATISTINVESSVSRFCMF GPDLHNIAVLTGSETLDVWNLTTAERLAHFPTIRDQCSSSSAGLHTDYLVDCKYNAAS DQLHLATGNHQGQLNVFQLNPQAGIVHQATLSGGHKAAIRCLDWQADMLLTGGEDARV CQWMPPSQSQGQLMSGGGRSAIRSSVERDVSGARRARHASRPY H257_08847 MVTVAESKKEEPPRGVPVSGRSWKKTQRSKNSMMTYKATKTLST TWDEKMAAKSKKKEMKDLEHEIANRKKQEKVDKRVAREEKEKRRIANEFKASTLQVIK KTHKLKSMSKKQLRNIKKTRMNKNGEIELVSAYAK H257_08848 MKRFSTACVVAAASLGLVEGANDHQDRCTAILVGAKASVTGTPM TTHSNDCSDCDFRLVKVPPMTHAPGAMRDVLLFASQYPRYVGNARGYGYARDRLDPLS GFTNWTDTVPIAQIPQVNTTFGYLEGVYGIMNDQQLAMGESTCGAKFYAKPVGHGGAA YFDVTELTRLALERTATARAAIALMGQLAETYGYYGMFWDDSNVGADPASCAGEALTI ADATGDAWMFHILPDDTGTSAVWVAQRVPDTHITAVANEFVIHSVDLADSDNFMGSAN MHEIASRHGFWDPATEFDFAVAFGAKPSGWQYGITRRVWRVLTLANPHLDLSPLTDGY ATTYPFSVQVAQPLAAADLMRFHRDHYENTPYDLTQGPAAGPYGNPNRYGVGHAARPG GHFERAISIFSATYTFVASIHPTNAHLGFLWFGPYAPHATMYVPIFAASTDVPPSASQ GSLRHFNKSALFWSNLAVGNYASTWYKFARPVVAAAQQVVEADALAALQTVYDGAHSV LASQGDVADFLTRASHTFADKGLAASHSLFDALVTRFHDGSIVSDLTEASFTVASMGY PQSWLDRVGYYDDNITTSQSMDENCNVYLSGSIVGSFCVLVVLALAGGFHLGQRANRM GKTKRGYAYIQ H257_08849 MRVTVAVLTLAIAACAEVCARYVDPEKCTAILVGAKASATGSPM TTQTNDCGDCDFRLVKVPAKDHAPGSRRPVILVGQPYPRYVGEDRGPVYTKANLDKSL FTWTDTPAIGSIPQVNHTFGYVEGVYGIMNEHQLGIGESTCTSKLWTKPVSQGGKALL DITELSRIALERSKTAREAIVLMGGLAEQYGYYGAAWDTPEIAMDEAGEALTVTDPKE GWMFHILPDDSGTSAVWVAQRVPDDHIAAIGNQFVIHHINITDTANFLASANVYDVAK RNGFWDEDTEFDFTAAYAPKQPNQYSMTRRVWRVLSLANSTIGLNPFTDAFATDYPFS VQPDAPLTPADLMRFQRDHYEGTPFDLTQGLAAGPYGDPNRYSPGQVAPGGSFERSIS VYKATYSYVTTAHPTNENKAVLWFGPYAPSHTTYFPIYPKVSAIPDVASRGSLHAFDM SKAFWLNALIGNYASRYYKFTQPVIVAAQLRLEAKALAVQAAIHDKALAIFQSQGDDA LVTYLTNVCASFAADAHSGFSAVFASLVTHFHDGYIMSNLTSSTIGVQAMGYPLWWLQ AVGYYNSSTSPASGSSTVLATVGYVVLVFLALGLGFAVGQHSIRLKGYAFIK H257_08850 MIGAHHHITTPYTPWANDTVEVVNRLILRGFKTLTSEMKLRPDE WHRVLALVQSALNHQPAGRLGGVAPVTAFQGLPSTTPLAGFVHPRTKKVLTVDWLSKA RQEYMDVLRQAMENMHRDIAARSEKLRQQARGRREKKAHVRLVKFALGDFVLVGKIIK FPNKLALNWKGPYRVSRVDSDYVMEAQQLLEPFTTSVHHASRLKFFSDAALDVTDALV DYAEFGDEVRVKWKGLDEEEASWEPALQLYEDIAVVLRRWIM H257_08851 MHPQCPEAIALTQHLLHATQAIIPKAWLPYQDIYLPGHCTASAS TYTGLTRPQWQTRSRNPSPNSDHSMIPIYPKCCVLVHMHRLLRTFLPGDTRTRCHPTP LGIYPMSAAVWLTTPLALPHSPTLDTPSCTPRFLARSDIAPTAPYPAPYEPPLVDRCG PPSLLHHLVAPPIHGNTDSHCPPHTGLLLVRCSRRLPGTYASRQPSDCIAPYHSLGPA HPTQFYSIYHISTTLWSKLSTSNHFPIHIGFLTTGTTPGLLTPTHLHWTAALRLLDAI HWLHRPHPQSSEPRLPWILTAPTHCLHWAQIDNPAYDQYVLDSSAYLEATYPTIYLTT TVWKMLTTMAQSTSDWAAALMHLHAPHFHKQWFSAQWATSADTGTPHAPPMWTTFAPP GNSPS H257_08852 MLLSLPSLRTKFGWTRATLQRFAPIWDAIPTGMLYNPLPTLQQQ TLQWDPQPASQSLPTHPPTLLRSHLPYLEQPLGRTFFTSSRGFEAIQIPLHVMLVVPH HLTHRDGRPVTLSYRIGRRASLQT H257_08853 MLRPHPRLGHGVHLPQTRCPLPSSPAHGPFLGVSQIDIRTTFGW LDVGIREFDQSSILAGGTDPVHITHSQHSEEPPHTRTISRLLFVDDALDISTSYTGIQ DRATISNHFTG H257_08854 MDHPDVVAQAFQTPACTTWDNYHYDEAMQARCERSLRTRVSPGH EGVSQKLWIAAPTCIRERERTIINLILRTGLVPPILGRKRMIYLAKSATAHGVVNLDP GLPLWRPITVQSAFSNRIFTVVRDYITPCISNEEMQHGFQRDCTVQDAAALTSLLIER AQRRQEELFRISKADTGANL H257_08855 MLLHPTPHSTFASSDRVPTLDDAVSYCAATTCYRFAKDSGLCLL HAATTQQHATSLRQYHDSPATTTLQTPPLTSVTTPRHYHDLTSYLPSKSSSGRSSPHS DAVRVSCKLCQVDQCTKRAKSRGLCISHGGGKRCVIDGCVKSAKGGGLCISHGGGKRC LRERCNKSALLGGFCAHHGGGRRCGHDGCTKYALSGGFCIAHGGGKRCNFHACPNSAV SRGYCVAHGGGPRCATAGCMKGAVTGGLCIKHNGGKKCQQTACRKKARTKGYCFTHFK H257_08856 MQVEEHRQAFGGYQSTNTTTMQISKDKPKQGVTATHCKPRTLNA PPSDEWTTYRGAIWSIMKTFSVPSGSYGSVLSVLQDVAECAVAGVASIESYLIADCME WGWGLRESTHMVNQLRLSLNRMHVGTSAVYIAYLLRFRRDANGKVDPNGELASTKSFL NMKYMNQAKFMPWDASLNKDVDDCFQRHRVMTQQLDKDDPRKFCSSTPNRLDSAYLRI MDPTLGPHQGCPTSERIIQDVTKCFSNHLLAVINASGLALRWVHDDALEQTNLLVEKT FAGIQSSKSMGEAEAVQ H257_08857 MPSGDGAPSNPTNGTPYHAAVDIDNANQPLLHPSSTNRPDINRD TNNEDDDVTSETFQDETSMKLENRDEGDLGRGRGNGGRGGRGGRLNWHEQGNYANEDE KDYNEDVFTVELIEHAGIYRAVNEPSTDDDDDFASLHEEDEWEMVSHELLFSTASYHA SAECGSLTTTPFLSTRWENGNDFKEYEEDGYLPNLLYVPTLRKTLLSISRITRHSDEA ANQSKPQNNHMQGRREWKDGIQQRTKERRSTPPQDWDSLHTDLWGPAKTKSPNGSDYC IAFSEGATN H257_08858 MDGLNQGPARTQLFRTYQRTFEEAVRIALSYVEQLRQPLHLTRL NRLPPSDPSDRVRIKMTDSHTASQPRIIVDVPINFDGFDSLNMSQSWIHRRAADHLPP STTNPLGPTFAAMSNDLALSTPVVRLLALVCIPPSVPPTESLCVVIGLAPSTLPIAAS ILASTFIEEA H257_08859 MKSTVSEATARRWMVHAGYKFGSWKKDVYVDDHERQEVVEFRKV LCSTWVSLSERMASFSGEAMDILESPNDVSQEQVVWVTHDESIFYANDDGGMVWTNTS HPDLPKKGRGRSIMVSDFLCPCHGRLFMLGDSEALFVTEKLHVGKAQEGYWTSEHVIK QVTQRVLPAFAALYPGCTALFTFDQSTNHAAYATDALRTSSMNLNPASILTSLCSILE ETIVAAGHVCLFFPKYHCELNPIESYWGAAKRHTRSNCDYSWNGLVQCVPRSLETYSY GLDYNMSKYAHKQTRATDASHKE H257_08860 MKPSHIAVVSPAGICGEGRVVEIDETSMYNHGTRHDEYWLFGGV DRTTKQWFGVVTFGDLTKPTLLALIKKGHSIWDPHHLRQFRIVRFNQRAAHIG H257_08861 MTILQRQETSLEDIIDSCLADSTKERYESGLRQLIKWIHLTGGT DLLKDDGMIDLRVGTMSGYRAALRWYYKREDVAMPVEYSSMHRLTAPDEQTSTLKDSG KRPLGFSMYEALCQESLKTSDSGFVQLYLVISWNLMARSKSTETIHIDHISFEEDAIG ITYIKSKTDQTGSKRRDPRHVYANPSSPAICTFLALGANQRDRFGKALKALDYTVLGE AASGTVGTHSIRKGAATFVCSGSTSGPSVISVCLRCGWSLGNVVERYMHYEKAGDQFV GRVVAGLPLNSADFAQLPPHFDSTDNPVVTSALRCMFPVLFKTGSLIGVLKLGLASIV HHAYC H257_08862 MKVFVAADFAGKFVSIQTISRHLLVGIEPVTCNNYINKQKRREF GANCKLKQHQQDGDFIVYYDEMNYNLYCKRSRGRFKWGTRASTVLPPSKGPNMQVQCA VSAELGLVCYRLERGVIKMDKNSAYVETIYECWMARTLRGKARFHRCDGMMLLRLGSY SPMLNPIESCFSVLKSRIKGYLAHHTALMFERGEYRTFLEHRMVLHEDVAHESMPCIT QSLVILEALFSQENVDSHLMRKST H257_08863 MKVFVAADFAGKFPVTCNNYINKQKRREFGANCKLKQHQQDGDF IVYYDEMNYNLYCKRSRGRFKWGTRASTVLPPSKGPNMQVQCAVSAELGLVCYRLERG VIKMDKNSAYVETIYECWMARTLRGKARFHRCDGMMLLRLGSYSPMLNPIESCFSVLK SRIKGYLAHHTALMFERGEYRTFLEHRMVLHEDVAHESMPCITQSLVILEALFSQENV DSHLMRKST H257_08864 MLLHRTPHSTLASSDMVPTLDDAVSYCAATTCYRFAKDSGLCLL HAATTQQHATSLRQYHDSSATTSLQTPPLTSVTTPRHYHDLTRYLPSKSSSGRSSPHS DVVRVSCKLCQVDQCTKRAKSRGLCISHGGGKRCVIDGCVKSAKGGGLCISHGGGKRC LRERCNKSALLGGFCAHHGGGRRCGHDGCTKYALSGGFCIAHGGGKRCNFHACPNSAV SRGYCVAHGGGPRCATAGCMKGAVTGGLCIKHNGGTKCQQTACRKKARTKGYCFTHFK H257_08865 MFAAVKMYAHVFFGRDIAAQTVSMLLFLEDKTSYQQAWHPRIDG RRLRDIEFSSFDYNVCFGNANALPVTTSRAKTYTESLQCHHPRDDRALNIKIKYLAMY FEEIDESIDIEPCNKSPLRMKQEVSNEVSADF H257_08866 MAPINTNNVLIRLQEQSVGERSFLEASFVDYGEVARLNEERVDD VANPILEKFVNNLGPEGIGTLTNFTAGGFRHFPYALYAVDVKSQPSLRPTVRFAEQKH YLSGKHHLYGYKIEAAVSPDERCVAMSNAHPGFVHDLTFLHTRREPHTTNLTKSACEA ALPDHGELSAEYMTTWACLVDMGFIGVNHTLRGIHPKRSPQTGFLDAADVERNLRVSS DHVVVENFFGRVYSFWKVSYATFTWGEKIYGVIQRTTFALTNFHLSLIPARAEAEDYY ALVMVRYQGMAN H257_08867 MLTSVRLVAATAALSSAIACTIMAVGKTASTTGSPMVVHSADCG NCDFRLGKVPQKTFSPGAMRDVVRFRLQYPRYVGDARGDVFTEANVDKSIFNWTTTPS IGQIPQVNTTFAYLAGLYGIMNEHQVSIGESTCGGRLVSAPVSNGGKALFDVSELTNV ALERSTSARQAIQIMGEMAEQYGYYGADWEGPMAAMEAGEALAVADASEAWLFHIHPD DSGASAVWVAQRVPDGHIAAIGNQFVIRQVNLTDSDNFMGSKNLVDVAIRAKLYDPAE DGAFDFTKAYAHPIAPDQYYATRRQWRVLMLANPSLNLPAETDVYGSDYPVTATVASP IDPATLLAYLRDHFEGTEYDMTKGPAAGPYGNPDRYDINGNGNMTKATVLTGHFERAI SIFRSSFSFVTVANQANLNLGHILFGQYGPHATSYVPIYTKVHRVPTLYSRGSLHRYD STSSFWAFAVVGNWASRFYMYTRPMVESVQVQLETALLGAKAKAVAAHVELLSNDDAQ LRQFLTDSSDAFAATTHTAFVELFGRLVTTFHDGYHMQNLTGANTIAAASLFYPEWWL HSVGYFKQQTQLSPPSKTPDHGPTTTTSTTTSTSGDAVWWWCVGLMVLGASAGVAVGF GVGLRRRDGYHQLN H257_08867 MVVHSADCGNCDFRLGKVPQKTFSPGAMRDVVRFRLQYPRYVGD ARGDVFTEANVDKSIFNWTTTPSIGQIPQVNTTFAYLAGLYGIMNEHQVSIGESTCGG RLVSAPVSNGGKALFDVSELTNVALERSTSARQAIQIMGEMAEQYGYYGADWEGPMAA MEAGEALAVADASEAWLFHIHPDDSGASAVWVAQRVPDGHIAAIGNQFVIRQVNLTDS DNFMGSKNLVDVAIRAKLYDPAEDGAFDFTKAYAHPIAPDQYYATRRQWRVLMLANPS LNLPAETDVYGSDYPVTATVASPIDPATLLAYLRDHFEGTEYDMTKGPAAGPYGNPDR YDINGNGNMTKATVLTGHFERAISIFRSSFSFVTVANQANLNLGHILFGQYGPHATSY VPIYTKVHRVPTLYSRGSLHRYDSTSSFWAFAVVGNWASRFYMYTRPMVESVQVQLET ALLGAKAKAVAAHVELLSNDDAQLRQFLTDSSDAFAATTHTAFVELFGRLVTTFHDGY HMQNLTGANTIAAASLFYPEWWLHSVGYFKQQTQLSPPSKTPDHGPTTTTSTTTSTSG DAVWWWCVGLMVLGASAGVAVGFGVGLRRRDGYHQLN H257_08868 MAAEGGADIKGAYFVTEIDQNNLVMGTWSTGILGCINAGSLSVC CMATFCPCVSLAQIAHRVGMYKYWTVLTAFMVLFGAYVALALLESTVSKLFVFGMWAV TVVALLAISVIRKNVRERFQIPGSACEDVVCSCIFSCCVVGQLSLHVDSIDKDSCNFG PKDTLPGYNSQ H257_08869 MLVPFTKFNGAGNDFVLIDNRSLGLHLTSAQAIRLCDRQLGIGA DGLLLVVPCPSGNADVAWEFWQCDGDTASFCGNGSRCFVRFVQSLLGHTNPVTFETGA GIVSGALVATTSDIAVLLPPPTALSLHATVHLASGPHVVHSINTGVPHAVLFVEDVAA IDVRSLGSEVRHHKHFSPHGANANFVQVLGPNHLRVRTFERGVEGETLACGSGVAAAA LVAAIVHGFTSPVKIDVQSGATLGIQFATPTSSQDQSFTNVLLIGPAEATFSGTVEL H257_08869 MLVPFTKFNGAGNDFVLIDNRSLGLHLTSAQAIRLCDRQLGIGA DGLLLVVPCPSGNADVAWEFWQCDGDTASFCGNGSRCFVRFVQSLLGHTNPVTFETGA GIVSGALVATTSDIAVLLPPPTALSLHATVHLASGPHVVHSINTGVPHAVLFVEDVAA IDVRSLGSEVRHHKHFSPHGANANFVQVLGPNHLRVRTFERGVEGETLACGSGVAAAA LVAAIVHGFTSPVKIDVQSGATLGIQFATPTSSQDQSFTNVLLIGPAEATFSGTVEL H257_08870 MLLWDDFSAHFTDEVVACAEELNVVLEKFFIIGIFHDIQTLKLR ADIAHFQVLRHFARQF H257_08871 MKATSILALASTASAANVAIRAKRHFETESASEVGLIAKFPLDI AALEQGALLAAEPREFVDAFLKEASKKNLAALANIVSIADAVALPIGGAVYFPSATKA TLDALEASTVVEYIDLNAADFTIPEVLKGSVDAESTATNEWGVEAIGAPEIWKYSTGK GAIVGSIDSGALHTHEAIKHNWRSELGWFDPYERSALPRDTSGHGSHTIGTMVGANGI GVAPGAQWIACIGLNGSSGTSAKLLECAQFMLCPTKPDGTGADCKKGPHVVNNSWGSA SYTPWFEDAVAAWKAAGIVPIFANGNSGTLCATVGSPGGYKTVIGVGAIGSFTNEKDK LAYFSSKGPQTKNGAEYFKPDVSAPGFFTRSVGIANNTAYAQYAGTSMAAPHVAGVVA IIKSVDPTASYDAVYKYLTATTDQKELNTTEPETWYLSNNRTLPGAPNCGGLKDSEWP NNRFGHGRVNIGTILRDGKLNDNRRPTC H257_08872 MKAASILALASIASAANVAIRAKRHFETESASEVGLLVKFALDI AALEQGALLAAEPREFVDAFLKEASKKNLAALANIVSIADAVALPIGAAVLFPSATKA TLDALEASTVVEYIDLNAADFTTPEVLKGSVDAESTATNEWGVEAIGAPEIWKYSNGK GAIVGSIDSGALHTHEAIKHNWRSELGWFDPYNRTTLPWDTSGHGSHTIGTMVGAKGI GVAPGAQWIACLGLFGGSGTTDRLLECAQYMLCPTKPDGTGADCKKGPHVVNNSWGSA TYTPWLETAVAAWKAAGIIPVFSNGNRGPACGTVGSPGGYKTVIGVGAIGSFTNEKDK IAYFSSKGPQTGNGEAYLKPDISAPGFYTLSVGIANNTAYRQLAGTSMAAPHVAGVVA IIKSVDPTASYDAVYKYLTATTDQKELNTTEPETWYLTNNRTLPGAPNCGGVKDTAWP NNRFGHGRVNIGTILRDGKLNDNRRPTC H257_08873 MNGDQPRSRYVPPHLRGHEQDGGGSDRGSDRGSVDRRGGDRGGY GGRDGGRGGGYSREPPASNSRWSGFESGGGYGGREERGGYGGRDGGDRGSYGGSRGGG GYGAPRKNRLGFHGDMTPNSRTEQELFGDCQSSGINFDNYDDIPVETSGEGVPPPISS FNEEELGPEVCSNLKLCMYNKPTPVQKYSIPIGLAGRDMMACAQTGSGKTGGFLFPTL ASMLRNGPAAALDENSAGGRRRKFFPSALILAPTRELASQIYDEAKKFCYCTGIAPVV VYGGAEVGQQLRNLERGVDLLVATPGRLVDLIERGRVSLAGIQFLILDEADRMLDMGF EPQIRRIVEQEDMTRERQTFMFSATFPREIQRLASDFLRDYIFLTVGRVGAASKDVKQ TVVWVDQQDKEDYLIRFLNEVQDGLILIFVETKRNADFLEDMLCHEGFPATSIHGDRT QREREAALASFRSGRTPVLVATDVAARGLDISGVTQVINYDLPTNIDDYVHRIGRTGR VGNVGNALSMVNDKNRNIVRELHELLQENGQECPPWLAQLASYGGGGGRGGGRGGRGG RGGSSRFGARDFRKEGGGGGGPPSRGGGGFESRGGGYGDRSGGYGDRNGGGGGGYDNS SW H257_08874 MALRQLAAPSTTARPRGASFGSDVSDEDKTKIQPTTASVSTTAA PSLVRRASSKRWYSDSDDEGGGGDDNYANNQAGSMSSLQASSIASAASSSTSAMHRRA SASAFIPSRAGTITEKAPPPPALDLTAPPATGSLPDIPRPVSGFHKLVQKTKILSPFR SKSSKHLNGAAANQPHDDHPPDSAPVASSAGPVLQRRHSSRMILRASSTDTPLAPTPE DEPDDAFQLNVLHALSPGRGALGGAIPGVPGSGVLLEGWLRQKQRRGLRGLKAWNSRY FVLFKNPNEMRYYTDVVQSGWGPIPLNELGCISLRSIQRISKPSHPKYKGCRFDITCR LPSTTAATTGDYDVDGLVSSGDERDKPASKTTPRATREFCLVSDSPQSTVTWVAMLDS LLTRSVNSPRPEAVGGRRGSSSNTNCNQNHNTSGGGGSLKALITQNNIRQKAALGGFG AMTSTTTTTTTSTPSSGVSTTTPRTPQPALAHVSPRAAVTVFSLPHDVVPKAIVLAIE YIFESFPGIETEHFYSTTVPPPSRLQSVASFLNQCAIEQRKPTRNEWEDELDVVTAGA VVMLWLSQLEGPIVPVDMYHAFRHVMAEGATAPFELMRNLKSLLRTLPPTPFKRMAFL IFHWNDVTVYEAKNKLTAAVLARLFGRFVFRNGTDDDETEHDMMQSLVEYLITHADVL IDENEAELLD H257_08874 MALRQLAAPSTTARPRGASFGSDVSDEDKTKIQPTTASVSTTAA PSLVRRASSKRWYSDSDDEGGGGDDNYANNQAGSMSSLQASSIASAASSSTSAMHRRA SASAFIPSRAGTITEKAPPPPALDLTAPPATGSLPDIPRPVSGFHKLVQKTKILSPFR SKSSKHLNGAAANQPHDDHPPDSAPVASSAGPVLQRRHSSRMILRASSTDTPLAPTPE DEPDDAFQLNVLHALSPGRGALGGAIPGVPGSGVLLEGWLRQKQRRGLRGLKAWNSRY FVLFKNPNEMRYYTDVVQSGWGPIPLNELGCISLRSIQRISKPSHPKYKGCRFDITCR LPSTTAATTGDYDVDGLVSSGDERDKPASKTTPRATREFCLVSDSPQSTVTWVAMLDS LLTRSVNSPRPEAVGGRRGSSSNTNCNQNHNTSGGGGSLKALITQNNIRQKAALGGFG AMTSTTTTTTTSTPSSGVSTTTPRTPQPALAHVSPRAAVTVFSLPHDVVPKAIVLAIE YIFESFPGIETEHFYSTTVPPPSRLQVQS H257_08876 MSIWNLPSWDECSESSTHDASSTPKSKEHASDSDACFSYLNVQS TTAGSIMHASKCSQLRQIHEKFESLRLQLDAERRPPPPQRWPPNQASQQLRRASPPVH TINTTASSLPPRQDASSFSTSTTLKPGAADTQHAQTQTIATSLHHLSSTHVGTTTSDM QPPPPPHVPTWVKEQEVPLNHFQDMWHDKVQALDDMLGTLSSQIQQQSDAAALTMYLA WLAGTMQAAVATLPLAKMTTWHDNSTTVTLSSNSPKVPANSAAATDMIEASLTTLVQD YRSLHSTHTAAMHHAKHTWSKKLHKAVHDTATTHAKWEHALATIHLLQDERNVLQTEL HGALKRTAAVEKDLLTCQFKVSTLEQQCRDLHDQLRLQEIAWNDELAKAHPPPPTPPQ ATSFKSSSKNLDIPVVPLAITMTKKPIKVHEDCPETIWPEEAVQDEWWIDDGGNSDER GPPVPRPVNLPPPVAPREPPASTPHFGGRHHRQHQHISTHRHMLLEYLHKAASSKHPP PRRLKDQERGYESLAPGSK H257_08877 MVSMMDPSRSDARSDDRTLPRAAYLPGQMPPSVSHSTGMSRLRS PRNARRSLGSSNLTHTLSCGERIILSFRLASHVIFVMSSSATRVLVLRNTISWSCGHT SMAMYLREKPTFSNSVVHFFV H257_08878 MHLMNITLQEGGAITDAVYGNFCGTKSQDIVCSGGTSIRLLQTQ SPSEAGGGLKQLQTIHSQQVFGVIRSLLPFRLTGGTKDFLVVGTDSGKITVLEFMVEL SRWEVRHSETYGKTGCRRITPGQYLASDPKGRAIMVGAVEKQKLVYIMNRDASNRLTI SSPLEAHRSHAIHFDIVGVDVGFENPIFAILEVDYSEHDDGTIGVADAVKSLVYYELD LGLNHVTRRWSEPVLRSANKLIAVPGGTDGPGGVLVCSEGWIVYKNERHPEVPCRIPQ RARPVNTNVDVRSEVLIVAAATHKQRDLFFVIVQSELGDLYKVTLTYVNDQVSAVKVK FFDTLPPAVALCITKTGYLFAASEASKHYLLQFQSIGDNDNTAEAHSFQEYVPSFTLR RLTNLALVESLDSLAPITQLLVDDLANEHTPQMYALCGQGNRSTLRVLRHGLSITEVA CSPLPHIAKAVWCLKAQHDDAVHKYIVVSFEDNTLVLEVGESVEEVTTTGLTKEVGSL LVGLLADDSIVQIHRQGFNHVRQYHGVMQFKAPGKKVIEKCSANARQVVLSMAGGTLI YFELNAAGDLAEVGRTETLGEISSLDIGPVPEGRQRFPFLAVGSYDGNVRILNLDPNN LFADQTLLALPGSHPHSLCFAQLQHEPHADALGGHALFLSIGMENGVFQQSRIDPLTA KVLDTRTRFLGVKPVKLVRVQVQGKQAILGLSSRGWLSYFYGARRQLTPLSCEPLSYA SAFLSEQCSEGVVAVAQDELKILTLDALGQVFNQQVVPLQYTPRQAIVHGVTRRLLVL EADHNALTADENASVGFETGTFPLTDDNDDDDDDEEENHLLSYRPPIPDGAGHWASCL RVIDPLTCETVLCHLFDANERAMCMSTCVFHDRGGETFLIVGSVLHMKLHTGTSVTQE GLLRVYRLVEGASLVLVHTTELDGIPYAMCEFQGRLLVSVGKILRIYDLGKKKMLRKC ENRNFPSTIVKLTTAGARIYASDNHHSFHFVRYRADDNQLVIFADDFVPRCISASTLV DYDTMAGGDKFGNVFVTRLPSEVSDQVENPSGNRMLWDAHLLNGAPNKVEQVCQFYVG ETITSMVRTRLVPVGKEAIVYTTVMGRIGALIPFSSRVDVDFATHLEMYMRQEAPPLC GRDHLSYRSYFIPVKDVADGDLCDQFGMLSAEKQLKIAQDMDQTPMEVLKKLEDIRNG LL H257_08878 MHLMNITLQEGGAITDAVYGNFCGTKSQDIVCSGGTSIRLLQTQ SPSEAGGGLKQLQTIHSQQVFGVIRSLLPFRLTGGTKDFLVVGTDSGKITVLEFMVEL SRWEVRHSETYGKTGCRRITPGQYLASDPKGRAIMVGAVEKQKLVYIMNRDASNRLTI SSPLEAHRSHAIHFDIVGVDVGFENPIFAILEVDYSEHDDGTIGVADAVKSLVYYELD LGLNHVTRRWSEPVLRSANKLIAVPGGTDGPGGVLVCSEGWIVYKNERHPEVPCRIPQ RARPVNTNVDVRSEVLIVAAATHKQRDLFFVIVQSELGDLYKVTLTYVNDQVSAVKVK FFDTLPPAVALCITKTGYLFAASEASKHYLLQFQSIGDNDNTAEAHSFQEYVPSFTLR RLTNLALVESLDSLAPITQLLVDDLANEHTPQMYALCGQGNRSTLRVLRHGLSITEVA CSPLPHIAKAVWCLKAQHDDAVHKYIVVSFEDNTLVLEVGESVEEVTTTGLTKEVGSL LVGLLADDSIVQIHRQGFNHVRQYHGVMQFKAPGKKVIEKCSANARQVVLSMAGGTLI YFELNAAGDLAEVGRTETLGEISSLDIGPVPEGRQRFPFLAVGSYDGNVRILNLDPNN LFADQTLLALPGSHPHSLCFAQLQHEPHADALGGHALFLSIGMENGVFQQSRIDPLTA KVLDTRTRFLGVKPVKLVRVQVQGKQAILGLSSRGWLSYFYGARRQLTPLSCEPLSYA SAFLSEQCSEGVVAVAQDELKILTLDALGQVFNQQVVPLQYTPRQAIVHGVTRRLLVL EADHNALTADENASVGFETGTFPLTDDNDDDDDDEEENHLLSYRPPIPDGAGHWASCL RVIDPLTCETVLCHLFDANERAMCMSTCVFHDRGGETFLIVGSVLHMKLHTGTSVTQE GLLRVYRLVEGASLVLVHTTELDGIPYAMCEFQGRLLVSVGKILRIYDLGKKKMLRKC ENRNFPSTIVKLTTAGARIYASDNHHSFHFVRYRADDNQLVIFADDFVPRCISASTLV DYDTMAGGDKFGNVFVTRLPSEVSDQVENPSGNRMLWDAHLLNGAPNKVEQVCQFYVG ETITSMVRTRLVPVGKEAIVYTTVMGRIGALIPFSSRVDVDFATHLEMYMRQEAPPLC GRDHLSYRSYFIPVKDVADGDLCDQFGMLSAEKQLKIAQDMDQTPMEVLKKLEDIRNG LL H257_08878 MHLMNITLQEGGAITDAVYGNFCGTKSQDIVCSGGTSIRLLQTQ SPSEAGGGLKQLQTIHSQQVFGVIRSLLPFRLTGGTKDFLVVGTDSGKITVLEFMVEL SRWEVRHSETYGKTGCRRITPGQYLASDPKGRAIMVGAVEKQKLVYIMNRDASNRLTI SSPLEAHRSHAIHFDIVGVDVGFENPIFAILEVDYSEHDDGTIGVADAVKSLVYYELD LGLNHVTRRWSEPVLRSANKLIAVPGGTDGPGGVLVCSEGWIVYKNERHPEVPCRIPQ RARPVNTNVDVRSEVLIVAAATHKQRDLFFVIVQSELGDLYKVTLTYVNDQVSAVKVK FFDTLPPAVALCITKTGYLFAASEASKHYLLQFQSIGDNDNTAEAHSFQEYVPSFTLR RLTNLALVESLDSLAPITQLLVDDLANEHTPQMYALCGQGNRSTLRVLRHGLSITEVA CSPLPHIAKAVWCLKAQHDDAVHKYIVVSFEDNTLVLEVGESVEEVTTTGLTKEVGSL LVGLLADDSIVQIHRQGFNHVRQYHGVMQFKAPGKKVIEKCSANARQVVLSMAGGTLI YFELNAAGDLAEVGRTETLGEISSLDIGPVPEGRQRFPFLAVGSYDGNVRILNLDPNN LFADQTLLALPGSHPHSLCFAQLQHEPHADALGGHALFLSIGMENGVFQQSRIDPLTA KVLDTRTRFLGVKPVKLVRVQVQGKQAILGLSSRGWLSYFYGARRQLTPLSCEPLSYA SAFLSEQCSEGVVAVAQDELKILTLDALGQVFNQQVVPLQYTPRQAIVHGVTRRLLVL EADHNALTADENASVGFETGTFPLTDDNDDDDDDEEENHLLSYRPPIPDGAGHWASCL RVIDPLTCETVLCHLFDANERAMCMSTCVFHDRGGETFLIVGSVLHMKLHTGTSVTQE GLLRVYRLVEGASLVLVHTTELDGIPYAMCEFQGRLLVSVGKILRIYDLGKKKMLRKC ENRNFPSTIVKLTTAGARIYASDNHHSFHFVRYRADDNQLVIFADDFVPRCISASTLV DYDTMAGGDKFGNVFVTRLPSEVSDQVENPSGNRMLWDAHLLNGAPNKVEQVCQFYVG ETITSMVRTRLVPVGKEAIVYTTVMGRIGALIPFSSRVDVDFATHLEMYKYIYTHCIY L H257_08879 MVTTTVTTSSTVLSSPKAKQAPSITTTVSLNHVFPNSYKDDLAV HSLQVPADVGHARYLDQSLPYVPVFEEQYGPEVSSSLQTKYYTLTESGQAGIEAATAT LHALFVQATEHVLANQESLAPYFHIPAALWPRIQDSWARQKNDTISGRLDFALTDHGI KVYEYNADSASCLMECGYTQDAWSNAAGLGSIGRSNSSTLFTQLTAAWKSKNVQGTLH LLCDDDDEERYHSLYMKAAAEAAGIPSVLIVGVQDLHFDSSDGATILDGQNRPLRNVW KTWAWQTVISQYEARNAGAAATSGVVQVMDVMLHPSVRVFEPLWTVLPSSKAILPILT QLAPDNPYLLRSTFDAADIPLFTGGYVAKPVMGRTGANVSIYNGSGDLISATAGKWVD DTIVYQEIALLPKYEDDCYVQVNTWAIDGEYGGTVLRIDESNIVGLNSGIYPMRVVES HNESIHQDDVHVHLV H257_08880 MSSTRQAVVSCTFAACSKCSEPLFSDNYIRNNKANGAKVLRCFP HKCVQPSPSSCGTYLVMQVTGTFTSEEADSFVSFSRFEAYHDNHLDVGQQISYSTIVA NAKRPGRDMGVWMRNVLEGRPPLGKPPLTYTINKNALGNPWQYAWKGGASKALRNTQH VFKAYVFHTMLEPGKETLLRVLGVATSQPFTLTSLRRATPPKLTTPSLVDTHLAPPPT TPLFDVTVEFDGCDCPNVPTPSQVFADTYLRSNKSSGSKVLRCFPHCCPTHKGHSSCG GPIAITSSGPSLAIGSISSVEVKAVARVERHREDSYQVGDMWTPPFNSTGHQYPDNEM GPSDWVAGVRRVPSSHGAVSFDFYEPGWPYNWRGNASKACRHTRHVWKAIVLMQQHDN KWIVVGTLTSPSFGVLSYRRNHSEAVPAAGAAHDEAIDPTRTARRHPLRMQDILASDL PISKIRPLPADK H257_08881 MRPCLVTAAFLSVASALVHATTPLSTSPVAVNATAVAPPPSLDP QCYLMPILVLHPSPPLPTLDCVQPPLPSEDCIKATVSATLRVLQHPTDEWTCLHDPTT STTANMSLRVRYRGDSSLRFTKHQYLLMFDVPTPLLVMPADTNWALHGPFIDGSMMRN HLAHWLYRGTGRYSPRSQHVALYIADPNGVPIYHGYYLLLETISYGPNRVGLALNVAA DSDHSGGWAWQYNPLKYGTYSPNVVLDMYHGKFGMGARPLLMYPPGPTLSQRMRDEFV NVSTGFLPQYYRYLWHNMTSPLALKQHIDVGSFVDYFLHTEWSLNQDAYAKSAYFFKD RQRPIEAGPVWDLNLAYGLGSHAHADTWLYLAHPAWRRLVCNFEFANLAIARWKALRQ SVWSDMAVVTFVQASASPLQRNLAKCNDWMSRQDSCASVSRSNQGTYVDQVSFLQAIL LERANWMDIRMEQLYQKLDGAICGTVGDLPAFNCAEDGNDSGCLTSPAAYYSNVRFPP IRPPDDGTKKTDMQQLEQASAYDSPSIDPCWLAMGQSVTAGYITLTCSGFGFCPSGPN ATCVCNNHATSFDCSGGDDTVGAWSQTGAWSWWDAAIFAACGGFGLMVMGLAAWRRYH RSRQEDPLLRTTVPNYGASKCE H257_08881 MRPCLVTAAFLSVASALVHATTPLSTSPVAVNATAVAPPPSLDP QCYLMPILVLHPSPPLPTLDCVQPPLPSEDCIKATVSATLRVLQHPTDEWTCLHDPTT STTANMSLRVRYRGDSSLRFTKHQYLLMFDVPTPLLVMPADTNWALHGPFIDGSMMRN HLAHWLYRGTGRYSPRSQHVALYIADPNGVPIYHGYYLLLETISYGPNRVGLALNVAA DSDHSGGWAWQYNPLKYGTYSPNVVLDMYHGKFGMGARPLLMYPPGPTLSQRMRDEFV NVSTGFLPQYYRYLWHNMTSPLALKQHIDVGSFVDYFLHTEWSLNQDAYAKSAYFFKD RQRPIEAGPVWDLNLAYGLGSHAHADTWLYLAHPAWRRLVCNFEFANLAIARWKALRQ SVWSDMAVVTFVQASASPLQRNLAKCNDWMSRQDSCASVSRSNQGTYVDQVSFLQAIL LERANWMDIRMEQLYQKLDGAICGTVGDLPAFNCAEDGNDSGCLTSPAAYYSNVRFPP IRPPDDGTKKTDMQQLEQASAYDSPSIDPCWLAMGQSVTAGVWVLPERTQRDVCVQQP CDIV H257_08882 MALKLIKQSRCFGGVVKQFSHESATTRSTMQFCVFLPPNASETQ KVPVVYFLAGLTCNDELMQIKAGAQRIAAARGIAIVTPDTSPRGVDIEGADDAWDFGS AAGFYVDATEPKWSAHYNMYSYVTKELPSIVNANLPILSDKASIMGHSMGGHGALTLA LRNPELYKAVSAFAPIAHPTQCPWGIKAFTGYLGTDQATWKNYDATLLVLEKGAVPGL HIWVDQGTDDQWLQEKQLLPEAFEAACQKVGQPLTLRMLDGYDHGYYFISTFIEEHLN NHADLLLQ H257_08882 MALKLIKQSRCFGGVVKQFSHESATTRSTMQFCVFLPPNASETQ KVPVVYFLAGLTCNDELMQIKAGAQRIAAARGIAIVTPDTSPRGVDIEGADDAWDFGS AAGFYVDATEPKWSAHYNMYSYVTKELPSIVNANLPILSDKASIMGHSMGGHGALTLA LRNPELYKAVSAFAPIAHPTQCPWGIKAFTGYLGTDQATWKNYDATLLVLEKGAVPGL HIWVDQGTDDQWLQEKQLLPEAFEVASRRCDGI H257_08882 MALKLIKQSRCFGGVVKQFSHESATTRSTMQFCVFLPPNASETQ KVPVVYFLAGLTCNDELMQIKAGAQRIAAARGIAIVTPDTSPRGVDIEGADDAWDFGS AAGFYVDATEPKWSAHYNMYSYVTKELPSIVNANLPILSDKASIMGHSMGGHGALTLA LRNPELYKAVSAFAPIAHPTQCPWGIKAFTGYLGTDQATWKVRFQYLFDLRIYILLPQ C H257_08883 MGITRQHNVVPSKRRRLEDGADVGRLGLVADMIRYEREAFAADL GAERDHLAEVLHLMHATARPVERRRRARVPPVPHVTHVGGKEERPVLLQRVRATRRGD ARWKELSVFVPTDSSLSRAVGVRGYERTGHERRTHGRSGRGAERHVGCRAYVRRPHSR VHPQVVIAKARSINLRSVRRHSNCRYTQPRRCLHLGEK H257_08884 MGAHLDASMSCEWMGRRSSTTASTRPRTLGSSAWSSATKTPTDV GAAFIKKAQCTTDQYIAMEVVTSHVTGAVMGKAFQQYAKTTQSWYTTHTGNKTYFLAY GLARGEKKKTVAALKANLVLDVHPPGK H257_08885 MTSLFARVFQCKPDTAMNPTNKCYISEGLPQGAMKAGCWTITQA LLGQPIVAARPVRNSHIRLEPSDAHIPVDPPTDKFAWPFQSSFLWPTTWPLIRKGAVI FATSGCSVGPSATPGPEGGQYAGRIVWGLPESHVMLRGHLLTGLPSTRGNPVQTNGTN AARGLGKPDTSVEYTGSPADS H257_08886 MLPSEVSFELTSAIPMTTVQTPQVDYEDFTKGQKIHSPHLILAN EDVVVAQLEEAGYVEDKTFAGLPIHLKILWGLFYFCLSLASLYFFMVAVKVIGESFSL LLGCEAKAAFEFAHNPMAGLIVGVLATAILHSSGTVTSITVALVGAKGMTVRQGVPII MGANIGTCITCIMVAFAQVGKRDQFERAMAAATVHDMYNIWSMLILFPVELLFHPLEL VSLAMAGGKTEGFFKSPVDVVVNPFSDLIIKSDRTMIEKVSTGAVKCQDAKFLRDGMF YNQVVVDKSMSSTTAGAICASIGFCMLVFSLVSLVHMLSKLFRGSAQKAIRRMLNFNP YLNILIGTAITFVVHSSTVVTSTLTPMAGLDLVTLEQVYPIVMGANLGTTVTALLASW VTGSPDAVAMALVHFWFNTWGILLFFPIPITRYPILQWARRLAYYSARWPVVAVVFLL IFFIVAPGLLLGLTYMFSGNTVSFVFGVVLATASVLFVLGFYWWYFKKGGRAKWHAFL EKKAELHRGKQGAIESAA H257_08887 MTRITYPIAFKLEALKLLETLSDYKVAALLNVARRALRYWQKQR NELLAYKGNKKRLKVRPGGRPEQFPDPPGLVQYINDLRDAERAHAFGITLQYLYFGTI HGNGKIAQW H257_08889 MSRYSGAVKCQDAKFLRDGMFYNQVVVDKSMSSTTAGDICASIG FFMLVFSLVSLVHMLSKLFRGSAQKAIRRMLNFNPYLNILIGTAITFIIHSSMVVISI VTPMANLMLVEGRLSGGPSLVQVEVIQE H257_08890 MTVQTPQVDYDDFTKGQKIHSPHLILANEDVVVAQLEEAGGEMA YAVKGYRDANFFVYPPGLLRNPQRHKVIMTRHETVNRRMK H257_08891 MKPASILVLASTASATDASTVVEYIDLSAGDFTVPEVLKGSFDN QVAAKNEWDVEAIGAPEVWYSPGKGTVVGSIDLGDLHTHETTKHNWLSELG H257_08892 MQEHDNDRDEGSTNSSDNNAKRAKHPSLPFPSTLRVLLSMKLGE PLGKARSPLGQREFDCTVSEGFDVLRQKVAVYCRQIADDYNQGKVKGVKKEVDVRLDD NVAIYFKPGSNTSQVDYVLLTHSNHLIEMQETWRHGAQRSAGQASFKLHLFVYVSKDR PSSSESQKRMSSTRGIVRNRSQVSSTIERAADATSEVAVPAAPVANDDGEYRNVRFKL NGVVVQVPVNVADMKAVLGRTD H257_08893 MTAFYGSFDHAAQEAKSLRTISKVLEVGVNMLDTAWIYQSFGAG GGGYFTNEELIGKTIHQTAQSGQATKFGFVPSATGFEVSENTIRFQLADSLERLGTTI IDLYYMHRMDRCLRSHRSDHGGAQASRRRTQYQMEWSLQSRYSEADVVPTARELGVGI VAYSPMCRGFLGAIDAFDKLEDNDRTLQPRIAKSVNPSQLTLGWVHAQGDDVFPRY H257_08894 MRPSTFSLLSVVSVVVLAHSWLECTNYDLQGPTNHLYWNKSACA GLARCGARQAQEGFGVDTGFDFRPEVAKRTCQCAAAGAYDTPGARMATYTPGQKVCLA YPAKNHVADVCTNEYIPDTGVRIFRTQTWPSTAVNVTDPPLRQWPVEYAQANGAHVAG HVDYKGFQNCPKFCEDKGRALCTMCFQLEKDISPGKYTFQWQWMFNSINDVYGSCWEA NVV H257_08895 MNYLSLRRSNSISSANDAGVNPSLRSIYSNTNSGHRALVFTVQV PERGPLGLDLRARNVTSAQGQTGAIVKGFRPVKDGKRGFIELTGRVKEKDILMQMHTC KVDDMLFDAIVELAASLQSDLSAWPLRLEFRREAEELEVKPKAQTFTSFLRNTSMSLA GFSSPPAPSNAAPSTAPLVAAQDGTFQDKLNYFRGFFKDRLPTESKRPKVHTPPLPSE DLVNEMYRDLLVKRNVPDDVLDELVRIEQLDQKWHIVWSAKQNENDDNKVYIADAIKL AEALVELNWDNRGLQVLETLQRKIAAGTPEWTDQFIVSYGLDYLAMKMPEPSPFSIEH FYKSFDKASRFCEVILKILLSLSHFASGIDAITQTLGLVDRLALCFHTDNADVKKSTL QILGIICYNSAEGHASVVHSFGQYMEAKGERVRFTCLRDALKSTRYNLLFKEDVLSFI NILVNKGLRVESRVAIRQDFMSLGIGEYFEEIRAKSNNMYKQAAKIKKKHAAAPAKSK KPKASATTPPTLPVPPLALTRSSTSAPVHTIPHTSNDDSVLPRVDEANTLAHDKATTG GKATRPTEENVEPLLVFLPDVPGGAAASPHAFDGSATSTPHVLVGDDLAGASPLLSSS APPVARQVALETPTTTAPLDAIPDDDDDQEDHASDEDGEYFAMDESPVQLQIREQLDN VEKQIEVFELFMADDRKDTLYGSTDLSCMESVWRSLLGRVAVDEFTRDCLLSILQQLL FLPSEKTVLGKEMWAMCERVTKDIALLSPVEEVRQFELSFHDRKMLMQARDKFSSFLA THEPDHGVRHMGIPIALMENRLHSDDLMSDTDMESTSEESEEDDEIAARLEAFRKLRD MGMPIDHLAIKMQLENLDMALLDKPKPTRIMVKDHDEYRKYAKLVSMGMPLPHVHIKM AAENPALDPALLATPDKWIPVNSDKDGDNSGGGGDAAVPCGIRADECPVLVKFFKLQK MGMPPPQIQLKMQAEGFNGDLLLAPDTLVGDDGQKVVPTSEKGEDKEAAAMGVRADAC PALIKFFKLQKMGMPVPQIELKMQAEGFDSDLLSHPQTIVDDAGKKAVVAPERSDGTT RRADECMALAKFFKLQKMGMPVPQIQMKMEAEGFDPAVLVAPDTLVGDDGHVKVVADG GMRADACPALIKFFKLQKMGMPGPQIELKMQADGFDPALLAAPHTVVNDAGKVEAAAA AAPLVAAKDHPAYAKYFKLMKMGMPLEQIKLKASSEGLNTAILDTPDAMLAEDLTSTT EPMQPKEVRAADHPSYAKYFKLQKMGMPPPQIELKMKAEGLNADLLGAPDTMIPLVAD NATPPPPIMVKDHPDYAKYLKLQKMGMPPPQIELKMKAEGLTFALLSTPDAIVKPAVN GSLGGAANVLKVVAKAKAKPKLRSLYWEPVQAEVQTTSLWANIAPSDQGPADTKHLND LVTLFASAPPPSKEKTAKKPGMKKKAATRIGLIDVKRANNIGIMLARFRLPYAEIKRA ILEIDRDILTSEKVAALIQFAPEGDEMATVTAYTGDQKLLGDAEQYFVAIAGVPRFQT RLQSLLATMQFDSNVEDQRRLITSVVSTCNDLKTNEAIPGILNLVLQLGNALNEGTAR GAASGFKLSILLKLVQVKAADNSMTLLNYLAKILREKEATWLTFIDAIPSIQEASRVT HQVLKAGEAAIRKAADLAVQELDVHKKLPNIADNDKFQDAISPFAEKAQEISQAIAAE FEAMQADFNACVTWFGEDPDAAGMGPDSFFSIFLSFAQMLQAADRDNERKRIAEERRI RREAETKKRMDMLASSKQQQKQQKGLEFSSLKAGDAQDIVKKIRGKRSEEKRKELADH EHASNQNSDSFCSSSSGIDKKKARVPPSIPEAHGDVA H257_08896 MKEEARLKARSSYVKPLLTEVNMVARVEHCLRFLRRMPGGGRVF ENMHDYVHVDEKWFFLTKVKRRFYVYDDEELALRSVKSKRFITKVMFLAAVARPRYDH HTKKEFDGKIGIWPFVEHILAKRRSKNRARGAPVIPPQTVDSGVYQAANLEKVTSAIK AKFPRTSQRSEIYIQQDNASPHRCVTTTLMLSMGIQGAVLTGAMWVSEMLEGNEMPSS KSFGIPWTRLRPRGTRCLHRNLLAICAATARTFPNHDRLVHKLLEPGDVEVVRPKSHD SLLRLTAIFRNARFSTKLV H257_08897 MAWVVLVTGGSRGFGRALALAFAANKASADDGDLHLHLWSRDAA GMAATAADVTAAWQRQTSHSIHVTQTVVDLSDDSTYGPAIDTFVEEAQAAASVDRVVV FHNAGSLGQVGRIAEVASPQVIRRHMELNVNSVLWINKRQDQMSILRLTIVYISRLLQ VYGTQAQAAKVPSPVLYLINVSSLNAIEPFATCGLYCVFKAARDMHFRVVATEEDATR VKCLNYAPGPMQTEMGNEIRDGHATDPALQRMFKKLQADGTYVDVNVSAQLCVSHVFG PTLVSGSHVDYYDIYQG H257_08897 MAWVVLVTGGSRGFGRALALAFAANKASADDGDLHLHLWSRDAA GMAATAADVTAAWQRQTSHSIHVTQTVVDLSDDSTYGPAIDTFVEEAQAAASVDRVVV FHNAGSLGQVGRIAEVASPQVIRRHMELNVNSVLWINKRLLQVYGTQAQAAKVPSPVL YLINVSSLNAIEPFATCGLYCVFKAARDMHFRVVATEEDATRVKCLNYAPGPMQTEMG NEIRDGHATDPALQRMFKKLQADGTYVDVNVSAQLCVSHVFGPTLVSGSHVDYYDIYQ G H257_08898 MRVAFVLYALLGLASCATAQYAHDVVVDSTDRHLRYDTDVAVLE PVRDNKAQSYRSSSNGSRREAGPKRAPGHSAKPKKRSNVHKPAQPYRESRTRTINNDA QHRQGGATPRQVGRSRGDYMQVGQHNKPAKKQIFKKVKKVAKKGLKVVGKATAVVGKV PGLQFVPGPIGLVAKGAQMINTLQNAKGKGGLFRAGLSLAGQGALGAKAQVVAGKVSK VKAVYNARKNPKALLGASLNLAGSGMLGKGGQNFAGKAQKVIDKVGKVKAVYDTRKNP KALANAALGLGASGMLGKKVANVASKGQRVMHRAEQVQNIYNNRKNPRGAIAGVLDMA QDGAFGKRGVAVAAKGQQMVKRFDQAKAIYDNRRDPRKAIQGAWNLAKDGGLGSKVRS ATNNVETRVKTAAKKVAKKVANQVQQKVQLHRPALQPAPRAAPSTAAQPQPFLRGRRG H257_08899 MGSFTNEEDKLAFFSSKGPQATNGPAYVKPDISAPGFFTRSPPT SPVSWPFSRASTYDAVYKYLTATTDQAGLHTTEPAFWQLRGNDTLPGSPNCGGVSDSE WPNNRFGHGHVNVGTILRDGKLNDNRRPTC H257_08900 MARPNEPQHIAATPTSPINITQAALVLSLAASAVAASKVSVRVD QFFESGTESTLKANVLVKFNVAIAALETGAKASTAPRQYVFDFLKEAAEKNEAKLAGL VPADATVTSLFIGGAASISGVTKATLDALTALNVVTYVDLNANDFSRPEVLQGSASTE EDVAATNEWGVDAVGGPEIWNYTTGQGVVVGSIDTGAINTHEAIKHNYRAENGWFDPY NKTSDSDGHGAHTIGTTVARTASVWPPAPSGLRAWVCTKNRGQGKPCCSARSSCCAPA SRTALAPTASKGPHVVNNSWGADAAYHPWFEAAVAAWKAPGIIPVFANGN H257_08901 MVPSEVNALRAHEEQAWTGVELLVCAVLQLILVFLAYRLDRSTA QQWFIPESACAIFFGLCFGVVLKFFTPVQDDAMGLNPQVLFFGLLPPIILEAGFNMKK RGFFSNFSTILLLAIVGTLVATFVTGGALIWLGHLGWITPLTPAEAYLYGSLISAVDP VATLSVFKKSDAPPMLFNLVFGESVLNDGVAIVVFTLFQESIRQGVHEINSHAASNVI LQVIVILFGSILLAAVVCLLSAFLLKHADPALQLYPTYEISIILLSAYISYVVGDLAG LSGIVALFFSGVLMSHYHLTTISHESAAALKHLLSTLAFLAENFIFLYLGVSVVAYSG AFTWDWAFIGWQLLVLLVARAANTFPLCILANLGRKEQIPVSFMVVIWFSGLRGAIAF ALALNVWTIHTDHAGVIKSSTLFTVMSTTLVFGMLTGPLLTSLGLAKATTMSLRPEAV KLLDEMEDDDADEDPRRTAAGGHQGSTHGGGMQGLWTTVDEKYLMPIFGQRGDDGQGA ARG H257_08902 MCRSQPCGRVFPSWPSYMSTLDKSRHCPPTDALRPREAPSSTAE ASASHPYMEILRPKRFLPDENHHPVNDDPVTAPAPKKRALGGSGATFPSPSTTLPCAP RSSSTQYPVMQPSTRLPKISPVVLVLKKRPLDTISEAAPAPVPSVSATKSAVSHQQPP QQPHQPQQPPSHPMLQSEKLQSVLRGLFHVAQCSDGCSNKLCQSTTAFVTKVRAHLAS QLSSHDRSSCGACKLWTMIADEHRKDCLDPLCPIPLCKRTLYM H257_08903 MAGTSSTVEILPDRLYMHLSDDDIGPVLPHTTYLNVSPDVLVYI NYFDDFGPLHLGHTFRFCAVLSDQLTAAKTAHVQLHVLVSSTDIHAQVNMVCLLACWG VLYNRQAPESAVQPFRHMNLPSFHDATDEACPFQVAVVDVVRGFHRALSLQYTCRQSF NVADYLHYEKVEHGDLNWISPKFVAFAGPQDVATPDATTHPPGFFIPMFMKANVTLVV RLNEALYDPSPFRSAGIAHLDLGFPDGANPPSAILRRFLAACEATPGAVAVHCKAGLG RTGTVIGCYMIKHDGFKAKDAIGWLRLCRPGSVIGEQQDYMLDMERKMIKAAAPRHVV RTTRTTPQKRSLSMAADASREVKNQGDRLLVAKRRHIKDRANATASEAAHIVQTMSSR H257_08904 MTSIDASPSSPTPPPPPSPSSAPREDQRCKYPYKACFHPRSMKK DGEAHSLCEFHRTKANSIQKIYATKRRQQQRALKKLKILQHKHRPDEYYATPSPTLPQ LRHMNAASRDQVDRQDLHHRLPYEDAIFHARLQHQRRLNESAFPMSSVASRRTTDGMT TAPPPRVYDMNFLLNQA H257_08905 MATSLGMKDSRATWSHARDLFLVTQLQAQADSGKRADNGFKKEA WRAVCNAFNLEYGVNYLPAQLKSRVDCEYQQAPVTKGRGGRKVSALDDDISSDSDDSD NMMPRKKKPRQQKEQRSAGSIIGEAMSKLVEVENAKLDQVKKVVPIHTSV H257_08906 MATSLGMKDSRATWSHARDLFLVTQLQAQADSGKRADNGFKKEA WRAVCNAFNLEYGVNYLPAQLKSRVDCEYQQAPVTKGRGGRKVSALDDDISSDSDDSD NMMPRKKKPRQQKEQRSAGSIIGEAMSKLVEVENAKLDQVKKVVPIHTSV H257_08907 MAALKGHRVVLTSPHHSDLQPIELVWAIVKGQVGRRYTDETGLS EVKARLEEAFDDLKPSSIQGCIKASEVKLQKLYDHLVEIDAFESDEDSSAQSSSATDD SDSQVDTCQPKKGWQRFSTSLAMPLQADKHKNDFNEVVLSAMVRLYPTVMRPPGSSIP WEIHSNPKMFPFFKGCVGALDGTHVPAIPPPSGAKPFRNRKGYMSQNILAACTFDLKF TYVLAGWEGSASDGRVLEDALLNKGFVIPPGKMYLAQVDTCQPKKGWQRFSTSLAMPL QADKHKNDFNEVVLSAMVRLYPTVMRPPGSSIPWEIHSNPKMFPFFKGCVGALDGTHV PAIPPPSGAKPFRNRKGYMSQNILAACTFDLKFTYVLAGWEGSASDGRVLEDALLNKG FVIPPGKMYLGIARIPA H257_08908 MDNAKYHKGRPQGTPSSRQCKRTLQEACVAYAIPFEEKEFKSAL WQKLSEYI H257_08909 MLKTPLDLQCQYAYKTCTNPRTQKKDGDIHKLCALHRDKANSVQ KIYAAKRRARVREQRKQQVMQSKALSTQPLVQDQTSPKHATATPCIHTSLGSFDVFMA EPAIEPIQSPSDRRSFSIEEYEFLRHVLLSNHKN H257_08910 MSTPHMSKSTMRATIQFCQYAYKTCHNPRTRKNDGDIHKLCVYH RDWANGVQKIYASKRRQRLREQKHCSGTTSNVAALKPLPLSNDKQSTPMDPMDMFVLG KLFLDDGMEPVQTTSTEWSSEECFALCQLLLA H257_08911 MDQTSLVDTPNLRFPFLLRCQYVYKPCGNLRTLKKDGNVHKLCA FHRSRANIVQKNYALKRRQRLREQVAGGDSATSYAAPRKSRRTRPPSTQPIPFRSVDQ EVSVVPTDVLVLTMLFSDEAMPPFSPIPDSKVSSEDVNELRYLLQ H257_08912 MKHDHAWKATEVAGISAALLKWYDANRRCLPWRGDSLPYLVRVH ERDAGYNAPNVVTPYATWVSEIMCQQTRVDTVVTYYTKWMDTFPTIQSLANADPDQVN AVWAGLGYYRRARMLHQGAQFVMEKFNGDMPRDVDSLKTIPGIGPYTAGAIASVAFGQ VEPLVDGNVIRVVSRLRAISGDPNHKPLTKHCWESGTKLIDAARPGDFNQALMELGAT VCSIQTPSCASCPVRDFCHALAQTKNEDSSKQSTVADCSICDLTRLDEWGVVGGAVTR FPLKTRKKAPRDEVVNVAVVYHQPVGETVDSRRFLMFKRAQAGLLAGQWEFLTFQVEE SDTIPDYSSRMVWAKADISNALNVPPDQLEALIVHRQDLGELVHVFSHVKHHMGVEEV QVALPDGASVPVESSTMRWMTCDDMAEVGITTGMKKVLALVTKEASKVRARNERGAAS ARKVRAKTQPDYTKPITSFFHLAAKPSCNDPK H257_08912 MKHDHAWKATEVAGISAALLKWYDANRRCLPWRGDSLPYLVRVH ERDAGYNAPNVVTPYATWVSEIMCQQTRVDTVVTYYTKWMDTFPTIQSLANADPDQVN AVWAGLGYYRRARMLHQGAQFVMEKFNGDMPRDVDSLKTIPGIGPYTAGAIASVAFGQ VEPLVDGNVIRVVSRLRAISGDPNHKPLTKHCWESGTKLIDAARPGDFNQALMELGAT VCSIQTPSCASCPVRDFCHALAQTKNEDSSKQSTVADCSICDLTRLDEWGVVGGAVTR FPLKTRKKAPRDEVVNVAVVYHQPGETVDSRRFLMFKRAQAGLLAGQWEFLTFQVEES DTIPDYSSRMVWAKADISNALNVPPDQLEALIVHRQDLGELVHVFSHVKHHMGVEEVQ VALPDGASVPVESSTMRWMTCDDMAEVGITTGMKKVLALVTKEASKVRARNERGAASA RKVRAKTQPDYTKPITSFFHLAAKPSCNDPK H257_08913 MPSPHAESRTQPSGSEKSRNLSDDDRQAVLNMLLSKSDDDKRKH GCMRVDPHRIIADAPKIAHERKLWCEIEVDFLAIEAVIKAVPFHKRQTTRVMTFHANI PRTTILRYMKRNRRLRCKSSYLRPLLTNASKEERVKFALSCS H257_08914 MFDGRIGMWPFVAKEPVQRSSKNCPRRTLVTQSQTVTSEVYLRM LTTKVISAIQIKMPLAMKRSTVFIQQDSAGPHAQSVKNSIEMRIDGDGLTIKMRNQPP NSPDFNLMLRFASCPTRF H257_08915 MVTIRYIVSTIALISLLLAISAAEGASTSELEKSTSIEHFLPTL IPCKLTTKAPCTTAPNPTTPCTTKAPCTTKAPSITTKAPSITTKAPSTTKAPSTTKVP CTTKAHGTTKHPSTTKAHGTTKSPSTTKAHGTTKSPSTTKAHGTTKPPSTTKAHGTTK PPSTTKGHGTTKSPSTTKGHGTTKSPSTTKGHGTTKSPSTTKGHGTTKAPATTTKPPC TTKAPGTTTKPPSTITVTPGTTTEAPSSTTNAPATSTAAPGTTT H257_08916 MDNSLESEMDVMFQEVEARPMNGKGKVGGGHPKDPYEKKKEYNR MRNKKLREAERQEVTTLRNQANELERLIKALAKRRRGNLLENGDDNDGNAMGMLPWKD VSSIFKALTASSETERQNLTHKVDTYYNVAAVMHQWVHSSHHLPVMPDTFKQTWRNST LVAHESSRLLGFDWIAKQLYHNIDMMIQHCGLPASLAECSDVRIYPLENQNSYHMSKA RQRIEDATLEEVVQVLRRQYFEGKADTMDSPDPNVRYFRNKSSYGSTSQNAYSQNILM RQFVEANRYVVFAHSITEDEKFPVDRIQRNWTNWTVAERMGSSTIIKQMAVASGLRMN ETFLPFDVDPAAAATASSVDMDHAFLTFKHKTEVYHKYIFAKEVVTFRNLLAQVRADN ANLAVTAFEALDL H257_08916 MDNSLESEMDVMFQEVEGTYEASFDDSLLNDDVDEFSMDYTSLL VSPTTSLDSEARPMNGKGKVGGGHPKDPYEKKKEYNRMRNKKLREAERQEVTTLRNQA NELERLIKALAKRRRGNLLENGDDNDGNAMGMLPWKDVSSIFKALTASSETERQNLTH KVDTYYNVAAVMHQWVHSSHHLPVMPDTFKQTWRNSTLVAHESSRLLGFDWIAKQLYH NIDMMIQHCGLPASLAECSDVRIYPLENQNSYHMSKARQRIEDATLEEVVQVLRRQYF EGKADTMDSPDPNVRTSSCGNSSKRIGMLCLHTASPKTKSSPSTASSATGPTGTTTST TTTLPCLVLLVLLHRTVAERMGSSTIIKQMAVASGLRMNETFLPFDVDPAAAATASSV DMDHAFLTFKHKTEVYHKYIFAKEVVTFRNLLAQVRADNANLAVTAFEALDL H257_08916 MDNSLESEMDVMFQEVEGTYEASFDDSLLNDDVDEFSMDYTSLL VSPTTSLDSEARPMNGKGKVGGGHPKDPYEKKKEYNRMRNKKLREAERQEVTTLRNQA NELERLIKALAKRRRGNLLENGDDNDGNAMGMLPWKDVSSIFKALTASSETERQNLTH KVDTYYNVAAVMHQWVHSSHHLPVMPDTFKQTWRNSTLVAHESSRLLGFDWIAKQLYH NIDMMIQHCGLPASLAECSDVRIYPLENQNSYHMSKARQRIEDATLEEVVQVLRRQYF EGKADTMDSPDPNVRYFRNKSSYGSTSQNAYSQNILMRQFVEANRYVVFAHSITEDEK FPVDRIQRNWTNWTVAERMGSSTIIKQMAVASGLRMNETFLPFDVDPAAAATASSVDM DHAFLTFKHKTEVYHKYIFAKEVVTFRNLLAQVRADNANLAVTAFEALDL H257_08916 MNGKGKVGGGHPKDPYEKKKEYNRMRNKKLREAERQEVTTLRNQ ANELERLIKALAKRRRGNLLENGDDNDGNAMGMLPWKDVSSIFKALTASSETERQNLT HKVDTYYNVAAVMHQWVHSSHHLPVMPDTFKQTWRNSTLVAHESSRLLGFDWIAKQLY HNIDMMIQHCGLPASLAECSDVRIYPLENQNSYHMSKARQRIEDATLEEVVQVLRRQY FEGKADTMDSPDPNVRTSSCGNSSKRIGMLCLHTASPKTKSSPSTASSATGPTGTTTS TTTTLPCLVLLVLLHRTVAERMGSSTIIKQMAVASGLRMNETFLPFDVDPAAAATASS VDMDHAFLTFKHKTEVYHKYIFAKEVVTFRNLLAQVRADNANLAVTAFEALDL H257_08916 MNGKGKVGGGHPKDPYEKKKEYNRMRNKKLREAERQEVTTLRNQ ANELERLIKALAKRRRGNLLENGDDNDGNAMGMLPWKDVSSIFKALTASSETERQNLT HKVDTYYNVAAVMHQWVHSSHHLPVMPDTFKQTWRNSTLVAHESSRLLGFDWIAKQLY HNIDMMIQHCGLPASLAECSDVRIYPLENQNSYHMSKARQRIEDATLEEVVQVLRRQY FEGKADTMDSPDPNVRYFRNKSSYGSTSQNAYSQNILMRQFVEANRYVVFAHSITEDE KFPVDRIQRNWTNWTVAERMGSSTIIKQMAVASGLRMNETFLPFDVDPAAAATASSVD MDHAFLTFKHKTEVYHKYIFAKEVVTFRNLLAQVRADNANLAVTAFEALDL H257_08916 MDNSLESEMDVMFQEVEGTYEASFDDSLLNDDVDEFSMDYTSLL VSPTTSLDSEARPMNGKGKVGGGHPKDPYEKKKEYNRMRNKKLREAERQEVTTLRNQA NELERLIKALAKRRRGNLLENGDDNDGNAMGMLPWKDVSSIFKALTASSETERQNLTH KVDTYYNVAAVMHQWVHSSHHLPVMPDTFKQTWRNSTLVAHESSRLLGFDWIAKQLYH NIDMMIQHCGLPASLAECSDVRIYPLENQNSYHMSKARQRIEDATLEEVVQVLRRQYF EGKADTMDSPDPNVRTSSCGNSSKRIGMLCLHTASPKTKSSPSTASSATGPTGQWQSA WARPRSSSRWPWHPASA H257_08916 MNGKGKVGGGHPKDPYEKKKEYNRMRNKKLREAERQEVTTLRNQ ANELERLIKALAKRRRGNLLENGDDNDGNAMGMLPWKDVSSIFKALTASSETERQNLT HKVDTYYNVAAVMHQWVHSSHHLPVMPDTFKQTWRNSTLVAHESSRLLGFDWIAKQLY HNIDMMIQHCGLPASLAECSDVRIYPLENQNSYHMSKARQRIEDATLEEVVQVLRRQY FEGKADTMDSPDPNVRTSSCGNSSKRIGMLCLHTASPKTKSSPSTASSATGPTGQWQS AWARPRSSSRWPWHPASA H257_08916 MDNSLESEMDVMFQEVEARPMNGKGKVGGGHPKDPYEKKKEYNR MRNKKLREAERQEVTTLRNQANELERLIKALAKRRRGNLLENGDDNDGNAMGMLPWKD VSSIFKALTASSETERQNLTHKVDTYYNVAAVMHQWVHSSHHLPVMPDTFKQTWRNST LVAHESSRLLGFDWIAKQLYHNIDMMIQHCGLPASLAECSDVRIYPLENQNSYHMSKA RQRIEDATLEEVVQVLRRQYFEGKADTMDSPDPNVRYFRNKSSYGSTSQNAYSQNILM RQFVEANRYVVFAHSITEDEKFPVDRIQRNWTNWYDD H257_08916 MDNSLESEMDVMFQEVEGTYEASFDDSLLNDDVDEFSMDYTSLL VSPTTSLDSEARPMNGKGKVGGGHPKDPYEKKKEYNRMRNKKLREAERQEVTTLRNQA NELERLIKALAKRRRGNLLENGDDNDGNAMGMLPWKDVSSIFKALTASSETERQNLTH KVDTYYNVAAVMHQWVHSSHHLPVMPDTFKQTWRNSTLVAHESSRLLGFDWIAKQLYH NIDMMIQHCGLPASLAECSDVRIYPLENQNSYHMSKARQRIEDATLEEVVQVLRRQYF EGKADTMDSPDPNVRYFRNKSSYGSTSQNAYSQNILMRQFVEANRYVVFAHSITEDEK FPVDRIQRNWTNWYDD H257_08916 MNGKGKVGGGHPKDPYEKKKEYNRMRNKKLREAERQEVTTLRNQ ANELERLIKALAKRRRGNLLENGDDNDGNAMGMLPWKDVSSIFKALTASSETERQNLT HKVDTYYNVAAVMHQWVHSSHHLPVMPDTFKQTWRNSTLVAHESSRLLGFDWIAKQLY HNIDMMIQHCGLPASLAECSDVRIYPLENQNSYHMSKARQRIEDATLEEVVQVLRRQY FEGKADTMDSPDPNVRYFRNKSSYGSTSQNAYSQNILMRQFVEANRYVVFAHSITEDE KFPVDRIQRNWTNWYDD H257_08916 MDNSLESEMDVMFQEVEARPMNGKGKVGGGHPKDPYEKKKEYNR MRNKKLREAERQEVTTLRNQANELERLIKALAKRRRGNLLENGDDNDGNAMGMLPWKD VSSIFKALTASSETERQNLTHKVDTYYNVAAVMHQWVHSSHHLPVMPDTFKQTWRNST LVAHESSRLLGFDWIAKQLYHNIDMMIQHCGLPASLAECSDVRIYPLENQNSYHMSKA RQRIEDATLEEVVQVLRRQYFEGKADVSSIGRYCPFYRKCSVLIINLSI H257_08916 MDNSLESEMDVMFQEVEGTYEASFDDSLLNDDVDEFSMDYTSLL VSPTTSLDSEARPMNGKGKVGGGHPKDPYEKKKEYNRMRNKKLREAERQEVTTLRNQA NELERLIKALAKRRRGNLLENGDDNDGNAMGMLPWKDVSSIFKALTASSETERQNLTH KVDTYYNVAAVMHQWVHSSHHLPVMPDTFKQTWRNSTLVAHESSRLLGFDWIAKQLYH NIDMMIQHCGLPASLAECSDVRIYPLENQNSYHMSKARQRIEDATLEEVVQVLRRQYF EGKADVSSIGRYCPFYRKCSVLIINLSI H257_08917 MSSCSSSMTARGCAHLAIVYVSEGRCPSILSELAVFAATATATE AAPRALLAHSFADPTYNRTSFYFVGSHVAASASSFVKAALARLEFSTHRGTHPTLGTV DHVCFSPLGTATALDSASEASTFASSLHSAVPTLPIYGYGPRLTGLCLKDIRKSLGYF TPTTTGAATIGRLHALVKENPPSAWTTQHVNAKGIDPELLSPEPHGVLCMGSVPLVLN YNLRCHPQDSKLTVAKLTRQVRCIDQVEALTLQHQDGAYEVACNLLNCQEGTPAQVLS AAEAAAVEVGARITDAYFTGPTEAALLEAWRATIVLESGVKTS H257_08918 MSSSSSYRQSLPLPPNFFTCPPLREDEIKHMKHLAVTIATEVVE HSQLRDGGVAWTLRADEGNMRFFKAPDPLHRVGAHMFMSVVEVAGTLDEVIDLFRTDT TFKAKEYVKRFGKGLLDAVNLYTIVDPTPDFPNEKIAVTWFAMKSPFDKIVANRDCVM LECQHEFEWTAGRRAWVRGLQSVALPCCPDMQSTLGLVRSTQYGVGHVVLESLDRPGY LQISFLNHFDVKGTPPEWLIELGMKKRCKSILDIELYLREDRLGRGVVLSPADFVPLT RRRHCFLCRKRFRPWTKRSNCMKCGEVLCRGCNPSWNVKLHHGTVHSIIQACIRCAQG QQHPDNVLHGDAIHGTTTTHSHASSSSNGKIVEPRRIHAPSYILNDEYDYAAGRAKYR GSPSSVASTSSVWTTSSGVTKRQMGRRFLSTSCVAPASPQHQPFLSTNHLVLHDVTDD DVASRKQTSSRSRTSSTSDDGGSCSEAVWLTLSQGRGGEFVVHSPASMGGDQQTNHNI DRHPVSYIEGTHLHHHHHAKMITAPLLLPSTSTKQHGHQRVLNPHHPATTSDGRAAAA AWTTPSAITLRPSIDSTASDDLHMLTTQWTIDRTRYRHRSSFDHDTLDKTQARHDTSR TTSQPTRDSSLHGPIYLE H257_08919 MTRHQLVLRILIAAACVSAFVHADLPFCTPDHVQLALEATYPHP SHQLCMKDAGMQNKVYLSSRFTPSLTQVGAFFNSKACRETFGVIMDAVKNNMPMCMYS EAISSKQLSALTYDQLKTLYTAYIESL H257_08920 MVAKLPCTTYFMCPPLSVDEKHHLKSLAIDTAMRIVQLAQMMDA ESSCTSRRLQKEVDGALRIYKGTRVHEHNSFQITSLYCGHVTIETTLDEAIYLFRTDG TNARKTLHQLRFGHRQHNANNTTTL H257_08921 MCDVGVAASTHDDAALGTSHLVAPFEPRKPFPALVALGRPQRRG ITVVVGKQQRGALVEPHLVHVPPEVAKRGLNAFDRFRGRGSRQQPPHDPRHVVSTIFR H257_08922 MSTDGWSSAHNEDVSGSGELEGLSDDGTAAHDDDDDNDDGSMPD VFEEFHHKSSQRIRVLLRQTEDKMHQTYIEATTSRSDTDCFGQWALSSCYLRVQGHNG LDASAASAPPPLPTSIRPIAVVSSSRLRPAMSMQDFSGQPSMMEVRGISIQRCYHTPT FVSDEEVFATHGILDEPICQHMHHPPSSTQQTLDENKSTFASSGASDDDEGIDLVAPK PCLVQQLHDAMVDRLWKHVMPLHLQPLLRHVLWPFSAPHPMQTRTSRVIYASESTATS TSLEDPPPPSSSSASRLKLVLPRYRNLTNQFIPVLASRDIHRGIKRVTIRPPRHKGQQ PSMLSSLRPKAAPRNTAQPYDNSTVATPKTTATVSLPKLRGAICRNSSHT H257_08922 MSTDGWSSAHNEDVSGSGELEGLSDDGTAAHDDDDDNDDGSMPD VFEEFHHKSSQRIRVLLRQTEDKMHQTYIEATTSRSDTDCFGQWALSSCYLRVQGHNG LDASAASAPPPLPTSIRPIAVVSSSRLRPAMSMQDFSGQPSMMEVRGISIQRCYHTPT FVSDEEVFATHGILDEPICQHMHHPPSSTQQTLDENKSTFASSGASDDDEGIDLVAPK PCLVQQLHDAMVDRLWKHVMPLHLQPLLRHVLWPFSAPHPMQTRTSRVIYASESTATS TSLEDPPPPSSSSASRLKLVLPRYRNLTNQFIPVLASRDIHRGIKRVTIRPPRYYYYY H257_08923 MSAEEHPPALPAVPSTAIGGGATAPVVRSTPERAAKILLHALAK KYAASGENSAARTPEELVRQSMANPRGKQLWAKVRENISVLVPPKHNVRYRRSLTPPL MFDPDSDTKIKWDLGLALCVLYTTCVVPVRISFGIEASGFISVFEIVIDVLFFLDIAF SFRTGIVNPTTGLVYYNKTQIAQSYLRGWFLVDFASTMPLESIVKIMYPDTSTNTLQT AKIFRGLKLVRLLKLVRIRKIGNMISKFEEEVFANQSVLSLVKILLFLLFLAHLVACV WFYVAQASPYSWALAMGYMSNDHNHQLTLQYLSSLYWAIVTMTTIGYGDIIPKTKTEL IIAMSVMVIGVSMFGYVIGNITALVDNINASGRMQTERLTSLKEYVMVRNLPKQTSKR VLDHFEYFYSHRSVFDEEAILNNLPTVMRNEVVHHVLNKFISRIDFLAEFHEGLVSDM AVAMNPFFCIKDEAVYLQNEIAVHVFFLTKGTVSLVKSYPNQLADTTLMTLTGGQHFG EVELYHQVYGQGVRLSCAVAKTYCQLTFMSRQVIENIGHTWPEILDHFRLNSISKVKR MSKRGCLDNYSPDVPPTASTSTNSMITDDGNVKKHPFDANHAWHHHLLAASLRKGVPV KPTDDSSSSDDNDDDDDQQHHDMMDKHHHSERELHNTTAHTTYVETRRSSTVREPNLM SRPMLNEKRVAHNAYVLHPQDTMIANWQMAVGSAIIYSTFLVPYRIGFDTDPPDDELS LDMIVDIVFGLDILLTFRLAFHNADRVLICDAATIGTTYVKGWFCIDVLSTMPVDTIV GYFTTASSKVLKSTKLLRVFRVARLFKLVRLLKLGKVFKRVRDSIQLSPSTERLLKLV MIMICFGHWCACIFHWIMLFEEEFGLRTWCTDYFFPYDEDPGACSFRVPSEDRYVAAI YWAFTTMTTVGYGDIKPFKFSVAEMTFAVICLMLNSTVYAYVVSGIIDVIYNYNPSDR EYRARMNDMKDYVRDTAMSVRLSNNVKCHYDFLLSTTCLFPEEQIFNQLRPSLRFDVA RLVASNTIMTINIIASMEKKYKGFVSYALFLLRPQFILRSERVCRSGSPGTEMFFLIE GECEQMDQDNHNVRVLGEGTLFEAYALLAPPEEHYRMQSTVTALTPTCQLYSLSVHEF ESIADLSPAISVNLAYEFAKSILQDDFLTLNDEQEAVVLGAIERKKTLHPEGLNYGPL TNMAKVAMAKLRIVKGSVLPENVKEAMKGVMSKTKHTLGLASGAS H257_08923 MGYMSNDHNHQLTLQYLSSLYWAIVTMTTIGYGDIIPKTKTELI IAMSVMVIGVSMFGYVIGNITALVDNINASGRMQTERLTSLKEYVMVRNLPKQTSKRV LDHFEYFYSHRSVFDEEAILNNLPTVMRNEVVHHVLNKFISRIDFLAEFHEGLVSDMA VAMNPFFCIKDEAVYLQNEIAVHVFFLTKGTVSLVKSYPNQLADTTLMTLTGGQHFGE VELYHQVYGQGVRLSCAVAKTYCQLTFMSRQVIENIGHTWPEILDHFRLNSISKVKRM SKRGCLDNYSPDVPPTASTSTNSMITDDGNVKKHPFDANHAWHHHLLAASLRKGVPVK PTDDSSSSDDNDDDDDQQHHDMMDKHHHSERELHNTTAHTTYVETRRSSTVREPNLMS RPMLNEKRVAHNAYVLHPQDTMIANWQMAVGSAIIYSTFLVPYRIGFDTDPPDDELSL DMIVDIVFGLDILLTFRLAFHNADRVLICDAATIGTTYVKGWFCIDVLSTMPVDTIVG YFTTASSKVLKSTKLLRVFRVARLFKLVRLLKLGKVFKRVRDSIQLSPSTERLLKLVM IMICFGHWCACIFHWIMLFEEEFGLRTWCTDYFFPYDEDPGACSFRVPSEDRYVAAIY WAFTTMTTVGYGDIKPFKFSVAEMTFAVICLMLNSTVYAYVVSGIIDVIYNYNPSDRE YRARMNDMKDYVRDTAMSVRLSNNVKCHYDFLLSTTCLFPEEQIFNQLRPSLRFDVAR LVASNTIMTINIIASMEKKYKGFVSYALFLLRPQFILRSERVCRSGSPGTEMFFLIEG ECEQMDQDNHNVRVLGEGTLFEAYALLAPPEEHYRMQSTVTALTPTCQLYSLSVHEFE SIADLSPAISVNLAYEFAKSILQDDFLTLNDEQEAVVLGAIERKKTLHPEGLNYGPLT NMAKVAMAKLRIVKGSVLPENVKEAMKGVMSKTKHTLGLASGAS H257_08924 MQSTVTALTPTCQLYSLSVHEFKSIADLSPAISVNLAYEFAMDI AADSFLSLSPEQRSVVSQAIARYAVVENNMDTSADTMSPDSTKSSVIQEAEHV H257_08925 MTINIIASMEKKYKGFVSYALFLLRPQFILRSERVCRSGSPGTE MFFLIEGECEQMDQDNHNVRVLGEGTLFEAYALLAPPEEHYRMQSTVTALTPTCQLYS LSVHEFESIADLSPAISVNLAYEFAKSILQDDFLTLNDEQEAVVLGAIERKKTLHPEG LNYGPLTNMAKVAMAKLRIVKGSVLPENVKEAMKGVMSKTKHTLGLASGAS H257_08926 MSSTLCATSGVASGTTSIDIQSILNRGGHQCPSPHTRRHVVSAP RWMLNPESGFKIKWDLGLALCVFYTSCVVPVRISFSLDATGFFYFFECGIDFCFFLDI LLSFRTGLIDPTTGHVYYNKRQIVRSYLRGWFGIDLVSTLPLEFIAKHLYPEATASSS SNTLQSAKILRGLKLIRLLKLVRIRKIGQMISKLEEEVFANQSVLSLVKILLFLLFLA HLVACVWFYVAQISTYSWSNTLGYMINDHPNMVTLQYLSSLYWAIVTMTTVGYGDITP KTKTELIIAMFVMIIGVSMFGYVIGNITSLVDNINASSRMQSQHITTLKEYVIVRNLP KHTGKRVLDHFEYLYRHRSVFDEGAILTNLPTGMRNDVVHHVLHKMISRIHFLSTCHH EGLVADLAVAMQPFFCVQDEAVYVQQDMAAHVFFILKGTMGLIQNKLNQTTGITTKTL LLALDEGSHFGEVELFHPVYSCGMRLTSAVAKSYCQLAFLPRPVILSIGKTWPEALET FQTEATAKAKLMGPLINAGLRQPPPPPLSINIRTNLQLGTNVVIPTATATGLPCLSTT TEKKPPIQVEIAAHTDDVASPSLSSLNCMIRPLDVAPQNATTITNVGHRLSLPEKKVA HFHGMLHPHDIFVVNWQMTVATAIVYSSFMVPYRIGFEAAPVAEGRYLDTFVDVLFGL DIVLTFRLAYHNAERQLVCNAVTIAKKYAKGWLVVDLLSTLPIDSIGRLFVPSENAAQ VAQSTKFLRMFRVARLFKLVRLLKIGKVFKRIRDSIQLSPSTERLLKLVTIMICFGHW CACIFHWIMLFEEESGGHTWCTDYFFPYDDEPGACSVRVPNEDRYLVAIYWAFTTMTT VGYGDLKPSKFSVPELSFAVVCLIVNSTVFAYVVSGIIGVISNHNPSDREYRTQMNEM KDYVRDTAMSVRLSNNVKRHYDFLLSTTCLFPEEQIFNQLRPSLRFDVARLVASNTIM TINIIASMEKKYKGFVSYALFLLRPQFILRSERVCRSGSPGTEMFFLIEGECEQMDQD NHNVRVLGEGTLFEAYALLAPPEEHYRMQSTVTALTPTCQLYSLSVHEFESIADLSPA ISVNLAYEFAMDIAADSFLSLSPEQRSVVSQAIARYAVVENNMDTSADTMSPDSTKSS VIQEAEHV H257_08929 MASNDIYHGQAAFAPGLDVRPHEYAAKPFDDEYDVEIKVTHCGI CGSDLHTITGGWGKIAYPLVVGHEIIGHVTRVGSKVDTAKYPIGARVGVGAQCGSCLD CNQCNRQLENLCDNNVVYTYNSSSNGYITQGGYADYYRCHFNFVVPIPDGITSESAAP MMCAGVTTYAPLKHHGAGPGKHVGVVGIGGLGHLGVQWAVALGAEVTALSSSSKKEHE AKDLLGAHHFLNYSDASAVTVAAKSYDILLVTSYGKDTNWNVLLSLVATNGKLVLVGL PEAPVSFFPFSVVSRQVTFVGSTIGSPAELEEMLAFAVEKRVNSIVQVVPMSRATDAL HQVRNGDVRFRIVLKNE H257_08930 MVLLLQLPLPPSFFQCPPMTSDMAESVKATAVHTTYDLISKIQL RGGPNQYECVSDEHDLKIYKGLKDTIGPHGEAVPVYCAHMEVVATIDEVIALFHTEVT NPKAFCRRFGKGLLDAVTLYELESSLGPQNRITVTWRAYDSQLPSVVRRRDVCAVECH DEFTLGGRRGWVRAFKSIELPCCPGLETHMGLVRMANYGSGHVFVESELRPGYLDMYF VTQVDYCEGKSEWLLTDVLRCKKLLAKVITKKRCRSLLDIDRFLREDRLRQLPAVPMD PTYRHHCFMCTKRLMPIVKRTACHTCGHVFCPRCIQSWRVRANTTIMACYKCSIVQPT GFRSKYQKHSAPPPSDIISLSSPFKSQASHNRSMVSSNCTWLSDSEIAAQSKAAQTQQ TPSGRSNGY H257_08930 MVLLLQLPLPPSFFQCPPMTSDMAESVKATAVHTTYDLISKIQL RGGPNQYECVSDEHDLKIYKGLKDTIGPHGEAVPVYCAHMEVVATIDEVIALFHTEVT NPKAFCRRFGKGLLDAVTLYELESSLGPQNRITVTWRAYDSQLPSVVRRRDVCAVECH DEFTLGGRRGWVRAFKSIELPCCPGLETHMGLVRMANYGSGHVFVESELRPGYLDMYF VTQVDYCEGKSEWLLTDVLRCKKLLAKVITKKRCRSLLDIDRFLREDRLRQLPAVPMD PTYRHHCFMCTKRLMPIVKRTACHTCGHVLPTNILTHQHSRPH H257_08931 MAILSARDVFATPPLTASVIDELKAQALATATLVIERSQQAGTT PSWSLVSSDNALRIFKANDSVVSSNFLHCGQLQVCAPLADVVELLRTDTPAHATAFKQ RFGKDIMTTSTLYTLEPPTADTPNHRILISWLAFQSPMKNVVMNRDVLVLECHREFSF QGRRGWVRAGRSIQLPGCPNLEASCGLVRMQNYGSGHVLIESVDKPGFLDMSYVTEVD MQVGASEWAVDAFRSRDFLIEKAIARRCQSLVEIERYLQGRINNSQAMVVYTYNSLKA TTSRKALRRHCCLCDKRFGPLSKKVSCSQCPLVMCRRCDREWQLKSHAHDKSMCTSCA LGSANTPTMTDAGQSTTTHLQAGDQGWNSESSLSSRRDDSTGLRPLVVEEADDFNSSS NSSSSRKDSSYDMTAPRRQYQPCVLYKANSLGGFVLLRTNTTTPVVFDRMG H257_08932 MVMAKSMLLVAATLELAVADFPPSWGVQDVWVHTSTWYAGRCTC LCQPLCSHPSDIMRTNLVSGGLIPRFNNYSVPRCDDYGKYYASSAISAVGQTHLKNYF PVGFSRDLENMWSPSAPEGNQPTFAYPCGGLKDASYLLTVVQLAQRLKAPKVITDNIG KEVKVSDLRAAFKQDWGADVVLQCLDGAFTDVLTCWSKAPAMKGSGEIFPSKIQDCSP QIAATDECTNATTKILAFSIPTKAPVTDAPSDAPVTTAVVPVTTTVTPNSTTVTPKST TVTPNSTTVTPHPTTVTPNSTTAPTPTPTEVPSEVDIWTKFYARNARCAIFGEEGCTY CAAAKALLKQKKAKYDYFGVWMDNPNHIPSGMDVYYSLLRLTKKDSLPNIWIGGKFIG GFDDLKGLNASGTLNQLLKDAKCLQNTTNITSVFGYE H257_08933 MASSKRISFLIVAVYVYVPMSMTTFLTNNFIALAFSLTFLITIV VFVVVVVAKEQVRENRLNNVLLDLVFDRRGRQVHVVVESVGALDLLIQMLTLEHPHP H257_08933 MASSKRISFLIVAVYVYVPMSMTTFLTNNFIALAFSLTFLITIV VFVVVVVAKEQVRENRLNNVLLDLVFDRRGRQVHVVVESVGALDLLIQMLTLEHPHP H257_08935 MFYIVINEVNSDDWANAGTTTTTTATTNFTTTAATTDGASSPNA AIDNPSHLWGHTTKSTTELERHGEQMDADPRPIPVAVVALNVRQVDTPPVGGLVIVLE SLDVSSNVLVPKIIDRDNGSIWDDDITVRIYVMSYVPNIRTILDCLVEIERILPLGLF EVLEEASTNPRGGPEWGGLV H257_08936 MGNADLTEHAAWTSGNVVTWCDVRSADCILGPPRRHRPARGFSS ARNAQGYGSHRSLRDLRVEI H257_08937 MSGFMQLFAAESADAAATAQCLMTWFTTFGDIWVSDGGPQIKNE VIENVGSPVAESHTKHRRWKMEWITLRKGADAVVATTRRGHVPLWKKHDLNSSSNSSS RKVSNYDMAAPRRRQNQPCVLYKANSLGGFVLLRTHTTTPVVVDRMG H257_08938 MARQPTGRELSYANKMEVIRHLNHLSTMGKLARGAIASTASQLN IHRTTVSRILKAYQRNALVPSLKVDSVDRPLIYTPDLVTSTLRELPQSLRSTMRDMSE GTGIPLASLQRALKAGKIQRCSTHFKPLLSKDNKEEYIAFCRSREKIRKKYLTEGKEP EQCVWSSKRFIPKVMFLGAIVCLRQQDWHVANRVEPICCAQLPQPPAGTIVLTLVNVY TVVYRDYVLTRVIPVIKVKFPTVNKRVVLQHDNATPHGGITDADFACICAMTRYLLKE MSQVIWKSVILKSRQ H257_08939 MVMAKSMLLVAATLELAVADFPPSWGVQDVWVHTSTWYAGRCTC LCQPLCSHPSDIMRTNLVSGGLIPRFNNYSGTFAYPCGGLKDASYLLTVVQLAQRLKA PKVITDNIGKEVKVSDLRAAFKQDWGADVVLQCLDGAFTDVLTCWSKGHAMKGSGEVF PSKIQGCSPQIAATDECTNVTTKILAFSIPTKAPVTDAPSDAPVTTAVVPVTTTVTPN STTVTPKSTTVTPNSTTAPTPTSTEVPSEVDIWTKSYARNARCAIFGEEGCTYCAAAK ALFKEKKAKYDYFGVWMDNPNHTPSGMDVYYSLLRLTKKDTLPNIWIGGKFIGGFDDL KALDKSGALDQLLKDAKCL H257_08940 MELSSSIVRLDDQGRGHVRRHPSLATTRDGARHYKARAKSYCMT IAQAQACANIAFALLQNRSLLMAQQESHIMALVATISTMFDLELIDPDDADAEEHDNF GSMARDCLLRLDVADKTAALKQIVAYAETLVIEQQGVRAERDDSNLPREQDAPPVLPG QLVGLRPAHFFRDVLDLHRERILRFCTTFNDAWDVASHQWLRLRAFCVGLATIVPNTT SVQSDFSILKWEIDPNWTDLMHLSLEGIFQAKHRFVLQ H257_08941 MMMTCLHRHVAGGILVAAVLAATAHANEVYDVVIIGSGPGGLVA AEYLTRDPSVSVLVLEAGVSSLKATGGDNIPDYIRSEGWTVFDIPGEYSSVAFPSDNN GRYRIDWVTSPGPLYLGKVVGGSSSMNGMLYFHTADSYVDESKWPYDAATVNANYDAI ETSFSSTNLPSTDGKWYLQEAYNLLRDGLRSQGGYREVDINAQRNAKSKSFGHPPFTI KNGLRDSPAKTFYGAASTRANFKLVSSALALRIAQSQGKATGVVYSFNGQLVTATLSG RGSVIVAAGAVSTPKVLIQSGIGPQSQLNLLASNANFPGITTNSTNWVVNNNVGNHLF DTIQVLTTFKHPTMKVFNHGSRPQAAIDQYVTQNHTGPWASPDPVLVGYEYSSGGNQF QITGFCHGFNFGSNDLTEFGVAVYLNNPRSRTKCEFKEDGTYHFNLDSNLYTNADDVA AMDQFSSKLQMYMEQAGSTFVQRRGKDGANHYGGTCIPSNDVSDATRCADGTFKVLGT SNIFVGDASLMREGTVNPYGFTMQIGRQAGLNVHKFLSSSVPPPTCSAIEENTDYFGN NVGSSKRSTAAECCRDCAAHPSCKLYVWFEGTCWLKSVAGPKSSQPGRRAAVLQTTST PPPPTCSAIEDSTDYTGTDIGAVPRNSADLCCADCLAKAGCTVFVWYQGWCYLKATTG TKVPNATGRRAGLIVTTKPPTTCSSIENDVDYYGNDIGRTQRSTAESCCDDCKNFPGC KLFTFAWGTCWLKNVKGAAVAAPGARAGFVDSNAA H257_08942 MLAAFRRCSFGRGFASSAIPLHLQQVVVKMAVDAFDDKVSKYTT LPAQFKVPPSPDLPLSMHGSWVNISTFREAYRKQKLAPEVVEALNKVGFIWDVRQHKW EMTVQGLRTYSNLHNHVIIPRTFVVPHGDERWPKESWNWKLGRVVDNLRQDKDKLAQD RIATLDSLGFVWSVHAQEWQHSIDLLATYTAIYGHANVPYSYVVPCTDEWPASGHGMR LGVWVQNIRNRAASYSKARVAALNELGFVWGVHDENWQVNIHALRTYKMLHGHVNVPK RFVTTDEWPQMLRGLHLGAFVHNSRSRMAALDPRHDELMALGVDFKNRHD H257_08943 MGGQPGNMMTGGQPGSMGNMMMGNNGQGSDISGAMGGGPSLGAM SAGNGMGNGMGNGMANGMGNGGNQELDINVTVSTVPPKTNATNGTATAPATTTLAATT ATPATTTVRSGASTVKGWLLTHPQGVHRLRLHVTQFRVDHLD H257_08944 MGGQPGNMMTGGQPGSMGNMMMGNNGQGSDISGAMGGGPSLGAM SAGNGMGNGMGNGMANGMGNGGNQELDINVTVSTVPPKTNATNGTATAPATTTLAATT ATPATTTVRSGASTVTGAATVVLMIVAACGLVTSSSSP H257_08945 MPSPYDSPPPSVKVSVPSASEAPHQLITDSVAMSMDLVHKSQPK GGSIHWRLHSEEPRGVKLYEASHFTYATPGMYTYMASVDVVGTLADVTSVGLDQHQSF GLSIHNSTTLRKVVPAHYQSSIASLQLTWCDYKPLTVGLSNAKYDSTMLQSTQRFAKH GRHGMVMAYKSYAVPKWQQPPQGCQRVLNHGSGVVCTESIDQPGLVTVRFIVHIQLPQ TSSKLASKHRHWAYLRRVLRWCLGLSSIDKRLRKHRLEQRAPLPAVQLVPKASRSHCF LCRHAFGWLRHRKTNCWGCGEVVCSSCSLPWHQGTEHRRMCSTCSGGTGFRITDSDDV GGEAFAVYFETKTDFDSSSFTTNESNAPVNFYSPDLAQILFPSPASPGQEPQRHTRIT LIDEDLADELYGLHFQPTPVCIFG H257_08946 MPLKLPLPAGFFERPALNEYDIQRVIREATCSAMSVVHKTQLVG GPIAWSLRSDEVDVKIYKGSPVDDKSDGVAASGAVVYMSVTEVVGTLDEVVELFQTRT TKQAKHFATRFGHQLKDAIKLYSLVEPTADAPMELVDLTWRAYKSPMSLIVAERDACL LECNHAFTCDNGQRGWVVSVQSIELPCCPDLEALGGLVRMMNYGSGHVFLESMDRPGW LEIRYIAHVDFRGIAFDFLTKALAKRNRSWLTQLNINEMASRRRWVSDIHMTKRCRNI TDIDRFLREDRVFSDELLQRMDTRFLSKASLAAATACHLCHVEFSWKHRKTNCAKCGH VLCKSCNPWWATTKDSTTKQVRACVICALGRSTHHYRRSSTASQISLPRGSRGGYKAH EDMTEDSSDDGSATYTSHFPLMESSHYSRTSIVLDDYSEYRSVKTVDG H257_08947 MSRVKFHWKSLCLSMLFLLNLVLMPLKPYLTEVSPIEPENKYRP SYLTAVNTSEEQTQACWMSQMYNASTMTLDTLYFVDSLRIVEVMRTVAPNEICSDEAE LANIVDAVRGIIFFTPAFKQSLTVRWGCGGATPTPYQHLPPQVWLLTLGSIPVSTSVA WVVPENEGTTVYYAYMPGIKSQAWRLTILCFRLVASVWIFHLSIAGYYNHVRHLRGNL DAFPLHGYTKASRYEIVVGEPTCIVLANPWLCLWFLLDLVTNTEYIGMACLRVCQINN LVYFCLGMLYLGRTVWCGYTALAVLNILLKRRHKAHWVKPTNTTILALAASLAGGGIM YIQTEWQEHLDMYFTLYVVHYVSDTHETTTMETAPAMLVYALSMTMLPFAIAATQHVA NFLLHHWKLCRAGRITSMLISSARHSLTRSMMVSPTMPEAPRHTHQSQCEYNDVKHRV VLWLCGLTKLKPRHFTGGSIYSLFRAAPGYQAQCTLSQRGGDCYILCYDSSDRLLECT RVTLVSQVDLAHQTQLLQQKTTSAAVGRVVLGLDRNHGSTVMELFQGERNSPWIA H257_08947 MSRVKFHWKSLCLSMLFLLNLVLMPLKPYLTEVSPIEPENKYRP SYLTAVNTSEEQTQACWMSQMYNASTMTLDTLYFVDSLRIVEVMRTVAPNEICSDEAE LANIVDAVRGIIFFTPAFKQSLTVRWGCGGATPTPYQHLPPQVWLLTLGSIPVSTSVA WVVPENEGTTVYYAYMPGIKSQAWRLTILCFRLVASVWIFHLSIAGYYNHVRHLRGNL DAFPLHGYTKASRYEIVVGEPTCIVLANPWLCLWFLLDLVTNTEYIGMACLRVCQINN LVYFCLGMLYLGRTVWCGYTALAVLNILLKRRHKAHWVKPTNTTILALAASLAGGGIM YIQTEWQEHLDMYFTLYVVHYVSDTHETTTMETAPAMLVYALSMTMLPFAIAATQHVA NFLLHHWKLCRAGRITSMLISSARHSLTRSMMVSPTMPEAPRHTHQSQCEYNDVKHRV VLWLCGLTKLKPRGRTCDIRLIYKDSLIV H257_08948 MAVKLPLPANFFQCPPLTPFEVDHLQQQALQSAMDVVRKTQLLG GKVKWALRSDEVDLKIYKGDDPNAMPGSYLYMGVMEVVGTLDEVIELFQTRTTEQAKE HMRRFGKQLVDAVKLYSLVEPSPESPGELIGVHWRAYKSPLSLFVAKRDACLLECNHG FELNGRRGWVTSLKSINLTSCPELQHLLGLIRLQNYGSGHVFLECPDRPGYLEMRFVA QLDFRGVSYDYVSDSMLKRRAWVSDINMTKRCRSLQDIDRFLREDRLGRGAFLTAKQL VSKTKRAHCFICNLRFGWNHAKSNCYKCGEVVCSTCNHNWCIKVHGAKTYLKACVRCA LGVVANGDTSKPPLSTIHSGRPYGSRSSSSDHSNLLYSTSHQKQLAHQQMYPQYPRTT TASDDGGYNHENQWELEESSQSVPSNNWENALDTTADDNNHCMTEYASELSLTPRSIR VVSPKKDRELFAQNQQNHHLAYPPLYRPSPQPPSTTPVHNAAAVANPRTCVIMDEDGV YLEDQGRQWR H257_08949 MDSSKEHLFVFSNPKAGMDDVDRSTINQTIYDMSKDSAFFKNSV DKDGIVDKKIAAMRVQLGVKRRHNLVTDVDQRVAALEASRDFSRVHVVVDMDMFFAAV EMRDNPSLANVPMAVGGMGMICTANYAARKFGVRAAMPGFIAKKLCPALVFVPPHIAK YEAVAHQTRDIFRQFDPHFTAGSLDEAYLDITDFIDASTAKSTAPPLSPASPSSMLAA QPFYFTNETSVEDKAAAVVQALRHRIFEVTQLTASAGIAATPQLAKVCSDINKPNGQY YVLPFNRQAVVKFCHHLPVRKFGGIGKVKEKLLAQLLSISTGGDLFARRYDLFHLFSE TTAQWLLQLSVGSSTGSSGGNDEDTPTRKSVSAF H257_08950 MGTSHSRHLNLLLLVTLSNLHWTTHMGTHGSRHAVATSMMSSAP HSSLFVFAKPSLADASSVASPLSSSSSSQTSSTPTSIDPSGYTHLDPALDVLRARGVL RLITQFQDGLDGKLHALVAAYTAMPSHNEAFIVRAILSRGSSSKNSRPRRSRHNLMHS QQDHHPSRGAVPPPTTTSHPYDDQQHHPLETVKTLYRFRRREVFTPACLVYLAKHGSL RLLAYLHAVGCTAFTPQVMDAAAQYGHLSLVQFLHTHRLGCTTAAMNGAARSGHLDIV KFLHAHRSEGCTSAAMDGAANNGHVDVVKFLHAHCKDTCDMDSALKLATDKGHLEIVR FLHDLDDANNVLNVLVWAARKGHLDIVKYMQETHKEAIVRFNHHVIYTATERGHTDIV EYLTDGARGGLIHTAA H257_08951 MDVLLSCLHVPELRALVFGFQQGIPYALTLPIIFGDTCMPVGKA WSYVQSHTSKSFVQNSLASSSNGPRNDDGRHHHHSFPSAKKVACDYTPLPDDIHAVWV RAFLVHLSHHHQHMNDSLAFVDLYARRWPAMFTPGVLDALAASGATSLLAHVHRTCHV ICSAKALKRAAHFGHLSVLEYVHFAGVYPEWSVHVLDKAAAGGHLNIVIFLNAHRTDG ATTAAMDLAALGGHFEVVKFLHQYRSEGGTTNAMRNAAKEGHLSIVQFLSRHRLEGCT KEALNMAAAAGHLDVVQWMVQHRNEGSVDEAMLYGARNGHVDVVKFLYVNGSCTRTTT HTGSQGLVQVAAANGHLDVVRFIHDVAAHELPPWGWCSAHVKALVTPRRKNGVVTSLF H257_08952 MVKKKGKKGKSSAADEGGEGQSTAEQYVPLDVPDEVDEFVTLDM RLLNWSYLNFRLKTKTTTRLFSIKNEIAKRHGPITNLKICKDHFAEGNELTDDMLTLH DYGIDGGLTHEKEVVCLIYYDFKPTQHDNPLLLATEQY H257_08952 MWRLQLLNWSYLNFRLKTKTTTRLFSIKNEIAKRHGPITNLKIC KDHFAEGNELTDDMLTLHDYGIDGGLTHEKEVVCLIYYDFKPTQHDNPLLLATEQY H257_08953 MDDWERRTNSHGYTYYYHVSTGAMQWTAPTNDTALAAECGETSD CDDAVGVSVESSDSSNSSNDDDDNDGIGANDVAATLTEVSAAPEELHRVVLDTVVWVL REVWQSHVEIGQYVARSTRILLEAVTPPLRQLLLAQPAISQQPPPSIPMTFIPAKQSS SVVYTANNISDDVRDEIRPELPV H257_08954 MPSTLPEHALNALYGSYLALSGCVQALLHVEFRRSYRGPILWVI MSTAAMALVAHTLAFPMHVLLWLGGFTKLKTMLAYAMHRSIAAVPFLCVAGTRYVYPA LFEQLFCLGVKVANPPLAKTLSQIQINYFTWKYVSSVLRYMGYRAVLMVILATGSVVL TPLFGGVFAIVAFSYKVRRMEVVFGLSLVGLYCVPATRSTALDLVRTWFDSRTIAREL FAPFVDRQLALKALNLPHLDPHYVGVPMLKWPQHQAVLFGFSYTLSYLMQYAYIGPFV WLLGSVAAGHVTALLLQSHRSACPVK H257_08954 MPILWVIMSTAAMALVAHTLAFPMHVLLWLGGFTKLKTMLAYAM HRSIAAVPFLCVAGTRYVYPALFEQLFCLGVKVANPPLAKTLSQIQINYFTWKYVSSV LRYMGYRAVLMVILATGSVVLTPLFGGVFAIVAFSYKVRRMEVVFGLSLVGLYCVPAT RSTALDLVRTWFDSRTIARELFAPFVDRQLALKALNLPHLDPHYVGVPMLKWPQHQAV LFGFSYTLSYLMQYAYIGPFVWLLGSVAAGHVTALLLQSHRSACPVK H257_08954 MLAYAMHRSIAAVPFLCVAGTRYVYPALFEQLFCLGVKVANPPL AKTLSQIQINYFTWKYVSSVLRYMGYRAVLMVILATGSVVLTPLFGGVFAIVAFSYKV RRMEVVFGLSLVGLYCVPATRSTALDLVRTWFDSRTIARELFAPFVDRQLALKALNLP HLDPHYVGVPMLKWPQHQAVLFGFSYTLSYLMQYAYIGPFVWLLGSVAAGHVTALLLQ SHRSACPVK H257_08954 MPSTLPEHALNALYGSYLALSGCVQALLHVEFRRSYRGPILWVI MSTAAMALVAHTLAFPMHVLLWLGGFTKLKTMLAYAMHRSIAAVPFLCVAGTRYVYPA LFEQLFCLGVKVANPPLAKTLSQIQINYFTWKYVSSVLRYMGYRAVLMVILATGSVVL TPLFGGVFAIVAFSYKVRRMEVVFGLSLVGLYCVPATRSTALDLVRTWFDSRTIAREL FAPFVDRQLALKALNLPHLDPHYVCPC H257_08954 MPSTLPEHALNALYGSYLALSGCVQALLHVEFRRSYRGPILWVI MSTAAMALVAHTLAFPMHVLLWLGGFTKLKTMLAYAMHRSIAAVPFLCVAGTRYVYPA LFEQLFCLGVKVANPPLAKTLSQIQINYFTWKYVSSVLRYMGYRAVLMVILATGSVVL TPLFGGVFAIVAFSYKVRRMEVVFGLSLVGLYCVPATRSTALDLVRTWFDSRTIAREL FAPFVDRQLALKALNLPHLDPHYVGTLRPSTI H257_08954 MPILWVIMSTAAMALVAHTLAFPMHVLLWLGGFTKLKTMLAYAM HRSIAAVPFLCVAGTRYVYPALFEQLFCLGVKVANPPLAKTLSQIQINYFTWKYVSSV LRYMGYRAVLMVILATGSVVLTPLFGGVFAIVAFSYKVRRMEVVFGLSLVGLYCVPAT RSTALDLVRTWFDSRTIARELFAPFVDRQLALKALNLPHLDPHYVGTLRPSTI H257_08955 MYAKYNASTQGGQVEHLCPPASMSSNGGAAMYEVADKIPPRRQY FREKQREYRRKRIADGDAFKAQCVHLQSILARLQTGRPSSMVPRDASDGPLSWHSIAI VFKSEAHRVLKDHQSLITQTQEYQSLTQAMQRFVMMNISPPMSRSNAWQNATLAADPS ARNLGKEWLTQQMYHNIHEPFALLPAVSYDDEFFDIDVQMTDDGDPFMRMERVQFTWP GTVQMFRRLIESNMRAVLIPNYFEMVVEETTANTRLVHTTTPNGMFMNTLQGRFVEAD RFVMVIREVEVDEVYMCDPQHKQRHLMSWTEVRQISPSHVLLRIVSLASHLFRANGGF VSVDEYAVTRGIDLTGIGDKEAYVRRELIRRGKADFVPWRQHIMDTMHETAIS H257_08956 MPPPPSSMSVDIFTAASTGEMETLERLLLTADSTEVNATTVHNR RRVTAMEQAMNNGHWEVVHMLWAHPSVADDSRDKSFKNLLKSQKHEHAANVLNTVPSS MWKCRLVVASTDGDNALACLAPYLSLGTFVDILLLDLPFRVAFADNNHSNASAVVLED NPGHSFTWAAFVHPDLPVADDVSKVAVVAAMLNHPSLHAVPRADVVRRLMTSTDHDDR ATIDMADKLVREYLTSQQYFLTRYELVDGPPVHVSATAVVLLAIDHGIFDQVFDEYAG DDGCLDLNGFNSCNITLGRVHADSRGHKTDDQDWQAEFDVWDKDNDEAMSKAEFHRFN FFVFFFLGL H257_08956 MPPPPSSMSVDIFTAASTGEMETLERLLLTADSTEVNATTVHNR RRVTAMEQAMNNGHWEVVHMLWAHPSVADDSRDKSFKNLLKSQKHEHAANVLNTVPSS MWKCRLVVASTDGDNALACLAPYLSLGTFVDILLLDLPFRVAFADNNHSNASAVVLED NPGHSFTWAAFVHPDLPVADDVSKVAVVAAMLNHPSLHAVPRADVVRRLMTSTDHDDR ATIDMADKLVREYLTSQQYFLTRYELVDGPPVHVSATAVVLLAIDHGIFDQVFDEYAG DDGCLDLNGFNSCNITLGRVHADSRGHKVKLARYLATYG H257_08957 MIPASSSSSAIFAAIAAGDTAALQRLVRTADAQHVNAITSINKA VYTPLIRAAEVGDVDAVAILLAHPDINVNRPPGVPPSSPSALHRAAENGHAPVVALLL SHDGIQVNATAERGVTPLEKALYRRHEHIVQMLWPVVNDDSRDRCFDILLGSRQYDLA ATLHRDRPRLWNCDLQIRGQLVLQTLAPYLCMDSVVALLVQDLPISVDSTNPSRLVDN PDHSLYSWMIFLDPELKVPDDVSKLAVIQRILDLELFAQVPRTHVVRRLMAAPDEHGR PAIDAADADVRAFLTNQLYFLGRYELLLDAPPVHVSATSVVVLAYDHGMYAQVFEEEA EGDVNDGYLLDLNGFCNCLRALAPLRATDDISDDATMHRWEAEFEMLDTDRDDVITRD EFLRYCDQTFGPHLKVAIKFIKSQADYDRECYHRQRLDLNFVLGLVPSPAELPDDFAQ TMSQLPLSHLSHINMAEYANLVVMPAADRSLEDIFLKERPSEAQVIDMIKQVAAALDH LHSHRIVHGDLKKLNVLRMGVHLKLIDLDASTRIGDVLGAKFSSGILPPEMFYRRSDV PTTIQGNQTTPQYAVRASFPLESSATSSENTSATPPPKLPYELIPASPAVDMWALGCM LFQLLSGEELVPTDVNQDVTADYIDAAATGRYVDNATRTWMEDALDMNDEEMGVRMFE DMACKDATSRHESVRGAALRRLKLWFLEKDPTESFAGLERVLVGDGQVRWTSHVNAQR IRRRQHERPHETNNWGSGGGSTDGGFYV H257_08958 MVNNNRVQARSCASGWRKHSVDMSLKLPLPPGFFQCPPLSPDDN ARYLEIAKQSVQDLVAKARIRDGPVKWTMLSNECDLKIYKGEGTGTTANSDIHCAAME TVGQLDEVMRLYRTDTTAQAKEYVQRFGRALVDAITLYTILPRHPDRPNNCIQIKWMV AKSPFDGLVTKRDFCLLESDLEFQVNGKRAWVRAYKSVELACVPSLRESLNLIRAFQY DMGHVFIESDRPGYLDMTYLADMDVKGIVPVWANDQAVIQWCRNLSDIDRFLREDRLS ASPFLRPDQLCPLNLRKRCYLCHKRFGPLRKKSNCVKCGEVLCHKCNKTWSVKPNNNS NMTTAKCCFPCSLKGGSVQSAAAASAKAATGSSVKHQVSGSSPTWGADSTFDGTSQCS SDDQSNYSESMAGTSVVGGHNTKLYSQVHRQHYYPREGTSTTASSAASTVPIMASYQP HHPTSTNAEYTGEMSPVSPLLRAPIILE H257_08959 MATLNDSRLFDAVAAGTLRQVVDLVAQPLTNVNDTKRINKVLYT PLMLAAELGYVDIVKTLLNRPDIQLNRPSASDVKKTPTPLILAATKGHLDVVLALLAH PDVDVNVSMERNITAIQNAAANGYLDIVAALWPGANLLSQRRSLDAALRGQKFEVVAH MVEAGVEYEPTFRGKLLLEYVAPYLTLDGLVLMLANDLPIIIVSDLDANTTNDQLGDP RVVPNPDHRYSWAAFLDPSMITVNDAIAKEAVASAIFDLVAKDMRIPREVVVRALVTT STDQHGRPVLSVADPFIAAFLMGQLYFANRYEIADGPPVHVSATSVVVLAIDHGLCSQ LYDEYKDEGMLYLDGFIACNSILCQLLATTSPLTRSRPRVSHGFGTGPPPPPSTAAAY GYGRCDTVLLPPNDDDMMTTWTKAFELWDSDPPDDAGGSGGGGLSSHTFRRYCDQTYG VQLHVALKFMKHEDSYRREISQRRHVHAKYILPLLPSFALDDDDVASPTTTSHHVTCS SVGKGQTLSLADYPHVVAMPAGDRTLHDILVKERPGRGDIQAMLLNVAQCLAHLHGCG IVHGDVKALNVLRVHHQLKLIDLDAATPVGEFFQRSTCTSGILPPEMFVHLDTTTAMR QHYEAYCRQAGDATGAAAMWRQHRPRSGYVVRLDPVADSQPSQPNNSVELPYALIPMS PSMDIWAFGCLVYLLVTGLHLVPTDIHLNIPAEYMAVAATWTDLALDVKLEANVDDIA ARDLLRRLLRVDPAHRISMAAALDHPYFTAQTCDNVSLDDGGDKAFCTIEETKPPTVS HIPDKKHVHAACDYDDIALKCREPSPSLVVETSPLVTPTQATNAEQCRSHPTLSKQNT MLVDHDPHLTRPHPDESSPSFVLLPMSRVHAIPFVGNDAAIWTSFVSHFHSTCHAVAA AMAAGLPIDAPLKQLNHGHSLYLYLLDDVTGDLVPTATTSNSAASSSVYPIEIRPTDL EFLAMSLPLALAGLATFSRHHQQQQRLLLTVAGNHGALADGIVATTTSTSTSTTSTSL PEIQSVTFGAMWRAIRGDSAVTPLHVRQAVLHAVTRWFHARDPRHTFGGLTRTWTEHG DVVWTAKVEELVILATQETKSQHDDDRHHLTMQKLLRQVLASESGHNTDMCDKVAPPV PIVATFSGASCSPDQCVVM H257_08960 MTTSDLFAAISNGQPELVLTALKLHDVYVNGTKLINRVLYTPLM LAAELGKADIVRILLARPETQVNRVINAKKSPTPLHLASLHGHIDVVKALLDMPQVDV NATMERNVTALQYACTRGHVDVVDALWPFANDLTKRRSLNALLEGRKYEMVASFIEKR VEFDVAFQGSLLLEYVAPYLSASGLVYMLSKDLPIMTIEETDFEVRDELAKQLVVVAN PDHQFSWAAFLDPSVVQVNDSIAKEAIAMQIVDLFVASSTTTSNTTSSPTVRPLTREQ VVRALVDSKDKHNRSVLATADHSVAMYLKRQLYFGHRYEIADGPPVHQSATSVVVQAT DHGMYSQVFEDYADAADGGGFLNEDKFLSCIQTLHNVTSALLDGKVTIDAFHDLTSVT GTDCMDWLTFRSFCEQTYGPHVQVAIKFVKHHADYLNELECRRGLSCDYVVGLVPNPK NLSVLHSLPLSGTSIDLASYPHALVLPAGSRSLADIFRHERPRPSDTCRYLRQVAAAL WHLHNKGLVHGNVTMDNILRYSHVKLIDLAATTKVGTPALGNAKFTSGILPPEMFYML ANDAEYAQYVSYWHTVGGFKDDDLLQPHSNVVVRSFRHIVPDDQDHHMMLPYQLVTSS PAQDAWALGCLMFEMVSGMQLVPTNRDQEILPRFKRMAATWTDQALHKYIHESVFDDV ARELLCKLLVVDPSQRLSMDQVVAHRYFDVQVATTSDDRTREGIVRAAAQRHLLT H257_08961 MVPPIPRELTEEDKLFQAVMDRNVDALNAILKAGKVNVNAMKPV DMIQSTVLYVAALYPCMAIVQSLLAVPTIDVNLPNRIHKNATTPLMRSIQKGNLDIAD VLISRHDTMCNAVTDKGKSVATEVASYNRAAIVPKLWPRLSPALRAKCMSEALKAKSF EVVAALIEVGCSFEVTYNNSDALLIAAVAKHVTIQGLVGLLLQDLPFLVVDDDDDIIA DNPEYMGSWSAFVLPGLRVSDDVRKEVVIDTLLSHATFHRVPRQILLEQFVYAKDIHG RTAFDTTETSVKEHLQRLFFFMQRYEFVPGPAAHVSATSVVRLAYDHGICHQVFHELA DQLNVCLTLKQFRQVNVTLTQVCSQHERPHRRREMQAPPVLDDASLVDKWDAHFEYFA KDFPGYMTEAEFKKFCDMQYGRKIQVALKFMRREEDYTKEVEVRRLISTRGHVSKYML NMLPSPSPDEFERAVGSLSVNNDQLSLADFKHVLVLPAADRSLEDIFFKERPSANLIR FLLEEAAHALRLLHSWDIMHGDVKKLNFVRVKHQLKLIDLDAATVMNTMMGSKFSSGV LPPEMFHHLETDDERSQYMAYWADDIRIRDKLRPRSNIVVKCFRQDYNQDAAALLPYA PVVASPEIDIWALGVMMFQLWSGEELVATDINEDVTSGQIQLAKFWTPELLKARIRLH IDDEDQLDLLSHVLAVDPKDRWSLESILQHPYFNP H257_08962 MTSLSTTNSNKDLSCKYSYKKCPNQRTTKRNGTLHTLCEYHRVK ANTLQQVYAKKKKEGTITSTTSTTTKPHPGHLKAVQRHDELCPPWLESIDFSKSEFIT QEDCAMLHELFFHPHVHYTTSSSLVLI H257_08963 MSKPLASSYLCKYSYKKCPNPRTIKRNGKLHTLCEYHRGKANTL QQGYAKRKKDRRKAKAGGDSNNVLLITASHGTTSWLDQIDFSRQLVMTNADCEVLLEL LGS H257_08964 MRITGSPKMKRLEQYGNSLHAVLVGIVVPEVDTVVKLVKSLGIP RLDIVELCQHGEVVAAMHKDIVRMCKAAGLHSFEMVKPIILHPKPFSVGKRLTPQV H257_08965 MLGHQLITETDALLDRQRKDRGNAHNRLCSSVVALENGLGCHVY ASLDGILATQRRLEDECLALGKNQVMYHQKTIRWKAEYKRFRSEVEDLQKFEQWMQRT EANMHATCGTLEYVCYQLNRTSAAPPSTDALPSLFD H257_08966 MLSDPKALQHVLVTHASNYPRHPIIRSYLGDIVMGEGLVSSEGA KHDAQRKMLNPHFTAAQVKGFVKVFEQLATRCCATILEAAATAHTRLDMASVLQTLTL EIIGQVAFGFDFHEHPEALGAYQQLQLAPSPLLLIGMFSVPGFIHWPLPPLRRRRAAK NVLATVISDVIEHKLASVNPSSHDDNHQDLLDRILTDPTSTTNHDAVTHVMTFMTAGH ETTSSSLAWVLVELAARPLVVARIRQEVHTLTSVSDHATTSPLATWEGIHSLKYTQAV IQETMRLHSVFFQLARRVAEQDDNVPLCDGATLPLPKGTSINVLTSAMHLNPKSWTNP TEFTPERFMQDTPEWAADATLRQSKSHAFVYMPFSIGSKNCIGHRFAIAELLVIVATF VQNFDFALTDKANVRHLYNAMTVVPANLEMTVSRVSTTS H257_08967 MSTSTVLSAFSQPWWWSSSSHLTTVVAALSVSFGAIVALTVVRY VNHPLRKLLPGPPPTNYLLGNALQTRRSIATWQSTTDYPEPYLSWLNQYGSAVYYREL FTHTVMLSDPKALQHVLVTHASNYPRHPIIRSYLGDIVMGEGLVSSEGAKHDAQRKML NPHFTAAQVKGFVKVFEQLATRCCATILEAAATAHTRLDMASVLQTLTLEIIGQVAFG FDFHEHPEALGAYQQLQLAPSPLLLIGMFSVPGFIHWPLPPLRRRRAAKNVLATVISD VIEHKLASVNPSSHDDNHQDLLDRILTDPTSTTNHDAVTHVMTFMTAGHETTSSSLAW VLVELAARPLVVARIRQEVHTLTSVSDHATTSPLATWEGIHSLKYTQAVIQETMRLHS VFFQLMRRLTEQDDNVPLCDGATLPLPKGTSINVLTSAMHLNPKSWANPTEFTPERFM EDTPEWAADAALRQSKSHAFVYMPFSIGSKNCIGHRFAIAELLVIVATFVQNFDFALT DKANVRHLYNAMTVVPANLEMIVSRTPQSVDE H257_08968 MCYPLNIQMPRQSQQPQQQHARRRRTAQITRLLQRSYFAQFKHA PSPVFVSLALKVERILYRTFPLDDEVLLTPEVLEVKVRHVIKRILLAKESVALQPNNY SVED H257_08969 MNSNTHRCVSVVTDSIVPALVSRGNRIHQILRLLHRPSLGHINH PAAPSRVLLPLAVKIERILSHTCPLDDTVDINALELRVRRIIKSILSKRARSINSTRT TIPTIPSKFSIQSMLAD H257_08970 MSYALYPTPVPFIPLPTPPTRKRRADIITQMLYRSYDAQFKVAT SDVFNALAMKIERILSRAFPNDDDDDLSPDVLESRLKYVIERILYSKESVALQPNNFT LDEFVKFEL H257_08971 MAMAVNSMLIFELRRCHKNTQNKQPCPAELHVAMCYALSEHAFL GSSALSPPLNPLRKRRTGQIFQLLQRGIQNDGATTVDSVAIGALALKMERLLYRSGNT IVGEDEEAAWPPEVLDMKLRQLVLRILQSKSAASFAPNNYTLDTIALR H257_08972 MASPNHDAVVLKPIQHSKRTDQIVRLLHRHRYNFTSGKALINLA LKIERLLVHTFPHDAPIVLSSDELAVKVSRIITKVLQLRRNRQQRRRSFTIASMLNPV DGTTTGTIE H257_08973 MQRHILFLTIETCIIITKTILITRSLFNSFPMTYQPSTTTPHLP QLQVALRQQRRQLRMTQIIQMFRRGPHSHQWSQMNALELAALAIKLERLVYRGLPDNI GLLSDDALEVRMRRVINLILNASKKPNYHPTTPSNSRASVMAA H257_08974 MVALSSLQQAVACLPMKPLKHTKRTDQIMRQLQHTYSKQFKFSN GKAFITLALKIEGMLYREFPNDANVVLSSSALARRLQHVVVKVLKLQQQPKDMAATTC ASLAAPCASPPRSFTIASMLS H257_08975 MCQAPNHIKVPQGPQLTMQYQHRRQRRLAQIVRMLRTSSQPTPT TPCPLNKLAFAALSIKLERLIYRVLPANTGILSDELLELRMRRVIHHILDAKNVPVPL GMPPSEPTGVVVML H257_08976 MNSSSALPSLSKQDKDRITTIALAAAHELIRHANVDASNDHIQW TLQRHQADLDMYEGVTVPSPAKKSPTLGLFCSVTHVSATLDEVIGLQNSPTTLSQRDV VAVPLYTILPQAHLQQQQSPQAHTMTVDRLIVQSIRFNSVLDRLIIRPRHAIVLECDA SFDAGGGKRGWLRVQKSLELPQVQHYYAGRGCVFDTNMHLDQRPSGQVYVEASRSIRR KPCSTVVATSMSHCDFGGRVLEWVVATSLKQRCRQPLVHLDRRLREQRLSRGPWVQIE HCVPLKSKRACFRCHKKFRVLAGNCRAHCRKCGQVVCSNCIRTWSVYVHGAVQTMPAC TVCSVRPWSQLASNSVLGSSPGCSLEYIDSVVHRRSVSSDSRTDTFCSNRIGTPLQSC LCHDLCTPS H257_08977 MIRTWWRGVRQESARTAATRHWSRVMGCSYSTEAATDITKIRNI GILAHIDAGKTTTTERMLFYSGAIKRMGEVHDGDTTMDFMPQERERGITIGSAAISFP WKGHHINLIDTPGHVDFTIEVERAVRVLDGAVAVFDGVAGVEAQTETVWEQADRYKVP RIAFINKLDREGASFDRTVGMIETRFNVTVLAIQLPMGENADFHGVVDLLTMEMLTWS DTEGQTIRRLPLVPGASNESMQQLYNNASAARQSLIEKASDFDEILADLFLSEEPIDA ATLQAALRRITVAKPDDHPKAVVALCGSALKNKGVQPLLDAVIDYLPSPVDPSCSPFE TSVIQRDKSVRSLAVDATASGPLSVLAFKVKHDRQRGPIVFFRVYSGTLHAKAQLLNT TRNTKERLTRLMLVAADDSDEVDQIQAGHIGAAVGLKHTFTGDTLVAANASVKTILPG VGIPAPVFTCSIESDSQSRQKELDDALGHLQREDPSFVVTQDQETGQTLMSGMGELHL EILQDRLRTEFKLDPSIGHMRVAYRETVGSAIAHEFTYDTVLGSDRQFAKIAFKLTPR DVASSKDDGANHIRHVQPAADTIRTTSLPFAFVQAMEDGLQSALGRGHTGHRLAYLDI ELDIGNCAFDSDSSANSFRAAAALGLREALDKAGSVPLEPIMLLNVRSPDRCVGDILS DLNSHRRAAIQQVGQCSSLRVGRSRIEAHVPLAHMVGYATSLRSKTQGEADFSMHFLK YSPVV H257_08977 MIRTWWRGVRQESARTAATRHWSRVMGCSYSTEAATDITKIRNI GILAHIDAGKTTTTERMLFYSGAIKRMGEVHDGDTTMDFMPQERERGITIGSAAISFP WKGHHINLIDTPGHVDFTIEVERAVRVLDGAVAVFDGVAGVEAQTETVWEQADRYKVP RIAFINKLDREGASFDRTVGMIETRFNVTVLAIQLPMGENADFHGVVDLLTMEMLTWS DTEGQTIRRLPLVPGASNESMQQLYNNASAARQSLIEKASDFDEILADLFLSEEPIDA ATLQAALRRITVAKPDDHPKAVVALCGSALKNKGVQPLLDAVIDYLPSPVDPSCSPFE TSVIQRDKSVRSLAVDATASGPLSVLAFKVKHDRQRGPIVFFRVYSGTLHAKAQLLNT TRNTKERLTRLMLVAADDSDEVDQIQAGHIGAAVGLKHTFTGDTLVAANASVKTILPG VGIPAPVFTCSIESDSQSRQKELDDALGHLQREDPSFVVTQDQETGQTLMSGMGELHL EILQDRLRTEFKLDPSIGHMRVAYRETVGSAIAHEFTYDTVLGSDRQFAKIAFKLTPR DVASSKDDGANHIRHVQPAADTIRTTSLPFAFVQAMEDGLQSALGRGHTGHRLAYLDI ELDIGNCAFDSDSSANSFRAAAALGLREALDKAGSVPLEPIMLLNVRSPDRCVGDILS DLNSHRRAAIQQVRENTTPMTSHARMQNLVVVAIVVVCMGGWVHNLMGVFRSIYSEVG KNSQEMHSNIYINVCMEWTYGWNRATMK H257_08977 MIRTWWRGVRQESARTAATRHWSRVMGCSYSTEAATDITKIRNI GILAHIDAGKTTTTERMLFYSGAIKRMGEVHDGDTTMDFMPQERERGITIGSAAISFP WKGHHINLIDTPGHVDFTIEVERAVRVLDGAVAVFDGVAGVEAQTETVWEQADRYKVP RIAFINKLDREGASFDRTVGMIETRFNVTVLAIQLPMGENADFHGVVDLLTMEMLTWS DTEGQTIRRLPLVPGASNESMQQLYNNASAARQSLIEKASDFDEILADLFLSEEPIDA ATLQAALRRITVAKPDDHPKAVVALCGSALKNKGVQPLLDAVIDYLPSPVDPSCSPFE TSVIQRDKSVRSLAVDATASGPLSVLAFKVKHDRQRGPIVFFRVYSGTLHAKAQLLNT TRNTKERLTRLMLVAADDSDEVDQIQAGHIGAAVGLKHTFTGDTLVAANASVKTILPG VGIPAPVFTCSIESDSQSRQKELDDALGHLQREDPSFVVTQDQETGQTLMSGMGELHL EILQDRLRTEFKLDPSIGHMRVAYRETVGSAIAHEFTYDTVLGSDRQFAKIAFKLTPR DVASSKDDGANHIRHVQPAADTIRTTSLPFAFVQAMEDGLQVIILYIYI H257_08978 MRWPVVWCVTLSLLDQIWSAMDLCGSCSGHGTCTSATRICNCMR GYQGHQCELRSCPLGIAWADYAVANDSAHQLATCSNMGLCDTTTGLCTCNAGFEGPAC EVMSCPTCVYGRCVTMREAAIAQDDYNFFTATTYALWDADKVRGCQCNYGFEGYDCSL RKCPIGDDPRTMGQPAEVQQLSCLCNGCTGSFALSYQGFYTSNILPSATPATLAAALN ALLPIRGVSVTLSGVGSSICDSDGAVTSITFTYNGSKVPPLRVTSMLSGGPSESAVSV QYGGATGLYDGVPATVVRTTLAYPCSSRGQCDSSSGLCTCLPGYGDGDGAGQAGTIAN CGFGSANTCPVVNALTCNNQGVCNPGTLYKCICSAGFTGVDCTLMICPSGVAWFDEAS APDTAHAPAICSNKGTCNYASGLCICQAGFSGPACEIMDCPGGLTPCSGHGTCATMQQ LASLSKGSNGVLQGLSYGNTPTPSTWDSNKIQGCVCSENVYMGPYVAEMNNYQAYDCS ERTCVKGSDPFERNTVDEQQTVTCFGDGGTFTLSFRQFTTAPIATSATSSAVVIALQA LPSVRSVQVSFSSGSSVCSVTGVVSTVRFTYAKGALPLMTATTTSLTRSSGGAPGITV GRTVTGSKSNAECSRRGLCHRDTGRCACYDGFLSSDGDGNIGIRADCGYSSMAK H257_08978 MRWPVVWCVTLSLLDQIWSAMDLCGSCSGHGTCTSATRICNCMR GYQGHQCELRSCPLGIAWADYAVANDSAHQLATCSNMGLCDTTTGLCTCNAGFEGPAC EVMSCPTCVYGRCVTMREAAIAQDDYNFFTATTYALWDADKVRGCQCNYGFEGYDCSL RKCPIGDDPRTMGQPAEVQQLSCLCNGCTGSFALSYQGFYTSNILPSATPATLAAALN ALLPIRGVSVTLSGVGSSICDSDGAVTSITFTYNGSKVPPLRVTSMLSGGPSESAVSV QYGGATGLYDGVPATVVRTTLAYPCSSRGQCDSSSGLCTCLPGYGDGDGAGQAGTIAN CGFGSANTCPVVNALTCNNQGVCNPGTLYKCICSAGFTGVDCTLMICPSGVAWFDEAS APDTAHAPAICSNKGTCNYASGLCICQAGFSGPACEIMDCPGGLTPCSGHGTCATMQQ LASLSKGSNGVLQGLSYGNTPTPSTWDSNKIQGCVCSENVYMGPYVAEMNNYQAYDCS ERKSNLEECPSQSIYTLGI H257_08979 MVSTIWVLRVWTAVQLLGVVAGDMCDTCNGNGVCDIVTRICQCK AGYRGNRCEFKSCPSGAAWADFAVATDTAHSPAVCSNMGICDDVTGTCICQAGFEGPA CEVMSCPTCVYGRCVTMREAAATQDDYNFFTATTYSLWDADKVRGCQCDYGFEGYDCS LRKCPVGDDPLTTGQVPQVQQLSCKCTGCTGSFVLSFQGFYTVNIAPTATASTLAAAI NNLVPLHGVTVTLSGAGSTVCDTDGAVSSITFTHDGGNWPALQVTSLFTGGTSDISVQ SGGATGLFDGVPATVVGTTESAVCSNRGRCDSGTGLCQCSPGFSSSNGAGSAGTIPNC GFGTTTICPTAAANGLTCNNQGMCNAGTAYKCVCNNGFTGIDCALRACPTGAAWFDGA TATNTAHAVATCSNKGMCNTATGICTCPSGYAGAACELLACPGAVNVCSGRGRCKTMQ QLANSAASNGNLLGVTYGNTPNLVATWDYNKIQGCDCGEHYYMGPSIGQLDDFVAYDC SARSCPFGADPYETGKVDEQHTVTCTADGGSFTLTFRQFTTAAILSTATAAAVQAALE ALPTVYSALVTSASSTVCSSSGAVSTVQFTSTQGPLPLLSSTVASLTLTGGGSPTVTV ARTVPGTKANVECSRRGICNRDKGVCVCYDGFYSSDGNGNVGTRGDCGYLSPYYDMSK VIARPLTEI H257_08979 MVSTIWVLRVWTAVQLLGVVAGDMCDTCNGNGVCDIVTRICQCK AGYRGNRCEFKSCPSGAAWADFAVATDTAHSPAVCSNMGICDDVTGTCICQAGFEGPA CEVMSCPTCVYGRCVTMREAAATQDDYNFFTATTYSLWDADKVRGCQCDYGFEGYDCS LRKCPVGDDPLTTGQVPQVQQLSCKCTGCTGSFVLSFQGFYTVNIAPTATASTLAAAI NNLVPLHGVTVTLSGAGSTVCDTDGAVSSITFTHDGGNWPALQVTSLFTGGTSDISVQ SGGATGLFDGVPATVVGTTESAVCSNRGRCDSGTGLCQCSPGFSSSNGAGSAGTIPNC GFGTTTICPSACPTGAAWFDGATATNTAHAVATCSNKGMCNTATGICTCPSGYAGAAC ELLACPGAVNVCSGRGRCKTMQQLANSAASNGNLLGVTYGNTPNLVATWDYNKIQGCD CGEHYYMGPSIGQLDDFVAYDCSARSCPFGADPYETGKVDEQHTVTCTADGGSFTLTF RQFTTAAILSTATAAAVQAALEALPTVYSALVTSASSTVCSSSGAVSTVQFTSTQGPL PLLSSTVASLTLTGGGSPTVTVARTVPGTKANVECSRRGICNRDKGVCVCYDGFYSSD GNGNVGTRGDCGYLSPYYDMSKVIARPLTEI H257_08979 MVSTIWVLRVWTAVQLLGVVAGDMCDTCNGNGVCDIVTRICQCK AGYRGNRCEFKSCPSGAAWADFAVATDTAHSPAVCSNMGICDDVTGTCICQAGFEGPA CEVMSCPTCVYGRCVTMREAAATQDDYNFFTATTYSLWDADKVRGCQCDYGFEGYDCS LRKCPVGDDPLTTGQVPQVQQLSCKCTGCTGSFVLSFQGFYTVNIAPTATASTLAAAI NNLVPLHGVTVTLSGAGSTVCDTDGAVSSITFTHDGGNWPALQVTSLFTGGTSDISVQ SGGATGLFDGVPATVVGTTESAVCSNRGRCDSGTGLCQCSPGFSSSNGAGSAGTIPNC GFGTTTICPTAAANGLTCNNQGMCNAGTAYKCVCNNGFTGIDCALRACPTGAAWFDGA TATNTAHAVATCSNKGMCNTATGICTCPSGYAGAACELLACPGAVNVCSGRGRCKTMQ QLANSAASNGNLLGVTYGNTPNLVATWDYNKIQGCDCGEHYYMGPSIGQLDDFVAYDC SARSCPFGADPYETGKVDEQHTVTCTADGGSFTLTFRQFTTAAILSTATAAAVQAALE ALPTVYSALVTSASSTVCSSSGAVSTVQFTSTQGPLPLLSSTVASLTLTGGGSPTVTV ARTVPGTKANVECSRRGICKIQVLPKPMSRSRQGRVCMLRRILQL H257_08979 MVSTIWVLRVWTAVQLLGVVAGDMCDTCNGNGVCDIVTRICQCK AGYRGNRCEFKSCPSGAAWADFAVATDTAHSPAVCSNMGICDDVTGTCICQAGFEGPA CEVMSCPTCVYGRCVTMREAAATQDDYNFFTATTYSLWDADKVRGCQCDYGFEGYDCS LRKCPVGDDPLTTGQVPQVQQLSCKCTGCTGSFVLSFQGFYTVNIAPTATASTLAAAI NNLVPLHGVTVTLSGAGSTVCDTDGAVSSITFTHDGGNWPALQVTSLFTGGTSDISVQ SGGATGLFDGVPATVVGTTESAVCSNRGRCDSGTGLCQCSPGFSSSNGAGSAGTIPNC GFGTTTICPSACPTGAAWFDGATATNTAHAVATCSNKGMCNTATGICTCPSGYAGAAC ELLACPGAVNVCSGRGRCKTMQQLANSAASNGNLLGVTYGNTPNLVATWDYNKIQGCD CGEHYYMGPSIGQLDDFVAYDCSARSCPFGADPYETGKVDEQHTVTCTADGGSFTLTF RQFTTAAILSTATAAAVQAALEALPTVYSALVTSASSTVCSSSGAVSTVQFTSTQGPL PLLSSTVASLTLTGGGSPTVTVARTVPGTKANVECSRRGICKIQVLPKPMSRSRQGRV CMLRRILQL H257_08979 MSGETHSFPLVSCPTCVYGRCVTMREAAATQDDYNFFTATTYSL WDADKVRGCQCDYGFEGYDCSLRKCPVGDDPLTTGQVPQVQQLSCKCTGCTGSFVLSF QGFYTVNIAPTATASTLAAAINNLVPLHGVTVTLSGAGSTVCDTDGAVSSITFTHDGG NWPALQVTSLFTGGTSDISVQSGGATGLFDGVPATVVGTTESAVCSNRGRCDSGTGLC QCSPGFSSSNGAGSAGTIPNCGFGTTTICPTAAANGLTCNNQGMCNAGTAYKCVCNNG FTGIDCALRACPTGAAWFDGATATNTAHAVATCSNKGMCNTATGICTCPSGYAGAACE LLACPGAVNVCSGRGRCKTMQQLANSAASNGNLLGVTYGNTPNLVATWDYNKIQGCDC GEHYYMGPSIGQLDDFVAYDCSARSCPFGADPYETGKVDEQHTVTCTADGGSFTLTFR QFTTAAILSTATAAAVQAALEALPTVYSALVTSASSTVCSSSGAVSTVQFTSTQGPLP LLSSTVASLTLTGGGSPTVTVARTVPGTKANVECSRRGICNRDKGVCVCYDGFYSSDG NGNVGTRGDCGYLSPYYDMSKVIARPLTEI H257_08980 MPPTDVVEGVPLLVVKPHAASLDKLVQSKSPTSTNTNNQGSVFS SYVGILCAMLGAGIMTLPSTISAMTPAFGVGLLLVTGFLAFASLRCLCLSADVTGLYS YEHLSTRFFPPILQWMLRVLTLVPCFGACVMYMIVAMDMLLPFVGISRPLLCAAYAVL AYPLCLLDSFHALQYSNTVVIFCIFYITGVLVHHAWGRPWPDQSTLPITSVTWAGLAY AIPIQTFSFCCHFNYMRVYGELQHKPLVSTITWLVIGSAFLIYGTYSVAGYIVFQGLP PHDILTGFPISDASVTGVRLALAMCMWCKMPLAYQPIRDVAEVVCLPYLAPLTKWPFR VPFTGAFLLMAYGVAVTAEDLSVVMDWIGATDGILVAFVVPGLFLYAATKEYQDKLPS YASMLALSMACVGLVLTTFTVYRLVFE H257_08980 MPPTDVVEGVPLLVVKPHAASLDKLVQSKSPTSTNTNNQGSVFS SYVGILCAMLGAGIMTLPSTISAMTPAFGVGLLLVTGFLAFASLRCLCLSADVTGLYS YEHLSTRFFPPILQWMLRVLTLVPCFGACVMYMIVAMDMLLPFVGISRPLLCAAYAVL AYPLCLLDSFHALQYSNTVVIFCIFYITGVLVHHAWGRPWPDQSTLPITSVTWAGLAY AIPIQTFSFCCHFNYMRVYGELQHKPLVSTITWLVIGSAFLIYGTYSVAGYIVFQGLP PHDILTGFPISDASVTGVRLALAMCMWCKMPLAYQPIRDVAEVVCLPYLAPLTKWPFR VPFTGVHSIHHVFSLALTTTLMTRLSC H257_08981 MHVGDERPGCSLVFFLLVCAPTMAVKMSWSAQWAALGPILNDIL EDSWRTQLVQLIGPITGIIIAPAVGVHSDRNTSKYGQRRPYIFLSALSTILCWCVMAY LKVWFEDQPTVFGMLTILCYVWMDVTVNVLQTVTFLLISDVAGPRQVTGSAIAHMYGV LGQMVVSIYISLAKNPRNDPQPFFALLIAVMFVTVMPVCYFVRENPWEAMRRIAPGST FDHPAITSVYPAQTVGIWTAWYVGIKTLPGPLFVYWLAGLCLQYGYQSYNGVKTVFFG ENVMNGTQSSCRRGSSSCTAAQTRYHDGEDLSTGAADQFYNALAMFTLVFLPLLVQKF GVRRVVLFAFFPHCFILAMALYHPQAFAVIVVGLTAFGQICVFVLQVPLILHVVANFR DEKVLGLYLGAFNTATCLGQMLMFATAGLVNRFAASVRQGNVVAILIGGVFSVCALLL VFFKFHVKLHSW H257_08982 MFKARLVQRLQTTRGPLRLPNDVDLTSPGCSRCRRSGGSTEDSS KTSGSLRYQYVVAHPSWKRLRAVVGGRRVPFFDSQLQHIRHRRNRLFLDNGLSPIVEE MMYECEACHSNAFSSLEHTKHITLTRSKGNVQLHLRWATKPQCAALVC H257_08983 MGHSLTEVAATDLYERVIAEFGSNRPQDQVDRTLRHKPPEYFDV IAQRLRKRLALYTGVTTVDESSSLPLDPEDASTSPSADTQATASAAPFPSTNHPDDSN VPQMPLQLPSLLLIPNRQHPPFHVDLNAIGRPAPTMANPHQPSTDTTGMLPSLWDRSK APRRGRPKGRTAAPPSSASEPSMAILVPPPTSTTTSSSIPPPRGGLVGVYATTKFTPR TCKRQFALVAALVRLYEPWRPTSEWTTSSTTTPPSTPHEMSSATMVMVAMNNDTPEWA VATIVPDTDDDQQGGGVLVQLVESGTQSRVPRHHIFVGVDHFVAFSGTAVVQIKEQSA AAGVQEAIV H257_08984 MWKSLAYNKAIPEPKAVESTELVVSEVAPKDVPETVVGRLQKVL EYLNSIANHAAVTVPQIYQKTGIDLASPGEYEVCERVRNNPKVRIEGDKFAYKAKFDI KTRADVLRQLNRSPEGIPFRDLKDCYKNVEDDLRDLTRIGTVICIRNTEDGNDVYYPR GGQFLVELSGSGLVEHGCFIVATKEDITSEIRRGDAIKVGDQWFRVSAAPKTGGGSSQ PQTFMAGMTSKSVSSVRDLNLSASKKTRYHLNFDSGHVPLDTAFPDPRGNIPLLQRWD AAPKPGRGLTVPLLKHGCTNDVRQLWRDTLRDWPADRLSLERKLLQCGLMTRDMHEAN MHRSKMRKVHGGKGNKKVMRQRKQRDIKITNTHLVGTALGEALAKGGNDGFTLGSTQF DKA H257_08985 MAGRGGFTSMPYGQPRQSNMFGTAPTLQAGPSGAAQNETRGRSF GGGASSFSPFTHEGQGQYHNPYDINPASTSNPVPPPPSTSGGFHSMGGSNKRRGQGCL GGGQSYEVPRMKSPVSFTEPPNHGGNLYGHQPLPQTAQYHQPTQPMAPATYQQPQWQQ QPQHCNSGGYAAHQPPPLWNKFEGGACYNNNFEPQHYEAAMPSENVQQASSQRPHSSR STLGSAGAMAMLTSQDELSYNYTDNNQRQPTSYAPQHQQQQPHRPDIYHHMPSSAAPS PSKPSLTLQWSGTGSYGHSSTRVSRPPGGHSQFALG H257_08985 MPYGQPRQSNMFGTAPTLQAGPSGAAQNETRGRSFGGGASSFSP FTHEGQGQYHNPYDINPASTSNPVPPPPSTSGGFHSMGGSNKRRGQGCLGGGQSYEVP RMKSPVSFTEPPNHGGNLYGHQPLPQTAQYHQPTQPMAPATYQQPQWQQQPQHCNSGG YAAHQPPPLWNKFEGGACYNNNFEPQHYEAAMPSENVQQASSQRPHSSRSTLGSAGAM AMLTSQDELSYNYTDNNQRQPTSYAPQHQQQQPHRPDIYHHMPSSAAPSPSKPSLTLQ WSGTGSYGHSSTRVSRPPGGHSQFALG H257_08985 MAGRGGFTSMPYGQPRQSNMFGTAPTLQAGPSGAAQNETRGRSF GGGASSFSPFTHEGQGQYHNPYDINPASTSNPVPPPPSTSGGFHSMGGSNKRRGQGCL GGGQSYEVPRMKSPVSFTEPPNHGGNLYGHQPLPQTAQYHQPTQPMAPATYQQPQWQQ QPQHCNSGGYAAHQPPPLWNKFEGGACYNNNFEPQHYEAAMPSENVQQASSQRPHSSR STLGSAGAMAMLTSQVVLSIYQYMYRIVSSTQNFLWRLIE H257_08986 MDCPAEGFHIQEELQPTVREHDIKAETGPAPPRWSYLVLAVAVL AMSSGGIWFALLGTFGTPPLMMACWRLTLTSGLQLVGVVYELRTVNFDDAFWSRFRRA WLLLVTSGVALAIHFGSWGWSIARTTLLDSLLLVSSVPLLLVAIQTLRWLYRRFTSPA YVAPPQATMPPQNNTNDGDSYVAAPQESDPNESDSTAQSWLRLVFCPLNPHAPTWLEA LGALCGFFGVLVLLLMAPDTAGTSSSTAVTTVAGNLAALLGAFAIIPYLEVGASCRQF MPLFLYAFPVTFVAAVSLAVASLATESNTTVSTVGLTALFGFLGDTHRAGYALGAAAV SGIAGHTLMNLAVKYVSPLVVGVAVLWEPLLGSFLGYFANVQGPPDVLSLVATPLLLG GALLVTLGGRK H257_08987 MTTLSATSSTPMWAYAILVVAVVCMSSGGVWFALLTETPPLMQA CWRLLLTVALQAMGFAHEWLTNPILQDPAFWARLRRNGGLVVTIGLALGVHFGAWGWS VAHTSLLDSLLLVCSTPLLLVVILSLRWLYRRVVGGTPVVPRPPHAVCESQSSLLTTS ATSHDVDPSWFRTVICPFPALAPTALEVVGAVVGFSGVVLLLTTASSDQHDVHPVTLA GNAAALLGALAILVYLEGGSSCRKWMPLFAYALPVTAIAAFELAIASLVLEPSTTLLG VGPTALFGFLGDGRRFGVAFGAAAVSGMLGHTCANLAVKYVSPLLISVAVLWEPLLGG CIGYLVGVQAPPDVTAVVAAPLLLGGAFLVTLGARQTGPDHVVLTKQCDTDDEAEGER RGIL H257_08988 MLRTKATTPPASSTARVSRTSSLPSNNQTAAAVVDKKKPLSAPR DGSRARQCISRTDKDMSSPTGVTVGLKKGSSAAATASGPLARGSKQTLPGNNQLEKTT PTAKKLHGMPSAALNKHKQADPWSSKKKSKTNFGSSYQAGNIPCRINHGGIKNSLQWN TPPEELDYNPLLVTCCEGFLETDHPFVFLARQGFQDLMGANGADDKVRPLLGMLIPPI RGALMSSDDEVITVALQAIQSVSEAVGADMNVHLAKLIQQVHRKYTNKALKGPIDATL AALERNGGTEALRIIRTKIPTYVSLV H257_08988 MLRTKATTPPASSTARPLSAPRDGSRARQCISRTDKDMSSPTGV TVGLKKGSSAAATASGPLARGSKQTLPGNNQLEKTTPTAKKLHGMPSAALNKHKQADP WSSKKKSKTNFGSSYQAGNIPCRINHGGIKNSLQWNTPPEELDYNPLLVTCCEGFLET DHPFVFLARQGFQDLMGANGADDKVRPLLGMLIPPIRGALMSSDDEVITVALQAIQSV SEAVGADMNVHLAKLIQQVHRKYTNKALKGPIDATLAALERNGGTEALRIIRTKIPTY VSLV H257_08989 MISFVVAFELPECAPTCREGGTTGCYGCPDWGYAMDPFAVGLAD GTVILPTASREAAPVVAKSDGGLGGAGTDGGILTKATTGDSNTRPNVIPSDGNIPLPA RTLVVVILVIVLGHMLG H257_08990 MKFTSPALILAAVGAIAHSLGAVPSSNRLAEYNVGVPDQGNREI LIRVNVASTSVDEGDHLPTPAASTPSPDGFDQTFETPGTPESPKDSTTGVHRANQVGL LSSNATTSPPKSNASHPTTSSPHTTAMRSGAGAIGVTVAATVVTLTAFLTFA H257_08991 MGAQPGSMGNMMMGNNGQGGDISGAMGGGPSSGPMSNGNGMGNG IGNGMGNGMGNGGNQELEINVTVSTVPPKINTTNGTTTAPATTLATAAPATTAVRSGA STVTGAATAVFVLVVALGMATV H257_08992 MATANDVLPPGAVHVKYTEKKEGGKKEEGPGVILLRDALWMKTT SRKLSIAFKDIQDLQVNATSAGASKTARYMMRFRVRQTPMVMYILEFTTNDDLQRIKE QLSHAILTTMPPPPASHHPSFRGEGTSDAEQKQRQQLLQKHPNTLKRQYNDMVVGDLI SEHDFWNLPCRKQLLLTERAKRQKTGKTSEILSDVQGENQSGGKSVKYNLNPEIIHQI FVQYPVVYLAYQEQVPDKMTGLEFWGLFVKSKYAHRDKTSGLSTQQNQQHHLGHPEDL FTRYEEKYQAKLQQVGPTDLQHVDPLINLVASYSNDSVQSTTDTNLAKFNRHAADVLH VKGAAAAALDSIVLAVELDDLAPPQPEPVLPLSLENAARYFEHDNTLDTKSELLGHET TSSSNTKWQASQVALSRMVLSPLDLSAAFPRHARGILDDILKESDETNALDQANRQAD TRFISSNFKTQLTNHFHDVSELLRHYLSFKAKAMHEGTAEARTKLAKIKAKMGEKFEY LEHIRNKLPPAEKTTLAPLLTPFLDQLNIPFLDDDSKAF H257_08993 MSTWRVGDYEATSTVVQLAIQRMGGSAISATQVDHVTQYLAQQN WFTTAADLRVALHNPTQWNSLDIPGRLKLALEEILHEWDPPEEQVHDECPAGYTVQIP SPSILAERSTGDRHADDDGRWVCIRCSWENQYQDSFCVVCFEHYSVSVVLQAPVAPSA PDFDSIVCAMPVAEEINVHPDVYLPPYPPLNAPSLLPRPPLTIETRAVPAPLVNEMSQ HFKALAFNKPPSPKSKPTRNDDDEGDCRRSPQSVTRI H257_08994 MVASSAKVFTLEQVAAHNKESDCWIVIGRPGAKKVYDFTPFVND HPGGPELVLTQAGTDVNELFEDIGHTTDALALMDKMCIGTLYEAPVDPTKAANANIAG APSDNYFIYVCVVVVAAAAYLQY H257_08995 MLVVVEFGDDVANEKVYNVTKYLDAHPGGAEIVLDLVAKLATTP LKPSNTRCTPAKCYNVLDRHVEEAAQMSVNKASPSSGETCFWTCR H257_08996 MPSEFLQKQQNVPMMFRRHGDVTPKTKGHALGGHVPAHVYTRQL DIPAAEKVAPLIAFDPSKSKVPNFIALDKKVLRFEGYFLEAVHESNVENYRVRKCVVL YYLEDNTIQIIEPKIENSGILQGNFVKRHRIPKPESDDDGNMAYYTFLDINIGTQVTF YGRTFHIQTADPFTRTFLTSQGIHVPADQPTPRDAYTVIRHAHMARETGQDMDANYGK KQYPMKEFMEASLGKFARPPDHRRRFVQHDRHVLRWFALWDDTSKLYGTKHRYILHFF LADNTVEIRESYDRNSGCDPFPKLLNRSRLLKQPKFEGPFATALTHAAPPPEDDFFSW EHLAVGVTVTIYNRNILLLDADESTREWYLDHGIDVGTALVLPDMSEKPKPFVPPPYN GIGSEIDSLGSCYHLTPKPHRKSMEETDNKIILRFCAHMDTTKPEDVLRRFIVSFMIC DLSIMVMEPPQRNSGIGGGKFMERGIHKEPRRGTPFAKSDFYVGARIEVVGQGFILDN LDEYSAKYMEANPKDFPHADRDRVLRKLKDTWRPALWHEVDGDAELTEAQARRWLGDL DLVHHEVIALLRGPCASEDGKLDVAKLKAELAK H257_08997 MGAAHSNASHDQRTPGQQQQKHHHHPSSILFTTSDGSVGLTHEF VQQYVRHMPFEVKQPVLTRRHVRLIEANWTLISRGTSSAYDETRHGNPDKFFHRTYYS LLFAVMPSCRSIFRSSMHLQGKSLFAILRAMTSILHCPDIVDRMQALAGRHLTYGCEK TDYTTAGVTLLKTLEIVSGDQWNYDVKEAYLTAFCLLMYLMLPVIVHNPPAAVTDSVV ATITAIKVGQHSQHHHQQKATPTPHLVDHPKRKTRRITLTHAFPLRFLPGDGVILGIP VLDQPSQPQALDAPVSDEPSNPLLSTSRQSKKPPQQRTSMVKQYFPIASYRPHASNSL DIYVHDDTTGAHHPWFHSVESQTIGNADLRLYWVESDHHFEVDDVPKLPKSVLFVSYG LGLAPFMAMLQALHSVRQTYDGHVVVLHCAATLEDAEADLSLAASSVAEIRQWPQCSI QCGTPDAMLPLISLVPNPQQAQHLYVCGPPSFVDATQDTFTQAGGLAQHVHVSYYDMY NGMVDGERVPTPKHPSDGGDKLAGVWNRRRTNNGGPNRVVP H257_08998 MSMAVLLAITFAVLGIVVSGMSVVFFPNGRDSLTAPTRVSNTEG IAVQVGPNQAKTVQALVAYLSTVAALSYHGDRVFTLTGDTVMSFDQLVEHKHLYVTVP GEAFVAPLDDLVEDEEIVSFKSPIVLTFWNKFEVPVDVYWFDKHIYKLDANETQAFHA LHGDLFERLAPVIDRG H257_08999 MQSSLRRRVLVVGATGNLGRLVVKSLVRDDLTDVVALSRRAPPT SDSSVRWVQGDMLQPASLTQVLANVDTVISTANGYMKESIEADYLGNKNLVDAIASSP HVTRFVFLSITGCDHAPDVPHFHAKAVTEALVKATSIPYVFIRASAFLDQSPDWVADN VPKGRYLSIGDTTTPWSYTLSDDLADNLATAAATSDDAIANQTIDIGWQDGPKTNAQI QALVEQATNTKLTNVTVPWWILSTFKYPTKLLHALAYDIITMLLFFRTGKFVADTTNQ ARFLGPVPTSEEAVRRWAKKNHLGN H257_09000 MFRARKASSKKPAAATSKTRQATDDGDAARDDVDHAIPSIPSAS PSGGEDDNGDVDAIDAIRARLQQKQRKPPDFTSKKANKRPSVVASFDDDDDDGPSFRL KKGKIARVPVASATSAADQSPSDSASHSLYSTENLNALKAAQKHFVKAVDDDTLVPSP SPSPHPDSTKVNNHHLDVPIEEEETFIPLNPSSLPPDHSDTFHGSDRRHLEEASLDTD DLPHEGEDDDPGDMQWEADQLRRAGLVPPSRPAPLYSKTSSSHLSFHSLGHVITKLQS THATMVDQMDARARDVHRVDVELTQLASSIAALDDELAACGTTFDAMQTLWAYLTTLC HCLRAKDRALDRMSDSTRSESDSARSESTHQVWADVDDEFASLMAVLARFSQWKADPT TAASYESTYAALALAQLIVPYVRADLLSFNPWRHRWEDLAWVAVVRAFDSQSHSQNHS QGHSLAPSLESVGVDLVVQKAMEMMQHFDWHCQIHVEHALYLLQSVGGGLQDMMADAL AARALHTMRSGAVVATNPVFQKHLRMLRLPQTNALVATLCQEEFHRSTKCWEL H257_09001 MSASLFLRRVVAALLVCMALVCLGANDTKVVTVFNNGESVGGIQ VRLTPEHVPTGQHLAEYLSTLLDVEGMYTDETKTSSKAVADRVFTAIGKPIHSFDDIA PNDELYIVPTGLLFVWPFVKYGHRVTVQSAHSPTGKPIVLESYNDSPRVFLIHNFFTN EEADRLIERISEIDDDINKLKRSTVGHDDKGSESSVRTSENAFDAKSPEAISLMKRSF DLLNIGDFQENMADGLQLLRYKPKQAYIPHNDWFDIDSTSDFNWEPKAGGANRFATVF LYLSNVTRGGQTVFPLANMPPGVNHSAPPTDEELDLFEKGSWEHKMVKQCYSKLASYP RKTASVLFYHQKGTGELDHRAEHGGCPVLEGTKWAANLWVWNRNRNGPEGSPLKVDFV NTRDYPVKLFWANSHMSDLAPGHKINFNSFGKHIWTFRDVDGNDLFTHTLNYKDGLVQ VISLPPLPPSDATDESNHSEVPPQNDEL H257_09001 MSASLFLRRVVAALLVCMALVCLGANDTKVVTVFNNGESVGGIQ VRLTPEHVPTGQHLAEYLSTLLDVEGMYTDETKTSSKAVADRVFTAIGKPIHSFDDIA PNDELYIVPTGLLFVWPFVKYGHRVTVQSAHSPTGKPIVLESYNDSPRVFLIHNFFTN EEADRLIERISEIDDDINKLKRSTVGHDDKGSESSVRTSENAFDAKSPEAISLMKRSF DLLNIGDFQENMADGLQLLRYKPKQAYIPHNDWFDIDSTSDFNWEPKAGGANRFATVF LYLSNVTRGGQTVFPLANMPPGVNHSAPPTDEELDLFEKGSWEHKMVKQCYSKLASYP RKTASVLFYHQKGTGELDHRAEHGGCPVLEGTKWAANLWVWNRNRNGPEGSPLKVDFV NTRDYPVKLFWANSHMSDLAPGHKINFNSFGKHIWVILLFIYIYNLI H257_09003 MRSIPRIISSVLVALVYVHAVSVTVFPNGESTGGVPLDVLPEHV TSGQTLAAYLSTFVDVEGIIVDAKDSAKKIIADRVVTGKGVHVHAYDDIQDHDNLYLI PPDFHFVWPYVEFGHRVAIESTQSPTGKPIVLESFSESPRVFLLHDFFTSDQADELIE RTLSITTAEQKLKRSRVLTKEVSSVRTSDNAFDTTSDLAISLNKRSFDLLRLGEYKVS MADGLQLLRYQQKQAYIPHNDYFEVGSTVNSEWNFNPKTGGSNRFATVFMYLSNVTRG GQTVFVRANMPEGVGHAPPPSEAELAIFPQDSWEQKMTKQCFSKLASYPQKAHAVLFY SQKGNAELDPMAEHGGCPVLEGTKWGANLWVWNKKRLNGESGPIGATFVNEMDVPLEL HWQTNLMTTLAPHSSIQYTSYAGHQWSFHNPATGTVELELTLQDTVDDTQRFVVPASP SSTTQPIRPNDEL H257_09003 MRSIPRIISSVLVALVYVHAVSVTVFPNGESTGGVPLDVLPEHV TSGQTLAAYLSTFVDVEGIIVDAKDSAKKIIADRVVTGKGVHVHAYDDIQDHDNLYLI PPDFHFVWPYVEFGHRVAIESTQSPTGKPIVLESFSESPRVFLLHDFFTSDQADELIE RTLSITTAEQKLKRSRVLTKEVSSVRTSDNAFDTTSDLAISLNKRSFDLLRLGEYKVS MADGLQLLRYQQKQAYIPHNDYFEVGSTVNSEWNFNPKTGGSNRFATVFMYLSNVTRG GQTVFVRANMPEGVGHAPPPSEAELAIFPQDSWEQKMTKQCFSKLASYPQKAHAVLFY SQKGNAELDPMAEHGGCPVLEGTKWYVI H257_09004 MAAVPLPVLAELQKLRDEVARLRQEKGQGDSLVHLLQEQNSRSS EEIFDLHAQIVAVEAEAAYKCEQNELSMQHQLKRLVSHTTFLQEEKKSAERARQVLET QLKQLQTTAQLEHKRLEAGKRLLETSKRKLEAEKVHMSQQLFSQATPPPSSSSKRPRV DAAAAASRVSTSEKCFQTDPFTPLAVENSDLIGKLLQMSSDLTMLLQPQTPHSTPVTS NLDISDDLSQLMPQFSQWQPQMSSNVPPYHYHSEHFDSSSHLSKAAAAVHLTKAVAAM LAGTVSAVAMVAPLLQYLQPPIDATAVVCSALRVLYALLQCSPRLHHLFQRPPPPANQ RSFSRIVGQSPATTSALHSLRHRSTLCNDVPEELSKNVLFTLGRLVPLVTANPWTLQS MRIQTELFGVLSYLVGLYSQDTNNNSAALEHKSSKPTHPPLIDPPTHHGTAFHSVISP TCDLITTFLSDHQASTTSSNTSSTTDEGTMVDMSEKVVVQAVGVLCHVIAIPDCLPLV LPHLGKWWSLLFPLHSSNTLNQSSQSYWTVHVAVLELMQAITTLYPSHAWYMDHPEAV DGLWDFVKRRCKAAGFTALSLGSVQSSTRKDDVVKLALKMLAMEAVVSKRSVVGDGWF NQHLVADVIQQLQPTGDDKLVLRLLVPWMRVLPPK H257_09005 MVSAFATTLVAATMALAAATPGKWNCTDSYDGFIPVRIDDNGDV QCWSDNRRNCLIHSDEDSCFKLINNPKSTPPKKPLSCGCQHAEEFWSDGYTEWGDNYW CPRGKKVLNATPPLDRDCNAKPIWKCQD H257_09006 MVHFFGAHVTEAKPLTVTVPEGFVLNVVHASLASGPEAVVLSVE TTSLDQSLVKVVVGTLRSGTCDQIKLDLVLGAHKAKFSLQGHGVVDLSGYFQPGPPED STDEDDISRLSVDDLSALIQQAASRISKHNADELEGSDDEQVAAPIKSPSTAALPSKK RPRADAAAVKPLASTSAKQAHEPSSDDDGEDEDPNEATTAAPKNLVTPLHHKPEAASA HSDHLKKRNKKKKRKNYKPDVSSN H257_09006 MVHFFGAHVTEAKPLTVTVPEGFVLNVVHASLASGPEAVVLSVE TTSLDQSLVKVVVGTLRSGTCDQIKLDLVLGAHKAKFSLQGHGVVDLSGYFQPGPPED STDEDDISRLSVDDLSALIQQAASRISKHNADELEGSDDEQVAAPIKSPSTAALPSKK RPRADAAAVKPLASENGTAVTGFLCRCRAWSLRLVSVLSLSE H257_09007 MSSSSGLIKKNYLADQKAFMAHFHAQALIMSAFKSTLLQGALVF NSYVESLDLDDDDGNSDDDELLAKPATDDKPVFIPPTPYEFAIKVEHTFVRMVSNTTV VRSLEVLSLHFLDVRTAGKLMKDTTKSAVRKYARWNSTSVAAIRISKTAFRASILSNA AVFLVEELVDAFKTLFNLGSNKPDGGAFLTRLLLAARKFLQAVVGTTVGGALGTLVAP GKGTFIGAFVGESIGYSL H257_09008 MKLLLPTLAAMLVHQASATCLSTCNQHTLNAEEDLCTPYRDQLP RPTLYNFCVDAFRKGGQRACEEYCTNTGGADAVLGSLQAQSCDHLRTTRPKAALTICN KAFRTATTRAKAFVESGSIVEPPKQVAAAAAAPAPAAPVELKPKQDAKPDIKIEPKKK SPLEAARDEAKLAFDTDASRLGEL H257_09009 MPAATTLAGHAREVLRWIALLGVLHSTVASVAMDVCPCHLPLQV HEEYIVSFTTYDTLPALASRLDNVLATYESPVSASSSSLHRQIQRHNIPTDFVVWHLH DMSLSVIRWLRIQPGIKSIVRNRRLQLTSLTTTDPTAARQDTFTSSSASWNYMDDWDI SRLRAQNITGHGIKVAIFDTGVDPDHARRHFRHVEDIVNWTDEPLLRDSDGHGSFVAG IIASVHPACPGIAPDVRLVSFRVFTSTSASYTSWLLDALNFALFLDVDVLNFSFGGPD FADAPFVDKIHECAANGVVIVSAVGNHGPQYGSVTNPADQIDVLGVGGLGLSPSTIAR FSARGQTLWEINSGYGRVKPDAVAPSMFVRGLSGENTCRLMNGTSMAAPLASGMSALV LSRLTPSQRSTFGHVGFLKGLFLQTATRLPSLWNATMRSTDDENTWQHIYEQGAGRLD INQALAALPSAMANPTVVLFPSSLNLTDCPYMWPHCLQPLYHTRLPLVINITMFHPTA VTSHLVGTPKWTPSNAEDDYLAIQTSTGSDLFWPYSGAIGVHIRVTRSVPHPMTVSGH LTFFISDAPPVQLYVEIPLIPAPPQRQRVLWDQFRNVQYPSGYIPHDNLHQQVDPFDS QGDHPHTNFCDFFHRLLSHHRLFVEVLTTDYTCVGDWSLYGVLVITDPEDSWFASEVA LVDAAVRRHDGLSVVVIADWFNPGLVRHHMSFWDATTLSTWQPVTGGANVPAINTLLA GFGVGLSSHVWSNVELLYMSGSALIDLPDPSFVLYANLTQDTKKDRGVDTGLFKQDMK EMAIAAMVQIQGGGRIVVYGDSSCVDSSTVSQMEHGTEMARAQCEAMIDGLVEYALSG HLPQNAEPVPGLHVAEADAADSDHPFLAKYSRVLGHVPGCPNVALS H257_09010 MTTTESPPPRPPPDRSSKKTKLGFYADPRSPIASTPLKRPRTTP LNATSDGAHTDVVENVPSASSPTTEATTTRRLDMNVEDEEEEKEEVKSADEGDSNRTT ASDKQYTTADDDVDLFSPALKVDGRIKQNLHPHHPIPSTTTTAVAEHVPDSLVPGEPD AETPATSASPKDDESIVEVDFNPFYFMKTLPRYSDLHELVRPVALPPKTSTAHKLCLV LDLDETLVHCTIDDIPQADLKFPIEYDSHEYTVSVKRRPFMMEFLSQVSEWFEVVVFT ASQRVYAETLLDLVDPYHQFISHRLYRDHCLPVDGNYLKDLNVLGRDLAHVLLIDNSP HAFGYQVTNGVPIESWFTDESDSELLKLLPFLESLLHVDDVRPILAKQFQIQRLIDAA VLDDQ H257_09010 MTTTESPPPRPPPDRSSKKTKLGFYADPRSPIASTPLKRPRTTP LNATSDGAHTDVVENVPSASSPTTEATTTRRLDMNVEDEEEEKEEVKSADEGDSNRTT ASDKQYTTADDDVDLFSPALKVDGRIKQNLHPHHPIPSTTTTAVAEHVPDSLVPGEPD AETPATSASPKDDESIVEVDFNPFYFMKTLPRYSDLHELVRPVALPPKTSTAHKLCLV LDLDETLVHCTIDDIPQADLKFPIEYDSHEYTVSVKRRPFMMEFLSQVSEWFEVVVFT ASQRVYAETLLDLVDPYHQFIS H257_09011 MPSTCPSCTHVSQDPNATFCSKCGSTFNGAANLNNVVASPVASA YAVPVSPLLVAAPGQVLKPDGTCAHVSTDREMTCLGVCLASCTYESHDDSATFCSMCG NAYNANLVIVTPVATVYEAHPVAASPVAASPLLVTATGQVLKPDGTCAHVRADSDFTI CGICCGICFFPAGIICCLLMQERHCSHCRVVLP H257_09012 MFILVTCELTTSTGPTVVGDATSDVVLMESLGASLVQGKCQSYR HYVTPWAPRLVLDELNRQGFEFKGMSGIGQTLVWTFCRP H257_09013 MWTWVADYWCINVPLKSVEELDAETWLVLCPRFGGTYATWTVLR FSRWHVAVLSWLSFFMLGSIYSFQILAPPLERYFAPYTGLSGFYGSTLVLGVVAAIVG PFVERRGPRTGMLLGSVLVVGGLALSHIAVVARNQSLFTWSYSALVGGGYGVVLLTAM STLQKWFPDLRGFVSGLAIVSLGVGTGLWTKLSATLMHRSTNILDSVSNIDDEIGLTR VFLVQVWHFALQY H257_09014 MPDQDYRNVTSPGGNLPGKRRPTSIHEIEVSNPTKKDEMNTAVN AISILTEGICQPEPALLRLQVEQSNALMALVRATSSTQATTQVQVQALTVSVEEQKGK PDTDLLRELRNIQERETKERADWERTIDSRLASMTQNQAAWQREVELDRAATNAKHDD RAVHADKERLIELQSIRELISHLRSSNIPSISTATKTYTTPPTVTRKLFTNLPRVDNS GDSGDDEDDNDDGRSGESSQRGDDRDNRGRERNGKPHKDASGAGGDPDEPSDDESSEA DDIDAPPDSRRHRGANPRPRNPKLKDFKIQPLFDGKEKYPGLGSDFPNWLSIFEDAIA TNVTFYNTTWSDQHKFYALMQSLTGNAKAFAQDSKAKEPTQSYTIMSARLESNYSTHL TQTQLMTLMQKTKRWEST H257_09015 MPLTAAPHKQVYQFLVVNVGLPVMIYYVGREFTLVLALSAIPPS IAALVEMLASQTVDPLLVPGSEEVEKEYQEQQRKQPERRRINKASRPPKKKRIDHDKE ACSATECRILYTTLHTSSGKPHRITCMDIAFLVCWVKKQETYEDKLRANGNNECRVAT ECCGVPINSPQPSEGYMHLCVLYNQRRSGQPPSQKCRYIAGRWAFADILREQLDRTHA LPAEKQLWFKAWP H257_09016 MWTWVADYWCINVPLKSVEELDAETWLVMCPRFGGTYATWTVLR FSRWHVAVLSWLSFFMLGSIYSFQILAPPLERYFAPYTGLSGFYGSTLVLGVVAAIVG PFVERRGPRTGMLLGSVLVVGGLALSHIAVVARNQSLFTWSYSALVGGGYGVVLLTAM STLQKWFPDLRGFVSGLAIVSLGVGTGLWTKFVLTATTVPCDCVAITALLLFIVATMV MRTPPANFSVGGHDIHCVPVHTSSGQRMQHQHLQDEYFKVGMTLVNYSDHIANTDSNT DNVYFEHVRALSLVQCIASSDFMWLYVAFAANVAPIVLVVPSLFNIATTVLEASSEDA STFVRYLFLATSIGRFSGPAASDVLIRVCYANPAFAFGRKLMFGLLLTIQAVATGLLV VATHGNDSGSRFRWPAYVLGFALGGGFAIMPALVTDMFGVFNTGTMYGLLLTSWSMGA TGWGLVAATDVVSNESVASQLWVLLVVAIVGVVVLPLVRTNTMDRFYRGYQLTMCDTV VVQVPSRKMRLEK H257_09019 MPLTAAPHKQVYQFLVVNVGLPVVIYYVGREFTSEALALALSAI PPAIEALVQMLAFQTVDPLSVFQIVSISLAIAFMCVTNDPRLLFLKDSLTTALCGVAL ILSTTWKQNLVLRYYLTGVSDDSKQDTPHLAQQCAHICYVWGSLFIAEALVRVGFIYT CSTDVMVILSPCMALLCSAVGILWARRYLAAQNCCDGCSTVEMVQGVPYNPPPIKIA H257_09020 MATTTPPSPVNLLKPSSWMNLSPPPTHKCVQQFLIVNVVLPIAI YYAASMVVSDMPALAFSAIPPAVEALQQLVAYRLLDPISCTQVVSVVLAVGLMYWTNE PKVLLLQHSILTVSFGIALLVSIHWDENIFWRYYREFCGTTDDKRVMLMAQWRDPNVK ALTKTVSWFWGVGMLVEAVVRVGFVVLLPIKVMVILSPCLAFIFTIAVCSWTVWFAKT HGFHLVTNDKDTTATTANPSHTLYQTI H257_09021 MSSSPTSYAAVTTPLDVKINAVPTTIRKRVLHIAVNESSNQHGG PRTPSGASHFLYQGQDGTHEAIEVPADELVAIRDKLEKPKSLLGQWMATAVCGNDVMS SCSYSSGVVALQAGVASPAAFVVVSLVLYVYRFVYEEVVTAIPLNGGSYNVLLNTTSK RFAAVAACLSILCYMATAVVSATTAVNYLTVTVPETPLVETTIGLLAAFALLMIMGIK ESAVVATGIFGLHMITLSILVVVCLVFTIQHPEIIKNNYTNVTYPDVDFMGTQIQGSL GSALFFGFGAAVLGVTGFETSSNFVEEQQPGVFRKTMRNMWSISSFFNISLAVLCFGV LRMDGDDGIKANQHNVLAQMGLVAAGKWAQWLVVVDSFIVLSGAVLTAYVGINGLMRR LGSDRVVPAFFVSTNKWRGTCHWIVLVFFAVSTSLVLVLKADQTVVAGVYTYSFLALM FLFGSGCIMLKLKRQDIPRDVSAPWWYCGLGMTLVACGFLANLLGNPQTLMYFVSYLI MTLVVVFASLEQVFLLRVLVVLTNLVRKHPQNHDTPQSDGNVEVPPDVAAKQRPSSTP LENENANVSGLVSAIKAIQSAPVVFFVKDADLTTLNKAILYVRANELTHNLRFIHVYP AATVDALEVVDQLKDMIAMFDRVYPKIQLDFYSLVGKFEPATVEWVAQTYKIPTNMML IKQPTNSQVKAVSTHGVRVITG H257_09022 MTKCKALLGATHLKFVFPIQIKAKSDSDMTTAMPYILTGFKLLK GLSPAASRMKALGLPSLDAELLTNVQSKIDTDKQTSSQIHHHIRSCHILTTSNDTEKE KVEKVEKV H257_09023 MADLMLQGEFSKDPNKPFTTRVDEVAASFDTLVLECSDLYLALE KQDATQQAEAAESIKKKLEAFNSVCDELYKEIMRRKEKLVNANERVPGNNKSSDLHRQ IQTAGNLAQMLGEFRHFLETGKSDVQ H257_09024 MSPPSSPSDSLPLLQFRSAKVIHEPRGTFWTSVFALVGTMMGAG ALSLPSTMAQANVVPDIVLFLFMAVIAAAACNACVATSEHTGKHSFESMAAVMFGPWR QWFVRVLTLVLLFGIQAVFFVVSLDLLHPFVATYVSRLVLGAVLTAVTIPLCLVETMY ALRYTNAIVVGCMLYIFVVVGIRAAMVGSWPEQVTDVTTTSAKGLLYALPIQALSFGC QINSVRIYGELKDKTQMTHVNAWTMVLGFVLYVAFSVLGFICFQGFPPADILTGFPTD DWLVNSVRLVLGSCVILKIPLIFQPFMQVLEAIALPTSVSEGGDGRPFRVSATIVSLI GAFAMAVTFKDLSVLMGFVGATGDIMLNFAVPGNNILPCCSNGQILHIYYDRDVFDRS GDKDQRQTIKVAREFPANHGHCHGHFELDRVTRLIILATVHNHGKYLFSPRTTSHIQK SR H257_09024 MSPPSSPSDSLPLLQFRSAKVIHEPRGTFWTSVFALVGTMMGAG ALSLPSTMAQANVVPDIVLFLFMAVIAAAACNACVATSEHTGKHSFESMAAVMFGPWR QWFVRVLTLVLLFGIQAVFFVVSLDLLHPFVATYVSRLVLGAVLTAVTIPLCLVETMY ALRYTNAIVVGCMLYIFVVVGIRAAMVGSWPEQVTDVTTTSAKGLLYALPIQALSFGC QINSVRIYGELKDKTQMTHVNAWTMVLGFVLYVAFSVLGFICFQGFPPADILTGFPTD DWLVNSVRLVLGSCVILKIPLIFQPFMQVLEAIALPTSVSEGGDGRPFRVSATIVSLI GAFAMAVTFKDLSVLMGFVGATGDIMLNFAVPGMFLIEVGTKTNDRRSKWLGSFLLIT GIVMAILSLIGLLA H257_09024 MSPPSSPSDSLPLLQFRSAKVIHEPRGTFWTSVFALVGTMMGAG ALSLPSTMAQANVVPDIVLFLFMAVIAAAACNACVATSEHTGKHSFESMAAVMFGPWR QWFVRVLTLVLLFGIQAVFFVVSLDLLHPFVATYVSRLVLGAVLTAVTIPLCLVETMY ALRYTNAIVVGCMLYIFVVVGIRAAMVGSWPEQVTDVTTTSAKGLLYALPIQALSFGC QINSVRIYGELKDKTQMTHVNAWTMVLGISSRGYLNRIPHRRLACEQRSPCLGQLCDF ENPAHLSTVHAGIGSHCAAYVCVRRGRRTSVSSECDDRVADWSVCDGGDV H257_09024 MSPPSSPSDSLPLLQFRSAKVIHEPRGTFWTSVFALVGTMMGAG ALSLPSTMAQANVVPDIVLFLFMAVIAAAACNACVATSEHTGKHSFESMAAVMFGPWR QWFVRVLTLVLLFGIQAVFFVVSLDLLHPFVATYVSRLVLGAVLTAVTIPLCLVETMY ALRYTNAIVVGCMLYIFVVVGIRAAMVGSWPEQVTDVTTTSAKGLLYALPIQALSFGC QINSVRIYGELKDKTQMTHVNAWTMVLGISSRGYLNRIPHRRLACEQRSPCLGQLCDF ENPAHLSTVHAGIGSHCAAYVCVRRGRRTSVSSECDDRVADWSVCDGGDV H257_09025 MSAAKEADGLPLLQFRSAKVIHVPQGTFWTSVFALVGTMLGAGT LSLPSTMTQANVVPDVLLFVFMATFNFVALNACASVAEYTGKGSFESMGAALFGPWRQ WFVRVLTLVLLFGIQAVFFVVSLDMLHPLVASYVSRLALGAVLTAGTIPLCLLETVYA LRYTNAIIIASMLYIFAVVGVRAAMVGSWPDHVTDVTTTSAKGLMYALPIQALSFGCQ INSVRIYSELKDKTQMTTVNASSMVLGFILYVLFTFAGFICFQGFPPADILTGFPTDD WLVNSIRLVLGPSVLLKVPLIFQPYMQALEGLMFASAASSSSNTSSTPLSPFRQNRLR VVMTVVSLCGAFAMAITFKDLSVIMGFVGGVGDLSINFAVPGLFMLEMGSRTHNNGTK WLGIALFTSGVGMAILSLVGLLA H257_09025 MSAAKEADGLPLLQFRSAKVIHVPQGTFWTSVFALVGTMLGAGT LSLPSTMTQANVVPDVLLFVFMATFNFVALNACASVAEYTGKGSFESMGAALFGPWRQ WFVRVLTLVLLFGIQAVFFVVSLDMLHPLVASYVSRLALGAVLTAGTIPLCLLETVYA LRYTNAIIIASMLYIFAVVGVRAAMVGSWPDHVTDVTTTSAKGLMYALPIQALSFGCQ INSVRIYSELKDKTQMTTVNASRFICFQGFPPADILTGFPTDDWLVNSIRLVLGPSVL LKVPLIFQPYMQALEGLMFASAASSSSNTSSTPLSPFRQNRLRVVMTVVSLCGAFAMA ITFKDLSVIMGFVGGVGDLSINFAVPGLFMLEMGSRTHNNGTKWLGIALFTSGVGMAI LSLVGLLA H257_09026 MAAKQGKVFSGEDDESDEEEDEYDAPSWSTAPASNPVAMAPSIN VSLPPSIKDVTPTNTHVPDTLPDDGDVATLSNASIHDDLAASSSFPVHVRPSPAPSIL PEGPPSSAHDDMWTSRLKGEWTEETGMHKMGLAGASHLHSVLEGTLHQITELAESQEH LLSLVTERNVHLVTHPALMVVEQTMAQLPSYFQKVIQLKLKMNDITTTLEKLKSRADH LQVEAQSRALAKEDRNDKQCQWQRLFSASKSPPNDSATPPTTTE H257_09027 MEGGAGGELSERFQSLEEDYIDCKRTINNSIHDLSSSDQRHVAS QMAQANIVEAQRCMKLMSVELRGKVPAMRKAMQAKINVYRDELQGLQRDFDRALLLSK QNASASSSSSSANGVAQYDRLIANTDRLQRASDQLEQSKRIVQETEHIGISVMDTLAQ QRETLLSAHDKVKDTRETAGDARRVLQRMSQRVLTHKLTLWFVIVVLVVAIALVFYHN FIRRA H257_09028 MSAAAFDALIAKTSSIAVPTLCTGYVYNQHEKNSIIWKKRYCVL QENSLYIFHYDNAEAATQGELKGKIPYSSVHDWEGKPHGFQFYTPTNKCYKVYTDTEE EKLKWVSTMQKQLDDAPDVPDGEQVPYARFGASSPVGSPRQGGGHPSSLNRSNRSDSW RGEEVDVPTMLLELDDLRSEVSTLRSELVLFQKAEKHGEQRRPGGGRNRRGSVLDDGT AIALEPRELERMKQIFSLFDQDSSGRVNAADLKELHRRLGEPITDEEANEALAFMSPV DGTVDFISFMKWWNEDHKQEASAAIQRYQAKFKFLKARVANPAIGNIETEMTGPCPSL DFRVNFVYHNRDGSKVRISPWHDIPLYNSDGSVNFVCEIPKWTRKKFEIATGEPFNPI KQDTKNGKLREYGWGDMMFNYGALPQTWEDPDHVTEGTGCGGDNDPLDVIEIGTKQWH VGSIVQVKILGVLALIDDNETDWKVLAINVEDHYASKIHDVADIEAHMPGCISAIHDW LRDYKLPHVNVFGYDGKCMNRDFAESVVAETHEFWKLLVDERGHAATV H257_09029 MGSFEARILAEIREFMVSTVQRRPYSSLGIMPPAAAAAETKPLL YTEFPALHDDEVVVPQDGTIVTSYLGLMSTMAGACILTLPSTMQMTPVLPSSFLLVAM AGLAYAGCVCICIACDGSGATSFESLAKRLRHPIQLWIVRGLVMLLLFGAVVMYVVIA TDMLQPFLPFLSRFVIGGLFAGICLPLCLPDTVAALKYTNSVVVCCVLYIVVVLVFQA VSQENAWPSEPVSPVTFRGVAYTMPIQALSYCMHLNIPQVYSELPHKPSMSIVFALLF GSGVALYLTAALAGYACFHGFPPSDILTGFAADSPTINGVRLALGVCMLCKTPITYQP LREVMEDMAGGLFPKMAFRLAATSVFLVASWILAVTANDLSYVMDWVGATAGVLLSFA FPGLFLWEMLDQDYHQQAYSTSQIRWYKVLAGFMVITGVFLSAVSVARMIWFY H257_09030 MTRVIPVIKAKFPSASKRVVLQHDNATPHGGLTDADVTSVSTDG WSFVVRCQPSNSPDLNVLDLGFFASIQTLQYKLVSRSLGDVIYATYAAFQLSGGDTLE KVFLSLQAVMRLVLENNGGNHFRLPHMRKDALRRSRALMSNVSLD H257_09031 MLAAVCRRGQRQSVLRTTSWLQASSRALLSSEATTAKPMIKPLY SIEKQKQLLQVVQAVHKESGRPKVISIKTLFRIPSSDKYPLALQGIRFPVSELRKEKR EGRLDPDIVAALDAIGFVWNADQFQWSQTQLALTKYKELHGNLLIQSHFNVPKDDPVW PTELWSKKLGVVVARIRAHKTTLPPEKKQWLDSTGFVWDAAELHWKSNLAALETFKAI HDHLLVPSEFVVPADDPQWPVEMWGLKLGKLISRLRSTTTSLPPHRLDVLNSLGFVWR VNARVVHRSPPANFSLKEQQHILQVARFQLSQQRHTKFVYLPGRFRVPDQAPWPLHLH KVKPLDVSLFRRAKIQGSLEPSIVQALDAMHFVWNGLEHQWSLNMEALGIYKAQYQDL LIPVDFVVPQEDPQWPVYLWGKKLGMVVHNLRGREAKLMPERRQALYAMGFEWDANQA QWQLNLAALKTFKQVYGHVKILREFVVPSEGGYWPSRFWGFRLGTYVNSLRMRVDTLP SEQRHALDEMGFVWKPLVDQWNNRNLLALKTYKRIYCHMKIPMNFVVPDQDPKWPPQL WNMKLGQLVANIQDRHHGYPASRLDQLHQLGLVL H257_09032 MEPSVAHPPPPRGRVNSRLMQASANLQAVNKPFDDPSLRQPMSP SPTRQSPTLKRKQPIYAAPPAASTSNTASCCASSTTSFNDTGPVLVHVSKTHLHAVYG GTMGFYTIWLAVPCESTVVIHLLVQNDRTRSVRLSASRICFTPTTYGLPQAVGVQAVD VTRDDDICIQHRIFSQDERFDQLDVHPIHVTVFGNEAAFVWSFGGDAILQDVTTNTFA RRTPLLIPGMKEPPAPSGHDPSTLLVPSPASSSASHPPRDVYFSSLACGENFTVVASS QSCVAFAFGQGTDGELGNHASFSTKTPCLLPSHLFATPQERPSIVSLSCGKHHVAVAT RAGQLFTWGSGRFGQLGHCNYLDINAPKPVQFDKADTANAMLMDGTIVVSVACGGFHT LAITDVQHVLAFGHNKAGQLGFGHRQSRTEHGWRSCVPSRVESLVDHAIHQVAAGVHH SACISTHGDLFTWGCGVDGRLGHNSSVTFSVPTLVVAVQLLQILPKMVRCGGRHTALI SDGDVLYSWGANDFGQLGVGDVRSRLSPTLVTFPTASPILEVSLGHFHSAAINALGDV WTWGYDINGGLGMESDGSVHLKPVQVTALAGYGAVQIQCGWTHTTVLTKRHHPIKRPT PPGSRRGGGSTNQLDVAGGGGGEGGPLTSTTTRPITSRPTRQKQPPTTPPTTHDDLTV VQPPPNTLQTLHKRMLMQRLRRPQSAAAHPRARIVPADSTEAHGNSRRPVHISTPHPY LRKKPPHPPKAAKPPRPQTARPADRQPTKQVVQKLHGGKVERPKTLPRRPRSALHQRV FTRQAPVMPMTTPRQYHPPPPKQQLPIEERPVDDVNNKMAPPPTMSLEQWTFLTNSSS NTSGPTMSSLSTDDISSREAAPSKHGQTRPKSAAVVRSSPLPLALTLEMERERKRVME IGESVLKETQHQPHRRRLWLR H257_09033 MEAPPTTTTPENEAAPAAAHEFYLVVSNVMGRKNLGTYLRTGTA FGVKQVLVVGSDRFGTHGGHNAHKYVDVVTFHTCDDVHMYLTSRGCRILGLHSSTTLN NTPSSTCLDKDTEFAPCTAFVVGNEGGDLSLDQRRICDGFVHISHYSPRRSSPTNAPF EVDLTVQVGVLLHRFTTWSGQYTERSMQDTTTRGKFALASRAPRQKAVDLSVTRGRQA NKEAVEARMDDDLDLNWFESNADNHGVY H257_09034 MIKPLYSIEKQKQLLQVVQAVHEEAGRPKVISIKTLFRIPSSDK YPLALQGIRFPVSELRKEKREGRLDPDIVAALDAIGFVWNADQFQWSQTQLALTKYKE LHGNLLVQSHFNVPKDDPVWPTELWSKKLGVVVARIRAHKTTLPPEKKQWLDSTGFVW DAAELHWKSNLAALETFKAIHDHLSCLRNLSCQQTTRNGPSRCGDSNLGNWLDVLNSL GFVWLVYARGVGHSPPANFSLKEQQQILQVVQVQRSQQRHTKFVYLPSQFRVPDQAPW PLHLHKVKLNISKFRRANIQGNVEPSVVQALDAMHFVWNGLEHQWSLNMEALGIYKAQ YQDLLIPKLGMVVENLRARKAKLMPQRRQALNAMGFVWNANEAQWQLNLAALETFKQV HGHVNALRDCVVPSGGGWWPSRFWGLPLGYVAKSLRKRVHRLSNKRRHALDELGFVWK PLEDQWNNRNLLALKTFKRIYCHMKIPMKFIYTVSQPPT H257_09035 MSTHNDGKASPSTPMTAPPSHSTVIHMPSKSTYTPLLERSDSTA STSQVNQRVLVSAVANFSTAYNLAIIGSVVPLVTETNPSLDTSSIPAITACSLVGAIV GQLIFGYIGDVLGRKKGMILTLLLTVIGAVASAVLPWSSSIYSTLAVCRFVLGIGVGG VYPLSAAAAAEGGTDPVLNNKRVAAVFSFQGWGQLASFLMCYMLLETSLSHEWTWRVL LGLGALPGVFVLHEAITSEETKAFLKSQHNPNRLSLSAAMPIYWKQFVGTSVGWFLFD ITFYGNILFTPIILNGLYDDDAAMNMVDIAQFSVFTSLIALPGYYLSYFMMGTMDFKH IQMQGFFVMAILFLAMGLFYTTLLPLKTLVFFMYGLTFFFSNFGPNVSTFSLPAELFP SDVRVQFNGMSAAAGKLGAAVGAYWYGYIQQSSGVAMVLIVSGLVSVLGLAVTYFLIP SKHYR H257_09035 MSTHNDGKASPSTPMTAPPSHSTVIHMPSKSTYTPLLERSDSTA STSQVNQRVLVSAVANFSTAYNLAIIGSVVPLVTETNPSLDTSSIPAITACSLVGAIV GQLIFGYIGDVLGRKKGMILTLLLTVIGAVASAVLPWSSSIYSTLAVCRFVLGIGVGG VYPLSAAAAAEGGTDPVLNNKRVAAVFSFQGWGQLASFLMCYMLLETSLSHEWTWRVL LGLGALPGVFVLHEAITSEETKAFLKSQHNPNRLSLSAAMPIYWKQFVGTSVGWFLFD ITFYGNILFTPIILNGLYDDDAAMNMVDIAQFSVFTSLIALPGYYLSYFMMGTMDFKH IQMQGFFVMAILFLAMGLFYTTLLPLKTLVFFM H257_09036 MYFGHAASTTPRQRPLPPSSSDMKDHAGFLPSYAPHEMTESPSP SPASQHARSSSLSMDDPTVQKSLFDMFNKRGYNLISPSKSMVNGNNDSFSSTASSSMS SVIGFREPLHGQTPIHIAIRRGDVHVVEALLKSGDADVLQMRDDHGNTPLHFAVGTSS RRMSVSIATRMVALLLDAGASVHAVNHKGLSPIMVHLLTVRKDDPSILTMLLKHGSNA NACVDRVPLLHLAVAAKLPMFTATLIRHGAHLHATNDLGQFLREVAPMNMLVTMLAHL TSAPSFLPLDAHSQCMDCRRHIKPAKRQNLPILFRWFFFRSTSTQATHCFHCGWIYCS SCTTIQPVRDGLPSSCRRSEAEEEEDRLSRPLSKRLPLSRRPPADMKKDVSIRVCRIC ANLLKERLVKAASAGARASLYRFQQQQLPSASTTSASASLHHTPR H257_09037 MGAPEEATKKKPTSGHISEQLEEDISTFPHMEVSHLYFLLQVAT TSHAALADAEVAAAKTKLLGLIAEHHMAPFYERVAAEFHWPVETALLATMKQHNEDEL TKLDAQLADAEANLGDIEVLEAHLGRARLYSLIGDKDKVLEAFHTALAKPTSVNQKII IQLHIIRVGLFFSDLPLVETHIKKARVLIDEGGDWDRRNRLKVYEGCYLLMARDFKKA SSLFQDSVATFTSTELMSYPTMIFYAVITSVLSTSRVELKKKIVDSSEVLAVLRDIPH LSDFLNGLYDCNYKQFFTAIVGLHAHVNRDKYLALHSRYIYRELRILAYAQFLEAYRS VTLQSMATAFGVGVVFLDTELSRFISAGRLNAKIDKVAGVIETNRPDAKNAQYQDTVK QGDALLNRIQKLARVINV H257_09037 MGAPEEATKKKPTSGHISEQLEEDISTFPHMEVSHLYFLLQVAT TSHAALADAEVAAAKTKLLGLIAEHHMAPFYERVAAEFHWPVETALLATMKQHNEDEL TKLDAQLADAEANLGDIEVLEAHLGRARLYSLIGDKDKVLEAFHTALAKPTSVNQKII IQLHIIRVGLFFSDLPLVETHIKKARVLIDEGGDWDRRNRLKVYEGCYLLMARDFKKA SSLFQDSVATFTSTELMSYPTMIFYAVITSVLSTSRVELKKKIVDSSEVLAVLRDIPH LSDFLNGLYDCNYKQFFTAIVGLHAHVNRDKYLALHSRYIYRELRILAYAQFLEAYRS VTLQSMATAFGVGVVFLDTELSRFISAGRLNAKIDKVAGVIETNRPDAKNAQYQDTVK QGDALLNRIQKLARVINV H257_09038 MPAIVAPLVKAAAELVMKRRRASIGFSRRFLYAGWYKWAYLDSK ARPNTSTESELHQPTVVLVHGFSSDKDAWLPIAKYLIHQGYRVVMPDLPGHGATTPVC SSHNYGADAQVANLLSFLSALQAATRPPLRSNRATPTPIHLVGYSMGGLIAGLFAATF PRLVRTLTLLCPAGISMPTPSPVVALFDDTGLRLMEASTVKHMDDLLRYAQGPTTTKL KRQNSRVVVHMYAKIQAARRDVVSKIFDDLEPERSTLEDQLHRIEADTLVLWGAQDQI LDVSCAHQVRSKGFRTIVVEGCGHDITQVRPQLCATHINRMISRDIRRSTTRGVDLSQ SACTSYSTTMDSASTLDADFMEVSPWDY H257_09039 MPKATSPREKAFKKDQESRRKDVERMFGMLQARWHVLTRPCELW DRSAMHNVVITCCVLHNMIIDDEMDDKMSTLEYLDDIVPTDPFVVTSNIDNVTLDSRI QSFARIMDGSVHTKLMHDLVEHRWKLYK H257_09040 MKERSKNFTVHEQIQLCQAWLSVSLDSVVGNDQTASNFFGRVAE AFNSNSECTHRTGESLNIHWRDTINKQVACFASALKLSKSIVRSGYNNEQYLADAHEY YKAQKWNKKQASFRLMHCWEILKDQPKWMRESSDAAQPAATSSVTPTTALDTSQETRP LGAKRAKQQQKDALDHG H257_09041 MTAPPTSSFSVSFLRKATELLFLQRRRSKLGVAVGSVRAGGYTW SYLDNSSSSRVTVVFIHGFSSEKDTWLNIMGYLAQPARLLAPDLPGHGATTPSSPFHN YSVGAQVRNLLTFLDKTVGPDIPVHLVGHSMGGLIAGVFAATFPHLVSSVTLLCPAGI SMPTRSPVLAMLEDTGVNLMQATTVDAMQTLLWYAEAKPGHPISSRRNTTSSSFHHNH LATPTSHKLRTRSNRFLSRFYAKHQADRKQVVDKILSDMLPERTTLEDRLADIEAPTL VLWGSDDQILDVSCVDNIHRHECINTVVVAGCGHTLPQRRPEVCAAYIVQMIQRSKRW LTDMESTAVLTSSSKIDYYFE H257_09042 MDFTWTMQAITNRILRSAVETFMLNGSRARLGYVNSVVAAGGYQ WSYLEKHARSEHDDGERGVVDASTQPVVVFVHGFSSEKDSWMSVAKHVPSSARVLIPD LPGHGMTSPMLPSGDYRAMAQVRNLKSFLDATVGPDTPVHLVGCSMGGLISGVFAATY PHRLHSLTLICPAGVSMPRKSPVLKLYEDDGVNAMRGGTVDELTDMFQYIAYRPPGTA MEKPTGMRRMLLSVFANYRAERMPVMDKVLADMMADQTALESQLHKIQAKTVVLWGQN DQILDVSCLERMDALNAEKVVIPLCGHIVQQSYPDICAAYINRFLEDTPVEATAAMAL A H257_09042 MDFTWTMQAITNRILRSAVETFMLNGSRARLGYVNSVVAAGGYQ WSYLEKHARSEHDDGERGVVDASTQPVVVFVHGFSSEKDSWMSVAKHVPSSARVLIPD LPGHGMTSPMLPSGDYRAMAQVRNLKSFLDATVGPDTPVHLVGCSMGGLISGVFAATY PHRLHSLTLICPAGVSMPRKSPVLKLYEDDGVNAMRGGTVDELTDMFQYIAYRPPGTA MEKPTGMRRMLLSVFANYRVKTLLAI H257_09043 MSDPDDEENGRTDLDDQSMDEVYDMTDDLDESVDTLASPTKASM DIDDESDVVPYRRKDAPTRSVPEGSSTSRDIHGTSSDDDDDDEPPEIPGSPMKPASTG QATTHNSNESAEVEATMDGESSSSEEEYETDKQSRKDRINDQRRSIASTTTSSTQAST TSSSSSTTCTVRTATTTSQDNTQAQPKQHSVQPAVRKTSTTSSSTSNSQNEAMYKAVA NKMMTSPSDAKFTYKQQVESDAKANSPTIPIASTSKSPTSITSTSRGATTASKASDLN FPPEILQLLQFVDDFHPETIEIPTIVQPFVPEYIPSIGSPYEGVHVLRPDNMDDPIGL VVLAEPHAMQSNVAELQLMLKADYKSVHARWELSVLSIENAHERPDAIDAWINSVSKI HQSQPLCQVHYTRPFPAVEVLMEVWPSEVEAALSQIKLPSAALDVSVDEYSRIVCGLL DIPVYPWHIRESLHVLFTLYQAFHENPHFAQYK H257_09044 MSTESNRSASPAPTAVMKITTPAPPSSSCPYMDAKGGSSILVSD PSVCATTAAASCEVSRMTCEVLRSFSADVTGFINITAVGNMANYKNSYLALTNAATLD LGQFQVPEAVEYLNIENITALDLSQLPTPLPPTVTTLRIVNCNLKAIPSSFSWPSNLN RVGLDSNQLDAIPPNLPAGIQLLAFRHNYLSDFKSLPPGLGGINAINNSIQALTSLDL RDLTYLELGRNPLTTVAFVQLSKSRLTYFGLSNMMVVVSNITLDTSSFQALRNLPRFH LVNGKPYGYRVSNTTVTVNRTACQLLHGTVKYPWAHGNAGDFPVCVVPNNVYHGLPWS GTSLLWVGCIMVGTVLVVLAWMGQKSSQRRRRRRGYFPLHHPNPQPPVVLSKLRPFQL ASADVIKTSKYPVIVAHNNEYHMDIWSGTFHGTKVSIKSIKMAAESPDSTDAQITAFI DQVTLLATLRHAHLVAFIGVSWAQNAVADFELVVEFMDTGDLRAFLATHSPATYLWPE KCDVVRGILHGLAYLHTIPHVHGHLKSKNVLLDSKKGTKLAVLGVKPVDFAASAMWFQ WAAPERLAGQPVDTAADVYSFGVILWELCTHMLPYADKMNRKRPSPQSIMTQIIAGTL KPSFHAAPPFPSWVQDMATKCLAFDPAQRPTAAELVQTLNSHI H257_09044 MSTESNRSASPAPTAVMKITTPAPPSSSCPYMDAKGGSSILVSD PSVCATTAAASCEVSRMTCEVLRSFSADVTGFINITAVGNMANYKNSYLALTNAATLD LGQFQVPEAVEYLNIENITALDLSQLPTPLPPTVTTLRIVNCNLKAIPSSFSWPSNLN RVGLDSNQLDAIPPNLPAGIQLLAFRHNYLSDFKSLPPGLGGINAINNSIQALTSLDL RDLTYLELGRNPLTTVAFVQLSKSRLTYFGLSNMMVVVSNITLDTSSFQALRNLPRFH LVNGKPYGYRVSNTTVTVNRTACQLLHGTVKYPWAHGNAGDFPVCVVPNNVYHGLPWS GTSLLWVGCIMVGTVLVVLAWMGQKSSQRRRRRRGYFPLHHPNPQPPVVLSKLRPFQL ASADVIKTSKYPVIVAHNNEYHMDIWSGTFHGTKVSIKSIKMAAESPDSTDAQITAFI DQVTLLATLRHAHLVAFIGVSWAQNAVADFELVVEFMDTGDLRAFLATHSPATYLWPE KCDVVRGILHGLAYLHTIPHVHGHLKSKNVLLDSKKGTKLAVLGVKPVDFAASAMWFQ WAAPERLAGQPVDTAADVYSFGTWRKSIYIFFCVYCFG H257_09045 MGWRLTWLWCVLAAHLVQANDACVRIRQNSLAFCSMVDYAAVLD VAIDPTGSIADAEAKTHYDSVDQILLRFGCSTTYSMYTCSDCRDAYKYWVCAAKFQKC GGIAHNITATCPPWNPRNAINDTASSTCDLVTRARMCVSLCEDVVRKCPFVLQFQCPD VDTPYFSRNIATCNKLDRVAHPEAPELPWPGSFSDKV H257_09045 MGWRLTWLWCVLAAHLVQANDACVRIRQNSLAFCSMVDYAAVLD VAIDPTGSIADAEAKTHYDSVDQILLRFGCSTTYSMYTCSDCRDAYKYWVCAAKFQKC GGIAHNITATCPPWNPRNAINDTASSTCDLVTRARMCVSLCEDVVRKCPFVLQFQCPD VDTPYFSRNIATCNKLVSEPTDPGSQLCNCIYLLLGPSCAPRSPRTAVAWLVQ H257_09045 MGWRLTWLWCVLAAHLVQANDACVRIRQNSLAFCSMVDYAAVLD VAIDPTGSIADAEAKTHYDSVDQILLRFGCSTTYSMYTCSDCRDAYKYWVCAAKFQKC GGIAHNITATCPPWNPRNAINDTASSTCDLVTRARARLRYERSPRLTVWFGFNVLTWT RRTFPGI H257_09045 MGWRLTWLWCVLAAHLVQANDACVRIRQNSLAFCSMVDYAAVLD VAIDPTGSIADAEAKTHYDSVDQILLRFGCSTTYSMYTCSDCRDAYKYWVCAAKFQKC GGIAHNITATCPPWNPRNAINDTASSTCDLVTRARARLRYERSPRLTVWFGYRCVYLC VKMS H257_09045 MGWRLTWLWCVLAAHLVQANDACVRIRQNSLAFCSMVDYAAVLD VAIDPTGSIADAEAKTHYDSVDQILLRFGCSTTYSMYTCSDCRDAYKYWVCAAKFQKC GGIAHNITATCPPWNPRNAINDTASSTCDLVTRARARLRYERSPRLTVWFGYRCVYLC VKMS H257_09046 MPSTALVSTRTQLATSTGKPMLPTSHSPMSPSVMAGTAPFLVTL RIMLDSENPDVLRWTSDSAAFQVLDMARFTHSVLPKYFKHGKYSSFQRQLNYFHFKKW TKRQSKVCTFSNAHFCRHDPALATFITRKRSTSSTTSSSSTSSSRSSASCASQDHDLD VLLDFDLVAHCLPDTSTDGDAWWNCNHPPLLY H257_09047 HFPIWIMPVTSSPSSHTSDDNNDREVAPFLRTLRTILTYESDDI IRWTPDGLAFEIIDMEALTSAVLPHYFKHNKYSSFQRQLNYFHFKKWTKSLVHVCTFS NTHFTRDDPLLSLCITRKRSRKDSSHSIQMANIEPPSSSPLIDDSIEDLLTDADLEWL VHFELMGEPHGAVDALTDKRTLLVV H257_09048 MHCTSSHQPPSSSSHTSNDNNDREVAPFLRTLRTILTYESDDII RWTPDGLAFEVIDMEALTSAVLPHYFKHNKYSSFQRQLNYFHFLKGPQGNCYIVFRPK PYMAPHTTPHTSGDRLQL H257_09049 MKNDQDGSSCLPKHVYANPKEPSICAVLSGHVRTSATVLPQWVC PLMTWERTPFVRGFRLRSPTLLVDLKQFQCGYGLGGAWAAFRNDTFLLVLEVTNMLDE QQRSFYPSTFRCVLPFLLASIVHHQEWLRSTLHTSHPLFLSLLWTSGVVQQLTPRWTW NGKIHAVPQGFEFPTCKVIDLWNLWWLGIPTEKIGPLRRLKTWDISRAGAGNLSKARH VINTIVSFSNLSGSDMERLALSKLHEQFRPCFLRASQWTYGEMTSEELRHQTKSLLEM AKASQKQ H257_09052 MHVTPPPSSHTFDNNNDHEVAPFLRTLRTILTFESDDIIRWTPD GLAFEVVDMEALTSAVLPHYFKHNKYSSFQRQLNYVHFKKWTKSLVHVCTFSNTHFTR DDPLLSLCITRKRSRKDSSHSIKLASIEPPSSSLLIDDSIEDLLTEADLEELVHFELM GEPYGAVDPISPIHDGLVVEL H257_09053 MERHGGSERYGGSRYGGDSGRSRERDRSRNHGRSQGRGATANNR YMDLPVCPNLHWIAQTEVYRWLIKRKAYEARLEDECCRKNIQFREHDNLNGVLEVPFC ADFGSDANIISEEMLEALKTKTEVDVVQLAKTWKGYAVDEQPVYVDVAANLRIRLSTA AGPVNLPGVQLCYVISRSDSLLASRHALQSIGIGMNHLLEQVAQHQSHEDDIAFGVAT HRLQGGAQDLDKLDAVSLFEKAFETLKITDAGKHVKTHNLKDIVMQASAKGVWRAQFR GTDEAENVPAMDIKLKADAQPHRCKARKSSPLETKFLDAFGNQLEEDGIIYSHSSSAY CSPVNPVMKPSGKKLLKTSGEWTTEELLLHYRLTIDYRVVNSMIIPMAGAMPFQFMVL ESVRGAQFLGVFDLTKGFWQLPLGPASLGSFLHAGFPRDDADPCHARLLRQCPVFPKH HDRVFKDPTLQELSRVDRRHFSLGQHSGRVLGSSGRTHCHLCTILTTAEPSEEHPRHR KGRLSHRSCMRLVEILLRPLGFRMYCDQRTSFTFFSPDKEVKAHTREKLLRWADLIGQ YRCVIEHIDGVHNLWADLLSRGGQPAPLRTQMCSAYGQAK H257_09054 MELNSNSQHPTEDDTLDALYEEPGAYASSTRKSFGCSDNITLLI AVNDAKPWTASSGSLMKTWTDIASDLKANRRFKVDKDGQGCKTRFDKLTKAYMERTLT AMRGSGTDEEFGECKQPLEDILSQVNNFVEKKNAQASLLVAKKDYKKKK H257_09055 MKLEGKKLVKTSDKWRIEELLQYFRLTIDYRIINSQTIPLAGAM PFQFLVLENVRGAKYDHTKGFWQLPLEKYSQELLSFMLGYRIMTPTRVMQGHCDSALF FQNTMVECFKDLLYKNVLIWFDDILVWANTIDEYVSVMRAVFDVCIKFRLQSLTPTER RNPTRIQALCKFPYPTDAGQLQQFICTVNWIRDSLIGFAHTIDRLQKRLTEALMCLFT DASAYGWSIVVIQVHDYGDDIPIQNQQHQLLYLLLRPKRFLMHCDHKNLIRVFAPHEE LKAHTREKLIRWADMIGQTEHQKYVRALGEWAKKKKQPWQVYKPSPPKLRSLDDTDFV WPDLDAIRHAQVHSTYTKPNGHDYRGLLEVHVRIWIPDDANDLFTRRCIVAHCGSMGH RGHQAMAAHMPKLFYIRELDAKLTAWMKTCLLCPHTRDDLTHYCRLIPCDSPTSQVAV EAIMEWSALFGVPEVWISDGGSYFKNSIMKELATRLRAQHNIVLAYCPWRNGTVERVN RDILGLMRIMPRETKLKETEWDYLLPVVQANINQTPVATLDHTSPMECFTGLEPTTAL NTIVGKVNVRLSKTSFHTIDWKQKKLRQAVEEVRRSLQEVHSTIVDKQHAAENKRMLE KTNQNEIKVTEGDFVLWSRVDENTHYPKLLVTWIGHFRVLKCLPYLCVIEHLITGVQR EAHHSRLKFYAKSHFHVTEEIIDHVSEQGTILVVDQIEDARRNPGSNQWELLIRWKVL ESLEASWEQLSAMHQEIPSLVQSFADQLPNGAQREELVEALERL H257_09056 MSTPPRSSRELTEVTKLDLSIALQELARLGKLPRGTINMVATRF GIDRSTVRKVWQCYQQGSMKSRKKGRVGRKHRHKIQDIIAKIREVPQGQRTTMRDLSL ATGLSISTLRRALHKGTVTRRSSRLKPLLTDPTRINVWAFAVLTLCLPRTTSLRIEPR SPKASHLLMTPPPTPSATVAQTSAQPTSKPASPAWSTAGAGTLPEIEFSGMWDVVHLD EKWFNAEKDCRKVYLVDGEEADGFDGKIGIWPFVSKTPAVQNSRNRPAGTMVTTLVNV DGATYRDYVLNKFVPAIKEKFPSVSKRVVLQHDNFTPHSTIDDAALAQVSTDGWTFVV RRQPPNSPYLNVLDLGFFASIQSLQYKVVSRSVDDVIASTLMAFGMLNDEKLANVFLT LQAVMRLVLEHRGGNHFKLPHLHKDAMRRAGTLMLNVTCPVSLLVAANMLQQ H257_09057 MSKTNMIHVTTLCLEHDHALGLRDDKNDNETAGVTDGVASTNDA LWSDFFDCEMEESVGSAESEHRVTHGSNSENEYDSDRSVESVKSDNTVMFDPSDSRRG AMRDAIRRNRTLTQEQAAQTVVEFVSQLPTLDEKQALVT H257_09058 MSFATWCIKNGEGAMSTTGALQWSDFDGVHRAVKMYTCSLGARL NWNSKGNSEVRLASGDVHEAPTKHINIDDGLLQEVVDLRCDMRRLQESMTRVQDILLN MQASRCSCSSGHALMHAHVQVAAVHKQCRPFAQVRQNKSLSAGSDESSDDCFDVDFDR PNGPAGTATSYAKFVKSIPPPLGFDFVGNIRAELRRIGLVD H257_09059 MTRPTRRKPKEYKYSRYTYKQKHVILQYRDSHTTAETIDRFFSG VAAYAESINVELIKVPPSFTFVCQPADLLLTHSLKVIWIWMTPSLPL H257_09060 MYCTSSHQSPSSSNHTFHDDDDAREVAPFLRTLRTILTFESDDI IRWTPDGLAFEVVDMEALTSAVLPHYFKHNKYSSFQRQLNYFHFKKWTKSLVHVCTFS NTHFTRDDPLLSLCITRKRSRKDSSHSIKLASIEPSSLLLIDDSIEDLLTEADLEELV HFELMGEPYGAVDPISSMHDGLVVEL H257_09061 MAPIPLTALLQHPGPAPTLTWALFSSTGGTHWAKILLPLPTPQP PTTYLHSQQHGIWLNTLHSSDHAGTPFMTLVLCPGDHTPTGHHPRLGVLAPAGHSTMV QRIPQTEGLAYHLHPPNACTTTHCLVSRPTNTEISSLGARGPYTAHLHPKCHSAYS H257_09062 MVDYHADHTAPHSRLGPLAQTGPRTMDQGLPQTSGLANYQPTPN TDSTTSNRDEVAAGLPHLLDNWIPPAEKTTRPRHLHSGLETDRENVSISSATGYSRTW TDLTKLHKPSNPLRALPGTPTTTMKTCKPAATAASAPAYPQDMGASPRNSGSLPLHAY EQGNG H257_09063 MSFLLWSTCSVPMAAATQPDSNFLSTHQLAHSVQPLHAAYPFDY LLDPPSSDDSNNSEQLDLRIADDLHQPPHDLPPTTPTPRRRRRRRSWRIQRLPPPDMH TARIQRDNVLRRETPLKDEAYIGDAGSTPTLDMQVDILRIAATNINKNTYGKLSAELA TCPQGHTTMDTRTWGDTRTQPDGC H257_09064 MHHHPIAHEFSRHLISPMSHEGFAPPRGTQSHKRPTPSRPITSP ITRTIWHRSATNSAGPILEPSPPWAHYTTKHISHAPTLGHASLQPTTIPWTLPHPQPT GPTATSVQDSDQHPTPLGQASVQPMETIGQAAYRATSSAKSVTHPSTKRPHSEVDPDL NLAYPSFTIPPSKRHQAMLYPPTPSYQPDPPDPTQHSQDSTDPPSHEEDLDEYDANTA LQFPAVQLHVLMGRTRTTAALQCPDCGRLDHQGKPCDRFTYLDPRDRARSKSRHKSTP RGPKSPGAPPTTDLVPHLRQELSTYVDHRIVQATAPLQEEVDTLRADKEALTALVSAT KRRLREATEHQQSEDQRKLTEAQNRLQTTITQQGTHQEAMAERLPIIESSLRTLIQAM QSVSSQLAGLAALGTPATRIPAAYPAQPTLTPSPSAPDGPVPLN H257_09065 MAPPPPDPGWPPPLATPATTTTPMVTVLVTPNGIPTPTLVPATG TIRAPLPSSSSDPDSEPDTNQPPAATPLNPRQLRSKLAADKFLARHDRIRATRAATRP LTRQPSPSRLSNTHANHTATPTPYLSEDDKPIRPRPASKPSPPTTPPQALPSKSAHGP ATYTTKPTTPTSTKTIRSSSTQSSLPAAWLRTQKPDNTTGPMDLARETLHPLPPQPLL ASAPNTALATHAPRHHPTTPAHPLPTHAITIPTSNCLTPSPTTASPPPPTTATLLR H257_09066 MDDALVLCVAVAVAIEAVFSSTNGRSYRSPITNHFVWELVREGF EEHGFPNAYGAIDGSLIQVKRFDDFYGWYCRKGFPAFNMQAVVDHRMRFMSYSLRSGS QNDKALFNDSAFGQSCHTKVPPGGCFLGDAGYKLFAHVITPYSIVSHMDPKEANYNLV VERAFGRWKNKFRIFKHELLHHCPQDMARLIEVSLVLHNWYIDYDDDFVAPVEPEIYP RWMHIGGDLVNVDELYQVDGAAAKRARDTIKNYLHALL H257_09067 MACLLNYWSIVVPTKSANELQAERWLVVVPVSTSDYARMHWVLF SRWQLFAVCFGTQVCCGSLYAFVVISDALDVYFYGQVTKQSYSIVLIFMGVTAALLGP MLERKRPRVGMAMATVLITLGFVVSQLAVVVHSPILLTFGYSCLCGMGFGVAIIATIS SVQKWFPDLRGLASGFCLLGFGLGNGVCSLVYSRLLHRETIYDPTFQIDGVTNLFWST GTVVVLMLITCTLVIRTPPISFSMNGQDIHCVPVNMAPDPRVIQDEYLKVGKHRMTLV NYSAVHHELEGTDGHYFQQVRAMTLLQCILSTDFIFLYIAFAANVIPLLVFVPQIVSL AAGMWHLPPSEVNKVLIQAFVGNTLGRFMAPLISDGLVRLLYVNPALMRKLVFTALLT IQICAFALVISDWSSPRRVQWLASIVTFASGGGLAMMPCFITDMFGVYHLGTMYGLIL TSYSLGGVVVGYATTTSSMQVTLETLSQQSQAMLQLVLCGLLVMLFVRTNSTDRFYRG YQYSACGKVLLQVAFRRPISETCVEDDSVVILDEPTPPPMPRTFTLWNEDLDGAKYNI H257_09068 MDYIANYWRLVVPVKSTAELTAEEFLFVLPWVGPHEFVSSSCIA FNRWRLLVVCVLGHACIGSFMAFNTLMEGFDVYFYGHHTGATIQVMLLSFVFFGFSAA FIGPFVETNPPRVSLATGTGLVAMGYFLAQLAVVGQSPLFLAIGFSGFSGTGFGVALI ALTSGVQKWFPDYRGLASGLCMFGLGLGFCGFTLLYTWMLKRAGPYDPVTDVSAIPNL LWSTGLGLVATLCLCGCVIRTPPTTFEVNGQDIHGIPISRAPNPDVVHDEFLKAGMTL VNYNLLQDHHDTLTDVHYFQQVKAMSLLQCIASADFVLLYIGFAANAIPGMLFATEVY DIATGVFNQPSDVTNLLVFQGFLTNSIGRLLCPLVSDMLIRVFYANPAFARKAVFVAL LTAQLLAFSVAHAATSFDSFRLVLIVVVFCSGGGFALLPCYVTDMFGVYHTATMCGLA LTCWSLRAVVVGYAFAAFRVTQESLGRQFDWLLILVAVGWVASLLVRTNSMDRFYFGY QYSVCGKVVLRIGGGPGIPIDVVEGSLAILETARDRISSSTR H257_09069 MGGVCSYWTVVVPTKSPAEAMAEQWLFVLPMGNNFFARLDCIRF HRMCLCLACCLVQFCSGSIYSFTLVSDDLDAFFSGAKSKQCMQALLLGYICLGATAAL SGPFLERKGPRVGMAIGTIMVGLGHILAQAGVARKDLRWLIVAYGVAVGIGFGLLLIT SLSTVQKWFPDLRGSITGLSVVSFGVGNAVFIKVFAVYVARNEIHKLFWVTGTATVLI LAVCTLIIRTPPSTFTVRGHDTHCIPASRAPSPDLVQDEYLKIGMTFVNYLAVQSQLE GTDGHYFQQVKALSLLQCIFSTDFVCMYVAFAASIMPIVVFIPQAQSLQDLFHVDNMT FMFYTYLANLSGRLVATVASDVLIRVWYANPAFARKMVFVALLALECVALVALPQFNV DLLTRQWLSNALTFASGGGLALMPCFVTDMFGVYNSGSMYGLIWTSWSLGAAIAWHFL PGTTLTIDSYEVQLHWMLVLAATALGLMLFVRTNSSDRFFCGYQLTACNKVLVQVPFG RQHATNEDVLDSMSFTTHTPRSVDANADGFVLWSGEGYGAKHIRHV H257_09070 MERSKRASTSRSERMAMLEFLRIPENFALLTGCASVDDTGCQIK IRRVRGIITPGTTMERAKQEWARLD H257_09071 MGGVCSYWTVVVPTKSPAEAMAEQWLFALPMGNNFFARLDCIRF DRGWLFLACCLVQFCAGFIYSFMMLADSLDVYFYGKVTKASSRVMLLAYVCLGVSAAL AGPFVERRGPRYTMVVGTVVVAWGQVLSQLAISYKTPLLLLLGFGVLGGLGFGLLLIA SIATVQKWYPDMRGAASGLCIFSFGVGNGAFIKATSMLLNVPVGAITVVLTGQGMTKV FWTTGVFIVGVLVVCTLVLRTPPVSYTVKGKDIHNVDTHRAPNPSLVQDEYLNVGMTL VNYTVVQHELNGSDGFYFQQVKAMTLLQCIASTDFVCLYVAFTANIMPILIFLPEIRQ FAIQIIGMQTQDQVNSFFVNIYVGNLGGRLAAPLLSDLLIRVSYANPAYARKLMFLGL LVLQCLALIFLPSQLHNPIAVQRLASVLTSAAGGGLALIPCFITDMFGVYNAGTMYGV IWTCWTLGAVVSGYFLSNQLFSVESVCDQLYWMLILTGVGCGMMIFVRTNSMDRFFCG YQFSAFGKVLVQVPFQLPPRDTILDLDRENHSVVTMLTPTSQGSDRGLILWNAETDGK DHENQAQRDFSS H257_09073 MRMLTPYRLPYSSASGNDAFNTGRASMRVVCEHGNGISKGRWSS LSALPICSEVM H257_09074 MADAPPRSDEPSVNDAGPISPVASQQTTTPISPLNLSSHRQLHD TYKVITPVNSPKRAPQPTSEVGSPQNAAAAATQKRPNLHLNLSVIKSAPSRPLVPSAT GRVKKVTRDIAALDFLQNIPMSSEYYSSATDTNYLNSPVPHDANDAVLSDNEDEHALA GRRLPGPDCQVVRMPPLIRYRMTTKYPPASATVRLWEGNMNEVGLVNSRIFLSSGKGY PVAVTSVIKYNGNQTKVRHPFRENNVVAPYDWRGKSYFQLLHATWSACDKDRDAQDKH PVVPPYEPNFLDNPEYRQGRHKDVIRGDRKLGPMVSSILRFVKPNDLKEELNKQFRET HTWLHDTDLSLSKIRNLKQEALLMGQRIHLDISTVALACVYFEKLVLENYVTKPNRKL YMSACLILAVKFNEPRGTDDLLIVVKKLLAEVDRVHSIPSREVLAVEFKVYTELSFAL HVRLADLQPHFTRLLKCMESNPRKYLGEDIFEFYSKLVHDEATILLPLNEQEDDETDG ENVLSDTEELEQDGRTESGRQSSLFPWNQVSFAQWWKDRT H257_09075 MGRAQRPHGGFGMPIRDVGDDGCRDFCFRKRFHEELCGPPVLLR VVGGGLLGGRMLLLGLEEHANAVEESGRRDVWDDLGVGQVFIFQSNIVVIVKAVVLCE HRLLFVLVVARDRYARNLGREVWTQMRMHHDFPIHKGMLLHCMQRLMPDTSIFKQREE RFVVMDPSNFIVLEMAEAQGR H257_09076 MMPERNPIKPTKAAHYSGHSKKKSTPFRGSKPPTFDKSNLPHKR KGPPPHHEHHPASSSFKKPKKDAPETVKLNKELAQYASRKQLPEAQATFNDAVANHLA NSYTYVNMMNVCVRCGDLSQATSVFDAMKAAKISPDVVAYTTLLKGLCGEGRLDDAMK HVKAMEAAKVPLNVRTVNTLLRGCILVGDVRTAEAIFDATVGKWRLAPDDSTWEYVIA LLCRNLQLKKALSVFGRGLLANGCNVGSNAATLLNLARAATLLGDISTAHKYVGMTLR NLAAKNPETPEPRNPGGKRGWHEASESRQESLAVFLQIKRDELARELSVISTYLEQLS QQASNKSDDGTARDAILDMYKKVLLIPLTPKRDQSLAEALAVGVLDTMGLRAVLKKNF PDKVATVQLSFERRLSSTTDVVKLRKVFGKDRVQALPVKVEICSGAGEWVVNQAKKDQ GKALWVAMEIRHDRVYQIFTQAVFDNVTNLSIIAGDAAVGIQRHMKPNQVDFMFINQP EPPQQTGSMNTQAKHLLTATFLDHAMQLVKPDGRLTIVTDNKWYAQFLLKIVCKLKHV HGVALKKRQTVESHGSFHIYMGHPPKECGVADEHASSYFDRLAKQDSIRGSQGTYFLS IAKRIAA H257_09077 MFTLLAAARNAFNNLKTSASYQEKKHCRLCRKWFNPFRRRNSCA GCHECFCSRCIDDENVLGEPSKPRNAETPKPLCLYCADASQKFLRLTSMCSPDKGTIF VTFEDPPSTVPPCAEHRLSHDIMKGGYSLRRTSSLATAISDVTDVDEWNARQSQDLGR WEGNCILIEVLEPGYYTTSSKRANVQRR H257_09078 MASSPSCVLPPRKSSILQPTSSFHMSCNGVSFPLLALAFDTPAL SSEQVASLLATSNKSFDALYQRCLGPTRLTARSTKVHVMAVPAAAPPGVWVSTSVNIC ATLDEVRALHNNSTQSTVHFSDDILDSKVLYTVEDSPEQVVLVRWQALQYGLPVHHRD VVLLETHRDFDWPDGRRAYGYAQDSISLPCCEDLYDAFQLVRGSMRNSGLVFVESDNV EGQLEVHFHCEMDLKGSIPSWLATRLLRQSAVLHLTCLTTRLHETRLTKRVKCQYVVL VDKVFCWACTQFWRLEAQSTRVCVDCANDYSSDPAHPQLLDSSVQGYFPILRHRASTT AGLNPLRKLKSVSSFDDKRSTENESDDIEWTATMTSNLAVLGDDWREKSVSTRPSRSK SAFYVW H257_09078 MASSPSCVLPPRKSSILQPTSSFHMSCNGVSFPLLALAFDTPAL SSEQVASLLATSNKSFDALYQRCLGPTRLTARSTKVHVMAVPAAAPPGVWVSTSVNIC ATLDEVRALHNNSTQSTVHFSDDILDSKVLYTVEDSPEQVVLVRWQALQYGLPVHHRD VVLLETHRDFDWPDGRRAYGYAQDSISLPCCEDLYDAFQLVRGSMRNSGLVFVESDNV EGQLEVHFHCEMDLKGSIPSWLATRLLRQSAVLHLTCLTTRLHETRLTKRVKCQYVVL VDKVDRTHCCHCLSRFHSWRRKHHCKMCGEVFCWACTQFWRLEAQSTRVCVDCANDYS SDPAHPQLLDSSVQGYFPILRHRASTTAGLNPLRKLKSVSSFDDKRSTENESDDIEWT ATMTSNLAVLGDDWREKSVSTRPSRSKSAFYVW H257_09079 MPLSSAQVDEYKDLGAEIVLNLIHDSQIHNGRLQWQLASNSKSY RLYKATNPNGPPGANLHCGTIQVMATIDEVASLFRSETTDEAKAMRRRFNHRLLDAVV LHTILRPTELRPNEKVGISWRAFESPGGRAILQNRDTCVLESQREFVQNGRRGLVCAV KSIEVEACPNMEPEWGLVRMQNWGSGHVVVESEDRRGYLDVSYVSNVDMGVGKSEWFL SHVLHRKQWLADLLMRKRCQNIGDIDRFLRLQRLGKTAFEPNFVTLDSRRSCFVCQRR FSFFQPRKKKANCAKCGEVVCSKCCLEWPIRDVQVKVCSVCSAPSYTRDRQETADTVC SDPWRLQGSTILAAESEFDQWLTTMERATERNPPEPVKPKAQPAFSIQV H257_09081 MMLLKNSTRIVPKWAITWRRRLLPPFSFSNEAYQWAILASWSKY ASEDSSECWVAAGRSDSLNTPIVLCTGSSLNPKYPPNMLPRTLVSTAMVLSPFVCFTE LKYPSQSDARATRYRTELPMYSALLSTRSRLSTVLDMAVDVMVLPNSLLVVSS H257_09082 MAQGERLTLHERGSILAFRKAKWTIRKSAAELFLSKGAVANFIK NSDTYGTKPIPGRPLKLAARDVRRVLREASEGRSSSSKIQHDLQRDVTARILRRVLQR SKRFIYKKRKTTSRLTKVHKQARVDWAKEHVVQGPHVTRKTHTNQEGPTGATTTNAAI NGPTTTEAGNELLAPTSFDRVAVATIVYSDDMVVVLLELCIGRFRDAFTKCSSNQKRS SLWEQLRLQFNIVVGGDNATTTTSLKNKERGSQDLVDVTTDEMTGPLGQVL H257_09083 MRLEECAGLGKEQQRRLVGMVVPFEHAYQNETAEQRLIRHQASV IDHFIDIGRLQEERLQALEEKLQTCATPKRKESEVKVGQVMPNSKGKRQKQSYMKLFL PNGFVLDEDSQTFRDDVLSTGAAAQIAALEFLRSNNVQSKGTSAVLKALQGTHRLGHR NARIIHYRQLVHLDE H257_09084 MDYQAQYTLQIDGPGQRGCFRSSANRTRTYKVLLNDCKSIRLHQ AEATALLAGAPPLPPLTLNAHAQLDPELTEWLADNRSTDEVIAKSIRNHVDELIKLEK TAITR H257_09085 MQRGRFIPQPVPEATQHGSQFGGDDTATDGPAVGTRRHFPGCWM TMPLQPPPPETSRRSLSRWPLQDLRNSIQQNERSLSVLQEVRAAMASTLGSGPHDMSQ ARHALVEEVEFLKATFQRALDVAHTERDGTNDLLQEAQKSLRTLEQGQHDLRQQRDQV QARLSNQRAERRDLASQLTAQTEKVTELERRVQEADQAMEVMTADVIDARGYITHSAR LVAGKEGVVKLALQNKSLVVAEMSDLRRSLEARQDRDRALTTAEELQEQLRVAQARIA QLEAQPLRLSASNLAWDILLTENQDLREAAKTQKARAKDSRVRNKNPRGYTETFQADA LQTLGALELEKLLREKQASDDLGKKLQAFQESERTSATALLHANEHFQDAVPSFWDWV AQHLLVSEAAGVAPLIEAWTLSNPDHFKSCNESVGVISTKATRGLTEHLLRRVWVPTF SAWVTNAQEPSQHPWPVLVSSAA H257_09086 MSDATPTIDKSVTDNGKVMFTAWKARFIAHLNSKSTEDDYKRVM DVKKPLNLAHSDWLKFKPIINDVDVAADYAAFRDGSEPGAEKMKRFYYLRIQESLIWS LFGKARFEREYAQSSLDVSTTLYLEFITLPAKPFNTANVDDYLKVDCASLKSDLSKGI ARKNFKGVPAKRIKVSTNSAVATAKGFFSLYTTETYCPTRIEIDAYTISQIKHQGDKI RKDAKYSTDGYAGDALEQRLATVKRLEQDFTRKFLDEYIAESLPTLRVQAKAFLFNSL APILQQVIGSYATPFSLWAALMARHESGTCDPMILFTDQVHRRHQCRNSLHHPRRSVQ PDQNGDFSLRTQTLSRLAKVT H257_09087 MESVPTKQTIQYSFRGASTRRTYQTYQTQFQEFCVSHKHGLDPA AATTEDCTDFFHHLYSLGRKPRTVDSAKTSLVAYFKDQHVEPNPAQAPLSKQYVVGLQ KYNRQNNVDDEKKAHPLTIDELSTLINGFSRLNPFVGAMFRCLFSCCYLGCFRIGEML GLKWGDVALGKSAHGPYVSVRLRWHKKASVEKECQVYD H257_09088 MLPSGNVKVDWFKAMEQNFVRRQLNDNVESSPGLPVGISLHIMR RGGCFYRVFESPERRFDFRELMAWCRWGDAKTCCEYLVTRTLSNAIDPRLLLEKRSLV PSGVHGGNLGVHLTADNIAAAVMKCLRVESVLSQHVGLPVAKRQQTMQEFVVPKSIPT ARSGKEAWEQWFSVDPKRGVYCALKDYSKEMIKSDRRKYSERQTLATAFNKFVLGFAS RS H257_09089 MLVGTFIRDRGVTRTRTVAKDVLSYLLDNKIVAVASGSPKDYAS CLRSIQALLVKEGYALEKQSGPTEYRMSKAHEDARDDYVVMMVPTVTMVPRRPVIYLD ESFIHHHYT H257_09090 MFNHDYFVDWFGKLLDEVEELGWSSSVVFVMDNEKHHKGKPKDT PKGTWKKDDLYQACVQYNLASVGLTDLKTTIWNTLKKHLDEHVLPVIVQMAQSRGHHV VYTAPGFSELQPIELVWANVKGTVGHAYTSTTTFRVVLERLQQAFFELDGELILSSIE SSTAKLLKLDRDLREAEAGVAIVSHRESDSDTSASSECGSMRGGESDV H257_09091 MVYLNELLVLDINVHDKQQFASTLRAVQRYLKAQGYKRGRRKGS STTKPNIVYTDESYIHHHSKFHHQSLFVDAKRVVDIVLFTPPHHWDLQPIEMVWTIAK GEVNERLEGVFVNLKPQSIKGCVRVAEEKLQKLHEHLVQIDALESDDESSAERGNSSD EASDSE H257_09092 MANSITWLTTHQQPWLDAYLDGKPDQDQAYKGLLGWCQAFAHRD NFSQRVPYEPKKTQAKHIEYAKSFWPQYADYDPANIINIDETGRKLPLYDIVHGQLGG LVEQTELPTYPRGTMYAVQEN H257_09093 MQYRNRWFLALNTQVKAALTVVVYVLLVVTRNTSSYNAAIGSLI GIWLFALASGFGSVAVSKWFDRPPPTPAYPQSFSKDDMSVEFSKANIPRNRVGYLSQQ YGRWSLLGIVLEGWRGVPTGPKSFLMACHSMLVHLSTGGTVEPLICKDITPDSFNKLV LATVCMKKRPGSIVPTISAARQSEQDILALEDTF H257_09094 MSQSGQDRSRQGRSDDAQRRTSNGPRERPLERIDGCTRSPQLLQ VEGHPPGTSVRQWLLDRETYEMDLRVVCMRRNLEFMLIRKLRGDPKELYEAALETELL KIINEPKNGVEADIPLLFHGIHMDMKEDDVLSRKPELRKKIFKRLLEVMDPDPVRDAC VLDMEKAWHPVEFTWESISELVIHHAQEQQRFYSTYSAGRKKPGYEAKAAKNKKENRK GDYSRQEQRDCGDAAHLYDKCPSASAEDRAKIKYEWALVAKRMQNGKALKLKAKKLRD SLMTANKKWREEQGQSRLDETVHTTHLPTVLVGSAVGNLPVTAKKTVELRTTLSAAAE QVKLPGKQLFYVVDNNDELIVRKYALISIGLDMDRLLEQVAVRQIHEDGDNIGDPGAD EDIAFGVSVRGLRANDKQLDVEDMRAAENLYKVATTSANAADQAQAATFKQLQGIVVD AATKAVWGTKFRGTDLPANVKAMELRLKTGARPYRCKPRKVNPLTGMFVKAFGKQLEQ DQVIYANSSSSHPIPVNLPNDPTMPLQLLVLENIRDAKIDDILEWANTFDEYVSVMRA VFDVCMKFRLRLTPRKSKQLCSEIKWCGRIINGDGVRSDPTRIQALCHIPYRTDAGQL QQFICAVN H257_09095 MTAAATVKLYRLRVAEPRRYTTRPAQRPRWPRAPRRLRNQLWPK MQLLMQVKPTRIYGNPTAWCNDMLSIEFLRHHFGSREDMDKRVLMAWDSFSGHLTAGV EA H257_09096 MTQARRSKISPHKKPRRRYSHAVKRDMIIKMQDTSSTSIGLNGA GRPEEIPDTDALTAYMLAYMLNLREAEVRADGSKLPILFIMRGMPGGLIEKTEFDDFP IGHFYAVQQRAWMDRLDALLPRFLRMRPVPFSRLMLGDDDEDIDLMTMSAQMKRLAML KLEMADKGKKRKASEIVEDADDSAEEAEAPAPQVEVVDFTAESDDENGVAPTEDAAEE AQRMADWEAAKRKRMGQLE H257_09097 MRELHERERLERWTVDVGQIGLCNDETLPEYLVAFVWTFVGQGS GLHGQLLLKAGWLVISLSNDAGVR H257_09098 MSTSDHPDSDGQTEHTNRVLEDILRSYSISTGSSWAVTVQHAVF AYNASVQASTGFSPYYAVHLQHPRLPLMLYGSVSSGGGITARLSSAGVLPNAPSGTLQ TIPSFLFGRVSVMQKIHDSIASAQQLQSTQADKHGRSNLASFTAGEQVLLHKSVVPAH VFRTSAVGMYSNVTLRSAWHDPFTVLGAVSPTNYRLDLSNSWQIHPTFYVGKLKRHLP PLSTSTPDEATGNDPLDLAAALLPLPPQLTSPPPQAPQASPPPPPPDNPRLLAAPPLS IDHSVVASPVDCTPQTDSPAVPQTIALQPAQPLPAPAHLRAPTRSTRRSPGAPKSRWT SIAPIALSPRSPSPLALEEVTVATPPIAHDGQRWDRNSLCESSQLQLSI H257_09099 MVDQNFAVNRGFRSETMEQGRPKRQKTWSKMRRRVPDGDGNNVF RRAVDTSHNNGVAAGVARQAKHEIQADGRLKFVGNRQGLERCPRGGGDLCALEVAVSD VAHNGFVHAGPTVRTRQDRVHFVEAKMVPTGGVVVRPKEGEAQLRVAGHDNARGRVRG G H257_09100 MSTKSCRSINVLSEPPLAAALAHGIWSLVGHKDTALQVTGCGSF AAVEALGMENPSLPPDELACMIASGGKQLTNPNAQCALLIPHGYSARRRQPPRYTHPS WELPKITPAAALHPSLTGTPQADTSRQTHSRRSPSGPPNRFNPWRLMPAFPPRSKLEC GGKRSLPVGARRPTKDTLEMSFHSLVESLGAHEIAALVMHIVEEEMDLFSTSTADESV LDAPLKSQTRDSLRSNPYYDLLKEFDDVFPDEVPCRLPIDKGVQHEVDLVPGAKYCVG NGHYLATKSTPSMHSSRPARRRKPGEKSRIVHAFNKLNVATIPGQTPMHHKDVIMDGT GRSTIFSTIDLRDGFYQILMRHATGSMQRPGDVQPHVTAKFRAFRAFAPSYFDDIYAE LDVLYGHVRRVFEVLCANGLYANLAKCMFGVDEIPVLGDLVGMIFVAGWALRRTSTST RKHRPAVFRLLVKDAPWVWNSACQSAFEGLKTNLQLAPVLVLADFDKSFSVVCDTSQF ANGYCFMQLETSGHPRPDSYQSRQLHPAERAYPVHNLELLSMKYALTKFRIYLLDGEP FVVYTDHASLRTATNTPHLSQRLARWISFFFEFTFSVQYKPGKDNILADALSRRPNFK RTTIGLWMSGLHDRIRAVYPFDADCSSRLAALTDPVST H257_09101 MAPTELDGRRTGRPSTKQIKGMPKKHKNLYHTYEKKLHIINWRK EHSMESAIDTFFAGVAGDKRTTARTRDMRTLRKQGISTTLTRVAEENIAQWVSELRED GIPVSKTLLACKAMDAALEQGLAVNQFKASPSWMKGFMKRWGLAIRAKTRSGQANLAD GEKALAEFKTSIQKGSKTVWIKASGHEKDRVTAMLLADSKGTKYPPFLVLKSKASTIK EVVQENLTQRHGFGRQVWKEIEDLEANFPLQIYGNPSAWWNAGISLRFLDFHFGHRRG QAVDPVLLLWDDFSAHFTDEVVQRAKDLQASGHDKDRVTAMLLADSKGTKYPPFLVLK SKASTIKEVVQENLTQRHGFGRQVWKEIEDLEANFPLQIYGNPSAWWNAGISLRFLDF HFGHRRGQAVDPVLLLWDDFSAHFTDEVVERAKDLQVYLYRVPPTFTWICQPGDVAWM KPIKASMRRKWVDYLQRSIELNGRGGGRALRLKCPERWDIVEWISDVWDELPTTTIVK GFEKCQIIDPGTDLVDNTQQDPEDSSGDDVLQDLCDTGVFEVLDPEDDVSLTWMECID H257_09102 MRKANCSANELDASVAVSWIEMTPPMLWKCVDRSRPSSHLARSK RVRCHIRYSLATYRRAMNTPNALSITPMAHALKSTTRRLADEYDARTSPLLRVSDQHR TDRVRYRVSRVS H257_09103 MIWNCLASELLLGEVYLANYRLNTIQTALIGRNLQRDGSGPYLP RRNRARPSGVAWQHPHHFWRWFCWVQGHYQDIGHHCDDPHIRVFGQLEVRSTDNWVCP HGQFHAQHDMGYYPNSYYNMLKLFPNGSDFVFQSTALGGMFGGYNGFKFDFVHPTTNF KLAERPVAAGSGYAYDWFAQERDIAAWYSKYECHRGNNLIDKAALRFGVVLQWH H257_09104 MASELKVYTEADVSSHKTADDCWIIIGEDGAKKVYDITKYLDDH PGGPEIVLDLAGKDGNEEFEDIGHSLDARKVLEKYLIGTLKESEEKKKKAAQKAADKA SPSSGGGNNVLLIVSVLAVVAAVYYQYVYVPSQEASKGGN H257_09105 MLRCRAAQLEPEPSIDSRVRAVEAMASRHEGLLQRIVASLYASS QENAVVFERNGDIDGDINGENNGEANGENSDERSDGNDGELGDENVGFESGRGNVANR NVKRKAKDMSDATMVFSADRPRRSRGKYN H257_09106 MLAAEKSKTLFFTVPRVGATDVSTGVSTVGMHGATNDDSNDATN DESNGVTNDHSSGTINVESIALADYNNVEDVRMKLDAIQRICEKAMATSANNACNSGF VNTVLQLLTPTKTFMDKVDEIDNMRKRHRTNATTRNELRRYEPPHP H257_09107 MSFAKSLHPFLLRKYVTTVGEKYSMALLTTSGHQFANFPFVCYA TDVTFQQTNVPLGSYAEKKTYFSGKHSQYGHKVEVSVLPNGFAINCTAHYKGSVSDKA IFDDNLEFHVSALSKQATEDRIADHGDEGTNQWAVIADKGYQGIQRVVRAVLPKKKPA GGILTLDDIRSNDRIASDRVIVENFFGRMKTLWAVCGETYRWSRDNYDVLFQTCMALT NVHIRLHPLRADDGKVYSQYINRMALIGSKKDKGKKTSARTYRTKRKARLTLMLAAES SLVAGSAVGGSDSDLGSNSDAEYGSNLLF H257_09108 MHSEQHLQALKNGVEGPPSFRRCRHRWHSCDAHLVDRTRLQFGE MHSEQHLQALKNGVEGPPSFRRRRHRWSNRRSIALATLHLHLCTGWTSSKQEPDEDPD SASPIFDAFLETQGANGIHTMTNFSPSEFNVLWADVRIYVTKHWNVGSGRKSEVSARD LLLMLLTSLKHCGS H257_09109 MKLKLLGYGTKAHIDSYSWIWEIASRSTTLEDRPVIDIGVEFDV PHLALFAVRKCVFQKHGYGPYMSYDSMLWMNFMDACRELQECVQPQYVVGGGLSISKP SILSSRAYKIKLYPKIVHFLKNINERRLGDMPHPSTNKNCGKRYEHLQNVYENYFKAG VADQFAGSFTGFRLEITVSGFSLNECLDIFDAEFYALFQHVKVLKYPLPKYLANIAKF VGFMTSVGPARGRDEVLCDDKYKVPLAFVGQELGLTSYVCHVFLSRRAFFDDNIRSWN WCSSNYIALNEPDLPPVDAPVDVDDAQGDQHLDDFHARYDAETREIMLDVFLNVYAKS VGLGDAKLFKSRDKRSGRFSETNRTMFGLAERIATLHKASWRTHFVCKVKNLYSGLSE VNKLEAFRRLVADQHTRVGILMTVWMTFQPTYLFLCSLQTPKCFGPSPWCGIVKLSIV DGVLQTRW H257_09110 MSGKRGTTSRSSRRLIDPVSSATKTALRGNDDDEPRQRAVKGKR PPAKSSAVAERMTKEGPPPSVAPTATSSGSGKEGAVVDRSTKKGPTGDGKIGARGAVI DALRPHPSKKCGAPSEDSSGVADDLALGGAPLSVKTTRSVGKEGSNATSSGVVGDVAL GGAPLSVATTGAAGKEGGDAESSGVVDDGSLGGAPLSVEAIAVAGKKGGDPKSSDVVG DGSLAPLSVETSGPAGKEGGDAKSSGVVGDVELGGAPPSVAKTGAAGKEGVDAKSSDV VDDIEHEEAPLSVETAPGKEGGDPKSSDVVGDGSLGEAPLSVATTGAAGKEGGDAKSS DVVNDIALGGAPLSIETSGSVGKEVAGKEGGDPKSNDVVGDGALGGAPLSVETSGPAG KEGGDPKSSGVVGESALGGAPLRDNPSNDVLNNTIVTEESSYLSMLLDDGMFFDDDEE QAMDLVASYFDDISPPSVPPKPSSSKGMDRKSKKTKNTSGDDPSMKKKRKSAQEHLDK ATTGWPYFEPKLCHEDVLNQLDVFKEELLAINQRTSSRRRMRDDESKSTSKSPAIDGG TRGGDSKSTSELQAISTASPSSDSDGVWKLVEDLVMQRIAIEDIGWFPPTQSMVEVNS SCSVRPIRRQEVEKHKKKLMVDGWNHDFRLVLRTPGPAGKKYGIIDGQHGFMAIVDLW MDRTLGPGAALSSLMRQGQSNPCFPSIVVENASNLNVVQLGMRYNEMYKHGNNRKAFY WMTKFVLFHNWSTFAGCRLSNSFEYGEKVRSAGSGRAALCGGNEAHEAGRHGDEKEDL DRHASSCCEVVVLPTCLAPL H257_09111 SLQACVSDIAQLCHEGGLLATSPPYSYLEYTEVMPPASTDPTAR QLITSKAQRAPTK H257_09112 MAAVASATSFRVASAPVDRHLTAEQQYRAEKYVGLLYRGAAQEQ GPTRRLKEEGENDVVAAGAAPEEENRHLAAQQDKESEVRGATRPQRRLSFHDAAAPVD RHLAAEQRFQELKLVGILSRGAAHEQGTHSLTDFLFVPVLPSAHIQNEEGDIRAGQKP TGGFFELPDERRLTGQQQHSGQELWGVELDSVGDSLIVPVLPTSIGAGGEANAFHPRA VAKAKALGGARSIGIQQVGHMSPSD H257_09113 MKVFTALTTMAALVSASTVPSFRGNQPHGIQPRAGASVDFSDHH KAPAGTNGRKLQDSFRTRYNVGFYSVVDVPEDRHLAAQQDKESELRGATRPQRRLSFD DAAAPVDRHLAAEQRFQELNYVGILSRGAAQEEGPTRSLTDYLFVPVLPSPPKRTART PKSSGAATDTHELPHGASVGVDSVILDGPSRRLAGQQQHAGQDLFTVGLDTLRDFLFV PVLPYSIGADEAKAEPTPSDDAGAVGIQQVGHESPSD H257_09114 MYTPIGPAASQPRGMASLLHWKAYFVVVFVALGVLFTASSIHTG QSATSVSVALPVANMQTLPSLASSSSSIAPVSSEVDPVEAILRNMTLAQKVGQMLQVD ISTVLYGKNRNPRFALNKARVAYYAKLGIGSYFNSPFAGGPSRHESWTADEWHDVIDQ IQAIYAQHNAVPAIYGIDTIHGANYVRDAVLFPQPLAAASSFNLDVAYRMGEVSAKDS LAAGLPWIFSPVLGLAMQPKWARNYETFGEDPHTVSAFGVALIQGLQANNRTAACMKH FIGYSNPTSGNDRADSVISDFELVNYYAPSFLAAVHQGRVKSAMETYSSVDTTGHVIG NRTADLALAASSAYTIVVVGEASYTEKNGDIPDLHLPLGQRKYIKQLTAIPSTNVIVV VVAGRPRLLGGAHKKAAAVLVSFLPCEQGGQAIADVISGRVNPSAKLPLTYPQASGNI HLPYFHRVNSECREGFQDCAMEWTFGAGLSYTSFEYSNVTLSDTKACMKHFIGYSNPT SGNDRADSVISDFELVNYYAPSFLAAVHQGRVKSAMETYTSVNGEPVISSHKLLVELL RNDMGFHGVLVTDENEIHQLAAEHHAADSDVDAIFTVYNHTSVDMNMLPGLSDVANMT LSLVQQGQISAKRLDDSVRRILQMKASIGLLDQYNHGQFDWQDKDDVAVTDAVGSAAD QRDAKAAADESIILLENSPKLPVDAVSPKFTLPIEDPQASVFVTGPLADSKAFLCGGW SIFWQGTDNSTLIPHGVSVRDALNHTFANVRYAEGVDTTGHVIGNRTADLALAASSAY TIVVVGEASAIRSNGTLTLDVTVTNTGLRAGKEVVFVFISQKVRHGAVPEVKLLKHFT KVSLQPQEATTVRFTLSAADWSYYRPQIGRGFHSVSEPGLFHAIVKHDTDCGRHPALC KAFHVDA H257_09115 MAPPLVHLKASFGAFVVACCFLYSAQVDRLPPSPAAHVNFAVTA AGPRDVVADLLVNLTTAQKVGQLLQVDIRKLFDGGDDWNNGGSPKLNKARVAEYAKLG IGSFFNTPFDGRTQVQAPTAANWRSILHDIHTIYAEHHAVPFVYGIDTIHGANYIQDA TLFPQPLAAASSFNVDLVYRMGQVAAKDTLAAGIPWVFSPVLGIAAQPKWSRNYETFG EDPHTVSAFGVALIQGLQANNRTAACMKHFIGYSNPTSGNDRADSVISDFELVNYYAP SFLAAVHQGRVKSAMETYTNNSTLIPHGVSVRDALNHTFANVRYAEGVDTTGHVIGNR TADLALAASSTYTIVVVGESPYAEKNGDIDELALPDGQLEYVRALTAIHSTNVILVVV QGRPRLLQGAHKLAAAVLVSFLPCEQGGQAIADVISGRVNPSAKLPLTYPQASGNIHL PYFHRVNSECREGFQDCAMEWTFGAGLSYTYTSFEYSNVTLSDTKVWSNGTLTLDVTV TNTGLRAGKEVVFVFISQKVRHGAVPEVKLLKHFTKVSLQPQEATTVRFTLSAADWSY YRPQIGRGFHSVSEPGLFHAIVKHDTDCGRHPALCKAFHVEA H257_09116 MSSALRKLIPLGNRVLIKKVEPVLKTAGGIYLPDSGKNSQTEGE VVAVGPGARNHEGSLIPLGVSVGDKVLLPEYGGSVLKLGEDEFHLYRDEEILGKFH H257_09118 MPPPSSSPATTATAVDVQLLNVLREQNITQSRVWRGVLAVLGFL LTGAMAWQLYFLPLSSASTGDAIHMQYLLYMYKLAIVGYIGEASLVLWSRHPMVQWTT RCLVVISWLVSGVLYSLWQQQQQKLVLLATTTDTVNPTTVSAIVMGPRLFAAACWLAR RELRALDLDLKKLDRITPSY H257_09117 MTDGPSFDQLRASLLDLSEPMGKRTRAVFYLRTRGGKDDLQVLL EALPNKKDSELMRHELAYVIGQFQDVVACPVLEAVLADVDDDCMVRHEAAEALGAIGD ASSIDILERFSHDAALEVAETCALALRLVKYKHAGHDASEAADEMDRNPYYSVDPAPA MPKSKSTDELQAILLDTSRSMFDRYRAMFSLRNRNTEDAALALASAFHDTSALFRHEI AYVMGQMANPVTVPALKEVLINEAEHRMVRHEAAEALGAIGTAECEDILKVYLKDAHQ VVRESCEVALDIIDYWAQPQAQNA H257_09119 MDESAPLDAADQPFYDAIKAAHGDDVSSEFCIRLARAFRGDKKH RMEKTLAEVKRIKDWRTQNGADGILTVPLEKASLFHQCWPSAVYGEDAAGHVINMERL VEINVDSFHAHFTVDEILRHRMKHLEHIQAELAASSKRKGKLVYKHIYIFDLAGMAWK HVAPSVMSYLKPIFDLGQVYYPESLFRMYLVNAPFVFWGTWKVISSFIDPETRQKIQI YKSAPAFVVEAQKQGMALDALPSLLGGNHPGRPIADLDPPTESVVSAVPDTAAIPT H257_09120 MGDYGQYIVPTADVMINFKVGQPAPTMLPLQLIRESAADKFLET DPMFLQYGHIKGYPKFRQALAKFLTEGYHAPVDPERLFVTNGITGGLALLISLYLKSG DLVFMEEPTYFLALSIMKDFKMNVKQIEMEEDGLNVVKLEEVLRSGVVPKVFYTIPTC HNPTGRTMSTAKRQKLVELSHEYGFTIIADEVYQLLSFPHVTPPPPFFTFDKYDTVLA LGSFSKILAPALRLGWVQASPKLLQPLIASGQLDSSGGINPVIQGIVHTALTSGRQAQ HLKWTTETLWSRADTLMKALEAKLPVGTTFERPDGGYFVLVRLPDGLHASELLPIAEK HKVQFLPGASFAKTMSNYLRLSFSWYTADEMVVGAERLAAAITEYQAIKANQSSPADA AATASTASVTSVALHGANGRLGQLIALELQKDAASFVSTGVLDVRSKASSSIPPSTQV VIDVTLPSGTAALVQTLLQGPTYPALVVGTTGALPLDLLRQYADKAPVVIKSNFSVGV PLVAELAAAAALALPKGGDWNVQLSEIHHTKKLDAPSGTGKTLAGAIKRTGVFDKVDV ESLRLGDEIGTHTVYFAGPGERIEIKHVATRREVFALGALRTAAWAVTQPKGLYY H257_09121 MEKKMEKMVQNNVQQLGNRIPKAKRAQTILDMARLQHELKRRVV DVDEDAINDSTTDGTPGVRSIEWHTPGTAPTTLPTPTHIHDVRPSATPSKKRRIIDVN DNTVKPPSNVAPAKPSLPHGTTEINSVAPPPTSSSTIATHAIQMIPRTESAQPEPQPA YVARMVNLDPAQRFNGLMQLPPPTPSMDAMQLTASSSSMPPPRTPPLPKLAAPRPPVA THIPDIAAAPIKRTSPPPPAASSPAASSSLSKDTSKSAMAKPKALSALSTSFKSMCFS TEDPVTSIDATPDGQCVIAAFSNGSVRLFDVNSTCTEERFGYLLGHLDEELNQQMAPS VVRVKVTADGCYCFVGCRGNTPKTIMAIHLDKFRHEKDNDDDDNLQKYFMNDSKMRGF ADVSPNASAPGNPLRSYYLVAGLGVGHYRVWRFDERPLGADPSWTYLHQFGASSNTAL HAYIFSVSATTIGFAGVGSDGNLKLITFPTNQHSQHTAESVQKVIPNTSDISYIKGDF AYGYATAGRFYRLALSNPTQHRDSFDLVVDAARKNSRSIGFVEHICATDDGVHVVAIT DQVVYYCMTDGAASTGNYVMHMMGASIPSQLVPRYGVPCAIYVPNHVAVQEPVLLLTT NAEEESDGFFTVDPVRKLQRQMARGKPATGLNCWVCGSHSSLHWGLKSGKPNQSVGTT TITPSKAKAKPPAKDKPQPVAHVHTNLSQRHAASQRPAPQGMTGPPRSVEIQDLQENV LTLEAELAKAQTRVTQVKNEADRRLRAELQLRRSWKKQQLEFEAQLATANANVDNLTI QNVAIMAQFKEMETKLAHDAVRHEQEAGVRVQYDQLCGQVRDKLSRVDDHQKILEQTT KSLLQQLQRNSQLLKHDGAETNVETAKSECVICRDRPANTAVYPCGHLCFCQEDGEKY QNHLRHQKQCPVCQVEMISLLRIYAP H257_09121 MEKKMEKMVQNNVQQLGNRIPKAKRAQTILDMARLQHELKRRVV DVDEDAINDSTTDGTPGVRSIEWHTPGTAPTTLPTPTHIHDVRPSATPSKKRRIIDVN DNTVKPPSNVAPAKPSLPHGTTEINSVAPPPTSSSTIATHAIQMIPRTESAQPEPQPA YVARMVNLDPAQRFNGLMQLPPPTPSMDAMQLTASSSSMPPPRTPPLPKLAAPRPPVA THIPDIAAAPIKRTSPPPPAASSPAASSSLSKDTSKSAMAKPKALSALSTSFKSMCFS TEDPVTSIDATPDGQCVIAAFSNGSVRLFDVNSTCTEERFGYLLGHLDEELNQQMAPS VVRVKVTADGCYCFVGCRGNTPKTIMAIHLDKFRHEKDNDDDDNLQKYFMNDSKMRGF ADVSPNASAPGNPLRSYYLVAGLGVGHYRVWRFDERPLGADPSWTYLHQFGASSNTAL HAYIFSVSATTIGFAGVGSDGNLKLITFPTNQHSQHTAESVQKVIPNTSDISYIKGDF AYGYATAGRFYRLALSNPTQHRDSFDLVVDAARKNSRSIGFVEHICATDDGVHVVAIT DQVVYYCMTDGAASTGNYVMHMMGASIPSQLVPRYGVPCAIYVPNHVAVQEPVLLLTT NAEEESDGFFTVDPVRKLQRQMARGKPATGLNCWVCGSHSSLHWGLKSGKPNQSVGTT TITPSKAKAKPPAKDKPQPVAHVHTNLSQRHAASQRPAPQGMTGPPRSGTNTIEIQDL QENVLTLEAELAKAQTRVTQVKNEADRRLRAELQLRRSWKKQQLEFEAQLATANANVD NLTIQNVAIMAQFKEMETKLAHDAVRHEQEAGVRVQYDQLCGQVRDKLSRVDDHQKIL EQTTKSLLQQLQRNSQLLKHDGAETNVETAKSECVICRDRPANTAVYPCGHLCFCQED GEKYQNHLRHQKQCPVCQVEMISLLRIYAP H257_09122 MQTSNNEDDGIPATMDQAKTESTSTTIVSSSSRVLVLPKPCTDV HVRTGGFQKIEERTWNLTRSSRRQRSSTPSGPNAWHLWYWTLA H257_09123 MDLICCEDPSALTPCSDEYDDAAPLDMDTAIEILVRVIETESSY PRSIDYLATVQTHGMEAGWRRRVCDWMVNTRKIFDLSPDTVACAVHLMDQCLCVLSVD KIVLQLVSVMCLSVASKVHENRAISIEEIDLLCQGKYPRAEMVKMEMRILETAAWKLN PPSAVGIARDLLALEACSAHGCAVDIPAIESMVTHLLDACLSEYALMPILESVKALAA LEAVCLTQFHMASPVVQYVLDELHFPEHRFHECVQVMLEISQKVFAIGEPHMENTSSS GGKRHAPTQRSATPTGVDAPQHLITTTVARSPSSTHIHKKARRG H257_09124 MSDTEESTKGVTYEERVKHVSVIAQPLAVKKLTKKLYKLVKKST KVKCTKRGVKEVVKAIRKGEKGLCVIAGDISPIDVISHIPVLCESNDIAYVFTPSKVD LGASASSKRPTSCIMITPGKHGFNVQESYDELLVEVKKIQPTY H257_09125 MTASAGVVEPRKPLSQAELILEDIAAEYAAKRAAGLVPMKPVPA RALLWEEMARQKSRSGSRKGCLFGRDDAVKCGCTSYCQSYTDKGSILCVQCGHGAPWH KIVGGERVLDMVSIVESMNLDEDYDSQVDSLYSEFGSEMSDDDEDISNEVARPYHLHP NNSSSFGMSSFGRPSALKSGGGRTSDLSRLLSLEGSGHDEIADALLRGMAQSNGKSSS YSNVSQL H257_09126 MKVSAFVLDGTCVFFAASLAVLVWYCMVSAHWMGQRGDIGTAEY VQGIGLWANYTARRGDDWFDPGNSFWLPIQQTAVEFYQGQCASYQYPDCSLLGSGEYQ KQLCQVLSVHCGTPLLTIQLMMSLAAGLSIVVLLWVVVMVAYKHRTSTEDYVVGLCVF TAILQMAVVGCWYLFVYTPILKTAFYADQLSRCRDNSKGRTCWSIQVAPYATLVASGL YCALTVALTSLSGLKARRYKVVVQDHCRKVLADTDARAMRESTASTVRGSEDSVISRD SIAPAS H257_09126 MKVSAFVLDGTCVFFAASLAVLVWYCMVSAHWMGQRGDIGTAEY VQGIGLWANYTARRGDDWFDPGNSFWLPIQQTAVEFYQGQCASYQYPDCSLLGSGEYQ KQLCQVLSVHCGTPLLTIQLMMSLAAGLSIVVLLWVVVMVAYKHRTSTEDYVVGLCVF TAILQMAVVGCWYLFVYTPILKTAFYADQLSRCRDNSKGRTCWSIQVAPYATLVASGL YCALTVALTSLSGLKARRYKVVVQDHCRKVLADTDARAMRESTASTVRGSEDSVISRD SIAPAS H257_09127 MNSVVLFCILVALATTLVASVRAIDVSVLGVPGTFHAPSGVSCG GSDLTRIGVCPGPQPRLEFGSCCQALPNRPLLVLGCVPRLATTSGCVVTTLSPTVTVD TPVATSQVSTTPERPVSTTPESTSTKTTSTLSSSSSTTGVKSVLPTTTAKVQSPEEPQ ESSQRPRIETFLRRTTVTPQEKSPPHSTSNWTWVAVVGVSVVLAIAVIISGLKRRRQR RVDQCAAAELPPIHPGAQPSPTHAWVEVPNSCRPEGRSSSIGLGQLNQWVDTVFRAVR PPASNMRLPWVDVPDTASVAVLPLPTPPSEPEPLQATPRPWYKRSVCAVCGVEASCYV TLYREPGPTKELRCWICSSLWV H257_09128 MAAVASAASFRVASAPVDRHLAAEQQYRAEKYVGLLYRGAAQEE GPTRRLKEEGENDVVAAGAAPEEEYRHLEAQQDKESERRLSFTDAAAPVDRHLAAEQR FQELKHVGILSRGAAQEEGPTRSLTDYLFVPVLPSAHIQNEEGDIRAGQKPSSGFFEL PD H257_09129 MAAVASAASFRVASAPVDRHLTAEQQYRAEKFVGLLYRGAAQEE GPTRRLKEEGENDVVAAGAAPEEEYRHLEAQQDKESEVRGATRPQRRLSFTDAAAPVD RHLAAEQRFQELKHVGILSRGAAQEEGPTRSLTDYLFVPVLPSAHIQNEEGDIRAGQK PTGGFFELPAEFRSANKHTPKQPNAHSAEGPPKRTARTPKSSGAATDTHELPHGASVG VDSVILDGPSRRLAGQQQHAGQDLFTVGLDTLRDFLFVPVLPYSIGADEAKAEPTPSD DAGAVGIQQVGHESPSD H257_09130 MEVRDIEHGSSAYAAALVLREEVLRKPLGMRLDRDVVAKETSDI HVGVFSADSLVAYALLRPAHPIAWMKQVAVSPALQGQGLGRILMEAFQTRAIYEGFHS IHLHARETAIPFYLKLGYTPVDNATIIEIGLPHRHLFKSLENDT H257_09131 MKVIVGLTATFAALSSVATAGRVLRGASPPEHHQVATTIAPLVF DYQEHNGQVDAEGRGVISPLVLDHHEHNGQVDVRRLEAEGKGVISPRVLDHHEHNGQV DVRRLEAEVGGVVLKPSHTESYSGGVGVDTIVYVLDRRLADAAGTLGGVASEVSHSVA LDQFGQDTLTIRSKHSLDQRQASVGVGLDNIIFVPVLPSAISADQRKASHDAVGALGD GRGQIEFD H257_09132 MTTPPPSPRTIRRSRKRSDDIFNIEGSPLWTKPTRHGHKLISLV PNNPINMKGIVGLTATFAALSSVATAGRVLRGASPPEHHQVAIAIAPLVFDYHEHNGQ VGVRPVSRLKAEVSSSPLYLITTSTAAKWTSVV H257_09133 MPTLQIGGIPVSFPFTPYDSQVVYMEKVIQSLEFKQNALLESPT GTGKTLCLLCATLAWRLHRLKQLRAASNKPKVQYETTTSRPDDTDDNDDQGVADKLPK IIYASRTHSQLKQVVKELKQTAYKPKVAILGSREHLCVHPEVSQMRGTQQNHTCRQAV RAQQCTYKAGYDRQAKSKRHAAALPILDIEELVTTMKGREVCPFYLSRDMLVAADLVF MPYNYLIEPFVRNSLGVTLENAVLIFDEAHNVESVATEAASYSLSSLDVQGCIKEVDE CHGLLVNGRIQPGEDTYLNSQSAQTLKSLLMEIHTGLNTFPLNDKGGCTKPGAFIFEF FNQFNITFETAPMVVTITEQVIEAMSDYSQSNPRNSKLDQLLTFLRTIYRDKEHHHVM AKQYRVHIQEERIQPAPGGRGRSSYSRPVSSTQRMFHYWCFHPGVAMHEIMENKVHNI ILTSGTLSPLATTVKELGIPFPIQLENSHVIDPSQVWVGVVGTGVTGKKLNASYESRS SPDYAAELGNTLVNITRLVPNGLLVFFPSYSILDQCTGQWQQLTGTTGSIWDRLGALK TIFVEPKNRVEFTGVVQAYHDAIRDRPTAGAIFFAVCRGKVSEGIDFSDENGRAVVIT GLPFPPTKDPKIVLKKAFLDNQVVPPHELKLTGNQWYVQQASRAVNQAVGRVIRHRHD YGAIILLDERFAYNQQKGTLSKWLQPHVHVTASYGEAHGGLTRFFRRNKEHAAATAAV DAKVKALDTFQRPPPASSSSIPTPASSSSSSSKPFITSKPSDVLASQVPIGDGQSFVP PAKLQAVPRPPFPSLPTTRKPPPPVTAKSTKLELLVHETRQLMTPTQVTAFISYMRDP RRYLPEIDALLDAYPSLRRHIAPMLPPRSVAHAMDQLNQLSSSSTSSMAAPTSSSKRK RPSSSSAASQPAATVVNPQCSICFDIVQTTSAPPCGHLCCVRCWQKLQLPDKTIPCPV CKQTFHADAFTRVVAAAPKRLK H257_09134 MFYRPGTTTQMTRAVQRALNRGSQRSSSSAFRRMRTKHVVLESA VRPALVAGIPMLVDGASYMTDIKTMFTCFEEDDDGT H257_09135 MENHRTRKRSLGGCYRRGLITDDASSDLLFPDPVCFRHSKHLAR LFGKHLVHTCVVRFELPRARVDAFPARIRVAHSFGLLSMLVQTREEGFTNGLACFGDA WKVRAHAQCWRCPIGRCVLRHLVFVCTETGVEVKIANQNTVQDSIDFDWLK H257_09136 MNSLRVIVAKQAVRSFSTAAVFEPVLTTNWEPLRSKLSDPRARQ SLDSLKQTHNNILVEGLLYQHEPEAINFGHYRDLIKNKDLVDALEENYRTIAYPDIQP SDCYTPGRDLEGDLKPTFARLDAEVQDSVERIEELKEFISLLEQTRTTKDTSVDEVAA LHPEIVEEIDDEIAALDWEKDAQN H257_09137 MNQESGVDKIKRKFRENPFVPLGAVVTTVVLIGGMRTFMVGGDS RTQQKYMRARVVAQGATVVAVALGTVLYDKNSVARKWLAENGIVFPGDTATTPPPPTA TTST H257_09138 MTDRTHEFRTLCQNQIPVVAQPVKVRKQKPALSALQQQVQFNAS ASDVSKEVNKVSQRLQQLTLLVRQTNMFNDPTSQINELTQLVKQDITLIHTKLDDLEM FQRSHHADYSSQHAAKHSDAIVTQMKSTLMSTTKGFKDILQVRQDNLQHQHDRKSQYG RATPSVPLAFSAPLPRPVGVEGEGGGSEHVPLISAQSQQQFVVPEQGYADSRAEAVSN IQSHIVELGELFQRLSVMISAQGDSVRIIDENVDDAVVNVHQGTRQLEMFRDSLSNSA LMMKVGGILLVFVVLFLFFMA H257_09138 MFNDPTSQINELTQLVKQDITLIHTKLDDLEMFQRSHHADYSSQ HAAKHSDAIVTQMKSTLMSTTKGFKDILQVRQDNLQHQHDRKSQYGRATPSVPLAFSA PLPRPVGVEGEGGGSEHVPLISAQSQQQFVVPEQGYADSRAEAVSNIQSHIVELGELF QRLSVMISAQGDSVRIIDENVDDAVVNVHQGTRQLEMFRDSLSNSALMMKVGGILLVF VVLFLFFMA H257_09138 MTDRTHEFRTLCQNQIPVVAQPVKVRKQKPALSALQQQVQFNAS ASDVSKEVNKVSQRLQQLTLLVRQTNMFNDPTSQINELTQLVKQDITLIHTKLDDLEM FQRSHHADYSSQHAAKHSDAIVTQMKSTLMSTTKGFKDILQVRQDNLQHQHDRKSQYG RATPSVPLAFSAPLPRPVGVEGEGGGSEHVPLISAQSQQQFVVPEQGYADSRAEAVSN IQSHIVELGELFQRLSVMISAQGDSVRMYDRCMHAPMTWHAHRLCIYLLTCRDL H257_09139 MQRLGCPAHSPASFHIERIDTFLPMTPVPPSTSIVDVLLHAPLL STVLQFQHGLSPDLLERYAECKTMQPLLDWDNTCLYRLPPRYTLAATANDLPRKLPHN LAHLSALPDDDATTLVSTNELCLHGDSPTSHIAFHLAILEGDSRIVRQWINFHGGSRA CVVLTPAAMDFCARIGAQDLLRMLLATTPQRCTTRGVDAASKHGHLSTLMVLHEHHTS WSAAALDGAAFHGHLDVVTFLHAHGAPCTTLAMSGAASRGHLHVVRFLHERRDEGCTK WAMDAAAKHGHLDTVKFLHTNRREGCTDAALTSAAAAGHLHVVQYLWTHRPLDCPLLL ALNAAHVHGRVHVTAYLEQQQSRPSSS H257_09140 MDGDHFVCSACWGRSNGALVLSKTGLVWRSRQTEAQKKVAKDDI ADMRWCAVGARHCHVKVTTKAGKAVVRFTELKVADVKAICKYVEDVWGHIVDEETLAC SGHNWGQLAVQGDTLNFVAHNQRGGGGGVGVVVELPLGLIAQCALPSKTELEFQFHDD NTVAGDEEALVDMRVYVPAAATGGVTTLAERLKNEIVDRANIRHVTGNAIVELDDAKG TFVTPRGRYAIDLFASFMRLHGKTYDYKILYANISRCFLLQLPSSTNMAFVISLDEPI RQGKQRYPHLVLQLASTPEVHVDVKLPPQDLDAFNGSLHQRMTGALPQLVATLFKHVV GKKVFTSGSFLTHTRTRAVKCALKANSGLLFPLEKSLLFIHKPPTYIRYSDVETVEFQ RYTGQSGSSLSRNFDLVVTTRPVGDDDEPHDIMFSAIDRREFPELSQFLTAKKLRILC THQQVQSKEPLGRTRYSDEEESVDDDEDSDFFDARVNLDDDDGKHGKAKVKPHGRDVF KY H257_09141 MMHASTGLRALRRTLTQTRSPVRMYHENIIDHYENPRNVGSLDK KSSTVGTGLVGAPACGDVMKLQIEVDEHGTIIDSKFKTFGCGSAIASSSVATEWLKGK NVDDCLSIKNTDIASHLKLPPVKLHCSMLAEDAIKAAVQDYKDKQKVAMNA H257_09142 MADPTTTAAAAAATTTVLRPPTPIASRPLTPTSPAAAPTDGSPT QRVLGAFFPDSDDTLSSVHECPVCLRPFSLVRFKHRCKACDRNVCNDCSKSRLRLDDM GLDGPHMPKRGQLRKDRGHKSSRVCDPCARSYFESKLDADYPSTTPPRVVEDTPRAPV ALADGGSSPSLSQHPTSSHVPPSYTTLVARSSSSCKWLRRRHFVFFGVFSFGLVLRLV FRLPHLMNVPGTFLCVDAIHRLTRLDVFAVGLLVLIAVDEWLAFQRTRSLKTNQRNGR PFVAESDTPLVSKGADESAAARILRDKSNDHTQNASNEDDEIHDDVRLDKLGLVLTTS FNQGSDLTVASYVRCNVETAKLLVCFGKATAFAASTVAGYVASIEAAMASSLSPDGDN TVTINSPPSLRHMVTNEVDLGVATCGGKKNPSLSRCVLRLLWFLEFVETMLGVLMDPS YGDDIGSGISKAYESTLGTRHPWLIRKGVMTALSSCPLKSAVLATMTQSSPSEDIMTA LADIQRHLHGILATTRSILAEHDLLDIK H257_09142 MADPTTTAAAAAATTTVLRPPTPIASRPLTPTSPAAAPTDGSPT QRVLGAFFPDSDDTLSSVHECPVCLRPFSLVRFKHRCKACDRNVCNDCSKSRLRLDDM GLDGPHMPKRGQLRKDRGHKSSRVCDPCARSYFESKLDADYPSTTPPRVVEDTPRAPV ALADGGSSPSLSQHPTSSHVPPSYTTLVARSSSSCKWLRRRHFVFFGVFSFGLVLRLV FRLPHLMNVPGTFLCVDAIHRLTRLDVFAVGLLVLIAVDEWLAFQRTRSLKTNQRNGR PFVAESDTPLVSKGADESAAARILRDKSNDHTQNASNEDDEIHDDVRLDKLGLVLTTS FNQGSDLTVASYVRCNVETAKLLVCFGKATAFAASTVAGYVASIEAAMASSLSPDGDN TVTINSPPSLRHMVTNEVDLGVATCGGKKNPSLSRCVLRLLWFLEFVETMLGVLMDPS YGDDIGSGISKAYESTLGTRHPWLIRKGVMTALSSCPLKSAVLATMTQSSPSEDIMTA LADIQRHLHGILATTRSILAEHDLLDIK H257_09142 MADPTTTAAAAAATTTVLRPPTPIASRPLTPTSPAAAPTDGSPT QRVLGAFFPDSDDTLSSVHECPVCLRPFSLVRFKHRCKACDRNVCNDCSKSRLRLDDM GLDGPHMPKRGQLRKDRGHKSSRVCDPCARSYFESKLDADYPSTTPPRVVEDTPRAPV ALADGGSSPSLSQHPTSSHVPPSYTTLVARSSSSCKWLRRRHFVFFGVFSFGLVLRLV FRLPHLMNVPGTFLCVDAIHRLTRLDVFAVGLLVLIAVDEWLAFQRTRSLKTNQRNGR PFVAESDTPLVSKGADESAAARILRDKSNDHTQNASNEDDEIHDDVRLDKLGLVLTTS FNQGSDLTVASYVRCNVETAKLLVCFGKATAFAASTVAGYVASIEAAMASSLSPDGDN TVTINSPPSLRHMVTNEVDLGVATCGGKKNPSLSRCVLRLLWFLEFVETMLGVLMDPS YGDDIGSGISKAYESTLGTRHPWLIRKGVMTALSSCPLKSAVLATMTQSSPSEDIMTA LADIQRHLHGILATTRSILAEHDLLDIK H257_09143 MELPGHFKCVAQHVAALPVELHLAKDVKLLQLTYYDQNVDLFHA NPNVVPSTTYMRWAAKQLCQDPRFRILHLDETAAAELALQEWRTDMTRAIYQARLNPH EIQDGPVVVRSERGVVRVQETLAPKQPVDAIATPPVVVKKPHKPKKA H257_09144 MSTRENNKQLETDMDEHGDMKSDVLTQAAVAMEQFTEEKEISKH LKTWLEEKYGPTWHCIVGSEYKTSFTYESKNFIKFNVGKKCITLFRHS H257_09145 MLARQVLSTCRRAATISGSAKRGFMRSSFLMNDKKSEDEAAATS TEEAAASTTDEAADPVVALQAEVESLKAKNTDLTDKVLRALADAENVRRISRTDVNNA REFSISKFAKSLLDVSDNLKRAHESISIEELSPEHSLAAIKNLHEGVVMTDKELQKVF HSFGIASVGEAGDKFDPNFHEALFEYADPTKTVGSVGQVLKTGYTIHGRVLRPAQVGV VKA H257_09146 MEKREIGDEAVWTLSSAKQGNGVQQLRDDNLDTYWQSDGAQPHL INIQFHKKTTVQEVALYLDYKLDESYTPKTITVRTGTTFHDLVDVLTHTTTEPTGWVT IPLSCQPTDLSMLSEQPLRTFFLQLAVTGMHQNGRDTHIRQVKVFAPRQPQRPTAGTF HFRASSSVEVSSFACIR H257_09146 MDLDEKCIYNFLRHRRMLGGELLLFNVDNLDTYWQSDGAQPHLI NIQFHKKTTVQEVALYLDYKLDESYTPKTITVRTGTTFHDLVDVLTHTTTEPTGWVTI PLSCQPTDLSMLSEQPLRTFFLQLAVTGMHQNGRDTHIRQVKVFAPRQPQRPTAGTFH FRASSSVEVSSFACIR H257_09147 MSSAIPHPSPALPPLSSLDEMESKGPGTATITEQDTAIASVAPQ LASQAEAAAPSTTPTSPPPPTSPLTSPPASPVTISSTVREVLSVPSPRHYATSSPPPS ASMLSPRSNSFTKSSPHDKHMSPAMEWRNKSVSCDALRGFESSRKTYIDPGQSADRRY WELFCQEVTAGREHNARLVKFFTQKVAADLAYADSLRRLRLVLDGPPVADPSSASVAA TSTKSKMNLQVASSCDQALAALGESQQLLCEKLEMFTNTVQRDVLLRPFQEMVAKYEE TVSAMLSEGDALDALLHVTQRRVHEAFAVYDGVFRDMERHRHAKDPSGPADSKAAGND LWLAEMSYGVHVKRLQTVRVEYVKGMAGLFHQFKTLEVLRVSVTKSALDIFIRKQKLI FEELGNSMTEPLAIVQKIEAEKDLIQTIRRIPRNNTALALAVDGQEAAFFSSFRSPLA SPLLIRCGFLKYQVTSTMFKTWKDMFGVITQDRWLHLFELNPPTLSCVKSLNEYILEC LPAATADSVTCNPFASVSLPNCRLTIAKGHSPTFEVAEAVASTGLFSVFKTESTKRHM FQCVSQPDLVDWVVAAKRLIPSPTSSHSRPYHHR H257_09148 MQAAPTASALGAIPSGASFFPTPSEISVTMRWMRDHPVVAAATC AAATAASVVAYFALQHKASSTKSSLDDPLDTSDSYEPSLVACKASKRGESNASDHPTE KDSTLSFSSVGGAGDVASSPHTLDLLDAVPEQVLTHTADEYDSESPAWGWYVSLTPPE DMYAPPMYKVE H257_09149 MQSTAISIADRVEARRAKRRNRSKISQRKYRANQKASNTQLVEY VRELEMNNLRLEARLSVLHERQGVSQCIASIKEYLQLFEHGYNPRGGDVGHTNRQEAF VHKLVTPNVDYNGKIGVDYLLDQWATYYALFESFRIEWTTIQVLTVDEHAIVLETTVF MHVLVTDTSVCALFPRLAQHRADLADKLVGTKLTVPLRALFTHDAHTHQVTRVQATAS VAVALVKHLNSVEEASEALLGALLDDNLLLELQHHHTP H257_09150 MDCATLNSKALLPALAAATAVAKTLLGPCRSRKQISGGGNDEDG TTTMFTANIVTVVRSLHVEHPIFAMLLKTVDTHMTHFGTGATTLVTLVHVLTHAAMDL THLPPLHITRGFNRALAQCVHVLTRMQVNVHEWLRETSRHHVRPPPSALTLLGSALSS SHPDRAIAMTTAVEIASSLRSFDTTWPHHVHFQVAVTGGVATSSIYRGLLVDLSELCD DVQWPPHPTHFSFSSHQVVLVQGDIAFSLAACRQLESAALILTTGAICAQTLDWCRHR PIGHAMLCVPYQPLAVLRRVASTFAVRVCERLCEAIVLVAVVPSPCSPSTEAMCIQAT IERLHCRTFLQLQHHTTTPPPSCSPSPPPSSTLSTTPLTVLVTGMSRATADDATANIT NALHRLAHAVEDEVVLPGGGASMAACASALRRRPIPSQDEEIEDDSSMMERIQVIHRF GDALDEWCALVLGNAPEHGGGNDFLDIQTKLGDIQTAFDQGNVEPKFLETTYYGAALT PLVPPRGTFKFDGFRSTKAALTSAVRVVTLATNVGVVLINQS H257_09150 MSQSKADIRGWQRRGRHDDDVHGQHRHCRAQPPCGASDLCHVAQ DSRYSHDSLWHRSDYPRDAGARLDACCHGLDALTPTAHNPRIQSCIGPVCPRPYPHAS ERARVAAGNKSAPCQTSAFCAHPSWVGTLVLVLLPIHTRPFHVACAQLCLVWYYRHPD RAIAMTTAVEIASSLRSFDTTWPHHVHFQVAVTGGVATSSIYRGLLVDLSELCDDVQW PPHPTHFSFSSHQVVLVQGDIAFSLAACRQLESAALILTTGAICAQTLDWCRHRPIGH AMLCVPYQPLAVLRRVASTFAVRVCERLCEAIVLVAVVPSPCSPSTEAMCIQATIERL HCRTFLQLQHHTTTPPPSCSPSPPPSSTLSTTPLTVLVTGMSRATADDATANITNALH RLAHAVEDEVVLPGGGASMAACASALRRRPIPSQDEEIEDDSSMMERIQVIHRFGDAL DEWCALVLGNAPEHGGGNDFLDIQTKLGDIQTAFDQGNVEPKFLETTYYGAALTPLVP PRGTFKFDGFRSTKAALTSAVRVVTLATNVGVVLINQS H257_09150 MQVNVHEWLRETSRHHVRPPPSALTLLGSALSSSHPDRAIAMTT AVEIASSLRSFDTTWPHHVHFQVAVTGGVATSSIYRGLLVDLSELCDDVQWPPHPTHF SFSSHQVVLVQGDIAFSLAACRQLESAALILTTGAICAQTLDWCRHRPIGHAMLCVPY QPLAVLRRVASTFAVRVCERLCEAIVLVAVVPSPCSPSTEAMCIQATIERLHCRTFLQ LQHHTTTPPPSCSPSPPPSSTLSTTPLTVLVTGMSRATADDATANITNALHRLAHAVE DEVVLPGGGASMAACASALRRRPIPSQDEEIEDDSSMMERIQVIHRFGDALDEWCALV LGNAPEHGGGNDFLDIQTKLGDIQTAFDQGNVEPKFLETTYYGAALTPLVPPRGTFKF DGFRSTKAALTSAVRVVTLATNVGVVLINQS H257_09151 MSGRGGFGRGGGGRGGGRDGGRGGGRGFGGGRGGGGRGAAGGRG GFGGRGGRDGGRGGGRGGGRGGRDGGRGGRGGLAGGNKVLVEPHRHAGVFIARGKEDA LVTLNSTPGKSVYGEKRISVDVPAASGEGTEKVEYRVWNPFRSKLAAAILGGVDNIWM GPGSKVLYIGGASGTTVSHVSDIVGPTGAVYAVEFSHRSGRDLINMAKSRTNVIPIIE DARHPLKYRMLVPMVDTIFADVAQPDQARIVALNASYFLKNGGHFVVSIKASCIDSTA PAEAVFAREVKKLQQDQFKPAEQLTLEPYERDHAVVVGSYRAPKKDK H257_09152 MALFRSLGSRCLTAARPSLFRAAFSTTVDADIVPASIKSTGFSV VRHPVNDAALSVSLEAGDVFAVVKLGGTQFKVTQGDIVIAEKIIDAKVGSILDLNEVL LIGSPNETIIGRPFITGAVVQARVEEQTLDKKIDIFKKKRRKNYRRWNGFRREVTVLR VTNVLPGDL H257_09153 MASMGDYRVADISLADFGRKEIEIAQIEMPGLMQSIEEFGPSQP LKNARIVGSLHMTIQTAVLIETLKALGGDIRWCSCNIFSTQDHAAAAIARDGSAAVFA WKGETLEEYWECTLNAVTWPEDDGKGDGPDIIVDDGGDVTLLIHEGYKAEQKFALDGT LPDPASTDNAEFKCVLGLIRRTLQTDPTKWTKIAKRCKGVSEETTTGVHRLYQMEKAN ALLFPAINVNDSVTKSKFDNLYGCRHSLPDGIMRATDVMLAGKRAVICGFGDVGKGSA QAMKAAGAIVYVTEIDPICALQAAMDGFIVVRLETVVSTADIFITTTGNKDIIMTKHM AKMKNNAIVGNIGHFDNEIDMLGLTQATKRQNIKPQVDRFIFPDGHGVIMLAEGRLLN LGCATGHPSFVMSNSFTNQTLAQIELWTEKDSGKYQTGKVYVLPKQLDEKVARLHLAN LGVELTVLSKDQADYISVAVEGPYKPETYRY H257_09154 MKHENKWGLEDLDETPYKAAGSPRHAAMTIEEQQTKHEGTLEEI LAGAKRIKNTAGGLNNEVVAQNHLIQDISQNATQATSEVERQASVAAAVTKKRKQLCF YYTIIVVLIVVLFLILYL H257_09154 MKHENKWGLEDLDETPYKAAGSPRHAAMTIEEQQTKHEGTLEEI LAGAKRIKNTAGGLNNEVVAQNHLIQDISQVRIFHVLACFTKHWINVHFTRRRPMYLV QY H257_09155 MGPSAHVASKRQKLLAEQAMLQQSLVLLEADTHEDLVSRLVPLA SERVRAIAKAETMSAHLMNSANVIYVYECEEAEKEYELSCSKLKHDMLEEIRLEMERV KEQKRSGVGSASYNKAALALQRQTNMRKTRSSKKPTARSLASLGFAEDWARPAAKRLT TVFTPLHKTLSATEIADDVELMESLERTFDEAEEAVRRRKASSTSPVADQTSVFARFV RGKVLYRDMVLQEDDHIHVTKFVVPPATSSATEQQLPNEAPVVEYNAIVCDLTSAEIF VLKENGKYTRLLVQDLRNGSVVIDPADGDENE H257_09156 MSVRLGSKADVVKTLRTLLRLNRTTTTNKKAWSDVILEKFRERQ HETDRTKIKAYRAEATDFLHLWTGVEEQKRLWNMDAGIEKRMKNADIVKKSARLVGLQ VPDMYTDKEENKL H257_09157 MVKKIISVGLDAPHVPDTECESSHAFVDNFKKHFKVKIQSLSDE DIVFDLVGIDASIANAFRRILLAEVPTMAIEHVYLWNNSSIIQDEVLAHRLGLIPLQV DPREFQSFPEHEEGEATDENTLVFKLDVTCTADPNDPADISKATNASVYSRHLEWVPQ GLQEERFGSIRPVSEDILIAKLRPGQTIALEAHCRKGVGKDHAKFSPVATASYRLLPE ISFPAPVVGADAKTLQKTCPMNVFDIEDLAAVAARPRNCTMCRECIRAPGWSDKVKLG RVSDHFIFSVETVGMLRPEDLLPEAIKVLVAKCDVAVESLNAVDDELREEEDEEDDED DDAME H257_09158 MGFFLVTEAFEVFLGQHAVVVEVHEVAAHRVLSFLLFSSLLHEQ FLEMVLRRTFSGRSRQGKLMAVGSGGGHSRSGATTDCLLLFLERDDLSLSAHKFLFLC FPQ H257_09159 MGRREVWASYDDDATPVVAKSTTTTSAEAVQPRKPFNLELLRNK VIFGGLVGSTTGATFGFVGAFQNHHTKHGSVNSQALLGAARTAGTTGAVFGAFFLAYQ GLKTTIEQLRGDEDFLNAGAAVAVAGLPFYRTITFRSHMGYAGLLVALDYFHDEMNNF RK H257_09160 MTHTATPIYAPALCTMSVGGYAYYRDTQRAFASQGVLTLRARKS AASTGLLLGAAVGVYMFTFMDWRRKQRDLLQLNATSKTL H257_09160 MTHTATPIYAPALCTMSVGGYAYCTIRMHGKDMTSYRFADRDTQ RAFASQGVLTLRARKSAASTGLLLGAAVGVYMFTFMDWRRKQRDLLQLNATSKTL H257_09160 MHRDTQRAFASQGVLTLRARKSAASTGLLLGAAVGVYMFTFMDW RRKQRDLLQLNATSKTL H257_09160 MTHTATPIYAPALCTMSVGGYAYYRDTQRAFASQGVLTLRARKS AASTGLLLGAAVGV H257_09161 MLSALARRAIPHATSAVRRHNVTIRCFSDNLDHLKISEVQESRV QERYVQMRKEHFGRREEFPASSQGDIDIDADTANRKRVIYRSKQRGWLEVDLLMGRWA SENVWTLTADELQQYEDILNRETIDIFNFISGKDAIPEEVNTPVMKRIQDFCFSNPLG KASIQGFLDNKKHMSN H257_09162 MPGTATTGSRRMSQLFTQMMGSCPVDIQVYGACVANIEGGVNKN VCAAEFAKLRQCFQRAAANAAKK H257_09163 MAYYEPNQQQQQRDPYSQVDQDGDLDEIYAGAKRINQNARAING EVVSQNHLLDHLGDDIEGGTSALRAQTAKAELVNKRKKKLCKLYVAIAALTVILVLVS AFVPNFG H257_09163 MAYYEPNQQQQQRDPYSQVDQDGDLDEIYAGAKRINQNARAING EVVSQNHLLDHLGDDIEGGTSALRAQTAKAELVNKRKKKLCKLYVAIAALTVILVLVS AFVPNFG H257_09164 MSRAGPNASAVGDVIDDLRESTPSFPLDGSDVTILHSPSDFYNQ LLHNIRHATTRISISSLYIGNDALSRELVQALHDACKATPSLEVSIVLDYSRGQRGGP TSSVAVLTPLLDAFPSNVSLHLYRVPQLDRWGFLPPPFDETIGVSHTKVYLCDDTLIM SGANLSGDYFTQRQDRYIQMHNADLAGFYHTFVKIVTDHAHHVQLVPSATASVSSNHT TPSEDRSPLTTSVVPPATSHVDFASALLSLQDYQPTTPRWTTTTMAIPTIQFSPLDIL HDEDTLSHVLHRLPASSRIDIASGYLNFPPFLIRLLADRAFHLDVVTAAPSANGFFNG NGIKGALPMAYSLIEAEFYDRFATSQLTLREFNRDGWTFHAKGMWIQHQDEALTVVGS SNFGQRSYGRDLESQVFLYTTDATLQSKLKDEYSRLTQHSEPVTAALWKRPERQLQGL LNWKSGHWIRPAMRAVKSFL H257_09164 MSRAGPNASAVGDVIDDLRESTPSFPLDGSDVTILHSPSDFYNQ LLHNIRHATTRISISSLYIGNDALSRELVQALHDACKATPSLEVSIVLDYSRGQRGGP TSSVAVLTPLLDAFPSNVSLHLYRVPQLDRWGFLPPPFDETIGVSHTKVYLCDDTLIM SGANLSGDYFTQRQDRYIQMHNADLAGFYHTFVKIVTDHAHHVQLVPSATASVSSNHT TPSEDRSPLTTSVVPPATSHVDFASALLSLQDYQPTTPRWTTTTMAIPTIQFSPLDIL HDEDTLSHVLHRLPASSRIDIASGYLNFPPFLIRLLADRAFHLDVVTAAPSANGFFNG NGIKGALPMAYSLIEAEFYDRFATSQLTLREFNRDGWTFHAKGMWIQHQDEALTVVGS SNFGQRSYGRDLESQVRRHALDDKYADHN H257_09165 MLWPREHFRVAFKQVVSDAIDTHGASVLLLVALDVDSIAAVSIL TSLLQAELVAYSMVPVAGYKQMAAMTFSSEIRSIFLINCGAMIDVYSTLKLTDQKVYV IDSHRPLHLANVYDRHGTVVLFDDEGQAEDDFPDDGSDIAAIELEEDDDSDNERDHDS DDDDDSETEADLVDTTATNGKRKRTDSTGSLTSDDNGDDNGDDNGDDNGDDNGDDNGD DNGDDNGDDNGDDNGDDDVVRSDGDGSEEDATQDNSGDGEPDAEQVPPPATAITSDKL PSKRKRRLDILQYYRGTFHGAPAATLTFELASQLNMATADKVWLAIVGLTKQFVAQQI DADNYNMLVQKFQDEVLALPSNGGSNDVVHDVDGTVLPTVQSGAIAFEEEYRFMLYRH WSLYQSMYYSNYVAAKLKTQKSMILLPFNACDTFVGKDELEVFLARMGLSLKECQQSF TFMSRDLKQTLREKCRDIAPEFGLDELFYGSFRRQFEFKYQWCAADVVHGLSALLEAP LHVARKALADHVPDALQGTSDDDNLSDGTPSAPYWQHSFHLALDALPCSSTRSCVLLE RGMAMAMQLQQAVVTLGVSILDRKLIVRVKHFRFVCLRLSEEEEHLCGHPSTLSRLAL FLVDVHREQGKWIGKHAAPFVLIAHIKARNVYLVVGVTCPERAGDIHRKYVHVDIYLL VETRTIG H257_09166 MVTMAKSCVLLQDAEVISLPCDPSSASLSPDGMVVTGWTSGNTL HAYYGGEAILSRAVDLPSSAWKSFLVKSRVASASLFEFVLLVVCIDGSVCRVRVQSAH DTIQLLAQVSQSHPTLTACDVCDNGRLLVLAGGIRSNAKDMEHGSTLSIWNVLDESAE LLHYSTVLAHDKLVMDAVPAAAAPSSWWSLLSGAEQLYPGYIQDIAISPDNTLVALRD SSGHVSIRQVDTCATLVPWSLVGGFPIVSLTWFHTHTLVVAATTAAAPFLCCVQGDKL MISSPPSSDVSPSASATVSHALTNNSSTGDGVFYHLFCPLNNNETTTTWALVEFQDVP VEVVFASRVSQGRWDDALELARVHPAAALDADVVHKAAWVAFVQSAATSTSAQLEQVL RMHVTNILDIRWSLDSIRHCVLDTPAACHRVWSFGLSTVVDGGHDVALLQLLDRLDTF LRLLWADECSVPATSSFELVVAMDTLADCFDVASFRQFLDRSWVDIAMALATAGRVSA LTVVFLRHGYHVVPHRCSILSALPASIPPRAFQHLLPAIRFPHHPGHSNPSFPSYSIG SDGMSVVEVVLREGPDVTPDVVAAYNHSFESEEDGKREALGHWFASRCFEMDSLFGLI EDAMALLALAWVSISTNDASLGPIDSATTLPSASSHSNHPHKRLAQLQREFDQLYLFA VEFQLTPSWTIQDWRHEMEQNQNSTTTSSAKIQLLRGHPAAAAASDPLLTVLRRGFIR EVDLCAYISSMDLTNLPDFAWASRIIQASSPLASNAPEARYIQDVTQLVSVVLACCFG FELNQQEEEGGGSNDGNMQAFIECAWVMFETLPKVLPDVSLQEEADALERLMTGMEIL ASYHVYVSPKTLKQQQQHASATSSSSSPTTSPQQHPPNQQHLTTTLPCSLFASDLVRR CCAFATHQPNHVINVDKLVDDMLQLQLYAFPSVLSVDSTRAMVVRSLLATSSAPSPRL RQLCPHPQLLVDAAACHFQAAPSSTSPGIALALTYCAWAEQTLEANKEDHPIRAISTS YKAIVQATQWLEQCHGHDISPSSILALSPSARLATVQELLLHRPQDCIDHLDQLQDVA LWLDLTNNTSKTLSQMRVWAAYAYLQTGHVLNAIQLTTALLRDKTAINDDDAANDAIV AQVTSLALDLTTTGGGQGYYEARRDLSRVAVMAVPDPSVAFLALLDAAKQLDAVVRAM KVLRLTDADIAAEKMDNRRVSISEWLLDQLSVHQDIIQHDSILYQQSLSASMQLVAVF ATDVVVAELQPINEAPVKHEDDKEDGDNDGLADLVGRLAASLLDTGHDMDLAIAYLNA LPPSRAFAIWTAACGNLGDGKDSAGKLSHLATAAHAFFSPWYPELAAPFASLQVESKQ SADLDIVERLLGSLDRGRFQVDHVYRDSILVALLHYNQNHNTTSTTIAFTLCEQYRIR PWELCMHFLESLVVSDLAETATTTRDVELKKAHQVVVPPRGIPLLEHLLTEPMTLSRR LLHVTLFRVNPYDSIAWEFLWRVCLECHKRLVLVLLHDDQTPCDKVKKDDDDVAQSTM IPVDRLKLFVACAKKLREAGLPVHIAHFCGPATTFCTPADVQLAVQAAIPHLSGTSIK TLAMLLSKLHNVPASAVIVIYMDIIWTHESNVDLAYEATKPFLSGVSTDHVVWLANHF VGLPSATSSVPPMYGHTFQSPAVFGARLTPSKRLEIVSDLYKLVSSRHVQGNSSMDVA LLGNAVLWSALLALLAVSHVEFVLDTRDKSPTFPFDEAIAVWCARGLSLERCRMLLQL TSALDDGRDHQVYFTRHIAGMLHTHVPQLVDANDMANTQEEEHPLHVYLVTKWTPTTT GDPWAELPLQLPDVEPLDQLFCDTVLAWLNDVEPCASTVTLLKWLAQLAPSDAVAANH TTSSILSMLYDLHGDIPWKDHAALVTSDFGAVFDLALQHVPDTPSTNDGLAQLFIYWE TSTTTTTQHGLSPSATPFTSWSWPDELHKVICTRLELDVPSPPNHPSPRRSDHPSLWR RLWQRRNWAEPLLESLLRNTATAYASLTEADALSFLTECKFATVALLSPFQALHMAAV PLLDWSRLSASHLELLLVRFSLQQLQSMPQVPWERVVRHCQRQDRMAIATRTSSSQHH ALTSLLHVVVGFVVEDDFSMASRVLCYAANVHPMAWHTTMYEPLLKQFLAGWQLHHAS IDVPRQQLVDKLAYLVD H257_09166 MHVTNILDIRWSLDSIRHCVLDTPAACHRVWSFGLSTVVDGGHD VALLQLLDRLDTFLRLLWADECSVPATSSFELVVAMDTLADCFDVASFRQFLDRSWVD IAMALATAGRVSALTVVFLRHGYHVVPHRCSILSALPASIPPRAFQHLLPAIRFPHHP GHSNPSFPSYSIGSDGMSVVEVVLREGPDVTPDVVAAYNHSFESEEDGKREALGHWFA SRCFEMDSLFGLIEDAMALLALAWVSISTNDASLGPIDSATTLPSASSHSNHPHKRLA QLQREFDQLYLFAVEFQLTPSWTIQDWRHEMEQNQNSTTTSSAKIQLLRGHPAAAAAS DPLLTVLRRGFIREVDLCAYISSMDLTNLPDFAWASRIIQASSPLASNAPEARYIQDV TQLVSVVLACCFGFELNQQEEEGGGSNDGNMQAFIECAWVMFETLPKVLPDVSLQEEA DALERLMTGMEILASYHVYVSPKTLKQQQQHASATSSSSSPTTSPQQHPPNQQHLTTT LPCSLFASDLVRRCCAFATHQPNHVINVDKLVDDMLQLQLYAFPSVLSVDSTRAMVVR SLLATSSAPSPRLRQLCPHPQLLVDAAACHFQAAPSSTSPGIALALTYCAWAEQTLEA NKEDHPIRAISTSYKAIVQATQWLEQCHGHDISPSSILALSPSARLATVQELLLHRPQ DCIDHLDQLQDVALWLDLTNNTSKTLSQMRVWAAYAYLQTGHVLNAIQLTTALLRDKT AINDDDAANDAIVAQVTSLALDLTTTGGGQGYYEARRDLSRVAVMAVPDPSVAFLALL DAAKQLDAVVRAMKVLRLTDADIAAEKMDNRRVSISEWLLDQLSVHQDIIQHDSILYQ QSLSASMQLVAVFATDVVVAELQPINEAPVKHEDDKEDGDNDGLADLVGRLAASLLDT GHDMDLAIAYLNALPPSRAFAIWTAACGNLGDGKDSAGKLSHLATAAHAFFSPWYPEL AAPFASLQVESKQSADLDIVERLLGSLDRGRFQVDHVYRDSILVALLHYNQNHNTTST TIAFTLCEQYRIRPWELCMHFLESLVVSDLAETATTTRDVELKKAHQVVVPPRGIPLL EHLLTEPMTLSRRLLHVTLFRVNPYDSIAWEFLWRVCLECHKRLVLVLLHDDQTPCDK VKKDDDDVAQSTMIPVDRLKLFVACAKKLREAGLPVHIAHFCGPATTFCTPADVQLAV QAAIPHLSGTSIKTLAMLLSKLHNVPASAVIVIYMDIIWTHESNVDLAYEATKPFLSG VSTDHVVWLANHFVGLPSATSSVPPMYGHTFQSPAVFGARLTPSKRLEIVSDLYKLVS SRHVQGNSSMDVALLGNAVLWSALLALLAVSHVEFVLDTRDKSPTFPFDEAIAVWCAR GLSLERCRMLLQLTSALDDGRDHQVYFTRHIAGMLHTHVPQLVDANDMANTQEEEHPL HVYLVTKWTPTTTGDPWAELPLQLPDVEPLDQLFCDTVLAWLNDVEPCASTVTLLKWL AQLAPSDAVAANHTTSSILSMLYDLHGDIPWKDHAALVTSDFGAVFDLALQHVPDTPS TNDGLAQLFIYWETSTTTTTQHGLSPSATPFTSWSWPDELHKVICTRLELDVPSPPNH PSPRRSDHPSLWRRLWQRRNWAEPLLESLLRNTATAYASLTEADALSFLTECKFATVA LLSPFQALHMAAVPLLDWSRLSASHLELLLVRFSLQQLQSMPQVPWERVVRHCQRQDR MAIATRTSSSQHHALTSLLHVVVGFVVEDDFSMASRVLCYAANVHPMAWHTTMYEPLL KQFLAGWQLHHASIDVPRQQLVDKLAYLVD H257_09167 MSRGGIDFSVDSIPSNSYAYTNCVYTTKEDFVALVNLCPRGVTT KEQIHEFGLNVWVNQKYVFAAKPLREMAPKAIGMGGMQRMCLNLAKSQMCSVTPWVPD VSRGFAIATIHLEVQQVMRAGSNQLNFDCDVVKANFEKLYVNQVFAAGQLLVVDVDGA PVKLSVSKVELLAPPDGVAPSSHQSTMGLFVKGSALVFTKSKDAPVKLVNQGATAGGG NRIFKPDFDFSKLGIGGLDKEFNDIFRRAFASRVFPPAIIEKMGIKHVRGMLLFGPPG CGKTLIARQIGKVLNAKEPKVVNGPEILDKFVGESERKIRELFDDARREQNDLGEASE LHIIIFDEIDAICKARGSSRDGTGVGDSVVNQLLTQIDGVDSLNNVLVIGMTNRKDML DEALMRPGRLEVQMEINLPDEKGRAQILTIHTQRAKDNGFLDDSVVSDLSHCLAPVTK EYLNLAQRTKNFSGAEIEGLVRAATAHALSRGTDGKTFHAAANYIPKLSMADFDLALT EIKPKFGSPQDSLSLYYRAGLHSFGPEFETVKSTLNALIHQVKANENTPLMSVLLHGE PGSGKTALAAACAVASEYPLVRLIKAADLIGRQEGAKCGHIYTVFEEAYRSPLSMIIL DDIERLLEYVGLGPRFSNAVLQALLVLIKNPVPVLGRKLLVVGITSSFDEMKMLGLPT VFDVTLEVPLLRHPSDFDAVLVGAAVNIEPTERSRVVELLGQKPMGVKKLLLISEMAR QRTADDHEEATTTVITYQRFVDCLYKFGF H257_09168 MQPSTSRLCIMATVLAVVMATTATVCCGGSHDVIAPDVWADLHA SPNATVNVLVSFVGGTTKALADAIAVDLHDLHLASSNSRVRQHLVKSTAKSQAIVQSL LRGDSAHPRRRLSVNDEAAEAFSSPEICPGLDDLRVREIYLTNQLHVRRLTLCMAEQL ALRSEVASIRYGATLVLDTTTPPSSHVTDVSTTPWNVRAVDATPLWTANITGQGIVVG VIDSGVRSTHDSLRSNFRQNYGWFDAVELAPYPVDAYGHGSHVVGIALSVAPSAQWIA CRACSSGGGCHESDLLLCMQFMLCPTDSKGEHADCSKAPRVVNNSWGSGIANWPNYKA AVQAWREAGIVPVFSGGNAGASGCMSVKSPADYDNVIAVGSVDATNALSAFSSRGPTV TSGMTKPDVVAPGVAIRSASSQADNQTVEMSGTSMAAPHVTGAIALLLSGNPALSYDQ LYKALTQGTSMSPVKTTDECRRPQDEGASNNNLSGYGLVDVARAGRVLGLESNRPGCG GILYAGLLV H257_09169 MVRVILSFVAAAAAAAAATASPDKIDPSLWRLLNANVTASAMVE LRREPGRLDLELPFDTSADMVRQALMDATAASAAAVQALFHPSSSSSSSPHRHLTSAC PGLAAPEPLHLWIVGRSHIPALTRCVAEYVASLDAVLRIRVEEVEEVDTTAPTAIMIP REDLLPPLWATEMIRAPAVWATGNTGQGIVIGLIDTGVRSTHSLYASKFRSDYNWFDP INKTTTPHDSTGHGTHVAGLLVGDHGVGAAPGASFIACRGCTKGCDEAHVLACMQFML CPTDAAAGNENCTKKPHVINNSWGSATSKDVYQPAFDAMESAGIVMVVSSGNAGPHCS TVGSPADYRTALAVGMMTEYRYLNARSSRGPAKNDTTLVKPDVSAPGFDVYSASNAGD HLFEPQWGSSQAAAHVSGMVALMLVANPALSPAQVRAAVITYVETATVDAAATTNCGG LNDLKFPNNNYGYGLVNASYAVDGVTSTVSKPTTVAPTSAPTPEPTTLAPPSITTLAP TPAPTTTRAQTGEPTPVPTTTSLSC H257_09170 MSRRTTSEDDLALLVQANHERTFLQDRVMKSWGVLACNLLKVPG FSCQELEVDGKKTSHRFHLILDNHEKFQKEYVYLYGLDRPMSASRSLQRRAGLAKRER L H257_09171 MTSRHDDDRERASRRGSQDETVQTPRGDGSRSIHDRLGGRERPV AGSPPRKRTTSVDEDNGLKRRRLSATNVKPTEDDPKLLQRSRRMFGALMGHLGRAKKQ LAQDSDLLQKQDRLLNAAEAKEKQQSQKVSTITQQRSARRKVESQIASYKRAAADKIA KLERKHALSISTERHQARFLQTVSPIPIFYLPARHTKETQALVDASTEAIEEKIQVER REIDAKKRDIELDMKRKLDALEAAVVAVDTKLSDKHHDDTSSQVDADDDAPPTRTLDD VTGAHTTAAPSADVEVSSPKATPSSPATKPSSPVKNTLSPVKEPSSPVHGAALVDVVS SPSRAPALSSPEAHHMPTSSSAIPDEATTEHCDVVATVCDTVDLSIEGKDDDDDEDVE EVIVPSKLKVVELRKALKDRGLDTKGLKDDLVKRLELALQK H257_09172 MDSSIAAVPRHTDDYNASYRDNVWLGQFPLDHQSVLYYFAGSPW YDKSCNNEILKMQRRPLEHLQGMTGIEYELQPNEAKQLPQVLYVIHKKNRTSPRTANV MAVYYVLDCTIYQAPNTYTLLTSRLKKCSYRINKAFKALSAGVRFSPTEGYAWDFSTE ESPAPVIPSEQLAAKLKRKYEKEEKIKQNSARVDSILFGLIKKYAPDVLENKGAAGEV KTEQQPQPDGPQAKRQKVATATTT H257_09172 MDSSIAAVPRHTDDYNASYRDNVWLGQFPLDHQSVLYYFAGSPW YDKSCNNEILKMQRRPLEHLQGMTGIEYELQPNEAKQLPQVLYVIHKKNRTSPRTANV MAVYYVLDCTIYQAPNTYTLLTSRLKKCSYRINKAFKALSAGVRFSPTEGYAWDFSTE ESPAPVIPSEQLAAKLKRKYEKEEKIKQNSARVDSILFGLIKKVPILAEYAYDHAS H257_09173 MASRPTIPSRSRVPVPAAEYCLPSPVNNICGENVWITAGFALFL VVFLLLPTIFKSLKQDDPKPSRATTSGKKGGVDVPNPSSPVVSTKAKTVQPPQEKTSA GDDGDDSDDPNTPEKATTQTSEGKKSK H257_09174 MSNADAVHTWSSLEEQVDALVHVTLSLLDNKQEYVVVTTSTLEG NDWSSSVRLLAFDPSTGHIDHIHAFRLPTTAGALTWHAASSLLVVGGDDGDLYFLTFD CTLMKWTRVLPPHADSIGHDDLITSVDASTSTFASGSWDLAVKLWDVEALALTETLQG HCDKVWAVQWQPQSTSVLASASQDRTVRLWDVRTPSQATTTTVLSTPYATLSLTWQPH NDHGITAGLEDGSIYTFDMRSPHAPSSILANQHAGCVYGVKYNDEGTSLASYGDDAAV HLYSVETDKVAQLPRYSYTMHTDYVRGFAWLGQAKWAVSSSFDKSVHFWQL H257_09175 MELPMLRLRPGHRKHRSIRGVANTLHQLIVPEAVIVNDKTKAQV VVEAIATRPPPDKRHSNTRVDPLVVPPQDNKTNVVPDAPPSQQPPPQYPHQPFKPKEI VRGMDHHRTNTSQRSNPSTTKPMKPEEVLASATHAAALTIHEATEILDFPTVHYVGTA ESKVRSSGVANNGFDDDKGDYIVNLHDHIAYRYDVVGHLGRGSFGQVLKCHDYASRQM VAVKIVRNKQKFQEQSVVEVQLLQHLNHADVDGTSNVIAMLDTFTFRNHLCLVFELLS ISLYEYLKLEQFRGLPVPLLKKIATDVLHCLVFLHAQNVVHCDLKPENILVRKPKPMQ VAVIDFGSSCFHHATFFTYIQSRFYRAPEVVLGLPYGMPIDMWSFACIMAELFTGYPV FPGENEGEQLACIMEVFDVPPKHMVDKCKRRKNFFNDVGEPTITINSRGRKRRPNSRE FRALIKCQDLKFVDLLTKCFRWDPAMRCTPMEALDHEWLTGESKLAKPPVITPSTASS GGTTARPPDKSPHSTHRPSTIHVRKPHNDNHVVVGGGGTRGGGGSMDLMSVLPKI H257_09176 MPSHDTNRRRHPHTLEASFYPWLEAIFFRCASYMCIHCSMDESL DTSTTGDDDDSESLRRMRATTCSYVFSLDSRVFVLHVLLMNAQSVMAAVQSLYMAHCN W H257_09177 MTNDAWVDVDVMTHRHRNHLSDSYSPLVDCIAIPAQDQLNVFRC RTTAATGEFGELEILAQANRAAIKASDPYLHVSWSRHRHGNRVFLAAATLTDVQVWEW KHQSKALQSLRVLKNVSKRICALHWHPLEATLLVHSSTTLKFVSVTEPDDDDWLELST PTTTPKQLSCWNPSGTHLAITGGTTVTVLSWIQSTEADSPTRWRTEPAAMPTMEVDNC IVALAFLTDHAVVVTTERPVHVKPPSSPPFLMAIAPARPILAHVADVEPTASHVINLM HVKSSTSTSSTFSLVLPELSSRSIWGDTEHIAPPIPSASAFSSLLDEGGDGSNTTSQP VVACAQAIVISWTRTEPTVLCRSAAVDMPKLAIPDMVATCRTGTTNVVAVAVGSHVSS SAILVGQYKRGGSPQLAWTLDDDKDSGMIVWPTPRQVHGLTMTRSKTHGDDGVVLVHV LDSHKPSGFSFASSSASTISRSLAYHAHVRRIIAPPSSSLLPPSSSLSLVEMVASLRS LIENRFNQVDGKLHALDRRLQRVEAAFAVGVDDSP H257_09178 MLPGLHSTWPRNTSSRFNPRSSTPTLSPAWPISSDLRNISTPVH VDLEVAWMPTNSTSSPGLTTPVSMRPDTTVPRPEMLNTSSTGSKNGRSKSRTGSGMYS STCFIRSRIAALPRGESVPWTACNADPRTTGMSSPGKSYESSNSRISISTNSSNSSSS TMSTLFKNTTNAGTPTWRDSKMCSRV H257_09179 MAKRNECPNCQFSLVDAATGACKVCDFIQNKQRTRPCTACGKAN CQYFCDTCGNGYHRRCAEKNKVRISPLDDDNEVSVVCPSCEKDDGGAEVTCGGCRKEF TNEDAGLQVGQLVLVEFEMVLYNAVVMEVNEPETSVKIHFVKWSKSFDGWYKMDDERV NESLACDGCNRWFHINCLPEVKSTGRYKAASYVCESCFKEAKMHRTSSKSAKSVVIPV EPTKQPSSRSRSSRKALVVSEDESHDDDDNNGDDEGDDADDDDTIVLSPSSLVQLPEL PKRKVGRPSHKQLEAERLALAKRKAMLKSFKEPSSPSTSSSTTKELDTKTDKKKERPS GPVDPPPAASRVAKSPRLRGAAKSIPPPPPSTEMETQVARTSSPKRKAPTGRSSTTPR TGASPPKRRPSAPVPSNRNPSKPSKPQHRTAPAATLAKSPSPSPIATDDDSDSGHSSD TSTGNGSSGESDHHQDPPLQQPPAKKPRGSQTTVPPPDTPAAPVASSLPPPSVATATT ALIHPRHPHGSLMSAKPSANNSKLILLSTLLNSPHYDKHNNQENYPPLLHLQGRNRLD ILQDVVSQSITSSAPPPPPPPASPPPPLPPGPAPPAVSLRPAASETGLDFDMHFSLRE EMYRLVCDLEEGGHVLRDTATLLRAWTHPTAPRFQDMRFVYLVNKHTSHELLARRLGE LTTKPLPSGHASSSTSSHHPTHHHHHHHSGSTATSAHNQHPLHHTLSMQPKQQSARSF DSYNIV H257_09180 MSWFAGKRVLAAGKDVDRGASNVAVIVGPIAGVLVLLILAMWWW CRRREPSPRSSTTPPHEKPSVDLVVHDDYVEVVEHDTCSRPEGDSADGQTVAAAMKHV QNADVGGDVDVAAVSILDAHRLPHPVVLLEAVLGRGTYGEVILGHYHDTLVAVKRLRP DHNNPKNMQSLVQEIELMTRFHSPFLVHFVGAMWTHPDMDDLLCVVEYMDLRDLQSYL AKSKAGPKKSASFSWPQKMTCARHMALALSYLHDQQVIHRDVKAPNVLLNQSMAAKLG DFGIARQVVEKSMSNAVGTYRWMAPEVLKGKYYSVKADVYSFGMVLSELDTHVVPYYG MTNPKGQELGNFTIMFQVMNGAIKPAFSPTCPPWLHKLALRCIEFDPTLRPTAQEIVQ EIQAQEKLQL H257_09180 MSWFAGKRVLAAGKDVDRGASNVAVIVGPIAGVLVLLILAMWWW CRRREPSPRSSTTPPHEKPSVDLVVHDDYVEVVEHDTCSRPEGDSADGQTVAAAMKHV QNADVGGDVDVAAVSILDAHRLPHPVVLLEAVLGRGTYGEVILGHYHDTLVAVKRLRP DHNNPKNMQSLVQEIELMTRFHSPFLVHFVGAMWTHPDMDDLLCVVEYMDLRDLQSYL AKSKAGPKKSASFSWPQKMTCARHMALALSYLHDQQRRQGAQRAIKPIDGREARRFWN CEASGGEIHEQRRRHISMDGA H257_09181 MAPPLKVLVVGPKEGGKSAIANFLSESTDRLGNQEKYQPTVGVR VLECEKTNGRAQASVEIWDCSGDQVYEACWPAILKDASATIIVYNPDSHVHESEVTLW YEWFVQNAALEPAQCLVFAHANGKVAAATRGKVNLPPSVKTIQTNYESPGVLKSEFDA FVFGVMEQLQQRQGRTRK H257_09182 MYAISGHFNPALTENMHAEGINHPHHHPNHGTILMHNHNKATGF TKSDKAKCRVDNCFPTREGVVWPMVGAGNATATVLNGRALGLYDSFPAHHSAMTTYST ASRCRMFCGIPTIPAATTRAAQYLNR H257_09183 MTCDRFWGLRILAVRHGYSRLYLWPAMDDPTLRANLVTWFMALS SWLARRFGISLSPMVVLTIFCTCEYFQSAFLLSFPAVDAHFRTMYKQNQLASSSIHGW SLPTRSYGALRNMPWWIVLHDMSWLVVACMVLVVYLIAIKAMATAADARQLSSRRSKR RSAVKPLSFEQTVANVHLMHSNVTPSFRAIFLAPHQGLVEPVDDIVGTTDAKILLLNG SSLWCAGWVRLNDDYLVRVEAIPALVVNLVCHTTFFLACGAKVDDGRLVHFECLCVQE LSWRDFFTLSIAHVKLPRRFKGLSLRDEIPLTHRPKLAKDDSDRKSTHVGSSRGLSVF EVTG H257_09184 MLETVMTLLRQGGLELVRAPSFSPATLVVDCTFTGNVDTSTLRV HMVDAFRTQLVTYTMQTLRAVQPLIHQDEACVLVATQWTNLTALRSNPSGGSEPSVEP TYAMQLGSHPTLERVDVVEAVCNAVEWTVRTQNGTIYDVFGMQGVYFGRGTDDLTMSL PGHTMQFMWARDHASAMDRLTTMTILHQTTISHSFAWIQALVVVGSQLGGDCYCRGQS SPSHWRCRVAAGVPRCPAYVG H257_09185 MVKIAAFTAIATTAAVAAKSVTQVHLALTNRAIECAHGVSVNFA SDSQDPFTVTFNSTEGNQKKVDTVSSKYSVTNTASIYTSPFLHSANLCNLNAATTYSY NVGGLFSSTFVSPPGSSATPTVIGLVGDADLEEGSFANLQQPVQNLTTQAILIVGDYS YANGNHKKWDKWYDLQQPIFSKMAQAGVNGNHEVIQGSKGYAKEYYLGYLNRAATPIT PDNAKNFRTYYSINFGLVHLVFLDDYVGARFRIGSTEWRGERQAQVDWLTTDLAQVDR TSTPYVVVLKHNPYYNTYSDHQCQCSPTIYAIDNKEACWNGIYTVATAKREPQCGLQA KLEDIYAANKVDVVFSGHVHGYERSDYVYQNKVNKDVGSVYITTGAGGRGHAHTQVLN VPSWHVYNNPSEFGASRLIATREKMQVLWHTNTDTTKAADAVDIYPRLNAACITKG H257_09186 MVWRRRGIANVLYIGDVFNTQLSQSQSGAVALLNGGVYSTKSYA LLLDIISLVSAVASLGLSSLDKRLPMGSAYCWLNTNRTFAMALTFKLQRRCGATKKYN AALYFAQLPGKQSGHGGAEPGDQRDDSDLVAVVHLLNDVFSGWTQELTAQYAYKSSVL TWFVLAIWSVLVPVQHAAHIHRECSIDAVDTALTCRNGRGGVASCALPSTASRFEMGN SNDNETNFPHCSTPPPSTSLTIYYVDRASSVLNGLLAIRTHRNTLVVLDIKTWRVFVI HLQHGNDANVHLPRTWSCAIPLVDNRGNGGGHYVRR H257_09187 MYSSNAGSALTALQLAVALPDVFSPQEYVRALRRNHDDVTKAAV QLKAKHVWLTANQFDNLSLAKPSVQREVKKQYLQVLHDATDKCKCPIVLFSAAKFHPT QSTDKLVTGNELCTDHGGVSRSDETSSTIDDARRLIVYMVTLAVELMDDANAPGIVYL IDMDGVSSNVVTEGSVHVELLRMLKAYFCDTVQFCFVVNFSANAWIQQATAYVLKTTL GVSDRTQPKIKFIADVRELHPYFHAPSLPQAFGGSYKLMPAAAWMDIQADLEGLDLDN LPADDEETAYMTKQARELNGMQYAGCSVSQVVDMNSTVLRGPLWRNKSGISWVKTYAV LRPDALLLYEHIKGTMPMVIIPVNHDVAVTVSQFENAPRGVFGFRVDVEGVPGGHLLA AASEKERGNWLQDIQMGIQAFQELHAREVYEEERKLRMDDEFRKLNMIDFSTDVVSAA PPPPPPSSPLTASHGSTPSMAVHGVASFSGTMQPTSLMPLAGSTPMAPPYGFAALSQM PPQPHQQMQPPAQHLLYEFNQPLSYPPANQSQPYPNQQAQYPVPPPQPPLVHPPQQQT FYPPSQQYYHPPGQQTQPPQQHPHAYYTQPPPNARSF H257_09188 MVLPSIVPRLKQVVLANDFVAKNATLTNFLNHPAGPFTVHFWAP AFKWGITIANIADMNKNPDLLSMNQQYAVTLTGLIWSRYSLVVTPKNWNLFSVNVFMA GTGLTQLYRKYSWDHGGRDAAAAAAAAQPATA H257_09189 MSNHESVKAKSQLKKSTRARTAHTDFVRKSKQVTLNKRRRLPSQ DMDSDMDDVEFQQLVQALLASPEHTLPILEKLKAGLSVCSAARLDNVAESGVIPILID LLERPSSTTMELTQVLWCLTFITSGLYEHTKAVLPAVPTLLTFLQNADLSEHAAWTLG NIAADCEEFRLHLIRHGAIAPLVEHLHHPQPAMLKVSLWALSNMARGVQTSAKAFFDH DIGSILLALLHANDTPDVVQELLWLLSFLTAKEDKYLRWLLDHDLWTGLLHHLDSKDP AILTPLLRVTGNLCCVTPEAAAWQPPYIHTIASELRFLYMLKRLLWLDNDSHVVAEAA WAVSNLAARDAGVVASLVQHDFIPRLAMCFRDGGYDIRKEAAFALTNIAVTSPSYAEQ IVALNVLDGFVHLLSVPDLHVVGTALQFLENVLRHVPRGVYLVESADGIAALETVQDG HSDLLATKAEQLVNEFYGESYDAPFSPPSDPPQASFAPIDDVHPPTAGGRGRGAHMTR PAWATQ H257_09189 MSNHESVKAKSQLKKSTRARTAHTDFVRKSKQVTLNKRRRLPSQ DMDSDMDDVEFQQLVQALLASPEHTLPILEKLKAGLSVCSAARLDNVAESGVIPILID LLERPSSTTMELTQVLWCLTFITSGLYEHTKAVLPAVPTLLTFLQNADLSEHAAWTLG NIAADCEEFRLHLIRHGAIAPLVEHLHHPQPAMLKVSLWALSNMARGVQTSAKAFFDH DIGSILLALLHANDTPDVVQELLWLLSFLTAKEDKYLRWLLDHDLWTGLLHHLDSKDP AILTPLLRVTGNLCCVTPEAAAWQPPYIHTIASELRFLYMLKRLLWLDNDSHVVAEAA WAVSNLAARDAGVVASLVQHDFIPRLAMCFRDGGYDIRKEAAFALTNIAVTSPSYAEQ IVALNVLDGFVHLLSVPDLHVVGTALQFLENVLRHVPRGVYLVESADGIAALETVQDG HSDLLATKAEQLVNEFYGESYDAPFSPPSDPPQGIVILPKILLTFGLILYKVSIVDLI QIWGGGAIESRQPNRSTIDLIG H257_09189 MSNHESVKAKSQLKKSTRARTAHTDFVRKSKQVTLNKRRRLPSQ DMDSDMDDVEFQQLVQALLASPEHTLPILEKLKAGLSVCSAARLDNVAESGVIPILID LLERPSSTTMELTQVLWCLTFITSGLYEHTKAVLPAVPTLLTFLQNADLSEHAAWTLG NIAADCEEFRLHLIRHGAIAPLVEHLHHPQPAMLKVSLWALSNMARGVQTSAKAFFDH DIGSILLALLHANDTPDVVQELLWLLSFLTAKEDKYLRWLLDHDLWTGLLHHLDSKDP AILTPLLRVTGNLCCVTPEAAAWQPPYIHTIASELRFLYMLKRLLWLDNDSHVVAEAA WAVSNLAARDAGVVASLVQHDFIPRLAMCFRDGGYDIRKEAAFALTNIAVTSPSYAEQ IVALNVLDGFVHLLSGTL H257_09189 MSNHESVKAKSQLKKSTRARTAHTDFVRKSKQVTLNKRRRLPSQ DMDSDMDDVEFQQLVQALLASPEHTLPILEKLKAGLSVCSAARLDNVAESGVIPILID LLERPSSTTMELTQVLWCLTFITSGLYEHTKAVLPAVPTLLTFLQNADLSEHAAWTLG NIAADCEEFRLHLIRHGAIAPLVEHLHHPQPAMLKVSLWALSNMARGVQTSAKAFFDH DIGSILLALLHANDTPDVVQELLWLLSFLTAKEDKYLRWLLDHDLWTGLLHHLDSKDP AILTPLLRVTGNLCCVTPEAAAWQPPYIHTIASELRFLYMLKRLLWLDNDSHVVAEAA WAVSNLAARDAGVVASLVQHDFIPRLAMCFRDGGYDIRKEVCYPIDLQFSTKQRLR H257_09189 MSNHESVKAKSQLKKSTRARTAHTDFVRKSKQVTLNKRRRLPSQ DMDSDMDDVEFQQLVQALLASPEHTLPILEKLKAGLSVCSAARLDNVAESGVIPILID LLERPSSTTMELTQVLWCLTFITSGLYEHTKAVLPAVPTLLTFLQNADLSEHAAWTLG NIAADCEEFRLHLIRHGAIAPLVEHLHHPQPAMLKVSLWALSNMARGVQTSAKAFFDH DIGSILLALLHANDTPDVVQELLWLLSFLTAKEDKYLRWLLDHDLWTGLLHHLDSKDP AILTPLLRVTGNLCCVTPEAAAWQPPYIHTIASELRFLYMLKRLLWLDNDSHVVAEAA WAVSNLAARDAGVVASLVQHDFIPRLAMCFRDGGYDIRKEVCYPIDLQFSTKYDDLVA LVI H257_09190 MLKLGRRALTSCPLVSRWSSSFARSEGTPMFSKILIANRGEIAC RVQRTAKKLGVRTVAVYSDADANAQHVKMADEAYRLGPPPAAESYLLFDEILRIAKES GAQAIHPGYGFLSENAAFAKACATVGVEFIGPPVAAIEAMGSKSASKDIMIAAGVPVT PGYHGDDQSFERIKAESAKIGYPVLLKAVLGGGGKGMRIVDREEDLKDNMEACVREAK ASFASTDILVEKYLRRPRHVELQIFGDKHGNVVHLFERDCSVQRRHQKVLEEAPAPHM SDALRKKMGDAAVAAAKAVGYVGAGTVEFLLDEDESFYFMEMNTRLQVEHPVTEFITK QDLVELQLKVAAGQALPVRQDDLRIHGHAIEARIYAENPYNNFLPGSGTLHHLRLPPL TDSVRVDTGILQGDAVSIFYDPMIAKLVVHAPTRKEAIEGLVQALGQYQVVGLPTNIE FVARTAAHPEFIKGGVDTSFLLKYGDDVLTPFSTIPSHAPILTAIWLVLKQQHQSQRF APIVSDAGSPWTLLQNFRSVDTFHRTFTLLHDDTPIDLDVAATTSDGKSYIVNGANVT VHHVDFSTGDFKLTVGNETFTGTAVVFKQDVHLFCDDGTLAYEYKLRVPEPSYDSQGQ SAGGAASLVTPMPGKIVKVMAKAGDAIVADQPLLIMEAMKMEHVIRAPRDGAVADVNC QVGDFVSDGHVLVELAPLPDKAA H257_09191 MGSTALRNESSGKTLTSTRLEPEEVAVVAAIFQLCGCSQIRLMI FYERGQAATASPLEVVALHMTIKHGAKPSQRRFAPCSMLQAPLNTPAAPLLAVLSNNL WCSLHAR H257_09192 MSTIKQPATHSFLASATKKNDTLLRVKEEVLSPKQTVPAISLVT PSKLKIPAPVPTAAKKRKNVVHSPVGSECSTTSTSSNSSAKKRKASVNDDLFDLNDMG SAEEKIKEMERQLLSLDPDSKEAKKKRRLIRNRMSAQLHRERKKAYVVQLEEQLQAKD DEMQALKAQLDALKAENSRLKDSDIPSIKLEQPTVSVNAAEHPVVAAEATAFDTDALI YDLECEPDHWDSSILNDLDSDMLEFPDQTAPTAIPAAAPRKSAEIHDSFVAKKNLAMM MAIVFSMTFFGGMMSIFDTISSGSGSGFCSYFKSYSPKMLGDMSVKSRVLSDLEGTTW MDFPEMNESEPAKEKDSSSDHNQYSHGIPMDDLFDDISMSFAMPLEDDEEEEDEDMMG GSDSGSTSEEDSGSDSNYVKPTKLVLTKKDLSYANLTRLWCEKRSVLCTLASAGEEVK HAVVDLSHVEDSMAMEVSFAEVLASFTTGAEDPKVLGSTEKQVLSFLYPMGVFSRDET TTVDLAVLEPLPFMEVACHI H257_09193 MDQYKTDKVLAKALYGKVLLCTDKKSKQVVVIKRIQLDAAKKRK ALAGGVKVMEDAEMEKRTYRALREAGGHPHVLHISKDFVDGGFDHLVLEYCARGELFQ ELELQPGHRLGASRAKECFSQIVHGLSFMHEQGIAHGDLSLENVFVDRHGTCKLGDFG LATDMKALKRHCAGKFFYMAPEMYMGLEYDPAAADVWSLGIMLVMMVTGTPLFDRATP ADAGFRFMKAKGLRSICREYGVAVPPDDVMDVLEHMLQVQPSDRWTLAQVRDHGYVQA QVHSIADDKLTTLMRHCSVSSMAA H257_09194 MLPSHHSLLCRELGSRMQRLRQLLLLGLALQYSTAATCKPGYGD DPTTSTGCTKCIQGLFSVGGDSAGCLPVTCPVGSMAFAGAVNQTDCHPCDPGQFSIGG QSICHPADCSPGYEMPLGSNNPNDCVPCTAGTYSTGATSMCQPTTCLPGFASSALKAT DPIATCKACPAGYFGTGNDTQCKPMTCAPGSAGVATANTPNNCTKCPDGAASNGAAEA CTPCDPGFFAASGDAACQPATCPPGWRSNVPSSVASNCTGCAVGTYSTGGSSTCHPAT CAPGYFAPEGSTTADNCELCPAGTYSPGGDAHCKSTSCAQGFGAVAGASDGEANCVAC EPGYFSLGQKSPCLPTTCKPGFASDVHANHLPDAMCSLCPQGYFSVGGSGQCTPATCL GGFMLPQGASDGNASCVLCAAGQFSPGGTSACQLTACEAGFAAPAGASSATAECTQCP GGHYSPGGATSCADTTCTPGSSSPPGASTATDKCTPCDQGYYSSGGSDTCHPTLCPPG AESADVGATDATASCHRCELGYFSEGGSNQCRESTCLPGSSALPGATDPLANCTICNS GRFCAGGSAIPQVTHCPPGQASPRNASDATLNCSECAQGTFSPGGSTACAPTTCPPGT AANVGAADALAQCSPCPLGTFSPGGTAACNGPTACLPGFASTIGAATVNGSCIQCGGG FFSIGGDSSCNATACAPGFGSPPGATDSTAQCRVCEEGFYSPGLQSDCLPMTCAPGSG ANASAATSPTSSCLVCKAGSFSPGGSAPCLPTACPPGTTSPKGADVVDRQCSSCLAGT YSPGGSAACRPASCPTGFSAPPGASTSKDDCVRCAEGFYSTGESTNCIPCGCDQGSAC LSSNSSLCSACPIGSFSAGGFHRCLPPLDATSYVHALLDLDGYAVAYFNQTLVEAAVA AAATFAGVPVQVVELTSSSTSSWEAGACQIRLAFVTTNATTVALYQNLTESLVSTAFT AALQSHGLGQLKAVQMQQLAAVKDGETLDYSALVPAAIIGTNRTNSLVLVGIVTAVVA VLVVAAFAFRLAKFNQTHEADDGLVGTTHRPPKEVFSRGASNKDAQAGGGGIPQRPYV H257_09194 MLPSHHSLLCRELGSRMQRLRQLLLLGLALQYSTAATCKPGYGD DPTTSTGCTKCIQGLFSVGGDSAGCLPVTCPVGSMAFAGAVNQTDCHPCDPGQFSIGG QSICHPADCSPGYEMPLGSNNPNDCVPCTAGTYSTGATSMCQPTTCLPGFASSALKAT DPIATCKACPAGYFGTGNDTQCKPMTCAPGSAGVATANTPNNCTKCPDGAASNGAAEA CTPCDPGFFAASGDAACQPATCPPGWRSNVPSSVASNCTGCAVGTYSTGGSSTCHPAT CAPGYFAPEGSTTADNCELCPAGTYSPGGDAHCKSTSCAQGFGAVAGASDGEANCVAC EPGYFSLGQKSPCLPTTCKPGFASDVHANHLPDAMCSLCPQGYFSVGGSGQCTPATCL GGFMLPQGASDGNASCVLCAAGQFSPGGTSACQLTACEAGFAAPAGASSATAECTQCP GGHYSPGGATSCADTTCTPGSSSPPGASTATDKCTPCDQGYYSSGGSDTCHPTLCPPG AESADVGATDATASCHRCELGYFSEGGSNQCRESTCLPGSSALPGATDPLANCTICNS GRFCAGGSAIPQVTHCPPGQASPRNASDATLNCSECAQGTFSPGGSTACAPTTCPPGT AANVGAADALAQCSPCPLGTFSPGGTAACNGPTACLPGFASTIGAATVNGSCIQCGGG FFSIGGDSSCNATACAPGFGSPPGATDSTAQCRVCEEGFYSPGLQSDCLPMTCAPGSG ANASAATSPTSSCLVCKAGSFSPGGSAPCLPTACPPGTTSPKGADVVDRQCSSCLAGT YSPGGSAACRPASCPTGFSAPPGASTSKDDCVRCAEGFYSTGESTNCIPCGCDQGSAC LSSNSSLCSACPIGSFSAGGFHRCLPPLDVLYFLSFILHEINQSRRVTVHWCILHGM H257_09195 MLAAECCFFNSCQNPSEAGSWKCIFHRSRDKCSAHHCRNQACGR GYCVSHGGKRPCLSFGCDANARIGGFCSRHGQTKKKRSCEVEGCTKFAHARQRCVAHG GGRRCDAKGCLSQSRNGGYCQRHGRQRAILSSQERKHLQIKSEPSIAAEQQSGVRFWG DALCSSPSTMLMGDCYPYDDDHTLLDLDEVKLLELLLEATAGN H257_09196 MDEGRRRNNSSWNRGSISTTNRMRHYENQNTHPAMLFFNCIALS LSVSMTLMACATVFRWATVRKSPNHGTLFMVFFSLGMWAMAKLVLLVLVETLSGRDMW IGRPPVMYATLVSDLFFNATSLWFIFATYEFQRWVWRRRQHTRYVLVRYQLVVVAICI AHAVSLIVVDRQNPPSSSYGWRRLNNTTPSTPGAPPPSRAPRRSRPTLDLMEYLFWTI YSIRWLAVLYIVVVGLVFSCRKEYDGAHYSGDQHKHMHRSRSSQLFVLLLLLLNLPYL VLEPLFDFGILDKATMLIVFSLSKCAMYLSGVGMVNLLGLYLTDFDALYTIKDVPATR TPSAFVVFDNSQHNKPTLVLTRS H257_09197 MDTTGRCQQLATMGGPLPLIEVGMAFADSKEALHYVQDYALQSG KQVKVMAKSGGGHKRLICSCTTCSFFVQMYQQKKAVDESKGRQWYISSCDLNHAMDCL SVAKPTCRQLIEMQSLQHAVQANSKISANALISALPSLDLKRLQRTVYRAKREILTRA PDDGTYERLMDASMFPPHAKQVVSPAMAAPTSKKRKDGDKDLVEIERARLEIKRRKEA RLEREAQVNYRILVAKAEEAELALKVARAKARQVLLNSGISIEEVEHVLL H257_09198 MTLSETFRRQIANFTYGQVISILLVCTSIFTNKLQRNDALVVTF STTFLYVLLALVFLPYFYVKRCASVHLPVWFWVLFGLIDVEANYWAVAAFAEDVNYAI LGLILHMTIPVVTVLSYFFMGKRYNWFHVVGCVFAVAGFVVIFAANNEGGEFPNQMRG NLKSLLAAGLYALSNLLQEFAVKRNNTGIDANIEVLGKMGVTATIVSLIQMAIISEYA KVTDVDWSAENVGWNAGYVLTMFLFYATVSVFLRVSESLLFNISLLTSDLYSALTMMW VFDGSVPGLYWLAWGLECVGITVYSVYEPIELNKSKDTASDDAVTPEDTPKPDLSNEA YHKV H257_09199 MSSSSEEDVPLSQLRVAQNQRRDVDVKRDVKETKKDATKEVQQP ATKLAGPPKRVLDDSDDEMPLLAMKKSKVKKESAHIDDDDKPIKKKVSSVSVKREAKS DSPVKLEKRTISTSSKQRKAKSIAYKQQEASESLYDTLKGRLVQELLCRWWYALDWPS HDTKREETPDLQPLDGFPGSFISVKGDDMGSIVDKRNASGKPTFITFFAMPSKEVQNL LVVAYANQMKVLAKHEGPDAPLLKDLGQALKSAQSINADKAERESIKALKEYVELAAR LKELRE H257_09199 MSSSSSEEDVPLSQLRVAQNQRRDVDVKRDVKETKKDATKEVQQ PATKLAGPPKRVLDDSDDEMPLLAMKKSKVKKESAHIDDDDKPIKKKVSSVSVKREAK SDSPVKLEKRTISTSSKQRKAKSIAYKQQEASESLYDTLKGRLVQELLCRWWYALDWP SHDTKREETPDLQPLDGFPGSFISVKGDDMGSIVDKRNASGKPTFITFFAMPSKEVQN LLVVAYANQMKVLAKHEGPDAPLLKDLGQALKSAQSINADKAERESIKALKEYVELAA RLKELRE H257_09199 MSSSSEEDVPLSQLRVAQNQRRDVDVKRDVKETKKDATKEVQQP ATKLAGPPKRVLDDSDDEMPLLAMKKSKVKKESAHIDDDDKPIKKKVSSVSVKREAKS DSPVKLEKRTISTSSKQRKAKSIAYKQQEASESLYDTLKGRLVQELLCRWWYALDWPS HDTKREETPDLQPLDGFPGSFISVKVRKRPLSIEPAMF H257_09199 MSSSSSEEDVPLSQLRVAQNQRRDVDVKRDVKETKKDATKEVQQ PATKLAGPPKRVLDDSDDEMPLLAMKKSKVKKESAHIDDDDKPIKKKVSSVSVKREAK SDSPVKLEKRTISTSSKQRKAKSIAYKQQEASESLYDTLKGRLVQELLCRWWYALDWP SHDTKREETPDLQPLDGFPGSFISVKVRKRPLSIEPAMF H257_09200 MTTRPGVGRYKASKYDIWALGITIVIGGQYFSWNAGLAAGVWST LVSIVVTGTSYVCLVLCLAETVSGLPFSGGAFGLSRCSLGFYGGYVVGCCECLQYIVY TASSVLVLGNMILELMDPLPHLVWFARPLAWLSIYGVALGLQNWGQRCFWQCNVVLAF LSLGILLVYILGSLPHVSYEKYGGGPTHYFKGGMQAFMENFPLSAWFFVGIEALNAVA NMVDAPKTIIPSGQTACVITLAATAVGVYFVAICMPPGVDTTEVDLVVIRGGIMASFG AGPYVATALSLPATFATIYGFIFLYSTVLASMAASKLMPTRLGYQHPVHKTHPVAAVA GSAVSFVLCIVGQYWTTLHLFNICMVFGFSANMAQLWNYVYMRRNFNHLTRSFQSPVG LAGAAFSMVVSGLQLVALLVFQPFDGITPSVVAVTLLVLTCVYVYSVRDSQTISRDEH RVLFFAHISKSNYTKREITKRRTSLETSAKRNSAPADSKESTTTRGPPSIMSMQTSDS LLINFTRRDLMHSWKKIAPTPDSPLQYGQPSSPTTDDVVDAVPSTSPTKHS H257_09201 MTAPTSNFGWPVDTADLHDTNKTHDAEDMADNYSDSDASPFNDM TFDEAEADSEYAPSSSSNDGHSDDDDDDIDTDEDASLVPDDEIDSRLWSSSKEKQQWA VKYERTNAAWQRKYGCRIDSEARPEVSAKLRRERWWRRVQQVFVVLTVAAYFGHWWAI WNDYDVARTVAPFRLLEWWQGSPAPTPVSPDLGTTDDDRVADVAELLLADLAAASDEV QGPTDNRVDGQSNATHAEDAPDDSSNATHAAEVSEVVEADHELHPPESPPRDVDEEHS ENVLQSDDAPMTPVESNETAAGESSLAEEDSDRPSKPDVVQVELSNGVMKTTMERDHD DVAGPHDLPTSSDNVPMIAASSQPVQGEWTFGNNHHKEPSDNTNSTTTEDTSGHKLTE TCAAAWGPDICATPFISSDQDLTCPYKSRGGSTAQETSLPPAMAVDVLPDENTAALQK SDDLVQVSDSPVSSSTLTSSDAQSSAEPTSTSSGRPPSLAHDDGGMVQVQLAVNQCTI HAKALVPHTHETDAAAAARAACDEAVQLAGDNGAWRERALTGRGDLKSLLRDLTGALD DYEAAMLVRASTKHHDVIALKWRSVQWMDWYVKGAMAALAADCRDVVARDGGDGNDIL RAVAAQWLEVVRPGADVADTFKEQLFKVLVDSRKLTMTSLTVIDDIDVVTSK H257_09202 MRFEPTSFEIDDGDGSDDESTTKPWLVGVPVQKAMPGDQVMQCA GIVKDGSRCTRTYTQTTSATVSFCFKHRHQQRTSTHGYAPLAQLDIQVPKQRQSNVVP SCRCRGAMHWTYQSMCAMFCLFLAIGLLFTSLVLEIPHIGLASAFFFCIPMVLLANCS SRYERLSRGKAADRPTSMAEFYACWVNRSKTLELLDVSLRDCTANANKELLAAICIQR IYRGQRVRADISRCREAEIVVARVFRGHLGRHTASQERRRQIHLEAQARLHHVARVIQ TRYRGWASRKYKLNFATRKLYLKDLEAKGHALRDTLRQRLDAQRAAEAARVDAESRDE LVRITQHLHHLVGTAVTPGIFSSPFLQSKPTAFGVPVETHIRTNTLNYLATVPKKLLP KSQLKPKPPAVRTSLQATSVYQVDKVYDDREKRYQKASQITEENFVTVVHPASTYAYD KSVNNGIEYLDPRKHPFCQRSANKSPVKSRESIVLPPATRPSSQALPHVKKKKPHSKR TVVE H257_09203 MAGRKFEDLVDQFDKSNSYCLNEDPSFGYGNLFIGDESLVLKSE ADEQLLIHLEFKEAVKIHSISLKAPKDDSAPSVVKLFVNRNNLGFSDVTDIEPTQKLD WTQDQLQSGTPVELRFVKFQRVTSLTIFVEENHGGEISALSSLKLFGESIQGTNMNDL KSQGHEH H257_09204 MPRIETETKLDFKDVLIRPKRSTLKSRSQVDVSRTFTFRNSKRT WTGVPVIAANMDTVGTFEMARVLSANQCITCIHKHYTVDEWNAFAQSDPDVLPFIAVS AGTSTADLERVSSVLNAHAAIAFVCLDVANGYSEHFVDAVRLVRAQHPTHTIIAGNVV TGEMVEELVLSGADIIKIGIGPGSVCTTRKQTGVGYPQLSAVLESADAAHGLRGHVIS DGGCTCPGDVAKAFGAGADFVMLGGMLAGHDESGGDVIETTDGQLVKRFYGMSSATAM KKHAGGVAEYRSSEGKSVTVPYRGPVDKTIKDILGGVRSTCTYVGAGQLKELTKRTTF IRVSQQLNEVFGKAPNEQEEDARVTLATKAQTTT H257_09204 MPRIETETKLDFKDVLIRPKRSTLKSRSQVDVSRTFTFRNSKRT WTGVPVIAANMDTVGTFEMARVLSANQCITCIHKHYTVDEWNAFAQSDPDVLPFIAVS AGTSTADLERVSSVLNAHAAIAFVCLDVANGYSEHFVDAVRLVRAQHPTHTIIAGNVV TGEMVEELVLSGADIIKIGIGPGSVCTTRKQTGVGYPQLSAVLESADAAHGLRGHVIS DGGCTCPGDVAKAFGAGADFVMLGGMLAGHDESGGDVIETTDGQLVKRFYGMSSATAM KKHAGGVAEYRSSEGKSVTVPYRGPVDKTIKDILGGVRSTCTYVGAGQLKELTKRTTF IRVSQQLNEVFGKAPNEQEEDARVTLATKAQTTT H257_09205 MDTNSPRLSQFTRAALVGFLVVVPVILVLVASWSALLPLAMLYL PPSLFALAVATSHRRQNTHIRDIDTDALVRLFLGGFFPGVILAMLAETVLTVAGLGVF LALDHGDILGQIQQYRAQHPPPANATLNVGDVLSHIRIRHSLSVLLGMAFMAFVVAGA VEESIKTWLVLRGPCFIRPSTWSHGATSSTLSVNPPTDAAAATPPFHFVLSFAAVGCG FATIENVSYAFLTPTLALQIWAAAVRGLLATPLHMICATLTGMQLVLLPPTTSTSKLF SRRVGRAMLPGIVLHGAYDLQSFLFTFWLNDDDNIMSFGASALCLVVGGLYLNYLRGH IHWTDNYHPVGLVDDDSASMLV H257_09205 MDTNSPRLSQFTRAALVGFLVVVPVILVLVASWSALLPLAMLYL PPSLFALAVATSHRRQNTHIRDIDTDALVRLFLGGFFPGVILAMLAETVLTVAGLGVF LALDHGDILGQIQQYRAQHPPPANATLNVGDVLSHIRIRHSLSVLLGMAFMAFVVAGA VEESIKTWLVLRGPCFIRPSTWSHGATSSTLSVNPPTDAAAATPPFHFVLSFAAVGCG FATIENVSYAFLTPTLALQIWAAAVRGLLATPLHMICATLTGMQLVLLPPTTSTSKLF SRRVGRAMLPGIVLHGAYDLQSFLFTFWLNDDDNIMSFGASALCLVVGGLVDDDSASM LV H257_09206 MSKSLGVPLSLLHEGEGHAITLELKNGEIYRGHLVESEDSMNCQ LKQVTLTGRDGQISRLEQVYVRGSQVKLFILPDMLQKSPLFKKVQALKKPDQDKKHRK GKSSGGRGRGRGRGGPPKQPAA H257_09207 MGCLASKSRRVNVPPPSVHVVSPQVSVHDIPHDALPITPRPPST TTNTPNTTIHSTDSREATASSKAHRLSKAFSSSGLPGGGGSGRRNSRHHTKGVLASVR SHLSLLNTSNRSVNSNRSSRNSFGVSTSGGSMKGYKFDAMLEPDPGSNHTLVDSAFRA KGYRWIISQVESKTLAALDVHKSYMGDDLQHEVKLFHGGDVVDAVRNRNVMTVLDTYA KCLWDALTMSATTSMWRVQCLEVVDAATCYTQVMVVDGISPGHPLVLNVLLKFVRESV DRHVIVLRNIAEDAKFPLPRQSIAFSVSGWIVLDRALVASGRRLGFEQNPKPATHARS LFRCRATKDSTEPSIPLSPHSEGPTQPHPDDDEDTAADMSEWLMNAIVQIFHAIESSA IQQLSTIDIKPKR H257_09208 MGHGASVASTAPHWTATKTTLRLINVKAKKGGHRKDRNSRTPSS TNAADDDEDNCPLPPGLHHAPPFLHHKTTSRIKPRSSKGKPDQDIPCHSLVDMQFRAD GYQWIADALEKETKAALRQVRDRVGRDRHVPQVRFFTTNGDGIDLVRCRLVDMPLESF SRCIWGTFTSTMTYDDWSVQCLERLDDNTCYCRVVFDHGIATNVPLRLNILQRQVRTK DRVVIVLRNVVEDNEFPLPPQSVRLLMNGWFVFDRDHQNATLARTLVQCHATRTDNNL SEEQEIQRAKDPPHYNRPRTPWLRQLVGRLFDAVDATNTAKLHVSPPEAANLVIRKHS AASNGNYLESR H257_09208 MGHGASVASTAPHWTATKTTLRLINVKAKKGGHRKDRNSRTPSS TNAADDDEDNCPLPPGLHHAPPFLHHKTTSRIKPRSSKGKPDQDIPCHSLVDMQFRAD GYQWIADALEKETKAALRQVRDRVGRDRHVPQVRFFTTNGDGIDLVRCRLVDMPLESF SRCIWGTFTSTMTYDDWSVQVLNGTVISQICGHLQVVLCISASNDWTITRATAVLCLT TALRPTYPSASTFCSDKCEQRTVW H257_09209 MPSQDWIRVLRGVGLVAEQVAKNSKPLEEQSKRVLSHAADLITL APQLFTSVPPTLSEPSATTSATDDDNLQHEFSSLSTTTPSNDVASTALPPASPAPEVP PSTPSQPYSPPRPQPTQLEALRPSIEAKEWTEKHVPSSPLARIVGFGALAARLAVGTA TAVIQNQGSSEKKSIHQAFVSDANAERLADALCTMRGAALKLGQMLSIQDENLIPPQL AAALDRVRQGAHIMPKSQLFAQLTKEWGPEWRDHFEAFDDVPLAAASIGQVHKATLVT GEVVAVKVQYPGVAESISSDLLNLKRLVTYMNVFPKGLYIDEIIRVGQEELTAECNYT QEAENQTIFKTLVDDYELSRHFIVPTVYPDLSTNRILTTSFIEGVPLDKIVLLSQEIR NHVARQLLQLTIHELFVWRFMQTDPNWSNFLYDPESGKIGLIDFGAARAYPKEFVDTY FDIVWGAAQLDANAMMDSSFKLGFLTGDESKDMLAAHEAAGMVVGEPFASAEPFDFHG SQLTRRLAKHTEMFMSGRLTPPPREVYSLHRKLAGAFLMCIKLKAVIPCRDVLEDVAK LYHKQ H257_09210 MRHQVQDAPLVLVWMTTWYMPWLVYKSIWPQRWNPGIVHAPPVR FVVPACLCMVLAITRPLLSLIDLPCWLHLAWGVGVVATSAWVLVLEGRFVITVHATDL LARYSKWNQAHFKTMYRYRQCLRVQRQVGFSIVSLVASLVLWMAYATMPRPLSTTLML LGTSPHIALDRSVAITGPWLALTVVSATLLHRQYRSHHSMDYFHLFRCLVARSRGTLV LLVVAVALTKANAWQYSPAFHIDGILDTLLVQLNAFYLLRGTTTLVRYGGMSRRRLRA SSKAPPMPRRKSLEMWSAFLDSPDGYAAFRSYCYLELRLEDVLALRLCQEFRRGPLHS LFAAQHVYQQCISSGGPLTTPVAAAWRPALRTRINKVAPLELDAALFDPIAHDLLCAL YTQVFPRFCRHPFGRSWKWFAMRFFKEKKKKQPKANGRLKATYLVRGSIDERNEPTTT DSTQSST H257_09211 MVSAESAPSPSRLAAAMYEGKEDQGLRSGQGTLTFGNGDTYVGE FSKGFRHGRGRYTYLRGTVVYDGEWRRSLRHGHGKEVCRDASQRIRWSYEGAYENDKK HGRGVERKTAQGTYVGSFVHDLKDGAGVMTWHNGNTYDGQWKDGRMCGLGKYVRQADG SFYDGMWVHGLRHGRGRAVSKAEIYDGMWKEGLMHGEGMVIVDAKQRRGVWERGQRVK WTSAEALVQ H257_09212 MNAIRDVIYAFKAKWFDQHAQMHRNFNVRYFPDGNHVEILDVKS NKLFLKKTQCPAGVSPQDFFLGGKLLLFGRHFELTDYLDAFTATQLGKQAQKSILLFT HLGATGAVLTQLHHNHFTLSYLKLFLRDGNVPTIVVEVVGESAVERLPLLVSSLQSRF GGNQPGFEVAATAADAQRLHDQFMAKAWPSPATFANCTCCVIQPHVLKEGQTGAVVDA ILDSGLTITAMELFNLDRTSASEFLELYDGVVPHFNEAVDHLTSGPCIALELIGDGDV VQRFREAAGPWDIDMARELKPSTIRARFGTDRVHNAVHCTDLSEDGALESQYFFDILA RK H257_09212 MAPLYRRRRRELRFRRMHRNFNVRYFPDGNHVEILDVKSNKLFL KKTQCPAGVSPQDFFLGGKLLLFGRHFELTDYLDAFTATQLGKQAQKSILLFTHLGAT GAVLTQLHHNHFTLSYLKLFLRDGNVPTIVVEVVGESAVERLPLLVSSLQSRFGGNQP GFEVAATAADAQRLHDQFMAKAWPSPATFANCTCCVIQPHVLKEGQTGAVVDAILDSG LTITAMELFNLDRTSASEFLELYDGVVPHFNEAVDHLTSGPCIALELIGDGDVVQRFR EAAGPWDIDMARELKPSTIRARFGTDRVHNAVHCTDLSEDGALESQYFFDILARK H257_09212 MNAIRDVIYAFKAKWFDQHAQMHRNFNVRYFPDGNHVEILDVKS NKLFLKKTQCPAGVSPQDFFLGGKLLLFGRHFELTDYLDAFTATQLGKQAQKSILLFT HLGATGAVLTQLHHNHFTLSYLKLFLRDGNVPTIVVEVVGESAVERLPLLVSSLQSRF GGNQPGFEVAATAADAQRLHDQFMAKAWPSPATFANCTCCVIQPHVLKEGQTGAVVDA ILDSGLTITAMELFNLDRTSASEFLELYDGVVPHFNEAVDHLTSGPCIALELIGDGDV VSIGFVCFLFASCAIIFDDYVDLSSRLIEFRSIDRVPIPVANQPNL H257_09212 MAPLYRRRRRELRFRRMHRNFNVRYFPDGNHVEILDVKSNKLFL KKTQCPAGVSPQDFFLGGKLLLFGRHFELTDYLDAFTATQLGKQAQKSILLFTHLGAT GAVLTQLHHNHFTLSYLKLFLRDGNVPTIVVEVVGESAVERLPLLVSSLQSRFGGNQP GFEVAATAADAQRLHDQFMAKAWPSPATFANCTCCVIQPHVLKEGQTGAVVDAILDSG LTITAMELFNLDRTSASEFLELYDGVVPHFNEAVDHLTSGPCIALELIGDGDVVSIGF VCFLFASCAIIFDDYVDLSSRLIEFRSIDRVPIPVANQPNL H257_09213 MATDVAMEFDMDESEILFGSNGGDSASNSDDDDDMDTATTLRHH HMQPRPEDMGSSPTRQTQKLPRVESWAVDGRSTAMMKKHPQWERQSHSMPAPSCGFLV DNRRRRNKQQHFDADSPPLDHPESILIPSSASSQQSSHVHGAAIPIRLSAPLHWRRKC FEDADDTHFVPPHQLVERDCFSLGMGHYFRHKPGNI H257_09214 MSGGNLSGGAGGEPIWDEDLSHLLNSPDQGSLPWQLTSTNSTGM SGPTRKDIGRLSSFDLAELNHHDMMSSTQDVSYERKKSRAKITRVEVNNGFDDLLQVL RLPNSRKNSRAKVIQYACERIRALEQENERLKQQVPLPGTLPPSAAAGAMVWIPCTSM LLPQLPQHQRVPQRSKRVKKTTALSSSTKSTSTTAPSILQTLVTTCPHVLRHFDASTL ARLMQVSSEWNIFLGDVKQAYLWEALVGARWRIAGAALAAVAPGQTMRQKWLHLHKSM ALPRLVYAQSAASCPSDEDAAVVAKGRAGGIAVWAILARRSNSRTTRSVWRDGAVAVM QVVEVHIVVQNTNGGTAVGVTSAAVAPFTVLDASYGNLFAPRWIARNGVKVEGVGMPD LMLQHLDVGVLSVYVSCPHVEFEDDFLTRAHSIRVICCGQAKTSQRVVVVDAPMTTPS MARQPSHATHRTQLK H257_09214 MSGGNLSGGAGGEPIWDEDLSHLLNSPDQGSLPWQLTSTNSTGM SGPTRKDIGRLSSFDLAELNHHDMMSSTQDVSYERKKSRAKITRVEVNNGFDDLLQVL RLPNSRKNSRAKVIQYACERIRALEQENERLKQQVPLPGTLPPSAAAGAMVWIPCTSM LLPQLPQHQRVPQRSKRVKKTTALSSSTKSTSTTAPSILQTLVTTCPHVLRHFDASTL ARLMQVSSEWNIFLGDVKQAYLWEALVGARWRIAGAALAAVAPGQTMRQKWLHLHKSM ALPRLVYAQSAASCPSDEDAAVVAKGRAGGIAVWAILARRSNSRTTRSVWRDGAVAVM QVVEVHIVVQNTNGGTAVGVTSAAVAPFTVLDASYGNLFAPRWIARNGVKVEGVGMPD LMLQHLDVGVLSVYVSCPHVEFEDDFLTRAHSIRVICCGQAKTSQRVVVVDAPMTTPS MARQPSHATHRTQLK H257_09215 MNRAIDDMQHQGGLVEFPTRKPLANLLLGGIALFAISFVATWYR VWWDSIIGLVVTILGYYSIRNENLVPMGLSFDMAYFGSILSFLLHGVAFGIIAGELSV KHVLTIVKLDNPPPPGLLIFVLVVELILLGYSGVVMSWYYKFRAEIKHTEAKADQDYR ELI H257_09216 MAGAKQKGKQNDKGMAKKKAVHAKPAAKKGQPFASLSKHKQQPH QQQKRRSNGASNKKQLTKEQEAMRQQRLVQQRSFEERMRSQKNPPQPKPMPSFVLAPP TFTLPSSSNGLNTRAQPSAFVALMDPLLTTSAPAAPSGPRIQPTISRPANVFAVLEDH DDTNAATVESVNPFAMRPPTFQIPGPPTFHMARPSFQLPDDDDDDL H257_09217 MGSSTALLLECKRELETSTYDYDHILASSVLDVWLGLTAHVERH LVLEKGLVVPVFGKFAFVKGKDVPTPTFAFTDKFLKSYRVTSKRPLPALTWHCGDVNY STVAADARMMKDQAQHTVEAIFKHVGDVTQAGTRSCRLSFGCVGHIAIEGKCIAFRYD PAFLRTLQANKSQSSSSGNNIVAPSKQPTPTTHMDALEAMAGIHPSRQHASSRQQVDG MMLTVNNYMAPSSAITNAMVGSSPASNFTASAAAAAALSANTTSSDQPAHKHHHHHHH KHNTHSRQHKPAPSPQLMKSASDSAVLTVPTQDIRSHCQHHILPRFLLPETRPNPNTQ TSHLQQLILEKAYARHKEAQGAAKATTDAMDAEYAQRMKIIEIRHLKDRATAALQHRD MHNHLQQQTLEKQVKAKEDHRLASTATTTPVTILPQARGRTKEEDKSHKAMLRRQLDA EMQKKRDRHDHASHVHRDEEVYFMACVQTQHDKERQDVARQKLADKEALMREWSRQKM HT H257_09218 MYNRQMDHGGDGGGGGDSNPAGFKPKLIERILRNVWDNAKQDGA DMYETKCDDTDDVLADVRDITKIQPDALKMTAELMRLFVLEALHRAQEEAMIEDSSQV ESRHVEQILALLLLDF H257_09219 MLAPEPSLLAPPTSPSPLPSPPSPPPTCRFKKCTRPLLPNSDKC LFHKNRPHCSSAGCNNQVVSKNLCARHGGKKICHVEGCTANTRGRKFCLEHGGVVPKR FCSVDGCEKQAHSYQKCVRHGGGRYCKAIGCSFHARSGGLCRFHRSGTPAASTKSHES PSSSSSDDDGGSPDKSTRSFLLAPAELSTHHEHNLALLNALKAHFLPRDKPDVPRPQV ELMPRPSPLSMLASLRVPYPPPRDTPNDPIEPPGAGSGGCATPTRLPSSPTEVAHPVD GAAAATAAVVGSSSSSTTSVLQDHTLMSMANLVHAMTTSSSSSSSSLLPKFRSSLYSL PRCKFNSCGNPIFAGSDKCAFHKNRTNCSFPKCTNQVVARNLCVRHGGRRLCQFEDCT AHRRGKQFCLKHGGEVPKRFCTVEGCDKQAHSYQKCVRHGGGRYCQSPGCSFHARTGG FCRNHATGEAAAADGNDDDDDDEEVKKAKPVDGLLMLQMCATGAVDGL H257_09220 MSVCTFNDCTNHAIPGSVKCSFHKNRRQCKSKDCNNQVYARNLC VRHGGKKQCHYDGCDSYARGGNYCIQHGGIVVKRFCSVEGCAKQAHAKQLCVRHGGGR LCRTTGCQHHAREGGLCHKHNQNSNPHALTTTVKAEVRTPTTDAVTPFTASTHVDLDQ LTPLPLLTDVDFVLPDLASNMYSKWLQLTQDVLWTLHPDLMGISYAGLLPAAMTTASP PMPPPFVPKLPPTYDLVDSTKDMSFDPFTLPHDPSSSSSSTNLLFDLFALDNDLLNAH DMFSVSGVLA H257_09221 MEWGGRDAAGAGDGRRAGDGCKGDGAGEGGDGAWWRLRRWHVMH GHPQRRGVGCYREYFDCWCLGCAEIDRLLICGPADCGLAEDNECVWVSGHDRGRCYRR RHDDGKLRDVEHGRLEWHVKKSTCGHHIF H257_09222 MKSPDNGPLIDTAAGETVLDNGPLMDTAAGATVPDNCPLMDTAA GETVSWTAVIDTPIITCNRPVTEETKQRKTPTKKAIIFGVAILVVVAAASSVLTFAVT KSSLRLSSLDSSGDPALLLGTEVLVPLAAFKYMCTNNHQCVQLNLTKDERAKASTVTG PGVLSLRVCEMTCGNGSMLPLPQSFKLTTPDTVAVDVESFSHSVTGRVDNSDLLRAMQ SAFATELVKKKQLALGGVATAGRSVKVVASIQSSSVKLTLDTDESYDLAIASTTVTIL ANTIYGYRHALASLLQLVDWCDLSQSFRMVSAVTIVDKPAYKHRGIMLDTARNFIPVQ LMHRLIRTMGMHKLNVLHLHLTDSSSFPLEIKADHRFNQYGLYQSNMAYTQVQVAALV AYAKVHGVKVIPEIDAPAHVGAGWQWGPDYNMGELALCWANNPWMAHCLEAPCGQLNP FNEYVYDLLDLVWTEIGAMFDSDVFHLGGDEVFFECWIDSPVFADKVRNKTNHAEYIE IWATFQERVQNKLWARDPAKRITLWSSDLTTSSYIASLPPDKVSIQSWNMLELNEPKR FTDAGYSSLPRLRT H257_09223 MARPDLHVNVQNPEGRTALSIAAGANNVPMMEALLAQPDILVNT IDSAGRTALHFAATTGHYQPVTLLLNRQDLWMKNTALVLAVQSNDVASVDAFLLRPSL NAVVVDMFGMTPLMWAIRERYTSIVTRLLSCAAVVDNINLKSKEENTALMIACRRGNV DAVNLVLALPTVDVHLQGRKGCTALGLAAMHGRTDIVHALLGYPDIALSMNAVSPGGS TPLFNACKNGHQDIAKLFLAHPSINLHADVDQSPLYIAASQGRTQIVELLLQRACYPV NQPSRNYAYTALHGAARGGYINVVKLLLDQPGIDINFLNDDGQTAFHEAAKEGHDGII ELFLQHPQLHSINLQDVNQCTALRLACHGGNSSVVMILLKRNDIDVNLPCSGGNSPLM AAVMVDDVDSVSSLVRHPHIQVNHRNYQQDTALLLGASSAINVLLEHLLSHPDIDKTV QNVGVNGENSYKIPRSDVTEDDAIALELMDTLLEAEDRMSHACDLMQVMVVVKGEGSD STLTRLPGDS H257_09223 MKNTALVLAVQSNDVASVDAFLLRPSLNAVVVDMFGMTPLMWAI RERYTSIVTRLLSCAAVVDNINLKSKEENTALMIACRRGNVDAVNLVLALPTVDVHLQ GRKGCTALGLAAMHGRTDIVHALLGYPDIALSMNAVSPGGSTPLFNACKNGHQDIAKL FLAHPSINLHADVDQSPLYIAASQGRTQIVELLLQRACYPVNQPSRNYAYTALHGAAR GGYINVVKLLLDQPGIDINFLNDDGQTAFHEAAKEGHDGIIELFLQHPQLHSINLQDV NQCTALRLACHGGNSSVVMILLKRNDIDVNLPCSGGNSPLMAAVMVDDVDSVSSLVRH PHIQVNHRNYQQDTALLLGASSAINVLLEHLLSHPDIDKTVQNVGVNGENSYKIPRSD VTEDDAIALELMDTLLEAEDRMSHACDLMQVMVVVKGEGSDSTLTRLPGDS H257_09224 MGGITTVVALVIAIVVHSAYKAYTAPLRTISPYERFFSEDYYQA RHLFREAARSANANLHVIPYPVDGMSDLSIDVAILRGSPTSLVVHLSGTHGVEGFAGS AIQSAYLSNKTTLTARRHAQAPTLVFVHAVNPYGFAKLRRYNEHNVDLNRNHLTPAEF DAVRARDPNHVGYVDIAPILNPVVADDVSFWTKLAAHVLRYGYAAGKRAVVAGTYHDP RGLFYGGSELEPSHVLLGDFFTSSQHGLALDDVENVVLIDVHTGLGSRGDDSLMFNHP TVGRRIFPGQNVANAEEAFSGYDSVAGYGVDGYAATWFPKDTHVLTLTQEFGTVDGIS VLRALRLENALFQYDPTARLAAAAHVRDVFYLHDDPHWKAKVASRGASALHAVVAYLT DLKEPLN H257_09225 MTAPTTDADVMPMFFLNPEGPSVGDKKDDGASNSPTKSSYANLP RQNYQNPWESNGLDRQYQSLRKRAGGADALSASTASSDDSTSSIPTNSLFASLSSKLD TRPPSSSSSSTAAAAAPSSFLFPSLQAQEWGHDQLYWITVFGFPLQDMDVVLSYFQTV GDVAQYRHGRGNWLYLRYQTRLQAERALFESGSTLGGRVMIGVKRCLAWEMDADAPVV NVTDAASAHAPAMLSRDLQVNPTDVDVMESPRRHDDICSRFLRFLFNI H257_09226 MRRRARDIESLDYDLFESVVNKSGLSYKYRDGRERRFSVFFRWV LTWFTGFLTALAGIVLLFCTQHISILKRQVMEHYINTPSSSLHIHEENDSRPLVYGVL LGINVGLVSIAAILTIFVEPVAAGSGISEIKSMLNGMKIPRMLRFRTLCCKLIGTICS VAGGLPVGKEGPMIHSGGIIAAGLSQGKSNALGYDTSFTYFAGFRNDHEKRDFLSCGA AAGVAAAFGAPIGGVLFVLEEGASFWNQSLTWRTLFCAMVSTFTLAFFISGMAASWGT LGGQAGTFTLGPFTLSTYQVWEIPIFICMGAGGGVQGALFNAVNLRLSRFRGRWIQTK GVMVLEAVVLAVAVTTVAFWLSATWGSCHPLPPLKPVASVGGSTKEDEDAYPYRDEMV RFYCPRGEYNDLATLLLSPGESAIRHLFHAPPETFDMYNLVVFWLATTCLACWTYGLK VPSGLFIPALLVGAAYGRLWTRLINHVTLHMPHAKTTDARIYGLIGSAAMLGGITRMT ISLTVILLECTGNVEYGLPLIVTLFSARWVGNYFNHGIYDIHIHLRQLPFLDWDPPVE GSRMRVKHVMTRHPKSVRTVERAGLIWDYLSLTTHNGFPVVVDDPTFGPKFFAGIILR KQLTVLLAQKDFASLKPRPFSRHPPQEPNDVAGDAAATCLSYQDMEAAYPNYPEPDVG YTLSDAERDLWVDLTPYMNQTPFVIQEEAPFTRAYRLFRSSGLRHLVVVNRHMNVKGL ITRRELEEDHCARCWRLSRGDGLDDDPDTYNYSTKDSSTTTTLSGWSGIPHRLLKRSI DRHDQVRRMLHMSKTQPLLDGDDVWRPLEPASARGRRHDDDDETKDDDVLVV H257_09226 MRRRARDIESLDYDLFESVVNKSGLSYKYRDGRERRFSVFFRWV LTWFTGFLTALAGIVLLFCTQHISILKRQVMEHYINTPSSSLHIHEENDSRPLVYGVL LGINVGLVSIAAILTIFVEPVAAGSGISEIKSMLNGMKIPRMLRFRTLCCKLIGTICS VAGGLPVGKEGPMIHSGGIIAAGLSQGKSNALGYDTSFTYFAGFRNDHEKRDFLSCGA AAGVAAAFGAPIGGVLFVLEEGASFWNQSLTWRTLFCAMVSTFTLAFFISGMAASWGT LGGQAGTFTLGPFTLSTYQVWEIPIFICMGAGGGVQGALFNAVNLRLSRFRGRWIQTK GVMVLEAVVLAVAVTTVAFWLSATWGSCHPLPPLKPVASVGGSTKEDEDAYPYRDEMV RFYCPRGEYNDLATLLLSPGESAIRHLFHAPPETFDMYNLVVFWLATTCLACWTYGLK VPSGLFIPALLVGAAYGRLWTRLINHVTLHMPHAKTTDARIYGLIGSAAMLGGITRMT ISLTVILLECTGNVEYGLPLIVTLFSARWVGNYFNHGIYDIHIHLRQLPFLDWDPPVE GSRMRVKHVMTRHPKSVRTVERAGLIWDYLSLTTHNGFPVVVDDPTFGPKFFAGIILR KQLTVLLAQKDFASLKPRPFSRHPPQEPNDVAGDAAATCLSYQDMEAAYPNYPEPDVG YTLSDAERDLWVDLTPYMNQTPFVIQEEAPFTRAYRLFRSSGLRHLVVVNRHMNVKGL ITRRELEEDHCARCWRLSRGDGLDDDPDTYNYSTKDSSTTTTLSGWSGIPHRLLKRSI DRHDQVRRMLHMSKTQPLLDGDDVWRPLEPASARGRRHDDDDETKDDDVLVV H257_09226 MRRRARDIESLDYDLFESVVNKSGLSYKYRDGRERRFSVFFRWV LTWFTGFLTALAGIVLLFCTQHISILKRQVMEHYINTPSSSLHIHEENDSRPLVYGVL LGINVGLVSIAAILTIFVEPVAAGSGISEIKSMLNGMKIPRMLRFRTLCCKLIGTICS VAGGLPVGKEGPMIHSGGIIAAGLSQGKSNALGYDTSFTYFAGFRNDHEKRDFLSCGA AAGVAAAFGAPIGGVLFVLEEGASFWNQSLTWRTLFCAMVSTFTLAFFISGMAASWGT LGGQAGTFTLGPFTLSTYQVWEIPIFICMGAGGGVQGALFNAVNLRLSRFRGRWIQTK GVMVLEAVVLAVAVTTVAFWLSATWGSCHPLPPLKPVASVGGSTKEDEDAYPYRDEMV RFYCPRGEYNDLATLLLSPGESAIRHLFHAPPETFDMYNLVVFWLATTCLACWTYGLK VPSGLFIPALLVGAAYGRLWTRLINHVTLHMPHAKTTDARIYGLIGSAAMLGGITRMT ISLTVILLECTGNVEYGLPLIVTLFSARWVGNYFNHGIYDIHIHLRQLPFLDWDPPVE GSRMRVKHVMTRHPKSVRTVERAGLIWDYLSLTTHNGFPVVVDDPTFGPKFFAGIILR KQLTVLLAQKDFASLKPRPFSRHPPQEPNDVAGDAAATCLSYQDMEAAYPNYPEPDVG YTLSDAYVA H257_09227 MMDGILTDEEIATLANDTTPAQPPRPVKIAMQLIRKCMSMPHAA APPSTDNGHDDDDDAEVASMLTKPVLRLDWQGIAKIEHLDVFCHVRDLYLQHNAIHRL ENLDFHSNLEFLALSHNHITVVENISHLTKLKFLDLSHNRIAHLDVSALPSSLVVLRL AGNPWAESNDGYYLTLFKVLPRLQTVDQYRRQSNGADSPTYHGDAGRPDAGSLTTPLF TTPDTASREAIKEQKEAMSARHVDKMKQLNANLNDEKDQIVERSTRRMRERRQKLQQQ TKTFMEEAASHVQALHAKHATWRRAQLEATSQAS H257_09228 MNFDDNRKIGTLLLGLGFAFLFLGVMLFFDAALLAIGDVLFLSG LALTIGLSRTIKFFTRVERWRGIVCFLGGIVLVMVRWPMVGMVVQSFGFLNLFGSFFP VAVAFLRQTPIIGTVLNLPVIGDIVDKLAGAQKRGYQV H257_09229 MKIAAVVAIFLVSSCTEPSCFANGQRSTDERSLLDFDLVVSFVL ASLVALVHRYTAASYASKA H257_09230 MPEEEPSLGFMTTTTDRTAGGRKETVWVDEPQNSPILSPGGTKF RKGRRICHLVYLVIFLVIGGITALVIVTTSSSQHSSPPHHKDDELAANVAILKLPVFK YACTENQCVYQNLTKAEVLSGVPTMGDGLMSLRVCEMTCGNGSMLPMPQSIAIASKPG ETVAVDVTSFSHHVTTSDSALVKAMQAAFNEHMAAKLKLAVGGVQDKGASVNVVGTIA SASTALGLDTDESYEVSIAATTVTITAKTAFGYRHGLASVVQLVDWCDVSRSFRMVKA VTIQDKPAYKYRGVMLDTARNFHSMAAIKRLVRTMGMHKLNMFHWHITDSSSFPIEIK FEPKFNLYGNYQSDMAYSQANVRDIVAYAKTHGVQVIPEVDAPAHAGAGWQWGPDYDV GELTLCWANNPLAYNECYEAPCGQLNPMNEHVYGLLDKVWVEMADMFESDVLHMGGDE VFTKCWKRSPVVSDAVANTTDDAEYFEISAKFQERVQTNLWKHAPSRKVMLWSSELTS SLYSKYLPKDKIIVHTWAGIQNGNEPRHMADAGYQYVASFQDRHYLDCGHNGIDRKDN GWCAPYKTWQVIYEQVLNPNITEDLMPLALGGELVLWTEVSGEASMDVRIWPRAAALA ERAWTNPTTRWDKAMARMTIATYRVVESGSGSDLIQPHWCRQHPGECPLIVWPL H257_09231 MVRPIKSTRGAASVADKLEERLKQGDYYGALQMYKTLYSRYAAA GDHLRAIDLAHTAAVQLANHDQWTASREMGCLMLDLYVANKFPVDDGNKSRIKAISDA FHNACPKEEAEFLKNAVKWSKTIGTRQRGDPELQLWLARVYTHEKDFTNANNHYLHAE SPLEFAAVLVQHANEGYASEADLFVVRAVLQLAALENLRDANEVLAAFLAKKPLDTPL VNFTTFLLRTLERDALPLFQLLQERYAYALSRDLSFRNFLQIIGQKYYNIQPPQSALS SLMSMFGGGM H257_09232 MNKPREMLQEVLPKAGLVYSERGNLSEVLCKPKLMPIKSLSLVQ IEEIEKQARKKDATPSSCHD H257_09233 MWRRLIKPSTSAPELRKLAAPSRYFSGKAPDAAALQASKTQSIA PKKQIDVKKKKPRSPGKSKTAPLTAATTQPAIATGEASSSAMDPHVRDIAIYVAAATA VLMGAAGYKNYIEDHSLENDIFSQAKYFATRNKAVIDLTGLPTDIQKLVDPIAADDAT EVHGTIVLGGVKGTATIEYVALVHVKDEHGKHVFTTFDLIQSNERLSLLVDNPRAPKT PEEEAEIKAQRQGELKELGSNLVLPGIGFFAAGCVAAYMVLRIIRNRPSYVIQLALDR INTAARITEILGTPIKQTNKNIYHGSITEHFAAFESKVAGPKGEGTMKVQALRAKTAD APWQFNQLSLDIQGRAKRVNLLDQERK H257_09234 MKATMLMLTLSAATLATAGRIHETRGDVKFVDTLSAIHSYEKQH PNVAAVHDEVRRALMEESSSGPVESDFNNAQERYIELKKLRVQVEMATSHCQDDECYA LEQQRLFDYLKRAGKFKGELPPIGSYSRKRASFPLPEFPSAEEPELEAPVQRPPPRYT APQDGMTFDDDDDDDDGNGLGLDLEDGDFVDEFAYDKSKQGKGGYYPSQKEMEEQIRR QVAAHLMGHNVQGKLQGGVPEGATRPPVVCLPFTSICDLNIVAGIIYTILVGLVVSVL SQAKSSRGPKKPKKK H257_09235 MLHLEASPTKSWQRRQDIERATNERQAADDQLKEVYDRLCEMLQ VRKKTAAACDHDDGGFERQVRELTQDVLDAGDHYKASASTELELVRAQCTVAFHDMNI AKGMNQDLKTQVEVVEERLREYDTSAASDDMYEKKLQKLHDLQHQAKDTSDTIHRMRR TLEAKQKTLQEQEPAMEVWRQLAAEKERTDQTLKQIQAQLASVHRDQTVLARKHQLAV EKAERTMQYTRNQCDLARKDLYRQQYAVENVTTAMKSLSSCASQLKLPTFQATPQLAR IQDDVVVAPRQAIAKPNKKKTNRVKR H257_09235 MINSRRCTIDCVKCFKCAKRQRRHVTTVQLGLWFLHDVWWTECT LSQPDDGGFERQVRELTQDVLDAGDHYKASASTELELVRAQCTVAFHDMNIAKGMNQD LKTQVEVVEERLREYDTSAASDDMYEKKLQKLHDLQHQAKDTSDTIHRMRRTLEAKQK TLQEQEPAMEVWRQLAAEKERTDQTLKQIQAQLASVHRDQTVLARKHQLAVEKAERTM QYTRNQCDLARKDLYRQQYAVENVTTAMKSLSSCASQLKLPTFQATPQLARIQDDVVV APRQAIAKPNKKKTNRVKR H257_09235 MLHLEASPTKSWQRRQDIERATNERQAADDQLKEVYDRLCEMLQ VRKKTAAACDHDDGGFERQVRELTQDVLDAGDHYKASASTELELVRAQCTVAFHDMNI AKGMNQDLKTQVEVVEERLREYDTSAASDDMYEKKLQKLHDLQHQAKDTSDTIHRMRR TLEAKQKTLQEQEPAMEVWRQLAAEKERTDQTLKQIQAQLASVHRDQTVLARKHQLAV EKAERTMQYTRNQCDLARKDVRTR H257_09235 MINSRRCTIDCVKCFKCAKRQRRHVTTVQLGLWFLHDVWWTECT LSQPDDGGFERQVRELTQDVLDAGDHYKASASTELELVRAQCTVAFHDMNIAKGMNQD LKTQVEVVEERLREYDTSAASDDMYEKKLQKLHDLQHQAKDTSDTIHRMRRTLEAKQK TLQEQEPAMEVWRQLAAEKERTDQTLKQIQAQLASVHRDQTVLARKHQLAVEKAERTM QYTRNQCDLARKDVRTR H257_09236 MKRQVRVEFVVLLLLLVQSVLLHVLPDHAVQGIVAAVVLLVFAA HTWRVELTPGYILFILNTASGLSQSAAPLWLAWVQGVLFVLAIAATFLFPLPLFPRPS YLHPLVGCTSMRLRGVDCRIFYPTDTKDGGTALPYLHHGKHLAIGLHTFINLPTWFFA SLSNGTLWARVGVPVAKSSGGWPVLVFSHGMGGSLEMYSSITQYVASEGFVVVAVNHD DGSASVSRNADGSTYMYYQRPPQSALDDWAGEGYFVRNNQVHTRVHHIQTVLDALADL QNDDVDGLLYHQLDLDRIVAAGHSFGGATALSAAKRDARIKAVVGLDMWMEPLDADVV ADGVPAVPVCSIISQHWLSEWDSHFELLKTMARRCRHPSSAFFALAQTRHNNFCDLPL FSPFLNQHFKAAGAIRPTYLLQMVGQLMGAYLRTHLGNALDQEFAHVRSRYPEILPLD DEAATTTSYHTFD H257_09236 MKRQVRVEFVVLLLLLVQSVLLHVLPDHAVQGIVAAVVLLVFAA HTWRVELTPGYILFILNTASGLSQSAAPLWLAWVQGVLFVLAIAATFLFPLPLFPRPS YLHPLVGCTSMRLRGVDCRIFYPTDTKDGGTALPYLHHGKHLAIGLHTFINLPTWFFA SLSNGTLWARVGVPVAKSSGGWPVLVFSHGMGGSLEMYSSITQYVASEGFVVVAVNHD DGSASVSRNADGSTYMYYQRPPQSALDDWAGEGYFVRNNQVHTRVHHIQTVLDALADL QNDDVDGLLYHQLDLDRIVAAGHSFGGATALSAAKRDARIKAVVGLDMWMEPLDADVV ADGVPAVPVCSIISQHWLSEWDSHFELLKTMARRCRHPSSAFFALAQTRHNNFCDLPL FSPVLFSDCVRRGLLLYLFIGDGWGMLVVVSKPAFQSGGSDPPYVFAANGGPTHGSVS PDPLGERP H257_09237 MSFRGGARGGGRGGGRGFSGGRGGGRGFGGRGGGRGGFDEGPPA TVVELGVFLHACEDEMVYKSTSDKVPYFNAGAFLENKTKIGKVDEILGAINDVMFTVK PDAGVAPKSFKAGDKVALEVGVVLRAAVEVAAEAEVADAVPEDVAVAAASVADVAEAS EVDVEAAASADVAVVAVDLAAVAAVDEVVDFRLSM H257_09238 MYDFCLTLPYGAAIAVGGIVGYVNSGSSASLTAGVGAGTLLLIF GYASYQEFQSSPVVSKTWSALSLGVSSVLSVVMGLRYKDTQNFVPAGVIAGTSIGMSL FYISILLKKNKANYKKN H257_09239 MASLIQAMQPSASNNANIITRHRRKSHSPEVATLSKVSVQDYKV DPARTTETVDDAALSCTPPLHEYLHYLLEHMKWDSDATKSKLKQLMHYDGLERDSLHT TLAHCLNEIDRLHDVVLKKADHAVGDSNQAEESSDARPEDETNNEPEEEKKQFASSLL PPRGAKYHVPDAIDTKQPPANISPTFSPLPRPQAVVTPASSAFRRRSSLRRKSLTSCK SSPGKVSVSPGKHGMANQLVLVKAKKPKQNARTAPFSSITTAKNQRWAIKIARKFKPL NLSLFTFHPAAAAIPPPVLELGPQQNAMMLRQLRYVKGVMHELPWAKGRLRDMLSQYT QKELSKELLFPQLSHLSTQVQDELTSKSQAQKSLLETKSKLTVTLQLAKSVINDLHIL KFGRRGKPHETKLFYDECHPSMLYWQSKQGERSNAFLPLHAVKAIHVGMETAVLKKAA KKTPILDPDCFLSLVTEERTLDLKLKNALQRDWLLKALREVVEYAVTYRANFAAKKTL NVTPRLRRM H257_09240 MTTKRSHRIGSTGWWRHARHRWPAALDHFGCPGVSDSARFPGPH CSVRSSCRHNMPSLHRMARRRPLRARCIHLRQRSHDVVAVQSRSWRAQEVGTLRRVFL TSGVTAHWKYWIWVTRSRWCSSNANASLT H257_09241 MATDLFFAQKAAIISTLVLYIKYLVVTLIGARKRELAGLRAPED TPDQKQNFGLVVDAVEDGQQSKEATQQAALVDERRWSRITANDLENIPLGLIVVWASI LSGGDTDINGISIIVFAGVRILHTVFYALAWSKPRSVAYMVGVAAILVMAVAGIVGAF KK H257_09242 MRYGGSNALHAHLFEEPRRCRMLQWGLAIRDLSRRLNFLPLQAV LVESQGGWYLTLVGRKVHCHSCIRCQSLLHCGQAAGDLKKLLVDVNRVLTNVGKTLSN QRHSPLQYGTCWCRCVGNS H257_09243 MIVTLSLQAFIKKGKLPRGAYKQAAEQLNMNPRTVGHVWRTFCS RGTTVSNKAGKVGPEPMYSTDRAQQLVQSIPADQRSTFRDMAAATSTTLGTLSRHLKK GTLQRCSTPIKPLLTDANKWFNADKDRRKVYLVPGEMPPWRSWKSKGLIPKVVFLGAV ARPPYDEPRGVFFNGKIGMWPFVCLVPAARNSRNQPAGTMVTKLVSVDAAVYRDYVMN KVVPAIKATFPSANKRVVLQYDNATPHVSITDAELASVSTGG H257_09244 MATDLFFAQKAAIISTLVLYVKYLVATLIGARKRELAGLRAPED TPDQKQNFGLVVDSVEDGQQSKEATQQAALLDERRWSRITANDLENIPLGLIVVWASI LSGGDTDINGISIIVFTGVRILHTVFYALAWSKPRSMAYMVGVAAILVMAVAGIVGAF KK H257_09245 MIETTQYGFVVIGLGAFHAVANASGGMLLHTSTDPSRLRTRLVP LLAIHRVVRCLAMCDASPTIPLFQGQCVLTLTVIAHHFYKLRLVASAVVPTNDIDEPG YALARPQRTAGGGMNCVVFQSSMSNQLVFAFRGTASKQNVKTDLEISPSRPFRGGHIS QYHHHPQHPASSIGSAVR H257_09246 MGTCASKAGPRSMDTWAAEPESTTGGGASMEEDPSSTSSPHYHH VYVHDDSVPVSCVVHTSGPVCASGWDDGTIKLIDFSSKSIVRSWAAHSRSVNRLLVGP RTSSLYSCSRDTTIARHSLTDDNAIPTTFAGHSLTVSALAIDPSEGHLASGSRDTSVS LWDVATATRLQNTSTSQNIVTCMAWVPSDAHVVAQGGEDLHLRLWDARTWKNVQTIDG YVYFPLSLACSPDGYYLFTSSKGFNAVGCEGRVWDRRTGKQVAEMTGHSQDATACAYI PGHPDEGITASKDSSVRVWSTVSGNLLASTQDGAAAAMFTSLSCVEPATADDGVTHIL TSSFGGRIDMYAWDRAKQSLELV H257_09246 MFSLYSCSRDTTIARHSLTDDNAIPTTFAGHSLTVSALAIDPSE GHLASGSRDTSVSLWDVATATRLQNTSTSQNIVTCMAWVPSDAHVVAQGGEDLHLRLW DARTWKNVQTIDGYVYFPLSLACSPDGYYLFTSSKGFNAVGCEGRVWDRRTGKQVAEM TGHSQDATACAYIPGHPDEGITASKDSSVRVWSTVSGNLLASTQDGAAAAMFTSLSCV EPATADDGVTHILTSSFGGRIDMYAWDRAKQSLELV H257_09246 MGTCASKAGPRSMDTWAAEPESTTGGGASMEEDPSSTSSPHYHH VYVHDDSVPVSCVVHTSGPVCASGWDDGTIKLIDFSSKSIVRSWAAHSRSVNRLLVGP RTSSLYSCSRDTTIARHSLTDDNAIPTTFAGHSLTVSALAIDPSEGHLASGSRDTSVS LWDVATATRLQNTSTSQNIVTCMAWVPSDAHVVAQGGEDLHLRLWDARTWKNVQTIDG YVYFPLSLACSPDGYYLFTSSKGFNAVGCEGRVWDRRTGKQVAEMTGHSQDATACAYI PGQYDMRLNRLHHH H257_09247 MSGIPQVSRTSLQLYRDCLRLANHIGGKTKKGEAIRSMLRAEFR KSIHETDEVKIENLKANAVRGLSNYLVLANSSKDGKLKQAIRTTDESSAKDPANAEWK EL H257_09248 MMAEDDIVYTTEYDVIVVGTGIVESIVAASLARAGQKVLHLDTN EYYGSDFASLPLHQFEEWMTAQPPPPTSDAPTQPYPLTNAFRCTLLHQSKADTFQPRS SSFSLDIQPKMLLSNSPLVDVLVHSGVGRYLDFMAMQGTFMYSAQPQNKNPPIWEVPC SKPDVFKSKLNVLEKRHLMKFLQFVADYGEADVTTLNERDLTASRALKRPQNKQHDDK LTTTFDQYASFTDVLSTHFKLSAALQQVVRYAVLLQPTSPHPSVELSTSDSLDAIFAF VTSIGKFAPSPYLTPMYGISEVAQSFCRLSAVYNGTYILRTSLSHLDVRPSVDDATSG GHLDVRPPPVDETTSSGHVDVRASVDDTTSSGHLDVRPSVDGTTSGDLCVGMSTTDGK SFRAKHVVLNASSYAHSIGYTPHTALLRGIFVVDTSIQVGVDRLVLVLPPGEVAHPNA ALSGSWAVHVLQMDSTMGVCASGTFLIHVTTVAPLDTPAEDLVSYMHAIYACLYPANA VTPVWSAVFTIPSSITSPTTTRSLANVHVCPSPPLLDTTLATCVADARAIFRAICPEE TLLFLPKSEEAHAEAGNGADSDSDVALLDQAAQLLQTSVTEAGKRSIEDHSKGEVAVL SSTSSTSSTVNGSNDSP H257_09248 MKFLQFVADYGEADVTTLNERDLTASRALKRPQNKQHDDKLTTT FDQYASFTDVLSTHFKLSAALQQVVRYAVLLQPTSPHPSVELSTSDSLDAIFAFVTSI GKFAPSPYLTPMYGISEVAQSFCRLSAVYNGTYILRTSLSHLDVRPSVDDATSGGHLD VRPPPVDETTSSGHVDVRASVDDTTSSGHLDVRPSVDGTTSGDLCVGMSTTDGKSFRA KHVVLNASSYAHSIGYTPHTALLRGIFVVDTSIQVGVDRLVLVLPPGEVAHPNAALSG SWAVHVLQMDSTMGVCASGTFLIHVTTVAPLDTPAEDLVSYMHAIYACLYPANAVTPV WSAVFTIPSSITSPTTTRSLANVHVCPSPPLLDTTLATCVADARAIFRAICPEETLLF LPKSEEAHAEAGNGADSDSDVALLDQAAQLLQTSVTEAGKRSIEDHSKGEVAVLSSTS STSSTVNGSNDSP H257_09249 MALAPLAAVDVVPSFVQSLHSMLQLEDATIIRWTPDGHAFEILD QRLLAARILHRYFRHTKYASFQRQLNYFGFRKWPKQKAAICTYSQLHFSRDNPADLHR IKRRVKAGANEPIHTATAAVDDDTRIPVSVSTSTQGTLATCIPLLKHISTMASSHTMM PEPDGMSSSGHGLVNAEPLQEHASPQMLPLSSPCMDEAPMSWHSALWSSTWLSDFDPQ EVERGMGDVRLWLDSL H257_09250 MSKSKASAKSAAATAPTAEKSIIAELWSQYNKHSTRKMKLIDGF LAYVLATGVLQFVYCVGFGTFPFNSFLSGFLSTVGVFVFAVSLRMQINPQNASAFAAN PRTPERAFADFLFCTLVLFLAVVNFMG H257_09251 MSPWMTFHNMTTPSPFLLANVYTAGGAVTAPSTRKGSDDVETIS CKDLLHQAAAIAQFLPDTPGASIAFFAHRNLDYLRCQWAIWLANHIAVPLSPHNTLRE RSYILQDSCTSLVLCRPSDQTLLERISTAVAVIDLDTTAAIWNTSVTDMTPWLTKTTD HDAMLMYTSGTTGFPKGVLSTHSSLMAQMTDLTTAWALGPCDRVLHFLPLHHTHGILN NLNAPLFAGAHVECLASASADAIWAALSRDTALPSVSVLMAVPSIYMLLLEAFAKMEP EAQKVAVAGAKRLRVAISGSMACPISILTRWEALTGTSLLERYGMTECGMALGNPLVG TRHVGYVGQPFPSVQARVVQDGELQVRGPTLFKAYWNRPDETQSEWTTDGWFKTGDVA EYNATFASYRILGRASVDILKSAGYKVSALEIERVILEHPQVRECAVYGVPDDTWGQI VASVVRLDAGTSGIEQLEPPLVEFLKLNLAKYKIPRIFHVVDAIPKNAMGKVNKKSLP SLFDVQAP H257_09251 MSPWMTFHNMTTPSPFLLANVYTAGGAVTAPSTRKGSDDVETIS CKDLLHQAAAIAQFLPDTPGASIAFFAHRNLDYLRCQWAIWLANHIAVPLSPHNTLRE RSYILQDSCTSLVLCRPSDQTLLERISTAVAVIDLDTTAAIWNTSVTDMTPWLTKTTD HDAMLMYTSGTTGFPKGVLSTHSSLMAQMTDLTTAWALGPCDRVLHFLPLHHTHGILN NLNAPLFAGAHVECLASASADAIWAALSRDTALPSVSVLMAVPSIYMLLLEAFAKMEP EAQKVAVAGAKRLRVAISGSMACPISILTRWEALTGTSLLERYGMTECGMALGNPLVG TRHVGYVGQPFPSVQARVVQDGELQVRGPTLFKAYWNRPDETQSEWTTDGWFKTGDVA EYNATFASYRILGRASVDILKVGLYRMSSSH H257_09252 MHADLLVSLTCRCSPRVVVTPGPALAPVKRAASTSPPCFPSRDP LFHPTTRVRHLDDSMGTRFNVVAIAGQSYQAMRVSNTVPDQGFVTLFGGVVIVRALVG LLVTLGFRLGSFDLDEYIVQLCPVYVSPLPVHQVRSTVFPIEITRCNMPFGLSPTGVL RTAGLPPSLRAMYLESNPINTLQDAEWTCLVQWFVVNSTESVDMEAFVALTDFIDLSF RSGPLGRWSAAQWTTFQRMPNPFRLLDLATNGLTIDPWLLAHSQGVLDVSSNPIAAVP EALTPLLTTDDVVVDNTMYCNMTNHSMLCHARACALLALPVELVGNRRRH H257_09253 MADTVQDNRYARAPHLWALGVGAVISGDFFGWQTALSAGFLGLV VNLVLASVLYILLSFSIAELSTSVPCGGGPYAFAHRALGSTSAYFAGLAEVLKVVVTV AVIAVGIGAYMNQLLKLEEGHGPIWWVVFYVLFTVLNLIGVALSFRVQVAATVLSVVI LIVFYIGAISYVDYGLWVRDQDWEWKDGASGLVNGFSFSMWFFLGIEELPLAVEDTID PQVNMPRGLISSIVTLFVLAFSTAFFNTTISPGASAIFESASPLLDGYKTVFGDNSVT SGFSWLLIVGLLASFHSFIYCMGRLVMAMARDGFLPAILANVHPIRQTPTAGILAGTS IGLVTVIVMYYSIGFIRLGAVLINLALLGALISYAFQLVSFLALRVREPDLARPYRSP FGMPGALLCLGLVAYALFTIVYQGAGSSDFLVSIVIAILYFVLGAAWFAGVARHHIKP LSVIHQGERQGYVGADSPHAASLTKESTALA H257_09254 MGKPNQAQLKWTDDLDLALLREVLRVEPYDCEHGTLIQRWKEVA ASLSLYSNQGIPHRSARDHYEGLIQAFKATDKSQRQWGTGSDEDVPEKVQLLQDLVDR RDAADTLKTAVKSKDKKRKESLESTGSQLCVEAEQRKKK H257_09255 MQSPRLRLLRHSTASLRLRLLLIRRQAAVERLTIPDVTFSLDQY SDADSKEKFRFVKEDLKRLRRCLDIPERVVTAERTPCTGIEALCIVLRRFAVPDRWSD LMAMFGRSCSCLCNIYLHPLNLIYNKFRDTIYLDFNRIRTKLASFSKAIVDKGGEVHN VWAFIDGTVCECCRPVTDERQRSVFNGHKRRHAINFQTLVTPDGIISHAFGPIEGRRH DLTILRQSNLEEAIQDNGGFEGYIIYGDPAHSDVSMRV H257_09256 MKRRRTCEPSRHQFLAQSAAEAVMLQPHLLHTITSYQYGLFHDL AAIHRDWQAPRDGSDVLFTLNHECVFLDPVSVHKLHTPVDHPRFLLHFPILTGNVALF HRWLSCAGRDWVSPDTLLCAVHEGNLNIVQHLHEHVHVPCSTDALEIAAANGHMDIVQ YLHAHTNEPCSWRVIYKAAEYGHMDIVFWLNECPHCQEFTKCALDYAAGLGRVPAAAL LLDAADHSVCLSSDVQLLNVQAAGRTAPILSRFVELDDWDIHVQPAMPTIEDSLDFLL DRLETHRGIAVI H257_09257 MDSATDESWACPECTLLNNLVAEACEACGTTSPLVLAALAQAET TERSRKGSEESETSSKPSRGSSMDEDFKKAVDLDPWSQAEDEWARVEATQATKAPKSR K H257_09258 MLAHEEAVDYFSLHKRKSKDVDVEMLDYEYVASCTDVDVLKNIL VVLQSGKEGRYPPLEAAVEARLMEVLPPSETQRILRMKARPSETDIHSEAASLTAWEA QMASRSAELDAARKLSSRSLPPPRGGGNSAAPTVRISTKGDVVDVKPKGEKKNTIHAY DWRAWEKFDVDAAERELDMEDERRQDAVRQQKEELAARQARKRLDDAAMPASVDVAAM SAAEREVCAGLEKQKGNEAFRVGENDDAIRAYSRSLIFDPTSAVVFANRALVHLKLKN FSTAEDDCTMALRRDPLYFKAWSRRGMTRFRRGKYDDAISDFEAALRLEPQSREIQKL LQKTKEKKVDVDGIPPACPPQAPPQPPAAPFQRFEILEVDDEKEEEVTTRGAIHTAES STREAARRDQPATRVVAEKPFQRFEILEDE H257_09259 MAATKTSVVPGQRLGKEGDYSAGNGTYVMNGSIFASVAGHVTPV LHTALHVERPGSKRIASTGVLRVDDFVVAKVIKVTSSQLQLEIHSVNDVVLLEPFAGT LRKEDVRPVDIDKLQLEEMFRPGHVLKAVVLSLGDSRSYFLTTAKPGLGVIQLQP H257_09260 MQFDVGKTSLGSGLAMGAVLGMFWMRVVIGFSYLEASFYLIFPL SLTVVVLTEERSLGSVVDALLCKVSSLVWMAMHADTPATVASHDDHDSDDHANLLPRP SDPLLDMHFKASNTSDLITSANSSLFNGLQDDLIDHPVDPTGGPVYLQDTATGFFLKY VDGKVKMTSSPDDSCLFEWVQGKTHHWGLLSTACTRFVGQNMLSQIVVSARKMQNWEA FRVLQNASLPPTSGQYGLSSSIYLVLCSARFGKGMWVSSRGRDDDKLVVGLAKQFPVA LCLRYATSLDVFQSAALPCSPLSEAPRPSMGASPHDQDIPTSFHQAATRTSILHHQLL PSTVTTVAAFADAYDALLPAHTDHPWQVHALHGNVRSISYPTALSDAPPTLPPRSPAN NVLVVHEFHHYECNVEATEVTFETKLTLGDTLVPSFSVELIYTLKQQQQSPPLSLPPS TISSSGRLSSSTAMMLDCHVGVHWAKPCMFEGYVHLSAVRAATAHARHLVAQLTNGPR TSSSWTSSFGALLVQELHQCFAAPSHVLVGLGGAFFEGPLTPVYSTTWPITPADFHAR MLTDAAWFFRQRDPATVPAALDMSPWAPCAGGHIRVQRFMVAVAGRQELVEEYQTYAT PEPNTLQIGRKITLPRTKPWTMDIRWDFELQDEMVSTVACAVAFHWALPRPARASDIE KHVIAVMLASIERFHVLITSQEEMENMPWPDQLTTPLVEALVG H257_09260 MQFDVGKTSLGSGLAMGAVLGMFWMRVVIGFSYLEASFYLIFPL SLTVVVLTEERSLGSVVDALLCKVSSLVWMAMHADTPATVASHDDHDSDDHANLLPRP SDPLLDMHFKASNTSDLITSANSSLFNGLQDDLIDHPVDPTGGPVYLQDTATGFFLKY VDGKVKMTSSPDDSCLFEWVQGKTHHWGLLSTACTRFVGQNMLSQIVVSARKMQNWEA FRVLQNASLPPTSGQYGLSSSIYLVLCSARFGKGMWVSSRGRDDDKLVVGLAKQFPVA LCLRYATSLDVFQSAALPCSPLSEAPRPSMGASPHDQDIPTSFHQAATRTSILHHQLL PSTVTTVAAFADAYDALLPAHTDHPWQVHALHGNVRSISYPTALSDAPPTLPPRSPAN NVLVVHEFHHYECNVEATEVTFETKLTLGDTLVPSFSVELIYTLKQQQQSPPLSLPPS TISSSGRLSSSTAMMLDCHVGVHWAKPCMFEGYVHLSAVRAATAHARHLVAQLTNGPR TSSSWTSSFGALLVQELHQCFAAPSHVLVGLGGAFFEGPLTPVYSTTWPITPADFHAR MLTDAAWFFRQRDPATVPAALDMSPWAPCAGGHIRVQRFMVAVAGRQELVEEYQTYAT PEPNTLQIGRKITLPRTKPWTVTVIGIWGGLTMMLWWLLVAVF H257_09261 MTTEASYLTLKQVNGDSHRIEIDVASATVLDLKLAVQAKLNYPP ESQRLIFQGRVMEDTNSLTFYSLTSGLTVHLAVNTKLVPSTPVASLPSHVSSAAVHAP SPPSAPTTNLVQLLPLLRAHPAGPAAIATLKKMAENILANPTEQKYMKIRLTNEALKR KLLDVPYGLQCVTAMGFTAGVEEGHLVVVPTAANWDLVVASKRIIDQFDAVPSSSFSA PAVGTNHPLGGMSPESLLAMMDNPMFAQMVAANPQLQQMAQNNPMLQQALQNPALLRQ SLQAIQSDPYMRQQMQQMMANPQQMMTASSNPMFGHNASLGGAPPSPFAAPVSLHQPP TAAAPAATAPVASPTAPTNPRSEEDEIAEAIARSLREM H257_09262 MEQDAPAAVCAQKSVPLSSSSWSEFIMISTIAISSVLMLVRALF GGDAYRRALKNKSSNRLLGGRKKFQSLHQQTMQNVDESVLADVQTLGDSVLDGYCTMK KGSSSTTWKKRWVVVDAYARVKYYPNAEGSRRNTAPKGTFTVLSVDVAPSTSNNSNQP TLEVRNTLGGTYFFHFDDDVKMQKWLVVLKSRAMQSAIDGRIDPMHSRDRSAPHMKHD LIVLVETFNVLAHKDTSMRSFFAVVKFKAELHDHSVIPVKQTNQRSCSHSRTAVSWNE SLHWTFDDHECATCDECVGVGLVGSFGGLPDLLVVNVFEVTMRVKTTKIGRVFVSLKD LFGPNGLTKSSVEASWPILASSTRLQDEKESILGTLQMTLDYTATSQVDATAALTPFL TSAPSDLELLGEFELDMPLLDLLDTYYADPPDGAEASANPVWAQYIKERGDTEVETHR WEPSPAHGGWVRVVTFRSLTHAPIGPSTTMTTNTWQCTGYDWTHDGTVSQVTFQIKVQ LHDIPYGDIFTVEHSMTLTKSSPSNNNGVSVKVYLAIPFSSGCMFKSKILSQTKTATH ESYVLWFRLLKEMHNAPGTSIAATSVNSAAKKRAPLVRMCSIVDEEVALEEIFENQRM HIFGKWGPNYLWPTDRSRFSNRQGDKELSFNKVECPEHWTWTSEWKVDMKYTECDEEG WSYATDFPRFKYHLAKGKSNARKVGSSVRRRRWVRTMCLNPDADVAF H257_09263 MAASGADDKASQWSESVDFTPPLLAPVLLLSTDPSIPPMAAASP SSVGPCSRNGVVVAGCLSGDPVGTDAAAGKVGTVWLSICSVAGSVSSPAGPPPSVESS LSVIVPNNARSNVLTMSSSSSCSASCCRINEDVSSTDGPATDAPSPTPSTSPCSTALS SATASVIGVAIDGSSSANELRATTFPEASDWSDSSSRTTAAAAFFVSGSVKSTVTTLE ASSRRRRRRLAPGVSSGDDPLLGCFVTMTTTASLSLEDDDDEMCSG H257_09264 MDQEPCEEPSPASDMSSAAHAALDDGRLPHIATPKDVTGTVSPT ASTIASSPVVARDAKEVHEEPPCKKQRLDAEEVTVDSLLSMSNPLTRPLPSEQNVAMP TTGTIPPPPLDNALQATAQPITRTMPIPSPADISPTPHSPVAIVDKPPQSASLSPMLQ PHSVVSTDLTEHIAASTSSYSSSELPCDTSTNEVASVVVAPLPSIFDTCDVLPSTTPF IPSFAPKDTDSRTLSAPSILDPACHPTSPPPPPTTTVDTELPALGATIDVSPSSQAPC VGVDTSLTVPAGATAFVSLAPGPPASDPSATSMQHDTLDGGAMTLPHPKLALLHPKLA LLNPKLALLHPKLALLHPKLTLPHPKLTLLHPKLALLNPKLALLHPKLALLNPKVTLP HPKLALLHPKLALLVRQISFLWREGG H257_09265 MMLTRLTSVRSISRTACVNAKIANNITDLIGNTPLLYLNQVTKG AHAKIAVKCEFMEPCGSVKDRIGISMIEAAEKAGVLTKDSLIVEPTSGNTGIGLALAA AAKGYKLQIVMPDSMSMERRIILKAFGCDLVLTPGPRGMTGACLKADEIVAKTPNAIL LQQFKNAANPQIHFETTGPEIWNDTDGNVDIFVAGVGTGGTITGTARFLKPKKPDVRI VAVEPEESPVLSGGNPAPHKIQGIGAGFVPEILDRSLIDEVVTVNGPESMLMAKRLAR EEGILCGPSSGAAVVAALRLAKKPENAGKLIVAVLPSFGERYLSSALFDEEREIATHL PTTPIES H257_09265 MMLTRLTSVRSISRTACVNAKIANNITDLIGNTPLLYLNQVTKG AHAKIAVKCEFMEPCGSVKDRIGISMIEAAEKAGVLTKDSLIVEPTSGNTGIGLALAA AAKGYKLQIVMPDSMSMERRIILKAFGCDLVLTPGPRGMTGACLKADEIVAKTPNAIL LQQFKNAANPQIHFETTGPEIWNDTDGNVDIFVAGVGTGGTITGTARYKQHHHPAPLL SIAESILTFFIAFFEFFLPFLAKVKRWMIS H257_09266 MLRAIFSLAGMDISATNVLRHLQSSYEYSRGSATTVLVIAIALI AVLVYIGLRVTFWGMTSPRLSIFLSTLVAATTMLGIVLPDLVTILVGFVLALILSLVP ACRKVGIVAIGAAYGTTVVDLLLGAIKLISSDLRASSIALDIVALVAMVVAAAWCGVR ALKEHETFLVFATSCTGAFVVSGSIAAAIVVVVVGGMDTSLFYYALWVCIGAGLMFGG VMRQAVALNQATPNVSVTIATPVKDNTIV H257_09267 MAIIKHALMALVLLGLTMLQGRDVALVQALDTDCEFDTDCPITM ACVKIKYRSFSTSQVRGKCALKNVCRGSSSGNCPSYNAPSAPGGELATQCVLVNTTKL RGIKCCGGTWTGGDPSRRLVDNATNTTGFQAADSADCFQCYVDNTNKKVGPPTIYAGQ FFCVPKDECLSQSAFPYACSNVNLCTSAPGVLCNNHGTCYPKDIDDPKTSYGCACDTG FGGEQCEKVLSNTCQFDCGDGNTKGMCVNDACKCRDGWTGDQCQKCTTDDACNGLCDT VSGTCSCAVNALVEYSLKANVCYQQGTKSVADMSSCASVTCGAAGFCTAGKCFCSSGC VGSACTPCVDTACATCTSSATSFLRGGWLLWTVVLAALTWTSSAVA H257_09268 MSIDVWSVGCIFGELLGRRVMFPGKSYVDQLKVIIEVVGTPSTF SFCDNPSARRFAGRQLLTRSPLIAKVAWPDVFPHANPEALNLLDKLLQFDPTDRISAA DALQHPYFDSCRNEDLDAMVFDTNDPPETSNFDYKKVEPAQLKQLLYDEVMRER H257_09269 MYQVRSEYDRAYVFSQYSTTPRGSSSSGGPPRHDGSTPKSSPST SANRMVDGQPCELAVEILADSVLEGDERLSSVARMSYTQSFLGYDESTTGRDLHECSA QPAPSSTFTPPPPPPISSTAASPLKSPPPSFPSMATGLSRTSSGNKLKSSHSSTASTP LPADHRARSMSSSTILQRGSSKPKVVGLKGLYEPALTLHWEGYLMKRSDWLKHWETYY FVLHGRVLCCYMSEDNARVHPENSRIKDGRFTFSDKVVLDKVIDIRTHFDMPSSYSSQ SASSSSSSAAAALSIGGGGASSSPATPSKKHVPFRFVFETQNSKKLHFRAKSEASKQL WMHMATHGIWEIFGDVVASSVVFKPQGFSMNLTLPSHSERVRESFVGGYIVAIKFKHL TGIRTDPHERYFVRCAFESTSAGGREQQPTQAQSKVMVATSATDGDVCHFDMNQDLFL QMDSLSRDDNTLLGVQFCHLATHDVLGRTTVNLAYFFNRAGMESSWQTYTLSNANESF YGKMMLSVHISPLGEGAASLSSVIPSTPGVKRYPWLHSTTRHSLTNRHLFSSFVGDDV EQSFVDRPSLIQGPAAASAVDPTTTASAPSLHQFIIGDSTFTVSDRYRMVKVVGKGTY GVVIAASDCLNGGTYAIKKIAQFMRHPKVAMLAFREIQLMNKLGAHPCIMGVHELQRP LSFSTFDDLYIVQSLMETDLCRVIHSKEHLSDEHIQHFMYQILCGIQYIHSANVLHRD LKPSNILVNSDCSIKICDFGLARFATDQDLAEGLSEYVAHKKHRVNQEVLPNTPLSSV RPKTDHILCRLFSILQPDVVLRSNYLPMVPFEGTYREFTGILEYFTNVAKAVKFKSFH VDGMSCEGHSGKRIVVVSGKETMQVRASNATFMQHWVHKLHFKDDGRISRWEIFGDVV ASSVVFKPQGFSMNLTLPSHSERVRESFVGGYIVAIKFKHLTGIRTDPHERYFVRCAF ESTSAGGREQQPTQAQSKVMVATSATDGDVCHFDMNQDLFLQMDSLSRDDNTLLGVQF CHLATHDVLGRTTVNLAYFFNRAGMESSWQTYTLSNANESFYGKMMLSVHISPLGEGA ASLSSVIPSTPGVKRYPWLHSTTRHSLTNRHLFSSFVGDDVEQSTYY H257_09270 MQHQRRQQHPHHGSLDAMNESIHSLNLNAGRGYANNMGSYQVAE SDVHSDMPLMMNSNDVSVDDLDYDGVEVETALREPPLLIRWEGYLMKRSDWLKHWETY YFVLHGRVLYCYLSDEEAKLHPENSKIKHGKFTFSDNLILDEVITVQSRLTYEFVFES DKGKQIHLRAKTEASKQMWMHMACHGIVDTEMLRNQVLHMRRTPQRHATLVDFFLGYE YLFASLSNIEDATASTSSLDSSNKQRKHSKQRSDDIVHPDAAIAAFAPKVDHILCRFF SMCQTDIAMRNNYMPMVPFQGTFRGYAGVLEYFTKLSKAVSFESFSVEGMSFEGDETK RLVVVHGLESMEVRGTGQSFSQAWEHKFLVKDDGRIYRWEINGDIVASSVAFKHLHNR GHGEKYCESFTTIKLDNIPNLARKDKPIPPTPTKPPPRMAWNTPPQQWDPPPTTPWSC HHQSFNQPRHPTPTKPQNPPGGGGIHIQLRRTYPSSSSPTHTSVHPVSSPPSDQSSFE DRMSTFLDNDQVHGSPHTQSQVQDQRRAPPTEASYPPRTSFRNHVTQSCPNHVTGPYP LDDPLSPTSFYEPKEGPPPLPVHHADPSRTRHQQQHQKPPSSSFRPSTSSSDPSFPQP PRPFPSSASFGTRGHSLPFPDNHHPRFQQQHPPSPPPQKSPDAANLITSSTSFDYPLG FKRGNLWPDAPVPPSENIRLAAAKRLDLCRPREDLAMYLQIACKTLHVSMGTVCVVGG GAGLFIAKFGGSMTHVDTAPRDMILESHVIMSADPTVVLDTSVDIRFAMNPVVTQGDV GFYVGIPLVSTDGDYDVVVGALSLVDTTPRDAVTHKQVAALVQIAQTIMHRVQDLSAA GNGARNPPPPPPQHDSTFDRTQVNLEID H257_09271 MGDRTSNREGKVRLEPGFSQVHWMMLTQKSKDMTGGHGVRRNIS REEIAQHNTEHDCWTILDGKVYNLTPYLRYHPGGVGKLMLSAGGDCTTLFNESHAWVN GHGMLEKCFLGTVESTPSTVASSAKDSTVVLSSVEYRSLRLLSVRDVSAMTKLFQFEL PRGKTLGLTLPGQHVKVRVAIRGTFYERAYTPISAIHQKHTVDILIKIYPDGIVTSHL AALPVGSLVDMLGPQGSFGYSGVGTISLSPSVAARPVTDIAMVAAGSGITPMLTLINA VMRGSVFDTTKLTLIYCNRSPAHVIAKSTLAPLHNMFPGRFRWLNVLSVDRTSSVDGG EKKEADDEDVKPFVVGSRLTRAMLEANLPPPSDQVCVVFCGPPSFDEFVGVELRALGY PWVHQF H257_09272 MNCLNKYTSIGLAKAVLHTNMAASLSALLPYFPPVDFAMGYGSA VFQQSGHNDSTSMIDLVLAVEDPLAWHTEQLQRHPEHYSGIKHLGPEAIVYVQENFGA GCYYNTLVPVPGSSQLMKYGVVKTSTLCHELTEWSTLYLSGRMHKPVNIITSTPAIDA AASTNLTNALHLAILGLPETFTEEQLFMKIAGISYWGDFRMVFGENPKKVRNIVHGSL DKFKQLYQPQIDTSPFVCRLHGSNLQSDMSPEARNAMLLGLPHNITCRLSEKSRLKVT QTPHGKKLLKFAVSAVVGQYSRTQSLKGIATAGGIKTLVYVWQKLSRTYLGK H257_09273 MAAADQSIGMMDGAYFVGRKDILDWVNATCALSLTKVEQTCTGA VACQILDAIYPGKVQMSKVDWSANKDYEYIQNYKILQKTFTTLKIDRHIEVDKLIRGK YQDNLEFMQWYKRFYELNAGGAGDYDPIAQREKGKGGAAYSAKYKFAGATAGAPAPAK KRPVASTSKASAKPPGAPVSVEKEKAGGFRRTSDSAASSKELEESIRENEELNEANGI LRGQIEGLEKERDFYFGKLRDIESMLQELDESQHTDVIKKIFEVLYAAEGDFSVPDDD AGGEDELM H257_09274 MPSESKEAKRCAGDDDGDVKQSCSGGDSDSGAKRAKDEEFDEDN NVVTKVMTYFFENQEFNQAFQDFAERECDLFDTEDEVEMKLEYTDVFNRFTALFESKL EAFIESQRSTVHEFYSIVQKAYEADPESTLSVYSQMLVAVCDFSVFVLMMRRTRDAMA LHAARK H257_09274 MPSESKEAKRCAGDDDGDVKQSCSGGDSDSGAKRAKDEEFDEDN NVVTKVMTYFFENQEFNQAFQDFAERECDLFDTEDEVEMKLEYTDVFNRRTKPTPRAR CPCTLKCSWPCATFPCLCS H257_09275 MPSESKSTRHQHADIDDDDDRSDAKAVAASASSSEAKSNPPTIV DRVIAFFFENEDFCRVFERFADNHCDIFDDKADEMHLEYTDIYTQFTALFESKIEAFI ESQGSTVDEFYALVKKAHDHNPHGTIAIYSRMLVATTDFDVFVVMMKQAKQAKVSDAK LDSK H257_09276 MEAHTSSSMNHRLSSPSPMETECRECGSDDIVEDYAAGDLICRG CGIVLAERLIDETAEWNNYAEDDRDRGNQSRIGEAVDSRMGETTLQTFLVKSASHSET ATPKYLNAAPTTTSMRRHEGVEQIKTLAHALNVGALVVDCAITIYARVDEENLFAHKK SNERNGVFAAILFMACRECSHSRTLKELSVASGVDIKRIGKSLGVMSRTSIASKKQAG TEDFLSRFCSSLDLPSKTPILALQVVEKAAKMGLVDGKAPAAVAAAVIYMVAAYTNVK RSLDEVAEASLVGKKVVKDVCKVLHENKSLFDDLNQVLVGAAS H257_09276 METECRECGSDDIVEDYAAGDLICRGCGIVLAERLIDETAEWNN YAEDDRDRGNQSRIGEAVDSRMGETTLQTFLVKSASHSETATPKYLNAAPTTTSMRRH EGVEQIKTLAHALNVGALVVDCAITIYARVDEENLFAHKKSNERNGVFAAILFMACRE CSHSRTLKELSVASGVDIKRIGKSLGVMSRTSIASKKQAGTEDFLSRFCSSLDLPSKT PILALQVVEKAAKMGLVDGKAPAAVAAAVIYMVAAYTNVKRSLDEVAEASLVGKKVVK DVCKVLHENKSLFDDLNQVLVGAAS H257_09276 METECRECGSDDIVEDYAAGDLICRGCGIVLAERLIDETAEWNN YAEDDRDRGNQSRIGEAVDSRMGETTLQTFLVKSASHSETATPKYLNAAPTTTSMRRH EGVEQIKTLAHALNVGALVVDCAITIYARVDEENLFAHKKSNERNGVFAAILFMACRE CSHSRTLKELSVASGVDIKRIGKSLGVMSRTSIASKKQAGTEDFLSRFCSSLDLPSKT PILALQVVEKAAKMGLVDGKAPAAVAAAVIYMVAAYTNVKRSLDEVAEASLVGKKVVK DVCKVLHENKSLFDDLNQVLV H257_09277 MSREHLLGDDDESIVLARLGAVGSITIDGWSSAYDDAYPKALKG VVPPNEFHTCITAINQSVDDYYPCIPCFGCGYLCCVASFGLSLLVPQPCTTELEKAVE FVLHRINGRDDFLYRGIVWKVVRPKHTHTSWIELRQLAC H257_09278 MSEAMLASNGKGDKERLTLMERQDNETGNAPMLAQLRISELDKL VARVKQKQALCNELVKHYNKELQHIENESKKIHERYDPLCKRLEQRLLEQETQKRQYD EISKSFGEILHTTKTRLKNSQTDGIRNLRREANKELTDSRGFASDVGSTFKQKYRK H257_09278 MSEAMLASNGKGDKERLTLMERQDNETGNAPMLAQLRISELDKL VARVKQKQALCNELVKHYNKELQHIENESKKIHERYDPLCKRLEQRLLEQETQKRQYD EISKSFGEVVQRFHL H257_09279 MSATEIGTQFVKFYYDTFDNNRAGLAPLYTANSTLTFETATLQG QAAIIGKFNELPKTQHKTETVDIQPSLNESSILIFVTGKIIIDTNPPLQFTQVFQLVA HSPGQFYVHNDVFRLIYG H257_09280 MFRATRVLSMAVAQKTSTGLVGLAVNPNWRVDLIKLYGETLKAT QTHLPDCFYRTSVEQITNFRLKVVTEHEEEDAVEKLINCGQVEELIEQAEDELFLIPK YAEWRLWEPPVTPKDE H257_09281 MGRRARQSAKTGDGGAERRLAKKLDQQRNNKTKNFSKTDDDLVF HDDPSDGEDIPVDEGSSDEDAGSEQELMQLNEDTESEHESDLEERDDLDDEDVDEEGF AAEKERALKLKDQWGSSKKQFYSADTADYEMDSDMEEIAQDEEEAALELQRKQADLMD EDDFAVGGAADDAALPDQDEEARVEDELADIPLVYDQDSSIEAVQKDFSKLTKNDKLA FVQQTSPELLGLLDELQLYLAQYTENIRPAIEKLELKTTRQNKSNEALATGLAYLKTK EALVLNYTTNISFYLHCKAQGKVMVDHPVLNHILTLQDKLSVCDKIDQPLDDQLDALL NDQDVSEDDEEHDDGLGAFFHTDDVASPPPITTSTKSNAAAQKKRKHAEDEAPPALTV DEAAAEAFYNSVVESKNAHKSLKGEFYTHEPKIVEPEFDSDDDDDKKRGATYQMIKNK GLKAHKSKINRNPRVKKRLQYKKALVRRKGQVREVRTGEAARYGGEMTGIKSNITRSR KL H257_09282 MQSPCMSTTGVRPKAHKAVESKLEGGVFMMGGGWRGDENGETCG ARSFVGGDTFNRVRGSLRGGVHRPPSIVGVAGFTGVAAPCCLRSFLIKAAPPVFRGTL Q H257_09282 MQSPCMSTTGVRPKAHKAVESKLEGGVFMMGGGWRGDENGETCG ARSFVGGDTFNRVRGSLRGGVHRPPSIVGVAGFTGVAAPCCLRSFLIKAAPPVFRGTL Q H257_09283 MRLGLQVPGHVLVEESILTLDGTPFSLHPLCHGGFPSLLRVDVG TTVPTIAVVAVSCNLARAELPHETCNLGSHLLSPSDTTGAFGGGGDGGQHLHQVDGVG GLSFVAYFYPG H257_09284 MADDDVGAPAAVVSGDLTCSPCVEESPQDAQVEGVPATTAAQSI DEAAKWKERSGRLGNAVNQQKARIQELEESDTRLKRLLNLAKRSIESKDASIESLKQQ VVELKAAAAKQGRPKDPRRILHKVKHVTHAGQTTLWCLVEYTSDDDDESRPPECGWLA FQHEADLLEYVRRASGEPVRVPDLSLPPSDVLQMKLDLSDELERVQEEFRRYRVRSEI TRKQKEAELRKISASALTKQTEQIGGMDLQQELQAARVQVRRFSQLQTSAEERERELQ DKYDKLAREHAKLSGAMGETVLAMEWRARYEQAVADKAALEQQVVMAASSSDSSRHVL DVDISGNDVAKLKMEFALYRKRAMQVVDLKDKELQTCRIPGTAGLRRMSSSNSLNGFE TSSHAPTTNEYLKNIVIKYMSTDQDEVKEHMEKAIATVLNFSSAEVKHIQDKRKGGAA GGWGLW H257_09284 MADDDVGAPAAVVSGDLTCSPCVEESPQDAQVEGVPATTAAQSI DEAAKWKERSGRLGNAVNQQKARIQELEESDTRLKRLLNLAKRSIESKDASIESLKQQ VVELKAAAAKQGRPKDPRRILHKVKHVTHAGQTTLWCLVEYTSDDDDESRPPECGWLA FQHEADLLEYVRRASGEPVRVPDLSLPPSDVLQMKLDLSDELERVQEEFRRYRVRSGT VAFISHVSTVHVEITRKQKEAELRKISASALTKQTEQIGGMDLQQELQAARVQVRRFS QLQTSAEERERELQDKYDKLAREHAKLSGAMGETVLAMEWRARYEQAVADKAALEQQV VMAASSSDSSRHVLDVDISGNDVAKLKMEFALYRKRAMQVVDLKDKELQTCRIPGTAG LRRMSSSNSLNGFETSSHAPTTNEYLKNIVIKYMSTDQDEVKEHMEKAIATVLNFSSA EVKHIQDKRKGGAAGGWGLW H257_09285 MPSFFHQIRARAQKIDSLLCVGLDPHVTELPAPTAAAAERFCLD LIAQTTDVAVAYKPNAAFFEVFGAEGISALDRVIAAIPAEIPVLLDAKRGDISTTAAA YASAAFHSAKAHAITLAPYMGKDSIDPFINMSEYPEKGCFVLCKTSNPSADDFQTLTL ADGSLLYESVAKKALAWNTHDNIGLVVGATDVAALRNVRRLVPDMWLLAPGLGAQGGN LQDAVLAGLSEDGFGLLLPVSRGISKASNPREAAHALRDAINVVRATKRQHVQTQFLR FCLDCSVLKLGSFTLKSGRQSPYFFNAGLFKTGRMLRELGRFYAKTIHASGIAFDVLF GPAYKGITLAAAVAIAYDELYGVDLPFTFNRKEAKDHGEGGVLVGADVAGKRVLLIDD VITAGTAITEAMHILTAAKANVVGVVISLDRQEKASVTDSRSAIQVVEASFQIPVVSI ANLNSLVRLLEEDDQGASSSGLSADDRQTYVTTIKQYRADYGVQG H257_09285 MPSFFHQIRARAQKIDSLLCVGLDPHVTELPAPTAAAAERFCLD LIAQTTDVAVAYKPNAAFFEVFGAEGISALDRVIAAIPAEIPVLLDAKRGDISTTAAA YASAAFHSAKAHAITLAPYMGKDSIDPFINMSEYPEKGCFVLCKTSNPSADDFQTLTL ADGSLLYESVAKKALAWNTHDNIGLVVGATDVAALRNVRRLVPDMWLLAPGLGAQGGN LQDAVLAGLSEDGFGLLLPVSRGISKASNPREAAHALRDAINVVRATKRQHVQTQFLR FCLDCSVLKLGSFTLKSGRQSPYFFNAGLFKTGRMLRELGRFYAKTIHASGIAFDVLF GPAYKGITLAAAVAIAYDELYGVDLPFTFNRKEAKDHGEGGVLVGADVAGKRYFVHIF TKSWT H257_09286 MARPKAEYVHKEVSCDQVLNEVLSANEEPDDSELTLLTVARRAK ERLYVQQQFQKCQEQVSMDPNLLFFNEPYESQYMAFMNAVNQFRVRLCFSIGAASCGL LYWQEAFVLNAFETPDPRGTAALYGLSFGLIVPTFTFGVLMTFVPWGRTRLESIASCV YVLVSMAFIAKKCVQQAKGPIYPLVILLIPLFNVTRMRFAYSAVVGVLIVVVFFVVQL AAGPDATSSIVFTTLNYSMSVIGGLVSSYQKELLKRRNFTLGLNFSGTDDDASSRIHN PYYAKEVVCYRWTQAFRHADLERRFYRYWYLLDGNPYENPNAGILHADIYLSWRFAVA GMCLNQVVLVLQDVINLYKTPTHFVALGFRVGCIVPAYMILFGCMYYFCRAYAKGWMV SRVVASSSKRQMSSVQPDSNDRTTTTHIVVRSKTMLHRHASQAVTRVRDDLVGVHHGY THTMQAITAVVILFHVYLTGTLVYVIAVNIGVMSVYFMGFLNATLVAHRSSFRLRFMY SSMLTMVACLAFLFGTRNVLSRQTHLEYMCYLGVVQILGMIVSYEEENLRRSFFIKKS LRALEFKAWLASLTRVQSWVRARMVRKANDARHRLKPPPLVPPASIIVANGHDVARRT GSTRVTPLAKFSLTVPLADIDINKNLRDLQGKLADIDINKNLRDLQGKLADVDINKNL RDLQGKLADVDLNKNLRDLQGRLNKNNEVVTSASSRMSKAGRFGLYSTCVSVLIAFGQ VMFFLAKQK H257_09286 MAFMNAVNQFRVRLCFSIGAASCGLLYWQEAFVLNAFETPDPRG TAALYGLSFGLIVPTFTFGVLMTFVPWGRTRLESIASCVYVLVSMAFIAKKCVQQAKG PIYPLVILLIPLFNVTRMRFAYSAVVGVLIVVVFFVVQLAAGPDATSSIVFTTLNYSM SVIGGLVSSYQKELLKRRNFTLGLNFSGTDDDASSRIHNPYYAKEVVCYRWTQAFRHA DLERRFYRYWYLLDGNPYENPNAGILHADIYLSWRFAVAGMCLNQVVLVLQDVINLYK TPTHFVALGFRVGCIVPAYMILFGCMYYFCRAYAKGWMVSRVVASSSKRQMSSVQPDS NDRTTTTHIVVRSKTMLHRHASQAVTRVRDDLVGVHHGYTHTMQAITAVVILFHVYLT GTLVYVIAVNIGVMSVYFMGFLNATLVAHRSSFRLRFMYSSMLTMVACLAFLFGTRNV LSRQTHLEYMCYLGVVQILGMIVSYEEENLRRSFFIKKSLRALEFKAWLASLTRVQSW VRARMVRKANDARHRLKPPPLVPPASIIVANGHDVARRTGSTRVTPLAKFSLTVPLAD IDINKNLRDLQGKLADIDINKNLRDLQGKLADVDINKNLRDLQGKLADVDLNKNLRDL QGRLNKNNEVVTSASSRMSKAGRFGLYSTCVSVLIAFGQVMFFLAKQK H257_09287 MTKVYALGNPGPVHKAVTWQAALDEIYDANHDKHDDTLTFTMAI RKLQERVFVQKQFELCMASVKMDPNLLFFEEPHEHQYVAFMNEINRRRVQVCFSIGAI ACAYYFYYEVRFLDAYRIAGSDDDGDIHNGISGPSELVLYWLSFGLIVPTFGLGVVAT FVPWGRRRLESIVTCVYLVVAVALIAKKCVQQAKGPIYALVILLIPLFNVTRMRFAYS AVVGWAIVVTYSVVEIAAGPDTASKTVFTTLNYSMSVVAGMVSSYQKELLKRRNFVLG LNYSGSPDDASSRIHSPYYAKEALCNPFSQSFKHADLERCCLSCSSL H257_09288 MPTRTRVSTLASCTAMPIYRGDILSQVAPHSMHSMVMTTTNFIA LFTTGVCLNQGVLLLQDVTNLYGTPTQLVALTCRLGCVIPAYMCLFASMYYLSRTYTT KWVESGRIKASPTLCMTTAHQARHSRRQSPPSVFGVVRKRVKAATTSVAKAAQQRILN MRAGYTHTMQFITFVVVFIHIGLLGTLVYVIALQFGRRDIYSVYFQGLLNATMLAHRS SFRLRFVLSASVTVLTCLIFLLAAQTVLPRRVHLEYSAYLCVVQMLGMIVSYEEENLR RSFFIKKSLRALEFGANFRVRVCVSAWIRSRMLQLAIRAKSGSHDVGRGDSSEHNRGT QGTTTIPTTDVLADKNLRDLQTRLNRKHVVITNIRPRFSQASKYAVYTTCGNLCVALC QAIYYLVAEK H257_09289 MSPSIKKVAAASPARQDQLRHGETGPTILTPKLQSPHSQYQPSS SKAAAASSVENGVRGSFASTNSVVLLQHARTETPSLPLEHDAAATANSSHHCFVHAAW TLVSSVAIGAIFISVVVLVGSEGVHFLASFLVSILNYEMSWYSYAIIQTLLRPFQLYY EMDVLAPYRDTKKAVTVADAWSVRTGIPSVIMSVIVSALIMLLASGSIAILQLYGNLG LSTSTFYLVIAYVASTSFVAVACAMQTPTPMDGAILLLQQASFSINAFNTYFDFREVV DNELRQIMLIDGGFSIAVAFVPIVILRIIRSSEVARTGLTLLLDLFCLQYIPSLVLKL ESVVDMLLLLDLDMDPELIIPLQGVYAIVSCFLVMWSTDLVTAMLPAPRPSCSVKQWM VVVAGIGASILSNVVLKVAVAPAHMPLLKWYHIVLMVLGSCLCHVGATFATLLRSMAK DTSLRSKWLVRLHYFLLLGFFLAMYKRVEWSATYSTTIERDIRQFERKWQRLDNASFA AHEDDETQFSFLATVMQLFENVHMSYTPVQLNELFVRSTHGFLLEIGTCIVASVEGNV TGKHCFSGFG H257_09290 MRRHVRTLAMALMLAAVAASTSIYDFTLKTANGEDYPLSNYDHT PVILVVNVASECGFTDVNYRALQKYYDEYHQYGFEIIAVPSNQFGAQEPGTYDDIVQF ATTTYNVEFQIFDKVDVNGPTAHPLYTWLKSNVDDGRDIAWNFEKFFVVDGQPWKRIA HNVNLNSLEDEILYALAHETSYHDDDHDEL H257_09290 MRRHVRTLAMALMLAAVAASTSIYDFTLKTANGEDYPLSNYDHT PVILVVNVASECGFTDVNYRALQKYYDEYHQYGFEIIAVPSNQFGAQEPGTYDDIVQF ATTTYNVEFQIFDKVDVNGPTAHPLYTWLKSNVDDGRDIAWNFEKFFVVDGQPWKRIA HNVNLNSLEDEILYALAHETSYHDDDHDEL H257_09290 MRRHVRTLAMALMLAAVAASTSIYDFTLKTANGEDYPLSNYDHT PVILVVNVASECGFTDVNYRALQKYYDEYHQYGFEIIAVPSNQFGAQEPGTYDDIVQF ATTTYNVEFQIFDKVDVNGPTAHPLYTWLKSNVDDGRDIAWNFEKFFVVDGQPWKRIA HNVNLNSLEDEILYALAHETSYHDDDHDEL H257_09291 MLELPYSSPVPVDYYGLMSLLLIVVGLVFTAGYFTKSIIVEYAY AAMASLFLGTLRSSFSSWVECMFDEEGSY H257_09292 MGSTSSKPHDSHPTDLGTMHESSQKHTSSGTSMNTGDIGHTGAG TSTYGAHYPSEDMDMDATNSPRYVHAAGDASSGHGASSSSSHLHDTASSATKQPDIVP MVFRWEHGGRNVCITGTFNGWSAHVPMHRSGNDFTYIANLPRGKHAYKFVVDDEWRFA PDQPTVADVDGNINNYVDVSDFTSLADVDLDKADETDATDTTVYGRFIPDLDEYTKEP PPLPPHLRHIILNKAPPSVDCRLLPVPQHVALNHLYCTAIKDGMMVLGLTQRYKQKFV TTVYYSLMPGST H257_09292 MGSTSSKPHDSHPTDLGTMHESSQKHTSSGTSMNTGDIGHTGAG TSTYGAHYPSEGVYYGSPTQTTMRNSDTDMDMDATNSPRYVHAAGDASSGHGASSSSS HLHDTASSATKQPDIVPMVFRWEHGGRNVCITGTFNGWSAHVPMHRSGNDFTYIANLP RGKHAYKFVVDDEWRFAPDQPTVADVDGNINNYVDVSDFTSLADVDLDKADETDATDT TVYGRFIPDLDEYTKEPPPLPPHLRHIILNKAPPSVDCRLLPVPQHVALNHLYCTAIK DGMMVLGLTQRYKQKFVTTVYYSLMPGST H257_09293 MSEKGSEGVEVHEKKWWTEEEDKILLTQINNMRPFLQRKDMRKA WDTMAATLKDVDGFTRPGSKKAHNRFLLLVRQHKSNNNEAARLSGATEDKTPKSRLLD DLVPLYNDAATKNRAATPLSEADEKAASIKFVREQAMLRGKRKSLESSDGSDVGGLSK KKLILQLEAQDKEVELDKERLAFKKLKCEREMEEREKDRAERELGDRSASKFEISRAA VTMR H257_09294 MYNGHKRKHAIKFQSVVTPDGLISHGFGPGEGRRHDLTLLRKSC LENVIASNPRFQVYIIYKDPAYGRSDQLVSPFSGGRLTEAQNHVNASISRVRVSIEWS YGQILQYWPIVDLKKKVKLGSDPRCRPADKLCYLCPPAYSMRDISRRSSTSMSSIHAM NPVLPEPQHWGSKEFVFRCLR H257_09295 MDGVDALPVAPKRKQNDSVMAGPPKQRKGGAYDTGKKKSASWCD DSVARLFFLRYKTELANRFDSKNNN H257_09296 MTAIAINDELIAKRRREHVWRIVFALVGGAISRYGDLFASLLKR LAGVKDTGTLIPGHGGLLDRVDAMLFLGAVFVLYHRIGSPSNYDGVISDLYQHQVARR YDILAAQGRG H257_09297 MDRRSGRPERQVHADCGTEEKSRHDALHHVVGLERCNEGVRAHA LRRGRLDHRALELLARRLQPCSLVQSGTQVAEGTVCRMESNC H257_09298 MPVAASSSRVVVHLEDHSELYGKFYVYEDEEVAARSVKSKNFIT KVMFLAAVARPRYNPSTKVSFDGKIGVWPFDEVARAIRGSKNRSKGAPVTVPKNVNGT VYKAFILGRVVPAIIKKFPPDDLSRGVRIQQDNASPHRQVTTVLRAAGVENIGDESSV EGALLQLGNRLGEESHLEKLVNSQEAVDFE H257_09299 MQHATQLLLADGPLKRYNLSGAGRPEEIPDTAALVAYMHKLRDA ERAVTCTHLVNFLKRHHRPWLNVYLATKKAEYPSLLRLL H257_09301 MPSCGEEVRGRREGSERRRCIAQGDEASESEELARPKCVLRDMA WGVCYGGRLNPSPAMTQVVLNRGHRRYLQEVLAYDIENPSILILDNFDSHVTEESEKI VVEELGCVLYPLPPNSTPHCHPLYVSWVLLRSTYVTSGF H257_09302 MCVRLWVQIQRKLASFKGSKTRKKNTCTCGGRSIIPDSHDLVTY LKDLRRSELPMTSSHVLKFLRAGHAMRRICRQKKTQVDPEETCLAFGKKFHSDHPGVA MDCVYNVDETGIHYDILVDSGKKLPIVFVIRGVDGGTIETKVFNEYPSGHFYAIVMVG DLTQVPL H257_09303 MRRQAISEATCHRPILDQVVGSCFGLKNAQADPNRQRLYGEKQL RQQAAKKAEPGASHTKGCWNTYEGLLEVCGSKKPQVAAVATPDSRGEVKPKVVGAAAD MDEATAAPVLRTLECAINGLKATTLLDIGADQSLDTAIELGGFLECMKLQVDRDVKQC LTFETAEATT H257_09304 MGEDGIASPVKPHVTEDMLHDLRSWIGEDPKRMPKTLFKMLRVL YQSGNYGDAARPTPRQMQQSINYVCEPRSCTTKVLCRLLMMRCNIGFCQPHKKIKKSI THSYSAWKKLMACPA H257_09305 MKCAFKLQGSPWPRDDVGIPEGRPPYLCVADTSDFHWGGVVTQI PYDQVDRELDVHEPLMFLSGTFSGAAQGWTIVEKGAFSIVECLKRTDYLLHKPGGFAL FTDHANLKFIFNPASVNSAIPWYTAAKRDRWALMVMGYDYTIYDIAEDGNVWADLFSG WGATSHLICPIVHVPMKISPLQNGDFIWPTMAEIGDAQFSAVELEEHRDDFSQFKWLW ETDVANAQELARCLLEWFSVFASEESCKKSEEKCVEMAQLDVGDFVLYKDVWSISHSK LCATWHGPAQVVKATSAWIFEIKNLVTGIVCEPYSSQLKFKAENCLEVTEELLRHVTH NADGHVVDDFIDCR H257_09306 MAKLKTPSKKELIEENRVLRAEMQAATFMIPLVGQPETPSEPRA AVEPKFSANYTDQMIVALLEVRFGWFRDDFAGSKSNKQLACLWEKVALQFNILTSASV RIPSTSLKNNVYAIKLQYNNIKKCERKRKRIVAGEVDRQRQLRKHGKTDVGAGLVSLG AALAQGMVDAATISKESPDKSNGFSAILERTEAALAKSAAVQEETKIALQRGNELQEQ LLAFLMNKFTE H257_09308 MAVNSKDPNVQLLAFNGNKKSFSVWTQKFIKHLKAMTTVKQFKD AFGEAQPVHLLWATIEQCYEESNVNTVKPLVGQLI H257_09309 MIKRKGQLLARELNVDDSVRFSNGWLHRFQQRNTLKMYRMHGEA AWGGKLLQSTSKLYHREEEDGGLQEGQESSLCCLSGEC H257_09310 MPSSRCESIGPTQSRVKAQNLTDVERQAMINMLLASSNGGKRKY GSVKGVADQFNCHRTTVSAVWNSWKAACAECGSKMIASPPTTRMKGNCGAKTKWSAEA IEAAIKFVPFHKRQTTRALAFHSGVPRSTILRHMKRNRRLRCKSSYLRPLLTEDNKEE RMKFALSFVKKNHVFDDPARRLQVEALHHKGNVPLCPLCVMFLCAVARPRYDVSIRRM FDGKIGMWPFVVKAPAQRNSKNRPWGPMLTEPQNVTAQVYQDMLLTRVIPAIKMKLPL VMKSSTVYIQQDNAGPHAKSVNKAIDQLAVNDGWTIRLRNQPPNSPDFNVLDLGFFNS SVSVNSIEYR H257_09311 MGSDLIMVLMARLARRRSLLRRMRALKLRAALKERNRVQTASLP RMQTDIAWYYMYASRDRASFIATVSLTPEAFDELLVPFAREYVDLSRRGRGGRPPRVQ DKHAVLAIVLHFYTAAVEHKTLQELFGVASTTLSRLLRRGEDALSRALQCMPAARIKW PSKATQLYWASKSQEREPLVSGVFVFVDGKSLGVQEPSCADLQNAHYNGWLHSLFVTG VLCFGLDGTIIWGRHNCPGFWNDGEMSRRLQEFLADGSRVAPGIKLASDSAFPVGGLA LGRIVTPLKQGNLERYQTECRLGLQTMSDCIPSLRQAAEWGMGAVPKVYRQLMLPLPF NPALRNTRLSNLFRLCNYRVRRTGI H257_09312 MPAGSNGWDEAAAKFNAGPYPFRHAEGIKRKFYTLRNHEKPTGD PSCPVDVVRAKYISRPIDANCAVLPWKTTRTTWTTTVMAMGNDYASEQDECQVKMNEV PLPASRHERPSRSGMGESELAMLGSRLKRAASAPPSGVYPRVCGEETRQSIDKFIDGA MVPDAKASSDMKSMTMLMGVRAAQREEKRLDKVLEWRQQQQEREERVQRDRSERDAQP DEMQLMLFTKLFGNN H257_09313 MGQAARIWVRARWWQGVTPTCHIATHCAPDGITQATIFLLAMSL VVHVVGDTNLGMFTAVR H257_09314 MHLVRQGPRQVHVATWATGTISTAFFGILPSTTMGFAGVESLTV VTGHHHLTRDERLDILRLYAWFRSQGATSSSKQVAEALGRSLGVVKDVWRDYMLTRIN KAAAEKKLKKAAKEAVASGREIKRERNDLKRKANQSLIEKLQATQRVNERFKRDLVRL NGTGGKKKPKLSEQEELAEFFANP H257_09315 MAFVLAKTTWVESDFSILKWEKDEFLMNLLDLSLEGIFQAKQFK LLGPTQCPKQTMMMMTTRRDVVEVEGVSGRKRKSRSDIIPEDLLRRHQHSPQLLYMDL LNSSIEDEVEKNFIDCEAIIADLFFRDDDNDEDSGDQVHVVKHTKCNNARTPRTTTVM PEAMLVWAAGVGRGIWSPAADFIHQACRGGSRVGVGCGSWPLEMVAPAQG H257_09316 MPVKGRLPHGAFQKLCTVYGCHWRTVSRIWTRAVDSLAQGAGIA DTAAKIVGNSGRKLTRRHDDIEAAIRSVPHHQRQTLRSVAAHSGIPKTSIVRHMKAVT RLKARSSYVKPYLTEANQHLHKIIDG H257_09317 MLQRWSAVRFRCPDAPAEMLQRSRKPDDVASIDQDHRDLVKAYK DEPGIKAFMDAHCNKTNFNDGWNCIGRARFSQLRRFCSGMAFVLAKTTSVESDFSILK H257_09318 MSQATRSWVWTRWWQGVTPPAKLLHTVPQMLLVVITFSQVDQLN ITIATGITQATIFLLAMPLAVHVVGDTNLGMFTATSMGYALSPVTLCLDAPLIDLLDK PGATV H257_09319 MPTNGAKDDAVPGVLHLEYGMTLVQTVANGVQTCRCLCCVHEGC DVVEVGGVSGRKRKSRSDIM H257_09320 MSQATRSWVWTRWWQGVTPPAKLLHTVPQMLLVVITFSQVDQLN ITIATGITQATIFLLAMPLAVHVVGDTNLGMFTATSMGYALSPVTLCLDAPLIDLLDK PGATV H257_09321 MKAISVNDELLAKHRREHVWRIVFAVVGGAISRYGDLFASLLKR LAGVQDTGTLIPGHGGLLDRVDAMLFLGAVFVLYHRIGSPSNYDADISDWYHDLVHLR NDILAAQGRG H257_09322 MRQSVPAATCAPDWTNDDGQQGQMTTDVEDDQTGLQAARQQFKG EVVEPPTSQRVSANPLIAAFQTNNMVQRIVSGLLLGAAVSVYLALGPAAAPIHLCSFV VSVCNYEYAWLSHRIAYRFTVTYAHQSQEDDAVMDIVPLDVTSVAASRLPFGARYPKL VAGAVAFFGTGIVTTAFILLQIHVFHYETVTYMSIYQTPIVYTWIDFILLIAISTWIT VYCAMLTPTFASAVNLVMQQCMYSLPLVTHFTCYRYDAHCYQVGFTSAPKVNIVVLVL INRVPWWRHVSKTQTIEAVLHVILDVVGFAYIAMLMTPVAGILMEIDEGARIALGFLA VVWGVDTGAYFCGHLLKSIGYKRSHFLARHISPNKDIEGSIGGILLGLAATLIVDALW INMKAISVNDELLAKHRREHVWRIVFAVVGGAISRYGDLFASLLKRLAGVKDTGTLIP GHGGLLDRVDAMLFLGAVFVLYHRIGSPSNYDADISDWYHDLVHLRNDILAAQGRG H257_09323 MAHLVDNKKLIRGALNKIALDFGVHRGTVQRVWKRANVDLDNKL RSCSDVSSRKKGHSGSDLSGPFFWTDSEGLHADPQQQLPDPPSLHAAKLLARGLLPQV LAVDNEVVECGCQQLDESDVSAKFDQLAVEVSEAMEMSEFSSQLEMLIVNNELKEHAD VELGELLDLFNLL H257_09324 METVVAVSLPPPNQAEMDELRMQSVERVAASSCQFNQMTYEQLV QFHAQQEAMSKRLEEESNRQLIMAAAMEKNRLEQEAVQIALLQQQEYLVRQQEELKRA MANQAKATA H257_09325 MANIDNAAANIRRNIKAPQQPEIDSQLAAWVVNANDKGASITGQ VIKRKGQLLERELNLDGSIRFSNGWLHRFQQHNTFKMYRMHGEAASANSAVVQDGRTA L H257_09326 MTSLPSEGQPKSTYWATLRGAAWNQKAQAQFSSTCASLHRAGDL NDKIVRYRQLLNTGLITDAAPKYTHDILDLHKIIDG H257_09327 MLRPVAALDGCKKAQTLRPLGSPDGQAENALLAQRTLLNAQLRG LVVELQRQLTAATITSATLQAGVDATTAALAQLDSHGTPAATKDQVSAADVATAKQRT AALTSKTTTLDLEIADLSRHLDRLTRKRANTAATADTIGNKSLLVLVTARHVELFVRE HVRGTKSNLGREWTTKDIATLTDVLISHLPLQLELTTTTSTSIAVSPKTSRKTPPCAK TGELPQVEKTPSPRRRRPRSDSVGSGSAISPILLQTVLPVSDELEPAQLTPPSSANAN KLSPHHREHRRASSERIRRELLTQETNGGNEELDALVLPGLLGNDTMVLPPDLQHART PRPGGLERSPSRRQVQTETANTIEKSLHPVMRRAGSRVIRDTTSPDSGAGSPDRPSLT RRPSSKHPLSVPVVDERAAAVMPVVHPVRHVTADEMEHVPNIALRRGSLRGSTLGIRT TPPVQEPPSPPKPAKSIPRLAMVEPFELVDLCVTTDQTPNDRDSAPPTLTPATSRTDM EIQISPPRPHFESLNLSLSHAVIMRDTPRPEPEEVSARSSVDGSMSQRSSPPRLTPLH QTATSNACFNTSRVSPPKPLDPPTGLATSSPVKQRTSKHLARIIPSTTAPMDTFPPLS FNSGAAGLEQLLATPPSGASFLGQSTPSRRMLGTTASVATSPLKASPPKQPTDSPAAR TMEKSPSASRRGLFPSTSRPSMEPI H257_09328 MGERRAPVLLPRTKSIKAVVAKQYQAVAKTYPSLPTTIQSSEDA TELAVANLIEADIVQLQRDESQLRGLEVELRRRSSLASQRIQLHADVDALKKTNHHLA AILAAMQTAHDRAMQQVVQDSRSFELKYCTCATIADVDVVVQRRCQALNQQLDKTDIR SPAAIAPDAIDAVRRLLVAHIPLRLKTLPSADGWLIQRRFQCDSTTLAREISTAMMFL SAHDLPLVLHWHLRRAVVIRLGARQKHNPLRSADIQNAIQRCSDLIPSTLTTSNSRAK FPLGNRPFHHGLLVGYLE H257_09329 MQAGASEVTDANTLALEKVVAFVKKQRPRALTKEERLDILMLYA RMSLDGEKDVSNRVAKLLGRNRQIVQSVWRDFRTTESVRVQQVAANRVNHATKFPRTK AVVSLVKRTTSFKSTEAIPKQRPMASSSCQLRQARSDIIFVQTLYLLL H257_09329 MQAGASEVTDANTLALEKVVAFVKKQRPRALTKEERLDILMLYA RMSLDGEKDVSNRVAKLLGRNRQIVQSVWRDFRTTESVRVQQVAANRVNHATKFPRTK AVVSLVVRFVTERQAAGVTCADVLTCLEAYNVLQVDRSDPKAAPDGKFIVSVAPSS H257_09329 MQAGASEVTDANTLALEKVVAFVKKQRPRALTKEERLDILMLYA RMSLDGEKDVSNRVAKLLGRNRQIVQSVWRDFRTTESVRVQQVAANRVNHATKFPRTK AVVSLVKRTTSFKSTEAIPKQ H257_09330 MSRPSPRVLAATPSSPMSKKEATNPLWKTWDAKSVKSGAHHSVY WVKQGSTSNEDKFGHVEVGKSTFVQAAKYTGDWHDDVKSGYGTQLCASGNKYEGEWKD GCRHGKGTFWVKRNGKLRKQYTGDWLLDKREGLGVFYYEDGGKFEGFWAGNARQGKGR MNYSDGSVYEGHWMDNERSGMGVWTMPNGNRYEGYWLHDQKEGPGRFYYKSTGKVYEA EWQNDTAKCGTYHDSGDAEWDPTAMTEAFTLPELELAAPESVLNDTILRLREARLKDQ VTSSQSPTSVVFTLSQETQRRVHDEFHAIDFNRNGVIRCVDVVQILTLLVGEEEEESS DLHVRVEALLGELGASYDTAITLPECMDIVALLMEPDEPSETKPRRGPDDGHKG H257_09331 MRIGRFKVVVTGPTIIEAMLRSLASRVGALNLRTAAPLRTASFS SSVGGEKKRVFNYVAPAGIAEGDLRLGFKPSQVVDAPEEVRRTLSLDNASQAELNKIA IQKAIAAFERFPGDTGSSEVQIAILTQKIKRMTEHFRDHKHDNHSRRGLQTMINKRKS LLKYLRRENLQQFRAVVAALGLRFT H257_09332 MSLTLQAAPSSAYDQRTVTVGSTQMACAAPEANIKKAETLVRIA HQRGAQIVLLQELFQHTYFPIELSSQNFHLADTLESSGILRGMQALAKELSVVLPISF FERYKHSYYNSVAVIDADGSILGVVRKAHISDRLGYNDKYYFTPSDDPVPVFHTRYAT IGVGIGCDQWYPEYARLLAISGAEIIFYPSALGSNQYDVQYDPRDQWHRVMQGHAAAN MVPIIVSNRVGTEESDGVQVTFCGSSFITGNTGELLKIADRDSEGVLVDTLPLGKFHI RRASWGLLRDRRPHLYKGLLTRDGSA H257_09332 MACAAPEANIKKAETLVRIAHQRGAQIVLLQELFQHTYFPIELS SQNFHLADTLESSGILRGMQALAKELSVVLPISFFERYKHSYYNSVAVIDADGSILGV VRKAHISDRLGYNDKYYFTPSDDPVPVFHTRYATIGVGIGCDQWYPEYARLLAISGAE IIFYPSALGSNQYDVQYDPRDQWHRVMQGHAAANMVPIIVSNRVGTEESDGVQVTFCG SSFITGNTGELLKIADRDSEGVLVDTLPLGKFHIRRASWGLLRDRRPHLYKGLLTRDG SA H257_09333 MGRDGGGSKGGRGGGRGGRGGRGGDRGGERASSRGDDRGDGGVK KPHGGKRSKPEVEVTVAPKTLRKNPSAIKNKMKRQQVMVEYKKEKRAIKEEKRAARKK EAEELGDKAPAKLEPRTIDNTREPEVTMISAEDEEIVGDEQDDEFASIFAAEETPKIM WTTRPFPSADLYPFVQNLMEFVPNSFYYKRGTYDIKDICKFAGNKEFTHVGILSEKEK TCNGLVISRLPHGPTAYFKISNVVLMDKIVNHARKTSHQPEVILNNFNTRLGHRIGRF LGSFFEHKPDFVGRQVVTFHNQRDFVFVRQHRYVFENGKKARLQEIGPKFTLKLRWLQ DGTFDTKYGEYEWIHKQHSMDTSRRKFHL H257_09334 MVAIAGGEGDAASGAKIFKTKCAQCHTVAAGEAHKQGPNLHGLI NRQSGQAENYSYSAANKNSGVVWTDETLFEYLLAPKKYIKGTKMVFAGLKKPSERRDL IAYLIESTK H257_09335 MSDAVAAADAVDPSADEVGGIDEEIKEDRANAYVEHFVHIVQST KTLFPTLLDADEHAAIDTYLALPAAAQLVYSRLFQRKGPWFRTTSLSAIADHLHPDPE SSVPPLQTALRALETASFIRRCPKDSYVDAIDAMKSACTIPEIQAVMVATGASKSKSA ARYKTKPDMLERLRAFVTTQRRIDGSFLPLSTHLHAALALTSFDAPDLSSPHRQHRVH DPTSLTLFQIHPRTRDAFYRMHRLMYMTLSLPVQPTLKRATWGDWQRAVCRYEPTAWP GLLVIFGKVAAFPRVTLAAPSRPLFPTLHALMAYECASVLRHATRLIVDGVRLDHLLP SSARGLTADWLDVRPPTLLAFAGAASPSITSSSFSSSQPTKADFCDNVHAFLQSVDNM DSFVGELRACLASSLHLTREDDKQRRVFLEPYDATYALARSMDLVVGVYEKLGEYDKA LVLLQELLATSVLGHKRGSWYTRLAINLEMHMKQPTDARAVCDTALSDPRVFPADRMD LLRRHRRLAKTPTTEDKEVVVEGCYPTHTIDGRPLNRAVGEKSRFIGYDDDSVTVEAL VLQHYKSQGWHGAHDEGASFRSLLGLLLWDVMFLNDVPDVFQTPFQSRPLDMDMRYSD HFYNARAAAIDAVVMKLRASSALDLCAWIAATWHAHQGTQCWLVRWEGSLTLPYMQLM AIGIGAPALAAIVQVWVKHLETSGLPDLFMLRVVARPREHMGLPAHLVDRHTNCVDIP AWSAMEGGGDIDALMGQHQTVEAQFVEVKGPRDRLSDTQIVWLDRLNAAGISSVVCYV QEPPTKKKKKQKTQEEDEIRGKPTTDPPTCTTSTSKTKRSKGIPPPHVPIELLDY H257_09335 MSDAVAAADAVDPSADEVGGIDEEIKEDRANAYVEHFVHIVQST KTLFPTLLDADEHAAIDTYLALPAAAQLVYSRLFQRKGPWFRTTSLSAIADHLHPDPE SSVPPLQTALRALETASFIRRCPKDSRVQVEIRRSIQNQARHAGAASGVRHDAAPHRW LLPPLVHTSLTSFDAPDLSSPHRQHRVHDPTSLTLFQIHPRTRDAFYRMHRLMYMTLS LPVQPTLKRATWGDWQRAVCRYEPTAWPGLLVIFGKVAAFPRVTLAAPSRPLFPTLHA LMAYECASVLRHATRLIVDGVRLDHLLPSSARGLTADWLDVRPPTLLAFAGAASPSIT SSSFSSSQPTKADFCDNVHAFLQSVDNMDSFVGELRACLASSLHLTREDDKQRRVFLE PYDATYALARSMDLVVGVYEKLGEYDKALVLLQELLATSVLGHKRGSWYTRLAINLEM HMKQPTDARAVCDTALSDPRVFPADRMDLLRRHRRLAKTPTTEDKEVVVEGCYPTHTI DGRPLNRAVGEKSRFIGYDDDSVTVEALVLQHYKSQGWHGAHDEGASFRSLLGLLLWD VMFLNDVPDVFQTPFQSRPLDMDMRYSDHFYNARAAAIDAVVMKLRASSALDLCAWIA ATWHAHQDQYEIG H257_09335 MSDAVAAADAVDPSADEVGGIDEEIKEDRANAYVEHFVHIVQST KTLFPTLLDADEHAAIDTYLALPAAAQLVYSRLFQRKGPWFRTTSLSAIADHLHPDPE SSVPPLQTALRALETASFIRRCPKDSYVDAIDAMKSACTIPEIQAVMVATGASKSKSA ARYKTKPDMLERLRAFVTTQRRIDGSFLPLSTHLHAALALTSFDAPDLSSPHRQHRVH DPTSLTLFQIHPRTRDAFYRMHRLMYMTLSLPVQPTLKRATWGDWQRAVCRYEPTAWP GLLVIFGKVAAFPRVTLAAPSRPLFPTLHALMAYECASVLRHATRLIVDGVRLDHLLP SSARGLTADWLDVRPPTLLAFAGAASPSITSSSFSSSQPTKADFCDNVHAFLQSVDNM DSFVGELRACLASSLHLTREDDKQRRVFLEPYDATYALARSMDLVVGVYEKLGEYDKA LVLLQELLATSVLGHKRGSWYTRLAINLEMHMKQPTDARAVCDTALSDPRVFPADRMD LLRRHRRLAKTPTTEDKEVVVEGCYPTHTIDGRPLNRAVGEKSRFIGYDDDSVTVEAL VLQHYKSQGWHGAHDEGASFRSLLGLLLWDVMFLNDVPDVFQTPFQVQNLG H257_09335 MKSACTIPEIQAVMVATGASKSKSAARYKTKPDMLERLRAFVTT QRRIDGSFLPLSTHLHAALALTSFDAPDLSSPHRQHRVHDPTSLTLFQIHPRTRDAFY RMHRLMYMTLSLPVQPTLKRATWGDWQRAVCRYEPTAWPGLLVIFGKVAAFPRVTLAA PSRPLFPTLHALMAYECASVLRHATRLIVDGVRLDHLLPSSARGLTADWLDVRPPTLL AFAGAASPSITSSSFSSSQPTKADFCDNVHAFLQSVDNMDSFVGELRACLASSLHLTR EDDKQRRVFLEPYDATYALARSMDLVVGVYEKLGEYDKALVLLQELLATSVLGHKRGS WYTRLAINLEMHMKQPTDARAVCDTALSDPRVFPADRMDLLRRHRRLAKTPTTEDKEV VVEGCYPTHTIDGRPLNRAVGEKSRFIGYDDDSVTVEALVLQHYKSQGWHGAHDEGAS FRSLLGLLLWDVMFLNDVPDVFQTPFQSRPLDMDMRYSDHFYNARAAAIDAVVMKLRA SSALDLCAWIAATWHAHQGTQCWLVRWEGSLTLPYMQLMAIGIGAPALAAIVQVWVKH LETSGLPDLFMLRVVARPREHMGLPAHLVDRHTNCVDIPAWSAMEGGGDIDALMGQHQ TVEAQFVEVKGPRDRLSDTQIVWLDRLNAAGISSVVCYVQEPPTKKKKKQKTQEEDEI RGKPTTDPPTCTTSTSKTKRSKGIPPPHVPIELLDY H257_09335 MYYSRDPSRDGRHRRVQVEIRRSIQNQARHAGAASGVRHDAAPH RWLLPPLVHTSLTSFDAPDLSSPHRQHRVHDPTSLTLFQIHPRTRDAFYRMHRLMYMT LSLPVQPTLKRATWGDWQRAVCRYEPTAWPGLLVIFGKVAAFPRVTLAAPSRPLFPTL HALMAYECASVLRHATRLIVDGVRLDHLLPSSARGLTADWLDVRPPTLLAFAGAASPS ITSSSFSSSQPTKADFCDNVHAFLQSVDNMDSFVGELRACLASSLHLTREDDKQRRVF LEPYDATYALARSMDLVVGVYEKLGEYDKALVLLQELLATSVLGHKRGSWYTRLAINL EMHMKQPTDARAVCDTALSDPRVFPADRMDLLRRHRRLAKTPTTEDKEVVVEGCYPTH TIDGRPLNRAVGEKSRFIGYDDDSVTVEALVLQHYKSQGWHGAHDEGASFRSLLGLLL WDVMFLNDVPDVFQTPFQSRPLDMDMRYSDHFYNARAAAIDAVVMKLRASSALDLCAW IAATWHAHQGTQCWLVRWEGSLTLPYMQLMAIGIGAPALAAIVQVWVKHLETSGLPDL FMLRVVARPREHMGLPAHLVDRHTNCVDIPAWSAMEGGGDIDALMGQHQTVEAQFVEV KGPRDRLSDTQIVWLDRLNAAGISSVVCYVQEPPTKKKKKQKTQEEDEIRGKPTTDPP TCTTSTSKTKRSKGIPPPHVPIELLDY H257_09335 MYYSRDPSRDGRHRRVQVEIRRSIQNQDMLERLRAFVTTQRRID GSFLPLSTHLHAALALTSFDAPDLSSPHRQHRVHDPTSLTLFQIHPRTRDAFYRMHRL MYMTLSLPVQPTLKRATWGDWQRAVCRYEPTAWPGLLVIFGKVAAFPRVTLAAPSRPL FPTLHALMAYECASVLRHATRLIVDGVRLDHLLPSSARGLTADWLDVRPPTLLAFAGA ASPSITSSSFSSSQPTKADFCDNVHAFLQSVDNMDSFVGELRACLASSLHLTREDDKQ RRVFLEPYDATYALARSMDLVVGVYEKLGEYDKALVLLQELLATSVLGHKRGSWYTRL AINLEMHMKQPTDARAVCDTALSDPRVFPADRMDLLRRHRRLAKTPTTEDKEVVVEGC YPTHTIDGRPLNRAVGEKSRFIGYDDDSVTVEALVLQHYKSQGWHGAHDEGASFRSLL GLLLWDVMFLNDVPDVFQTPFQSRPLDMDMRYSDHFYNARAAAIDAVVMKLRASSALD LCAWIAATWHAHQGTQCWLVRWEGSLTLPYMQLMAIGIGAPALAAIVQVWVKHLETSG LPDLFMLRVVARPREHMGLPAHLVDRHTNCVDIPAWSAMEGGGDIDALMGQHQTVEAQ FVEVKGPRDRLSDTQIVWLDRLNAAGISSVVCYVQEPPTKKKKKQKTQEEDEIRGKPT TDPPTCTTSTSKTKRSKGIPPPHVPIELLDY H257_09335 MYYSRDPSRDGRHRRVQVEIRRSIQNQARHAGAASGVRHDAAPH RWLLPPLVHTSLTSFDAPDLSSPHRQHRVHDPTSLTLFQIHPRTRDAFYRMHRLMYMT LSLPVQPTLKRATWGDWQRAVCRYEPTAWPGLLVIFGKVAAFPRVTLAAPSRPLFPTL HALMAYECASVLRHATRLIVDGVRLDHLLPSSARGLTADWLDVRPPTLLAFAGAASPS ITSSSFSSSQPTKADFCDNVHAFLQSVDNMDSFVGELRACLASSLHLTREDDKQRRVF LEPYDATYALARSMDLVVGVYEKLGEYDKALVLLQELLATSVLGHKRGSWYTRLAINL EMHMKQPTDARAVCDTALSDPRVFPADRMDLLRRHRRLAKTPTTEDKEVVVEGCYPTH TIDGRPLNRAVGEKSRFIGYDDDSVTVEALVLQHYKSQGWHGAHDEGASFRSLLGLLL WDVMFLNDVPDVFQTPFQSRPLDMDMRYSDHFYNARAAAIDAVVMKLRASSALDLCAW IAATWHAHQDQYEIGYAMLVGAVGRIIDAAVHAADGDRNRCAGTGGDRPSVGQALGNE RFARFVYAASGRAATRTHGTSRAFGGQTYELRGHPRVECNGRRRRH H257_09335 MYYSRDPSRDGRHRRVQVEIRRSIQNQDMLERLRAFVTTQRRID GSFLPLSTHLHAALALTSFDAPDLSSPHRQHRVHDPTSLTLFQIHPRTRDAFYRMHRL MYMTLSLPVQPTLKRATWGDWQRAVCRYEPTAWPGLLVIFGKVAAFPRVTLAAPSRPL FPTLHALMAYECASVLRHATRLIVDGVRLDHLLPSSARGLTADWLDVRPPTLLAFAGA ASPSITSSSFSSSQPTKADFCDNVHAFLQSVDNMDSFVGELRACLASSLHLTREDDKQ RRVFLEPYDATYALARSMDLVVGVYEKLGEYDKALVLLQELLATSVLGHKRGSWYTRL AINLEMHMKQPTDARAVCDTALSDPRVFPADRMDLLRRHRRLAKTPTTEDKEVVVEGC YPTHTIDGRPLNRAVGEKSRFIGYDDDSVTVEALVLQHYKSQGWHGAHDEGASFRSLL GLLLWDVMFLNDVPDVFQTPFQSRPLDMDMRYSDHFYNARAAAIDAVVMKLRASSALD LCAWIAATWHAHQDQYEIGYAMLVGAVGRIIDAAVHAADGDRNRCAGTGGDRPSVGQA LGNERFARFVYAASGRAATRTHGTSRAFGGQTYELRGHPRVECNGRRRRH H257_09335 MYYSRDPSRDGRHRRVQVEIRRSIQNQDMLERLRAFVTTQRRID GSFLPLSTHLHAALALTSFDAPDLSSPHRQHRVHDPTSLTLFQIHPRTRDAFYRMHRL MYMTLSLPVQPTLKRATWGDWQRAVCRYEPTAWPGLLVIFGKVAAFPRVTLAAPSRPL FPTLHALMAYECASVLRHATRLIVDGVRLDHLLPSSARGLTADWLDVRPPTLLAFAGA ASPSITSSSFSSSQPTKADFCDNVHAFLQSVDNMDSFVGELRACLASSLHLTREDDKQ RRVFLEPYDATYALARSMDLVVGVYEKLGEYDKALVLLQELLATSVLGHKRGSWYTRL AINLEMHMKQPTDARAVCDTALSDPRVFPADRMDLLRRHRRLAKTPTTEDKEVVVEGC YPTHTIDGRPLNRAVGEKSRFIGYDDDSVTVEALVLQHYKSQGWHGAHDEGASFRSLL GLLLWDVMFLNDVPDVFQTPFQSRPLDMDMRYSDHFYNARAAAIDAVVMKLRASSALD LCAWIAATWHAHQDQYEIG H257_09335 MLERLRAFVTTQRRIDGSFLPLSTHLHAALALTSFDAPDLSSPH RQHRVHDPTSLTLFQIHPRTRDAFYRMHRLMYMTLSLPVQPTLKRATWGDWQRAVCRY EPTAWPGLLVIFGKVAAFPRVTLAAPSRPLFPTLHALMAYECASVLRHATRLIVDGVR LDHLLPSSARGLTADWLDVRPPTLLAFAGAASPSITSSSFSSSQPTKADFCDNVHAFL QSVDNMDSFVGELRACLASSLHLTREDDKQRRVFLEPYDATYALARSMDLVVGVYEKL GEYDKALVLLQELLATSVLGHKRGSWYTRLAINLEMHMKQPTDARAVCDTALSDPRVF PADRMDLLRRHRRLAKTPTTEDKEVVVEGCYPTHTIDGRPLNRAVGEKSRFIGYDDDS VTVEALVLQHYKSQGWHGAHDEGASFRSLLGLLLWDVMFLNDVPDVFQTPFQSRPLDM DMRYSDHFYNARAAAIDAVVMKLRASSALDLCAWIAATWHAHQGTQCWLVRWEGSLTL PYMQLMAIGIGAPALAAIVQVWVKHLETSGLPDLFMLRVVARPREHMGLPAHLVDRHT NCVDIPAWSAMEGGGDIDALMGQHQTVEAQFVEVKGPRDRLSDTQIVWLDRLNAAGIS SVVCYVQEPPTKKKKKQKTQEEDEIRGKPTTDPPTCTTSTSKTKRSKGIPPPHVPIEL LDY H257_09336 MVLVTAAEFLPKFKALFAQEIQGGNSAVTVQTKSIPSEKVGKLL RAHAVEAGPNVWLIRAFKNGNNKHKIKISTAVTALEHTEFQAKLAAVMKAKMHGLAPT KKSKRHAKATTTQS H257_09336 MVLVTAAEFLPKFKALFAQEIQGGNSAVTVQTKSIPSEKVGKLL RAHAVEAGPNVWLIRAFKNGNNKHKIKISTAVTALEHTEFQAKLAAVMKAKMHGLAPT KKSKRHAKATTTQS H257_09337 MMRGVARWLRTAGDDDEEDDEEEAYVEDIDSEEDDGEFIDGVKQ RKDKTMKGLAAAAVGKKARKKKGQDDKGQLRMSFFVQRDSPIQTTSSKGGGDVLNARI AGLNDASHPTVEDNMEEATNPTDADPSIISIEDDSFVHEANAVVASEDTIEPQPNTAV PDERGDDEDGSSIDVKAAASPASSHEDPSMSNQDRPEDDTVAGRQTASKRKQSAVHPS SCTTNNSNMHLAPPATVPSPLKRRRVKANAGPTTMDETQAKLRQRGGAPSASTLLPPQ RRNNPVRDAQLAKDVVLGDVELVEPARRTTSRLRTLRSNDMCRMLVVADPPPVAPARR AVHAKKPATPSMFLTTEQRDAAKRQDVALLDEQRQVQAMLKFQQDLERRKALDVAFFA GREGVNPFFQPPQPLSSSSVVTIDDDDKPGDVVLLSGVVVWKKEPAPTFPAPSHVNAM PPVAWTVSTDDDAWVPPRRGGAAAPLVLSIDDDDDEVATIPRARMWTALRHDQDVYLQ LQTTLDDVFWSCRGGSSSSTAAPRTCLDINTSSNHAIQLQQQPSPPPPRGGLRLLVDQ YAPQTSHDVVGNRHSVKWLCEWLRAWKSFRDGKSLRRVCEQYHDLFAAKNGADNNTYL SDDDDDGNEDLLHRVFVLQGESGTGKTCSVYACARELGYQVLEMNAGQPRSGKHLLEL AGEATQSNRVVQTPVHLDTKPPSKKKQKKATKNSPPPTTTSLTLVLLEDVDHLFESDK GFMAALHQIAKNARCPIVLTCTDMPDNFPPSLGHVKRTFTRPPLADFERHVHAIVRDQ PPPAMALDRLFHICRGDIRRALHMLQVHGSVCPPLSHVLRWTSCLSDQSKSSRRPHAM SILAASAAIDDKDLLHSSYLQELGPHYPHGDGKKAQQLAELDRLDAMADSLSFCDSLW TPITTTLSHTLSQASHSEFRDDKLHRVTLSARLQACHVTFQGRPSQSATSDSTTCIQL AMDAHLRHRTLRDRRRQLAQCVSNLDATASPIKGTTVAMQGAGAVLDTVSMMSKLAKV DASTHQHKRRSSSRHGYLHKIVSDVQVVAAIQSCTRFEQF H257_09337 MMRGVARWLRTAGDDDEEDDEEEAYVEDIDSEEDDGEFIDGVKQ RKDKTMKGLAAAAVGKKARKKKGQDDKGQLRMSFFVQRDSPIQTTSSKGGGDVLNARI AGLNDASHPTVEDNMEEATNPTDADPSIISIEDDSFVHEANAVVASEDTIEPQPNTAV PDERGDDEDGSSIDVKAAASPASSHEDPSMSNQDRPEDDTVAGRQTASKRKQSAVHPS SCTTNNSNMHLAPPATVPSPLKRRRVKANAGPTTMDETQAKLRQRGGAPSASTLLPPQ RRNNPVRDAQLAKDVVLGDVELVEPARRTTSRLRTLRSNDMCRMLVVADPPPVAPARR AVHAKKPATPSMFLTTEQRDAAKRQDVALLDEQRQVQAMLKFQQDLERRKALDVAFFA GREGVNPFFQPPQPLSSSSVVTIDDDDKPGDVVLLSGVVVWKKEPAPTFPAPSHVNAM PPVAWTVSTDDDAWVPPRRGGAAAPLVLSIDDDDDEVATIPRARMWTALRHDQDVYLQ LQTTLDDVFWSCRGGSSSSTAAPRTCLDINTSSNHAIQLQQQPSPPPPRGGLRLLVDQ YAPQTSHDVVGNRHSVKWLCEWLRAWKSFRDGKSLRRVCEQYHDLFAAKNGADNNTYL SDDDDDGNEDLLHRVFVLQGESGTGKTCSVYACARELGYQVLEMNAGQPRSGKHLLEL AGEATQSNRVVQTPVHLDTKPPSKKKQKKATKNSPPPTTTSLTLVLLEDVDHLFESDK GFMAALHQIAKNARCPIVLTCTGG H257_09338 MVSRHVQRKVRSSICTSMHTRSLAFWTEEDKAERAERGRELQDV VIVGGGIVGSALACNLKSNPVFHGKKVTVIEPSPPKQLEDANASLGLPDARVYTITPA SKKLFEAIGVWDKIKPEHIAPFGHMQVWDAMGDGFIRFDAAKAQVKSGSDLGYVLEHG VLQRALALRMQELAGQDDPNPLRILSPAEVRNFEGPGEVHRAGTVTLEDGTEIRSRLV VAADGGKSMIRKLSALGTWGWTYDQQAVVATVKTDQINTTAFQRFLPSGPIALLPLRD GYSSVVWSTTENHAIELKSISPQEFVAALNEALHKPSVTPSPPQVPLLGNLIQGIHLA AQTVLAAGALADPFQAPPKATEAVGRRIAFPLKLQHATRYSKYGVALIGDSAHSIHPL AGQGLNLGLADVTSLGNVLSQGVQAGVHLGDELFLKHYDNDRKKANISMALAMDGFKN LFGPAPDAVQVARNVGMSTLNAVEPIKTQIMKYAMGL H257_09338 MVSRHVQRKVRSSICTSMHTRSLAFWTEEDKAERAERGRELQDV VIVGGGIVGSALACNLKSNPVFHGKKVTVIEPSPPKQLEDANASLGLPDARVYTITPA SKKLFEAIGVWDKIKPEHIAPFGHMQVWDAMGDGFIRFDAAKAQVKSGSDLGYVLEHG VLQRALALRMQELAGQDDPNPLRILSPAEVHAPSSSTSSSPYLTMFHHQVRNFEGPGE VHRAGTVTLEDGTEIRSRLVVAADGGKSMIRKLSALGTWGWTYDQQAVVATVKTDQIN TTAFQRFLPSGPIALLPLRDGYSSVVWSTTENHAIELKSISPQEFVAALNEALHKPSV TPSPPQVPLLGNLIQGIHLAAQTVLAAGALADPFQAPPKATEAVGRRIAFPLKLQHAT RYSKYGVALIGDSAHSIHPLAGQGLNLGLADVTSLGNVLSQGVQAGVHLGDELFLKHY DNDRKKANISMALAMDGFKNLFGPAPDAVQVARNVGMSTLNAVEPIKTQIMKYAMGL H257_09338 MVSRHVQRKVRSSICTSMHTRSLAFWTEEDKAERAERGRELQDV VIVGGGIVGSALACNLKSNPVFHGKKVTVIEPSPPKQLEDANASLGLPDARVYTITPA SKKLFEAIGVWDKIKPEHIAPFGHMQVWDAMGDGFIRFDAAKAQVKSGSDLGYVLEHG VLQRALALRMQELAGQDDPNPLRILSPAEVRNFEGPGEVHRAGTVTLEDGTEIRSRLV VAADGGKSMIRKLSALGTWGWTYDQQAVVATVKTDQINTTAFQRFLPSGPIALLPLRD GYSSVVWSTTENHAIELKSISPQEFVAALNEALHKPSVTPSPPQVPLLGNLIQGIHLA AQTVLAAGALADPFQAPPKATEAVGRRIAFPLKLQHATRYSKYGVALIGDSAHSIHPL AGQGLNLGLADVTSLGNVLSQGVQAGVHLGDELFLKHYDNDRKKANISMALAMDGFKN LFGPAPDAVQVARNVGMSTLNAVEPIKVYMMILGVSGYCCVHLTFDL H257_09338 MVSRHVQRKVRSSICTSMHTRSLAFWTEEDKAERAERGRELQDV VIVGGGIVGSALACNLKSNPVFHGKKVTVIEPSPPKQLEDANASLGLPDARVYTITPA SKKLFEAIGVWDKIKPEHIAPFGHMQVWDAMGDGFIRFDAAKAQVKSGSDLGYVLEHG VLQRALALRMQELAGQDDPNPLRILSPAEVHAPSSSTSSSPYLTMFHHQVRNFEGPGE VHRAGTVTLEDGTEIRSRLVVAADGGKSMIRKLSALGTWGWTYDQQAVVATVKTDQIN TTAFQRFLPSGPIALLPLRDGYSSVVWSTTENHAIELKSISPQEFVAALNEALHKPSV TPSPPQVPLLGNLIQGIHLAAQTVLAAGALADPFQAPPKATEAVGRRIAFPLKLQHAT RYSKYGVALIGDSAHSIHPLAGQGLNLGLADVTSLGNVLSQGVQAGVHLGDELFLKHY DNDRKKANISMALAMDGFKNLFGPAPDAVQVARNVGMSTLNAVEPIKVYMMILGVSGY CCVHLTFDL H257_09338 MVSRHVQRKVRSSICTSMHTRSLAFWTEEDKAERAERGRELQDV VIVGGGIVGSALACNLKSNPVFHGKKVTVIEPSPPKQLEDANASLGLPDARVYTITPA SKKLFEAIGVWDKIKPEHIAPFGHMQVWDAMGDGFIRFDAAKAQVKSGSDLGYVLEHG VLQRALALRMQELAGQDDPNPLRILSPAEVRNFEGPGEVHRAGTVTLEDGTEIRSRLV VAADGGKSMIRKLSALGTWGWTYDQQAVVATVKTDQINTTAFQRFLPSGPIALLPLRD GYSSVVWSTTENHAIELKSISPQEFVAALNEALHKPSVTPSPPQVPLLGNLIQGIHLA AQTVLAAGALADPFQAPPKATEAVGRRIAFPLKLQHATRYSKYGVALIGDSAHSIHPL AGQVSESNQVRNRMEMDFVVDRA H257_09338 MVSRHVQRKVRSSICTSMHTRSLAFWTEEDKAERAERGRELQDV VIVGGGIVGSALACNLKSNPVFHGKKVTVIEPSPPKQLEDANASLGLPDARVYTITPA SKKLFEAIGVWDKIKPEHIAPFGHMQVWDAMGDGFIRFDAAKAQVKSGSDLGYVLEHG VLQRALALRMQELAGQDDPNPLRILSPAEVRNFEGPGEVHRAGTVTLEDGTEIRSRLV VAADGGKSMIRKLSALGTWGWTYDQQAVVATVKTDQINTTAFQRFLPSGPIALLPLRD GYSSVVWSTTENHAIELKSISPQEFVAALNEALHKPSVTPSPPQVPLLGNLIQGIHLA AQTVLAAGALADPFQAPPKATEAVGRRIAFPLKLQHATRYSLTCFMMMLTWTW H257_09338 MVSRHVQRKVRSSICTSMHTRSLAFWTEEDKAERAERGRELQDV VIVGGGIVGSALACNLKSNPVFHGKKVTVIEPSPPKQLEDANASLGLPDARVYTITPA SKKLFEAIGVWDKIKPEHIAPFGHMQVWDAMGDGFIRFDAAKAQVKSGSDLGYVLEHG VLQRALALRMQELAGQDDPNPLRILSPAEVRNFEGPGEVHRAGTVTLEDGTEIRSRLV VAADGGKSMIRKLSALGTWGWTYDQQAVVATVKTDQINTTAFQRFLPSGPIALLPLRD GYSSVVWSTTENHAIELKSISPQEFVAALNEALHKPSVTPSPPQVPLLGNLIQGIHLA AQTVLAAGALADPFQAPPKATEAVGRRIAFPLKLQHATRYSLTCFMMMLTWTW H257_09339 MSTAGCNIAIKNLPHDMGKESLRPLFKKFGKINLLHVANGTAAI EFQKPVSATSSVTSMNNAVIGGQRIFVSLTSDPVPSVKRGTVPAAPTSSSAAPTKPAK PTKKAVAPTPAAPTPVPTEAAAADDADRSFTKLCYHFRKGRCSKGDTCTYLHTSKLCP TVEYGGVCTRMDMCKYSHDPNNALASGLKSADATKSQTLCQRIALGGHCTYGDRCRFS HDPTTALKGKSNVLCKNFAAGCRKGDKCWFSHDPTTAVAAPAKRPATDDVQPAPVAAP PAKKAKTTTTNEVPQKAEVTALTCGECTKAAAAITCAQCDEGLCAACDTALHASRIMS KHVRTAVPKVEAVVAQCGECRGAAATVHCVQCDVDYCGKCSWSVHEFKVFRTHRREAL LKDRTTPTAPSLVPVTQQVQGVAKAAAAPVTQPKPTTTTVHNIRAYPKTELSDDDSSD DDDKQPPAKVQKTLAAAPPVVAKKKAAAIAPSQPKTALSSDSSDEGSDVEVNQPPPVV KAAPLGAKNAPAVDLSSEDESDFSDEKPIILSAKTSAAALSSSDDSSDEDEGQVRVKP APVKAVAPQTADSSDEDSSDDEEGGTHVVATRGTKAAAAVPTADSAHSLVKKIEAYAA STNVDVLHLSPSLNSYERLLAHDCAERLGLSHESVGQGLDRHITVGRGGGATKKKSWS KTRA H257_09339 MDMCKYSHDPNNALASGLKSADATKSQTLCQRIALGGHCTYGDR CRFSHDPTTALKGKSNVLCKNFAAGCRKGDKCWFSHDPTTAVAAPAKRPATDDVQPAP VAAPPAKKAKTTTTNEVPQKAEVTALTCGECTKAAAAITCAQCDEGLCAACDTALHAS RIMSKHVRTAVPKVEAVVAQCGECRGAAATVHCVQCDVDYCGKCSWSVHEFKVFRTHR REALLKDRTTPTAPSLVPVTQQVQGVAKAAAAPVTQPKPTTTTVHNIRAYPKTELSDD DSSDDDDKQPPAKVQKTLAAAPPVVAKKKAAAIAPSQPKTALSSDSSDEGSDVEVNQP PPVVKAAPLGAKNAPAVDLSSEDESDFSDEKPIILSAKTSAAALSSSDDSSDEDEGQV RVKPAPVKAVAPQTADSSDEDSSDDEEGGTHVVATRGTKAAAAVPTADSAHSLVKKIE AYAASTNVDVLHLSPSLNSYERLLAHDCAERLGLSHESVGQGLDRHITVGRGGGATKK KSWSKTRA H257_09340 MAAQAFRSLARQRRSVYKYQSKAIPNDILKDIMLTTQRAPSGFN LQPYACVLVQDAADRNTLSAAMLGDNVRKVKEAPLIAVFASDLEPSKRVPAIQEMMRS AGQSTADIQQLPLKLRFFGGEGHLAGAIRNGLSTALTPFQPVPTYVPTIAWSYKSTML AVSQYILAAESHGIGCCVMEGFDETWVRHALDIPDRYSVPVVVASGYPIEVDPSPALS PRLAPSQVFFGGKFGAAVLDQE H257_09341 MDLTVGLRCEISGKRRGEVAYIGPVQGIPAGEWAGIRLDLPFGK NDGTQGSQRYFDCQPLHGVFVRPDSVNVSGDFPPFLVVQDDASLEEKVRLVEDARAAQ RQQTSQRQKAQASATSFTSRAEAVDAFWSEFNDKEANVRRTLESIEAASPDVGHSLDS LCTDVHGLRDLAAAATIFLPPYDIRATQAVTHRLLQAIDAKRTALAPRKKFTFKARAK LKTAGGTPLPPPPPPLPAFTPSLAAHDHELVYADQSNTVLHITAADMPDLNFARLSHC IIVIPVMTSAIRGTELSHCTIYTGPIQGSLWLENCSNCTFVVACRQLRVHHTSASAFY LRIKSHPIVEDCDGLGFAPYGLAYEGLGAQLDAAGLACDTVLWSQVHDFKWLRQTQSP HWRVLSDRERVHAVDPTVQELVSIVECQ H257_09342 MASTTAFAKAKLVMSCSLAPYHIQDPKKGLEDQLNHMLMKYSEP VQGVLLAFNSLQVINPYGHIINETPYIHVRIAADALVFRPTPGMQLTATVNKVGSNHI GLLLAGVFNVSIAATEMPSGFVHNYHEDAWVGKDSSAIAVDDAVEFRVLQVHVAHGVI SIDGSMRSLQPHAVASDLPSLPNVSKKAAKTLKRKHVAFEDEVLEASEPTQKSKQAAD DDDDDIVVVTKAKKAKKAAKSTIQPQRHIDQDDEAVVPPVKKAKKSKKKSTLNE H257_09342 MSCSLAPYHIQDPKKGLEDQLNHMLMKYSEPVQGVLLAFNSLQV INPYGHIINETPYIHVRIAADALVFRPTPGMQLTATVNKVGSNHIGLLLAGVFNVSIA ATEMPSGFVHNYHEDAWVGKDSSAIAVDDAVEFRVLQVHVAHGVISIDGSMRSLQPHA VASDLPSLPNVSKKAAKTLKRKHVAFEDEVLEASEPTQKSKQAADDDDDDIVVVTKAK KAKKAAKSTIQPQRHIDQDDEAVVPPVKKAKKSKKKSTLNE H257_09343 MEAELDALQADLTRIKQAKAMALAQIEILLVEQKALQAKLDADR LQRQRVDELEARVQAAKVAATVVVPGVVVAEKTESPPKVEEMLEEFIGGVADY H257_09344 MSDTLPVPGHAEDVAAPPAPEDVASMFDLKKKRKKTTKKKAKDD AGDAANDDDAATVDTAAASGSSTDNKNAVDVAVASTTCAYTYEDMLDRIMNKLHENNP DLADRKKAIIKPPQLMRVGTKKTLWVNFQEICKMMHRNPEHVLQFTLSELGTEGNLDG TQRLIIRGRYVPKYIESLLRKYITEYVTCQMCRSPNTTLTRDNVTRLHFVNCQECESS RSVAVIRSGFHATTRADRRAAKK H257_09346 MATCRYVQVRPLAPPDVILCRDLCTDMDVVLKRNESTPVDAGVE CAIYHMLHAAGGHQNILPLLECIHDDDSHVCLVLEYCPNGDLFDLVLANKRIGTHMSL VYLEQLSSAVVTLHALGYAHGDLSLENVLLGRDMQVQLMDFGAAVPLHVPTTWAGGKR NYMAPEIFTGLPWDPAAADVWALAMTFFAMLTGDFLLDDSVVRDPIYPILVQQGFRGV LHHMPQWAALVPEAFVPLLEQMLLVDPRARLSMTSVLQYAKFLLALLLHEESASLRPP SPTRSHSPRHKIMRPRTLYRFNDIYARIPAAATTPAFIRCI H257_09345 MVLRGSNQVAAAALFLFADDLPRPTTASAAPATLTRKKTKAPPR KLKSPETLPPNELRPNEQYADQLTGLMGKERKRLAQQVLVAAEKGNTKAIYLLLHQRM LTPTHCIGMKGFSPLHHASSRGHLEIAQLLLSFGWPVDHTNDMGETALHLACYGGHVH VVECFLDKGANIHAVNKDVETALFYAARKGHFRVVRLLLRREADMTRRNRFDDLPEDE ASNDVTRLEFTTSKEENARRRLVLRSTAPDGDAALRSAHREAILSFLDTRSLGMAMQV CFRWYRAADTPRLWKSLGVSRWELSLNKSVGLGVVAPMTGYRPTPRRRPSTTSGTSTE AMLNATGHLGYHHAIPSKLQLQHHQQVQQRRQADKSAATALPPRRPQTAMRLVQYR H257_09347 MHQHSQGRGHERKQATTVRPAQDELPGTLADVEECVARLKKHVH DASLPQSIRRKIQTVHAAVQSLRANVDSELVHIRPTTSPPERSEFNCVEIAMEKLQRR IRADNRKRIVMDSDATESEPEQVVGPSVPIPLVKMEDPTVKDERSGAEESATTTVAKK KRKRIIRMNGSSDEGGKAPPHKVKVPPLLPPHNAQQPYASDTETDDNMTTTAPSPASR ATNDQNSTTSPSTSKIALPRTPSADVIDKLDGGDTSIQEPATPPPPRPPLVVAKTRHD PSTYSGDSSDDEIATPTPFAASAPPQTKPRTPPRQPPPPSIPSAAPPVAPARSPSRST TGGAAASLVAATSPALPTQRSESTVHAWTNHVDMSISDDDDVIEVSPLHASPPSVNPA ISSSPSLTKHSTTTPPPKALDTTPNSVRPPGPSNAASQTFHASDKKITTTTMPPPPPS NPPTTLSDALVASAIDISSTDSSDDSSDESPPRKRVSVWHTTPMANANAASSSDDDAS SSDGEDAAAAARTHHKQQQPPPPTKTLLASPHRGPLWPNLDKFIGMLVHPTRPRLLPH NFIFPKAYASVAHYMSGLQQAIVEECLCAFANHPPPSRHPPLATVTIAAVSTYNPSLQ SVLFRLTRPKPLKAASSSMSSLLQSHDLLVLYPSSALSHPCKKNKATTTTACPPTGIP AIALSTSLTQDDLVVLVSHVHSIDTLASFDVHVVGNLTTGSREYQAALSLSSWPASLQ TMVTSAVVPTSASLGVLPLTLIPSLQQHFNQHQFQAIQRAIHQPMTLIQGPPGTGKTH TQSSASSSKTIIFISMRDMLRSGRALLRQPSSRRAKISVGAALGVNTQPNIRLLVTAP SNAAVNVIMHKIQAQMPDVAMVRLGQPTSPSLVWLEHLIDHDKAKNVKGHDWSSQEAR ERLLDGAQIVFCTLSGAGSWSMWNPKRSHFDAVIVDEAAQATEASSLIPLRFCAQRYI WVGDHKQLPATIMSTRLCRMEYDHSLFQRLVNNPVNHVVLLREQYRMHPDISSLPSRL FYSNVLVNARRDPPDTPYHAAGFPPYMFYDVTDGLQSRVETSYRNVPEVTFIASRLGE LLKVPYDFKNKIGIISPYKSQIEAIKDALHAAKLTKAKIEVNTVDGFQGREKEIIIVS CVRTLRSGDNSFWGDVRRMNVSLTRAISSCWIVGNSNLLKESPAWAELLDDSKRRNVY QKVVIQPPTKVIPPPHAP H257_09347 MHQHSQGRGHERKQATTVRPAQDELPGTLADVEECVARLKKHVH DASLPQSIRRKIQTVHAAVQSLRANVDSELVHIRPTTSPPERSEFNCVEIAMEKLQRR IRADNRKRIVMDSDATESEPEQVVGPSVPIPLVKMEDPTVKDERSGAEESATTTVAKK KRKRIIRMNGSSDEGGKAPPHKVKVPPLLPPHNAQQPYASDTETDDNMTTTAPSPASR ATNDQNSTTSPSTSKIALPRTPSADVIDKLDGGDTSIQEPATPPPPRPPLVVAKTRHD PSTYSGDSSDDEIATPTPFAASAPPQTKPRTPPRQPPPPSIPSAAPPVAPARSPSRST TGGAAASLVAATSPALPTQRSESTVHAWTNHVDMSISDDDDVIEHSTTTPPPKALDTT PNSVRPPGPSNAASQTFHASDKKITTTTMPPPPPSNPPTTLSDALVASAIDISSTDSS DDSSDESPPRKRVSVWHTTPMANANAASSSDDDASSSDGEDAAAAARTHHKQQQPPPP TKTLLASPHRGPLWPNLDKFIGMLVHPTRPRLLPHNFIFPKAYASVAHYMSGLQQAIV EECLCAFANHPPPSRHPPLATVTIAAVSTYNPSLQSVLFRLTRPKPLKAASSSMSSLL QSHDLLVLYPSSALSHPCKKNKATTTTACPPTGIPAIALSTSLTQDDLVVLVSHVHSI DTLASFDVHVVGNLTTGSREYQAALSLSSWPASLQTMVTSAVVPTSASLGVLPLTLIP SLQQHFNQHQFQAIQRAIHQPMTLIQGPPGTGKTHTQSSASSSKTIIFISMRDMLRSG RALLRQPSSRRAKISVGAALGVNTQPNIRLLVTAPSNAAVNVIMHKIQAQMPDVAMVR LGQPTSPSLVWLEHLIDHDKAKNVKGHDWSSQEARERLLDGAQIVFCTLSGAGSWSMW NPKRSHFDAVIVDEAAQATEASSLIPLRFCAQRYIWVGDHKQLPATIMSTRLCRMEYD HSLFQRLVNNPVNHVVLLREQYRMHPDISSLPSRLFYSNVLVNARRDPPDTPYHAAGF PPYMFYDVTDGLQSRVETSYRNVPEVTFIASRLGELLKVPYDFKNKIGIISPYKSQIE AIKDALHAAKLTKAKIEVNTVDGFQGREKEIIIVSCVRTLRSGDNSFWGDVRRMNVSL TRAISSCWIVGNSNLLKESPAWAELLDDSKRRNVYQKVVIQPPTKVIPPPHAP H257_09348 MCRMTVYKGLGLDQSILLADLIVKPKHSIIHQSYDCHERFHNTG LPSQLNADGFGIGWYAEKLYSAKTRDELLFSPKRRVSDSFDGVVIPRNKEYETPCVFT SISPAWNNRNLVQLADKVSSPLFFAHVRAASIGSLTSETNCHPFTFDKYLFMHNGGVS DFHKIKRKLIDRLSDVAYKMIRGSTDSEHCFALFLTHLEELGSLDQEFSGKDMRHALG TTIRTLNHLCHAAGITEPSLLNFAVTDGDTLVATRYVNVSSSAAASLYFSSGSRWIRS VERPKEYIMQRHNKEEKVFVMASERLSNEPSDWLEVPKNSIVTVTKEMNIQICPIVSL LGDEPEPEVVPQSPAVLEKS H257_09348 MCRMTVYKGLGLDQSILLADLIVKPKHSIIHQSYDCHERFHNTG LPSQLNADGFGIGWYAEKLYSAKTRDELLFSPKRRVSDSFDGVVIPRNKEYETPCVFT SISPAWNNRNLVQLADKVSSPLFFAHVRAASIGSLTSETNCHPFTFDKYLFMHNGGVS DFHKIKRKLIDRLSDVAYKMIRGSTDSEHCFALFLTHLEELGSLDQEFSGKDMRHALG TTIRTLNHLCHAAGITEPSLLNFAVTDGDTLVATRYVNVSSSAAASLYFSSGSRWIRS VERPKEYIMQRHNKEEKVFVMASERLSNEPSDWLEVR H257_09348 MCRMTVYKGLGLDQSILLADLIVKPKHSIIHQSYDCHERFHNTG LPSQLNADGFGIGWYAEKLYSAKTRDELLFSPKRRVSDSFDGVVIPRNKEYETPCVFT SISPAWNNRNLVQLADKVSSPLFFAHVRAASIGSLTSETNCHPFTFDKYLFMHNGGVS DFHKIKRKLIDRLSDVAYKMIRGSTDSEHCFALFLTHLEELGSLDQEFSGKDMRHALG TTIRTLNHLCHAAGITEPSLLNFAVTDGDTLVAYVRTCVLLPSFLHVP H257_09349 MADEIALARERLAAKFGDVRTGGKGSVRRKHKAAHKVQPGDDKK LNAALKKLGVTPIPGVEEVNLFKADGQVIHFVQPKVQASIASNTFAVSGAASTKSLQE LLPGIINQLGPDNLANLKQIAETYTAMQRNAQGHAALDDEDDDDVPDLVENFEDVSEQ D H257_09350 MAKHEQDGGADGELTAQEAAIYDRQMRLWGVEAQKRLQNSHILI SGMTQLGSEVAKNLVLSGMSVTLHDVNVVTRANVDTQFFLTEDQIGLNRAEACLAAVQ ELNPLVKVNAITKPLEYYPRDHFDQYSVICTLSASRKLQLYLDTLSREKNIAFYAGHA FGLSGIFFCDLGSEHVYRRHVQSADPSVAADTPDLNVSYASLETSALVPWSSLQPTRK RSPVTPAPYIAYQLLLEFLESTDAFPTAATTDQFVALATSRLESQGLPGFFDRSQLAT LAATATADVVPVCAIVAGILGQEVIKAISKKDEPLNNYFFFDGATGEGTVRRIA H257_09352 MSSKTTTPATDSTALLGAATIYGTECAGVNKEYLVCKSNDENPR ACLVPGEKVTACVLKTLRVLETNCGDAFTKYKNALDSNWHELQECRKEQAALEACYRD WKHPKST H257_09351 MADVEKLMRLLRPIYDAIDGRQYKNAIKLCNQKKICDIDLVQVL QAHCLERTGKVDDALRIVRRVQLKKPTDENLLHTMQLVFKLCGVPDEMLSTYEHAAVA HPTNKDMHCQLFFGYTRSLSLAKQQQLAFKMYKTFHSLQYVGWACLSMLLQVTVEKSL PVKMLHLADKMLIKALRDHPADSNGELGLLLVQLLQAQDKPHEAMAAFHEFIRQESEC GGDAAKPRALEGQGVPDDEIELGPMQAIDRKVLEASLAADIQDWHTATAAYTDLLTTF HAADDWAFWVGLIDATFHVGTAAAHAHLRHTIDTLQQQHGDKLRGPWLAGVEVAVRHA TDSSSSDNNDQDQLDAAVVVAAIVPYMDRFASKACCVTDLQRYAGVLGDSGKAAWVTA CQARLSTACHQQGAAVGDDDQLKWFRHALTARKMLRFLGEHATLSVEALLGRVDAMLD EYHANQPLNHQAVGGQREVQVTDDLLLLTVLLLVDVVDVADTVSQTKSRRDWLLHAAT CLESGLAKSAYNFQMKLLLCRIYALLGAGTAFFGRYQELDVKQIQVDSLSYLVLDPLL ALGQVDDARRICDAVRALHRTTARDTPEFISRAYKLGVFSKAQDMTMFLLHKMKRSQM LAVATSELVHSALVDLSRTSTSHLHTQLTLQPTLPLLPDLDRLVQDATSCGLSQNYHR QVQVTWSPPVPETTNGRYLYEPNSTQSCDRTSADPALLAQWLQLRACVPHILSGVAAG ATSEVLEGHFDTFKSVVQSLQHIHAAATPLWTVSQTAADALLLAISGDFASASATLDT LATQLVHVTVFDSLVESDIGDDIGDDIGDDKAQLLSTWGLSLASLWAFQLSPYVLLFV AMVAKVVKPKKKAKAADDKKGCIDSLKAVVQAHVALNVRGQHVCKQFKAVVPRVRAHP VVAEAAGGVQAKVAAAQDATAANLLAVLTDHVGFLRSI H257_09351 MADVEKLMRLLRPIYDAIDGRQYKNAIKLCNQKKICDIDLVQVL QAHCLERTGKVDDALRIVRRVQLKKPTDENLLHTMQLVFKLCGVPDEMLSTYEHAAVA HPTNKDMHCQLFFGYTRSLSLAKQQQLAFKMYKTFHSLQYVGWACLSMLLQVTVEKSL PVKMLHLADKMLIKALRDHPADSNGELGLLLVQLLQAQDKPHEAMAAFHEFIRQESEC GGDAAKPRALEGQGVPDDEIELGPMQAIDRKVLEASLAADIQDWHTATAAYTDLLTTF HAADDWAFWVGLIDATFHVGTAAAHAHLRHTIDTLQQQHGDKLRGPWLAGVEVAVRHA TDSSSSDNNDQDQLDAAVVVAAIVPYMDRFASKACCVTDLQRYAGVLGDSGKAAWVTA CQARLSTACHQQGAAVGDDDQLKWFRHALTARKMLRFLGEHATLSVEALLGRVDAMLD EYHANQPLNHQAVGGQREVQVTDDLLLLTVLLLVDVVDVADTVSQTKSRRDWLLHAAT CLESGLAKSAYNFQMKLLLCRIYALLGAGTAFFGRYQELDVKQIQVDSLSYLVLDPLL ALGQVDDARRICDAVRALHRTTARDTPEFISRAYKLGDDDTPMICDDDEHVTLCCCRR VFQSPRHDHVSAAQDEAIADASGGHVRARALGARRPLAHFDQPFAHPVDAPAHFAPSS H257_09353 MNSMTGFPGVSSLVEQLDKQLLVVLRDGRHLVGIIRSFDQFSNI VLEGTCERHVVGNTYCDIPLGLYIIRYGCISSFLKQSFSTSSPCSHRACPSRGENIVL MGELDQAKEAEDVNLTRKSAEEVLTAEMEQNEQGLPTVRDAWNFDHPSQH H257_09353 MNSMTGFPGVSSLVEQLDKQLLVVLRDGRHLVGIIRSFDQFSNI VLEGTCERHVVGNTYCDIPLGLYIIRGENIVLMGELDQAKEAEDVNLTRKSAEEVLTA EMEQNEQGLPTVRDAWNFDHPSQH H257_09353 MRMGARDDDRGSSCVANIVLEGTCERHVVGNTYCDIPLGLYIIR GENIVLMGELDQAKEAEDVNLTRKSAEEVLTAEMEQNEQGLPTVRDAWNFDHPSQH H257_09354 MDQQLVLVCLLVGTGKKENPFVVAAGATDLVGQVKQVILKEKPR TFESIEADELVLYRCRNQDPLDLNILQKLEKPGAQVVLQMHVGGLVEEMTVFSKLRKY FEVPPEDERIHVLVKLPLQAVPKVAAARAARGITMDKKLTAKQLLLDDDKDKAAAMSS TSLAARQAAALSVAQSPSATMALQEPKKRGAGKRLTDKERMEILNVIDSGAKITHVDL ALRYGISESAIRKLRKNKGEVQKRYLLGNKHVRDLRQRGSKLGNAAFEDELYRLIFEM QTHESRLSSTFVRKKALEIAPKYNMVSFKASAGWYRRFCSRYHLNANTGALHLQSLVD DSDDPSSMMQQNLMQMHIESMNHAHLHAHHHMTVHDVVGDDMADAAADVAETII H257_09355 MSGSPRRVLITGAGRGIGLTFAKHYKAQGWNVIAAVRNPSSAQE LIDLQVEKIVALDVSSEESVNAAAAAVGRQVPIDLLINNAGVLARDTLETATKASLLH HFEVNSIGPWLVTRAFLPNLELAASPSGVTIVAQVTSQMGSIERILSGGYYAYRSSKT ALNSLTKSLSVDLKPRGITSILLHPGYVKTDMTGHNGDITTAESVAGLTSILANATTE DNGRFFHTDGSIIPW H257_09356 MAIESARQRRVLITGANRGIGLTLAKHYNATGWNVVAAVRNPAA ASELHALQVESILTLDVSNEESIHAAACTLGADVPIDLLINNAGVLTRDSLASATKAE LLRQFEINAVGPFLVARAFLPNLELAVATSVRPPILANVSSIVGSMSLNTTGQNYGYR SSKAALNSLTKSLSVDLGARGICCLLLHPGYVQTDMTDHRGDMDVHESIAGLAAVLAK ATFDDNGTFFHTNGSVIPW H257_09357 MTHDIAARIEYSEKYVDDTHEYRHVILPKEMQRSLPDRLLAEAE WRQLGVQQSRGWVHYAIHKPEPHILLFRRPLGTDPTTGRVNSSMEREAKEKYAQDMGQ VRQ H257_09358 MEALSIADVHPIAVDDATAPSLSIHKTAIQGLFKRRRVRVKELL GAGLSLVGQSVTIKGWAKTLREAGAGAFVFLEINDGSSFTGVQVLVKQDIPGFEDVIN SGGTGASFSIVGDVVESPAKGQLIEVKATQVTVYGTVADPATYALSKKRHTLEHLRDN AHLRARSNIHSAAMRVRNAMAHATHTFFNERGFLYIHTPLITASDCEGAGEMFAVTTL FNKHGDGNLPKTADGKSIDFTKDFFDKPAYLTVSGQLNVETHCCALSDCYTFGPTFRA ENSNTARHLAEFWMIEPEIAFADLGDDMDLAEDYLKYAAQYALDNCADDLAFFDKNVE VGLIERLKATVAAPFVRLTYTDAVDLINKPENLKKGKFSVKPKWGDDLGSEHERFITE QIYKKPVMLYNYPKEIKAFYMRLNDDNKTVAACDVLVPKIGEIIGGSQREERLDVLEA RIKEATGHDPAEYGWYCDLRRYGTVPHAGFGCGFERLVRFVTGIENIRDVIPFPRWPG NAAF H257_09359 MALAYSTSHTHTECSLSSKSTSLSSFSLWPVKSQVHIMFKYVTK LAVVSAVFATVQANNCAGAAERPPTAELQIISYNDVYEMKPYNDGNGILVGGPSHVVP LTKTLRKTYENSLVLFAGDTMSPSLWSAYFKGLHMIDAHNAIGVDYACLGNHEFDFGI DGFLNVSAKSEFPWLNANAYELSTKQLLRGTKPNAVKTFKSATMGSFKVGLFGVMYDM KDDSKGLYWTDPIVAAKEQVAYLRDVEKVDFVIALTHQFLDDDNVFSQEVKGVNMIYG GHDHSAMYQTQFGTPYLKSDLDMRNIWFSHLKWYAARSKSNGTAATPAFTKMTHKNFP ITEALPTDAALDAVIATYEAQIKDLNQRVVGSLCQPTDLTKLAVRFKESPIGNYISDG FLSFYKARVNVEVAIMNGGGIRTDRVWPAGEINVGDLISWSPFGNVLVVVRTDGLSLK KLINSEMVASCGGNNLVAENGIYIHSAGVKYVFVCNGLKSGAVTDLTWIGGNRTGAVL DTDVFNFALPDFVYALFKKAGGNTIQLIIPAAEASRHEVTIDEFTKIQPNRTVCPKLE GRSLVKFA H257_09360 MKGLFVAAIVAAAGVQTIASAPSQAPCPTPLDVFAVYDLVPCND PPRTTTSPTQVPTPAPSNSTSTPTTTTPPSPTTTSTSKPTTTKATPTTSTSNPTTTKA SPTKTTASPTQAPNQCGHCTNCYYPLSQSCYVGWTARQCTSVPQLKWCGY H257_09361 MKGLLVAAMVAAAGAQTITSAPSQAPCPTPLDVFAVYDLVPCTD PPSTSTQAPTPAPSNSTASTPAPAMAAPPAPTNSTSTSTPTTTTATTATTTQATTPTT TSMATSTTTNAINTTFAPSQPPCPTPLDVFAVYDLVPCTDPPRTTTSPSQAPTPAPSN STSTPTTATPPSPTTTKRTPTTTKASNSTRPVTTKRGNSPVCTLVSVKGDATYCIPGS ICRGWGDHVYGTDCPVKGDVAIKYCFKSLKSYTQAGKCIAPHDAVCSKLRGGVWGCKW H257_09362 MQPSPTKSQAPVATSALKDAISTELKNKPLSPYVKMFAGMAGGV VEACVLQPLDVTKTRLQLDRTGMYKGMFNCGKTIYQTEGGGALYKGLSPFVVHLTLKY ALRFGSFAWFKELLGAKQGAKASNQVNFTAGLLTGFLESVLIVTPFEVIKTRMQKEIG VSKFTGPVDCAQQVIRREGVAAMWKGNIPTMIRQGSNQSFNFMSFAWLNSNVWKKEDG DGKQLEMWKTFVNGLVAGSVGPCLNCPMDVIKTRLMAQETVAGIEPKYRGFIHAFNVI AKEEGHAALWKGLVPRLTRMAPGQAITWTVVMRVTAYFENHQ H257_09363 MTWYDDAAILREAISRAIEGYKGQVAARWHVIPVAFKKFAVREE VLVTTQEHPETPLELRAGDQGGGLFDVDDAVVTRRILRVGAMVGEDDADFLYGMNTFT YIKFDIEDAAGVIFPFDMVMNEGDGDANTGFFAVVWIRTFGHDGAEVAHCTTCGDLET RIRWLDESILHRYVPHQGLCPSTTDKHLTREVDDNTIDRDLHREFFESSLGYSGLEVS FDDDRNQLELLMAVAAKLNEKPALFPTVVPQPLLEKATSAYLKDVLRGVPTDVWQCTG SYLASAIFYDQDGCPPFRDVDQWD H257_09364 MQQPITLDHIPAAPSTITHTRGTGDSTPEHAHPMSSPRVISPYN PLLKSSTMPVVQARDDVDIIADFLKEHTTIRPTILVVCGSGLGGLSKCLSNTQTIHYN DIPRFPKSTVAGHAGELVFGYIDDLQVVCMRGRFHTYEGHDVRQTTLPIRVMCLLGVK FLVVTNAAGGLNPDYNVGDLMIMSDHLNMPGMAGKHPLVGPNDDRFGERFTPLSDCYD IKLQNLAWRIAKQHGISQKVRRNGVYCFVSGPTYETPTESKLLRLVGGDSVGMSTVPE VVVARHCGMHIIGLSLITNKVVMPGQQRTAIPASHQEVLDAVVATQSVIEHYVRDLIV AIGKDYDDTTLLFDEDC H257_09365 MAGSSKALRLEGSITALVTPFVGHTKAIDFPALRRIVDDQIARG INGLLSMGSTGEFCTVTHDEHDKVNAAVVEYSAGRVPVIAGTGSNSTIEAVRLTQAAK DAGAAACLVAAPYYNKPSQRGMYEHFKAVQDVGLPVILYCNASRSGATMTMDTVAALA KLPHVVGWKEATASLTQASDVAARTDLAIFAGDDVLTVPIMAIGGVGVTSVLSNIAPE KVLAITDAMRRGDYAGARAAHFACVGLTHALFETEVNPQGVKKAMELLGYCSAACRLP LVEASAASTAILTKQLQADGLLEQ H257_09365 MAGSSKALRLEGSITALVTPFVGHTKAIDFPALRRIVDDQIARG INGLLSMGSTGEFCTVTHDEHDKVNAAVVEYSAGRVPVIAGTGSNSTIEAVRLTQAAK DAGAAACLVAAPYYNKPSQRGMYEHFKAVQDVGLPVILYCNASRSGATMTMDTVAALA KLPHVVGWKEATASLTQASDVAARTDLAIFAGDDVLTVPIMAIGGVGVTSVLSNIAPE KVLAITDAMRRGDYAGARAAHFACVRLVFGIDT H257_09366 MAKLQLHGAFTALVTPFTADGEHVDYEALRRIVDMQISRGINGL VPMGTTGECPTVSHDEHDKVIASVIEYAAGRVPVIAGTGSNSTVEAIRLTQAAKDAGA DACLVVNPYYNKPSQKGLYEHFKKIQDIGLPVVLYNIPGRTGINMTPETVAELYKLPN IVAIKEATGSLEQASEIAALCDITILSGDDTLTLPIMSVGGKGVISVLSNASPEKVLA ITDAVRNGDFNAARVAHLSSIKLCKTMFIETNPQPIKKAMQLLGLCHDTVRLPMVPCS DESAQIIRQQLQANNLL H257_09367 MSLSVEKATGAVASALERKRSMSDADESFFDAQEGSEGSVSVVP ATCALPKSLIKEDSSLASILEQESVRREEPTTTISSSDYPRTSSSGDDTICPEDVFVV RNKDTGEVFDIRELDTNPVDCYTMFPHNFEPQLRDSLNDGDSARTRSSGSGGPLSPDK KATDKQHSRSALSGFHFKRKDKLPPPSDGKTYVHVSSSSKKDKREFDCVSEMQTLRQH HGTIWTMKFSHDGARLVSGGQDAILRVWKVAAFAKSTVAAAAAPRNEASPSSPASSSP LSRHLLEETPEQMYYGHSMPIVDVSWSRSNFVLSASMDKTVRLWHISKPDCLHVFQHP DSVPAVDFHPKDDRYFLSGCFDNKARIWNIPDGCVVSYVQTPVMITAASFHPSGNRVI AGLLNGQCILYQVNAHQAMNYYTQIECRNARGATRKGRKVTGIEFSPEGKYFLVSTND SRMRLFSVDNYSRVCKYKGLVNNYLQIKGRFSQDGDYVICGSENAHVYIWNKSASHSS SMLLGHKQDRNNAFESFSAADTTGGVVTVALFAPASTYALMNHGSDVEGRSRGSADDV HGKACTGYIVTASYNGCIKVYERVHRNAVAR H257_09367 MSLSVEKATGAVASALERKRSMSDADESFFDAQEGSEGSVSVVP ATCALPKSLIKEDSSLASILEQESVRREEPTTTISSSDYPRTSSSGDDTICPEDVFVV RNKDTGEVFDIRELDTNPVDCYTMFPHNFEPQLRDSLNDGDSARTRSSGSGGPLSPDK KATDKQHSRSALSGFHFKRKDKLPPPSDGKTYVHVSSSSKKDKREFDCVSEMQTLRQH HGTIWTMKFSHDGARLVSGGQDAILRVWKVAAFAKSTVAAAAAPRNEASPSSPASSSP LSRHLLEETPEQMYYGHSMPIVDVSWSRSNFVLSASMDKTVRLWHISKPDCLHVFQHP DSVPAVDFHPKDDRYFLSGCFDNKARIWNIPDGCVVSYVQTPVMITAASFHPSGNRVI AGLLNGQCILYQVNAHQAMNYYTQIECRNARGATRKGRKVTGIEFSPEGKYFLVSTND SRMRLFSVDNYSRVCKYKGLVNNYLQIKGRFSQDGDYVICGSENAHVYIWNKSASHSS SMLLGHKQDRNNAFESFSGTSFQSS H257_09368 MGEYLRVFSARCDNTAMPLDLIRHDGFPLPASYSVEHFVSGQNL PARPSDVFVCAYPDCGTSWVLGLVNALLREDEHTVQAAIDDAASVPHLERDGREACER MTSKDSVRLFRTHLPYDRTPRHPDAKYIVVGRNPKDTSASFYHRHIQDHARWDAYFED FLDGNVEFGDFFDFFVPWFEHHSDNNVLFLTYEYLLAEPRDGLLRIARFLGQDALEDR LVEHNSTFLRAILHAVQTEKDRIKVGGWRRLYTPEQSAAMDERFGEMTEGTGAEHMWS DVM H257_09369 MHMAEKEALEAELARELAALNLDDDDVHYEDEVVEGYCRLDLDV LLVDIDDSSVSRSEVWRSYAAATAQYDADLFAMLESSLQDLAHCTLTSPATSSHPLPS IVVASHEAHLTSHLVDHSIHPLHPHDGYDAVLSCPDHCTPMCLGPCTAHCGPRCAHFQ ALQAADASAQAAAAAENDRFMRLALASVAQIGADVRHVISVSLPLEAAHSSTLSRSNH ANAVALSDSALHPSVVVPNNATPDTVSIQNVYKPLSRCLSAIPCASPPSGFTACYSTP AEVSSPSTILLKQSEQPRGDDAANLRIAALHRSQVDFQEAEHAMAAYEAQVEAARRQR AILVEAETAALAHRRLEDEAVSRIAAQHDRQVAQEAIESMYMMAEEREGTARRRQWRR EAQARHEGDERRLMGHEEARAGAMRRDEQEAMKRLEMEAQAREQRRRLQQQHEDARRR QHAERCEMETQETHQRKVDAALQLEQEAKEKQKQQQIEQEKWQIERRKLAAAKQALEE IHQLEKQQQREALARQQLEAEQQQLKRQRDDAVRQQLELVERQRESRQRHFQREVLGA VRSRLQESSERQLLSLEEHRSALVIVDEKAQRRTDHLTKWWRRWQRRVKLYKRLQKQK TIAAVLIQHAWRALVALRTLRQAQVKQQYARAALRVQRGWRQWRHRKQQTLMKNQQTL MKNQQNLLKNQRAAAAATTVQAAYRGYFIRNKLAAALASVTFVDGDEFEYDEVDLDAF LGGAPEIDDDDDERDDVTGPLPPLPRPGWADPLDEDEDRGGGGCLNTSPHPVVPHNLF PSQVDVLERGFCECLPLKPPAAAVTKASSSSLYKRMQNAIAHGRKGKKSNAAAPKQTK DNNNTTVVSSVTWSSSGRKAKKVNVPSLVDRLRKTTAATR H257_09370 MARRVGVKGHLPWFAQPSWLQDAVVGLVHGYTGQVAARWHVVPR GFMVFGTLWDSIELDSAQDQFDLDMGPVSSFPVRMFREGGVFSHDERRWIRDGICERI LQLRATTTSQPDRSFLNSFTYIHYDVVDAASHRFQFAMLYSEGNEEGDASGFYAVVWH RQTHQVVATCSGDGNVGHTFLQWHDAWLTHTYVPHEGRGFMPTMTRNCILRTKKMQRN TGWSLLMEYLNSTDSRRGLYFSHTRHELERLVPVAAKLAHPSKWCLFPQPIPRSLVVD VAAMYLRNLDIWNKKVVSRVPMDVWHEVASYLGELVFWDHTCKSLGQD H257_09371 MAVHLRRIVAFGIAGLGLLVPHSSGLTSLAYQSYDVSATETGST APRVGLMAVSTCAASSGAFECSVTLLLMPGMSCRQCSGVAGCSTCRSYQLMPQKRCRR IPPTTPASTTTSNQTERPISSIERPITLPTTLEPTSMSPPLRPTTTPTSMSTPDATTA TTGIVPSTAAPPPISTASSMTITPIAVSFTITKAPTGLTPPSSATITSTTPSLSSTTH DRQFTSVSTPPPTTPLPTGSAQGTASLSTTPPSPTQELDDEDVESIDDPSEPTNSTAP RRSRSPRRTSTTPQPPTIPPNASTAAPNQPPAPTALPVLSSGAIAGIAVGAVVICLSA MGGYMWKKTKKAHADVYREMLLSDHTTGSGQYLAML H257_09372 MGGLTFDTRVLRDAAVVETIAADQLEDLCMMLQLNVPSTAASDK LRRKLSRHASTVGLVAEDMDRAVLGLSTILTEASKKDLSPADLAHSVSSLNLSPAHVD VLVQYYVDNKDQIKAAVAWHAPLGVPAYHSFDWRLDMEIGTRTLHHHASPVLTLQVTT SANNAMPQLLQCSHGQLHALHDSLKSALKEIQTPHSSRMTRYL H257_09372 MGGLTFDTRVLRDAAVVETIAADQLEDLCMMLQLNVPSTAASDK LRRKLSRHASTVGLVAEDMDRAVLGLSTILTEASKKDLSPADLAHSVSSLNLSPAHVD VLVQYYVDNKDQIKAAVAWHAPLGVPAYHSFDWRLDMEVHTFLLHLVTRTHASFAPS H257_09373 MKERSKNFTVHEQIQLCQAWLRVSLDPVVGNDQTASNFFGRVAE AFNSNSECTQRTGESLNIHWRDTINKQVACFASALKLSKSIVRSGYNNEQYLADAHEY YKAQKWNKKQASFRLMHCWEILKDQPKWMRESSDAAQPAATSSVTPTQ H257_09374 MKERSKNFTVHEQIQLCQAWLRVSLDPVVGNDQTASNFFGRVAE AFNSNSECTQRTGESLNIHWRDTINKQVACFASALKLSKSIVRSGYNNEQYLADAHEY YKAQKWNKKQASFRLMHCWEILKDQPKWMRESSDAAQPAATSSVTPTQ H257_09375 MTSNSPDDRWWLLEAARMFRWGKVEAIVDEVGDVRRPSPLVVAW RPWRAQYPPRRAWTSQPSTLHLRPPPCRTHFLRLWACLVHPSWVQIAFEDLPPCLEQY SPMRAFSWHSSYVHFFLPPWRTHRLRAYT H257_09376 MKIYKMLVHWGIMLTVPTAIALTVFKPLDEDGQRAMLEAKYKDD IDRQKKNRSKIMDLLRPSSDTSEGKARSDEFTDRVMKGDPATRPAWVKRFE H257_09377 MWAATNRTMRRMSSKAPLVDIVRVPRTASWSMEDLHRHPNQADV LTAESLQNLAELCHLYVPESKLPKLMSEVEAIIQCTRIIQVLHFEHRGIPHFRSCRKL RWMRMCTTCTPRASLVKLLHSATMSSPKATTPTKCLRMLP H257_09378 MNRGSKLYVGTGETTEWEDILIKKGIIAPKTKVTEEEDYESEDD VDPREDATLDELDEMEDEYDEDQALQRIRDKRIAEMKAQMARNVFGDIQPISKDEWTR EVTEASKGSWVVAYLWENSVEACKLMDQILRVIAGRHRDVKFVSIQSQVCVENWPLRN LPTLFIYKDGNLATQMLTLSKLKGLNTRVEDVEEYLAEQGVFNGSA H257_09379 MGGKKQSASAAPRRKKFRFEYMAFLVGVVVVFRWWTSTQLTPTR KIGHIQPAILYTLQSDPNVHVLGSYPHDKAAFTQGLLVESPGILIESTGLYHRSSVRR FNVTTGVASHHTAPFMSTEFGEGITWNAATDSYVVLTWKAMTGYVLHKDDFRVLSTFA LPPTTRDQGWGITTITDTQELVVSDGSHVLHVWDPVTLTETRRVSVLSAAGQPVANLN ELEYARGYIFANIWYSNLVAQIDPITGAVVNMHDLSSLSAQKNADGDVLNGIAYDWKS DLFYVTGKLWDTMYLVRIDDKPPST H257_09380 MPLAWRTRAVKYEGPNWYFLWPSICSACQDLARDHATLCSAQAI SRDLEAIKKDVAMHFYITGTSFHRVSQFHLKRAIQRARPDIVLPNRLANKYHEVNQAT DRRLGAPDTQDQVGGNDVPRYPNGYPFEHLVNFVDSCKDVVRIIRNNGRLKSALSSLQ KANHLGRLVMPAPTRWCTLQPCLVSLHESESLLHDLVSARDFITGSGDQRLRRMVLNE TVTAVDVVSKLEHCISILSPIDKWIKIFQSDRVPVSEVFDAFVHQLPHAIVNIWSLNL HETKYIVVAIKARWQFMYGDAHGVGYLLDPRFVDSGFDSMEFKEEELKSKKKIFAEFW MLDGQAWPLLRELALRVFNLEASSAASERNFSMVHPVQEAK H257_09381 MASTFWVLGCAMLDQASSMTLTALRRCRAVFGISPGSTSEAWSL TDATRPSTSRPKHLLWCLMFLKVYASESVHRCLSRADEKTFRKWVWLWVDLLANLRVV LALCGIDGLNSVVPMIEHSFHWMVLTFVSGNQYRTTASGIPTNFMELGYAMKSVCACE LATLSGSIEAYHAANGQIYGLHVIHNPPRGPTLEPNAAMIASGRVFMGLVGNVFNVPK VVERGYGVAIVGGTADFGTMLGEDMERDIFLGGMLPPQSWWKEPTWLSASSSLSFGST YRQFLRWYPIRSSLPIALASPLSRKLLLMVCLVSPLFNFLKLHFGSSLFILILTTTGI WSW H257_09382 MQCDGQPLQRHAILVKANRLARKIDPPAPVVVSRVRPSDLPRSK QRRPGCSHTIFRIGVNDGPRAWTYPGRYIQHGRNDIHVKEEVKFHHCRISERLGQDSH QTFICLLLHV H257_09382 MQCDGQPLQRHAILVKANRLARKIDPPAPVVVSRVRPSDLPRSK QRRPGCSHTIFRIGVNDGPRAWTYPGRYIQHGRNDIHVKEEVKFHHCRISERLGQDSH QTFICLLLHV H257_09383 MECDYSLYVTAHSFLLLCWNLMARAVSTSSIRYEHITYDALQIS FGLTKNDQEGRMSYPRHLYANLSHPAICPILSLGVLLFTRGVQVPESPTLLFGYNAKE RFSAWLAKTCAANADDIAGLGLCRKCTVLVAHKQLWSSCELVGASVGSKDDTYLKDLE VINLLAELQLDSMSTMSSLERFHHILGH H257_09384 MSLPPTSSSRAALPITHRLVGTENYDDWFLELTSIILPGEALDS MATQCTESDVRRIRHHLDAASNVQLGTTTPSPLTLDTHKVVLIEWLSINRSTNEVLVK TIRADIDEDQEHATAISVYQRLHEFKFRPHASLDANLQAFDKLRTAVEKLEGHPLSDS HLASALLAALPSGIMQDYYMWLGPKPSIPYQDMLRLLEQHWPDLTLKYPSILGPEPTA LADGYGQAAAAPWGAQGQDPMANWCGYCLSSCSHSTFTCTKFFRAFHQNADGLPSHLA NYPPVVVVDELKADPAATAVANATAKAAGVVEEPGHAFSTSFAKAMHPVAHQVTHQAV HQATPQAAVQATPAWDKAVIPATPVSITAIIATINAAVARFVSRPPAIVVAPATGTAT TAKTAGTIATTAGITATTAYLIATTADLIALTAAPTKMTGTTVAAVTPCTTTGAIVAA AVARRRSTKATPNRPPPPSVAKAAARRAALPER H257_09385 MVKPTFIAAFAALTTAKIAPSVHRHLESNEDVDVVIEFQGGNQR ALEAVRLERASFNDRGARIAHVRSLLESNMETSQRAAVELLSSQPEAFTTRVESFYIN GNMHVYGANRIVLDELAKLDNVAHIRQPVTAQLSPVIFDDDEGSAEGIPQGWGENNVT STRAANEWGVNLIGTPAVWAKGNRGQGIVVGILDTGAIHTHDDLKGNWRSTYGWFDPT DKSRTPIDTNGHGTHVAGSAVGQNGIGVAPGAMWIACRGCTTAKCPEAALIGCAQWML CPTDATGKNPKCELAPHVINNSWGSEMNSNTYQAVVNAWRTAGIIPVFANGNSGPKCS TKWTPADYKTVIGVGNLGIDDKLSSTSSRGPAADGRIKPDVSAPGNRVRSAWNTGNSA YNTISGTSMASPHVAGAIALYLSANKGAKYDQVYKAFTTTVDTKTLTPSSQNCGGVSD SKYPNNNYGFGRINVARATGGGARPPSPSKARTAAVATPRLFPSSPLAMCNGCSGCYS PLISYCFPSEYSNAQCAAFTDLKTVWCGKI H257_09386 MAAPNAPYHASGFGRSSPCGMVASPLMSARNCVRWLRAPSKHYI TKVMFLAAVASPRYDHHSKTFWDSKACVWPFVQVSPALRGSKNRPKGTLVTVPQAVGS TVYFDAVLNKVVPANMAKFPGVLRHGAVFLQQDNANPHRCVMTELLQTKCVREIVVAN QPPNSPDFNVFDLGFLNSIQSIQHQKATFRLLLLLKVRFMS H257_09387 MTAACCALFASSQGIWRGNVPTKDTGTLETQTGRRKRTRFKARE RKANMQVKSMKAPPPPTMYDDGRLNNVQEVPDCPDTGADQDIMPMAMLDDLQVVPPDA VQSQFLRGPYANHADGPVKVTGKRRSYVVYDGDEFLVSDDTLKSIGIDIDRILEHMVQ YAVNVNANGLESIVRRVDDDGDDLEEVGADCVELPQRSAVRAASLKAVTQVNPLKVTP RVDVFHVDANLLEVRFLKLLAEEFVEAGVIKSMQSAWCSPVKPVQTRWAQVIKAPRVY DILVSVEFTLLPQLLLITWGASLHLNFYRSHPWGTASIAHTQ H257_09388 MIKPTFIAAFAALATAKIAPSVHRHLESNEDVDVVIEFKGGNQR ALEAARRERASFKDRGSSIAHSPTPIDIDGHGTHVTGSAVGQNGIGVAPGATWIACLG CTNRICPEAAIMGCVQWMLCPTDVTGKNPKCELAPDVINNSWGFNVSTTAYQSVIDAW RAADIIPVFANGNYGPKCSTTPTDYKNIIAVGELGFDDKLAKESSRGPTADGRIKPDV SAPGTRIRSAWHTGNSAYNTISGTSMASPHVAGAIALYLSANKGAKYDQVYKAFTTTA DTATLTPNNENCGGVSDSKYPNNNYGFGRINVANAIGGGVAPPSPSTPTPSPSKPSTL GPSTSGPATPFVVEAQHIKFFDPRHQYTPSVV H257_09389 MYKELEDAVHSTGSLLFFLPPYSPQLNPIEVFFSLLKRWANKYR IAFPGQSNVFSLWGIQSNFNLCLPWSLSTPHETVVAGASMTTTTDFMAADRHFVGLDE ITTGVWPTRVRPALDI H257_09390 MWNGKIGMWPFVSEVPVQRTNKNGHRGTIATSPIIVTKPVYRVF LVNNDNARPHIQVDDLAVPTAATTGGWRIQLVAQPAMSPDFNVLNLGLFNSIQALQHR QVVTCIDDLVAAVHAAFDELDFCTLDKTFVTLQKVMEESLKVGGDITYKLPRLHKDRL AKQGLLTSQLAYDSDVYVPSKR H257_09391 MWNGKIGMWPFVSEVPVQRTNKNGHRGTIATSPIIVTKPVYRVF LVNNDNARPHIQVDDLAVPTAATTGGWRIQLVAQPAMSPDFNVLNLGLFNSIQALQHR QVVTCIDDLVAAVHAAFDELDFCTLDKTFVTLQKVMEESLKVGGDITYKLPRLHKDRL AKQGLLTSQLAYDSDVYVPSKR H257_09392 MSDLSNSDRRFDHFPYALYAVDVKFQPAQRPTGRFAEQKHYFSG KHHLYGYKIEATVSPEGRCVAMSESFPGSVHDLTILHTRTANHATNLLKSAGEQDVPD YGELSTQYQGSWACLVDMGYIRIAHSLRGIHPKHRPAHGVLDAHDMDRNHDISSDRVV VENFFVRVCILWKIFLATYTWGEKNYNTIQRTTFALTNFHLSLMPLRAEDEGFYRSAS AVKLNAAIV H257_09393 MKNHFESIDQVNNLREIQALRRLSLQPHVIKLEEVLHDQQSDRL TLVFVCHLPELDNGS H257_09394 MAAPVPSPSQSSYTTPSPKKHAASSFIADCSARLHLGHVPTSTA MVLAHRYFATHAAPHSFPQHVDIGAACIFLATKITEKPRKLRDVMNVAYCVAHNVNTP VSTGHEYSAFKERLLDAEQHVLRAIRFDMDVPLPYQHLLNLAKLLQVSRVVVQIALTL ASDLFYSARALEYPPHVIAASSIYLAMDLLQIPNKPIRWWHHVDTSDDDLDAIRSEFV ALYAAILPSSHISSPPRA H257_09394 MAAPVPSPSQSSYTTPSPKKHAASSFIADCSARLHLGHVPTSTA MVLAHRYFATHAAPHSFPQHVDIGAACIFLATKITEKPRKLRDVMNVAYCVAHNVNTP VSTGHEYSAFKERLLDAEQHVLRAIRFDMDVPLPYQHLLNLAKLLQVSRVVVQIALTL ASDLFYSARALEYPPHVIAASSIYLAMDLLQVH H257_09395 MGGGTADSLDIDSETEKPPKGCHSPVTSDHDDCNSCEDGVPCNN GSFNDKPRQEFVCPVEGCHRVFCSSSNLGRHVKAHSGLKPYACEKCSKRFGRAFTLAR HQITHTGAKPYHCEICHKGFNTSGNLCRHRHIHEKEKVVDALPGACDKKRKIPMKDED GHVAKKLEASPLPSPTNHSRAAAASFAPIADVPPTNMKLEPALSVESTMVDDLVNKLY LAVGDEGDDCLDPIQVSGDHDTDTESLISDVFSDFELDLDASAPPSTLNPAQVVYSTC MQMENALKHGSVTELPLLAQQATQAAEMEMQDVCQKYEDAGRRFGAMCQVAAPTVTSA MCRRLVFLESEKNCASRLVERSRRLVEQTCHLCGVEDVETARSIMLEVVCASQDAAGC MINASEANLSELMLHQAAAQEASYMMVGRAMPM H257_09396 MQVAAKPKVLVTRHVPYILEQLKLANVDVDVFERQDIAMPREQL LRRIKACTGVLCMLTDRIDSDVLGAAGSSLKVVSTMSVGYNHIDVAACRAKYVAVGIT PGVLDTSTAETALALTLAAKRHVVTSVDSAKRGEWGVWQPFQYCGTDVEGSTVGIVGL GRIGAKYAAMMRLAFRCRILYTGPRPKPDLAAPLDATFCSMDDLLAASDIVSVHCPLN AQTQHLFNATAFGKMKANAVLVNTSRGPVVDQEALYDALVARQLAAAGLDVTDPEPLP VDHKLFTLPNCTIFPHIGSATIKTRQAMADIAVQNVLAGVLGQPLPHAVDV H257_09397 MDFTASSGDGGGTLSQLLDPLRELSVELDLASELEEYLQLINVD ESVLVNFAQAALLVQQSSALYSKKVEHLYTLVLETLAHLQSTDVRDPSRASGKQATKK QKTNQGKATSTAVHGDDTTLTMADMRVEANQINWTVRTHVEESSKIDLPAPNVTLQKR RDKSTTTKTFQASMVMMGSFVSTDHDSGESLKLKSCRIDPETGALYLDDSSKDLLGYP RDTASLPLAAAAATSLAPPRLAVLTPVREEDSDTTSTSVRLSQEFNTQHETDEGDLAN LPDFGWNDADLNEGNGMQGKYEEGTTAKEDHHRLSPSRPIQSTEISRYDLVQRHEIDM DDDKDDPWTLLDAYDATDCIVKPFQKGSTYRVRLPSMAKFNLAARAIDPIYIPEAPQL PRTTTRLDRLRHQLLSKPFKLFTATPSTPLTVEYAKCFANLQNPRASAWQFGVKLKGH IKADEAKDIANVDKMDDGDGGIEFEDGYNYDDEEDDDEMAHDVPTVKKIRPGTLSGTS PSGDEGDDEGRGVSIARNLDWNEETNESRQGSPQHPQPSSMSYEALCKQHIEQFMRGT EQYMKETAMSKLVADWQHKLTPVLDDQDRRPLFDIKASAQTIVHKLEQQHHPHKDATP VGFDQVVQDMSSYEICRIFSAVLHLANEGAVELHHDENDDENDVHERMDTLRLTMLTQ EESSAMPVSRRHHAPRK H257_09397 MDFTASSGDGGGTLSQLLDPLRELSVELDLASELEEYLQLINVD ESVLVNFAQAALLVQQSSALYSKKVEHLYTLVLETLAHLQSTDVRDPSRASGKQATKK QKTNQGKATSTAVHGDDTTLTMADMRVEANQINWTVRTHVEESSKIDLPAPNVTLQKR RDKSTTTKTFQASMVMMGSFVSTDHDSGESLKLKSCRIDPETGALYLDDSSKDLLGYP RDTASLPLAAAAATSLAPPRLAVLTPVREEDSDTTSTSVRLSQEFNTQHETDEGDLAN LPDFGWNDADLNEGNGMQGKYEEGTTAKEDHHRLSPSRPIQSTEISRYDLVQRHEIDM DDDKDDPWTLLDAYDATDCIVKPFQKGSTYRVRLPSMAKFNLAARAIDPIYIPEAPQL PRTTTRLDRLRHQLLSKPFKLFTATPSTPLTVEYAKCFANLQNPRASAWQFGVKLKGH IKADEAKDIANVDKMDDGDGGIEFEDGYNYDDEEDDDEMAHDVPTVKKIRPGTLSGTS PSGDEGDDEGRGVSIARNLDWNEETNESRQGSPQHPQPSSMSYEALCKQHIEQFMRGT EQYMKETAMSKLVADWQHKLTPVLDDQDRRPLFDIKASAQTIVHKLEQQHHPHKDATP VGFDQVVQDMSSYEICRIFSAVLHLVRWTRTFLHG H257_09398 MWQACRVAMPRAGRQAGSIVARRSKCKNCKKMYLLNTSVCANTY CSLDCQSNFLYLEHVSGRLRSFFEEAKLQQQQHRHHALPDSDVSCVELPPCPRKPPPT TTTHTTWLKARSD H257_09399 MSTTSVVSAKTTTTGHACGHCGQVGDKWMRCSLCLTTYYCSRQC QVQAWKQGHKLACTKSSSTTCTPAAPSSHEQPTAGIVYPLPFVRDSSRFYDQITASHS ADLMDHGFTVIDNFLGGGWAHALLAELQFLVKKNLLVPNKTQFPSTTTPGAVAQYEKP HIFEADLHNHSVRTQVPEFNALFHQDDLLLALAQHTNLPLIPGTHGKTLKVQFNEGQG GCFPCHYDNPGRPNKRRLTCLLYLNPSWKDGDGGEIQFYPFVHTPVVVAPRMDRLVVF SSDRVLHRVLPSHARRYCLTVWIDSHDVNTDQHASLSVAPTDLADWPAFVTKLAKSPV QRLLSRGVYAEEYLESLTQCMANDAPEGFTEMMHAHHAHLTRMKANAPLQSLVDRLRD YKRTIEATNPSAIFL H257_09400 MNKTPDTPFLRRCKRLSMEPLGRDEYLQHVKLTHAKAHALMYTP PTSRFRFLKHVDGIDVSDDPAAWTCRVKATMTLRRPASAVMASMAGMARSSHDFRLHF YRYFRQSFVDGMHLHCDSKSDHVSVNYLVLRREDNTTYDMTFAATTMTFGRLPGQEKE KKNRDQGLVVVPLQACQVGVQVWESVVVPGLDDDESDKDETASHGSGGSGGKSSSSHD SHRRVAMVTSGIVVEALDPTQCRVSVVLQFGQQQDESARSTTTNGMSIWQQRLAQSAL ASLRTELVVLVPQTLWMFNEYCYLCYKTFGTFTRRHHCRLCGHSICSKCTENVPTDAM GVLQSDEPTTKKSVATCRPCGRRVCGDADEGAAEYLPAAAASSVAGFDGALSAMHAKM AATSLSSSDEKPINLIMDPRHHLSMRSTCVSSEMLPHSDRSGGAVDDIVLFQHTAAST RHLQQSSTSYDQAPLHGRRFSQHSSGERSSDKSSSDRSQSSWGS H257_09401 MDNSVDADLALLDEFLLDDGSLCEEIFLPSAAVAASAIENPTPG PVETSTPSPPSASSSGAAKSSRSADEPASSSGKRGRRNRVYMKDELEYLRAKHDELVA QIDALNAAAVPPPSIWAQRAKDQAHEAQRVLQENARLKEALDEQIKVVAALHRIFSKK PRLAVFPSNSSGDLAEWKLAKLGSSGRRDAMKRLLDHQFAAMESQWIRNDVYAATAMA APGAAVKKAFVRSGSLYHDVLVVHFVQCSTWSAPFTTVADVLWDMVSVKVKIEFANQY VSERVEAFGDDMMYTRFSATLPQPDLPAVDGRLASKRFVSSNRVVIVYRSILDDVLLP HDSQHLQDNQSGWVVVEPWGDNGTKLSILTCQTPPLAPSQSIQAGAMSEYMIGMFTQN AAAFDNAIATALACPLGTAPDSS H257_09401 MDNSVDADLALLDEFLLDDGSLCEEIFLPSAAVAASAIENPTPG PVETSTPSPPSASSSGAAKSSRSADEPASSSGKRGRRNRVYMKDELEYLRAKHDELVA QIDALNAAAVPPPSIWAQRAKDQAHEAQRVLQENARLKEALDEQIKVVAALHRIFSKK PRLAVFPSNSSGDLAEWKLAKLGSSGRRDAMKRLLDHQFAAMESQWIRNDVYAATAMA APGAAVKKAFVRSGSLYHDVLVVHFVQCSTWSAPFTTVADVLWDMVSVKVKIEFANQY VSERVEAFGDDMMYTRFSATLPQPDLPAVDGRLASKRFVSSNRVVIVYRSILDDVLLP HDSQHLQDNQSGWSVIVTCLIRIYHFFGPVTGWWWSRGATTARSCRS H257_09402 MSSFSTRFLPTDGIPAYNALLDQHLGHHRNYLLGSKASLKLLQT TGAIAKVEVGTSADQYVVYVEEPPVSSVRKHPPASSNSHENPADSTKAFNLNKKASSC CRYRKSKKEGNSPPSKQQTTDQTPEIVDRMARRRLQHSASDTSCLLGAHNKPHRLKKP AQIEPMASRPKPGCSPFKKAASEASSSQGKPLWTTTEGANAHSNRNDQATLSLHHGTC KPVVNHLLESDVAYHDKISRFKAQLAAMADEKAVVQGEIARLRKELRGVNAVQENDLA VAHCHAVVQHRLGKAQDEFMKVLTNQAAIRTAIDAARLELLALAQVRRKLEGDIDEAN TKIDAALARIETTKAIQKNTFGELTNLERQAEADAVERILKLPPEGDMVNMDVLNLMK TIHDRALSRKQEEAARQAAALEEESRPQQNAEGSSALLDTTYTTAFASIQAAVHAPSV DEFVMSFVDTENQLLSMYQHYLEQQADTKRAKAELDALLSQARTVRQRMRQQQDDTHA RKASLLDRIAHVKQKTHEYSVLHRRQSVEHNALRAPILHLLEVLKADKHFLHTNGFLN PTHDMSLPHILGIAQERIVEFAILSQVHATQANAVHVKVQAIERFNRRTRLSTPTPDH PSPSEPPIPATAIVVGPRVPSSLNPPCPVSHVAAPVVAADPSHHPIPRSFEVLANAAT LPSMLSCESSLESTVPLSSFQLFQRALRAHTYPNNHVSNES H257_09403 MRKRLEHARMKGCTDAVVSNNSTTLIRGSSTPVPRLGLDATAAT TAINQSDAVVNEDDAEEDVESTEGDHEDACEDAEDAVEDEEEDDDESTPESPSAASVS MKVMHSRYPNRPAVVCFDYPLDLHVTRTYGAGIVVEDVDATTAAPVLFKSYWERNCIK NAFFRAGLVRVHAGKKWTAAWVKHMPRSKFKLLQPHQRINHFPDPWVIGRKDRLMKAL VAMKRKFGADYSFIPDGYVLPSQRDMFLRCLDRDAMSATSSFSQSLWIVKPPASACGR GIKVIHASQVDTLKKAKKLVVQRYVSSPYLINGFKFDLRIYVLVTSFDPLRIYLFQEG LARFCTVKYAMATPAQLKNRLAHLTNFSVNKASTTFKASASETDTDGSKWSWTALMAY LEDQGHDVDVLRSKMKAIICKTLIAAEAHITPLTQTFVKHRNVCYELFGFDLMVDSAL EPWLIEVNVSPSLMGSSPLDKRIKGLLMSDIFHLVGHPAPTSSSSPPHADLPPLIRSS KSAAALSAGTSPTPNKPPKSMQSPLVARKQLLEVLHDPNIRSLTLQHIALFREDDWDI VLSMEDEADRVGHFERIYPAADAATYAPYFSVSRYANRLCEKWRAMQPPYKPHSLSNT TTTSPVPPSSVTRPPALLRRHSTQQQQLKPVKTLS H257_09404 MGFSFLPAPTRSYEAPAAPKPRAAPAPTASSSTAVKKFQPTPRP PQQIPAYPHRTKKQYSPRDPAADFGDGGAYPEIHISQFPLGLGKKGGGEESNVLSLQV NESGRAAYDAIVKKKNQIVYSSFNDLVEKDVGEDALAKPTLEEEQEVAEKTRAALEAL VTGKIAAAHPVNVDRQKNVKETSTYIRYTPHDQGLNADSEVPKQRIIRMVEAPVDPIQ PAKFKHKKAVRGPPSPPVPVMHSPPRKLTVMDQQAWKIPPCVSNWKNAKGYTIALDKR LAADGRGLMKVTVNDQFASFAEALAIAERKAREEVNMRIQVQKRLDAKQKEAKEVELR EVAAKARQERGGGGGASHYGGASDRSDDDDDDEGRRERDKMRFDRRREREREMRLENL MGKKGKLARDEDRDVSEKIALGQLQGGGKRSDASLFDSRLFNQSQGMDSGFGGEDDYN VYSKPMVDRGKSTVYRPKVDATAIDGDREYDDLKAGSAKRFRADKEFQGTDHAAKRSG PVQFTYDNQPNTGEKSEDETKGRARDRSDSPRRSPSRSRSRDDGRRRRHGRSRSREQT HKRPRESPDRSRSPDARRRRQRRHDDDPFGVDQFLDDARRGDSSRHRR H257_09405 MSDEPAMMVSPCAKGRNTSGGSGVSLSFVPFVLLQSRIHTTFVA GSTTICACLDDTSKSVVRRSPPACPLPWTNFLLRPMASCCVGPSSTADVALPASGPMS TSIDTTQRHPINSRAMKLAINPNLGFCLLI H257_09406 MSADKHQMELAVALSRSVVAEDVECAVSRGMLEREIADVDASIA KLQKKRLALMRKLHRNSKQTRRVAPSSILPPQVVAQWDVPAVLADLFPPSRRVLGSRT ISHQTHGDDGGKHIMRHCCRPLWQYAAQEPQGGPGLVVPSLAPFFAQLNNAAESAPFE VQTTFPAWKENLTFLASCSVASVEAAHARLRAEMTKLKEAAATDDPNAANMAVVYKAL EYFDQHMQSTILHKTRQNDVGGGGATTATVMTTGDDAVPVPRGGDNDCDHGGDGCDGF H257_09407 MESTTMRTSKSIAQSELQQLKDTLRRLSLADEHPSHGAPKIHSL FAPTSSSPTSSFHTPPSSFDNQKAIDRLTNYATHSNSSSSSSVSPDCSAKASTTPSPI LPIQSSFGNPGSSTSAAANSKAVLSALKALQDKNRRLEEEKATLESQCASLKAQLRSN EAQHLSAAKKAAYELAQGKDAAHAAYEVLRIERDSLTAELATANLRATHQANEIDHLH DVVASFKDKCEQANLETASLESHVRKVQAELTEANHQSKERVVELQEALHASNRSGKE TARQVHALEEQLKRVHDANASLEGRLHDTEKTVSQMAQLNEKLVHNLWTKAKPLAKQH TITKSSKSATASPPRNVVTRSTAASRASSKAARVVPSSSDRKPSKKKSKSSTKPKSNN KAQLELANKGVVPFLLGKSTHRSFSVIGNAQEALRQSDAFHAVAPDVHPPLHHTAPPT WCRRPADSDDDQDQHNAAEPGGGGGLLSVDTTSSGARGDTKPTKASFLHTLNKAIQSV DAEFHHLNARYKGLVGTVDSPGVGKTLERTIDELETKGEQLFLLKQLHAQASKSSIFA SRSVLHSPDAAAKKAAALRVLHEYRTLDREIKTRSSCTS H257_09407 MESTTMRTSKSIAQSELQQLKDTLRRLSLADEHPSHGAPKIHSL FAPTSSSPTSSFHTPPSSFDNQKAIDRLTNYATHSNSSSSSSVSPDCSAKASTTPSPI LPIQSSFGNPGSSTSAAANSKAVLSALKALQDKNRRLEEEKATLESQCASLKAQLRSN EAQHLSAAKKAAYELAQGKDAAHAAYEVLRIERDSLTAELATANLRATHQANEIDHLH DVVASFKDKCEQANLETASLESHVRKVQAELTEANHQSKERVVELQEALHASNRSGKE TARQVHALEEQLKRVHDANASLEGRLHDTEKTVSQMAQLNEKLVHNLWTKAKPLAKQH TITKSSKSATASPPRNVVTRSTAASRASSKAARVVPSSSDRKPSKKKSKSSTKPKSNN KAQLELANKGVVPFLLGKSTHRSFSVIGNAQEALRQSDAFHAVAPDVHPPLHHTAPPT WCRRPADSDDDQDQHNAAEPGGGGGLLSVDTTSSGARGDTKPTKASFLHTLNKAIQSV DAEFHHLNARYKPLERCLLVLLLLVHTYEY H257_09408 MHCCCHMFKDFLKPQQIKCTTVAIRHRIRTSLRSEAMGPIDVFE RAETIEFCSLYLIIYFEILDPPESTRHGPRLHSVDK H257_09409 MAADGDKKGVWIDGWLDALECFEVHYACDHADVVAIVRKVCGSW VASYLHNVMMGKVALSHEIVLQDVRKKSWNAMAELARRKAEGLTMGCRSTPCGSQVGN AANVTRGIAWAVCC H257_09409 MAADGDKKGVWIDGWLDALECFEVHYACDHADVVAIVRKVCGSW VASYLHNVMMGKVALSHEIVLQDVRKKSWNAMAELARRKAEGLTMGCRSTPCGSQVGN AANVTRGIAWAVCC H257_09410 MEGVAVVTMATTAHATLRCSSTDGAKKKTPSRLEKQASSNITRN KSASSLKSSVFLVLLALQIGIQPELMKWYAKDATNVSLRITVIGILKILVALVPLVWS GEWRCEFSSWSSRVALRTTVLPALIYSVQDYLNQTAVVILDGVTYNVLNQTKIIWTAL LVYVMLGQKQSSVQIVALVVLVASAVLIAVGGHSHDGSQAKSSIEDDVLRVTGMGRAA IAAVLSAFAGTIIQKALQKEARNAYMVTLELSAINIACCVWSNVANRITSSSTSHQDE GAAAASLWTGWTFMTFVTLLVQALGGVLVGFVIKYSGNIKKSFAVVGGLLLTAACESV VNETEFGVTGYIATGLATLSTMLYTKFPPTASAPTPQLRKTEDASASDESTESSSDDE HNPAKRLIPAA H257_09411 MSNTTTSDADIVNERVLELERVLLRLGLTDDAKLCDVLRLLLPQ LFQRLVTTAAPAVSAKILEIFSHLVKRLKSFPSTQIVLPVVALLPYVDPAPSDTSVPA LHLTYARNFSLLFVEMGFGGCLSVDLKAVVLTTIVRGMATKSSAYHDVVFRLFVHVFL WQDTAVTLDDTTRWSRLDVAVLLDFFLDLMLCPSSSQSAPRTERLMRAKVASLEPASR THVQLKLVQFLKQHATSSPDPTTPSNMDASAWYVHMATGAAAGHHSIATYCQDELSRL NKYHLPALDTAYSIAPMCHLILGSSIPAPDDGGSAFLPRQPLPDLVALALVPVLLQAK SVATDCFPLNLQVVCTFLFGDSPQRPRAVKANVRKAGMDLCLWVLMHSPDAILHAALG PVLFSPLLKLVTDDDNSMTSDEVPANVRHERNVGVYTAFSILARRTPALVAATPEAFQ RLMYRAMVEEERGRSGSACLEALRAVCAAYVGAHVPATVTNVIKRELTELASSSKVQT PTFDRVRGVLAYWASHMQFQGCSGDGGGGDLTMRVVCLRFAGDGSDQVREVARKAVFE VPLPPFDKTMSLLGPQLPSMAAGQPRVVLELALALCVGSLQAEDDARRHDVQPLVDAC MALLAGSHKATAAETLVTVIHRMRLCELSVDQVSTITHMMLHDGDDGVRELMAILLAT PSRTADCFHAQVQALTALLADDPQHACPSSEMHGALSGLGMLIRSNKAYPHKQAVATI VADTASVHLGKFVQYAMIRFDHGCKLHSDVVYASLQALGAMGNLAEVGTGAAVLDVVV RVLTLAPTPPPSDSATATLKLRAMQTLGCLVYGLPLDDDEVLNQVTSAVVATLKRVAD TRDAWFQFQVATMLAAWGHVLSDDVAAAATTSGSRRQNRVTRVLDEVLAGISSANPHV RNSAAIWLFGIVSSSANTKRDHEVRLENEWTRQIRPRMLLLHELLVDLLNEKSALTQE CAVKALAMLFDQAAIQDNGEANISSNGQQAAIQDDGIGGGGNVQNSMSDSLFKRLKCF RAFVDSAGPSAASATPSASDPSSTAANTIENACYREVSNVAADVGDASVMYTLLYLST NDPMWDLLLLGDGDLSKGNLATAARTQPDPTNELASSTTIASVIRLPLNVVTADREFG ALQAHKAHSEWHASAIAELLVPRLFLLKNHPNPKIGNCMAQLWKVLVHGSTTSDVVVV ASTINPDKAMANQYYQAILTYALQRLESSLNFKYREAACNAMVDILNGRDAGDVRGQL TRLWKLTARAVDDVTESVVVAGIKLVKCVGELSLRVAAVDGACLDHVLSFLVREGISA SNKLCQALCMGYLLRLIKAIPSIHLQAYLSTLPVTLLQCMSSLEMPELQYAQFHVQDK RQLEKARVSLSQAGPVGELLQACMAQLSTFAASQGCAAAAPIVRDLCDGVCTVLRSGV GLNTRVGSANFVATLVTEVPLEMRHCGAPDKLLLRIFIPFVTHAVLNETIDDSQDGLE ADGGLRDGLVMRAYCRAAAYVSKLAAPSTVAKYICEGILAVPSTIVVGSEGSSTTEKG FGRYGWVTVTALQELLAQVPPPSSSSDATTDWCADVFSVAFVGQYSSQASLGVAWKAV LEAIPPTLRHSPQYAAKTLAYGCELMSHLSWECRKQGASAIIALASSPEYVISDWSSA VHKIKASIPGQLWRGKGIVLEALGATYIVTDCHDNHEKEEMVMMLVSECDRAIRNGDM SYLDSAIQSLGTLASVKSSPPSSIDSFVVLKTFFFDDTSEMELPPLVRKRMFETLGKW WPVDCDTVRSSHVLAWLCSVADASTYPVWSVRDAMYGCLTHIVSRASFDALGTRQTME ALVTTCLNGVGDPKYAAVRRSALGAVVALASRRNDQGLSLVTLVPYKEELTVAATQLL LHDTEPSVCHAASDVLAALQAMH H257_09412 MVRNVAAKRRGWGAGFACCFSYMATTLQIAVVFLALFLELFDAL LEPLHVLVGIDIGPRAGKVDIRGEIALTGLGLDTNQKRQAENENLQHAAASCAGMTTI SE H257_09414 MGNDGSNALGIIPTAVRALFDKTSLDTSNHVEFGVTLVEVYGKE MRHLLFPTLARLTRASDCCVCEERISSANDCLGLLQKGTLSRKTVQSGIKTTRTPTLT FVDLAGSERQEKTLVEGLRFKESVDINSKRHVPYRDSKLTKLLQDSLGGNSHTLILTH CVSPAHRNLSETLNSLGYASRARRIVNKLTVNQTNDLQVARLRAHIELLTRERDGLQL HVERLERDDRPAALRHTKLSTQYDNTETKHQGTSCATAYCRIPQTS H257_09413 MHLCPTRSRPGKLPRYPLRVCHHHDGSDSLDTTWFFNGRMRVTT WMLAALVGSILGLCTPFASDDLMAPRKRDDGLSSIAITGMRMPICCCDWQWTKLRLDE LPRFARFGLVCLYCNLATS H257_09415 MLSTTPLDGESTPTNTALNPICPTFPLTTSVVAPSHASTTTATR SRRLPPGAVHWPNLGDSLKCQVKRGLTKAYQSILELQAEGLIHLSMTKSMDAAAIAHI EAHHKDVTASLQAVVADTLSHTNATVDNTIVTLQTEYDAQRRVVFDEINAHKLTTDLA LKRTRVAMAKETHRFTSLETCLRRDEMHVLESQHQARIQSLRDEMAAKDAAHVDILLR QKSAFVDIERFSQKLQTALDDALLEVERVKKLDHQRYTNNTAVSTISESYVTSLRHAV AAANEHTEQMRVELEQQTKANETLERVKAALDDSVGRLTHELKTARDLLAESARELIS LQEIQATTAKDLAGCRVTIQDFKNRADKLTNELNAQTDLVLTCDDCVADLKTQLESHT KHVERITAEHALAREVWVAETAQLRDSRASDAATIDKLYGKLALLGYDKDMLDMYASP RSVTTSPIPPTGASHPPTGAAPTIHVTTGRPPMTSIVAVGRRCQGVTASRDSNHYDGL VQVLQREQIDLADDKAQRLFDLEASIRASVTSQLTYEFKRTFSKQLRQRMQQERFFLM EKIDSIVAMAAVEERAAKRLLAKQAKAVGRRVSLRGVPETTMTLRKVKATITQAYDAM GVIEWNGEDVAEMKREVAALTKDNADLAAAVADLESKVEIQLLSLAEAELFQKERDML LVELTKKFNAVTGEVATLKRENKAYDGGDSGSNNQHHVDEATYNMPLSVQGHFPHMDR RPVKSTTSLSTRPQSAAAACCPTPTPKSTPPLVSNSVAVKTQRPATSISTKKHPQLPL AHKHQLRPNQDQHPKRPPEHVRSALKWELLGDKHSEGPTKDVVVSSAWVGEAGMKTIL DRRRHRK H257_09416 MTSTLPRLLRRLPLRHGVTSRRLFHATPSLQHGGAAAANGPTVP LKFRLKDGSIKVVDAQIGTSILDVAHKYDIDLEGACESSLACSTCHVIIEEDLFDKLP EPSEEEEDMLDLAFGLTATSRLGCQVMVSPEMENSVVTLPLATRNFYVDGHVPKPH H257_09416 MTSTLPRLLRRLPLRHGVTSRRLFHATPSLQHGGAAAANGPTVP LKFRLKDGSIKVVDAQIGTSILDVAHKYDIDLEGACESSLACSTCHVIIEEDLFDKLP EPSEEEEDMLDLAFGLTAT H257_09416 MTSTLPRLLRRLPLRHGVTSRRLFHATPSLQHGGAAAANGPTVP LKFRLKDGSIKVVDAQIGTSILDVAHKYDIDLEGACESSLACSTCHVIIEEDLFDKLP EPSEEEEDMLDLAFGLTAT H257_09417 MEVRLFVYKNEAGSQGRVLPMKKSDSLAKLKAAASKKLAVRAKR LFLASGAEVQSVDELQNNDHVYVSCGEAFYRSHPSSSTSAAGSSGQETFHVSVLGSGG VGKSALTLRFVKDYFVQDWDPTIEDAYRKTMEVSNRLCMLEILDTAGQDDFESLRPQW MMGKDGYIFVYAMDSRTSLHELHAFFELHLQINETKKTLPPIVVVANKKDLVEQDPSK CQVTSDEGRRVARSYNAGYIETSALTGTNVNAVFEQFIVEARQRRGPVKSSSSSFFKS CTIL H257_09418 MEPTNSAEADAAATKGGTLQGDATEVAAGEDAGTNVFRRCSTPL DGTEGGSGHGGGTTASPWLPFIDDATGATYYYNQETQEISWTDPAISSNQGTDSSGAS DRRPFPGASSRPTSATQPVVVPVKSGDNNPIGLWPFSAIDSEITSTEDGGVGNVMAEV GGETAVELRLTSSEANVLLERKESRGVTSLLEADDDDDGEILLLHNQMMENNKSTMIA LDQGADESHVEAIDNVIIHPQDDTDTGEKNPSSEMQSSRRPSTNDVRSLGSGHSIEPH QVLLNSSVDTNNGPSPRDVDASDRPPHPVDLHADGGCINIIGDKPTLNHEGADPTVDA PNDVIDAASREDNNSSMPIDAAEDTTYPSLTNNLPEVVLDLQPSIIEQFNKCPDSSNA LATPTANIIDVAIATVQPMEEDTTSTKTLVPPVDTQTTMSDSPTQPDPLSIAKDDKSA VQLPPLSPQIIPGTMAAPAGSTEAIPSTQVINSKSPTEQALKTTQDGSPSTSFPADIC PATEFSSQPLHDLTATTWVEAYDDQRSRSYYYDPVTSAVSWDHPGGLLASAQQNAPIQ PPANPPSTASTEPPRSSRRPSLQPPVDDGQDAHAQESDSTENTPSRHNLDQDDDPVNS TSTTPPHTISDLTATEQPIVPFRDPNERLEPLPPPLETTKCAVEKASTSHPNEAPTTE PPEPSASSTPTTQRQSRRQEAEAARTQAWASDVASWQRLFNQASNQYHTLVEQMQEAV AAREAAVAREVGSRDMRKRQFAALLSNNAAIAPLSPWDVMARNIVGVDLKRLLQDIID DPAKYPSILQPSAKSKQQTPAEVQQQLLRVRNKDGDSLLHLAVWKGSVIKVKHLLSLG ADVNLVDNSVTQWTPLHEAARSGHIPMTKLLLSAGAAIAATDAAGDTALHWACRGNHS TIVKVLLHADPTFATLHAANHKRKTPLDLAKKPMLRSFLQDILAMHHSKPTAPGLSTV RSSSSSSMLKSGRSDKSVRPIYPSKRNHL H257_09419 MAAAAPTYRIEKDTMGEIQVESHRYWGAQTQRSLENFTIGGQRM PVEIIRAFAILKKAAAQANHELGVLSADKAALISSVCDEISSGALDAEFPLVVWQTGS GTQSNMNVNEVVANRAHVVTGGSLLDAKKTIHPNDDVNKSQSSNDTFPTAMSIAAYKL VVDNTLPNARKLRHTLQNKVDQFRDVIKIGRTHLMDATPLTLGMEFSGYVAQLDHAIR AIEATLDHLSELALGGTAVGTGLNTPPGYDVLVAAKIAALSGHPFKTAANKFESLAAH DAIVGSSGALKKLAVSLMKIASDVRLLSSGPRCGIGEISIPENEPGSSIMPGKVNPTQ CEAMTMVCAQVIGNDTAISIGGMSGHFELNVFKPLIIFNFLMSARLLGDACDSFDKHC AVGIQANPVVIERHLENSLMLVTGLNTHIGYDNAAKIAKKAHKEGTTLRQAALDLKLL TSEQYDQWVVPRNMIGSLQ H257_09420 MTKSKPSSSPHANVRLPERLSFPDEELKTLELWQELDAFQTSLR LSKDRKPFTFYDGPPFATGLPHHGHILAGTIKDIVTRFAHQTGHYVERRFGWDCHGLP VEFEINKKLNIHTKEEVLAMGIDKYNNECRGIVQRYTKEWETTVARLGRWIDCKNDYK TMEPWYMESVWHVFATMFQKDLVYRGFKILPYSTACNTSLSNFEANQDYRDTPDPSVV VSFPVVGDESTSLLAWTTTPWTLPSNLALCVNPDLDYIKVKDLKSDKFYIVAHTRLVQ VYPKVDKKGYVAGSEFEIVGAPFKGSTLVGKTYVPLFDTFADWPHAFQVLADKYVTSD GGTGIVHQAPAYGEEDYRVCLKNGVVTKTLLPDPLDDNGKFTDQVPLVQGLYVKDADD VICKDLKARDRLVSKNTFVHSYPFCYRSGTPLIYRAVPGWFVNVEKIKDKIIANNQKT HWVPAFVKEKRFHNWLVDGKDWNVSRGRFWGTPLPLWVSDDYEEVVCVGSIQELKALS GVDAITDLHREFMDEITIPSRTGRGVLRRVPEVFDCWFESGSMPYAQQHYPFENKDKF HDGFPADFIAEGLDQTRGWFYTLMVIGTALFDQPPFKNLIVNGLVLAEDGRKMSKSLK NYPDPADIFSKYGADALRLYLINSPVVRAEPLKFQEAGVMGIIRDLFLPWYNSARFFV QNVDRLERDTTVFVPTTAVAYTNVMDAWIVAALHSLIQFVRAEMAAYRLYTVVPRLVG FIDQLTKWYVRLNRNRLKGAEGPEAAQVALSALFDVLYTLCKLMAPFTPFLTEYMYQF LKLYHPKATLASSLATRHEDSDGSAKSIHFLMIPDVDETRLNDKAEQRMATLQSVIEM GRVVRERRNISLKNPVKRVVVVCSDAAVLADLKDVSVYIHDELNMRDLEFAADEKAWC TLKVEVNNKVLGKKLGKGLAAVKKQVEALTHEQALQYQTTQTITLNDNVVLSGDDLLV KRDFKGDKSIYEADVSPDGRLMVVIDVREDDALRSQGFAREFVNRVQKLRKKAGLVVG DKVHVYFQEGDANAGPISQAVEQFVATVAGTLGTVPAPLSLKPHNSVTIVSEVSEFAG SSVEIFVARPAILFADITENNDVALQHAHAYVATMEYAKVRDIVAADAEGAITVTIQD EVVQLVNNKDLFFEPKDKVAHTPTLSATFKWMV H257_09421 MDRRHTPLKHITRDQVPTVNLDQVRQSRSWEFVCTSLTNDGDLM ALDMLDTIFISGGEITAWYFTTKDGFVARKMAKNVTAQNICQAFTRVGLAYEKNHAQH VAVLWRDQCADCELLSAAELLALLEKLPRGSMSLHAFVNPKGELHAGRFANFEHDFSV NKHGKAQSKSFRIALGAQRITCMDTQLTKAMDLMTSTVIGRVEKSRKCKVVRCVVHYA VDDCGVLFLVQTHEFETTPLHVPKMKKEASSCTSLGPSATTQRMLARAKLEELMAPDE RAVEAIMAQLQSSKHPKPNPRGLTSLVPPPPNALSSPAIANGGLSKLERRALSATYLG SSQKSGCCGDYCSTVIDPSGRMADAHRESLLGFPKGSSTLSSKALKYEQRLGSPVKSA DDNNNPLSPKHDTTKVAAKPTHRVPFKCIAQTRAEKDLVALFVRRYLSGESCDYLAQE YFGDGEALGESFPGYYYQDCDVCANCFAFYTLIDEARSKALKKLSVSKKGKRRHEPSV DSQKSVVDQFATKLLSESPQLPWEVAWTRVQTVLRTITKVDVAELRSFVHPPPAVAMV TSVLMILLLGDTVIPPESSSGGGDDDAVMQKVWANAKKELSQGDKIMTLLTQLDLNAL TSSQIHRARLYMSNALYNSDVITPIWKCAAKFCDWTVAVVDAYTLLQGHEPPTKKFTA KIRSIANHPPVKTDPTTTTAMKSVVQAKQMTRLCGPTQATRDDSPLLQHTFRCKDGVT MIPYEVVGMVDVALTKANLVVCHDFFDTLDATKVFFRSVVKNHPGCQILFFNFPGQAG TQYPVERTDDHLNTTTTLPPQQQQTTTTVHVLNNLFTSQCVYELLTHLHTSAAFLLSV PFHVVGFGNGGNIATCLAIQYGRLWPSLASIVLVNAFAKIDAQLAGILHGALNLFSCL PPNRPDLPLTYLSKFLFSDAYVAKVDPNMALSIYTAVTNAISLEGRIRICKGALHHVD LVAQLREVAVPLVVVQSVENGMVAPTNVDPFLEGRACSHIWSHQHSSKGDLNAKARQL LSNTLNAKSEKSAFVAWLRAGHEVRQESKTYICDLLEFLVAWPTSAMNDSVGPKDSED DPTQNEELAATAITTNQLSTPAIKPIDEPSNTADVAKVSAKDNVKVKLAASQPPRLTL FKQGGGGVKPPTVELVLEKKQPPDGYKVSYAPPTNTSYDADMERTRAKLSDQVAAAEA LAMEKQLLDKQDVERRLSTLRHEQELRRKQWEDEDNERLRLLELEFLGQKAARTQDHQ ALEATLWQDEVQREQQKMLLAGDTQGKGWLDSIDSAENNASSSDLPRDTIEAVLAHST MEDLVLDNVVGAPPAQPTITNLFEELEAEDERKRKVGVLKVEEYELVKKQMALAQAER DKVAKVQQMEAESKLRDSMAVIVQATIRRYLAQCTLHHLRNEFAFDLKREQDGATIVR VARGALARIRTRKHKEVTRLRIEYDEATLTIQRCYKGSKARRAYRHTLRNKYAVFIQR VYRGYVGRKRVGDIRQSFARIQILHAKATKLQATYKMYREKDKYLGSRVRTLAANEIQ RVVRGHLARTKVSKMKEWQGAAPGPEKLSLGLKRIEESKAEFERQQQEIDALHRAQEQ AELKVSEIHSSLTESQKELAVLERELLEIDQIETDLHELTHEAEMLRTKGVDNTVPLG NGIVLNQPNETGGFETKEEARKRQAEAYAIEMAIHIKRAEREKKKKELEAEFTSVFND VQAKKHALEEMEGKLSDMEAARMRKDREFTRLQRNLMELLEEQKYELDMIREKGIELE TATATSAAAAAATAMKAKEHEKKSQAIFESTEELMKFQFMSMSLSYFSSLNMLKSLRD INADTTASAISSTAETAATAAAAAAAANIPMMKRLQVGSAELLDAASKKKKAELEEKK KREQEALEALKQPFPNAMRDWAIDDVCRWLDVLSLSQYKQAFKEGAVDGALLLELRPE DLSDILGVTHKAHILKLLVSRKKYLPLSSTERMKVSAVESEDASDNARKGVPDMETAF SQARNGRLKRLIESVEAGFDVNTEDDKGNTLLLTAAQNVNQKMVEYLILKGSNVNHKN AQGNTALHFAMAYDKEGVVGEYLIGHGADDTIENMFGLSPYDGISAEG H257_09422 MASTAGAAAAEVTTSLKVDVCGSQESLDHDSGKFYTEYKLRCTL KGVDGRTRSWETLRRYREFCAIDILLREKYPHLASSFPSLPSKKYLGSSLSSDFVDRR QRHLGVYIDSLLSLYPQIVQDEIVDEFIEVSCH H257_09423 MDNAGAADRAPLIDGKATRSWRRVALMSLVGAMGFGLALMVVLS STSPTNGASTAQTSPVLTKNPIIGIHAHESLFHDEFIASSYVKWVESAGGRAVRIPYD APKEQLLELLSSINGILFPGGYGDPTESAAFMYNYAIAQNANGTYFPLWGTCLGLEWL VKLTSQVNDTSILDRVDARNVTSTLTFLDNALDTSRLFGFNRVTFAPLETKALSFNYH QWAITLDHFMATPALRSFYRVLATSVDRRGAVYVAALEATRYPIYGIQFHPEKTPYEF GLNKHDHRPHYAIDHSYEAIVASQTFAHFFIHEAKKNAHAFPSIAGESQALLYNTPSS NRSYPSYEEVLVFSSQNQS H257_09424 MLGRPLPPGRRTPRTAIVKCESNGEAALAPSQVNGAVGVGVKDV NYNDGETLEAVVMEVVDRPPSTKAASNSEETPIHQEEPNATTTIDGAYSGSEEHDPVQ VVAVVEVVDTTEPSVEQVIHEPSIEHAVIHAAPIEAVDNVEEPMVQPHAHSEASQGHA DASTKQHVPSAAVPPRIEWYGLDQVTQPWTAVPELPSVDSLACVYDDDARALQKQILR AVKWCTGGDKAKVEEFKISSRLFGNGFMDCDEYTASMATELGPLHMLVIVPVMLRLQP DLVKKQLLYVALISYRTKHLAKLQALVDGSQ H257_09425 MVTEMTLADLIARDGRVSSSGSESSSLLSGSGSSSSSSGSSSSS DSNDDSDNGKAPRRHRPAPPPPPTEPPVASKSPPPSSKATKSKRVATKKAPAAAAPKA AKPPSSKSAPVKPPAKPTTKRRAAAPAKPKKTATTPRRSKQATTAATEVVSPPVGTRV PVLKTASGATIQLIKGEDPGLSSMDVDPAEECRGKIELGMVDPSKLAEHAETEVPEED VDSAVFDAHDDKGDVLRNLDQFYFCDEAGTPISLDVFERPRDQRPLIMGFGTVVQPLP LSELPPPIRFYMPEMVLSTRKRKKSTAAGGKRRKHATATTAAALDSSGDEDDDDDDGA SEDNSSRDGNTTPRSDTNGPETKKLKLDQLDGHAGGTAPQDTEGVVPPPPCISSSRRF QSFVYPYGYKRKRSLGQVDGAEASAGDDTSDSSSDSDSSSSSSSSSSSSSPPHPPPPP PSPPLPNPPTVTESTIRDNNKDENATPVHTSAVPVGITTTAPPPPPSTSYCKVHENTP KVVGADLDELMRADGVHSVSVHGNPDDLDLLECGFRYPSTCARQRVWLREISDWCLDY TEGDPTLWVITSHAWYKIAGPISGVLPHWSYRRHFTKPRLLFEACFHIASVLREWLPK NRGLSYRATLQQVIEQSILGRYPLTTKFLIENYPFLASQMGSVGEEHGGNWLQSAFFK QLQRMHDSYLVRTAKADKARADSEVKRLQREKEVLDRRQKVEHERVKKDQVRKQKESE RLDKKKYPMEDLDVLMELPAHEQAKRPPYPPQSFPNVDIPGPLLGELLVAYQTLLSHK AFLRNYPNEVVDLAQLVRGVVIDRDPPAVEFVANVFVSLLDTIREGATTPTTTTTAVY GIQERLHHDTALTAFTWPEVLRAMLCPEDKYIGPVDPLVGCEAVHEMVSKHPQALAFL EPVDVEGLGLADYLEIVTTPMDLTTVGTRLHSGVYEDESLFAHDMRLIWSNAITYNGD TSEIGKTAAILSELFETEYTRLVVDKNDRIRHRNRTDPVVAAAPTTTAPLHEALRVAD FSQLSLEQRVAALSWAVDTLVTLPSIRAEIDQNVELEFDILREYRKVERDVETVRRHA DKNRREREDEFRQMCINQGIPTNYNNVFSDATKKKHEFIAEFYTNIANERVAEDAAFA LERKNHEQKVADRLAGLSVRFEPLGSDRFHGRYWLLAPGWLVYEWEGSFCVYTDEQHV RALAQWLNPKGVREVHLKGRLEAAMDTIVSHLAKKYHNHQVSSSSLVNVAGLSVDTLD AFNVPVASTSGHDAAVHGLRTLLAYVTDNGCAKHSFGGEVGWRASIAESRDVASVAGL MGQLEQYLVTSLNHDLLQAGWKQKRREWRSSVDAARTSAQVMVLFECLVRNCLVLDAF VDYVVQLDRNEWLKLRPKPARNFCPDVGDQVVYFGDGHAQAVQLDQRKNKPVKCDAPL VGTSLVCRATHISYHHGSGDPYAIVTLEPDGQEMTTYLRRPPGSVLCTSPSSVQKLGR ILSRIVAKLRAEPDADPFLDPVSKADFPTYTDIVLHPMDLGKIAAQIKDGKYSSVDAF VRDVTLMADNCQLFCEGRFPTLPPMAWHLVTMCQSLVKKYAVELKGASTSSIVGVAEQ SQGNKGGDDDVVVPTRLVLVLRLENRLPEFVVDSKRYEGAIRRPWKSGDKVRILYRDA KGNPTEYYGGVAAGSLPFNSNGLLPWEALRITWDQDDGSSEDNRVNPWEAEVTKK H257_09426 MMLQRVWKQAKPARMGLLCGQQDLRKLLHTDDAPQDNEQGHERF DRISNYVRYPNHFPVTMTVKDFNAKYDGLPAKARETDDPVSLAGRITSIRSASKKLVF LDISNDGHDVQVLAEKKYFQGDDVANNDTEFEHVHESLRRGDIIGIHGFPGKSGKGEL SIIPRGISVLSPCVLPIPNSKYGIKEPDIRFRQKYLDLLTNRGVRDIFATRAKVIRHI RRYLEDLDFIEVETPILCTSAGGAAAKPFTTESRALNTKLYLRIAPELYLKQLVIGGM DRVFEIGKVFRNEGVDQSHNPEFTMCEFYQAYADYNDLMATAEDMLSGLVKSLKNSYV VESSEHGAIDFTPPFKRISIVPGIEAAINDKLPSMLDPDLIAKLLDICHRHDVPCPAP HTAPRILDCLVGHFLEPQCTSPTFLIDHPVVLSPLAKAHRHNAELTERFELFVAGKEL CNAYTELNDPDEQRLRFQAQGADRDLGDQEAHVKDEAFCTALEYGLPPTGGFGIGIDR LVMLLAGVPHIREVILFPTMKPDHHHNQS H257_09427 MRTFDVVIFGASGYTGEHIAVEWARVYGSTTRWALAGRSKKKLE ATRAMILDKVRGVHDVPIVLADALDELALTAMCQSTTLVINCTGPFRLFGEPVVRCCV AAGTHYVDISGEPQFIETMMLRYNEDARKNACVVVSACGFDSVPSDLGTVFTAQQFPK GGACSSIEAFISTDGKRAHATTYECIVLGLAAADELKTLRGNVAPIPYVGPKLTLRNV GYDRRVQKYVVKFPGADASIVRNSQRYLVSTKDGAIPSRFAIYATFESVWTLVYLVVG GLFLISLGSFKAGRALLIQYPQVFTFGFFNHAGPTEEELATTSFTFQFYAKGYTNIQS AGTASPDWQVVTTVHGPEPGYIATSRMVTRCAKVLLDGHVVPRGVLTTASAFGDTPLI SELQQAGITFTVDSCGPVQPESF H257_09428 MATNSMGSVCNTPRDHTRATRFSSHSLIAVESSAVYPVDAVETS ASENAVKSVMYGLINAILVIPVFMSFTAIIFSDPFFQPYMPALVKLVGFSCAVHQTIF TLKSSLPFAIGQVQDAGLIFLSAMSSSIVTILTADKDLPPNAVIATTLVTLSSATMLM GLALILTGHFKLASLVQYLPMPVIGGYLSYIGFFCLEAGMGLMAGVEIKNITDWWKLG NVDALVHIFPGVLCGLVLFILSSKVQHFLVLPVCLTVLLLSFYAFLLVSGFSFDDVRR TGWVSFAPAAVSSPLQVFDLFDFSLVQWHVIPNQIFTWLGMYFVVAFSSSLDVAAIEM NMGSELDYNHELKTVGWSNAVSGMLGGFTGSYIFSQTIFTLKSNTNSRIPGIVVLVSE LVIFVSPVALTAYIPKFFFGALLSLIAIDLMMEWLLHAYSKLRFREYLIVVATFVLIN LLGLESGMLGGLVCAMTNFVFTYSEGVSVAKMFSRSRVQRNYQDRQYLTKHQSQIVAL ELHGYFFFGSSIRLVEHIKHNIYVDASKSHVKTSLKESTPLLKATARVESSFVDEDPN RYICTLKELDELHASTPSSTCRKSILEPGTRPTQYLLLDFEHVTGIDATASRSCFQAM KTILARHHISLIFSRMASNLERQLEKNEVLGGGDADGVTIFKSVDAGLEFCENMLLNE RPPPTPSTALQAKDLFPKAKKQPDSFATVSTLTSVLTSALESWSSAAESSIFLPSQNI ERYFTKQTLATGERVFSQNDATSSLFVVESGELEIYKETATSSSPNRIIKVSEGSLVG EVDFYLEQDRSFTCQATAPSVVFELTRASLDQMVSGDPQLCTAIQTVFLKCMSLGVHN HLLVNHTPLEK H257_09428 MGLMAGVEIKNITDWWKLGNVDALVHIFPGVLCGLVLFILSSKV QHFLVLPVCLTVLLLSFYAFLLVSGFSFDDVRRTGWVSFAPAAVSSPLQVFDLFDFSL VQWHVIPNQIFTWLGMYFVVAFSSSLDVAAIEMNMGSELDYNHELKTVGWSNAVSGML GGFTGSYIFSQTIFTLKSNTNSRIPGIVVLVSELVIFVSPVALTAYIPKFFFGALLSL IAIDLMMEWLLHAYSKLRFREYLIVVATFVLINLLGLESGMLGGLVCAMTNFVFTYSE GVSVAKMFSRSRVQRNYQDRQYLTKHQSQIVALELHGYFFFGSSIRLVEHIKHNIYVD ASKSHVKTSLKESTPLLKATARVESSFVDEDPNRYICTLKELDELHASTPSSTCRKSI LEPGTRPTQYLLLDFEHVTGIDATASRSCFQAMKTILARHHISLIFSRMASNLERQLE KNEVLGGGDADGVTIFKSVDAGLEFCENMLLNERPPPTPSTALQAKDLFPKAKKQPDS FATVSTLTSVLTSALESWSSAAESSIFLPSQNIERYFTKQTLATGERVFSQNDATSSL FVVESGELEIYKETATSSSPNRIIKVSEGSLVGEVDFYLEQDRSFTCQATAPSVVFEL TRASLDQMVSGDPQLCTAIQTVFLKCMSLGVHNHLLVNHTPLEK H257_09429 MAASNPRTHKAGTFAYEVYEIDYSMHREHRDKLLDRMTALPSLA PSSFIVLHGGSEVSEYDTDTTVPFQQESMFQYLFGVREPDCAGVLDLTTRKSFLFVPR LSAEWSLWCGDRKPLEWFREHYNVDEAFYVDELAAVLEARGAKTLYVLHGVNLDSGLT TQTTSTFDGIDKFSVDKSALHNELVECRVIKSEKELDLLRWVNRLSSAAHVQVMKTIV PGMVEFHAESTFLHSCYSKGGARFHAYTCICGSGHNASALHYGHAGAPNDKVLADGDI FLNDMGASYHGYASDITISFPVNGVFSDDQKFIYNGVLKSHDAVLEAIKPGVATTDLH LLSHRVLTEHLLAGGLFQNGTVNELLNAEISAFFYPHGLGHFMGLDVHDVGGYLPGVK RTDTRTLRKLRCGRVLEKNMVITVEPGCYFIEAQLEELLTNPDTAKYVNLDVLRRFRG FGGVRIESDVIVTATGVENMSHLVPRTVEDIERVMASRE H257_09430 MAKVTPADGLDAVVDTRSSMVQKAKLRARLERLGAGKSYFGVTL GDIGDLGVGIRLYFMLTKYTSMLFVVMSVCAAPTAVLHYYGHGVTAATKDPLGLNFVS LANEGINAEYIPTNCTYMGGTMDCSLRTLDTPFTTSPKIVAYVATICDCVYSLVFVVF IVAFSYRCHAIVTAHTRDNITPAKYAVYVRGFPRDATEQEIMTHFSTLYDLSQPAHRF PMWLGCFGRRRRQVKQQLRVARPGSTTNCSPGHDENGAKLPQPVENVSHVDGNELYVG SWVAQVSIARPIGRFLRLFLALETLTQRIAMLEATMVEYRKQERRFRKRLRRAETEFA TLETQLEAKTNKMTALRTQGNQRLSECECAFIVFNHVESQRRCLADYRTSTTWWKRYF QPKDLRFRRIHPLKVTAAPEPSNIIWENLETPPVERFARRSFTNFVTFLLLVVSCAII SLAQGAQQAFNKPGPSSICSKILEVYQGPDFNTSTDNSATSPSNSTDDWTLQWNASSR CPDDASFDITFLNHSATPFPPSVLPFNDIGGGSFNLTRCTSTCFTPGLTTCGTLPCFT TDVNYTSSKAVGDDSCEAYDASSILLCYCKPKLEAYVKADGLYNGPWKLWDVELPCRA YITAFLTKNGLLIAAAITVILVNTVLRGVFYAFGTFERHSSESNKAAAVVLKLFFAQW INTAVIVLLVNANLGNVPVIQFLLAGKLTDMERGWYTSVGAGITLTMLVNVVTPHLGP LLAAYVIFPVKRTFKQFTTVTTPQMTALFANPDFDISVRYPVVLNTIFVTFMYAGGMP ALLPLGAVSCYLNYAIDKLMLMKLYRVRTAYDQALGTLALQLFPWMLLVHLGFSAWAY GVSSLLQSDLLSVSALAKQATSALASVQSSLSSQDSGSSAVNSTTTGDSLEGGDDPTE LLQSFLQDQLTEVADPTLADLLSGVLKAYAKIVRLNTFPLFFFFVLVLLYLLLHRVLT PLFHVTVGIVFKCVGMLVRTLGCRSGRRVATTRGLRVGSGGGMMFPGFTALFERPLTT DEMGDDTKTPVTKKPVDVARGFEIDADRRVLICRGVDGHHLRSWEATAAQVKTYAIEK NPKYTTAVAKLLEANLRLHTADAAAAAQTPLTEDDMRPPSSVAH H257_09431 MTSNQRLEDHSTATRSSTIVTSSTKTQHFRGMCLYPSSNCTAER AVKKNGTPHTLCAFHRAKHNHQQRKFDAKKRRTDCRFAPYDMQAMRAAATASEPPPSG PPPPPQNNVKTDLGGGRTLKTELQLVFRNRLQANRQQKTLPQTHQTQKAPPLDKDFST FDNQGGQDDVEAKGPSRPPPLPPLATLLRRFHSSAHKSNN H257_09432 MSDDDILERLLFGGGDDFMTSLTDSFPFGASQATTAPSTDATTV PDGSSTVSDESDAPKRKRQRTSLKHELEYLREKQDVLQAQLNELRATTIVRPPSSIWE TRAKVQMAAATRAMHENASLRTILQEQLKTAQTLERVLKKSPKLELSPQFNRDEWRSW RLGADPATRVHSMLAITDHLYERLECELIKNNVYDLQDGQSSMNVRTHQNVLWFDFMQ SSLMHADLDAMRRATWALIRQQIQVPGGPVLTTTGSILEDLPDHNIVYTHNESTVKLQ GGTLCKSEGRWIARMYSEPNRAVVVYRSILDDALEPHTPGRLRDNLMGWVVLQRCEID PSKTRHMVVHQCTPAVMSEGGESSPPTGEMTEFLLNMLRSHMDRIKQAVIQHDPTSSS IQANHDVKLEQN H257_09432 MSDDDILERLLFGGGDDFMTSLTDSFPFGASQATTAPSTDATTV PDGSSTVSDESDAPKRKRQRTSLKHELEYLREKQDVLQAQLNELRATTIVRPPSSIWE TRAKVQMAAATRAMHENASLRTILQEQLKTAQTLERVLKKSPKLELSPQFNRDEWRSW RLGADPATRVHSMLAITDHLYERLECELIKNNVYDLQDGQSSMNVRTHQNVLWFDFMQ SSLMHADLDAMRRATWALIRQQIQVPGGPVLTTTGSVRTSMCSHVFDVFRGWLDKMTR RLAAFKSTCIPIFGHLC H257_09433 MDVVASSNIPTTAEEWNAKYNAATTCVHFDWYLGYDELQMLLQD VLDRYDSSCEIFIPGCGLSELAFQLYDVGYENITNADISTVAVHRMSTVQHQRQATHM QCVALDSTQMPDVPNHCFDVILDKGLLDTLLCSPNNVEDVLALLHELHRVLKVNGTLV VVSHGPPDTRLMYLTRETFEWTVDTITPIERICSTIELPKAGTRRYYMYTCHRRPL H257_09434 MSDEQGSAKRKPAHRFTIPQDVDLLKEVLTICPHDAPYGQTSGR WAEVGDRMRTIHGDSLSATGCRKRCDDLLAAFHKDSLASLRASGTDEQHHEREQLLQD LKALIDAISDKKRASKEDKGSREDKREARDPAVRAVVDLKRKAVEEDGESSDSDGATT PKKYSRRRSAILKESTHDVATFISMLESTNKVRMEELALQKEVNAITARKLELDEKRY LLEKAEREARLALEQQERQMQIEFMRSTLDMMRAITKKV H257_09435 MLGWRRSSCFIAIAIATCHFKARDVAPVMDSMTTPVLLRWWQLG LHMALMVLGVYRLWLNWHRDYAAMALYFCLVVGSICELSSTLLVPPSTPLASAATMAG DVFFVTAYLLIMYNWSVIAKRMDTLRTTTVTAPVAVVAFMAVSGLAYVFDTVVFGVTL ANRPPSRSNYYATNNTSSSSIVCWLQTGTHAGVATVSLLAIGLFPYYGHRMRGVLRRV GEDSPKRLRNIAAIATLASLYFVCHGIGQIQSTLLVAMTCQTGASVEPDSPWLSWVFE LVKPVCVLLLVTFLPRKPAPSKAGYVRLGSTNA H257_09436 MLFPRLTALSCLVNATFSTQPPRHLCDEPRCFTAERVEAVMWPF VLMTRRPAFPDRQVPPADPSPDLPAADAA H257_09437 MTSTNSSTSTTSTDGASSSMTTWYTLACIGLCSLVVLLYGVLRY INRTQAREAKQDKEDQALYDVEATSKAQMTTTQPLPDVPPPPPRPPRRSQHHKPNVDG HEYATRSMFHTVGRISLMDHDVAPHQQPPLPGMLKSEVTHRDSASIFIMEDSGDIWDV DGDDDVDPRAYNGRGTADTSKSTCTF H257_09438 MLLELAVGVAGLFTASAVTLRVLYGPGEEEAKERMDVPPLSLEV ALLKMFNRDTEYVFRNNGYRLYTQWWTPLEPSPKGVVLLVHGMNGHSGRSTPFFNLLL RDGWVAAAYDTHGFGRSSGRHGHVTSIAELADDALFMVRHFKQRFPNVPLFLIGGSMG GLTAVHTALKLQAQSDAGLLAGVIFHAPALHVAADVRPPPHVEFVGRLLVQLAPKLPL LPSVDATPPPANTLPLSMSEEEADPLFYDGRLQLGTGLAVLAGIEQVSSQLHKLTLPM LVQHGEADAVVPYDVSCHFVHVASSKDKTLHLYPGGGHLLMAEGEAVSAPFMRDMLAW LNARV H257_09439 MMDDDDGATYVVQTYLTLLSSEEHVRFSNAAHHIQLWFAVFNPR FNNDDRSILYRAIYESGSGPEKKLAKALQQWYRVCAVGRRTRRRLLTQSLELPHAGMA TRASSPPTQLPGGTREASYRKVSRHARYSVSDLRTDYDDDDDALAIQETLTQVIHEWR TAEEDEFVGIRDNLLDKEEADMIKEIRYLASLRLRFQLPETDDISPSQSASPSASSLR HGDTLLHYLVQTIPNITPAVLQEFFREGLHIHEKGANDRSLLHAAAHGGHISTVQLLA KEGMDVLATDGYGCNALHDAARAGALPVVQHLVDDMHVNVDVPNKNGRTPLHYAAEFN RQDVVEYFVTHNRINLNAINGNMRTPLHMAAARGHIDVVHLLLNAGAAILPNMAGDTI FHDAAKFNHVDLLESFTKQGGYARFAVAKNNQGRTPLHVAAANSAVEAYVLLNGNPWS GRHAGLMKDDFGLYPLNLLLESDAIPLENGLNLAKYTPRNNHTDLAHEREPIWNDDMF ASLLRESPEYAWAFLDGFKVAVSWSCGRTEWSFPKLGDMYGDVYAVERSALASIVEAY NRGDKEKRSYCITCLSHAVMTRIMHLKWKSFGRTMYFVELFGSIFLLFVTTVCVSLSN VQVEFTKPRYCLLVLMWTWLFVFTSGAIYVSLRYSHMKRNLQNLSFLNPATKPYKQPP QGATSSRKSLRARVVSFLLPEKFLRRSSSLAYSFVRSNSTFRQVTPRTNANTLANGGL TKPSTKRLVLKDSVVAFFLGTVLATVGLIVGSVVALFVFASIGDSTASAATKFFHFNL VIRWILAVEFLVVEGLVCHGSPKRYLRVKWNSVKAAVLMCIVLFETPLDLGWVALDKV VEAQAILYAVTSLLLWVNFLQVLRVNESTGPMITMVLNMVPDVANFLMMYGVFQMGLT CAYFALLKGGKGFESFGDTFLTTYLIIFGQLNLDVVLGNEYPRQLFISVFIMLHYLVV AIVLLNAFVAVLSMTAENVLERVNDQVVFNHAESILRAEIMMPHWLRTRVRRQAKGKK TKVKGLLAFAKTVHIKDDENEAEDTTDDTVRKIERALEKCLDDVRDLTNHVRNMQKHM DSRMTENADKMQGALDAIVLMLRTTHEQKNPTTPKLGDGGGGGGVPHHHHRSMALAPT STY H257_09440 MPTPQRVQRRYTIEARVKLLLAYENSDLSANAICVREGIPRSTW QTWLKKKDEYLNTRRHKEDPTLGGQGRPESMQFGAELLAFMKAVRKDNRRLTTAHMVR WIQKHQHEWLESYYLATKGGHVALLGQCQRFAHRHGFAQQCVPCSEVEAPVNSCGVVA NTIGRSAINDGSLS H257_09441 MRMIMVPVRWWLRLDRLENGHDVHHVVFAPRFGIVVGRMRDVGR QCRTTRGQPRTRGICKSKSGLRVQVVWGGGDGLDVGHDMGGGAFLVVEARNCFGQTFG ALETNRHARGRPSLMWQHLDGQRGVRRTGGANSMAAESAMVAPREHHAKRFKAQITVR VVCPQRFGHNRHPVHASAKPSSG H257_09442 MANNNDNAVPATTESLERDILAQECVEMLVEHGYHRASLVEIPI YERVVGGLVFCLQSEELTSVDCDILFRPVATVKERVRVAEAICHSFNTALMSLATTAT STKALTLSVHELQGANYAKLKLVVAWLVQHTQIKLNTRVQQAIQTQWNDLRTTSLGTQ PPRPPHAPWYRVERQSKFRPHGETNTEQERIQRCLLEYGERLASPAAATMATADHDTA ATVAPSANPLLSAIAKQAMAIQRQRDAQGDAPVECTSNDDFDRLYKTAEKEAGVAEQR ALLEQQRHQDELLRHAAATHDDKYTYATANAEALHAASVVYETTSDSIRQRLRQQARG LDVDASPADAFGRLAATRKWTRDRQKLLQKGQAQEAQLHNANLELHTLQVETARLEAL ESTSVKSFHALERKQEQLEMREAAVTNAHDLLQLRTLIALHTNLKLQESQFKASCTEQ LASLQSRQQALVGSSSSKRGDDVADNVVQIEQRHQRVVDKRMELKRAVAKEAQGILAA MRRIDSIPSRSELIQYEKRFAELYDEVALTLDETRKYYSVYNSQETTLEFLEKEVALI DSINANFEVALGSADTTALFFGQMNTIIANVQDNVTKQHANVAARQLAVDTLDSKYQL LVEKQQAYLAAIRDFQKECEKNAKLTSHLDTLRLAN H257_09442 MANNNDNAVPATTESLERDILAQECVEMLVEHGYHRASLVEIPI YERVVGGLVFCLQSEELTSVDCDILFRPVATVKERVRVAEAICHSFNTALMSLATTAT STKALTLSVHELQGANYAKLKLVVAWLVQHTQIKLNTRVQQAIQTQWNDLRTTSLGTQ PPRPPHAPWYRVERQSKFRPHGETNTEQERIQRCLLEYGERLASPAAATMATADHDTA ATVAPSANPLLSAIAKQAMAIQRQRDAQGDAPVECTSNDDFDRLYKTAEKEAGVAEQR ALLEQQRHQDELLRHAAATHDDKYTYATANAEALHAASVVYETTSDSIRQRLRQQARG LDVDASPADAFGRLAATRKWTRDRQKLLQKGQAQEAQLHNANLELHTLQVETARLEAL ESTSVKSFHALERKQEQLEMREAAVTNAHDLLQLRTLIALHTNLKLQESQFKASCTEQ LASLQSRQQALVGSSSSKRGDDVADNVVQIEQRHQRVVDKRMELKRAVAKEAQGILAA MRRIDSIPSRSELIQYEKRFAELYDEVHTKPIQPYKIYFLLLDTNIYIYICTTDNAKW IYLDQNRWR H257_09443 MTSNRESVLTTPQLDEDVDHTPHLMEERTSRAMKTAKRTFGLIL ALAVVGTVASVVAIAVQQQQQPSHNSKLTQASTGSGNSINDLLEAQIEAQIEAKTFHD TAPHMVQKHHSWTEFLVVDEEDNASYDNRLRGSNSNINGDDNELDYDGEDPFDCDDDD DVVDDDEDPFDCDDDDDNAVDDDEDPFDYPFDCDDDEMDDDPVIAKEVVAALNSHRMS SRVDPL H257_09444 MATPTTSSPQPNAAFTDDAATIITIEMVTALMNAKAQPPGALGH VQQVAIQLCVLQQSLTPTVHAPVCLVFAGDHGITKRGPADGPSAFPREVTELMYKTVC QGRAAVTVMATALNIPVACIDVGIDSDRASSTRVARGTLDMTAGPAMSLELCQAALQV GRRTASRYINDLGHNVICVGELGIGNTTSASALVAAVTNVAVEDVCGRGTGLDDDGVL RKVRLVQLALATNAQTIQDDGVLGALAAVGGLEIAAMCGAMLEAGRRRVPVIVDGFIS GAAALVALRLEPDAMAHALFLSHQSEEKGASILLHALGGLGAPLHMNMRLGEGTGAVL CVPILQCAAKLVQDMASLQGVIEGTVGVNPASDVATS H257_09445 MTHGPSVLLLSLLALTGATQIAIINHHDDLPVPVSPFEQHIPLD GGSDRPPPPRVPITSTVFVGLSSFRDGSRCGYTIFTGLQRATYPSRVHFGVVDQLDDG DESCLDAYCKLARDAWPDHRDCRYKKQITVDTRQASTSRGPSVARHYQQALVGDQDFC LQLDAHSIFTRDWDSRLVQEWGRANNEMAVLTTYLHAYSPSYVDEMGTNVDHHPGQYP HLCTTVRGGNKCVRNEGASMLLGAEQPQLSALWGAGLSFSKCHAETRVRIDPHTLWMF DGEEFLRASHLWTYGYDMYSPSISVIYHNYSSVPERFNEIPVNFVIRAHETMLGVNRY RHTVGLSVFGPVDEEDLNLYQYGPVRSFQQYLAFAGIDLSTDTPDRGSCKQLRWQPYL DATLIEELLPGWTMRQGPMPSTSNEAALDSAAGNSALHFNDDDASPNGNGLLLPDDVM AVGVRQGKVLSGSLLRVQHSNTKGGVPYQVHVMIAMAAILVGLAAFVVKVNRRAMRRQ LKKFVLK H257_09446 MNLRGVAWTMALVAAVATAETTPRPQSIAFSWPENDNKKNYDET MDPLTQNIPLDAASSSLRPPPPRVPDVFDIFVGLSTFRDGYRCGYTLFTGFTRATHPD RVYFGVVDQVNPEDVRCLDAYCAFAKEQWPEDECKYKSHIKIDERLADDSRGPTYARH HQQKLVGDQEFCLQLDAHSIFTKKWDEGLVGEWKATNNEMAVLSTYLHHCHDGYILPD GTNNPPDQLPHLCTTIRGGNGCVRTVGASMIQRPKYPQLSALWGAGLSFSKCHAETRV RVDPHTLWMFDGEEFLRASHLWTYGYDMYSPSTAGTVIYHNYTSVPARFENVKVDVEL KKRQEEMGVNRFKLMVGQPFKGLVDTEEWDTYKFGSVRSFSEYLRFSGITFADGKTDE HSCKQLHWVPYEKPAEIESMLPGWHLHRSGHENSPENGQVAEATTAVTAPNVRQEKPV NFGIEATIEHPERVTYSGSLAFMGFGIVLFGVVVYTNDGMWSRTKRYFRLQHKARN H257_09447 MIDSSRTVTKTLNDMAGFEASELRQAAASRFGGIGILEDIGLQL CALQETPEVRVDNPVVVVFSDDQDNATNAVRVVAAASQVSYHAVQVVKTDALDMEQCL HAMHQGRDAIKKTLLDNHHAMVIVGLPASPDFAATAASLLSTKSGQDPMTLLVATHSP VVAAICGAVVEAAAHKIPVVLDGLAAIVAGVMAAQLAPHATRHVLFAANSQPPHESQL QDTVGFSSQLRRPSITHIAVPHLPCGVGGLHVLALLRTASLLMSSLSLPKHPPTKPID PPPATAPSTPSGPSSVVDGLLPPPPELRIFLTSVMFLTRLPAYMIIPDLDHNVRELVP SFCYFPCIGWAVGAFAAVWLVGGLVLFGSTPVAVILSTFASVWLTGCFHEDGVADTFD SFGGGWSKDDILRIMKDSRLGTYGCMGLLLMTALKLAVLAHLVDTTTTTTTVVDPPLF SIVSGTLVAGHVLGRYSSLFILQFYPYIEDERDPKGPLYNGIGNNLHLLTSWRVCTAT VFTALSMVSLLGTSLGLCAFSVGLLVSFLAGQYFSGVLGGVIGDCLGCANQVVEVAVY LTVVAVLHISTSNWGLNGL H257_09448 MRRSSMASASSEPSPEPRPSRPSDDQKRMLEALSNQVELLQQRD LHQHSELDRLRRLVGGESTVAPSSPYQSPPPKTSMRADQHIDEIEALRREKRDLQLHN CELEVQIQDAAHSIEGFSAAIEKLEDGYKTKEETWKRQIADLQQECDGLARTNRQLQD KVAQGDHVKDKLSKKEQALADLRAQFDAFKVHVTESTQDRKVLETTQESLRATQGALD KAEAMNASLTSKLDALKAQHRETSRALDAKTRQLTQLDATSRPQGQSVLVRTLRDEVR LLKGTLEAQFRDERSALQQRIQSLEHQVSSLQASCRQKDDSIMALHSEAMERDGELGA MADAKRLGDAKAERLERELAALHVDMEQLVECRGFLGDNIETGFKELLLQDGNTDALE RELADVKAQMHQVQHECDSLRADVASLRDMNEQLRHKRAECQAQVAASETEAMTWRAD AQRLPALLAEKTDWERAREQLEATIRGLQDQLQAMCDKVAATADYDTLVAKLQQAQER LDTWQHASDDRKAREKQMKQLMQRLESIGNRNGELDAALQRALAQSKQDHVDMVALRA RLKASKDKVARFDEMQQTVCAAQDKLRDAVTIKHMLKQQVDTLKRQVDELHHDHARQN QDGKQWQRQVEHMQSIMEGHTKELVGEIESLQRQVAKATKKTDAAVDARRNLELKVHE QNATIAKLHQAVRSATTLSPKTSGTTQGGLSPSRRRREAAGRTEEAEDDNQRTCRGAM FEPDGEMADKTLDRRLAPNPADEMESLLRKLERISQQYK H257_09449 MPKVTMAKPKTIKAAPVSDVEASSVVTALVVSKEEAEAARLALQ QLQLAEIDRQRMLYQVQDERQRMVAAEVESHYLLLGHALTSRANSMGAADAFRHRVVS ALWLGVVCDCPYNKDSLQWGFHGATVLSVVMSVLVGETKSPDLNGIHAGFGALFSQWH RHGVLAASGQPPVLSTYEAVEIAHLLETPATTETSVPHDTLLSRALALVLLHAVAPRR AMDLAMAVAKNSPPETRDVVRYLSMLVLGSVQNTTKEALLRPYFIAHGFPSDFWTTDP PCAPVRRVISMLPTVQVPAAYFPNAHVVTSFHVILSVFGTTSSISDGLRNLDEYQHPH SAVATSLGILWGATYGNAKDTSHDPHTYINTDKPIILEDLLHVIIQHGYVYALRVKNT TASRQTLTPALEIAWSLYAAASKAFHPIATRAHDTIRSMDPCSIEDVEAIRRQSPGNM DMELRPYNAPPDMAAEVADFWVIYASRVATLVPPPPQPVIIPPPLTLEQPSTSGNLLA ETKVDTSMAKAGHGVAKSPSDKAVANAQTSPGRGSPPRPPSPQTTKKASTKRSKKALV LTPDEIARQELRLTFTDRYAHVREAIQVHFATLLSTLTGQVNAAFRRHDAVDTWASRS AMATALLAHQDQLQQLHEKLQGEEGSHHLSKATMADMCNLSSLLTLKTNICRPDTIHN TYF H257_09449 MPKVTMAKPKTIKAAPVSDVEASSVVTALVVSKEEAEAARLALQ QLQLAEIDRQRMLYQVQDERQRMVAAEVESHYLLLGHALTSRANSMGAADAFRHRVVS ALWLGVVCDCPYNKDSLQWGFHGATVLSVVMSVLVGETKSPDLNGIHAGFGALFSQWH RHGVLAASGQPPVLSTYEAVEIAHLLETPATTETSVPHDTLLSRALALVLLHAVAPRR AMDLAMAVAKNSPPETRDVVRYLSMLVLGSVQNTTKEALLRPYFIAHGFPSDFWTTDP PCAPVRRVISMLPTVQVPAAYFPNAHVVTSFHVILSVFGTTSSISDGLRNLDEYQHPH SAVATSLGILWGATYGNAKDTSHDPHTYINTDKPIILEDLLHVIIQHGYVYALRVKNT TASRQTLTPALEIAWSLYAAASKAFHPIATRAHDTIRSMDPCSIEDVEAIRRQSPGNM DMELRPYNAPPDMAAEVADFWVIYASRVATLVPPPPQPVIIPPPLTLEQPSTSGNLLA ETKVDTSMAKAGHGVAKSPSDKAVANAQTSPGRGIGSPPRPPSPQTTKKASTKRSKKA LVLTPDEIARQELRLTFTDRYAHVREAIQVHFATLLSTLTGQVNAAFRRHDAVDTWAS RSAMATALLAHQDQLQQLHEKLQGEEGSHHLSKATMADMCNLSSLLTLKTNICRPDTI HNTYF H257_09449 MWFAGVLAASGQPPVLSTYEAVEIAHLLETPATTETSVPHDTLL SRALALVLLHAVAPRRAMDLAMAVAKNSPPETRDVVRYLSMLVLGSVQNTTKEALLRP YFIAHGFPSDFWTTDPPCAPVRRVISMLPTVQVPAAYFPNAHVVTSFHVILSVFGTTS SISDGLRNLDEYQHPHSAVATSLGILWGATYGNAKDTSHDPHTYINTDKPIILEDLLH VIIQHGYVYALRVKNTTASRQTLTPALEIAWSLYAAASKAFHPIATRAHDTIRSMDPC SIEDVEAIRRQSPGNMDMELRPYNAPPDMAAEVADFWVIYASRVATLVPPPPQPVIIP PPLTLEQPSTSGNLLAETKVDTSMAKAGHGVAKSPSDKAVANAQTSPGRGSPPRPPSP QTTKKASTKRSKKALVLTPDEIARQELRLTFTDRYAHVREAIQVHFATLLSTLTGQVN AAFRRHDAVDTWASRSAMATALLAHQDQLQQLHEKLQGEEGSHHLSKATMADMCNLSS LLTLKTNICRPDTIHNTYF H257_09450 MQAFAAPTTPSGPVVSKWTFSPLKKKIASGVAAVAVLGTTAAVI GSQSNGPLDSHVASTGAGACSGVAYDSYQAGSADQHFAVIKSKFSNVRTYQTLVEGTS RGSVNLIDAGAKAGLGMACGLWNRMGDEFFKRDLEALVDGIKRNPGTCQQVYVGNEDL VSGFSKATLISRIWATKSALKAAGLNVPVGTVETDATMKSSSNEDLAAACDVIGINVY PYSTDANIDQLNTRFNEVASKYPGRVHLTETGWPSEGNYKATFDKAKKYFLDYQEWKK SNGGECPYYFQFHDVSVKHGDECHFGLSADGATWKFDVAPAPATPAPTTAAPSTPAPT TAAPTTEAPTTAPPTTAPPTTAPPTTPVVTTTPPPPEPTTTIDVYNSTNVTTVEHLLN TTINSTASVIYSVDAEATGELPTDESESLSSLPSSVNGAVASPAAGAKAPASGNADKD TLVSTSSGDTSDNTGSIVGGILGGAVGVAAVAAVIMFAIRNRRNMSEEKERDFDQGDF FVDSNRGSQLTNWQREGSVAVL H257_09451 MMDVEFVGGGSHQTDKIFGDQVQALRPKTLKPATEGALVRLMQE RSAEMVRMHTSEKKACRLSFVKEKRGVSVFEGTNPRNRFMVKGETTVRASIEDILCSF LMGSTSEFDATLYKLFDLYANKGATLAFVTNHPISVHWMALNTDKLETRDVVFASTAQ LYEQTTGGSLVGMDDRSDGIVTAGSLVWESVDLAREIPLLRQKLTGYARYYLRTCGFY VEQTNDADITRVSFVLSAKNEAGYVRDSKWMQSLVTMCVANLARTLRKLELVPKHSWK ESEHCVLCRKTFRAFRRRHHCRLCGNSVCSDCSKSIAVDGRPVVDQGQLVHVSRVRSC TTCFETSSVRGASSSSLRSSSEYSASNRSNATMSFNEPNGRSSMRSNASTTKSIDHSQ GSDVGSNLVRGTHSSFASSFSVEQQHSLDELMAATAALQVATTVSQPPPPAPPSRHPV TANAAANPPLARQDLKNKSAFFALRSDEGHFHYQEQAQGSPSRDSYNTLDDRSSASSS VVYDMDGTNGFDITGLCSRDRLQPDPEPAAFSQFKVLDDAIPPPAWRPLAVCNTSTAS SSSGLGGGKPVNMRGDMILLE H257_09452 MAFSAQMSREHQLWSLNNLSDQVKARDTDGQKQFARTLGSMSHR PLHVSDDLLHLAERKGYLLVQANTTRLDTALVYQRDAYGIKLYEKQVGAINHGGVLVV QGVSTGVAGSVASILETFTMNTSKSFTTTMQTLLPSIVCQGATVASDKDKVHVHWMSL VGLHDDHRLSDMLFLSSSQLYEPSVAQYDDDIGDDMVTTTTAANASCGTHIWESVDAT TTSSSSLQSLALFGPHDTKCMRLNVRNCGFFIETNHDTDDMCRVTFTFSCHLTGGTTS RSSNPCETTIAKARQWMQGMVVTGLQQLAATCRASHLQLVPRHQWALANHCTLCAKSF RFNVLRRRHHCRLCGQSICSTCSTFVTLDTLDASCKVAPVDSVRCCLLCAFSDQSSKS HNCRGWPNHDPEKSKPIAGATRTMPGPSTRTLSKPTTTTHTRSLSSSTFQQQQPRRVP SAASLAPTTYSTTAGSSLRDSLARLSMTPPDNMVPYSPTRQGSWRGHRRLLLSGSGSH HHQQQQQLSPDDLDVSFSLSYHPRTNTHNDPLINVEEQQGPSPSCDLPSPVIRHSSSM IELTRVSSLNGRRSLHAQMVGSSNQNKALHSHDGRNSMSSSHFDRHARRAAMMERSYS FLETAMLKQPRLCTLDDRIPGDKAVPPTEMLHQFGLSIVSKANEPGNQPPISELPPGS DRLVDDRGGIGGTTYERGCDDSLL H257_09453 MSDDDHEEVPRIDAAALSYEAFCELYMAPNRPVLIRNIGLDWPI YHAWRRSEHNDVNHAYLRATFGHATVPVVGYGRLDAYGEEDRCTMPLAMYLTLLESGE AQAAQKYMKDWHFTRDFPHGPVYTTPEYFQDDWLNWWWDQQADIHDDYRFVYLGPANS TTPLHHDVLLSYSWSINICGKKEWLMFPPTETWKLRDRSGRYTAPSANTVDATAFPNV TSARYIKVVQEVGEALFVPSGWYHQVRNLEGTTLSINHNWFNAYSLERMWAFMQAELH AVEQEIDHCRETFDSDDDWHHHCQVLLRANIGMHFNEFAALLQARKDWYLTTVSPPTS DARPSPTSIVNTTFSLQQIDKVLGAMRAHSSQGGSAPVQT H257_09457 MLDILSSPLKSYLAQTLQYYLSKYLKDIHLEGFGFFGSDLVLND LEIKRHVLQTALDIPSSFDFSRGFIRELRIHIPWTQILSQPIEIKLYTVELILTSKDE QPSTSSSRRRRRQSYGSECSSATLNTQTSKPPMDGNDQQSQIEPPKSTWLQSMLSKIL ANISIQVNNLVLKYEQEDIVLSVTLGLLDVYSANANQKWTRGFDEPKGDDKSISKRMD AKDISIFLDRYTSDRLSVDVDSDIIHRQVIGYEVPVLRRTSFGVRVQYALEPTLFGVV PSTPKATYMPSRFTDPFSGLRLHGRPTFLLMDVYINELNLSLSDRQVQMLVHVATTTP PPTSSSSPSKPPAKPSPLHRAHSTPLPPASLSLDHHNLARPLVSEPTNISPPTTTTTS TTTSSWSTWAMDMLVGAPPDDLEAELLQGIQATNIYQSNPTMPTTSTTPFPQSLEGDE YYTATSRDNQDDMSAALLPSSLSLHLLTVRVSIQHISLTLRCHAHTTAADADDGVDTA ATIISTPDQAAFSFASPYPPDKHHEYAVEYVPVANMGLVQVASYSPLHTKTKVSKAAD PVAVFTVTGTLVAWSTDQTHVKELEVDIENVMGVHHHHHIMQPKPQQPPPLSIPLDAK HRSTSPPLTTEFIRMGTFHSSNQRSIAHANITQSLFAPDLQNQTTWNPQLERFRHLQW DPSTQVHCYQYIPPPPPVSGDALTSPEDSASNTFPMKCTCNVGTEHSVSSIQAYWADS LPTLCQAAAAVASKLLSRHECTAAAISLTDEIARRLHAQYTVPSSHKTSTILLQDLVE TTLENAINLTQGSSTRRKSRTDTAGITSSLPQDDPSMAAMLFNMLVPALVVYSAQLVG HTCRSRATPSAIRIHMVEDISQPPLMDPPMSKAVADVSVGVVEVIVTLPVLIAAVDFV QRIELPKANELHGDGHRLSKTAAAATMPEDPSEAWTHYKQQQQQQFFLHLSRVTLQCT VQDKTPLVQVALDSLWFESTLQSRRPPSSSTDIPTTSIPTCWKGLVSMDTLCGDVNDT TVVRVRQVDATWLWRWQPDDDKAETRQTSPWSRSNCVFEVDASIHAVTGRWSHQVGVD ILTKVLPMVQTVGVLQCRLGLQQWSTDWLAAAKPSYDMFQVAVCGLSGHARIAEANPY HEDAAPTGIETTMDVTVMSATCHRGGRVFAHNMSGQAEQRLASPSPRNSWILVQGSAW VFPSTGTGRRTQQRLLRLFRHVLDMAGLGGHLISTQDIMGARGDDNEEEGLQVDWTGD VHVAGFEVDWRHVVAFLAVVPPSSQAMMTKLPTPPSPSRPSPVLPMPRHNDVAPATTS SRHTWRPSVQGWTCKLNVRCDGSTWKLTRYVSLTTPQVTLNTVNSSSSPSPSRRNQAG AVVGSWAMAMAVSEWGVQCQDRRVLWSDGIAATLDLEHTKHPPVLCLHQDESSEFDSI DVDVAIALDTVACFMSPLDVVLLASTPVPLSSRARVDSSHPPTASESVGSPSSSSTVA WCIKAGVQVQHVDVQLLCPSNRIHFIARNLSTALRTGNYPPLHPVAPSHTTFVDSQLL LLDMSMLEDRTLSHTCWLLHAMPSSSLLGVLLCLEPRDIHSFAVAAGVTDIQAPEMID TDPVLRWQYATHLARQCITAPATMPRPRRRATQPLLCTAQSFAMPRDDEGDSVFLALF VRNSYTGIESSSSPRAAATTLHVTGHMEAMDIVVTLPSVQSIVDVADTISSQCSFRSS PKTATTPSKYQGVPNITFQVETLRVFLPTHTMLCIDHIHVDSGLPPLSMEGLSSPPFT PWTQKRRRPTIAPQPTADLTPHKLHTRLTNVYVATVQCRPDDQGTGPFEVCMARVGRR QALRIASVTYLVHPIFVSVEVAASSPHVSLHVTKVVVDLPRYAQSMALAGLAGAYVAA VRQSSIVRRQNRTRGPRASQYQQIVRPEEEHEEAEDILDSLCLFGWRVAVDGLELHVG TPPDACQRTLRSSIVHVRVGCMSAAHSTTSRQGSVSVKNVVVGFQSGDAIEALIFGPF ADPSEWQVAVERYPDTVLAASWSFESTHGWLWTGLVELQGVQCHVSVPFLHAIRPFIV GATPAPQTHSNESSAAADGVLKPLHTTVSVAGVRPIRQCKLKLLWAPSVVTMSSSHEN DDKASIMVTCGPTFASLHVGYNPTQVEQLVTCQQPRSSLHQYMPLDVVETMGTIQGIR VAVLHTVRFPTATKPFGSPTPSSSSWTVFEAQIQSPDPASVLLQDVQVRMSASVKQVV ESTQGLERRNSRRLYCGGYYMTDVQLETTPMDAAICFQTWMRLHALVSELVSSSLSDE MDETNPCTPYARPDPMHRLHLESLPTLDDFAELVHTSTDSSRVHPLPGELLVCRPTRS PLNQFDTSTIMRFLNPQNQVSSVDDLLKHMNAPWTHDMMNAVDLHSNRLVGSLQWTYH LPRRVTCVVAMPLPLKSKQIVNTLEWPRLDLSDHVTRLCDVWCDLRWWSMADNAFVSV GTFYVPWEPQQHKASADDYDDEDGDGYPSSFASALLSWVEPSTPTDEDIALERCLQST VTPREYIPHRVESAQRWQLLWRLPSSCSSTSSSAAVDLEQLSRTIGQSLMTSLRISSV SGPQDWTGLSLSCVIPHVQMTLRRGQGELHDVARVKVADVVLHMQCASSSSSSSSFRG TGTVSGDLQNMVTLSSVPVLPPVQVAIGGSSSYRPAASPEDKRRHLLCPLGSADRNPP QILFLTRLNVRASPVHLQLTPYAVKCLLEWPTLLGHTPKQDLTDMRIVLANGTGNVVY FRQLLTTEYRQVSAHTSVVYSWQSISAPLQLQFALSQPPHTWSTGCALNQPGVLYREC PRHGSFWVDIVADGIQTKVTLRGSIVLHNYTTRSLRCRWSVSSNSVANTIVNAAMPCT ACSRNDVCSCTAVSIMAQSNAMLSLGLELPSCASSHEDKSSGGGWSTPVNLEPSVERL ESATTTSQSNSTATCISIHTLKSQWHAWVVVTRAVSPVLQRSDVHRVTPRYTWVLDAA SGWALNQYNPLHQHTVVVSTSPSPHSNDSCMQSTHTCTLVHFDMPRFVSDDKDMPMYP SEATQIDVPNLGFATITRHSSGNPVRCIALYPRFRVRNHLPVPIVLRRHPTDDDDNPD MQHIPRYEARDTGWLSFDVGVTPHDTLVWSATPVTWHAPDAPHTALAWTFATSCLDTF PQQQRFQVRATTTCHTNAAVHTQHIITIAPTIVLCNTSDWPLCVSPVSTTVDMDISAA GTIPRIALPPLATWWVWELADEAKCTTLQDPASKHVSSKRRGSTSGLAWIRHRLGSFD SSSVPQLGPSCWVRLSVANAANEFGWSRPIPMHATAAMPSRHRLILPHRQHRHLLATV HKTTKDGLTTCVSISHDPQPPVAFHNHVNHVFGVTTRSTPGGMVMVPAQGIVEYDWDI QQTQYCDDDKGEDEVEEGGGGEDHISTRRPPPPITTTPSVFDATDKDLPPSRRRFRLC LPADNNNETADAAVGWSNELWVVEGIQFAKWTDKAAVVLLVTAYMRAGTWIVRLECVE GPYTNHTPRHSTLLLQCRDQPSKNRHRTDQLHRTRVDITVDEIALSLFDEQAVVQSMY QELFRLSAKQCHVTWASASDAVPEAAKLRHDLGYLEHLHSFCTSVVRLGSLELLHLFD DCNFPVILATCPPSSSPALRMLTDADLLAKDISPSSESLLLRVIVAHPHAHSRAVPYY HAINVAVAPVLIQMEDSLVAKVKLWVVPLQPPSSPTVPDTAAGGYDDGTPEPWHQPVC PKVFIEHLSIQPIEMTITARSIYGLDRTPLTLSAVTLTNVFCDPDQLIKDIAANYVAD ALVHSPMVLMSLNVFGNPAGFVRDVSTGVQDLVRMPLMAVAEHGYTPYSMTRGIVRGT ASFLTHASVAALTSVSGIAMAFSNSMNHLALAHQPRSQIMPNTFASGLSGGLVSLGSA VVGGATGVVTTPLTLLRDNQAMGQDTGLRGGLVGVTKGLVGIVAQPMSGVASLVSMTS QGLLVEMGFGPVQPIPLQVALTRNEALHVRWKILSEWNVAGDIQYAPALYWDDINTVP EQPTKAVLLNPLELSWLLPRDPGRLGLCKVLLVVTPTHVFVVDEVEETIRRHIPLSHV TAMEQNVMEPTKFDLGIGQPALKVQWYRFRLAAMDRRRLGRVVAALKKW H257_09458 MPPRKLVTTATAAQLDEVGDLFDELMDKDSGCVTAKEMAAILPY TAGAATADVQAMIDDMVARKSSLGKKQFVRLVSKRMYGGAWNAYMEALENAPLAHVIV SMKRRKHLVHFANFYLAKGVAGAELVVPADTDIHQNVSKPTLDIRRARQIQQCHRHHA PILPQKRPGNDAIGVEKLRPTKEQLHNQWIWSQRKASLVQACRTFKTGYQHVYAVVFN GSTRTLERHCVFLTKPYLRLFSGRYFCLAIAPDQDHQVIASSTGLLGKASLDRTQSCH GLSPDKLLAAFFLSPGRHAKPSRVATAAVPTTTSASFLVAAGLPPTAADHPLWVEPIH MFRGLRVERVSCNFGNVMYTAGGRLYAWGCAEITSPPCRPLVLDHSNSINCQDIEAAV IRRQHPCIAPTLDEVHRIDASAYSVAPREFHKAMHEYSMWLSRQRIEPHSNNNSSINH EQDPSTLACTRWQHAKVQMGPHAIAPTLTDMHSVICGGEEFYVALSKEGTVFTWGDGT FGRLGRTGQPASSTIPHQVIGFEHPIRVVACGARHVICTDGHGLVYSWGGNLHGQLGT GLTDDVYQPAVIAFLREKVVVDVVAGDDHTMALSNVGDVYTFGNNWCGQLGQGKRDTL WSPVPAPVEFPEALSDPIYMIRSIGTTCAAVSVTGTVFVWGSCAVDLCGLVSRYSPQV VKFEALRCVNSHTKVVDASHIVTSMALSAGCVVLGISTTGMYDQFYADNNTHSPSTNS DETNDAPDNNNGGVAPM H257_09458 MPPRKLVTTATAAQLDEVGDLFDELMDKDSGCVTAKEMAAILPY TAGAATADVQAMIDDMVARKSSLGKKQFVRLVSKRMYGGAWNAYMEALENAPLAHVIV SMKRRKHLVHFANFYLAKGVAGAELVVPADTDIHQNVSKPTLDIRRARQIQQCHRHHA PILPQKRPGNDAIGVEKLRPTKEQLHNQWIWSQRKASLVQACRTFKTGYQHVYAVVFN GSTRTLERHCVFLTKPYLRLFSGRYFCLAIAPDQDHQVIASSTGLLGKASLDRTQSCH GLSPDKLLAAFFLSPGRHAKPSRVATAAVPTTTSASFLVAAGLPPTAADHPLWVEPIH MFRGLRVERVSCNFGNVMYTAGGRLYAWGCAEITSPPCRPLVLDHSNSINCQDIEAAV IRRQHPCIAPTLDEVHRIDASAYSVAPREFHKAMHEYSMWLSRQRIEPHSNNNSSINH EQDPSTLACTRWQHAKVQMGPHAIAPTLTDMHSVICGGEEFYVALSKEGTVFTWGDGT FGRLGRTGQPASSTIPHQVIGFEHPIRVVACGARHVICTDGHGLVYSWGGNLHGQLGT GLTDDVYQPAVIAFLREKVVVDVVAGDDHTMALSNVGDVYTFGNNWCGQLGQGKRDTL WSPVPAPVEFPEALSDPIYMIRSIGTTCAAVSVTGTTYLYE H257_09459 MSLHIPASANLPLAPHTVQSAREILSHQCSIMIALSVLSLLFMY NVWWMSLFTIVVASVGYYAAEMIKESTSASSLMSGDALGTVLYFGISCGLGYNYHSIT FAGRSFQVGSPKLRSKRQLVLAEFFYYASILVAAFQCGGEAMMMVSMSLDMSFSPTNG WEVACMLLGSVFAIALVYTTVLHFAQPTTHQYITRARHEPIEFVVPRQHGLPSLPRRA PATSIPPTIAANLRLATPHSSKQRHEPLTTP H257_09459 MSLHIPASANLPLAPHTVQSAREILSHQCSIMIALSVLSLLFMY NVWWMSLFTIVVASVGYYAAEMIKESTSASSLMSGDALGRSFQVGSPKLRSKRQLVLA EFFYYASILVAAFQCGGEAMMMVSMSLDMSFSPTNGWEVACMLLGSVFAIALVYTTVL HFAQPTTHQYITRARHEPIEFVVPRQHGLPSLPRRAPATSIPPTIAANLRLATPHSSK QRHEPLTTP H257_09459 MSLHIPASANLPLAPHTVQSAREILSHQCSIMIALSVLSLLFMY NVWWMSLFTIVVASVGYYAAEMIKESTSASSLMSGDALGTVLYFGISCGLGYNYHSIT FAGRSFQVGSPKLRSKRQLVLAEFFYYASILVAAFQCGGEAMMMVSMSLDMSFSPTNG WEVACMLLGSVFAIALVYTTYHANMACQAFLEERRLHPSHQPLLPTSDLQPPTPRNNA TNL H257_09459 MSLHIPASANLPLAPHTVQSAREILSHQCSIMIALSVLSLLFMY NVWWMSLFTIVVASVGYYAAEMIKESTSASSLMSGDALGRSFQVGSPKLRSKRQLVLA EFFYYASILVAAFQCGGEAMMMVSMSLDMSFSPTNGWEVACMLLGSVFAIALVYTTYH ANMACQAFLEERRLHPSHQPLLPTSDLQPPTPRNNATNL H257_09460 MAESNLDEQSLWRDRNKILVAGLPMNADDAALAAKFESFGAMFQ AKVVLDNNTRKSRGFGFVTFQTYESALDAVRGLDQSKWDKRVLNVRFLTPKTGSSGAP TKAAAPVLRVIEPRPEGCTTVFVGNMPYEITEDLLKKVMGVCGEIKAIRFAEDITTKE FRGFGYVQFFEGDACEKAVQTLHGAVVMGRPLKVDYGARDAPQVTEAKEALQKKLKKG ICHKFQHGLCDRGDSCKFAHVEPVTSTTIDLGNYPTTSTKALNNVPDDAPVCMAFQNG KCKRGDQCNYRHIPGANGVVAPQVVADERRRHVVADVDEESSDDDDDDTPVCLAFQKG KCKRGDLCKYRHKSASSDTSRASRPAPVVVAAVRQQPLPPPVRQVAVPSHQPTSIPVV RATSSFNTPSSTAVDDSSIPICQSFQKGTCKRGNQCKYRHEAASATTATSQSRHVDEP STPANATDENLVCQRFLLKGKCKRGDMCKYVHKGGETASGRDDEEAVAPPPQRRARDP EVARDDHDEASSVPICQSFQKGTCKRGNKCKFRHVAPKEQCQRYLQGKCTKGSQCAFS HDDAEEPPAKKPKY H257_09461 MSDAEDIFGGLACAAFCGICCAVAAEEDKKERELTAQLDAKGGD PVVRKDGSRQQLPIQVNPVAVTTFVSPPARRPNSLSANTRQAPPSRQQSSGRTLR H257_09462 MLNHVRSNEMEFMAEQELISIMPYFELQENGGRLNGISGDFGPF HPSTPTQVPLWLALSLKQLQKCRILQPSWFTVAHLKDRLDKETSSELFEELPFHYLEI AALLVKHAADDMQQVETIRLVLEDIQQVRQDKIRNGLVRIAADVQTGGTAYAVQLNNV AAMEINSVRQFMTKSLTKFYGLGAARLTADGAEGPQSQFPSQSSSDRGPALPEQSRLR RHR H257_09463 MAEYDPDAAIDSQGQVIGDYVLGKTIGRGTFGKVKIGMHLSTGE KVAVKILEKCRILEIADAERVAREIKILKRNFHTNVIQLYQVIDTSEAIYLVMEYIDG GEMFEYIVKHHRIREKEAVYMFLQIVEALDYLHQNDVTHRDLKPENLLLQGTPASGLL VKVVDFGLSNTHEGNRLLQTACGSPCYAAPEMIEGKLYIGPKADIWSLGVILFAMVCG YLPFEDNNTSVLYKKILSGQYKAPNYISPSVQDLIRKILETNPDKRLSLADIQDHAWC KTLDTPLPLNAFASGDKSLNDAALAKLEDMGLKRELVVDAIQKGVHTAFSAAYYLMLN KVNRPSEPTVRRRRYSAYNQGKKNNVQTALDEAAKAAATTTTTKDIPGKQTPPSTGQR SPTQPKQDPVPSKDSTPPSSSKGGKAVAAVPQTADIRPNAKDSPKPSVTLEPLVKITT TPIVQTATVPPVVAKEAPKPTSSQLEPIKTAIQPTTATMLAPIHAGPTSPVKGNAVQA GGTTARNPPEPSITAPPPHGSPCPLVGGGLDLPTSSGPPLSASSSVSSITSGRSGKHL VEGSGAAPVVGIQPMPPTESKDSRPKGRATIALGSVLAPLAQRSNEPALAIATNAKNM MPFNSNAAPIKPPPESVVAKKRVSRHGSILTGTDEPSNYDLEMMKMLVNRENYGTGSI KEEKRSKDSLRTDTSESTLLPNASSVMNVIKASSSKSGNDDSFKLVAQRRSSHDGLAG AEPANSGVTGGVGKDSTSGQRPAEGTSVTR H257_09463 MAEYDPDAAIDSQGQVIGDYVLGKTIGRGTFGKVKIGMHLSTGE KVAVKILEKCRILEIADAERVAREIKILKRNFHTNVIQLYQVIDTSEAIYLVMEYIDG GEMFEYIVKHHRIREKEAVYMFLQIVEALDYLHQNDVTHRDLKPENLLLQGTPASGLL VKVVDFGLSNTHEGNRLLQTACGSPCYAAPEMIEGKLYIGPKADIWSLGVILFAMVCG YLPFEDNNTSVLYKKILSGQYKAPNYISPSVQDLIRKILETNPDKRLSLADIQDHAWC KTLDTPLPLNAFASGDKSLNDAALAKLEDMGLKRELVVDAIQKGVHTAFSAAYYLMLN KVNRPSEPTVRRRRYSAYNQGKKNNVQTALDEAAKAAATTTTTKDIPGKQTPPSTGQR SPTQPKQDPVPSKDSTPPSSSKGGKAVAAVPQTADIRPNAKDSPKPSVTLEPLVKITT TPIVQTATVPPVVAKEAPKPTSSQLEPIKTAIQPTTATMLAPIHAGPTSPVKGNAVQA GGTTARNPPEPSITAPPPHGSPCPLVGGGLDLPTSSGPPLSASSSVSSITSGRSGKHL VEGSGAAPVVGIQPMPPTESKDSRPKGRATIALGSVLAPLAQRSNEPALAIATNAKNM MPFNSNAAPIKPPPESVVAKKRVSRHGSILTGTDEPSNYDLEMMKMLVNRENYGTGSI KEEKRSKDSLRTDTSESTLLPNASSVMNVIKASSSKSGNDDSFKLVAQRRSSHDGLAG AEPANSVGVTGGVGKDSTSGQRPAEGTSVTR H257_09464 MKSMAFSAGSCRRVVAMAVPTSASRSFSKLVRPVNSAVLQQLEM FPEFKECEDACHKHQYARAVPPLTRMLQVCDSISPALAIEAAWELGKVHARLGHVDEA VRHFKRDSVHGTEQSIRVLLAHGAGAAALALASSLPSPSKEIYTAVAQYVSDGSVTLP ATAADTIIGSSGVADAVYKANYAALAAASDLVIKADTAGLPESSQTKNLDQAVTLWNE LVDAFSTQDEDANTTTPADLSFQATVLANLGEVYLAQGRNEDAMNILGLALKRNEAVP SEPLELARTLGLLAVGYHRLGQAVSSEGLFTSSLDKYEAAAPLNRAHCVAYAKTLVGY GHLLQQWEKREADGAAKLAKGTELLGHDYWLPLVYLGL H257_09464 MKSMAFSAGSCRRVVAMAVPTSASRSFSKLVRPVNSAVLQQLEM FPEFKECEDACHKHQYARAVPPLTRMLQVCDSISPALAIEAAWELGKVHARLGHVDEA VRHFKRDSVHGTEQSIRVLLAHGAGAAALALASSLPSPSKEIYTAVAQYVSDGSVTLP ATAADTIIGSSGVADAVYKANYAALAAASDLVIKADTAGLPESSQTKNLDQAVTLWNE LVDAFSTQDEDANTTTPADLSFQATVLANLGEVYLAQGRNEDAMNILGLALKRNEAVP SEPLELARTLGLLAVSAACHVIRS H257_09465 MWRGATTMLLDGRTTAKTASNVSLHGSHLGQISGFHASAPRQRL PLVIAAVGVGLAITTRYVIRAQERVKQKREAAAAPRDQPLSVMVGLDLGSINARACGI DLETKPYKTVFESDRMAAQVRSGELVVGNLAAKNMVPNLRAHLLTDASSSAGILDIGA DDSVTVDTVLESLLESLHGRVRSSLEKHHNDMDDLLPCVCAVPSHYDGPPLDRLRLIL QHAGYRVMDFVPESVAAALSLPKEDAGTPPVKHLAVFDMGGIETTCSILHCDADMTSP TILSTSTTTVCSGVAVSANIVEHLAGAFQKKHGIDLRVDSLAMERLTQAADAAKHELS SAAFSQVHLPFITADASGAKHLEHTITSSALHRLMETPLEHAATLCREALRRAKLDAV DAVVVVGGGGKSPLVQASVGHALDNPRLLVIENAEEAVALGAATRGVALAEFTTLR H257_09465 MWRGATTMLLDGRTTAKTASNVSLHGSHLGQISGFHASAPRQRL PLVIAAVGVGLAITTRYVIRAQERVKQKREAAAAPRDQPLSVMVGLDLGSINARACGI DLETKPYKTVFESDRMAAQVRSGELVVGNLAAKNMVPNLRAHLLTDASSSAGILDIGA DDSVTVDTVLESLLESLHGRVRSSLEKHHNDMDDLLPCVCAVPSHYDGPPLDRLRLIL QHAGYRVMDFVPESVAAALSLPKEDAGTPPVKHLAVFDMGGIETTCSILHCDADMTSP TILSTSTTTVCSGVAVSANIVEHLAGAFQKKHGIDLRVDSLAMERLTQAADAVRLQYL LWSVTWHAVGQARAQ H257_09466 MEPPPPTMAIVAVSQRNDDNSTAAWVCSTRRLLLEPNTAMDTWV NLERFIAEYQHRPLSERLRDRQRLLVQRLAYSSTARKLFGKQQPTTSTSPRLVQFEKT HAALVDELQALIGHARTTAATFAQMGHLWSALVEADACALVEQAHVKFLAAGTKLATL CMTCGTEILAMIVQPLEAKCEKLKQLHGLLRIEHDMQLLVLAATRKLERAHATGSKNV FHRQNELTAAKGYATRVATDLHVILDWVDTMRRQLVRLEMNSLASLLARHAMTAADTL LSPVDQTVVTRSSNRSVD H257_09467 MRVVSSDDDDDVDMLWTEAGSTASSQVESSDEEDDEGEYPVNVD PFIVDHSYLGDDLTVATTSTSAHFTPGSLVAMPLVWLPNVVIFPGETLPLRMLATSTL QLMTTRLRNGDDTFALINANIPRHVGTVIQIERMYEYGDQHLSIVGRGRQRFELVDRV SLHHTMGQFMEGVSAQVRILPDFHAMPCPMPINFRRGGPSSSLKRRRPSLVAYWGPQQ YMQFDGPSLVYQAKSILLQSVEYHAFMASTTNSTSSAAMSAPSDPTRFSYWLAAHLNL GLATRQRLLATSCVVGRLRALIEWLHHQSAVIQCSGCATTLAHTRAIFLKQDADHGGP ASTFVNPYGAIHQILTMLAVERATYDPVGTPSLADTWFPGYTWQCISCVQCATFLGWR YVSTVEQAHPRVFFGLLRNAIG H257_09467 MLATSTLQLMTTRLRNGDDTFALINANIPRHVGTVIQIERMYEY GDQHLSIVGRGRQRFELVDRVSLHHTMGQFMEGVSAQVRILPDFHAMPCPMPINFRRG GPSSSLKRRRPSLVAYWGPQQYMQFDGPSLVYQAKSILLQSVEYHAFMASTTNSTSSA AMSAPSDPTRFSYWLAAHLNLGLATRQRLLATSCVVGRLRALIEWLHHQSAVIQCSGC ATTLAHTRAIFLKQDADHGGPASTFVNPYGAIHQILTMLAVERATYDPVGTPSLADTW FPGYTWQCISCVQCATFLGWRYVSTVEQAHPRVFFGLLRNAIG H257_09467 MATNTCRSLVAAGSDSSSWTAYRCITRWVSSWKACPRKFASCPT FTRCLARCPSISGAAAPPRPCNTSTSSHSLSFPDVRKRRRPSLVAYWGPQQYMQFDGP SLVYQAKSILLQSVEYHAFMASTTNSTSSAAMSAPSDPTRFSYWLAAHLNLGLATRQR LLATSCVVGRLRALIEWLHHQSAVIQCSGCATTLAHTRAIFLKQDADHGGPASTFVNP YGAIHQILTMLAVERATYDPVGTPSLADTWFPGYTWQCISCVQCATFLGWRYVSTVEQ AHPRVFFGLLRNAIG H257_09467 MATNTCRSLVAAGSDSSSWTAYRCITRWVSSWKACPRKFASCPT FTRCLARCPSISGAAAPPRPCNTSTSSHSLSFPDVRKRRRPSLVAYWGPQQYMQFDGP SLVYQAKSILLQSVEYHAFMASTTNSTSSAAMSAPSDPTRFSYWLAAHLNLGLATRQR LLATSCVVGRLRALIEWLHHQSAVIQCSGCATTLAHTRAIFLKQDADHGGPASTFVNP YGAIHQILTMLAVERATYDPVGTPSLADTWFPGYTWQCISCVQCATFLGWRYVSTVEQ AHPRVFFGLLRNAIG H257_09468 MMADKGACPDGVMGCCNGCSKSNACTLAKAQGKTCLIVTMMYSF FNPGYLEAAIANNNIPAYFCFSGYDSMEAVTDVMNKNGTVTFYHCEPDLFHLKHEGKL QRIALSNVVATATSGFGERGYGNQTENPVNVDFTPEKLEKVLFQPAAPRSVARRLLDQ VSARSSRHQLAAESTGHDWDGSEPNPSFTTACNWVKTNYMTWKAWLDPLPLCSFQGHM EHTVGGCDNSTPYSNVSFVWATPDPANAILPCQLRGRDGESAATNAHESVLRLARRQR PPVVALARYETAL H257_09469 MFGVGMMLRCVFENVNDHRQIQSSAYQPSYFGPGEVMHGLDERS PGSARNVLRHVYARVKWVAVTVAHIDGLHVGYSWAMTSRTLRRAVAVPRPNACRGWVK EDAPYNAEICAQTKLQVLNVYANESPVASNAYVGQPGWFT H257_09470 MMGSLSIVDSRRSLFGSPVPVRLEEVDEVDHQSGLTFQVLDQMK AHGIELENRRVKAVDRLWHAVVGERNGFVGYDEYCRLYPSVAKFCTGSSTTANMVDDW AMDVPSDNALDHMHFHQLVKQLVEMWGPLLHVSPDELLDSMVHELIDPASYALRDCNR VNPHAIATAVHDLLGCMLPSGSWESSNAIVVRVLQLDGSTSTRYSATQAAVAYLDRVV AADSRWWTNPGLRGRTMTWLARHEEDTHFADLQALLLRLHISFDGVAPLMRLRLDPLV HSVRSLVLDAVVTMDSASLLPCLEWLRELTPPRWRVLASPIGVHPTMTTQAQVQVYLA KLSHFTLVPELCEFCNNHSVVDKQDLLVFIHTLSPLELGHFRETLQRPTHKFATAPGV KVAVAFYTSLSAMQRLEMKSQLHAILRETKANPPIPPNKGTPVPSLNKNVLHWTRSRP RRRQKSPACHHRRPLAPLLRPTMSAPSISLDSSSLAPMVVIKPHMWSDSHSPVRPLLN GDIFSPPKSAVAAAWSKSPLSHEKLHFEATYFDAPSISRHRLEFCPPQHVKHFSLPKV NERHVCDMNLDPTWVSPVDLTGVLD H257_09470 MVHELIDPASYALRDCNRVNPHAIATAVHDLLGCMLPSGSWESS NAIVVRVLQLDGSTSTRYSATQAAVAYLDRVVAADSRWWTNPGLRGRTMTWLARHEED THFADLQALLLRLHISFDGVAPLMRLRLDPLVHSVRSLVLDAVVTMDSASLLPCLEWL RELTPPRWRVLASPIGVHPTMTTQAQVQVYLAKLSHFTLVPELCEFCNNHSVVDKQDL LVFIHTLSPLELGHFRETLQRPTHKFATAPGVKVAVAFYTSLSAMQRLEMKSQLHAIL RETKANPPIPPNKGTPVPSLNKNVLHWTRSRPRRRQKSPACHHRRPLAPLLRPTMSAP SISLDSSSLAPMVVIKPHMWSDSHSPVRPLLNGDIFSPPKSAVAAAWSKSPLSHEKLH FEATYFDAPSISRHRLEFCPPQHVKHFSLPKVNERHVCDMNLDPTWVSPVDLTGVLD H257_09471 MAVRHINMAHRQQQPPIVLYDPEAIEQRSYSPTTIRSNESPQPY PCGHHHTAQTLDVDFRVAATAPSFAGARRRRLPGLSGIQGPKSPSMRILLGSLLGLVL GILLSFLYESLNKPPWMASVSKWIQQPGHLFIRALNCAIIPMVLANTAASVADAVLSG RVRQLLGWRTMGLFFLSSVCSGIAGAVAVLLFQAALIPSAMPIPAKSQKVLGSVVFGC GNATARAINDSTLFAWAGNMSFCSFVSTSAAAASLVTLETPVNSSDYFVLNKPVLQSR SLTDHVMVVVEQLVTDNILDAMAKGNLLSVIMVAIPIGIATAAAANALPHSPLGHSLD EFLRCVRDAFFLLLGWVVDLTPFAMVSIIASAILDSTMMSARIDVESSQLSSFFQDAV KLVVPLALAASTHMFVVLPVMFYLFVHANPFAYMKAMAPVYVFAAGASSSMATLPIAI STMIATKQVPKIVPEFVFPIATVVNMNASGIYMAMHVAFIAYIENYVLNVAEIAILLL VSLLSSVGTPPIPNGGLIMILTIWNTVLQRPHDVLPPAFKVVVACDYLTDRIATLLNV HGNVIITRIIAEDVDATVLETRQPSRHQEEDGDSSTD H257_09472 MKTIAILALASSAAAFAAGDIDLNETTASVLRGPDRKLLTNAQV QAIHNDADVNRQCHRANGGYLQTLERGKYAASIFHNCFRTSEQIFDYVDELVAQNPAL LKKEKISCTVRGKPIYAYKLISGRSKEKSLYFQSLAHAREWIAGSSNLYALSSILDDI ANGKTTAADKFNLYFVPIVNIDGYDISWTNGKRLQRKNANEVDLNRNWLQFTTNPNKN PKPSDETYPGPRPASEPETQGIAKWLHAKNSEISGWVDVHSFLGAILYPYGDTEEPIG NSDDAKFQRLGRNVAAAAGRNYRGQTAGSLSVAYGAFDDYLYRTYKKPVVTVEVAGSH FVAHVSTIRTRGEEIYRALTQFAKEVLIFEGNSGGNIGGNIGGNFGGNGGGLVFPKD H257_09473 MERLGFSVDGMLVDALKQRRVWDMAEAGDADQTSANVKRLQEAF QEDPEDALYAEDVTCSTPAMEKPADQDCEIKRILNGKIAEAIQLGLSPAHESELRRIL GDHTDVFRLEFGQALPVDVEPLKVRLKEGAVPVKCALRRYPPAHMEYLKQHVEELEAA GLVNHNNRETWAAAPRIVAKKAPGEYRMTIDSRPMEVPMPWPMPNLDAAMATLVGMNV FFTLAVATVPILLDVTDAVAYCQSVVNQMFGELLYAGVLGWLDDLLGYADSSDKLFVL LDKVLSNCGKFRLKLHPKKCDFFLKKATWCGKVISAEGISHSPDRVQGLCALETSTSG ADLQQFVCATNWMRSSIPSYSELIGPAEPVGRGGEGRSGKPTYGRTCSAGGKAAWKAA GEDVPNVTWSVSDGYYVTATGKIWIPEAAVDLQQRICIIAHQGAAGHRGVGVTTQGVL ERFEWRTAKEDLNAFVGSCLHCLCVDGTMVPRPWGAALHAERPNELIHFDWLQLPPAA NGWKYVLVVKDDKHKHMSGFCRLFPSATADAESTANALMIGLRHMAKEVVGKIKRMIG AHHQTTTPYTPWANGTVEVVNRLILRGLKTLTSEMKLRPDEGHRVLALVQSALNHQPA DRLGGVAPVTAFQGFPSTTPLAGLVHPRTKKVLTVDWPSKARQKHMNVLRQAMENMHR DVAARREKLPQQARGRREKKAHVCLANFALGDFVLLGKIIKFPNKLALNWKGPYRLSR LVEPFGTSVHHASRLKFFSGAALNVTDDLVDYAAFGDEGYFVQELLGARRSADGQFEV RVKWKGLDEEEAPWEPALQLYEDIAVVLRRWIVKNASDGVVKEMRDDLEATLGHSL H257_09474 MDLARTRELTYKRKIEVINRLHQLTILGKLPRGAFTSTATHFNL HRTNVSKIWNSYSTNSMMPSSKLGRVGRKEVYHVETVTARIAVLPETQRSTLRDMIET TGVPTMSLHKHLKTGTIQRRSSRLKPLLTDANLLQRLAFCGHVYIVKGQSVRNRACKS KRFIPKVMFLAAVARPRFDHERGVMFDGKIGMWPCVKYLPAARNSRNRATGTLVTTLV NVEGELYRDYVMTRVIPAIKACFPSMNKHVVLQDDNATPHRVITDELLASVSTDGWTF VVRSQPPNSPDLNVLDLGFFASIQALQYKFESHSVDDVIRATLAAFALLESEKLVDAF LTLQAVMRLVLENNGGNQFGLPHLGKKALRRTGKLMTNVSCPHHLV H257_09475 MVRRSERQLTLQHAMMLLDTRQLMRMERTVLSENDSDEDDLDSQ LICWIRSIENRRYLSRRINDPFKAPRFHHFLFETRRTRFRKLFRVERSSFDHIVVLIQ ADPSFMQMSSSSTQRPVSHQLLVFLYFLGANGNAVSNEHMSSLFGIGAGTIALYIRRV MDAIVRLRDQFILWPNHSEASSISLDIYAMCGFTGCIGFIDGTLFPFEFKPTLCGEDY YSRKGCYAVAAQIICDHRGIIRDVHADWPGSTHDNRVWRNSKIWVGEVRGPGFNPGR H257_09476 MLGILDTMNPTNAAKVTGIPRETICSWAKNREEILAYDGNKKKK KIESDACSRAGVDYDPRHQLDQATWARVASCLPLPKEAWSWIRCTATPLAEVHDTQWI YTPTFIHTQAQDDGHT H257_09477 MSYPRRYYDMMATFGRSRESICRIFNDVIDFLFDKWKKLLYFCD SIVVPRLVMYSAAVKTKGSYMDNIFRFIYGSKFETCRITQKRDLVASNFADLQRLIYS GHKRRHCLNFQAVTAPDGLCVHFWGAVEGSRHDTTLLRLSKL H257_09478 MTRLRPTREGQQARKWWSDADDMSLLTQVNIYLPFKQAKNTTKA WDAVANKLRQVHGFGRIGLDGKKASSRFYQLLWVHRKFQESSKYLSGVEQDETGKIML LDELIQHFDEASEERQAERATMAAKATEKEATAGYVREQAMMRGPSKSNEGDDSTDSD VASRKRKLFSKLKSAKLRWNTSDSSLRSINLRWSYRKEKRTGWKESRNARTKEREMMT H257_09479 MKLQRGRTLTRPEKLAVSALRSNYGTDDVSDEDAGFAERALKRA RLADENDTYVLLGAVSPTSNIPERVFSMSSALIGLDRFSLHPIMIEATLFLKCNRSYR DVSTVHETLDKTRKPQIAVTKDIGELFDYPDLPVKLRQDLYVLTRHHRVVINKLRAQI PEAKNSDARNAIEEITDLLIHRNDQIEELIEGVLDRKIQVYHKARIIKAEARPGTKNG FRSVSLQAPSLN H257_09480 MEVYHSPSGFATTNNPVEVTDKVLKRDVTKGVRLQISELVEKLG SNLVALSKVLPEVSTEAFAPKNLVARMRILKAHRYILKADHIAPPSGSMVRLLQSTTL VKELLVSMAEHAARQSSLVVN H257_09481 MAGMIVCDDQRRITYLDFGWTGCANDKRVWNNCNLAMNSTKYFN PNEYLMSDSGYTNQQHIMAAYTRTRSSGLTEQHMLFNKLVAKCRYVNEHCIGLLKGRF QSLRCMRLFGFQVNFTLAQQKMPNIIFPTELQFRRAARQHLPGQISLNFSLGLNYKPL HQALADAETSLATGFSLQLP H257_09482 MSLVVSDVARLPLPDVFHCSVSVKFGRALGKSRTSVGEAVLFSM RPIDTFNIMTATVLDIVDNMVATQHSTPNRDKLQWGPHVPFDVYTKVAINAPQSKYVR LSVDNFNDTIARIWDNAGKTRLGQAAFVLQLFVYIEKHVEIGIRRAT H257_09483 MTTMELSEVDKLLAEDSDGDDMMDVNELEATLTLEEILNEDMEQ AASLPPSTVAGAVAVPMENPLLHRTSSRARLSIQKLSASASAIVASKAPLDVAKALEE LLLEPPREMLVSPLAVKRRMRSNQHYSSAQQRKKDKAHHQGASAGVVKVEPMQIISKQ LVKNAEFRDNGPGSPTVVAIHPKFIAIGTSKSLVLVFDHFQNIRHVLRNTASFHEGSS EPYSDGPVTAIDVSPGSDFLVCGYHSGRIVLWDMLKGTALKVVSDAHECPVVSLLFLK DQKPCILSVDANGIANKVNFSKMMGYVYVVDVDPIYDGSAGKILSVAILAQSAGHMKI AHITEVYSIAAISTDKVTFLVAIEPEVKVLHRWFKPEGVTVDNLPSLGFAWVALPGSS RHDPPTPILARGWGRHIQFLEVLFCPRHVHAKDGWPTFAETSPVDTTADVVATQWLGD HVIMYLNFHDELCVYDTMSRQELETIDVSSMGLVYASYRGNNGRSFANSFRACNDMLY LLGLKELHTARVQPWTQRIDTLIEDGEWLEGLGLALDHYEGLLKAAQTRAERDRFPPV FFTDRNKDQCVVDIFKMSQTNQRTGDKEDVFRHEDHANEDPRWCVGESTYPADVSKRL EAAFQTARSGAPPKNFVPIGVADRMADLLMDYVRLAMGHAPSASLNLSHFQMLAGVAI EYCASTNRTDLLFAEIFKRFQEADKADIFVSLLAPYILHDQLHVLSADVLDVFVSHCL ATNQMDTVCVHDCMTYLLYLHAVVACLYELVACLYERFDGLYIYIYLALLANIIVCGV VNAINRLLIYIYRYFQTCFGDSGDVGTNRYVYATTPLQCPPRLQMVLSLAEVLVGDPN ASAGPSPSPTTRFFSSADQGHFYMFEARLFSGGAIDAQAYATARSASSTQYMMNSLLT FLATGPQALGPVGVSSFEEDGFDKAGRQAMLVRLLQKLSKDSYDQPTLLKSVLREGMH RAAVLLHKDRNEFTETITAYLADTDREYKMGAFSYIRNEKDKVSGGVDGDPSGITATT SSHISSAHNPLDTIEAGLINHAGGLLDVDATAFVVLILENFASLNNRIIQKFVQVGGN LGAKWEYNYLSQILGTGTEGTAGDDVDMIKDLTDKNGLRMADDAAVQERYIRLLCEFN PLQVFPYLAAHQAYRVDSCLKLCKVEKALLHMHVNELDFDGVVSVCHTHRLYTALIYM YNEARSDFTTPVEVLLAASSGGADDDQEGGRAKRKWAYKLLLYLSYCFDGRSFPNRRP VSVKRMQTMVATLSQFLFEKYPNDDKTTPYPRLIPLLQLDAKVFLDMIARLFDAPNVE FEGETVSTNTSRYATTPLQCPPRLQMVLSLAEVLVGDPNASAGPSPSPTTRFFSSADQ GHFYMFEARLFSGGAIDAQAYATARSASSTQYMMNSLLTFLATGPQALGPVGVSSFEE DGFDKAGRQAMLVRLLQKLSKDSYDQPTLLKSMADDAAVQERYIRLLCEFNPLQVFPY LAAHQAYRVDSCLKLCKEYNITDAEAYLLERTGDVTGALSLILTSLEKKIGLLRPALR GFNLNPELSVSTMQYESSILQSLAEGQEVKSTLDVAIAMCERHSARHHDDQSEKLWFT LLDMCLKVQNNIKKQLQAKTKGRTATTTTTRGVQTVFQIAVNEMIAMILERMSSCVSL QSILFKITNEHGKDEFGDFRPTIFGMLDTYNYEHNIYKTANGMIRTDLHDQVMVLRRA QAKCIAPASIECFYCHGVLSKPPFGMSQQYNTNDKWNRHTSTVLVMATGKTFHEACGK MWQQGLHTDKARADHTRRRLSEVGQDGDANGTGTTDDLDLEKIKTNKQGSTRRYLLRL KKMRKQSGRLTSMHHVLDSLARSEFAKNKLLRGNMATFSLKPGAYPKATRVGTRQPNQ LPAKANHKGAI H257_09484 MWLVTVQPKLTGSNSTLVRKLSGFKDVTILHYPAYPDNTRCQKN SAYRSAPTMLLQHVRRQSTSSRVLIAGGGPVGLTLGILLQHVYNVPVDIVERQMQPTQ HPQAHFMNVRTMEILRTHMPVLHDRILDATAPPQQWRDYVYCSRVIHGELARIDQFGP DIAHHRDASASGYRNELLHGLQSLSSTQPVHFPQHKFETLLNEYAAECGVHVRRGDEL TDLRVSTGRHAVDVTLRNEKRQYEYVVGADGAHSKVRSLLQIPMEGPPPLQSLVNVHF TSHELAAHARTSPAMLYFIFNPSVIGVLIAHDLANGEWVFQIPVFPLHDQPPQANFPP DRCIDLIRAAAGAPSLDVALHSVGAWKMTAQVAAQYADANHQVFVVGDAAHQFPPAGG FGMNTGIQDAHNLAWKLAHHIHNPPKSPINSSMSWLPTYGVERKAIASAMTKLSLRNF ERTLRVPTALHVSYDSAKTLDTVSHTRLFQWLPRALQSSVVSTALAAGTAHLATLDTA ATSSMGRHLKSRVHEIVSSRQALGMLFYNADIGYSYNKNASSLETSDAALNQAHRFHV PPSRPFDDSVADSKAPPDLVDVVFTPQLHEGHRLPHQWLCKLHDDPKTHPPTNRWSTL DLPQRVLLTTPKNGGPNFVLVVQPATYSHLAAADGALPSTVAIVVLYPSNNMVTAVDK NHQTLGHHAYVYTEATAVTATAAILVRPDGHVARIWSLATDVSPASVHTAMSNGV H257_09484 MIDQIDSKSIWRNDSLKPNLGQIHNIMACIYIVSYLVSFHVDIA HHRDASASGYRNELLHGLQSLSSTQPVHFPQHKFETLLNEYAAECGVHVRRGDELTDL RVSTGRHAVDVTLRNEKRQYEYVVGADGAHSKVRSLLQIPMEGPPPLQSLVNVHFTSH ELAAHARTSPAMLYFIFNPSVIGVLIAHDLANGEWVFQIPVFPLHDQPPQANFPPDRC IDLIRAAAGAPSLDVALHSVGAWKMTAQVAAQYADANHQVFVVGDAAHQFPPAGGFGM NTGIQDAHNLAWKLAHHIHNPPKSPINSSMSWLPTYGVERKAIASAMTKLSLRNFERT LRVPTALHVSYDSAKTLDTVSHTRLFQWLPRALQSSVVSTALAAGTAHLATLDTAATS SMGRHLKSRVHEIVSSRQALGMLFYNADIGYSYNKNASSLETSDAALNQAHRFHVPPS RPFDDSVADSKAPPDLVDVVFTPQLHEGHRLPHQWLCKLHDDPKTHPPTNRWSTLDLP QRVLLTTPKNGGPNFVLVVQPATYSHLAAADGALPSTVAIVVLYPSNNMVTAVDKNHQ TLGHHAYVYTEATAVTATAAILVRPDGHVARIWSLATDVSPASVHTAMSNGV H257_09484 MWLVTVQPKLTGSNSTLVRKLSGFKDVTILHYPAYPDNTRCQKN SAYRSAPTMLLQHVRRQSTSSRVLIAGGGPVGLTLGILLQHVYNVPVDIVERQMQPTQ HPQAHFMNVRTMEILRTHMPVLHDRILDATAPPQQWRDYVYCSRVIHGELARIDQFGP DIAHHRDASASGYRNELLHGLQSLSSTQPVHFPQHKFETLLNEYAAECGVHVRRGDEL TDLRVSTGRHAVDVTLRNEKRQYEYVVGADGAHSKVRSLLQIPMEGPPPLQSLVNVHF TSHELAAHARTSPAMLYFIFNPSVIGVLIAHDLANGEWVFQIPVFPLHDQPPQANFPP DRCIDLIRAAAGAPSLDVALHSVGAWKMTAQVAAQYADANHQVFVVGDAAHQFPPAGG FGMNTGIQDAHNLAWKLAHHIHNPPKSPINSSMSWLPTYGVERKAIASAMTKLSLRNF ERTLRVPTALHVSYDSAKTLDTVSHTRLFQWLPRALQSSVVSTALAAGTAHLATLDTA ATSSMGRHLKSRVHEIVSSRQALGMLVRTSSHFCFDRFTSTVDCLLC H257_09485 MGAGSSGGNAWPTRRVEHVAPKVRLVVGLLACRGSDFFGNGGQK VRQSLTSPVTYVHVKEGHGQQKVLVSFAGAGTQHTPVVKDTFCVGKGNVILVIAITMI TQNRSGDFRAALFSTVSLHVGQPLELEFPRVRGPHLLKCAEESMLLLWITDVRRDGGI VALSLSSLTKSIAVALT H257_09486 MAVGWVLHQLGPRFRSNNAGDGPEKTRDRKFWFPRFKSTLLGSY RSKATYVLCECALATLSPSPQASSSPPCGTPPPTQAHPGATSVYRTKTTNGHHCACGR DEWHLAKKVEEQEYAVRLEVSKQYGGMRYDDALAQHAADVERCLAHYIPQLPCKLIAG GCHLCDAGTSSQRLRSPCSPLAAPQGIVTPGPSIASTYMAKSECYDDDEMAPLPLHMD QRPAHWKDPTLVHEYLGCFLDMDAVKPECSEPTTSPDSNRSYPPPGQDVDGHPFHISH YCKVEPPLNYSNHDHYT H257_09487 MKTVWVLLLAMVTMVTGSPPPPPTSSQLRPPSAPPLTPRTPATT AFPPTPNTPPTNLPSTPVSTMSSPPTPLRREYPTIPRPAIGFPNPSWETHPCAQTHCA TDYNRCVKLFYGDGCSCYPGLLGCAINACTTNNDEYASVLDMCYDSLQVDGRCALQCY PGYFPVDIAAVLPSETNATSPSSSNHSFVDNGDDETIREPVSVTWTVLATWSLTNTTA IDLKNSSSAFVDTLVEFLQTSYTSTLSAANVTLHLVSKSPTLQEVSAVVHVESLAALN ATDRALESLLLDTINSSDDRGDGNDFGGKLVEADVIRHTTEFNTHSVKIQVIATGTNG NWSNNINATTPPALHKPTNNNANDQTILGLTPGILLVLAAAGTALLALIIVCLCMRCR KKSSAGQDEASAATVSAGHSS H257_09488 MAAVVILLTWSAISATSTAHSTESLLDARNFTFRLTLAPRPDYI HSSGRNHTRRRFYVTPFSEYWPPCLEKHCRPAYPQCLDFFGGDGCLCYPGLLGCASPD CGVESIASVYESCAASFNRTDTRCALSCEPSPYPTVHEPTPDDIDSRPRMSYTVMMTL EIEAINQNEIAGAAFEMADAVAQIINVTDVTLDHVTLSTITATADDLSQVYVIVSCPS PEAMNATLNALEAMVGVTGSTHLGAALVDFNVLFDATQLQVLSVHEAVEVQTQSPPPV FIPPSSAIVPPPSPPPTQPSSQLALPPEAIFGITFGAAAVLVVAISFGCWWRRRNGVH SGYVRHGV H257_09489 MTRATTKHDLPNDQRLGLYHELLVHKVNGRLPKGKAEELLAQYG VSRQTLSKIWRRGQRSKARNGLADVALKKKGRCGRRPSRTMSDIETAIKSVPPVLRRT FESLAVSSGIPRTTLWRVLQTKKLQRRTSRLKPMVTEKHKADRLAFASGST H257_09490 MTDTATAKEVERVLTTSDLADENELDENEQHVAAWIKGMHESEI ARLTQAGAKAVPLKVKNMAIVREDAGVVLNRVEVDTRFSMDRIEQILVAEEITSVPRK PHFVYVNVLLLPKASTIALVMPYVYDTRVAGNTLTQWVFLNNNMERSHHVIG H257_09491 MMEQYLLRVPKRVGEELRKKMAEKEVRGVDVVAGADNRNFKFRI DDTELPATLCQLPCIVETHKTYDEKLFYKSGDIGQILLVHDTPEEQMLYETVTELPGG ITPPTTNIVKRKYAKTRKSPIFPKADVARVEDTLVKIIAGGIIEDVQEELVDFYDWMV DDEHPNGIVVHDEMDFIQRHPEYLDLTQSGSGGGGGMAPSLAFGSSNGVNSQANSRPE SDIDDETPSRTPAISEDEDAPPSSKKLSPTKTLTKVTAAASTARDGLDDDLDDMMNDD MDDDDDDDAADGSSLQSNPEYLTLLNTRAQQTKKVSDLRKDISKMAANITSMANPVMK QRLLAQKESLERELADVQAAFEATADDIRRMESRN H257_09492 MESAPAEAGAIGEEPGRASDPLLRRRYMALVSGLPIMDKKGRLL TCRYDRLKELRRMVIVYGLPVEEPEEVVENALTLRGRVWKVLLGMDDDSAASVERKQE YKRTVAKGASSSDGEIRNDTFRTFRGDPSFARRVPEATLVRALNAFLHDHGMSCQDGR PDCDQPFRYFQGMNILCGIFLYVLPESDAYLSLELFVTKHCPRYVAPQLAGVHVACGL VDRCLQTLDYKLYKHLLSHGITAKVYAYPIILSFFACIPPLSELLHIWDVLLAMGAHF VVLLATAHVVLLRTELLHTDMHLMNKLNLRETPPLQAQHLIYVALQLLHRLPDELYFE IARHPFDAADTLASIMLSPPTLNVVLEKVKKLKKDKSVKKAAAAALDTKPPWKL H257_09493 MREFHIESPYPHKNPELFRRVRECIRRRSVLGPWKKVCLERLRY QELKSPKKDESPASSSTLVKVDDGASTDAVDPSTSVTEVEPTEPQPTNPIQTQAAVEI QMLEEKLQMLQDQKHVQFGLLKTILIEEARAKARAAPPPPPVPLPPPMHPPGHPTYPT DGMYVAPPLPFGAGAS H257_09493 MSWCVDCRCIRRRSVLGPWKKVCLERLRYQELKSPKKDESPASS STLVKVDDGASTDAVDPSTSVTEVEPTEPQPTNPIQTQAAVEIQMLEEKLQMLQDQKH VQFGLLKTILIEEARAKARAAPPPPPVPLPPPMHPPGHPTYPTDGMYVAPPLPFGAGA S H257_09494 MAIFSRVLARFTWLLLWRFRQVVLQHRVGLVVTSLGLRGERDVF VVLDLDAGTGRWPELLLPQVLLFTQPTHPALQVAFRLGSQEVAAIRRLDDKAVEPVVA RIEWTDRFNGQ H257_09495 MPLGHHTPPPPARKHAEHMTLLVSSDAVVAPTRNHRMLGLSMVA LASFLISIMSACIKFEGSSLSSMETVFWRCAISYVYVVMLALYWRMDLVVPREFHWDL TWRCIYGFVTCATLFWTIKEMTLADAHVLAFTSPVLTFFLGAWLLGEAIASVDLGCAV VSLVGVVCVCQPAFLFGSAAQAATNPYAPWGGIMSAVLIALTYVHLHKLAALHYVVVT HYFLLTSAAAAGLWVIVMEGGEFHLLRPDANPAVVWAAFVGGTVGFVGQLCLTKGFQI EKIGISSVIRYLDVVFAFLWDAVWLHEPIHGWSVVGAALIIACAAVIFLRKSRGQA H257_09496 MRAQVHLHTTKDAAEVTPLLIEPASAKTHVVGVVLVALSAVACS LVATFVKYVSIDLTSMEASFWRFAIAYALVLVLVLYSKADLLVAPQHHWDLAWRCIFS TASVISFFWAINETALADATTIAAITPVVAFFVGAWMRQEPIGLVDVIVAVAALAGVV FVARPNVVFEVPIISTGSPYAALGAIGSAVFAALANVHVQKLSGLPAVVVAHYVLLVG ATVSGVWVLLAQGQFSLHHSGRTVSQAAIITGLVAFLGDLALVKGLEHLENPDTSSRT DRLLSAVRYADLALVFLWDATLIRERVNRWSVLGAVLVTVAVVTVHIRRASTRQHPSH HP H257_09497 MAVTLPYAQSREDTTTMLDLIEPRVHDLLGLIQRHVELMVTANS PPQLRDWLVVALDLLARLQSTQVHQARYKQVATEMQDLEAEIVNAVLALHRHNFLPNV STIGYVQ H257_09498 MAYTLVVGNKNYSTWPLRAWVLLKELNVPFEEYVAPLNDLSPGK NLRDPWINITPTRKFPLLIVSSNGATALTGDRLIVWDSLAIAETVYESYPAVWPADSR ARAFARSATAEMHSGFSALRDTCNNNVGLRIKLHSTDNAFAADLARLSALIKQGLTSF AGPFLAGPTFTAADAMYCPVAFRFQTYGISVADADVNAYFDRLRNLTSMQEWEQGALA EPYRIQKYEDEARQVGVVVSDLRKA H257_09499 MMTACAAVHHRRLWLCRQRRGHHHIAVFTRDKHIRLDLVKGELR RIEKDVVGDEGLSERAVGDVAVRHVLEQRVGGVHGLHGRQRHADRHLQERSRVDDHIT RLQRDVIAHDCGRFDRGQRLRDARGKVVLGAREACRVGALQQHDRHDGELDVGRNRRH QRRGDDGGRVHRSIRRRRHRQGRLHRCQRIRRRPARQNAPTRLVQRLVALIVHGLIVR IARRPQATEQAWVAEAPVTVHKEASLVVAVAVTVVARVGGPIHGRDVYVRAPHVQWIH IHGEVRVSDGRDDDRPGHHRLGVRHGGDWAVRDLRRRHGWTRYRS H257_09500 MSSSSRPTSTGRFELHVLSDLTHLAISQKGNEQCLLLNRNAATT GAGVVLEDVSELNHAQGRSLTFDAIFGIYTLLRGRYLALVTGSRSVGKFKVRGADVEV RQVTAIEMVLLPTQQLPHLTPAQVEDEERYMAMITTDVEAQLLYFAFEYDLTHTLQRI TTSLSPTVSIAERADPRFCWNYAACSFLLEKKLFAWVVPIMQGYVEVCKHVSIADNKA TFDLLYISRRSCRRQGTRFTMRGIDSEGNVANFVETEQALLFADGRETSFVQIRGSIP VVWSSPATLKYAPKVFQRAQADTDTAAFQLHAEELMKLYGRVILVNLIDKKTEQLKLG EAFEKTFGHASTLNTHILANIRYVWFDFHHECRRMQYANLGKLISQVDDDFQDYGYFS KSASGQVTSTQSGVVRTNCMDNLDRTNVVQSLFARRSLLLQVGESVQGNVLTSPFESF EKTFKNLWGNNADAISLLYAGTGALKTDFTRTGKRTTRGALMDGYNSVLRYILNNFYD GTRQDAMDLLLLRYVPSRHKASPFGSSASSMQSYLIGAMGTTVVLFTGLVAWDGFDVL FAFNRLLHATGAVVAVVVLAFGYFVRKGSDLAIANRPLFRPEDGCATTWK H257_09501 MLAVRRAAARVQVSAFSAAMPSRSFSDKFAEKERAEEARYFNKE DERALRKLLSKLKGQADAADTQGAQTQESIEKKELTQILGANTPAATIEALIKWKHHH H H257_09502 MVHGRDEYPNLHAELRLRNAPSPHLTTCTRKDSTDTRRVPRRLR RSASAMRLSSNNINPCLVSWVAKANSSLAHGEGPDNDDDNGSSVDDEEEAGNTFAMDE LPQDDDVIVTPRHHAEPFHVIPASQVNLPSKTRLREKGGSMGAQARTMTLQSSGGRLL GQSQSMPTFVASSSSSSHIHSNSILSAASAPIVILGHGRPRVRYARRSSGGGPPPLRT LPMPAFIPSSVTIPPLIERGDDGGFFLTEMELHSAYLPAAKGLALGAYQLYGQGDVQS ALVTFRSVLRVADDVDDVLLKALVYHHMGTAEKDAGDLTASLASHTKCIHLAQSVNHV KLQGRGFKGLGVVHVASHQYTLAYDYHVKCMTIATAEHDHELASRTYANLGNVFAAKR QFADAIASHSNDLSLSTQVDSYVGMARAHRNLAIVYAKMNDVAQQRHHDAQVAAKGDT PFVHDMTHHAHDVVGNLYCQVEMGTTAMAKATGQCVLDLLRNLP H257_09502 MVHGRDEYPNLHAELRLRNAPSPHLTTCTRKDSTDTRRVPRRLR RSASAMRLSSNNINPCLVSWVAKANSSLAHGEGPDNDDDNGSSVDDEEEAGNTFAMDE LPQDDDVIVTPRHHAEPFHVIPASQVNLPSKTRLREKGGSMGAQARTMTLQSSGGRLL GQSQSMPTFVASSSSSHIHSNSILSAASAPIVILGHGRPRVRYARRSSGGGPPPLRTL PMPAFIPSSVTIPPLIERGDDGGFFLTEMELHSAYLPAAKGLALGAYQLYGQGDVQSA LVTFRSVLRVADDVDDVLLKALVYHHMGTAEKDAGDLTASLASHTKCIHLAQSVNHVK LQGRGFKGLGVVHVASHQYTLAYDYHVKCMTIATAEHDHELASRTYANLGNVFAAKRQ FADAIASHSNDLSLSTQVDSYVGMARAHRNLAIVYAKMNDVAQQRHHDAQVAAKGDTP FVHDMTHHAHDVVGNLYCQVEMGTTAMAKATGQCVLDLLRNLP H257_09502 MVHGRDEYPNLHAELRLRNAPSPHLTTCTRKDSTDTRRVPRRLR RSASAMRLSSNNINPCLVSWVAKANSSLAHGEGPDNDDDNGSSVDDEEEAGNTFAMDE LPQDDDVIVTPRHHAEPFHVIPASQVNLPSKTRLREKGGSMGAQARTMTLQSSGGRLL GQSQSMPTFVARGHGRPRVRYARRSSGGGPPPLRTLPMPAFIPSSVTIPPLIERGDDG GFFLTEMELHSAYLPAAKGLALGAYQLYGQGDVQSALVTFRSVLRVADDVDDVLLKAL VYHHMGTAEKDAGDLTASLASHTKCIHLAQSVNHVKLQGRGFKGLGVVHVASHQYTLA YDYHVKCMTIATAEHDHELASRTYANLGNVFAAKRQFADAIASHSNDLSLSTQVDSYV GMARAHRNLAIVYAKMNDVAQQRHHDAQVAAKGDTPFVHDMTHHAHDVVGNLYCQVEM GTTAMAKATGQCVLDLLRNLP H257_09502 MVHGRDEYPNLHAELRLRNAPSPHLTTCTRKDSTDTRRVPRRLR RSASAMRLSSNNINPCLVSWVAKANSSLAHGEGPDNDDDNGSSVDDEEEAGNTFAMDE LPQDDDVIVTPRHHAEPFHVIPASQVNLPSKTRLREKGGSMGAQARTMTLQSSGGRLL GQSQSMPTFVASSSSSSHIHSNSILSAASAPIVILGHGRPRVRYARRSSGGGPPPLRT LPMPAFIPSSVTIPPLIERGDDGGFFLTEMELHSAYLPAAKGLALGAYQLYGQGDVQS ALVTFRSVLRVADDVDDVLLKALVYHHMGTAEKDAGDLTASLASHTKCIHLAQSVNHV KLQGRGFKGSSRLYPSCCVEPTNGWGTRARRGARGISPIHVGVRLPRQVHDDRHRRTR P H257_09502 MVHGRDEYPNLHAELRLRNAPSPHLTTCTRKDSTDTRRVPRRLR RSASAMRLSSNNINPCLVSWVAKANSSLAHGEGPDNDDDNGSSVDDEEEAGNTFAMDE LPQDDDVIVTPRHHAEPFHVIPASQVNLPSKTRLREKGGSMGAQARTMTLQSSGGRLL GQSQSMPTFVASSSSSHIHSNSILSAASAPIVILGHGRPRVRYARRSSGGGPPPLRTL PMPAFIPSSVTIPPLIERGDDGGFFLTEMELHSAYLPAAKGLALGAYQLYGQGDVQSA LVTFRSVLRVADDVDDVLLKALVYHHMGTAEKDAGDLTASLASHTKCIHLAQSVNHVK LQGRGFKGSSRLYPSCCVEPTNGWGTRARRGARGISPIHVGVRLPRQVHDDRHRRTRP H257_09502 MVHGRDEYPNLHAELRLRNAPSPHLTTCTRKDSTDTRRVPRRLR RSASAMRLSSNNINPCLVSWVAKANSSLAHGEGPDNDDDNGSSVDDEEEAGNTFAMDE LPQDDDVIVTPRHHAEPFHVIPASQVNLPSKTRLREKGGSMGAQARTMTLQSSGGRLL GQSQSMPTFVARGHGRPRVRYARRSSGGGPPPLRTLPMPAFIPSSVTIPPLIERGDDG GFFLTEMELHSAYLPAAKGLALGAYQLYGQGDVQSALVTFRSVLRVADDVDDVLLKAL VYHHMGTAEKDAGDLTASLASHTKCIHLAQSVNHVKLQGRGFKGSSRLYPSCCVEPTN GWGTRARRGARGISPIHVGVRLPRQVHDDRHRRTRP H257_09503 MQRRASNHEMIAPSTNSWRRHSSPSLVPLPVGDGEEIDPDALQG RCRSLTQERDLLRQRLLEKAMREAQHEMMIQGLQNQLAKLTVLSVSRQSRYEELLQAY QQFKDVALTEFDDDDM H257_09504 MPPLTLHTRDTGLHADCVESCPVEGHENIMAVGTYHLSKHEGEA DTRSGTIALHSLTTKSDDGSVDMEDTSVVQMQSGVFDMKWSFPRVHNKALIGIATAAG TLEVMELQEESQALVHVASTAPSDTMFLSLDWNNRVVPTANPQVAVSQSNGRVSVWQH GEAALDRVQEWRAHDMFGSEIEVWITAWNYHHADVLYTGGDDATFKGWDTRETTRPTF VNRSVHSMGVCSMHTHPHHEHVLAVGSYDETISIWDTRSFKQPTLQYGTGGGVWRLKW HPLASHDSLLLAACMHNGFQVHDVARLGKPTTEEQQRRVQTRAHYTQQTSLAYGVDWW LDPATLQSQHQVVGSASFYDHAFHVWSTVTT H257_09504 MPPLTLHTRDTGLHADCVESCPVEGHENIMAVGTYHLSKHEGEA DTRSGTIALHSLTTKSDDGSVDMEDTSVVQMQSGVFDMKWSFPRVHNKALIGIATAAG TLEVMELQEESQALVHVASTAPSDTMFLSLDWNNRVVPTANPQVAVSQSNGRVSVWQH GEAALDRVQEWRAHDMFGSEIEVWITAWNYHHADVLYTGGDDATFKGWDTRETTRPTF VNRSVHSMGVCSMHTHPHHEHVLAVGSYDETISIWDTRSFKQPTLQYGTGGGVWRLKW HPLASHDRQ H257_09505 MPTVIGWGLLLLQCTLLVQCHSWIDAMWCSPGTLGYPRNYRNRT DLPPNSPAFDRLMTYKIENYNSITPLCAITQKTPTQADRYPRLTCPPNATVHFRYNSN GHVTNDKCLPKDPRGCDGPYPVSSTWYILWNRTPSYELRRFNLPKIWNASHPAVRGGV KLVGNGPFDDGLCGQVGSKRPREGQPCEGSFQLPSVDSSQRISLVWFWAFNRIHNVGE EYTTCFDVDIEVETESG H257_09506 MTLATIVSELRRGGAHTARAVQRLVQAEHVDTALAPELLRLVTS TDADVGVPSFLAFAKLCGNLDVATQPTFSDDVGLVVSDQLQSRDIRMQAAAALALTNL TSHNMAMDSTILSRVVDVLEDENAHEGIQRALLGYIGNASAVPDAARSLLEDTNCMQV LSELLQAGRGESLRSAAALTIGNVLSTRDVMAQNQLREVGGLPDLVLLLSHTYLPDTN ECSAWAISHGVHQNILSQDLVGDAGAINLLLRLLGSPDDDVRRNAIMALYSSAVGHAA NLARCKKNHGDQLVQDLLHDDVDECRTYASLLLQEF H257_09507 MVTVELYLLSRIVTSLSSHRMDVELNMNWRVSELRPRSRHHCRF TTWSSVSTATRLATMRNSTDGSPRRYQLLSNSTNSVSKSSVVDVTSILTEFPCSMNGV RIARAITVK H257_09508 MASVQRTVFWLAAYAPMANATHAARMYNPTTGPYRLRNTIANTC TDLPKLAWLLWTVSCFVVSSYEYSIAHVSMPSSVPGWHPEQNALLKNRYMVTFSWKCW GEYEGGGMGIAVVSRMSTLTRAGVYPIALRTDELDPGGAKGAVAVCPNTWVNVSPLMN DTLSCKLPRRIDSMEGGTGYESTSWLQMSLTEFVTTYDDMVRA H257_09509 MSNPLGSSFRALRLAIRVSPRQTCEHGLLYLEQSSICRGYWRGQ LLLWTRSLLGFLRQPLATRWRSRLLLHVDLQLTRVSKQSTNPTLYRHWGGGCESGQRQ LKALSWLLQAARPGGVLVARIARHGSTETTASYTVPALAPRRSSRHTPVGRRSACRRR IEWTSS H257_09510 MCSVVYSYNITASTVTISSSVNVPGFQHGLGMMFQRTWGTMASL IVRFVCVVMVLGAFGASEKTVRWTEPGDVDSWFKRLIHLVAPAQYRHPSGAFDFAYIC FNSDVLVLLYTLAVLFDENIAMLFSRTLYRWHRQGETNVWIELRLMAFSLRWLWLNCL FLKVSKFLCHFVNKSQYTGQNVVMGWLNFSSVTWIYLSYAVLASRNTFIEYGNSVQCD LVSTTQNLDAIFVDFFDSWYIRATGPLLLAIVANLVVILLLDHTWNRAWWRQLASNSL GRQHMFNSTSILCDDDMHFVVRPGYAGTSVEVRARALCTMQWFLSSHVLRFGLQEHPQ AVRLVVATKAPSTVSGHFHSHHPNGGRESDSSIASKRGSAASRRVKEDATATVSPMAD EEIRASSESTATADHASSRDIYLIVQDREGHIRMFDAEKRELQALGLEMKILRDSTFH VA H257_09511 MPSLVVSPSTGALNRRLDAITCKSRFKSIRTRVNRLAGLFCAIL LTIDVVANDWEIISYVGNGRHFLTPLLDVESVDDMEVDYSFPAMSSPNGVSKIGRFMI DVALAQLIDRTGASYVLSMGSFSINDPSSNLCGSLRQTYPVFGTAISKNNSIHLGTVK DGITYLRGNTLTHLLGSSVTSHVAAPGANDKQLQDLGYVPSPAFADIRITTPLPLPPP GQVTQFNVSMYRFFSTSYCSGGVRLTLN H257_09512 MKTHNEKPISMVPAQLTKKPSKLHATATRTLRFLVLVVSISCSL LVLVDIVGNNWELNDFTGNAKHFLTPLLTTFSIDELVQTYAFPTDSSPWSASNGGRFM INSALNQVHDLGGDYYHLTLGSHTIDTPENNICGQLVDAYPLVNTSKSVVRLGSVQDQ VTYIRGTTLTHVFGDSLGTELGHFGDNASKLESLGYVAGRVGLDMRLTTAIPVSTQGK TVTLNVTMYRFFSKSFCSGCAPNTELGMDTCTIVYSTDESTNVLHVHSSGAIYGESHV LGIILLRRWGPVLSLWLRGLCILAVLAAFAASQKTVQWTDTMTFTSSWVKRMVHKFSP PQYRHVNNAFHLLYFCFNSDFTVLMLSVSVMFDEDIAMVYGRVLSRWSKPASFQLWTQ LRLYALDFRWMWFNLAILKLLKLACNLVNTARFNGHNVVMGWLNFRSITWVYLTVFVL FERIDYTEYGNSIRVDVTPLEDDLDAIFVEFEHSWYIRGLPSLAMLMLGNLLLVLCVD HVVNRHWWVLVAKTSLGRQHMYNSSSIITDSGLHVSGEGGGVAATITIKARTMSTMRW YFTSHLLCFGLAEEPTALRKTSTTNGAKTPISIHVASEAKLDKVTPSSATTFDAMGDV HFLVQDQEGHVHLIDADKREVQALGVEVKILRDSQFTLG H257_09513 MTSEEPLHGAQISRWNRQSRMEPFAVHQEVLVDDNGRGIEHVLP AERIGGHHAPPPPVYHVVDSKYQHQVAQEKTHERWKAPHVPAGLELDINRLEVFARRQ DVSPHRVAILNVLCAEQHGAHRRVDPRDGAKVEAAHDDVGAVRPMLAHDMADALDEFE QPQVEPQPSDR H257_09514 MELAATLVFDAMLQSKRAECHLAMIEAAKKLLECRNDVNESMAR LHEIAEDADANCDDNAELARLVAEKGQEMALLVRLRQLLNHRRLIMRVAHANTKYLDK SRLSLRNRQRRLVERAFQRGHLHAPNSS H257_09515 MMAAEEGLRNDLSPRAPTVAHTASPSSSRFGTESISGDRSRLLN ALPSHEEVRDVRLNVLNTSVQGARNAGSTWLLLVASAVINIPQVVASAVIMGMYWNDP ELANCNRLKYWTLVHTLHLFFTVVVEWVVYKTTAVAPDDHSHATVPWATSPAVKSALN SIKYSLELLGLFWFLVGNMWIISDEEHSSTKAGGHLYNMAFAMVTICYAKIFLPCLIL VALLPIVCFCLPCLIRLLNRMQDPMRGKGATAEIIAKLPSVPYASSMFPDEDASCCIC LNEYIHDQTLRVLPCKHHFHQACVDEWLVVNATCPTCRTSIDPNEGAVPRHDPPADVN LIV H257_09515 MMAAEEGLRNDLSPRAPTVAHTASPSSSRFGTESISGDRSRLLN ALPSHEEVRDVRLNVLNTSVQGARNAGSTWLLLVASAVINIPQVVASAVIMGMYWNDP ELANCNRLKYWTLVHTLHLFFTVVVEWVVYKTTAVAPDDHSHATVPWATSPAVKSALN SIKYSLELLGLFWFLVGNMWIISDEEHSSTKAGGHLYNMAFAMVTICYAKIFLPCLIL VALLPIVCFCLPCLIRLLNRMQDPMRGKGATAEIIAKLPSVPYASSMFPDEVLFCISP KFRLVSFPVAK H257_09516 MLRSLVRGPAASLFPAAAMASYYATSHGNATTRNESSVGFVRQP ARAIIANPDAFAAKLGRMAADGPSQLLVIADFDYTLTPYHTPTGEHAHSCHGIISGSG FLGHEFQAKANALFQQFYPIEISPLLTQDEKEPHMVQWWERSHKIMVDYGLHAHHIKD AVANADITFRDGFQPLFASLAKANVPTLIFSAGLADVIQAVMNKNWGASFWTPNVHVI SNVMEFDPTTGRLLGFQEKLIHCHNKNTAVVRDTPFWDECHSRRNVVLLGDSVGDVNM TQGLDGKEVLRIGFLNAHIEERMAEYLTLYDVVIVNDGTLHFAHLVVDLISRQSDDVA AP H257_09516 MLRSLVRGPAASLFPAAAMASYYATSHGNATTRNESSVGFVRQP ARAIIANPDAFAAKLGRMAADGPSQLLVIADFDYTLTPYHTPTGEHAHSCHGIISGSG FLGHEFQAKANALFQQFYPIEISPLLTQDEKEPHMVQWWERSHKIMVDYGLHAHHIKD AVANADITFRDGFQPLFASLAKANVPTLIFSAGLADVIQAVMNKNWGASFWTPNVHVI SNVMEFDPTTGRLLGFQEKLIHCHNKNTAVVRDTPFWDECHSRRNVVLLGDSVCHDDV ISIYDVIVGWRCQHDARARREGSASHWLSQRTH H257_09517 MDVSYGRSLHLTVCELPQGAPVVPSGPFVLDICLDYFTTLNPFL QQFELACGADDTRVLCRIYGGVQFKNVPPTLCHADQLRHQHEHATSLDRVLVTQAWRQ MDEAAFIASVAHVFPLYNDPAAMPGLFQLYYRMLSRYNEAQLDLTKCKRTCIPWTFVP V H257_09517 MAILEFELACGADDTRVLCRIYGGVQFKNVPPTLCHADQLRHQH EHATSLDRVLVTQAWRQMDEAAFIASVAHVFPLYNDPAAMPGLFQLYYRMLSRYNEAQ LDLTKCKRTCIPWTFVPV H257_09518 MLSKQLRVIVVDDHHHVLEPIHQAIRKRTLPFSNWTLVHFDAHP DLAFPRDIPASCVFTPSALYDALDSSEAGIASFLLPLAFAGHMGSLVWVKPPWANQMA MGNETFTVGEHVDNGKS H257_09519 MVQHTKFYELMGVEPTATPEELKKAYRRRALQLHPDKRGNSPEA QDEFTTMKNAYDVLSDPRQREIYDATGEDGLKMVNGFGEMSMEEMMAAAIGALSSMGG GAKFCLLFSITAVCAVLLLVPILWCLRVDQTVDWTWVDVFIPMWILDSIYLCATCCSV VSKDSPVDENGEPVGAPPPPSTYSRALSKSLLLLKALLFVATQILIAMKLQGSIDISC VAVLSPYLALEGMLLLQKAIVAYSIYASVAATATNDKPYTLMGPIAYSIGQNLLRLVF VVLLGLKVDATVTGSWWLVFLPVWIYMALSLWFTVRGILVASKLTADNAQGNKTSGLL CAVMMSLVVFSPFVLLAARLEGSFSSFYVLLPWLIVAGGVVVVMWLCLCCVMRPPQEE QPTASAADVPSEEGGRHDENVYHGVQDDEKV H257_09520 MILNACRAAQRPFATSARGYQAIGSFHTPSIDDIFLESSPRPVP FHIPPVPPIAPFGHDLKAAFFLDPTWIFLNHGAFGSTLRLAMHTAQMWRDYAEEQPLK FIDRELFFHLVDSIKALASSIRASSPKDVVLLTNATAGINTVVESMAKRMRPGDSIYT LDVAYGAVKKLLHQVCSEHELMLHTQALPYATSHDDDAILTFIADTIPPDCKLVVVDH ITSNTATVLPVQRIVELCHARGIPVLVDGAHGLLNLDLDVSDIGADFYVGNCHKWLCS TKGAAFLHVQPEHQAKMRPRSQSHGLDGSFQARFLWTGLQDYSALLTLPTILAYWNQA NGVREYMHETINVADRELRRAWHLPEVCTMMGVQVSSLMRDLSTCSQLCPHTSVVPCA LYRYLRMCLAWTRLQQRRLAMPSVCKTDCMPSSTWKCPSSASTGGCTFVCRPTCTIAS RTTSTSVTSSILDKAVTVVTHVTVKILFRHFAY H257_09520 MILNACRAAQRPFATSARGYQAIGSFHTPSIDDIFLESSPRPVP FHIPPVPPIAPFGHDLKAAFFLDPTWIFLNHGAFGSTLRLAMHTAQMWRDYAEEQPLK FIDRELFFHLVDSIKALASSIRASSPKDVVLLTNATAGINTVVESMAKRMRPGDSIYT LDVAYGAVKKLLHQVCSEHELMLHTQALPYATSHDDDAILTFIADTIPPDCKLVVVDH ITSNTATVLPVQRIVELCHARGIPVLVDGAHGLLNLDLDVSDIGADFYVGNCHKWLCS TKGAAFLHVQPEHQAKMRPRSQSHGLDGSFQARFLWTGLQDYSALLTLPTILAYWNQA NGVREYMHETINVADRELRRAWHLPESIVPAHKRSAMRLVPLPPHVFGMDATATKTSS DAKCVQDRLHAEFHVEVPVKCIDGRLYLRLSAHVYNCVEDYEYLSHIIHP H257_09521 MTFMRLGMAMCAVGGVLAAQAPFPPLAPRIELHECSLSSIAATV TWPAVAASDDALDAYEVSYRVAQKSAWTSWSSSLTGRISTVPSLGVQTVVSRGVSGPI TGGFFRLTSSYASVADVDTRTGLAVSPLIAFDASAATVQAALQSIHDVLHVQVTRQGP DTTGGYAWHIEFHESHPRPMLGIHTNLLDNGSVTIAQLQPPTSMCTAECVATVSGLAH STDYVFRVRAHAATGGWGAWSPVTAPWSTCILALPSMPVQVVATSATVTTISITWSPR IHPTVTSLPTLSYSVASRCHDDVFWRTIKTDPSSTFATITTLRPNTMCQVQVFATNAM GNGPPSDVVVVRSLPGTPLPPQNVTLSCVVGMVLQLLYTPTSDDGGARPVTYVAAYKA VDAIDWIRLNEFKWSAPAPYTRYVARVASVNPLGTSDWVVTNIVRSDRPRVTVSAAVT IRPHQFTLLAATLGDAANRNDKYYMGGTSNGGRLGGDGQPGVVYMRLFALDGLLLKQD ALYYTGTAQNYTIPDNPKYERAVVDVYAWGGGGGSGSQAAIDLGVGGGGGFARASFST SRGAVFQVSVGGGGGGGGSGAGGFGGGGRGGRGDFVGGGGGGATMVYKEKPVGTWSLL VVAPGGGGGGASAVCCSAGGAAGGLNGTAGTAPTAAQMGLPLPVASRDEFHSKFEFGD FRDFMGASAYDNNLEVGYAPGADFSALASPGGGGSGVAGGEAGHQSSYASVFNQVSSN GQERVGGVGGDGKKGGGGGGGGYFGGGGGGGGLQGAGGGGGSGYISMSAIEPLSFPLD PPVPEQVTVVPGVSSLTVKWRAPTNTPISFRPQNEGYLIELAVGDSNEDFSPVDGTTD KHFVVMGLEPATTYAIRVKLIMAGNVGGYSPRVISQTLARPINSWRLIRPMLLMQSNV GSGFRHNDDVFSSPSPRRGHSMVVLGEYSYLFGGFGPGYPCQRGTSDVCVTSALETNE LWRYHSATQSWLHVQDVVGSVRPPRREKHTASALGKSKMLVFGGRQLAGPSFNDVWQL DVGTPTTLVSDQSTANVLLRDGADTWTTAVASTDPTATCIQSMQVVLNITHSCLNTLE VFLYGPGPSTLPALQQDGKTGSDVARDVMWTMDGGRQFDGSERISSRYPSTRGHRVQL YGAANASMECVSGPQSLVIDATSSLEPLDAFYHLPAAGMWTLQVHDRAKDLLGGTLTS WQITWTMEPCTPVYEWTDVSRTIRGTPPSPRYQHTSIVVGTTSLFVFGGKDTAACQHD LYRLDYYSPTSPNNAWQTLQPLGESIFHRRYGQLFFITPFQALVPTAGLQTTDDQSSH DQTGLDLLQYSLTEPTSAMTSINVANNDTAVPSHRYFTAGAWWPASTNSKVVLFGGQD DTGYLDDLWELTLEESTATTTAPDQVCPWMLRTRAAEWSVSCGATSLPSQPCAASAIL LAAWCKDSFQTVHNLH H257_09521 MTFMRLGMAMCAVGGVLAAQAPFPPLAPRIELHECSLSSIAATV TWPAVAASDDALDAYEVSYRVAQKSAWTSWSSSLTGRISTVPSLGVQTVVSRGVSGPI TGGFFRLTSSYASVADVDTRTGLAVSPLIAFDASAATVQAALQSIHDVLHVQVTRQGP DTTGGYAWHIEFHESHPRPMLGIHTNLLDNGSVTIAQLQPPTSMCTAECVATVSGLAH STDYVFRVRAHAATGGWGAWSPVTAPWSTCILALPSMPVQVVATSATVTTISITWSPR IHPTVTSLPTLSYSVASRCHDDVFWRTIKTDPSSTFATITTLRPNTMCQVQVFATNAM GNGPPSDVVVVRSLPGTPLPPQNVTLSCVVGMVLQLLYTPTSDDGGARPVTYVAAYKA VDAIDWIRLNEFKWSAPAPYTRYVARVASVNPLGTSDWVVTNIVRSDRPRVTVSAAVT IRPHQFTLLAATLGDAANRNDKYYMGGTSNGGRLGGDGQPGVVYMRLFALDGLLLKQD ALYYTGTAQNYTIPDNPKYERAVVDVYAWGGGGGSGSQAAIDLGVGGGGGFARASFST SRGAVFQVSVGGGGGGGGSGAGGFGGGGRGGRGDFVGGGGGGATMVYKEKPVGTWSLL VVAPGGGGGGASAVCCSAGGAAGGLNGTAGTAPTAAQMGLPLPVASRDEFHSKFEFGD FRDFMGASAYDNNLEVGYAPGADFSALASPGGGGSGVAGGEAGHQSSYASVFNQVSSN GQERVGGVGGDGKKGGGGGGGGYFGGGGGGGGLQGAGGGGGSGYISMSAIEPLSFPLD PPVPEQVTVVPGVSSLTVKWRAPTNTPISFRPQNEGYLIELAVGDSNEDFSPVDGTTD KHFVVMGLEPATTYAIRVKLIMAGNVGGYSPRVISQTLARPINSWRLIRPMLLMQSNV GSGFRHNDDVFSSPSPRRGHSMVVLGEYSYLFGGFGPGYPCQRGTSDVCVTSALETNE LWRYHSATQSWLHVQDVVGSVRPPRREKHTASALGKSKMLVFGGRQLAGPSFNDVWQL DVGTPTTLVSDQSTANVLLRDGADTWTTAVASTDPTATCIQSMQVVLNITHSCLNTLE VFLYGPGPSTLPALQQDGKTGSDVARDVMWTMDGGRQFDGSERISSRYPSTR H257_09522 MHHIRRVVAVRSFCSRTAPHATNTAAHDVMDRFEKHPRHSTALP LSDAAAPPTTHQLQSMFLSLVNDGLKDEAAQVVQYATDKAIDIDYDLLFHRSIKDSKL NLHAFAHVMIAHPIAFSTQLRLHALRGCLGAKNYSAAIELFNHVEHDHPSSRMAELNK PLLSLLRQLSRATPPRTGLRNAQYLLTDFIMFRNAAGTSVATLLDALRTCHPDVVAGA VKLVVYSLFAVPKAQWHHQLDRVLEVLQYCIQHRVALPDTWCFTTAFLKSKQVPIECA GNERILQFAVLYVAMAHAELVVPSMEPTWLAIQAAADTDDNLTRQCLRLLCVTNMTRY MFHFGLNWACNRGDVALASELCRSVVQRNHPTPTPTTLKLVTPLLPRLPSETHHDIVA YLKRHIISLSDQAP H257_09523 MVSLPRRSLCILVALLALAHSQDTPDPTTIATSETPTSAPTSNI TTIQPTPEPTPLPTPDPTPELSPQPTPEPTPAPTPAPTPAPTPQPTPTPQPTPEPSPA QTASPIPSPTPQTTPPPRVRKSWLSLTADEQSLYLEAVQLSMTLGYHYYFVQLLEEPQ TYYEYYLTSGWAYWNRKLLLAYETMLRGLSPRFAAVTLPYWDAFADFALVQTTNCTLL DCSAILTGFGGSSGTIGNLTIDRNDTRGIKVTAPQVKSFCESDTNSSCAGYIIRSSWR RSFPSGFGYFSLASALNTASSFQQFTSVIKSGMHNNMHLALGAMMANNRTIADTLFMP LHASFDMVLQIYINCYVGEFPSEAAKRNTTSAWPFVPSSISNPPYPAPTLNSNITHRL PLTGTQLGTFVDAAAHPVLGPLFQSLPPAYWQYVSASNLGGAHSYTYDYNSLMTNLVS NMYKCVVVRPTITAPPPARRRILRKSLVAPDTIVAPDDIVAPDDIVAPSTIVSRDTIL GPRRAARQRSEFRVMTIADRMQREICQFLSNRDLCTRLLHYIECAWTATTMGADPVQS STFSGGVGKDADQMVTSPCTESLLSREALKYSSTISRGVNVLTRLLNA H257_09524 MHTQNILTPIMRAFQGLSALIYLIHLAKSTDAHPESGQLAHEKD KNNQFIEAIDHRLLQNYVNPEPTSAQLTPEPTATEQPTPEPTLAPTTPELTTKEPTSA PATPEPSATEQPTPEPTSVPTTPEPTMSEQPAPEPTFSQAKPTQEPTSTVQPTPGATS TPATHEPITTNQPSRIPSFGNLPRTPQATLVLVSLEPTRKVPSSTQHTPIVVPASTSS NTTIYSQVECEAKCIGAVEVYIYNAGYVTS H257_09525 MRAFQGLSALIYLIHLAKSTDAHPESGQLAHEKDKNNQFIEAID HRLLQNYVNPEPTSAQLTPEPTATEQPTPEPTLAPTTPELTTKEPTSAPATPEPSATE QPTPEPTSVPTTPEPTMSEQPAPEPTFSQAKPTQEPTSTVQPTPGATSTPATHEPITT NQPSRIPSFGNLPRTPQATLVLVSLEPTRKVPSSTQHTPIVVPASTSSNTTIYSQVEC EAKCIGAVEVYIYNAGYVTS H257_09526 MEDKVKAVISKLFAESPPLVVDVKNMDVGVLSHVFHVTVAFDNA QPPRHLVVKCPRPEFPFLHSMFEVERAFYTETKHDAIPFLLAPFLHASSEDVVLDKVV NVQSYNCFDGCPAKLVPTILRKLGAMHVAHWGQTFPQLASVPGIGASLSGEAKQAQFP SLFAPFLGTLDDSELAQALEPICNQLCVGNATLSRVHDIVDTWPHKSLVHGDFHVANM LFDGKTSDDIWLLDWATSGAGNPLRDVAFFFIVGVATDVRRSVEQAVLDEYADQVAQA VPSLTSLDVWHMYRLCVLNQFLILVVYNELTMSLAEQGSSVVKQDTLRRGFVETNRRA GRAVLDVWPHLHGILLHSPTGRSDTE H257_09527 MTMCHDWKQVAVASIVLVMVEAGIMCPRGSFWDGSACTLCPAGM FGATRGLISPLCSGPCSGGYFCPVGSTSPVQQQCGSPNYYCPPGTPLRRTVATGYFTV KSTDGNGLDDFLMSEPYTSSAQVQCEVGHYCISGIQYQCPPGTFGQTRGLISKQCSGL CPPGTYCPLASPTPVPCPPGFYGATSGLQTSLCSGVCPKANYCLLSTVTPEPCPAGLF GNSTGLVSKQCSTTCTAASCIPPYCRAGYYCPLGTLTPLECGGIEVYCPEGSSIPTTV SPGYYTISTPTLNTVDGPTYITGQTQALTAATIRVNQQICERGMYCTQGQKRKCSSGT YGATEGLTTALCTGTCPVGYYCPEGSSDYSHFACLDPSVFCPPGSSTPVLVSSGYFSL LGVDGRLRIGQQICPAGSYCVRGVAHLCPLGTFGSSTGLNSTMCSGRCAPGSVCPRGS TSNQQQPCPAGTYTTNGQACAQCLPGFWCGQGSSTPTQNECGGTDVYCPLGSAGPSSV QLGYYGANPNSNLNRDFTTQVQCPIANTALIPQCPSITIGPNNSL H257_09527 MSEPYTSSAQVQCEVGHYCISGIQYQCPPGTFGQTRGLISKQCS GLCPPGTYCPLASPTPVPCPPGFYGATSGLQTSLCSGVCPKANYCLLSTVTPEPCPAG LFGNSTGLVSKQCSTTCTAASCIPPYCRAGYYCPLGTLTPLECGGIEVYCPEGSSIPT TVSPGYYTISTPTLNTVDGPTYITGQTQALTAATIRVNQQICERGMYCTQGQKRKCSS GTYGATEGLTTALCTGTCPVGYYCPEGSSDYSHFACLDPSVFCPPGSSTPVLVSSGYF SLLGVDGRLRIGQQICPAGSYCVRGVAHLCPLGTFGSSTGLNSTMCSGRCAPGSVCPR GSTSNQQQPCPAGTYTTNGQACAQCLPGFWCGQGSSTPTQNECGGTDVYCPLGSAGPS SVQLGYYGANPNSNLNRDFTTQVQCPIANTALIPQCPSITIGPNNSL H257_09527 MTMCHDWKQVAVASIVLVMVEAGIMCPRGSFWDGSACTLCPAGM FGATRGLISPLCSGPCSGGYFCPVGSTSPVQQQCGSPNYYCPPGTPLRRTVATGYFTV KSTDGNGLDDFLMSEPYTSSAQVQCEVGHYCISGIQYQCPPGTFGQTRGLISKQCSGL CPPGTYCPLASPTPVPCPPGFYGATSGLQTSLCSGVCPKANYCLLSTVTPEPCPAGLF GNSTGLVSKQCSTTCTAASCIPPYCRAGYYCPLGTLTPLECGGIEVYCPEGSSIPTTV SPGYYTISTPTLNTVDGPTYITGQTQALTAATIRVNQQICERGMYCTQGQKRKCSSGT YGATEGLTTALCTGTCPVGYYCPEGSSDYSHFACLDPSVFCPPGSSTPVLVSSGYFSL LGVDGRLRIGQQICPAGSYCVRGVAHLCPLGTPQFDNVFWTLRPWIRVPTGFNI H257_09528 MSNNAQNPKGRHDLEERVAHLQSVTNYKGDFKDAKSPLELEDGA LVAGGALDLLSKEAFALFSQYAAIGVIYGLIPALNFPIFNVYLRLEGYQTASYSTLVM LGWSFKAFMGMMSDCFPIFGYRRKSWMLIGWMVTMICLMIMTFSSLGEPYCNREKAAK RKSRACTKPYSNASLKDHDLFNLSAPDNGGLFIILSMFVSLGYVMAACASDAMVVQYA QREPLAIRGRIQTAIYTVRTFTGIVSSVIVGFGLNGANYNGSFQFSMAPNVPYGICLV PCVVVVLSTIFIVEEVKTPPIPLKVWAGSFWDLLQQRVMWQICAFRFINNIFQGIGST AGAPMFSVWANVEPLNDALSSVLGGFIFSGILVVVGKWGLHWNWRWAIALSSIGSILI DGAINFMTIWNVVRNQCFYMGGALAEKVPGGVRFIVATYCAVEIADVGNEGATYGLVT TVSNLASPFASVIYKVIDSYFKLSQDDIRNDTTQVRWDVTYSYIISYMCKLFALAWLW MLPPQRTQIQELKKKGGKSPLAGAILLVIFFTCLTFSVTTSIMSIYPSTKCYRIAGGN GMFDPKSGACPTVTAVMAVMDNKDKRLSS H257_09529 MKVLVLAFVVLTGNGGVSSAAKGPHYDASSGIPAWVDIDTPKKF YKATSSRGESWDLVMSDEFNRPGRNFTAGVDPMWTAIEMADGVNAALEYYSVNMTETV TEADGRGVFRIITKLDDISFRVYNQYKNPPGFQQSRMYYRSGMLQSWNKFCMQGGLIE VSCQQPGVTGANNPDANNPQARVTGGIYYPTWPGVWLLGNLGRALFMASTSRMWPWSY NVCDANLEKDQRISACDGNPGFGLNPHQGRGAPEIDILEGGGAAISSSVQIAPGMPDE YRPVFPINGESPYCIYSNECKTIGANTPDVPSSITATRGHRSWYQGLRYAAHVCAAKA DQIQVASQINASLELGIVDNSCKLSTCPASFDVNGDRGPVDGKAGSGLYWGVNKDGQC FPVMNGYNGAYLCDPYTTNSKCTAPLHSDGNGNGNKIAPFAYQMDAISANWPVEFAAY SGYLKYQLEWVLGRRGYVRWMIDGVPLFEIPAEALESPPQNAAKSNPKKLMIEEPLYL IFNTALSTSWGTVPPNAGKPCRGDGLDPKVNRICDAYPLYLKLDYIRVWQNTSTMSVG CDPASHPTRLWIDGHKSEYEDIDNPNIDVDGNAFCNDNADCTLGGSIVTGSCSASNRC VCSAPAVWGGPRCTSNRGSVSGSSSDGPMGPPLVAVLIISVLATAAAAAIVYIRGRGK RLQLRFRGNLYADANAAAMTMPQELNLRGTVMSRQGSMEEMAASKSAASRTDTIRSRR VV H257_09529 MKVLVLAFVVLTGNGGVSSAAKGPHYDASSGIPAWVDIDTPKKF YKATSSRGESWDLVMSDEFNRPGRNFTAGVDPMWTAIEMADGVNAALEYYSVNMTETV TEADGRGVFRIITKLDDISFRVYNQYKNPPGFQQSRMYYRSGMLQSWNKFCMQGGLIE VSCQQPGVTGANNPDANNPQARVTGGIYYPTWPGVWLLGNLGRALFMASTSRMWPWSY NVCDANLEKDQRISACDGNPGFGLNPHQGRGAPEIDILEGGGAAISSSVQIAPGMPDE YRPVFPINGESPYCIYSNECKTIGANTPDVPSSITATRGHRSWYQGLRYAAHVCAAKA DQIQVASQINASLELGIVDNSCKLSTCPASFDVNGDRGPVDGKAGSGLYWGVNKDGQC FPVMNGYNGAYLCDPYTTNSKCTAPLHSDGNGNGNKIAPFAYQMDAISANWPVEFAAY SGYLKYQLEWVLGRRGYVRWMIDGVPLFEIPAEALESPPQNAAKSNPKKLMIEEPLYL IFNTALSTSWGTVPPNAGKPCRGDGLDPKVNRICDAYPLYLKLDYIRVWQNTSTMSVG CDPASHPTRLWIDGHKSEYEDIDNPNIDVDGNAFCNDNADCTLGGSIVTGSCSASNRC VCSAPAVCVVLWCC H257_09530 MILMWPRVVLASMVMLSSVVVGRATRQSFYTYNARTQMEHRPSA NRRDIHDVLDVSSVFVLDVGELRVDLPMHDGSRVAFTTRAYNGHIPGPTIYAVPGDTF RIVLQNQLLPGDVNETSLHLHGLHVTNDLTSVLPSQTTMLTYVIPWDHPSGTFWYHPH RHGLLNSQISGLMAGAVVILDRVSDLPPSLQHVPDHVLVLQGVCLTECATVHDVLASA IQGTGLHPPSSTTTFDPQVIIHNNTTLPLKGIQLLVNGESVPSLIIPPSTWVRLRFVN ALANNIVELKLPCTSHLLARDGVTKSHWRQVDLLVMPPGGRADIAMQCQVASTRSVVV VDRNLKRNEWLGPFHHRAPSQVALVILTAHSDKRNYQGDDVPPLEASPPHVTLPWYMT QPNDAAKDLRECDVAYAFTTDVNPSDGSIMFGVNHVSMPARDPQVTILRRNESTMWCV GSNATHSHPFHVHNTHFQVVDSTWNSTQPHLYDLSEWRDTIPLYKDHVRLQFTPAVLG DMISHCHIAWHADRGMGRMFQIIE H257_09532 MYGWDDTFLEFGHFMVQRWLLTRFDFGQHSVAILAPLNRKPTWL FGGVRRSVAQHKAQQPNVVVLVRQCIPETLTKCIDRHLVLLQQRVQISSALASVGHEE AG H257_09531 MDAVAFDDVVEYYMLYRENLLVQCDQESRKQRTLVKMLSVLDFT GFSIARGHDTRFSQMLGATSKLSEKMYPQLVGRTIFVNAPSIFQWVFRFIKPLLSQRT VAKIVMCPGQSSGQALAACPFANRYLGVENIPTFLGGMCRCGGGCCIGGVPNSQTTPF NSVDADGLSSITLSPGSTQSLDYPVGAGMRVSFKLVAEGKIVDVQAFVTANARGTNNI TNNVTVLWERPELQASDGAQQGTWMMPVDGTLTLKIDNRHAMFRGRSIKFKLDFAEGD QL H257_09533 MNTADRGMDLQERVSYIQSATNDKVAGDYDEAKTPGELEDGALV EGGALDLLSREAMGLFTQYAAIGIIYGMIPSLNYPIFNVYLQLEGYQTSSYNTLVTLG WSFKVFMGMFSDCFPIFGYRRKSWMLIGWTATMICLTIMTFSSLGEPYCNREKAAQRN SKACTKPYSKASSKDHDLFNLSAPDNGGLFIILSMFVSVGYVTAACASDAMVVQYAQR EPLAIRGRIQTAIYTVRTLAGIISLIVTGFGLNSANYNGSFSFSMAPNVPYAICLIPC VFVVLSTVFVVQEKKSPGVPISEWANNFWVLLQKRVMWQICAFRFISTMFRNISSTAG TPMSTTWAGVEPLNDSLTSILGNGMFSGILIVVAKWGLHWNWRWTIALGTIGVILVDG MVIFFTIWDVVRNQWFFTGVALADNIPGGVRFIVSTFCAVEIADIGNEGATYGLVTTV SNLAGPFASVIYKVIDSYFMLSQDDLRSDTTQVRWDVTYSYIISFGCKLFSLAWLWML PPQRPQMQELKKKGGQSKLAGIVLIVIFTVCLAFSVATNIMSIFPSTKCYRIAGGNGK LDPKTGNCPLVKSKKG H257_09534 MNTADRGMDLQERVSYIQSATNDKVAGDYDEAKTPGELEDGALV EGGALDLLSREAMGLFTQYAAIGIIYGMIPSLNYPIFNVYLQLEGYQTSSYNTLVTLG WSFKVFMGMFSDCFPIFGYRRKSWMLIGWTATMICLTIMTFSSLGEPYCNREKAAQRN SKACTKPYSKASSKDHDLFNLSAPDNGGLFIILSMFVSVGYVTAACASDAMVVQYAQR EPLAIRGRIQTAIYTVRTLAGIISLIVTGFGLNSANYNGSFSFSMAPNVPYAICLIPC VFVVLSTVFVVQEKKSPGVPISEWANNFWVLLQKRVMWQICAFRFISTMFRNISSTAG TPMSTTWAGVEPLNDSLTSILGNGMFSGILIVVAKWGLHWNWRWTIALGTIGVILVDG MVIFFTIWDVVRNQWFFTGVALADNIPGGVRFIVSTFCAVEIADIGNEGATYGLVTTV SNLAGPFASVIYKVIDSYFMLSQDDLRSDTTQVRWDVTYSYIISFGCKLFSLAWLWML PPQRPQMQELKKKGGQSKLAGIVLIVIFTVCLAFSVATNIMSIFPSTKCYRIAGGNGK LDPKTGNCPLVKSKKG H257_09535 MANFATVSPNGHLHGAHLTSVRNPFDSVVLTTAFVVQEKKSPGV PVSEWANNFWVLLQKRVMWQICAFGFITTMFRNISSTARMPMSTTWASIEPLNDLLTS ILGNSMFSGPIRTKCAGTWRVSHIISFECKLFALTWLWMLPPQRHEMQEIKKKGGKSK LAPITPMYPATKCYRIAGGNGKLDPDTGNCPSTKAKKGEMHSGESVQRSQSVVRIHQM FVIIGHHPHAGWRSVARLQVGALPVIGRTSVRPPVPERG H257_09536 MATALDKMHATVLDAATRKRQKNRERRSKKEGAEMAQFDVDDFV LYMDVCSISHSKLSVTWRGPAQVVKTTSDWIFEIQNLVTGVVREAHSSRLKFYADDAL DVTEELLRHIVHNADGHVVDQFLDCRYNDRMAAFEVCLRWRGLQAIEDSWEPAANLLE DIPTEFKRCVRSNKADPQVKAMAAALGMTESLGGIVANLPFAEPLSPSQEVIQVLGLS PNDYLPLGGIHFVIYLWIGLRKPSHTTYCDREKAEETKLQGVHQASVEEAIGDIVLPH FQAKSYKFHTAGREDRRLRGPRFVQPKRAGQRDLFNILSKFVSLG H257_09537 MSYVRPTRAPIHRTYGEKQDLLRAWNAVEGGGTTLVAFCRSQQI PLSTFEKWLTIQDRINQVSADRVNKHIAPQASVLHQRSKTPVDPRRLQLHAWMAAARS PINLERMRDKVSEIWPEWDDISHPEFKHSNYFAKWCRRVAARFEARRQAKNAASNGTV SDSESNGVDAFDGTETGEQGGGPSAEDTETDEESKPATRTLSSAAAVGSPKSYPSAAA IEDSYDSDFEGYFKMTSLPMKLPKALSSKRGAKASPTKYQPRDNATRQAPVAPASANP KGPAARRGTTKTAAVVAPANPNGPAARRGTTKTPATVAPANPKGPAARRGTTQTPAAP APANPKGPAARSDTTQLPDTPAPATQPGNTDEPAPRAPRNPHNIVHPRLANPAVSPPS PTTNEGAFDSDFKDIFGVATASATHSIPLPLKRGATTPPAKSKPDKAPRKVHDAANVI VLTESDDSGDDIKFESVEADPETLGRLYSHIETCQPGFSLPPRLARSSRGKRFSSGVH NTHCGRCSREFPCNSFRLCDNLMTQTECAPNRCSSMEFCQNQTIRKKRFPKTTVVEDV LLKHGLRIDVDVRSETKIIEYVGEYMSKMDFLRRKRLKQGTTDWYLARVGSNEDLYID AGRVGNHSRFINHSCIPNCRFQTWYVDTKPRLMVVANHALERGTILSLDYMDAGWNIT CLCGACDGNYSTAIDLSSGSDK H257_09538 MRTRPNAKRIRTPTELHPRSQATPCSETRESRVVTPTTPQGAQG SSDIRHETRMKHHSAGHTQTIPSGLPPPTAKQEVTATGTMPPNTSGVSKQYKRHETPR MPRNTAAHITTGAGPRHRYPAQDYVIDYHRAVATDFRRAYVIHLVQVQKDERHRTDTT APPTEAGPLQ H257_09539 MRTTDRGMDLQERVSYIQSAANDKAAGDYDEAKTPGELEEGALV EGGALDLFSREAMGLFAQYAAIGIIYGMIPSLNYPIFNVYLQLEGYQTASYNTLVTLG WSFKVFMGMLSDCYPIFGYRRKSWMLIGWTATMICLTIMTFSSLGDPYCNREKAEERN SNACTKPYSNASLKDHDLFNLSAPDNGGLFIILSMFVSVGYVTAACASDAMVVQYAQR EPLAIRGRVQTAIYTVRTLAGIISLIVTGFGLNSANYNGSFSFSMAPNVPYAICLIPC VFVVLSTVFVVEEKKSPGVPVSEWANNFWVLLQKRVMWQICAFRFINNMFQSIGSTAG TPLSSTWAGVEPLNDSLSSIIGNAIFSAILIVVAKWGLHWNWRWTIALGSIGVILVDG MVIFFTIWDVVRNQWFFTGVALADNIPGGVRFIVATYCAVEIADVGNEGATYGLVTTV SNLAGPFASVIYKYIDSYFMLSQDDLRADTTEVRWDVTYSYIISFGCKLIALTWLWML PPQRNEMQELKKKGGKSKLAGVILIVVFTCCLAFSVASSIMSIYPSTKCYRIAGGNGK LDPNTGNCPLVKANKG H257_09540 MVAKSIEVMGVIMNYPRRKFASYARILLPALDLFQLHALPIDAM MDELKLTIEAATNKVPASLAQGGIYRCIAKQNKNVSDATPDMGLKKAGVEAMVTLVTS SLQAGRMVKATLDVLEKHQPRSFKAFESAMVYVGVHWEAAEDRRPSYVPKQQASSAPQ PSTITSVPKHAKTPSAASLARASSSSGAASKQPTKPATMSSSSSSLIRAKAAHGGGIP ESKLVPHVYQTFTAKSVALEEPARESPLSAILPPPNPRLKPHPNPGIANPPNTHASSP RDGPGSDANFARSCGRKGRAQVALRSLGTGDDVFIERVLLEACADMDGAEATSSNWIR VALNKLIMIVY H257_09541 MLNADEWSLVLPLVQGALNHQPADQLGGIATVTTFTDLPAKTQL AGFVHPTSKEVYVADWLGAARQKHVTDLQVAFQEMHRHVAVQSNKLRQQARGRRDRKY QVKFAGFSVGDFVLVGSVFNLSTKLALHWRGPCQVTRVITHHVRETQQLVPPYKVTRG EIAFGDGGFHVERLDEARCVDGQHQVLVKWLGLNDEESSWEPAANLLDDIPVMFRKWA AANKTDIPVVFHKWAAANKEDPTVAALTKTLDFA H257_09542 MNTADRGMDLQERVSYIQSATNDKDVDGYDEAKTPCQLEDGALV EGGALDLLSREAMGLFAQYAAIGVIYGMIPALNYPIFNVYLQLEGYQTASYTTLVTLG WSFKVFMGMLSDCVPIFGYRRKSWMLIGWVATMICLTIMTFSSLGDPYCNREKAEERK SKACSKPYSNASVTDLDLFNTSAPDNGGLFIILSMFVSLGYVTAACASDAMVVQYAQR EPLAIRGRVQTAIYTVRTLAGIIALIVTGFGLNGVNYNGSFSFSMAPNVPYAICLIPC VFVVLTTVFVVQETKTPAIPFKVWVGNFWDLLQKRVMWQICAFRFINNMFQSIGSTAG SPMSSIWADVEPLNDSLSAIIGNAIFSAILIVVAKWGLHWNWRWTIALGTIGVILIDG MVIFFTIWDVVRNQWFYTGVALADNIPGGVRFIVATYCAVEIADVGNEGATYGLVTTV SNLASPFASVLYKYVDSYLTLSQDDLKSDTTAVRWDVTYSYFIAFGCKLFALTWLWML PPQRPQMQELKKKGGKSKLAGVILIVVFTCCLSFSVTTSIMSIYPSTKCYRIAGGNGK LDPNTSKCPLVKAKKG H257_09543 MSVHNTGKDLDLQERVSYIHSATKDDEPKDGDYNEAKTPGELED GALVAGGALNMCSREAMGLFSQYAAIGVIYGMIPALNYPIFNVYLQLEGYQTASYSTL VTLGWSFKVFMGMFSDCFPIFGYRRKSWMLIGWTATMICLSVMTFSSLGDPYCNREKA EERKSRACSKPYSNASVTDLDLFNVSAPDNGSLFIILSMFVSLGYVTAACASDAMVVQ YAQREPLAIRGRIQTAIYTVRTLAGIISLVVTGFGLNGTNYNGSFSFSMAPNVPYAIC LIPCVIVVLTTLFIVQETKTPATPFKVWVGHFWDLLQKRVMWQICAFRFINNMFQSIG STAGSPMSSIWADVEPLNDSLSAIIGNAIFSFILVAVAKWGLHWNWRWTIAIASVGVI LVDGFVIFMTIWDVVRNQWFYTGVALADNIPGGVRFIVATYCAVEIADVGNEGATYGL VTTVSNLASPFASVLYKYVDSYLTLSQDDLMRDTTAVRWDVTYSYFIAFGCKLFALTW LWMLPPQRLEMQELKKKGGKSKLAGVILIVIFTSCLAFSVTSSIMSIFPSTKCYRIAG GNGKLDPNTGGCPAVKAKKG H257_09544 MADVESTGPTVAATAPVAASKNSQVIKVYMPTYIMAPTEDERFR RKTVCAIVSDCLAKELGNKEYDEDDSKLWCASIADAVKSRIRTECNFPRYKIIVQAFV GQQKLQDVRIASRCLWDNDHDNHASAEYANQSIWATCIVFGMYAD H257_09545 MAPNGFPVDTMFPTDTPILGNMNNDTVIFLDWDDTLLASTWVGK LGLRPKYVNEKPAIPDDVKSQLEELEECVVELLESAVKYGRVVIITAAETGWVELSAS LFMPRCLPIISEHIKVVSARSTYEDLYPGSPKKWKIEAFQHEVYFCDNVTDVVPKHII SIGDGPTEREALINLKLSGSSQFHAKSLKLITYPNAIELKMEVRLLLDNLHTLCTHEE DMDLQISKEAIAAQSA H257_09546 MEILSSVARLGIGFPLRVASDLSVIPSIAIMKQNQRHFEMFIGI FHVVVSCLANAADVYERTTDAPLFLTTDQWNGMLDVLWLSFLYLLVVHLLSIKNENVN IVLRYAGFSLAWILKLKDGPATHTYSLLMVLAGFSAVVLRRNLFADKYMLPLRKREMA TSVALALFCTTIFLYAFDIPIDGAYIRAAFYCCLGAFFYFGWKCVPVGGDNGTSKKWD DCDVVSSEFI H257_09547 MTEQWCAGDISNLEYLMAVNAAAGRSIASTTAHAVLPWVTDFTL PYIDDGTCHHHLRDLTMSKFRLTKGDDHLDLMYRHTGHHIPENLSDLSVAIYLARVLP RALLQRVVRSTFEPREYPTSLAKMAASSPDECIPELFLDPSVLVSCHDDMLSLDLPSW CSTPDTCIAYHRRVLESPFVSAHLHAWIDVTFGVHLSGASAVRHKNVPHMGFVQVFHH PHPPRTTSQTTRPNDHTYTTSSPQRNDVAATHGLVVDEEYFAPPRSSPAARQSSGRKE KPPHRGVSLNGFHARHKKLPTAKSFGARYATVLSPAYTLDDSGVAGSWFSVGCIVAEL YLKRPLFSGHSIVEFALGGKLYGESFSVHKALPQLASLPTPIRRVVVALLHPNPATRS LAVQSFNHLTSSIGFPPYFGTVWAYLASVTLDNWVDHTVDLWQSKDKYFLPRDGIPLV VPTIRRLLARSTPPQQADAVRRLLPTWSHVWLDQLAFHMLILRPITTLLDHVDDIALQ VSLASSVETSGLGWLRNVWHHLGTSFVLDHILPVLLTWLRVGSPLLKRVVAHTLGQWA QGDYMGPVVVAHEVLPSVIALGDLPPAALPSPSPVHFSPNGVGLALVHICAELHPTVL HTVVLPPLYRRVLKGIRVLEDDDAWSPPVSPPPTTTPLTPQHIDVYMTCKTIRQLVSL LPGDVAAYHIPATVALLSSSVRGDWVVVSSLVHTVLRLGQVVGTDATRLHLCAPLRLF LVRFPHAQFPSYMTQFQHLVGFEHFDSCLGLPWSMSSATPFKLPLLAPISFLPVAHLS PAMALTTEAACQVLARRRPPPTRRSTLPPRWTTYTMPWPPKGLVVHEINRDARTRRVR AMAADGGGRWLVTSTSDGVVRVWRTANMTMQWQEQFKWPVHTILPIGVGGPTPALVHY HMVLCDAQSVYIVNAHADNNTMTAPIWKWKRPAQPLVAVHVVTRFIRTGSCGYVVVAV ATAGTVVMHSMDNVPWYYPHCEKQNNKDQDAAATATHTVDNLPGMNVLDPTLTTEWTL NGGGCISSLGALFGSLAVGSTTGHVDVVDPWTGRAHCRWQPHPLAKVVLIAQVSDTTF VTVGATDKQAILWRWPSCHPILYVTNLPDEIQSSQVLCTTRKSDQSTWLVVGHGTKLA FQCLWPSPPTRSVQMTWQDLVLPPPRQTIQSVVVLRHMVAVGTDSGAVHFCV H257_09548 MTTGVLLRACRGVAAAAAPRLRPSSTMFMASSVRFFSDEKVPTN TGRWVVGDSATTPLTGNDSDLLKFVDQDSTETPSHVSPLSTPEILRVGSGDDEETLVI PGVENDLESFEVAEDDVDDIDDEYDDDEDVSSLQDQVYEDWNIDDNDLILPEMMNWML PKAQREPLRAPKEKIWTEFVKADLDPVELALNVDLLRLFISPTGRMLPRRFTGLRAKQ QRQLAAAIKNARQMALLPYTSRYPMPSPEQMQLLTEQAVAMYDDFDFESGDHERDEDD VDAMYVEE H257_09549 MNPSIASCFAFVKEARHRTLTREERLDILCLHAYFRSQGTKAAA AKVAELLGRGLGVVKEVWREYLQSRSVTVAVPPSNTTTHSTTVPRTKQVVSMVQAFVR GRRATRTRTTAVDIVIFLREICVLDFDLEDKKVYSLHLRSVQRFLKYQGYERGNKKGL SSYHLSKKNTVARDLYVQRMHPHVGSASRPAIVYTDESFVHHHYKCHNQSLYHPSDVL DVAQKEKHKVRRRVMALDIFTGGTTLAKEPKDYHGMFDHAYYVGWFQRLLDELDEIRV SNALIVMDNAKYHKGRPSNTPQSRHRKEVLIAACTMYGIPVTGTEFKSLLWEKLAAYI ETNVLPVVMTMASERGHTVVYTPPSPLRPATD H257_09550 MAEDKKSLKKKSKEVHELIAPEATTPVLDTSKWPLLLKNYSSLN IRTGHYTPIASGSTPLKRNLNDYVRYGVINLDKPANPSSHEVVAWIRRILRVEKTGHS GTLDPKVTGCLIVCVDRATRLVKSQQGAGKEYVAIVRLHSAIESQAVLARGIETLTGA LFQRPPLISAVKRQLRIRTIYSSKLIEYDQDRHLGVFHVSCEAGTYIRTLCVHLGLVL GVGGHMQELRRVRSGVLGEADTMVTMHDVLDAQYAFDTYKDETYLRRIVRPLEVLLTT YKRIVVKDSAVNAVCYGAKFMVPGLLRFADDIDINEEVVLMTTKGEAIAIGIAQMTTA VMATCDHGVVAKIKRVIMERDTYPRRWGLGPMAQKVKGLVKDGKIGKFGKVNASTPAD VSKVYKEAKEDKEVVVPPLVQEVKEDEVVKKEKKEKKKKAKRDAEEVQVQEAADADEP EKKKSKKKKKKADGNDSD H257_09551 MAGLQRETPLTAFSDLVKEWEKCVAQGTSRLTQIANANQKLSHA DGESWAGLADANALHVTLRHKLEREAHQCWQHLSDILDQLGDIIVNMRALCFLDVSSS SQDYEIDPTLSRLHYDLYLQQLVEMYQQELMAKALIAVDIVECYDHDTAVVYIASWQM QPFVNKPKQDDLAQLLAPPAAKKPESTHKLVK H257_09552 MDQPASTLHTKPLAAIVLSGLALVVIGMALYWHRRRVLDFLSSC RQYARHATKPTMKYRAFLDDSHVVDEWLCWVCYHKNQHQNSTCAMCRYEFDPASYSAN LSPTALHRRSQESTISASQQRIRNRHLWKRAPVSPNSSACMMVHWVHTPVLDISNLLQ GKLPDGAALSIVLDGPYNEATSALMDTPAQDSPVAYLRVVQHDTSTWVRADTVRWPSS IYTDADVSWDIIQAVATEPFSAKLSWFHQLLHAGSRDHATGYSLVSVHRDEVVDSSMK QLLAIPPSSMRQRLKVEFHNEPGVDAGGGVLREWFGLVSRCLFSQWFQPTHTPDVAYW FKAGTSIPRDQSPEMFVFAGRVVAKAIQEGQCLSVHLAIPLWKHVLGMPMTWHDLVHV DADLHQSIAWMLTHDNPLEDLALDFTMHGVPLMAGGADVAVTDANKFEYVDKLVGYLL FEAVHTSLEAFLQGFYDVLSPPVLHAFDAFEMDLVLCGHDDINATDWHVHTTVEYLKA TPASSLPILRRPKRDHHHQQQDVIDWFWRIVHSFSQVQKAKLLQFVTGSSRPPIEGFR ALTSSNGQVCPFTIALSAATSKFPVAMTCFNRIYLPLYKSQAEMQEYLTMVTEMDVTG FTMP H257_09553 MRQLFHPPTCSFLSPPRPASTQPESRRHHLRIVLDKATDLPVGD SILAGGASDPYLKFSFGAHRICSTVVVKSLNPSWRREQFEFVLTEDEIQTHQHLQIEV KDYDMAKSDDLLGTLAFDISAWQGATTLTDMTIQAYPIETPKVYTSQRVQPLLYVGVA LLSELDATDLVVMQIWEHQRRGVTGGWHHSHLKSSDPLPWSSDHRSHVGGVSFVDAVE ATPAGYKEVGPWEFKVAVGDPDGWVYAVSADGPWADQRLLTSNVRRRLWARQCRRDAL EGVLCMVGEEKGGEGAP H257_09554 MGCNNSKEGEVQNPRMHPIRASGLDHMPDDLASDLVQHTVVKDI ADTFDFHEVIGQGSFGSVMKATNKKTNKPWAVKVVELNNELDKKALLNEIGIVKRLQH PNIVRVIASYEDSKRMYMVMQLLPGAELFTHLHVRGRHFTEVEVRKLILCLLRAISYL HSNQITHRDLKLENLLLENETQPTSLKLIDFGLSKFVKKGERMQQSLGTIDYVAPEVL DGDYNEKCDLWSVGVICYELVTRVSPFHGDTNDDTMNKIFDGLKPSFFHGDVWQTVSP DCINFIKSLIQEDPAERLSAEQALNHRWIRTSAAGVIDDNKRHLFMKMVAFGKNCNQL KSTAMLSVAMGVSEEHIKPEIVSEVFHSMDKDKDGTLNADEFCAALEEYGIGHEEALS VFARMDQSKRGKINYIEFVAAVLDEFGDDTMKEAFAILDAEKTGRISVVGLQSVFKNA KESDLKAMVASADVKGNGSVDFEEFKLMFTDIAAPPIHSGTTNFAAQSSQAALLGAGD SGVHDAEVSGRTL H257_09554 MGCNNSKEGEVQNPRMHPIRASGLDHMPDDLASDLVQHTVVKDI ADTFDFHEVIGQGSFGSVMKATNKKTNKPWAVKVVELNNELDKKALLNEIGIVKRLQH PNIVRVIASYEDSKRMYMVMQLLPGAELFTHLHVRGRHFTEVEVRKLILCLLRAISYL HSNQITHRDLKLENLLLENETQPTSLKLIDFGLSKFVKKGERMQQSLGTIDYVAPEVL DGDYNEKCDLWSVGVICYELVTRVSPFHGDTNDDTMNKIFDGLKPSFFHGDVWQTVSP DCINFIKSLIQEDPAGIDILALVLVKSESFVVERLSAEQALNHRWIRTSAAGVIDDNK RHLFMKMVAFGKNCNQLKSTAMLSVAMGVSEEHIKPEIVSEVFHSMDKDKDGTLNADE FCAALEEYGIGHEEALSVFARMDQSKRGKINYIEFVAAVLDEFGDDTMKEAFAILDAE KTGRISVVGLQSVFKNAKESDLKAMVASADVKGNGSVDFEEFKLMFTDIAAPPIHSGT TNFAAQSSQAALLGAGDSGVHDAEVSGRTL H257_09554 MGCNNSKEGEVQNPRMHPIRASGLDHMPDDLASDLVQHTVVKDI ADTFDFHEVIGQGSFGSVMKATNKKTNKPWAVKVVELNNELDKKALLNEIGIVKRLQH PNIVRVIASYEDSKRMYMVMQLLPGAELFTHLHVRGRHFTEVEVRKLILCLLRAISYL HSNQITHRDLKLENLLLENETQPTSLKLIDFGLSKFVKKGERMQQSLGTIDYVAPEVL DGDYNEKCDLWSVGVICYELVTRVSPFHGDTNDDTMNKIFDGLKPSFFHGDVWQTVSP DCINFIKSLIQEDPAERLSAEQALNHRWIRTSAAGVIDDNKRHLFMKMVAFGKNCNQL KSTAMLSVAMGVSEEHIKPEIVSEVFHSMDKDKDGTLNADEFCAALEEYGIGHEEALS VFARMDQVYIYVYVQSRYIAL H257_09555 MSSAALLPLRKATALYNYTPDEEDELELHEGDVVCVIKEQDDGW CRGFVESDSIKHMGLFPSNYVRNVTPSPPSTISALPPSHLPPYRPVVTVKTPIARRAM ASFDYLPREPDELPLRMGDVVVVLETLDDGWCRGYIASDSSHHEGLFPANYVDMQEDS WTITSHLGNAASKSPTKAAPSKAYPALDNTTPLDDNESDDDDPHWRHKGKSINLSHSG SRALHPSAVEATEPRASLESMQEPADLKEQLLPEGESGYYDDRGNYVMADGGYYSPDG LYFPKILDPTTTPAGYYDADGYYITDSGYFDLQGHYHDNTTEATTTKQSKENAAKTGT KSIGSVVQLKQALKRAKANADAAQAARREAETQMQMELEARRRSERAAAARVERERAH EVQTKVIQQTIQNQIQKQLAKSTPPPFAHQQHEVQPVEHIQRWYRSQTSRRRQHKQRD VAATRIQKCGRAYVTNRRKRQQVAAATSSRRVKAALASKPRPLTPQHAARRIQAHGRR YVARRRRQQHVPSTTPRIRGREASARRLQRFWHVYRCKQRLLVVVRQRRVKKTTKSID AVKQQHSPVKRNRTNQAARTLQRFWHVYRCKQRLVYVLGTISQQKMRPTKAIITSSWR QHHKAATRLQRFWHVYRGRARLRAAIALGRQKQKTAAAPATSQRPPPLTESTGMIPAF PSKAKATDKRHASFAHDQAARRVQRFWRVYLGRTHLRQVITAVARKQPRPRHLPPKNT KATASPPMRPPRLNSPASALHPSSSLLPIFTTDVAQISQLATLIANSVNVELSKRMRA HDLQLDRLVTSVTHLQKAIDKHNGSLERVFSNQDRPPPQDVKAVLGNAKLPSLLPKAT YSNYVSNVVVAPPTTSTGLYYNSSPTKQLRSIKQLPSKLPQQTSSRASKLPVLRSPTK ARTKWPSRKLK H257_09556 MGAGTLSKPSGSTTAEATIDTITNYSAQEIATIVIGFGAAYEPY GAKIVDNGIDGQLMGELGIDEVSSIVQTLGVTNAIHVKKIALFFKSFKEKQLGITLAS PPVDSPAISAASPARSTGSRKIRRTSIGHSVEKQQTSEDVLASLRASLATDNKEVSPD PIAVGAAVPSAATPPAQPTTTSSSPLPEPAAQATTELSSSQPLVSPTAAP H257_09557 MGAAASAKPKYRQELSSHDLSRKLSKINLQPGALQQQTEEASER RRTVDAILQQVRQGDTNNVTPLEYQVYLAYATGKDSLGRPMRDRVSAIHKSLVAKGLL VWFDADKSVASSKMIDHGVLNSNVVVVFLTRAYMNQVNSDGLLDSNQYEFCQALATQT MTHIVLCVMEEDLKRYDNLTGEWREIVGDSECLDFTDEAFLDVGCDELADKIRTLNAK PRVPLDMGLSGIKELIRLLKNSLTPRPTCTKVMKRLVGLAMLAPFADKMVAKGILPVL VRSLGTNKVAVPNTELALLLLKIIARTSCVYRRKIITLIESRHKMPLFTMLLKEGEGS TKEHTSGLVRNLFSGGSIKVFEKNEWFQELVKMLVQLLEHGLSSQQYEAGAALGAIAL NKEYQPSIVKENGIRVCVAQMTSQSTVEGVRDKSALVLRCLSATEENQREIGIEGGVE AFLSLLQNGTQAQRETSSAALNWLMEVPENRTILVKERGIRTLLNYVVRGQKFVRQQS ISALSKLAPHSEFQVPLAQAGVLGPCVAIMETGNDSQKTAACNILLAVASTEAVGNMA DTVPSVVKLYNTGTLSQKTAAKQVLERLCRHRALRGTILGMVGADDLMLR H257_09557 MGAAASAKPKYRQELSSHDLSRKLSKINLQPGALQQQTEEASER RRTVDAILQQVRQGDTNNVTPLEYQVYLAYATGKDSLGRPMRDRVSAIHKSLVAKGLL VWFDADKSVASSKMIDHGVLNSNVVVVFLTRAYMNQVNSDGLLDSNQYEFCQALATQT MTHIVLCVMEEDLKRYDNLTGEWREIVGDSECLDFTDEAFLDVGCDELADKIRTLNAK PRVPLDMGLSGIKELIRLLKNSLTPRPTCTKVMKRLVGLAMLAPFADKMVAKGILPVL VRSLGTNKVAVPNTELALLLLKIIARTSCVYRRKIITLIESRHKMPLFTMLLKEGEGS TKEHTSGLVRNLFSGGSIKVFEKNEWFQELVKMLVQLLEHGLSSQQYEAGAALGAIAL NKEYQPSIVKENGVRDKSALVLRCLSATEENQREIGIEGGVEAFLSLLQNGTQAQRET SSAALNWLMEVPENRTILVKERGIRTLLNYVVRGQKFVRQQSISALSKLAPHSEFQVP LAQAGVLGPCVAIMETGNDSQKTAACNILLAVASTEAVGNMADTVPSVVKLYNTGTLS QKTAAKQVLERLCRHRALRGTILGMVGADDLMLR H257_09558 MSSPLPSPVKLAYSHKSETPVDILTQLSEDALSLGVTCFFTSQG IALKIHAGTSLRNAFVARHNSHLVWHGQDAFKYRLSLEAIVTPY H257_09559 MDVIRHLHQRSTLDKLTRGAIASTTSEFKVHRSTISRIWKTFHQ NALLPSLKAGRVGRPTVYTPEVVASTVRELPLSLRGTMRDMSKATGIPLPSLHRALKA GTIQRRSTRIKPLLTDENRAERLAFCHSHVRPSGPHGSRSAASGAGKAGLSETVVLDD MWDVVHLDENWFNADKNIRKIYLTAARPRYDEARGMCFDGKLGIWLFLEFAPAARNSR NRPAGTLVPSLVNVDADVYRNYVMTRVIPGIKAKFPSASKRVVLQHDNRSLNQ H257_09560 MPINANAVLSRLQDQSIRDQSFLKSSLRESRHQFEHHPYALYAV DVKFQPSLRPTGRFAKQKHYYSGKHGMYGYKIEATVSPNGRCVAMSESHPGSVHDFTI MHSRQEVHKAMLMKNQQDMLLPDHGELSAQYREQWACLVDMGYIGIANTLRGIHPKRC LVNGVLDASDVERNRLISSDRVIVENYFGRVCALWKASYATFTWSEKNYCAIQRTTFA LSNFHLSLIPLRLEDETFYGMVLARYERMANEKKRKRAETQRRYRLNRQERAALDHIV FIFVKLCNT H257_09561 MSTRQRNIAESNARLSYDFASNATPHGGLTDTDLASVSTDGWSF VVRCQPPNSPDLNVLDLGFFASIQTLQYKLVSRSLGHVVHATYAAFELSDGDTLEKVF LSLQAVMRLVLENNGGNHFRLPHLRKVALRHSRALMSNVSLD H257_09562 MAHALQRKTATCQWPAVQRVFERSAVGSRLGRSSSLPPYAHTEP ETDATKEVLHDDAVRSHSSVAVKVGAVKGSPAQAASLGGWHESCATDPHTPSPREQPT H257_09563 MAPSVTLSSAKKPKSPAEVLSQLSEDALSLGVTSFDVYGDFNTS SSPSWLRTFQAEVAARLGKPRALFVPSGVMAQGIALKIHAETTQRNSFVARHDSHLHL HEQNSFSHLLNLEAVIIPPPSPSSCSSPDGFHPSLSFDEVKSHLGDPSSPPATLVLEL PEREIGGKLIPFDQLQRVSQLARSRGIAFHLDGARLWEAEAGYPDHSLHEITALFDSV YVSFYKGLGGITGAILLGDDAFIDAAQVWLRRFGGNLFTQLPYAVSSWAGFRRYPHAE FVARRRQLQAVVAAVSEAVPAIRFDPPVPDVSMVHIHLPGSVAQVTAARDAAELATGI RVFAAARPSKVDATKSYFELNMGPFNLDIPLETWVRGWTAFVDALLHPPTV H257_09564 MGVGLLSKPADVAAINYDDIRVAPSTEVAQWFDSLGHDYHEYAT ATLDHGIDGPLLRSLSSSDLPILIEALGVTNPLHVRLVATFFHQFKFAQRTAERPEPS PAEQHQHQHTFKPKGMPMVAKHYTPFRKTTLAVPSPSKQIQHTKTIRSKSEAFISVPD VCSDYQAYFSYVVGPDDNGNPIKDRVGAIHALLIAKGIVVWFDSEKAVPSPKLIDQGL LHASVVIVFLTRSYMNQVNGDGVMAASQYEFTMALRHQTMSHMLFCVLEEDMMRYDSL TGEFRELVGDAACMDFTDDSFLDEACDELVETINRLDSTKDDFAQPNNVARWGVSALI RFLKQPTTPPDFIDEILHALVTMSLQTRLAEKMVTKGMLPLVLNMLKLHQHNSTSERG LELMLLLLKVLARTNAVSRRKTISLVQDMALLPVFLDLLKDGRGAAKENAAGMLRNIF GAGERMSTLPDVTTSEPFKSLVTLLLSMFVQGNVNQQYEAASALSACAGHRDFQTLIV RANGIRICLVQIMKVDINECVRDHVAIILRCLSGTEANQREIGSEGGVEAFLTLLETG STGQRETSAAALNWLMEVEDNRCILAGDGGIPTLLKFIKTGTLFTRQQTINALSKLAP HNLFHAELASAGALEPCLAVLDTGVDSQKTAAAHVLVAIASTDKVDAMAKAIPIMVKL FYRGSTAQKRLAVDVLSKLGRRKQFKQIILGSGIPEDVLALG H257_09565 MSTTEGTIQWSCVPFRELSVHTLYDAMQLRSRVFVVEQKCIWVE VDGYDKGCWHVVGTAPDGTIAAYARLVAPLLKGPHQKRPIISRVVVPPEARGTGRGRV LMLKAMDECHKLWPTHGIEIGAQQRLEEFYASLGFKAVSEPYDEDGILHVDMHHDYVK STVTAL H257_09566 MEVHFLGTGPSTGLPSIRCLLSDQLCTVCRDAHTNAASKNHRNN PSLLVRYNDRNVLIDCGKTFRDSVLRVFPAHNINHIDAVLLTHGHADACLGLDDLREL QVLQTTRCEETGELKKIATTPLLLHCHARTKDEVLPKFEYLMDKPLPPGATYRWTAKL DWALFEDLDTFTAAGVTFKALPVLHGKGYICNGFEFGGDVGARFVYLSDLTDVPDATV VALTNQSPIDVLVIDALYLEDVHGTHMNYRQAMDVAKLLRPKKTYLIGMGDDFDYDQT NSVIRTEMLQSHGLDVEMSYDGLLVHLG H257_09567 MVVTWQFAGALSDLSVTTLYEIMQLRAKVFIVEQACVYLDLDGY DKACVHVIGTSATGGDAKIVAYARVLPPHTKGNSQQVPMIGRVVVAPEARGQGLASEL MVKAMDVCTERWPQGGIAISAQVHLESFYSRLGFHTTSAEPYDDDGIMHIDMHRP H257_09568 MTKRLKVHANREVCIVGISRTPIGSLQGSLASKSAVELGAIAIQ SALERSGVSGDLVEEVFLGHVISGGTGQAPARQAALKAGLPNTVPCSAINKVCASGMK AVALASQAIMLGLRDIVIAGGMESMTNAPHMSNTLRGGARFGDVSFVDAIQRDGLNDA FDNVPMGNFAEATAAKFTLSRADQDGYAASSYHKAVTATEQGKFKAEIVPVPVVLKRG HAPSYIELDDEAFARSVSVETLGKLRPCFTPAASVTAGNASPISDGAAALVLVSREKA IELGLSIVAVVRGFDDAAHDPQWFTTAPSVAIPKALQRADLTVADVDFFEINEAFSAV ALANTQLLHLDANKVNVYGGAVALGHPLGCSGARIIVTLCSVLAQENGRIGCAAVCNG GGGASAIVIERVPTSTPA H257_09569 MAKKDEVKEAVVEPSVVPVDEKPKDPPGWGMVWRVLTPEQTAML LGLSSPSAIIAALCDVLHVEHYADNPRSRVYVDFCFYNFMFAKEDAGFSAAQLALFLA ITTSVFDHATTMTSVSNAENKSPPTLADNYAVFKQLVKQHSVDMVPQPPQHDTTTDNQ NSANVYVAIYSLDDVQRIVQYLTSTFYRHFKAFQWAFQAHPEFVRQVRHVAVETPLVP PPMAFALELVASQSYACPSLSTGISPHDLPKATTPVNDHASIDSTNHTKNP H257_09570 MSETQPLQDMLNRLHERIAQLEAHLGFKSPSRDAGSSSVGQAAA PVVELAPRITAYDEYTASYLTPFLAAADKLGEEGKAFGAIVKSAFDGQRTYLLAASVS KKPTTGPVPALLAPIQDAIKSVNKLRVKGNEFVNHQKMLGEGIQGLGWLCIEPAPKPF IESYIGGADFWGNKIRVEFKATKPEHVDFVATFKALLTELMAYVKEHHTTGVTWNPRG GSVDDFSASPAAAAPAATPAPAKPAAAAAAAPSALNALFSGIKSIDQSSGKTAGLRTV TKEQQTWRAEYKADGAAPPAPTPKPAAAAVAKPAAQPSGEPVCELRNGNWYVAYQKDV FTVKDVTMKQQVYIFGCVNATILIDGKAKTIALDKCVKTKLIFDAAVSSIEIVNCKNV QVQCKLKVPSVAIDKTDGALVYLSYEGRDALIVTSKSSEMNVAFPASATSEDYIERPI PEQFVHRITDSNTVVSDVSDLYSH H257_09571 MATPEERKLHVYNEALMHASTCRLSECFEFEGRCQKIRSSIHHF LNCYTKRRTTSRIDEIEECVHCAKIFRLLCFHASHCTSSNCVVHMCNYLRRKIEKSTP SLPVLRQESSSSSSAWPVERRVAEAENNRAMVIDMIAHLVRVKHTNGEEIHGIYNKYL H257_09572 MSKKRGKTTDGGLKANKKGMPSSSQIQITYYSAKPSSKSATPST ATTSSKAATASFQLGQVVDIQQESRGKWKRGKVVHIRTAVATTSSRKKQQIVLYDVSY EGGQMECEIDADRLRPLKQVESSPFALRPPATADILEFTKMAEAKKVSKQRHTAIDST HDDARLTTADPSTLLTKRQTFGDDDQSTTEHLFKSEDQVMEHQAEIQRILDDLFSIHA DVHCVKKALSALLKLLRLAPQITADFFHFKAGETILLHIIRSHQLYSVLQCYGFVLLR KMCHLSVDSCAVFVQNGAIPAIAVALRAFPSDPIVQASGSGALSALGQLSRHAVQVML EQNVVPLLTASLVNHHDINNHTRQVQFYASEVLLEVCDHSGAPVAAAIVDPVDDYLTI RTLVHVLRKSLKLDDKKVSCSICTLLLCLLSLNKSIAQVLRQVDAIADLSIVMAKYPS HEGILKYSLAATRELAVASMRQSPSTKVRQTARIILEEEPLKRSKPASPASARKKSKT SKASSLTTNLPLPSPSRGATKKEPTTREKLLLQTYGFDPSSNAASNVVATARASKSRQ GSSPSRKKPSITKQPDAFLNSTTASTELRQPMAELLRPHSSSKLLPLAITDPPAVRPA TMATTQPIKRDRLDVLVVPASPLAELKSFATELFEAVNDDPLTPLSRISFADKLHRMI EKAETSLDPVYVAAPARTSPAMPPPAHVKPSQPHHPVDTTTPVNLQNGIFTPLSQKHP LTVGAKVKCRFNGGARYYAGVITRCSRDNQSFDVDYVDGEQECEVPVDWIRLLETPTP TTVSPLPAKFTKGDVVEARYKGKSKFYPGVITRVTDNSQSYDVLYDDGETESNVSHNL IILVCRNERQDKANSPAGWKVGQKVEARYKRRQKYYKGKVARARSNGTYDVEYDDGER ETGVDKDMIRALGDMDERPQFEEGDLVQAQYEGNTRFYNGTILRCRLDGSYDIKYDDG DIETFVAAELIRKRTPPPIVPYTVDARIEVVKGARSMPGTITKVSNTTGLVTVLYDNG DKEKVPPDSLRPCAKDESFERHQRIEARPPTSHVYAQGLITNCRFNGTYDIEFESGEV ATGVAPLLIRSVPWPPHEAEYYPLWHTGDIVEAKVRGQSKYLPGVVARVHIQGQTTAL YDVHFESDAIELRVPEDAIHLLHRAETPVFASGDDVTKNGKFAKVCRCHMDGSYDLKY SNGLKEIRVAKAGLVLAPADAETSGATTDLHGDLVSSWFQEPRKPSQTVAAVPGGTGD PTPDEAVPPERSGPHAIEIEQSTTENLDRQQAKQATAAARESAKYDEVEVADSVDETA ILLTGQVESTLDQATSEPRNRDTLENSTKEVGAASDAIAYESSDEVRQDQRMPDAKET KQDEQFEVSGIDSTKTTEGDITVARHILNDNFNGSRDAIATSEAKDEVKATDSDSVAG RFENDDGARHIERDAETSATNAEVESKARCADEMKAQTSSGISDDATSSSETLPAIDL NPPEDEALHRLNLKNVNDQRATPNQLATRVEEDPFARALAILSVAGGAVDGFIKAAVQ GALAIAASLQPQLPEEGPSVQDANIEIALAESQPWDCPGTNMVVIPAFEFAEVVSAFP EVSSIHANIVHHNSDTPGDELIYRNANPQLQLVKSLSASTASLVVHQSIIEGVQRVVS RQDFVPLGGMAPASEDVFEIVQPKESANDTTENDYPNPLSDIVPVVPLLKMPSAYPLS RLLITPAHTNVAVLVVNDVIQRALEAAASSNSTERQCCPFPPSPASSFRATAPRERRS DVPYFVPPLSHKMIAPPLRASTIRPLTPTTIVSQLTRQFVDQAMTNALVAYASFPNLA IVGPPVTRQFVDQAMTNALVAYASFPNLAIVGPPGTSNTSAPTGQPEIVLESVVAREE SSMQAYAELELVEIMPASSHQPESNRSTSQLTDTIDTSPLHAERPGTSPESITTPDMA GVLQTPQCASLPGSQVDDTIGSDNEDNEISFKVAMTAKSFVLLCLYNGLVQASNQLQE STDGTVHMQDELHSTHDDDIEVTDGCAIESSNRSTMKCPAVGTKSITLLAEQVAAKSM TEGILLQATKRSAIEPESSPSTKDELLETSLNNEDLKNITIYATQINDDIIPTTATKV VNEIEFDLPHPGLWVKSQHAVCNDQPTLVNQDLHLAAPADSSTRNEESNQCTHEATAA VQFIVSSVVDKLVKKSESQDEPLSVDIEPLSRQIHSVANDTGLDGTKNKSIRQDPDTS FSRENISCSTIDQEDDIPSDRHIAAEYTNDFIPSDAHCEIDKDMSAEPAETPTDKATE DEPAQPSPVHDEGASYGQDEEFDQPEETQATPDAALPTADTPVEEQEFEATPSVEVHP QELAAPSESVESHATVATDVVAAPVDSAVKEDVVADDIQAYANDADEFADKATPAETP TDKATEDEPAQPSPVHDEGASYGQDEEFDQPEETQATPDAALPTADTPVEEQEFEATP SVEVHPQELAAPSESVESHATVATDVVAAPVDSAVKEDVVADDIQAYANDADEFADKA TPAETPTDKATEDEPAQPSPVHDEGASYGQDEEFDQPEETQATPDAALPTADTPVEEQ EFEATPSVEVHPQELAAPSESVESHATVATDVVAAPVDSAVKEDVVADDIQAYANDAD EFADKATPAETPTDKATEDEPAQPSPVHDEGASYGQDEEFDQPEETQATPDAALPTAD TPVEEQEFEATPSVEVHPQELAAPSESVESHATVATDVVAAPVDSAVKEDVVADDIQA YANDADEFADKATPAETPTDKATEDEPAQPSPVHDEGASYGQDEEFDQPEETQATPDA ALPTADTPVEEQEFEATPSVEPCNGGH H257_09573 MVAQKLQYDHNLSGQPLRVCLVGSGNWACAIARIIGENTGVSNS SMFEPVINMWVFEELIRGRKLTEIINQDHENVKYLPGYTLPSNVVAVADLKEAARGAD ILVFCLPHQFLPRLLPDLQLVLKPGAFAVSLIKGIDFNENGGIVLVSDIIRNGLGIDC SVLMGANVANEVAAGDFCESTLGTHHLHHGDILRQLFHAPTFHVNIALDPHGVELCGA LKNVVALGAGFCDGLGYGGNTKAAIIRLGLIEMKKFCYRFFDGIKEDTFFESCGVADL ITTCFGGRNRKCAELFVKDKGVTWEEMEATVLNGQKLQGTGTAKEVFHIIEKTHSLPE FPLFAAIYRIAFEGADPTTIVKL H257_09574 MSRWEQSAGITVPSRAFTRTKSVAHGLQTGEKVYRGKRPMTFDV FESLCVKSMALNDGGYAHLFLDRFGKSLAALVCGGNSKAKKDIGTHSIRKGAATFVSS GSTGGPSIISVCLRFGWALRNVMERYFRYEAAGDQFTGRCVAGLSLNSADFAVLPPHF SGGNDLVVGQAVQIMFPSLCSEIHLVPILQLILASLVYHRNFLIGSLPPHHALLSTSL FTNPDLFAKSSIASALSSIQQSNCAMSPAQQLQIDSQPLVYEPFHWGGKFHKLPQNFV FPSVDAATAWKLWWRGNIREKVLPYRKIDTQDLATRAARNMFYEWKFVLEKLTDHFVS VSGRNMALNPSEQEVSTSFDTARGLFDQFCGETSAKRVRRDGQLKITTLVRLLRQLEP SKTPRVFKKRKRSEQRAGSQSTTML H257_09575 MPSPSPSKGKRQYYSAKTKLSVVMEHQKGTCRAYATAKRHGIQR WIQHLPQLKQAVSKRPSKLTVSECPKVAAPELEAAVLEYCGTLRDSDIAVSTNMLIVK ALSIDPTFCGGIHKRLFHWLYKFMERHTLYIRCPTRQGQKKSNHVTQVMNDFVGNLSR RFLPFGILENVPMDRVVNMEETPVHFKPKVHTTISKKGATTVSARVGSTHNPCVSLCL AVTATGK H257_09576 MPAMVKNIVPAMAALSAGVTSAFGTISQFPTEMTILMDQTVDPC TDFFSYSCGTWYNNTHLHTHQSTIDATYAVIKAASDKLIEKLLNDKLPKLAEFYDACV DTTTIDSLGLAPIEAHLKAIRSAKSAVEAIFRGTAISKATGVPLFVKLSVSADTVETT RNVLKAEHSGLPFDQQYFDEESWAGVEKPYREYIGRIFMLAGHPKEEVETSIDVVIAF ERLNAGVELSKRRLQEAVTSNDIRLPLGAANASYPLGLGLQLQGIGFDVREGSNTTTV VVKNFRYLGSVERLLRSLSVDDLKIIIEYKVLDFNAPFLSTQFAKAHSKFYDMVIKGL KEPPSRATICRSQVKTSIGELLGSYYLKEVWTADTSAPANSLVFKLKEAFETGLDSAG WLDDTTRANAKTKLSKLTHLLGGPKNPKTYTTLTFDPKAYIANLNKVTAFDNAFNLVY IDTAVDKQIWKITAQSVNSYYDGSSNTIVFPAAILQPPLFDPNADPSVNYGAIGAAIS HEITHGFDNRGHNFDGDGKINSWWKAAARTTFREKAKCFIEQYGSMDVKSELTGDLLG KLDGALTLGEAIADNGGLNVAYRAYRDYVHADADATKYTMEAGDKMFWIGHAQSLCIK NSDDNLRVHLTGVHPPGRHRLIGAVQNSVDFAKVFNCPVASPMNPTKKCVLWE H257_09577 MSSAKPLLSKRQSSSSSSSNGIMHKCQLSTNRSPKKVCLVGSGN WGCVIARIIAANMASSPDVFDPVLTMWVFEETVDGRKLSEIINSDHENVKYLPGYKLP TNVVAVPDLKEAVTGTDVLIFCVPHQFLGKMLPQIKEQGLVPDCIGVSLIKGIDFDDQ GVVLVSSIIQTALDIDCSVLMGANVANEVAAGDFCESTLGCNDLRHGAFLQDLFHTNR FRVNVVLDPHGVELCGALKNVVALGAGFCDGLGYGGNTKAAIIRLGLIEMKKFCYRFF DGIKEDTFFESCGVADLITTCFGGRNRKCAELFVKDKGVTWEEMEATVLNGQKLQGTW TAKEVYRIIEKTHSLPEFPLFVAIYRIAFEGADASTLVDV H257_09578 MSGLRWTCQRLEELTAVQTYKILQLRCEVFIVEQNSVVLEVDGR DTAESCVHVMGWNDVGDLMAYARVLGPGTIDSNQTTSVIGRVVTHPKARGCGVGKALI LEAIRASEVNWPGAQCQLGAQAYLESFYTKLGFERLADVEPYEHHGIAHVEMCLPPSP SDYSS H257_09579 MVGKVSLSGAVLLLTQAASAFYLPGVQMVTYKRGDDVPLFVNSL SSPETLLPIEYYNLPFCTPKEIEYKSENLGEYLTANRIENSPYVLKFLEPKTCAVLCT TTYNSKQVHKFATMVQEKYRVNWIVDNLPASVKYDDVLELGFPLGNYNKDLDKTPRLN NHVKIRIAYNNLDDFTTPNPDEGRIVDFVVIPQSYDYKSKNLVAGETPARMNVCEPAA NEPKELYLLETPDKDVEITWTYSVEWVEDNERTWRTRWDVYFEVGSGSDEVHWFSIIN ALLIVLFLSGMVGMILMRSLHRDISRYNRVPTEEERMEEREESGWKLVHADVFRPPTT QPMLYCVMIGTGFQLLGMSTSTLFFAAVGFLAPSNRGKLMVALLVCFVLMGMVAGYAS SRMYKLFKGKRWQLNTILTSTLFPGLMFGTFFLLNLFVWGAGSDAAVPFGSMVLLIVM WFGVSVPLVFLGAFYGFRQPSIEFPVSTSNIPRPIPLQPWYMTNAMTAAVGGILPFGA IFVELFFVLTSIWTDHYYYVYGFLLLSFVILLGTCMEITIVLTYFQLCGEDYNWWWRS FVVSGSCGGYVLLYSTYYYWTRLDVDNIIGSMLYFGYMSIASGALALLCGTVGVMASL WFTKKIYASIKVD H257_09580 MIRGLPHHGHVWHVPVLAAAWVGMAGWLVAAADATVWQGNIVGP AKCNTTRLCGVAVPTLTTRCAGIEFDQAYWVKPDDVTCIWFPNGTVWRGMNRTRYSPF YGSDTRNVKNEIRGGIDVSVVESWPNSAHLLILNDLGIDTIPDDSSVDAAGVELLALQ IQLQNNNLTKFDSKLSPWTKELIVCDNQISALNLTRTKLELLYIQRNLITDQVMEQAN LPSTIVELNVGHNKLTLRSLSWLPPSLTILLADNNSITTLPPSTTTWPRTLANLSLEN NSLTQLTANFPSTLAWLCLGGNNNLTTIYANQSQFEILSALQNPSPTALVSSVPAGTD DLSDNIFSTIPSNATCQGHIRTELLFGKFPICILPDDMPPPSVGLPSPPPPSPLPSVS LSPSTLSMGIVGIVTAIAGILCVVVCCRHRRRRQHPPDPYMAPPLCDKDDDRLSGGML TGRLHLDIRFEDAFVPFRIPAAAIERRRVVARGGFGIVYEGVWTQSASVHVPVALKRL LPTHVDDLGSVDDFMHEIRVYATLGHPNIVHFHGITWTHISNLAIVMELMPRGDVWSL LLNHPVTNAWHALIGPAPSSTTKYTIAIDVLQALVYLHGRHVIHRDIKARNVLLTDAM ESKLTDFGSSRRLGGRQADDATMTAEIGTAAWIAPEVLKGVRYTEQADVYSFGVLLSE MDTATMPYADLCRPDASVTMTRTRIAVLVVNGDIAPTFHAASPIKRVALQCLQHAPDL RPTAMQLLDTFRNMRRLRDEEAAAGRRGHGPQ H257_09580 MSSRILNDLGIDTIPDDSSVDAAGVELLALQIQLQNNNLTKFDS KLSPWTKELIVCDNQISALNLTRTKLELLYIQRNLITDQVMEQANLPSTIVELNVGHN KLTLRSLSWLPPSLTILLADNNSITTLPPSTTTWPRTLANLSLENNSLTQLTANFPST LAWLCLGGNNNLTTIYANQSQFEILSALQNPSPTALVSSVPAGTDDLSDNIFSTIPSN ATCQGHIRTELLFGKFPICILPDDMPPPSVGLPSPPPPSPLPSVSLSPSTLSMGIVGI VTAIAGILCVVVCCRHRRRRQHPPDPYMAPPLCDKDDDRLSGGMLTGRLHLDIRFEDA FVPFRIPAAAIERRRVVARGGFGIVYEGVWTQSASVHVPVALKRLLPTHVDDLGSVDD FMHEIRVYATLGHPNIVHFHGITWTHISNLAIVMELMPRGDVWSLLLNHPVTNAWHAL IGPAPSSTTKYTIAIDVLQALVYLHGRHVIHRDIKARNVLLTDAMESKLTDFGSSRRL GGRQADDATMTAEIGTAAWIAPEVLKGVRYTEQADVYSFGVLLSEMDTATMPYADLCR PDASVTMTRTRIAVLVVNGDIAPTFHAASPIKRVALQCLQHAPDLRPTAMQLLDTFRN MRRLRDEEAAAGRRGHGPQ H257_09581 MAADDPTMLGSSGGAMLQDILRTASQPEEAIVSFQKQYGLKEET TSASLQLLDLLGCRRSETHSKLLEAMVAALLKRIHSKKMDDAQLQKLLELTFPYLEMR ELRAIPIAVLATQSSTPASFLQELCDHDNRALLEHLPLLVKRRIWAIAPHELRVEVDK IVAAYIQHKRVLLLHASDDPTPFDLHVSTGGHHPPPSPEDRRKHDPVLATFTDMIGDS AELYIQCIDMLRTIAASGAVPGTDPSTTNAKDYVYLASFIGTLRNDVANLQRDHATPL GRTDPLHKFIWILDHAVKRRGMERSHVTELLLVVRKLRLRDLPRKDDDGNMALPPPVP KETLLKLVDQLAKADSRRIFADPVPDDVEGYHDVITHPMDISTLRLHVQNLKYRTFDA FAADMRLIFTNCMTYNQDTTIYHKEAKRLDKLSTVWLDKAIAAAAQTEASPTCMLGTS GALSATDANDTETSGMVFEGECDPLLADVVLVLSDPIVKSVLFGVLWRTLNQLARGSF PTDDPMVRGVVQLLHMGNVGALRRMVRRHEFVLRSPPVLSLRVALPLYCRYRLLKHQV STPTQRSSNNDDDDRGGWDVLRTNGGSHLRSIVRHMFLIAIQDHWPVAYVLKMVASMA DDEAFVKDLVFLHALGQSLVASKHKYVLVSAVMDQIWLPAAAAASSSQGDDDSPGWFV WVEPMHVVAATLLASWTASDDVHRVAVYATALLTSLATLGRVDTVWDATWFQPTLRPL YDQIQAMCPSVGPTSTSTSSRSPHHNNNRLLNHPHDSTSNTPPPTSTTTTTTTTSSSS STGAGGQS H257_09582 MSVSQFYILSNRGDAIISSDFRGDVPSDSAETFFRKVKFWDKGD APPTFHIDGVSYLYVKKNGLYFVATTRYNLSPAYILELLSRLCRVFKDYCGVLSEETL RKNFVLCYELLDETMDFGYAQDTSTEGLKAHVHNEPILVGEALQAKERNFSSVISRQT NIKAANAVRKPVANGQQTSKKAENELFCDILERLNIVFSPNGQMLNSSIEGKIQMKSY LQGNPELRLALNEDLLIGANNPRGNYGNTYGHVVLDDCNFHECVQLDEFERDRVLTFT PPDGEFTVMNYRLTGEFRAPFKIFPYVEETSPTKIEIVLKIRADMPDTNYGANVIIRF PVPASTQSVTTDLGNNGAGQLAEYRENETQVRWAIKRFNGGAEFTLRAKITLKEPNPH VRREIGPVSMNFEIPMYNVSTLQVRYLRIPEHARHPNYVYKRWLRYVTQSNSYVCRV H257_09583 MAVRNVSSISQFTMAGPVVKTPTDAEIEAPATSSGEASFPLKAR TWILSHKRTAALVAALAVVGTVLGAVTVEHTLFTNKASQSATSISGVCYDSYDAANME KHFGLIKQKFNGVRTYQTKLGNKNMAAAAGEAGLKIAAGAWLRNGNDWKGDVQAAIDA HKKYSNVLAIYVGNEDLMHGIKAGDIIGNIATAKGMVKSAGVNIQVGTVQTDGDFLAN PELVNACDTIGINIYPFFGASEDSWKTPVKDLTARWNAITNKYGYKNPRLTETGWPSG GGNNGAHVSSYENAKSYFESYSNWARSSGGDASFYFMFHDNPGKGGFESQFGISDSNG VFKFNVAPAPAPAPAPTSPPTAPPTAPPTPPPTDPPATTTSPPKPTYPPTTSPPPPVT TEAPTPEPTTTSAPTTTTYNSTAPEASTNTTTSSPWWNSTDVGSNSTNGTLANASSTI LLDANTSSLHLPDIWSTPTEENEIDTGAVNEGAPQPPSSPNGGDGGATSSSYTNTTKV NGVALKSGKTESAPTISILLGVVAAVGAAAVVALIVYNRRRAQLEDSKDDIEAYNNSS RDFRSILQTGQSHIAVL H257_09584 MPLKKKANTLFGAHGTKTTQSSSRPPSTWRLTHLGRLLTFQKIY HLEPKEFCVEKRPDNTRPAMVAHDESHIHAQVGIVTDMLDVAIVNQGESNGQE H257_09585 MISSPRTPPRQEDDATGMQGPPAAAEPSAGFMRRSLSRLSHHSS SSTASLNQPLLPAITVIQRVVAGESTSVTPPSDAGLRQRQSSVVSNKSNKSNKNNEDN TTGKMKFNMGKARALGTDVKFRPDFKFNMELALRTAVGVLLASMVQTKYRKDNVQVPS NSHEKQWVFFPEWYILGGISYVAVATVFCCGRNIGSTVREVFQQLSGVGVALLYNLLL FSVFQPQVFDTKQAFLNATNDGTLTLISHAFSGSPYYVHEGDFYTILPFIMLFTMLVL VLPIETNTKKYAIGNNLFFALTLVSPNDFTNPSLPKAFGDALYKTPNLLSNLLVYSFL GILGAIIAQFMMWFPYPIFGIRKLQDQTLTCADTIQDLLNLIVDSYCFKNKDVDHMNF LRLKLKRKFDLATAKHAHMKSLLHDVWWEQLVGLHVPLRFKMSVTKPYIDLFGSLVDN LRAMTQAIQLERYERLHTLFMKSLQKQVYMIQVKATALLSEISTHVHDGHTHLDLRSL QELEKQIETLLQHFQATQTRIYKRESPSPKDVEANIPLNLFLFSLQSYCSSLLEFQSS FNTRTHKTNLRIARFVRKQLASYVDTSQYTRHKFETASKVWFAILCACFFSVYTFGYS STTAGTVAYVMGNHIGGSFSVTANRVGGVVAGSIIPSVVLFYICSYSCWSNIAVSFFS NAILFVWVTFSMYIKWKDGFESYAGLVSAFTATNIMLKGCDGCAAGTLVTPTSSYSNL AQMSLGIVLFIVVELVFCPQSAIGLLRANVQQHMKLAQQAFLILFEQNVHNSGVMDQS TLDQVQDIVQKTMPALLADQAGLLYEAGFEPLLWKPPFSQAKYQAVLDCCQRLLNNTL VLFKLVTWFKFRIEQRHLTLHKKLDVEKITRKSHEAAHSVTAKEAWAFSTVELGRAIH DTFDTLYDLFGDNFMYADGDQTALFMQMKEAFRVADTDCSGEIDADEVRHMLEMVFAL SGAVKVDAIDSYVHDFMEIVDADKSGKVSFDEFMDALERGLKLEVEVFQHRSKKVVGL ASIAEGGEAGGVEEVEGAPVDNDDDHHHVVLQVGSGDVRSAPSPRTHRVSSGGASPLT RSHDMLNVDSFSLLEISQTMRTTYAQWLMEKNRFERVTMEELLLLNCLISGVSGIARN LALLEEMTVQQ H257_09585 MMWFPYPIFGIRKLQDQTLTCADTIQDLLNLIVDSYCFKNKDVD HMNFLRLKLKRKFDLATAKHAHMKSLLHDVWWEQLVGLHVPLRFKMSVTKPYIDLFGS LVDNLRAMTQAIQLERYERLHTLFMKSLQKQVYMIQVKATALLSEISTHVHDGHTHLD LRSLQELEKQIETLLQHFQATQTRIYKRESPSPKDVEANIPLNLFLFSLQSYCSSLLE FQSSFNTRTHKTNLRIARFVRKQLASYVDTSQYTRHKFETASKVWFAILCACFFSVYT FGYSSTTAGTVAYVMGNHIGGSFSVTANRVGGVVAGSIIPSVVLFYICSYSCWSNIAV SFFSNAILFVWVTFSMYIKWKDGFESYAGLVSAFTATNIMLKGCDGCAAGTLVTPTSS YSNLAQMSLGIVLFIVVELVFCPQSAIGLLRANVQQHMKLAQQAFLILFEQNVHNSGV MDQSTLDQVQDIVQKTMPALLADQAGLLYEAGFEPLLWKPPFSQAKYQAVLDCCQRLL NNTLVLFKLVTWFKFRIEQRHLTLHKKLDVEKITRKSHEAAHSVTAKEAWAFSTVELG RAIHDTFDTLYDLFGDNFMYADGDQTALFMQMKEAFRVADTDCSGEIDADEVRHMLEM VFALSGAVKVDAIDSYVHDFMEIVDADKSGKVSFDEFMDALERGLKLEVEVFQHRSKK VVGLASIAEGGEAGGVEEVEGAPVDNDDDHHHVVLQVGSGDVRSAPSPRTHRVSSGGA SPLTRSHDMLNVDSFSLLEISQTMRTTYAQWLMEKNRFERVTMEELLLLNCLISGVSG IARNLALLEEMTVQQ H257_09586 MQRPSRLRVGDVVAFVAPASGIAVPAAHRLEQGKRYFESQGYGV KIFPSCYQNGPYSSCSPEDRATDIMQAFQDPQVKAIVCTIGGLTSHELLEHLDFSILA THPTIFCGFSDITTLHLALQAQANLVTFYGPSVLCQFGEFPAPLTYTTDAFFRTVASS NVVGDVLPSSTWSDDKSINWFTKADMTSIRPMKPNDAGYEWLRPGAATGCLLGGCLPV LLNLFGTKYMPSLVDCILLVETPESEAAFDKGFSMDMANQCLGVLRMNGTLQLLRGLV VGRGFAYSVDQVNELKALVLHHTRGTTYPVVYGVDCGHTDPVATWPLGGTVTLDSHAN RVSIDDAGVVE H257_09587 MQSKQTFREVAAYNWSMKRKLSNMDLLPVMIALTADEEKLFDFL LDVEKQNNCGLTLRVAGGWVRDKLLGRASDDIDIVLDNMTGVAFAELVNAYETQHGHK THAVGVIKANPDQSKHLETATMQLGSGWVDFVNLRAETYADDEAHRIPSMEFGTPLED AQRRDFTINSLFYNLATKSVEDFTGQGLPDLQHGVIRTPLDPTITFLDDPLRVLRAIR FASRFRFPLADDLIAALEHRAIREALVKKVSRERVGKELGGMLTGSHANPMVALTSLH RFHLDEVVFQLPTGPFFTLHPPLEAAIPPHWTDTSMACVQALHALDLARQDQRAPSSP TTTSSSTSSTTADGIEVTNNEATSHRLKLRWLAAVLLPLAEYSIVLKKKHVPVAHAIV RESIKFAAKDADVVSLVLLNQCRRFVAANAPFDRVQVGLVLRDVGALWPLAVDMAYLV EVVLQHSDVEQTREKYAALSAYVVNVGLDRVWEMKPLLNGKDLMDALGVKPGPAVKAM NDAVILYQLEFPSAPRDDVLRHVRSLQSSST H257_09587 MQSKQTFREVAAYNWSMKRKLSNMDLLPVMIALTADEEKLFDFL LDVEKQNNCGLTLRVAGGWVRDKLLGRASDDIDIVLDNMTGVAFAELVNAYETQHGHK THAVGVIKANPDQSKHLETATMQLGSGWVDFVNLRAETYADDEAHRIPSMEFGTPLED AQRRDFTINSLFYNLATKSVEDFTGQGLPDLQHGVIRTPLDPTITFLDDPLRVLRAIR FASRFRFPLADDLIAALEHRAIREALVKKVSRERVGKELGGMLTGSHANPMVALTSLH RFHLDEVVFQLPTGPFFTLHPPLEAAIPPHWTDTSMACVQALHALDLARQDQRAPSSP TTTSSSTSSTTADGIEVTNNEATSHRLKLRWLAAVLLPLAEYSIVLKKKHVPVAHAIV RESIKFAAKDADVVSLVLLNQCRRFVAANAPFDRVQVGLVLRDVGALWPLAVDMAYLV EVVLQHSDVEQTREKYAALSAYVVNVGLDRVWEMKPLLNGKDLMDALGVKPGPAVKAM NDAVILYQLEFPSAPRDDVLRHVRSLQSSST H257_09587 MQSKQTFREVAAYNWSMKRKLSNMDLLPVMIALTADEEKLFDFL LDVEKQNNCGLTLRVAGGWVRDKLLGRASDDIDIVLDNMTGVAFAELVNAYETQHGHK THAVGVIKANPDQSKHLETATMQLGSGWVDFVNLRAETYADDEAHRIPSMEFGTPLED AQRRDFTINSLFYNLATKSVEDFTGQGLPDLQHGVIRTPLDPTITFLDDPLRVLRAIR FASRFRFPLADDLIAALEHRAIREALVKKVSRERVGKELGGMLTGSHANPMVALTSLH RFHLDEVVFQLPTGPFFTLHPPLEAAIPPHWTDTSMACVQALHALDLARQDQRAPSSP TTTSSSTSSTTADGIEVTNNEATSHRLKLRWLAAVLLPLAEYSIVLKKKHVPVAHAIV RESIKFAAKDADVVSLVLLNQCRRFVAANAPFDRVQVGLVLRDVGALWPLAVDMAYLV EVVLQHSDVEQTREKYAALSAYVVNVGLDRVWEMKPLLNVR H257_09587 MQSKQTFREVAAYNWSMKRKLSNMDLLPVMIALTADEEKLFDFL LDVEKQNNCGLTLRVAGGWVRDKLLGRASDDIDIVLDNMTGVAFAELVNAYETQHGHK THAVGVIKANPDQSKHLETATMQLGSGWVDFVNLRAETYADDEAHRIPSMEFGTPLED AQRRDFTINSLFYNLATKSVEDFTGQGLPDLQHGVIRTPLDPTITFLDDPLRVLRAIR FASRFRFPLADDLIAALEHRAIREALVKKVSRERVGKELGGMLTGSHANPMVALTSLH RFHLDEVVFQLPTGPFFTLHPPLEAAIPPHWTDTSMACVQALHALDLARQDQRAPSSP TTTSSSTSSTTADGIEVTNNEATSHRLKLRWLAAVLLPLAEYSIVLKKKHVPVAHAIV RESIKFAAKDADVVSLVLLNQCRRFVAANAPFDRVQVGLVLRDVGALWPLAVDMAYLV EVVLQHSDVEQTREKYAALSAYVVNVGLDRVWEMKPLLNVR H257_09588 MARGSTKAELEAEVEKLREYKTLTKLRMKQAAEKLGSYRVQLEE CKATIESLKESVTSQHQTIEHQAKTIRALTDKVAAMEASESTPAATPAAPPMTHLESA AIRSDPWLSLASSDNDEPDTNNGATATAAASSDDDSSSSGSSSSTTSDESDVETQPAA HPETTSLFGQNKQISSPPFSHPATSLFPSPTHATPNTDIKPVVAAITQQSFDTPNHTS PSTPCAKPAASPSKSAASSSQSSAAAPPRSPPTQTTASMPKDTARPHATTITTTTTAS FPRKRALCPGDSKEDTMSPPLKAAKTTSVAGAPSATPPPTELVALSSALQKPMAKHMR AELVIQAYLTALRTSDDPTTRALCLTKTVKNLVAHHHVPVPAIAAAVLKIGSKSVIES TVCVDLLWQVTHPRRADLLLVLHAIGSHVRKTFNRHNTQVQASWCRVHVLLCRRATWI AASRSFLVDRLLEVNRSIWDFVTMVQSWPQIFAQLGDGDDDVTAAATSSLLPTTIRFL MGNMCREEEAKAKDNNAEYFSELYRVCRLDPPLSDDWYLDKFQARFEVTTFETCESVR LLLRVQGWQWCVDHHIVPDKPDDQLTPTALRVMGVAAAAVYVMFGVGGVPHLINQSKL LGETLMRVLNAASTEVVATEEGHHRRQIAAATALVELAQGVPTKALRTEYVAAVVRWL QDQSPTGQLSQSTSFVRQLHHVVAMDVA H257_09588 MARGSTKAELEAEVEKLREYKTLTKLRMKQAAEKLGSYRVQLEE CKATIESLKESVTSQHQTIEHQAKTIRALTDKVAAMEASESTPAATPAAPPMTHLESA AIRSDPWLSLASSDNDEPDTNNGATATAAASSDDDSSSSGSSSSTTSDESDVETQPAA HPETTSLFGQNKQISSPPFSHPATSLFPSPTHATPNTDIKPVVAAITQQSFDTPNHTS PSTPCAKPAASPSKSAASSSQSSAAAPPRSPPTQTTASMPKDTARPHATTITTTTTAS FPRKRALCPGDSKEDTMSPPLKAAKTTSVAGAPSATPPPTELVALSSALQKPMAKHMR AELVIQAYLTALRTSDDPTTRALCLTKTVKNLVAHHHVPVPAIAAAVLKIGSKSVIES TVCVDLLWQVTHPRRADLLLVLHAIGSHVRKTFNRHNTQVQASWCRVHVLLCRRATWI AASRSFLVDRLLEVNRSIWDFVTMVQSWPQIFAQLGDGDDDVTAAATSSLLPTTIRFL VLYTLARLDSRLSLIDTCRWATCAAKKRPRPKTIMQSTLASCIASADWTRPCRTTGTW TSFKRGLK H257_09589 MQYPTSPCSIDESFVSYSERLPSLHIPTSPSLLRRQGTTAAHKK RYMHNWENIFGRHTSKYSARGLWDLIKKEGKIVLFLVLLGATVSVLDYGINESIMGLR WTQAKLFTYLESQQPTSPDEVWNYSLGGAIAWGLVFALISISWTHYVDPFAAGSGIPE IKTILSADQRHAPGRYLRSRTLFAKSIGLATAQASGLSVGKEGPFVHTACIICHQLMK HCAFFHRIYRNESLHRHMYNAACAVGVASTFGAPIGGVLFSIEVTSSFLLLSNYWKSF VAAVSGSVMKQVLDYITTQSQQRLHSFQALFPTSYSDKSFGQLELFAFAFLGVLMGVL GATYVSMATRLRRFFAPLNRRFPLLWGGFISVVVTVVVFTPGPFNHLGVSDTLKDLCS PTPLGPNWQWQGSVLGPLAVSAVSKIFITLLSVSLPIPSGDFIPLFTAGAACGRLIGE LIIFIFPTVDIVPGGYALVGGAALVASSTHTISVAVIALELTGQFIYFTPLFLAVLLA GGMGKALSVSIYDSVIISKGLPYLPMLLCHELRVANVCARDVMEDQLTCLPNRTTKGE IRALLKRFSRSSVPVVVDRGSRLFCGCVAREDLHALLAEDDHDGASNLPTATTGGMYM RQTEAGSTSTMVVDAVDDDHVVVLSDVVEMSGNVLKVDEDTPLETVHLLFEMLKCAKL FVTKFGVLEGVVTRASMHNRLTYLAPPDPSALMQQPVERHDDMVC H257_09590 MFMRLAGLALLATASCHAADTSAKLTLNYAKASDGTCRLLNVEG AKSTMYVSVPGKRFSTCGRCVQISCQDIACKTGSSVVAYVVDAKEGSQASDPLQLSPD AAKVLTPSPINSPIGISWKFTVCPDTFVVGNIKACMMDGASADYLPIQFFNTLQPIDT ATYNAVPAKQSQNGFIFESGRISDDPSYYANIPVKLTSATGAVVQGSLSFKNPSVDKD ANCADMGVQFEKPSASSGEIVDPLTPNGSKSGGGKSVLVPAILGSIGGLVLLAVIIFC LRRHRAAKDLRDDDDDMEHGHGSRSSTKDPRHIKPSGPHQVVSATSATTNNDSIPPVM GGNTPTLTANTPSTTYSRLDSDPPQRQPPHPAAYVEQQQPHQPFAYVVPERQPAAQPK VVVKSLQHIQQNQSQGVSYSDMFNDANRGMYARNSLASARISNTRDDRKSFDIDEERD VDSPSRPTEHDVQLDLLLQSGALSLHEDPFATTQSSPAPNNTGHATSPESYVRATSMP RSNSQKAIVPTFAAPRTSLTVASRDSSSHNNLLAYPYKKKREISQHQF H257_09591 MDARAIVPPLSFDLYKGQYGKVGIVGGCAEYTGAPYYAGMAALK TGVDLCHIFCTPDAAIPIKCYSPELIVHPYLGTATLGRITAVLPRLDALVLGPGLGRD PDVLSATKSIVAAATELQLPLVLDGDALYLLSTDVLLLRNYTNAILTPNTMEYGRLCV ALGLLISLDLAAAAAISPQRVAAALGHVTVLQKGKIDVISDGYTTVEQPSRGIPRRCG GQGDVLAGSVGAFLAWSACRLNQQPKASLLQHPALSLAAFGGSLLTRQSAAIAFAQHG RSTTTPDILASIRSAFATLFED H257_09591 MDARAIVPPLSFDLYKGQYGKVGIVGGCAEYTDLCHIFCTPDAA IPIKCYSPELIVHPYLGTATLGRITAVLPRLDALVLGPGLGRDPDVLSATKSIVAAAT ELQLPLVLDGDALYLLSTDVLLLRNYTNAILTPNTMEYGRLCVALGLLISLDLAAAAA ISPQRVAAALGHVTVLQKGKIDVISDGYTTVEQPSRGIPRRCGGQGDVLAGSVGAFLA WSACRLNQQPKASLLQHPALSLAAFGGSLLTRQSAAIAFAQHGRSTTTPDILASIRSA FATLFED H257_09591 MDARAIVPPLSFDLYKGQYGKVGIVGGCAEYTGAPYYAGMAALK TGVDLCHIFCTPDAAIPIKCYSPELIVHPYLGTATLGRITAVLPRLDALVLGPGLGRD PDVLSATKSIVAAATELQLPLVLDGDALYLLSTDVLLLRNYTNAILTPNTMEYGRLCV ALGLLISLDLAAAAAISPQRVAAALGHVTVLQKGKIDVISDGYTSTKNNLGFIQWD H257_09591 MDARAIVPPLSFDLYKGQYGKVGIVGGCAEYTDLCHIFCTPDAA IPIKCYSPELIVHPYLGTATLGRITAVLPRLDALVLGPGLGRDPDVLSATKSIVAAAT ELQLPLVLDGDALYLLSTDVLLLRNYTNAILTPNTVETIYYALLVKTSCCTDNGGIGY ATLDGVWPTVCGAGVADFARSCGRCGHIPTTSRRSARPCDGASKRQDRRDFRRLH H257_09591 MDARAIVPPLSFDLYKGQYGKVGIVGGCAEYTGAPYYAGMAALK TGVDLCHIFCTPDAAIPIKCYSPELIVHPYLGTATLGRITAVLPRLDALVLGPGLGRD PDVLSATKSIVAAATELQLPLVLDGDALYLLSTDVLLLRNYTNAILTPNTMEYGRLCV ALGLLISLDLAAAAAISPQRVAAALGHVTVLQKGKIDVISDGYTTVEQPSRGIPRRCG GQGDVLAGSVGAFLAWSACRLNQQPKASLLQHPALSLAAFGGSLLTRQSAAIAFAQHG RSTTTPDILASIRSAFATLFED H257_09591 MDARAIVPPLSFDLYKGQYGKVGIVGGCAEYTGAPYYAGMAALK TGVDLCHIFCTPDAAIPIKCYSPELIVHPYLGTATLGRITAVLPRLDALVLGPGLGRD PDVLSATKSIVAAATELQLPLVLDGDALYLLSTDVLLLRNYTNAILTPNTMEYGRLCV ALGLLISLDLAAAAAISPQRVAAALGHVTVLQKGKIDVISDGYTTVEQPSRGIPRRCG GQGDQPTTQGVTFAASCPVVGSIWGFAPHASKCRDRICPAWSVHHDPRHFGLHSLGIC DFI H257_09591 MDARAIVPPLSFDLYKGQYGKVGIVGGCAEYTGAPYYAGMAALK TGVDLCHIFCTPDAAIPIKCYSPELIVHPYLGTATLGRITAVLPRLDALVLGPGLGRD PDVLSATKSIVAAATELQLPLVLDGDALYLLSTDVLLLRNYTNAILTPNTMEYGRLCV ALGLLISLDLAAAAAISPQRVAAALGHVTVLQKGKIDVISDGYTSTKNNLGFIQWD H257_09592 MGDKTAAVSSDATPPPECVRVVVRVRPSNERERKLQAADESVVA IRPATDPVDLPTRLDVKCGQPSVVDSSFNYDAIFGTNASQHDVYGYMQSSVEQVVQGF NCTIFAYGQTGTGKTHTMMGPDSSLRQGDMSQWGVIPRAVDGLFQELKAVGGCGAGAF VHCSYMQIYNNQVFDLLQSSSMKDQPPLQVREMIKGHAKHIYVSGISEFRVGNAQEVL DLLQVGSKNRTIRATECNEKSSRSHALLQLSMEVESRGNERTTIIRRAKLNLVDLAGS EKWDTDVAMTQDRTRELRNINASLSALGNVIAALTDKKRSHIPYRDSKLTRLLQDSLG GNTRTIVIATISPSAAAVEETLSTLQFAERAKQIALNVQVNEVVDDAILLARAQREIQ KLKLQLNTEQPNVSAMAQRILSLEAQLELLRAENQQIKAQLAARTSSTDFHPRTAPAT NSTAHDQMATFSDSSMTTSLPPPRRLPLSAQGRNQTASRPSGKRSVTAPRTPPSVGGT NQDEGEVVVPDWEQQTQPYLAQLEDIQNERRELETQLEKLKFTHVPEEDDVCPMCHLV IDHHTDAELDQCIDLERRMSTQPTSNPHVARVQTISDGHDATAADATILRFAAPPPVL NQPSLPSIASRPGKSSFGVEPPTPYEHREGSLSSRGSNKAQTLVPVPTKPKPKRNSLP SKLVKAKVNLAKSPYIVKADKPDENRKEPTVAGVGVGLQNGVRDIGLNISVYTYRYDC WYPCTIVGYDTKRKMHCCLYEYGDKQWTVLRDKKFKVLGRDNETSPPRHPPSPRGKVP SSPHSKNQHS H257_09593 MVQVAIFATPAWPYLVASGAMLVAVACLVGLYYFVVLPLVTPLK RLPGPAPTSVIFGNGWEIFERVSNFPEPFLSWMKHYGGAVHYSIACEHRIQLTDPTAV QYVLATKASSFPRHPTLRRFFADFLSGVGLLSSEGAKHDYHRKVLNPHFSGIQIKTSM AVIVDQTLQFCASHLDSAAMSRRPANMNTFFQELTLNNIGLSSFGYDFQENPDALKAY EALQTMPNKVLLACSMCIPGFSRLPFPCFRRRNHARTTLLRIMQDIITTKLSEHKAAG APRDLLDLMLDADTCSHDALVHTMTLIFAGHDTTTAALCWTFFRLASQPSMAALARNE CLAMLKRHGSFENGEALQGLSFTTACIQETLRLHPPVPFLGRRIAAQDMDVPMTDGSS IFVPKGTSVCVLHAAMHRDPTYWSQPEAFVPERFIPGMAPFEIDLGLRQGRSHALHYM PFSIGAKNCIGQRFAMAELQVVVATLLSRYEFAITADADFSNMFNGVSVQPAHLELSV VSV H257_09594 MTTSFSPHLSSLLDAAIVVVVGYFLLRFNRFVRDRLAHQLRAFQ SHQGDVMALLTQHRALTPKPKLLGTPLNPHAASVHNSSTSRPSIPTAVSLKLKTTPLA LPHVSVTPVLDLAEVRTSGLRVLFFGTDAISLATLELLHANMQPTTDHPQPLIQSIEV VCPTDKRVARTRSKAPVPVKQFALDNRLQYHHVPDDLKSRRQWTPSTTTATTAPGSSF DIAVVVSFGYFIHPSMLKNVAKGAINMHPSILPKYRGPAPIPRALFHGDAVTGISVIE IDPRAFDVGRVLLCIDDIAIPANMTLSELTTQLAARGAECVLTTLRNVDNLLEHARPQ DSTKATVAPKMSREDGVITWGESAADLYNMWRAVGSTYGIVTSFRQKSVKFIDMQRPS TEAVAAFEKRLNLMHEDSPLMAREGGERGRFCYDKPTKALWVTAIDGQWVLVTRVQVA SRTIRTAAEFADGQRLRSGQLFHFDQPNDHDN H257_09595 MVRRFTILDHLTSTSSDSSLICQEDVVPYGLSDFLREVQHHPCN AEVAPSTAPTPPRTFSGKGDELPAPGGTYMSFQPPCFGDEEEDDHMDQDELYQRILLQ HPDLLAPPSSTVPADSGFDIEYTYQPVDATETFHDQVILVTSPCAKVGHRDDDPSVSS STQHKPVKDKNRHELDPALADILRRHKELQMKRTTIMTHPTIQRQLKSFWPLCVKPAA TPDSGVTGLTREVYHHFYATLLRGITTGWEDGLAASMLHRGWEFDRRGMEVMDLSCFC ASLFFFVEHWVEDTTLPEYQRVLKRFRYIVQTTDPPCAAPDGLGADIPDIRLVEFNQR LLLQAEQKALQVLLGKSIDFDASAYLHQQSHQSSPTQLLPPRRPFSSSTAHFLCAGDA KFEGLRPIQSVAQIEINVKEKVRPATGGPTRRDSAPDIKKPMPALGRPLIHPKSAGKD KSRPPGIQHPLPHL H257_09595 MVRRFTILDHLTSTSSDSSLICQEDVVPYGLSDFLREVQHHPCN AEVAPSTAPTPPRTFSGKGDELPAPGGTYMSFQPPCFGDEEEDDHMDQDELYQRILLQ HPDLLAPPSSTVPADSGFDIEYTYQPVDATETFHDQVILVTSPCAKVGHRDDDPSVSS STQHKPVKDKNRHELDPALADILRRHKELQMKRTTIMTHPTIQRQLKSFWPLCVKPAA TPDSGVTGLTREVYHHFYATLLRGITTGWEDGLAASMLHRGWEFDRRGMEWVEDTTLP EYQRVLKRFRYIVQTTDPPCAAPDGLGADIPDIRLVEFNQRLLLQAEQKALQVLLGKS IDFDASAYLHQQSHQSSPTQLLPPRRPFSSSTAHFLCAGDAKFEGLRPIQSVAQIEIN VKEKVRPATGGPTRRDSAPDIKKPMPALGRPLIHPKSAGKDKSRPPGIQHPLPHL H257_09596 MSEAKPKKRLDAVVRSRVQPVLELLHKANTSSQDLSVESQQLLA ELSRTKRRVSRFWIEKAVVILDALQIYGLMWQLAQIWPWPSKWLAATRWTVLSNLDIL SLTATGAGMGQANPPFSHWGDLRHYWAYALGFALVPYMLGIGWWCAFRHWRSSGDVSF LSKRAVLENKLLLVLQWMYLPIGLAVSRLWHCQDAPNPLDPTEMLSSMSVDATVACLG TTHTMALIGIAGGLGLPFLVGFPILLYHRINFIGAFSEPERHERFIQAKELTYLLNVS DDYYVLNVAQYASYSRDMRLFPVHVCVLKVVLLGVFTFGRSRYPSIDMQPLQGMLFAV VLIVVVTHRSWWASPFRCRSTAQLSFVLDSLLTFDAVMVLLSANPIKSALTVASVKVA LLGFVHTMGTLVVAGVVVGTFCKKKARQLNWPTHIHMRPLVRHVAQVTKWVTALKAAD HVLQRSFTAPAQVQPTDEFARVVADLQQCAADAAQLDHILHDQLHEMAALISAAYVEA LGSAVLASERIDDCVNKFTSELQHYKYKHDMLPPPKRNALYKLHALKTWQSPDVCRVA VLQQQHKSPPGVDDFLPEPNKFQHLDVLVSTDPQLNFPSHVPSFSSLLQHTRRPPTSN DDHALIAVHWSAVILSNTNVNNSPTHEAEPPNVQEQEDATVLATVVQYESPWLLVKLP LLSDVEDANWTVLQGWIHVASPCVSLLVCHDPDTQNLFDELKGLQIMVVRPAIPMDAS VISALIDASRTATTTTAPLATAATSKALLRQQWRRCIDQWNVQFVLRHGRAPTDLDKA KIRLWYTLFHALNCPSQPI H257_09597 MEGTDGLVRGQECVDTGNPIMVPVGPETLGRIMNVIGEPVDERG PINSVHKAPIHCAAPLYTEQGSGAEVLVTGLKVVDLLAPYAKGGKIGLFGGAGVGKTV VIMELINNVANNHGGVSVFAGVGERTREGNDLYHEMIESGVIKLNADGTTTGSKAALV YGQMNEPPGARARVGLTGLTVAEYFRDVEGQDVLLFVDNIFRFTQACSEVSALLGRIP SAVGYQPTLATDLGALQERITSTLKGSITSVQAIYVPADDLTDPAPATTFSHLDATTV LSRQISELGIYPAVDPLDSKSRMLDPRVIGDEHYEVARATQKLLQDYKGLQDIIAILG MDELSEDDKLTVARARKVQKFMSQPLHVAEVFTGKPGKFVRLEETVSSFKAILAGEYD DLPEAAFFMVGGIEEVKEKAKALAAELEE H257_09598 MTTKAEQPSRPPSDRELASDDTITEASDPPSSPVLDISETTTTT ADEISHRSTVRALDGDDMTTKAEQPSRPPSDRELAGDDAITEASDPPSSPALDISETT TTTADDIYHPSTVRVLGSDDTTTHADELSRSLSDQDIGGDDKSGEASRPLSVRVLDDH DTTTKTDELGRPPPDGGQDSDYGDYGSDGDFESDDEAAK H257_09599 MHPPKCAQNLEQRLAALRRDQEIDGLRVYLIKYNLFPRNRDPHN SPIRVEELKELVKHWKLHRQRGFWRDHPEKDDLVRALLQHIRTEATSKKRRQDAQDKF RKVNGGGDVDDADQPVQANAMSSSASAPGLLSPSSLDPRQRNTVSMDDKLSTGDLFYT RGHYDEGLIYLSRMEKSKQVHKLQREQNEDMRVVAEKELDLLAHLKDKESTANLSKDM KLKCAEGLYNVSCYPHNEAQMLAEGAVPIVSGLLKNLGSDDVHVRLYCAATLLNLSMT AGSRALMIDQGAIPLVLELAHANNITSKLFCAHTLFRFSGDEDMHFHLVHDGCVIALL QLMAVANDELKELCMKALINLSVIPRSTSSDTVMSTLISLVKVDNPATNLVCAKGLLN LSIMPTTRVNVVEDGAMLALKILCSYHHIQVCEFASAVLCNLAAVRTNQESMVKNGAL AVLVELFELPHRYLAKQAHTPEGGSSSDASSLDLHGLYVLKAKWIQNESASLMPLTPD QSVMLDIHMQCAITLSYFSCNAKVQPRLVSAGFVPRVLALLTMHHDDTTKVVTLILSN LASHESCRVQMVADGCVRPLISLMSSTKVDMVVKQDCVVALCNLMLHPQTYKTMVDDG VVPALVAYSENPHPDIQKSCAFALLSLTMDKGMKAKLVGQGVIVALINLADRCADRVD LRAACVCALFQLSTDIENAAALFYEGTQTVAITVLTEPISTTQPAVSHRMWMHSLALL SNMASYDKGRSVLVDDGAVDAVLRFLQVHTTVRSKACARYLTRAQSFAASMLGKLVDV AMMHPGYFAALLALTSTQTISSSSSSRSDVSAMVKTLRCALAFSWMSGSMKGRRLLAN HPDVAPGLNSMMRTGHHETQLYAAIGLCNLAMERGATPDRIWADKTVSDFIVVALLRV NSDETKLKCAQVLFNLLTHDDTREKLVVDGVLYALIKLAKLEIDTIRELCLQSIYNIS LELGKVQRLVDMEIVRILSTMFQADHSKEMKRLVCGILSNVSAVAGNERQLLHEGALT TIASLVKARDPETRVYCANALSNLSCNAQVAEFMLKDDGNIVAILISLSRAESKDIRR YATGAISNLSASRLGVEVMTRESMIGAIRELLNRITCDVTLALCVRALRNLMMDTENQ TKLVACHGVQILSNLIAASTSPTTSSTTTEEAESSSSQNTDAGQSNSTAQHRKDTGII CAELLCLLCTNAGVELQLVEDGIVRALTAIAKQTPASTSAKLDIVSCFSALSKNPLGH DQMLKDGIMEAIVNLCLDSDDQSTTLRASLIARIGEEFAYHMILTMRNLTSAKDTMQA SHAEGAPPVLSHDVNRARVSSQSNAIAILLACATSSQPDTREHVAVTLYNLSCHRRSR GLIISNEGVKVLIRLGQNAGGPNAVMMKQVCALALQSMSTHQDANIMQPGLILAMTAS LSELNVMSMNAQMSETIAKKTAAVVAPTQFLKNRTLTTFLVGANFVVHHRGTPADWTQ VPAKLPPDDGIQVLFQADDEAEADEQNVDNEQVLAASAPRACNLDATCGTLTCLQDDE LVKNKMLLGPNSQDIFLGRTKHPTGSGDVHHSVALPLPNMPGTAMPETSTAKTDPEVV VTNGRSSAAQVRKEATKTLKPATPPSPVRSPSKKQLQQRLEPIQ H257_09599 MPTTRVNVVEDGAMLALKILCSYHHIQVCEFASAVLCNLAAVRT NQESMVKNGALAVLVELFELPHRYLAKQAHTPEGGSSSDASSLDLHGLYVLKAKWIQN ESASLMPLTPDQSVMLDIHMQCAITLSYFSCNAKVQPRLVSAGFVPRVLALLTMHHDD TTKVVTLILSNLASHESCRVQMVADGCVRPLISLMSSTKVDMVVKQDCVVALCNLMLH PQTYKTMVDDGVVPALVAYSENPHPDIQKSCAFALLSLTMDKGMKAKLVGQGVIVALI NLADRCADRVDLRAACVCALFQLSTDIENAAALFYEGTQTVAITVLTEPISTTQPAVS HRMWMHSLALLSNMASYDKGRSVLVDDGAVDAVLRFLQVHTTVRSKACARYLTRAQSF AASMLGKLVDVAMMHPGYFAALLALTSTQTISSSSSSRSDVSAMVKTLRCALAFSWMS GSMKGRRLLANHPDVAPGLNSMMRTGHHETQLYAAIGLCNLAMERGATPDRIWADKTV SDFIVVALLRVNSDETKLKCAQVLFNLLTHDDTREKLVVDGVLYALIKLAKLEIDTIR ELCLQSIYNISLELGKVQRLVDMEIVRILSTMFQADHSKEMKRLVCGILSNVSAVAGN ERQLLHEGALTTIASLVKARDPETRVYCANALSNLSCNAQVAEFMLKDDGNIVAILIS LSRAESKDIRRYATGAISNLSASRLGVEVMTRESMIGAIRELLNRITCDVTLALCVRA LRNLMMDTENQTKLVACHGVQILSNLIAASTSPTTSSTTTEEAESSSSQNTDAGQSNS TAQHRKDTGIICAELLCLLCTNAGVELQLVEDGIVRALTAIAKQTPASTSAKLDIVSC FSALSKNPLGHDQMLKDGIMEAIVNLCLDSDDQSTTLRASLIARIGEEFAYHMILTMR NLTSAKDTMQASHAEGAPPVLSHDVNRARVSSQSNAIAILLACATSSQPDTREHVAVT LYNLSCHRRSRGLIISNEGVKVLIRLGQNAGGPNAVMMKQVCALALQSMSTHQDANIM QPGLILAMTASLSELNVMSMNAQMSETIAKKTAAVVAPTQFLKNRTLTTFLVGANFVV HHRGTPADWTQVPAKLPPDDGIQVLFQADDEAEADEQNVDNEQVLAASAPRACNLDAT CGTLTCLQDDELVKNKMLLGPNSQDIFLGRTKHPTGSGDVHHSVALPLPNMPGTAMPE TSTAKTDPEVVVTNGRSSAAQVRKEATKTLKPATPPSPVRSPSKKQLQQRLEPIQ H257_09600 MSKKHTADADEDDVVVFNTEAYSVVSSWISKAAITEEDIVTPKF SKPLYTKPSSSSMTFATDEDKFLASKILKKKRQDVVTTARDNDKNDVDDDETSFRHKG QSSVHGAKKQKTLSVQEKLLQSLREQQERRKLKNKKKKDNSKKATT H257_09601 MTNDEMDAALMQFVNALGFVITVGLVGFHYMTSTEQDAEE H257_09602 MTVTSPWRHAASVAVGTLILFSVGSAYVISAWNNEMKDMLGMTQ VEITAVGSCFTFGQFNLIWVGIFYDHFGARYSFLVSATFLATFYWTAAWLTTAPLAPH WMMAATFACIGFSHAFPTLSAIAATEGLYGDAHRGKIMGILAGSYSGGGAAFAYIYHA WFDPHVGDFFTFMGWELSVLCLLGAVFIQSSSHLDKPQSPTVDEETPLELKGKLEHNI TLWPLLQTAQFWHLFVVVLVGVGSPLFVMNNLSFLVESNGGDAAHVPTLVQLFSLFNL VGRFAMGAISDAWLPTVPRTHFLTASVVLVGLVQLSFV H257_09603 MTTHLYSTARTSSDYQDAALINLLWYLFGRASDLCVVRKQNITI DGGGVFFMKFIRMKTREELGISLFPDNEFQSCPPLAIGLLLMSHVRPIPRPRRQPAAT NKDVTCDPRSRRTAHRSSGQPWCHCAIKRHRHQGCAKLYDSLPHKQLTSHSVRRGDSQ HENAVEHLSSPWIFDRGLCTVSATNKAFNYVFNTSLEDHKVAKTLSGWAPTDTVKLAS LSTFDVRTKEQIDAVLAMASRLETCVVEAGCALVDVLAWSSHLVEVQGALTVAYASHQ AMVIEHFIENARLQNARMDVLEAHLNSSCQPQPSKPTTELPNPRSHEHQLMPTVPQRK KSSTTHPNATWFEWYALQPRLWESKNEKQKKSNSKLLVAFMKLFLEHGFVLDPKSPTY RDDVNAVGRSAEINLLGYLPGRGVELNDQAPFSSTCASCIYDLNDKIVR H257_09604 MLGRSWVGRALLLLLGPFHQTTDAHGTHITSFEDVLSSQPHVHD FAVVSYVSVTSADGVESLAPNAPYPSSLHVHFRTSHGMDVKFDVRLKRNLFAADSFVW AHDGPELALLKSHKPHHIAYEGTLPLGYIRLTMFDRHTFHATIKLHDKIVVVDPVEHH KNAHQLTSPVTGMVAYSIPLEAATLSNEHHRQLTSTFGRMATCTWSARQITVGVVSDA GFTSEHGGAAKTQSYLIAVYNSINGLYDDQIGVHLTIGAFLIETAAGGAAWNVEPQTC GAMVDMNVQLNAVKSWVAAGEDVPLCNQENCGVWHLHTNCDADRTRTGNTAGLAWVGT LCSSSMGYNTGVSIDAGVNTWIVVGHEIGHNFGADHTFAEGGIMSYDWSTPTKFYDNG QVCSFVQSVLDKCLKPYSSDGAATARATTTTARPTTTAITTAQLTTTTARPTTTTSAG PATTTTTTTPLATTSTSTPLPQVDPCACSTENLCREVYRPGCQTFLDKRYCYVVGYDQ CARAKPSVTCTNANGKTLYYLEFDGVCPTLVPSPSPNTPPVTTTPTTSTLTPTTTTLT PITTTLTPTTATPPSSTTTIVPTTTTTLTPTTATPTTTTTTVVPTTTTSSAPTPANTT LIPTLSNSTPPTTITNPPTTTAASSDPCSCSTDSKCPTIAGGGCQSFRGRSWCYVQDP TKCVGAAYYDSMDCVGQKYMQCNGSTNTLLPPPPKDPCACSTTVTCPSVSTQPGCFKS DRTSYCYVQDPTKCFGPSIRSSSVCAREKLRRCSSQDKSATHWIVNEWSKCSALCGGG VKTRTVACMDQKEIIEFTDSSCSTPKPVYTLECNVRSCPVTAFNNTTPCAGPPHSTCK PRSRKRLCDCACNLGYIYHPRRRECVPLPAMEMLSVKCPECAGNVRGPLSFEVRSHDI DPLEPPVCDGQDDGGTVTVTASWLVAFEPDSTTTSGDSASTSEGTTNVAMIAIATCIA AIALILVVLAYRRHTVLQPATQHQTPVYIVGSTPGAML H257_09605 MRIRSQKRLKSIIQTPTIELNSNSQHPTEDDTLDALYEEPGAYA GSTRKSFGCSDDITLLIAVNDAKPWTASQGCKTRFDNLTKAYKEHTLAAMPRSGSDKE FGECEQLLEDILSQVNDFVEKKDTQASLLASKKDGIEESGVMMRKLAMESMDAIDGSE SGKRTRVVDFLQHITTAVDNVTGKDPSLKMVTSFLKDRLEQEDLREENVRVGRMGVTV SSMNAILPVTNKCRNFC H257_09606 MGTREALLTFDGRGDPVYGCNQFFICPFPLAGTDTRQRRFTSQM RKVREAVKWSFGRLKILLPFVFDSKKM H257_09607 MELKPPFHSVAADSGVQRRKTTSQYTVEAAEESVVQRRQRTSST THLRPPSSLRCTAFHPPSANARSRAVEYSEDGERRSDVVGTHGTSQYSASVHHSGPPP SSSHSTVHCGDHTTFIIDAVLHSTTAVAQIAAGQCSDDQYALLQHFSVHHHRLLHRPP PLQSAGERRWSAAKLVLYVRQDAAPSYGQNGQLDGSGLWSTATVEDIAPSTITAPLPA TAATPQCPPSWTHSTAHHRRPK H257_09608 MADKVATPVIGVGGGYKSVWDARPVKYTNVIIQVCGFILLMELS ERLSYYGINQGLKNFMGKKLNWSSVSSNSIKSTWTSLVYMSPLLGAYMADERWGRFKT IAVFGTVYMIGDILLAIAAHPSVLSLDGSTKTAQAIFIVGLFVFIGIGTGAIKSNVIT LGADQFNPDDERENAQKVTFFSYFYWSINVGAGFAYGYLATLCVKGSGDFIPKEYGYF ATFTICACVFILALAFFFLGSSRYIKIAPSSNAMSKLVHVLLASSSKSTAARYTVFGF IAFILSFFLNLLAVFLTDRSDERLAMSYVAGGVSLIGVLMWVWFGRQYDNMSLAKESE GGNQDDLSIDEIKLVVRVLPFAAFNVMWQCVYDQIDANFQTIAQQTDLRFGNERDATQ LPGAVLGVFDPIAIVVLIPFLETVVYPVYKKFSGKEASAFGKVAAGLILSTFTMFYSG GFETVRRNSGVLLVPASATNSTLDVILDASSDLPMNDIAWGWCIPMYVLVALCECLIN VTAFDVFYTEVPSYLKSTCQAINLFMVSMGSNVTSIFTLVFQKYIPDDLNDGGNLEYM FYGVGIVSLVNLIAYLVVMKQMQFGMASSKRGADVIEAAALENKESHLADAEKARLSY A H257_09610 MAASSLRSKVLFVLGGPGSGKGTQCSKIVAKFGFVHLSAGDLLR EERSSGSPNGDMIDRMIRDGAIVPVKVTLDLIRKAMLESGRDLFLIDGFPRNFDNLEG WEAEMTDVDVAGVLFYDCPEEEMEKRLLERGKTSGRTDDNIDAIRKRFTTYLESTMPI IEHFALKDQVFRISSIPSPDVVFDETAKVIEPIVKRHLVDSTQRLLDAVFQGDWATYK DLCDECLSAIEPQSMGHVIEGLQFHEFYFKNQGIGGLGVSKICKSNVVDPHVKLYGDT AIVSFANVIQSPTQESVLYMETRVWHRQDGKWKNVHFHRSSK H257_09610 MAASSLRSKVLFVLGGPGSGKGTQCSKIVAKFGFVHLSAGDLLR EERSSGSPNGDMIDRMIRDGAIVPVKVTLDLIRKAMLESGRDLFLIDGFPRNFDNLEG WEAEMTDVDVAGVLFYDCPEEEMEKRLLERGKTSGRTDDNIDAIRKRFTTYLESTMPI IEHFALKDQVFRISSIPSPDVVFDETAKVIEPIVKRHLVDSTQRLLDAVFQGDWATYK DLCDECLSAIEPQSMGHVIEVCLSTS H257_09611 MATRRPHKANSRDTNVRSPESSMPVMEDHWSDVPTSYAPAQQVP TQNLREIESYYAQGQATTPSNPYYGGNAPLSSGPGSQPQGGFSQPFPSSANTANIHVI DKELQKQFRGRTQRSANSKIHWMENKKSQIMGLCWTLGCLGMTLAFYNYRWAGLIAGS INTIVCGMAVVVTYNLKREWHQHPNPIVHMRSCLSIFLAICLLLNILVDYNPANDKSA KSCQKLAGLTEFFFFSSEAWGLMMAIDLYSSLNDPFKSYKRSMKFYHCFVWTTSLLMA VIAFTVEETSGGPAGGFFHVDGRQINDNDNKHNVIGFCLASSGKVAKNGEVPKSFLRI QQWPWILLYGFVIFVLLVSVTVLIIAWRRLYAGGVPKTYNIRLGVLNYISLFTGAMVF YWLFLLFMYMSTYFVSDSYQTDPLNVIPMMMRQFFMFLVASKGYLEYIIWFAVNNTKK NSKTTDVDVDLSPQVNLALRSEILYYTTSGIKQSVQEARTNASSSEMFLLTDGQDDKG KTIKFWSYAPTVFRTIRENYGISDNRYVGLFSATTKERFSEGRSGAFMFYSADESIIV KTMSKEECELLRRMAPKYAAYLVGHPQSLMTKFYGCHAVQLYGKMYYFVVMGNIFANT QVIHHRYDIKGSWEDRNARLPKVGNNVTCRYCNARYTFGSTKSQECGDGLNFHEPNIV LKDNDLLTKVRIDPHASNQLYDQLCFDSDFLHDQGIMDYSLLMGVQSCEYYVEPGIVG FNPNMHPGSTFATQTAISVNGPALYQFGIIDFLQQWTLEKKLERFWKQHVKRKDPDGI SAIPPKQYKLRFQQKMSQVFAISKANNPFIGQPPILLDVFDQGLSSTRNNHNFVPENG SVLGGYTEDNLRHTSAAVLSENERDATHLIPR H257_09611 MATRRPHKANSRDTNVRSPESSMPVMEDHWSDVPTSYAPAQQVP TQNLREIESYYAQGQATTPSNPYYGGNAPLSSGPGSQPQGGFSQPFPSSANTANIHVI DKELQKQFRGRTQRSANSKIHWMENKKSQIMGLCWTLGCLGMTLAFYNYRWAGLIAGS INTIVCGMAVVVTYNLKREWHQHPNPIVHMRSCLSIFLAICLLLNILVDYNPANDKSA KSCQKLAGLTEFFFFSSEAWGLMMAIDLYSSLNDPFKSYKRSMKFYHCFVWTTSLLMA VIAFTVEETSGGPAGGFFHVDGRQINDNDNKHNVIGFCLASSGKVAKNGEVPKSFLRI QQWPWILLYGFVIFVLLVSVTVLIIAWRRLYAGGVPKTYNIRLGVLNYISLFTGAMVF YWLFLLFMYMSTYFVSDSYQTDPLNVIPMMMRQFFMFLVASKGYLEYIIWFAVNNTKK NSKTTDVDVDLSPQVNLALRSEILYYTTSGIKQSVQEARTNASSSEMFLLTDGQDDKG KTIKFWSYAPTVFRTIRENYGISDNRYVGLFSATTKERFSEGRSGAFMFYSADESIIV KTMSKEECELLRRMAPKYAAYLVGHPQSLMTKFYGCHAVQLYGKMYYFVVMGNIFANT QVIHHRYDIKGSWEDRNARLPKVGNNVTCRYCNARYTFGSTKSQECGDGLNFHEPNIV LKDNDLLTKVRIDPHASNQLYDQLCFDSDFLHDQGIMDYSLLMGVQSCEYYVEPGIVG FNPNMHPGSTFATQTAISVNGPALYQFGIIDFLQQWTLEKKLERFWKQHVKRKDPDGI SAIPPKQYKLRFQQKMSQVFAISKANNPFIGQPPILLDVFDQGLSSTRNNHNFVPYEK KN H257_09612 MFHENWEFDNTELAMSRIALVTGGSRGIGLAVARQLHADGWLVA LTSRTSERAMESAATISPEVVGVAYDACVSGSAERAVKDVASQLGGPITGLVNVAGET HNALLLRLHEEHAQKMMQTNLLGPLFMCKAVARGMVQQKRGSIVTLGSVVGSRGNVGQ AAYAASKAGLVGMTTSLAKELGPKNIRVNLVEPGFIATDMTTAHMSDDARQKAVDQIV LRRFGQPDDVAHMVSYLLGEKSSYVTGQVFRVDGGLVL H257_09612 MFHENWEFDNTELAMSRIALVTGGSRGIGLAVARQLHADGWLVA LTSRTSERAMESAATISPEVVGVAYDACVSGSAERAVKDVASQLGGPITGLVNVAGET HNALLLRLHEEHAQKMMQTNLLGPLFMCKAVARGMVQQKRGTSHFFQRLLIYMMRLGS IVTLGSVVGSRGNVGQAAYAASKAGLVGMTTSLAKELGPKNIRVNLVEPGFIATDMTT AHMSDDARQKAVDQIVLRRFGQPDDVAHMVSYLLGEKSSYVTGQVFRVDGGLVL H257_09613 MSGFGVPKASKRSLSQISRDVPSPKRRVSQLKATSSTKLPDANT NGGYDSEEETVLPDDVVDSKLNVLDSNVDIPSSPPFAWTQIGSEQHTVSSAPHRNDDD KSAFMEASNVDVALVAEDPNTSLHGNEVDAIEDDEQDEVMEGDVSSGVHHAWSVMRGL CTHLSHAEVGAINRGLPLHGPPGAAPTTAANALKTRFRWPVRMQNLLRTSFEMSPSPI DVTLDDNADNDDVAGLNHPDDASCLPALPEPLCDAVTSEFRRLHPLPAFPPSHELPSK LSHIVRDAAVFADVLMEMLLVRNAAALQGHEQHYSSSAWSSVQGRPTANWKFVVESLD FARSSSPLSLSSETKARIRARLGAIYDDSWMHPTE H257_09614 MSMAEVAGEDVHEYDVHEGRLNVKNVTVASLSDIRLVSPGQLKC LNVSDNVLLNMQPAHDFPLLQHLTLTRNWIVDMGPVRQFPHLLHLDLSHNNIRQVDGI DMLTHLQVLNLSHNDLRNFLAIRPLSLNQSIRVLHLHNNVVATLSGYRARVSSLLPQV FMLDDVRFPRFASLKKLPSPSCRACGHTALPPHLAPSQGRRLSKDEQALCDAVRSKPR LPNAAKKSTPPLAVHPHPTSSQMPNRFQQEHEDSGRQLFIQQKMHDRPKQAKATVAAR SRHMSLIERKLLQLIASKDDHKKPMAPAPKTTTPCKSQRSNQLDVDALTSMEDSNDSK TPSPTSCADNHLHPLDDMFTDDMEYHEDMMQQLQHNITPTTTMESETSYYGFDQAWAS CAALEKLFDTSLPLYDDQVIALAQAAAEDLPFQNVHLGTVLREFAQVLSLQQEPQSPQ SETSEMTQIQQFLRRN H257_09614 MGPVRQFPHLLHLDLSHNNIRQVDGIDMLTHLQVLNLSHNDLRN FLAIRPLSLNQSIRVLHLHNNVVATLSGYRARVSSLLPQVFMLDDVRFPRFASLKKLP SPSCRACGHTALPPHLAPSQGRRLSKDEQALCDAVRSKPRLPNAAKKSTPPLAVHPHP TSSQMPNRFQQEHEDSGRQLFIQQKMHDRPKQAKATVAARSRHMSLIERKLLQLIASK DDHKKPMAPAPKTTTPCKSQRSNQLDVDALTSMEDSNDSKTPSPTSCADNHLHPLDDM FTDDMEYHEDMMQQLQHNITPTTTMESETSYYGFDQAWASCAALEKLFDTSLPLYDDQ VIALAQAAAEDLPFQNVHLGTVLREFAQVLSLQQEPQSPQSETSEMTQIQQFLRRN H257_09615 MSAADVDMESSSNSGGIDESLYSRQLYVMGHEAQRRMGESNVLI VNVDGLGVEIAKNIVLAGVRSVTLLDDAPATFLDLSAQFYLTEQDVATQTGRAEATWR KLAELNPYVQVKQHTGKLTADFIAQFRVVVICNATREYAIEINDICHALDVAFVYTES RGVFGSVFCDFGEAFVVSDKDGEQPITCMIASITTDVPGKLLVTVTDDSRHQLETGDF VTFRELQGVDGLNGVAPLPITVTGPYTFTIDHPSIAVNSMGGYVTQVKQPVVLQFKPL KESLVSPGEFLTSDFAKFGRSELLHVAFQGLDAFQIVHGHLPRSGNAEDADDIVRLTK PFAHELKVDVDANVVTALATGARGVVAPITSFLGGIVGQEALKACSGKFTPIHQWFYF DAIECLPDEVLPEAEYQPLNSRDDGQVAVWGRSFQEKLKQLRLFLVGAGAIGCEMLKN WALMGIASHAHGRIHLTDMDLIEKSNLNRQFLFRSTDVGQAKSSTAATAIQSINPHVN IQAYVTRVGADSETTFDDAFYDSLSGVCTALDNVDARLYMDQRCLFYGLPMLESGTLG TKGNTQVVVPNVTENYGASRDPPEKSIPICTLKNFPNAIEHTLQWARDWFEGVYFQTP NDVNAYITTPNFIAKNVHTDSVERVYESLVADKPASFHECIAWARLQFEAMFSNSLKQ LLHNFPLDQVTTTGTPFWSGPKRPPTPLTFSTDDTVHMDFVVSVANSRARTYGIVGHR NRAEFVAALETIHVPRFVPRDGVKIAANDEEMKQAAQGQSNTVIAGAPPPPLPSPAEL GDYRMFPIEFDKDDDSHMEVIVATSNLRARSYKIQEADLHASRFIAGKIIPAIATTTA LVTGLVCLELVKYFQHKPLEAFKNGFVNLALPLFAFSEPIAPKVTTSMLNDEPYKWTA WDRLEMNVGDVTLQEFLKHFESKYGAEVSMLSYGVTILYAMYSAKSRSKERMGMKLSE LVTTITKADLPPKQKYLILEVCAADAEGEDLELPYIRYQYRQ H257_09615 MSAADVDMESSSNSGGIDESLYSRQLYVMGHEAQRRMGESNVLI VNVDGLGVEIAKNIVLAGVRSVTLLDDAPATFLDLSAQFYLTEQDVATQTGRAEATWR KLAELNPYVQVKQHTGKLTADFIAQFRVVVICNATREYAIEINDICHALDVAFVYTES RGVFGSVFCDFGEAFVVSDKDGEQPITCMIASITTDVPGKLLVTVTDDSRHQLETGDF VTFRELQGVDGLNGVAPLPITVTGPYTFTIDHPSIAVNSMGGYVTQVKQPVVLQFKPL KESLVSPGEFLTSDFAKFGRSELLHVAFQGLDAFQIVHGHLPRSGNAEDADDIVRLTK PFAHELKVDVDANVVTALATGARGVVAPITSFLGGIVGQEALKACSGKFTPIHQWFYF DAIECLPDEVLPEAEYQPLNSRDDGQVAVWGRSFQEKLKQLRLFLVGAGAIGCEMLKN WALMGIASHAHGRIHLTDMDLIEKSNLNRQFLFRSTDVGQAKSSTAATAIQSINPHVN IQAYVTRVGADSETTFDDAFYDSLSGVCTALDNVDARLYMDQRCLFYGLPMLESGTLG TKGNTQVVVPNVTENYGASRDPPEKSIPICTLKNFPNAIEHTLQWARDWFEGVYFQTP NDVNAYITTPNFIAKNVHTDSVERVYESLVADKPASFHECIAWARLQFEAMFSNSLKQ LLHNFPLDQVTTTGTPFWSGPKRPPTPLTFSTDDTVHMDFVVSVANSRARTYGIVGHR NRAEFVAALETIHVPRFVPRDGVKIAANDEEMKQAAQGQSNTVIAGAPPPPLPSPAEL GDYRMFPIEFDKDDDSHMEVIVATSNLRARSYKIQEADLHASRFIAGKIIPAIATTTA LVTGYAIVLFASIMLNG H257_09615 MIASITTDVPGKLLVTVTDDSRHQLETGDFVTFRELQGVDGLNG VAPLPITVTGPYTFTIDHPSIAVNSMGGYVTQVKQPVVLQFKPLKESLVSPGEFLTSD FAKFGRSELLHVAFQGLDAFQIVHGHLPRSGNAEDADDIVRLTKPFAHELKVDVDANV VTALATGARGVVAPITSFLGGIVGQEALKACSGKFTPIHQWFYFDAIECLPDEVLPEA EYQPLNSRDDGQVAVWGRSFQEKLKQLRLFLVGAGAIGCEMLKNWALMGIASHAHGRI HLTDMDLIEKSNLNRQFLFRSTDVGQAKSSTAATAIQSINPHVNIQAYVTRVGADSET TFDDAFYDSLSGVCTALDNVDARLYMDQRCLFYGLPMLESGTLGTKGNTQVVVPNVTE NYGASRDPPEKSIPICTLKNFPNAIEHTLQWARDWFEGVYFQTPNDVNAYITTPNFIA KNVHTDSVERVYESLVADKPASFHECIAWARLQFEAMFSNSLKQLLHNFPLDQVTTTG TPFWSGPKRPPTPLTFSTDDTVHMDFVVSVANSRARTYGIVGHRNRAEFVAALETIHV PRFVPRDGVKIAANDEEMKQAAQGQSNTVIAGAPPPPLPSPAELGDYRMFPIEFDKDD DSHMEVIVATSNLRARSYKIQEADLHASRFIAGKIIPAIATTTALVTGLVCLELVKYF QHKPLEAFKNGFVNLALPLFAFSEPIAPKVTTSMLNDEPYKWTAWDRLEMNVGDVTLQ EFLKHFESKYGAEVSMLSYGVTILYAMYSAKSRSKERMGMKLSELVTTITKADLPPKQ KYLILEVCAADAEGEDLELPYIRYQYRQ H257_09616 MASKQADKFVTLLSDKLKQLTSIALTQEELNDKLGTAIATLPNG SLKATLQRNLDVYSKRLGRLLEASLSAHRPASVSEKLLCEADYDILLSQNHTSKLVEH LSVPPSVFANFVTADTSTDDDPVKIVDAVVQLVSAATQELATNGFTSVYSTKQSPHAA IALYADKVSAHVRSWMEAAHTHNDSFDVLNSRQLQRALAELLKVVEFAQLQDLYQAPS STVRDQSFSFYLPNEVTTSINQTCTSALATLYATYLVLVVHYPSRTPPPDAVDSDDDD DDDLHETIHPVKADPLSVASQSVAELLVTAERFASSWLVDVLLAAAQLPQPTVPSNEV ALIAQFNRVVIKALHQLSFQPNMSAVEFVRGVLFVRQAKALLRRTRQANAPQLTSVIT RLTSLAIPFKLTDWMTLVALGDTSRLSELIAPVVETAPTSSAFLSSTWKDSDLIDLAT KLELEFVTKRQQLGGDDEDEAGTIADQPHGDTAADGDHPLFFVDSVGGQ H257_09617 MKIIDKINEKIEKKEPFYSFEYFPPKTPAGVTNLYTRMDRMALM EPLFCDMTWGAGGSTASMTIELCVNAQKLSGLEMLMHLTCTNMPKGSIKNALDSAKDA GIQNILALRGDPPRGQTEFKECEGGFAYAIDLVKYIREEYGDYFCIAVAGYPEGHSDA KDLDTDILHLKEKVDAGADFIVTQLFYDVHKYFDFVDKCRAVGINVPILPGIMPIQNY NGFVRMSSMCGHVPDAILQALEPIKDNDEAVKDYGVELGIQMCRDLLDHGVPGLHMYT LNLERSTRLILEGLGLTATARRELPWRPSTLAKRAGETVRPIFWANRPKSYLDRTASW DEFPNGRWGSSESPAFGDLNESYYSHTTASVQERKAMWGESPVTYADVYETFTRYVMG AVKSLPWCDTPLHLETKSIQERLAAVNRAGYLTINSQPRVNAAPSDDPMFGWGGPGGH VYQKAYVECFVSPENLKRIIEKCNKMKHVQYHAVDVNGNSYSNCGKGTTAVTWGSFPN KEILQPTIVDTDSFMAWKDEAFSLWLSMWASLYHDESAAHALIHQIRDSFFLVSIVDN NFVNGDIWDAFDVDVTTASAAGP H257_09618 MFPLTKSPTPSSSRHDGATVMLWFDTFVSPESVVDAKLRMPDYE YTVTGYQRMKKRERIQLGTPVVVNGKKLAIFRHGARYYAMQQFCPHAGGDLALGDIED IDNMLCITCPRHGFQFVLLSGDCLIGDAWKAEHYPVEARAALPDTPRTLFVGFPQLNC SLFYEEDF H257_09619 MEDVLADAALLANTISFLPRQDAMSMVYVNSMWTKALMRPAVWE ELAQTPKGPILATCFRRAASYIYTEMDVPSPNVNRRGSPPTIRGCLLSDRSTIKDYID ELKGLYYEHRRMDDAPRLLQLPDVLLRDDSKAKGDQSSDLVKNHAFHTVKLIEGGVDG TTGASHVLSGGITVDGGFFYLWRLHDLALCGTIALASASCFDVCATALAIGTLDGTVK VWDLAVWVSKSSSSPPPSNPRLLIIPPTTTLALRSHLTLTPFLRTRSSGQAHKISLVK VDLSDGSFLQAAATTDKGDVHVWDATKSEIILSLAPDRIHTSTLPRAARAARPQVSSL MLFRNTLVCGTSAGLVRIFDLRNGKLTHRISGHPDAIQKTDTKGRVLWTAGHDGSVRW WGGKNAKVCPKSALCRGGIGALEMDETAVVAGYADGGMQAWDVRTQQPLCTFASSVGV SSLQFDKRKLVSVSKNGVACVWRWYAMYPHGVFPRQHTYTCVCFDEKHLVLGTTGGVA VVYTMEGGPAKKPTSVYDY H257_09619 MEDVLADAALLANTISFLPRQDAMSMVYVNSMWTKALMRPAVWE ELAQTPKGPILATCFRRAASYIYTEMDVPSPNVNRRGSPPTIRGCLLSDRSTIKDYID ELKGLYYEHRRMDDAPRLLQLPDVLLRDDSKAKGDQSSDLVKNHAFHTVKLIEGGVDG TTGASHVLSGGITVDGGFFYLWRLHDLALCGTIALASASCFDVCATALAIGTLDGTVK VWDLAVWVSKSSSSPPPSNPRLLIIPPTTTLALRSHLTLTPFLRTRSSGQAHKISLVK VDLSDGSFLQAAATTDKGDVHVWDATKSEIILSLAPDRIHTSTLPRAARAARPQVSSL MLFRNTLVCGTSAGLVRIFDLRNGKLTHRISGHPGTGK H257_09620 MKYECARVLRGHDGPVFAVRFNEKGTYCMSCGSDRTIRLWNPHR EGTDGPTSALMIKTYKGLHGYEIRDVAIENDNSKFVSCGRDKAVFQWDVASGKTIRKF EGHVSSVNAVTYNDDCSVLATASYDSTVRLWDVRARNSFVPIQTLDDFTDSVTSVLVT DHEIVASCVDGFVRTYDLRAGLLTEDNLHHPVTSLAMTSDLNCIVASTTKGHIRLFES KSGVELNAFQGHVVGDYGLDCAFSHDDASVLSGSEDGRVMVWDMLTKDPRRSFQAHDR AVRTVATHPTDAMVLTGSVDSTVKVWVPAAP H257_09620 MKYECARVLRGHDGPVFAVRFNEKGTYCMSCGSDRTIRLWNPHR EGTDGPTSALMIKTYKGLHGYEIRDVAIENDNSKFVSCGRDKAVFQWDVASGKTIRKF EGHVSSVNAVTYNDDCSVLATASYDSTVRLWDVRARNSFVPIQTLDDFTDSVTSVLVT DHEIVASCVDGFVRTYDLRAGLLTEDNLHHPVTSLAMTSDLNCIVASTTKGHIRLFES KSGVELNAY H257_09621 MGNDGGVIAVARKYMRHGHQKQRDEKGDQDALREKRTTMCALTD EPLQEPIVACKLGNLFNKDRLIEKLLDRSLPEKFDYIRSMKDVVTCKFYPAPEAKSAT ENSNMKRATNRFRFHCPITLQLFNGAHRFVLLKKCGCVLSEKALKEVKPKDCLMCGFP IKSSKELWPLLLSDEDAAVVLASIRKSEKPLKRKRDLDTAPLALDESAAKKAKSKVQT VNQAEEAVSQEKGRNPVYASLFSTEQDTKKSANELLMTIAGLRYTLS H257_09622 MTTAPPQPITCKAAICWGAKQDFVVEDVIVGPPAEGEVRIKILA TGVCHTDEYTRSGQDPEGLFPCIMGHEGAGVVESIGANVTSVQVGDHVIPCYTPQCRN CKFCKSNKTNLCSVIRSTQGRGLMPNGTSRFTCKRNGETIFHFMGTSTFSEYTVLPEI SVAKINKAAPLDKVCLLGCGITTGYGAALNTMQVEPDSTVAVFGLGAVGLAVIMGCKA AGARRIIGVDINPKKFAIAKEFGATECVNPKDHAAPIQQVLVDLTVEDGFGGLDYTFE CIGLADTMRAALECCHKGWGQSCVIGVAASGVEIATRPFQLVTGRRWAGSAFGGFKSR DGVPALVEQYLKHEVKVDEFVTHHFALAEINQAFHAMHSGDCIRAIVHLDQ H257_09623 MSYDHVDPQQNLHAMTAYGGSFQQQYDTLSLDIPSPFDPHLPHH HHHQRNQQSGNHLSPSSVHSSSMQHPHTMHSHHSQAHHQQQQSYASFQPPNNNPFTSM SVKRKSPMYQDTDESSPTSYAFVHAAGASSHNPRPSPTASLRQSQPSTMSPLASSSIV CDLCKHLDPILYIPECGHTFHSRCVGEWPMVTCPTCRGSVPKVAVVHVDMHTKAAPRS GKWTKQEEKFVTLIVDEFDHGTFPLANGTPVRLVLAKLLNCSPMRLSKKFQKNALGKR TYRVPKSSHAAPNGSRICFDAATHQARQIEFSASEHAFREEVVLLQRKDNKMDGHIEV RDLRLAVVQFWVSNFLKFALSVGQQVDGLDTTEPKKKKQAMQKLRDGMFDQVLSWAAA AASDKTRTDDGDQAAGTSRQLHPPVHPPPLHPHPLVQWDEAYFKSEPLKAASSFDSLD NHENYPDQQPDYGDDEEAVPFQPHQYKQLSHLQQTPSHHHQPTHAMQRHHHHTIAPPS FGRDDGGIAYGSGYGSSYKRPTMVRDPPTSHRTALTPKVSLRGGGGQATTSTTSRTNS GPLRPQQLQPTNSSSTYLTTNASYDSVDSTNSSSAELDGLLMSTNHTSSHLRPHQPSP ATTYDAMDAIPTNSSWDQMLDDFTGINSQLVVDPALNGASWL H257_09624 MKLSKVALIGLLFVAARTQAQDDAAPAADDSPVVSQYDASSTEA DITTAIQNGSSDGQIITTLEEQGLSVPEAEVALAAAKDNYQSSSYDIATTTQENDATT TEDATQGEVAAAAAVDAGATEQQAAYIADAIDNEGASAASASIDAGLTNSQAVEVITE VVTASEDIPSQGEVAADAAADVGATDAQVEEIKDAIDSGASAASAALDAGLSDAQTAE VVDQVTSASDEIADPADVAAAAAIESGASAEQVADIVTAVDAGASPSDAAVDAGLSPA AAAAVETQVEDSADNHAPAADVAAAAAADAGASPEQVADVAASVDAGASPSDAAADAG LSSSAISKVEGIIAEAATAVVSYDVNGIELAADHNMPDVYATFTTAPTHNETPAPKST FGRIIDAITSYLTTAPSSPSLRARPQCATSA H257_09625 MMFVSATRRRVRLAGHASRSLSFFDKMFSYGGNDDVKASSAGGS SGYQLALLGYVAGTMFPKDSLKRILTNDPGFLMGHVLVGASEYLHPRLHGDSQDAVRR VDTVKALLVDGSAQSKTETLHVHALSALVGGRYREASVAYETILREDATDLLAVKCAV DIYTLLGDARNMQDTISRVLPQWQTNHQGYSHLMSLQAFAVQERGDFAAAESLAHRSM SMNNEDGSAFHALLHALESQGKHQDGASMVQRHRDAWSEYAVLKAHLTVHWMYFLIET GRFDRVVALLKNDVLVPDEVLSANGLVDVTQVYWRLRFAGFDVPFVLEELDRQWTAVV KDAETVPLSPLAALHAHSVFSLLRPESDTPQISDQAFACDVQALHAAWVGQGLSIDRI VQFSHRLPGNGEGHVVATLLDAISAYAKGQFHVAVDKLLSVRGHLHVHTSLRSSGCFM HGCPLGRRGHPRGSRVLRTSPRRCGPRILATRPGQAAPHRTLKYQAPQCAVLENLWGH HGRPPGRSWRRRSEAHELRSRIGSSGNECSIKALNRRLWIGFRARIHLNRQKIRKN H257_09625 MMFVSATRRRVRLAGHASRSLSFFDKMFSYGGNDDVKASSAGGS SGYQLALLGYVAGTMFPKDSLKRILTNDPGFLMGHVLVGASEYLHPRLHGDSQDAVRR VDTVKALLVDGSAQSKTETLHVHALSALVGGRYREASVAYETILREDATDLLAVKCAV DIYTLLGDARNMQDTISRVLPQWQTNHQGYSHLMSLQAFAVQERGDFAAAESLAHRSM SMNNEDGSAFHALLHALESQGKHQDGASMVQRHRDAWSEYAVLKAHLTVHWMYFLIET GRFDRVVALLKNDVLVPDEVLSANGLVDVTQVYWRLRFAGFDVPFVLEELDRQWTAVV KDAETVPLSPLAALHAHSVFSLLRPESDTPQISDQAFACDVQALHAAWVGQGLSIDRI VQFSHRLPGNGEGHVVATLLDAISAYAKGQFHVAVDKLLSVRGHLHVVGGTRVEAEFF ELLLVDACVTHLKSSACSLVVFIMFLSLQGPRILATRPGQAAPHRTLKYQAPQCAVLE NLWGHHGRPPGRSWRRRSEAHELRSRIGSSGNECSIKALNRRLWIGFRARIHLNRQKI RKN H257_09625 MMFVSATRRRVRLAGHASRSLSFFDKMFSYGGNDDVKASSAGGS SGYQLALLGYVAGTMFPKDSLKRILTNDPGFLMGHVLVGASEYLHPRLHGDSQDAVRR VDTVKALLVDGSAQSKTETLHVHALSALVGGRYREASVAYETILREDATDLLAVKCAV DIYTLLGDARNMQDTISRVLPQWQTNHQGYSHLMSLQAFAVQERGDFAAAESLAHRSM SMNNEDGSAFHALLHALESQGKHQDGASMVQRHRDAWSEYAVLKAHLTVHWMYFLIET GRFDRVVALLKNDVLVPDEVLSANGLVDVTQVYWRLRFAGFDVPFVLEELDRQWTAVV KDAETVPLSPLAALHAHSVFSLLRPESDTPQISDQAFACDVQALHAAWVGQGLSIDRI VQFSHRLPGNGEGHVVATLLDAISAYAKGQFHVAVDKLLSVRGHLHVVGGTRVEAEFF ELLLVDAARASSQLDLAKLLLIERLNIKPHSAQYWKTYGDIMEDLQDEAGVDGARRMS YVLGKNSSKNLVLTRCICRIGSSGNECSIKALNRRLWIGFRARIHLNRQKIRKN H257_09625 MMFVSATRRRVRLAGHASRSLSFFDKMFSYGGNDDVKASSAGGS SGYQLALLGYVAGTMFPKDSLKRILTNDPGFLMGHVLVGASEYLHPRLHGDSQDAVRR VDTVKALLVDGSAQSKTETLHVHALSALVGGRYREASVAYETILREDATDLLAVKCAV DIYTLLGDARNMQDTISRVLPQWQTNHQGYSHLMSLQAFAVQERGDFAAAESLAHRSM SMNNEDGSAFHALLHALESQGKHQDGASMVQRHRDAWSEYAVLKAHLTVHWMYFLIET GRFDRVVALLKNDVLVPDEVLSANGLVDVTQVYWRLRFAGFDVPFVLEELDRQWTAVV KDAETVPLSPLAALHAHSVFSLLRPESDTPQISDQAFACDVQALHAAWVGQGLSIDRI VQFSHRLPGNGEGHVVATLLDAISAYAKGQFHVAVDKLLSVRGHLHVVGGTRVEAEFF ELLLVDAARASSQLDLAKLLLIERLNIKPHSAQYWKTYGDIMEDLQDEAGVDGARRMS YVLGLGQAGTNAA H257_09625 MMFVSATRRRVRLAGHASRSLSFFDKMFSYGGNDDVKASSAGGS SGYQLALLGYVAGTMFPKDSLKRILTNDPGFLMGHVLVGASEYLHPRLHGDSQDAVRR VDTVKALLVDGSAQSKTETLHVHALSALVGGRYREASVAYETILREDATDLLAVKCAV DIYTLLGDARNMQDTISRVLPQWQTNHQGYSHLMSLQAFAVQERGDFAAAESLAHRSM SMNNEDGSAFHALLHALESQGKHQDGASMVQRHRDAWSEYAVLKAHLTVHWMYFLIET GRFDRVVALLKNDVLVPDEVLSANGLVDVTQVYWRLRFAGFDVPFVLEELDRQWTAVV KDAETVPLSPLAALHAHSVFSLLRPESDTPQISDQAFACDVQALHAAWVGQGLSIDRI VQFSHRLPGNGEGHVVATLLDAISAYAKGQFHVAVDKLLSVRGHLHVHTSLRSSGCFM HGCPLGRRGHPRGSRVLRTSPRRCGPRILATRPGQAAPHRTLKYQAPQCAVLENLWGH HGRPPGRSWRRRSEAHELRSR H257_09625 MMFVSATRRRVRLAGHASRSLSFFDKMFSYGGNDDVKASSAGGS SGYQLALLGYVAGTMFPKDSLKRILTNDPGFLMGHVLVGASEYLHPRLHGDSQDAVRR VDTVKALLVDGSAQSKTETLHVHALSALVGGRYREASVAYETILREDATDLLAVKCAV DIYTLLGDARNMQDTISRVLPQWQTNHQGYSHLMSLQAFAVQERGDFAAAESLAHRSM SMNNEDGSAFHALLHALESQGKHQDGASMVQRHRDAWSEYAVLKAHLTVHWMYFLIET GRFDRVVALLKNDVLVPDEVLSANGLVDVTQVYWRLRFAGFDVPFVLEELDRQWTAVV KDAETVPLSPLAALHAHSVFSLLRPESDTPQISDQAFACDVQALHAAWVGQGLSIDRI VQFSHRLPGNGEGHVVATLLDAISAYAKVRCFIIRSFRIRRLFSIRGNSMWRWTNCFR SEAIFTSSGAPAWKPSSSNFSSSMRPAHPRNSTWPSCSSSNA H257_09625 MMFVSATRRRVRLAGHASRSLSFFDKMFSYGGNDDVKASSAGGS SGYQLALLGYVAGTMFPKDSLKRILTNDPGFLMGHVLVGASEYLHPRLHGDSQDAVRR VDTVKALLVDGSAQSKTETLHVHALSALVGGRYREASVAYETILREDATDLLAVKCAV DIYTLLGDARNMQDTISRVLPQWQTNHQGYSHLMSLQAFAVQERGDFAAAESLAHRSM SMNNEDGSAFHALLHALESQGKHQDGASMVQRHRDAWSEYAVLKAHLTVHWMYFLIET GRFDRVVALLKNDVLVPDEVLSANGLVDVTQVYWRLRFAGFDVPFVLEELDRQWTAVV KDAETVPLSPLAALHAHSVFSLLRPESDTPQISDQAFACDVQALHAAWVGQGLSIDRI VQFSHRLPGNGEGHVVATLLDAISAYAKVRCFIIRSFRIRRLFSIRGNSMWRWTNCFR SEAIFTSSGAPAWKPSSSNFSSSMRPAHPRNSTWPSCSSSNA H257_09625 MMFVSATRRRVRLAGHASRSLSFFDKMFSYGGNDDVKASSAGGS SGYQLALLGYVAGTMFPKDSLKRILTNDPGFLMGHVLVGASEYLHPRLHGDSQDAVRR VDTVKALLVDGSAQSKTETLHVHALSALVGGRYREASVAYETILREDATDLLAVKCAV DIYTLLGDARNMQDTISRVLPQWQTNHQGYSHLMSLQAFAVQERGDFAAAESLAHRSM SMNNEDGSAFHALLHALESQGKHQDGASMVQRHRDAWSEYAVLKAHLTVHWMYFLIET GRFDRVVALLKNDVLVPDEVLSANGLVDVTQVYWRLRFAGFDVPFVLEELDRQWTAVV KDAETVPLSPLAALHAHSVFSLLRPESDTPQISDQAFACDVQALHAAWVGQGLSIDRI VQFSHRLPGNGEGHVVATLLDAISAYAKVRCFIIRSFRIRRLFSIRGNSMWRWTNCFR SEAIFTSSGAPAWKPSSSNFSSSMRPAHPRNSTWPSCSSSNGTASS H257_09625 MMFVSATRRRVRLAGHASRSLSFFDKMFSYGGNDDVKASSAGGS SGYQLALLGYVAGTMFPKDSLKRILTNDPGFLMGHVLVGASEYLHPRLHGDSQDAVRR VDTVKALLVDGSAQSKTETLHVHALSALVGGRYREASVAYETILREDATDLLAVKCAV DIYTLLGDARNMQDTISRVLPQWQTNHQGYSHLMSLQAFAVQERGDFAAAESLAHRSM SMNNEDGSAFHALLHALESQGKHQDGASMVQRHRDAWSEYAVLKAHLTVHWMYFLIET GRFDRVVALLKNDVLVPDEVLSANGLVDVTQVYWRLRFAGFDVPFVLEELDRQWTAVV KDAETVPLSPLAALHAHSVFSLLRPESDTPQISDQAFACDVQALHAAWVGQGLSIDRI VQFSHRLPGNGEGHVVATLLDAISAYAKVRCFIIRSFRIRRLFSIRGNSMWRWTNCFR SEAIFTSSGAPAWKPSSSNFSSSMRA H257_09625 MMFVSATRRRVRLAGHASRSLSFFDKMFSYGGNDDVKASSAGGS SGYQLALLGYVAGTMFPKDSLKRILTNDPGFLMGHVLVGASEYLHPRLHGDSQDAVRR VDTVKALLVDGSAQSKTETLHVHALSALVGGRYREASVAYETILREDATDLLAVKCAV DIYTLLGDARNMQDTISRVLPQWQTNHQGYSHLMSLQAFAVQERGDFAAAESLAHRSM SMNNEDGSAFHALLHALESQGKHQDGASMVQRHRDAWSEYAVLKAHLTVHWMYFLIET GRFDRVVALLKNDVLVPDEVLSANGLVDVTQVYWRLRFAGFDVPFVLEELDRQWTAVV KDAETVPLSPLAALHAHSVFSLLRPESDTPQISDQAFACDVQALHAAWVGQGLSIDRI VQFSHRLPGNGEGHVVATLLDAISAYAKVRCFIIRSFRIRRLFSIRGNSMWRWTNCFR SEAIFTYIRPCVLLGASCMDVR H257_09625 MMFVSATRRRVRLAGHASRSLSFFDKMFSYGGNDDVKASSAGGS SGYQLALLGYVAGTMFPKDSLKRILTNDPGFLMGHVLVGASEYLHPRLHGDSQDAVRR VDTVKALLVDGSAQSKTETLHVHALSALVGGRYREASVAYETILREDATDLLAVKCAV DIYTLLGDARNMQDTISRVLPQWQTNHQGYSHLMSLQAFAVQERGDFAAAESLAHRSM SMNNEDGSAFHALLHALESQGKHQDGASMVQRHRDAWSEYAVLKAHLTVHWMYFLIET GRFDRVVALLKNDVLVPDEVLSANGLVDVTQVYWRLRFAGFDVPFVLEELDRQWTAVV KDAETVPLSPLAALHAHSVFSLLRPESDTPQISDQAFACDVQALHAAWVGQGLSIDRI VQFSHRLPGNGEGHVVATLLDAISAYAKVRCFIIRSFRIRRLFSIRGNSMWRWTNCFR SEAIFTYIRPCVLLGASCMDVR H257_09625 MMFVSATRRRVRLAGHASRSLSFFDKMFSYGGNDDVKASSAGGS SGYQLALLGYVAGTMFPKDSLKRILTNDPGFLMGHVLVGASEYLHPRLHGDSQDAVRR VDTVKALLVDGSAQSKTETLHVHALSALVGGRYREASVAYETILREDATDLLAVKCAV DIYTLLGDARNMQDTISRVLPQWQTNHQGYSHLMSLQAFAVQERGDFAAAESLAHRSM SMNNEDGSAFHALLHALESQGKHQDGASMVQRHRDAWSEYAVLKAHLTVHWMYFLIET GRFDRVVALLKNDVLVPDEVLSANGLVDVTQVYWRLRFAGFDVPFVLEELDRQWTAVV KDAETVPLSPLAALHAHVRLRLVSFRLDIVWLLERVLVASTRIGHPANLGSSVRVRRT GLTCGLGRPRTFHR H257_09625 MQDTISRVLPQWQTNHQGYSHLMSLQAFAVQERGDFAAAESLAH RSMSMNNEDGSAFHALLHALESQGKHQDGASMVQRHRDAWSEYAVLKAHLTVHWMYFL IETGRFDRVVALLKNDVLVPDEVLSANGLVDVTQVYWRLRFAGFDVPFVLEELDRQWT AVVKDAETVPLSPLAALHAHSVFSLLRPESDTPQISDQAFACDVQALHAAWVGQGLSI DRIVQFSHRLPGNGEGHVVATLLDAISAYAKGQFHVAVDKLLSVRGHLHVHTSLRSSG CFMHGCPLGRRGHPRGSRVLRTSPRRCGPRILATRPGQAAPHRTLKYQAPQCAVLENL WGHHGRPPGRSWRRRSEAHELRSRIGSSGNECSIKALNRRLWIGFRARIHLNRQKIRK N H257_09625 MQDTISRVLPQWQTNHQGYSHLMSLQAFAVQERGDFAAAESLAH RSMSMNNEDGSAFHALLHALESQGKHQDGASMVQRHRDAWSEYAVLKAHLTVHWMYFL IETGRFDRVVALLKNDVLVPDEVLSANGLVDVTQVYWRLRFAGFDVPFVLEELDRQWT AVVKDAETVPLSPLAALHAHSVFSLLRPESDTPQISDQAFACDVQALHAAWVGQGLSI DRIVQFSHRLPGNGEGHVVATLLDAISAYAKGQFHVAVDKLLSVRGHLHVVGGTRVEA EFFELLLVDACVTHLKSSACSLVVFIMFLSLQGPRILATRPGQAAPHRTLKYQAPQCA VLENLWGHHGRPPGRSWRRRSEAHELRSRIGSSGNECSIKALNRRLWIGFRARIHLNR QKIRKN H257_09625 MQDTISRVLPQWQTNHQGYSHLMSLQAFAVQERGDFAAAESLAH RSMSMNNEDGSAFHALLHALESQGKHQDGASMVQRHRDAWSEYAVLKAHLTVHWMYFL IETGRFDRVVALLKNDVLVPDEVLSANGLVDVTQVYWRLRFAGFDVPFVLEELDRQWT AVVKDAETVPLSPLAALHAHSVFSLLRPESDTPQISDQAFACDVQALHAAWVGQGLSI DRIVQFSHRLPGNGEGHVVATLLDAISAYAKGQFHVAVDKLLSVRGHLHVVGGTRVEA EFFELLLVDAARASSQLDLAKLLLIERLNIKPHSAQYWKTYGDIMEDLQDEAGVDGAR RMSYVLGKNSSKNLVLTRCICRIGSSGNECSIKALNRRLWIGFRARIHLNRQKIRKN H257_09626 MMLSVEDALYLSAPTTPIKLDQLEDAVKRRFQLLLGASQGSLDC STIERMEPLTDIHAHFGLRVIFAAPPEDSPSESGSREHADMCAWYINQETQLFRLRML HSFKRKSTVATSRAIRSVLGALNVSYDDVDGYLLVPFQDVPFLLRVRSVVLHAGLCRI PFQSREATDVLAHHARRHFAALFHIQTRACRVNVQNQDLERLWPLRSHVLAVLRDALR PAVDPRHLQFSHLPRVTSDADLRAAAPFLPLCMRYLADKLRENHHLKYDGRKQLGLFL KGVGFTVEESLVFWRQAFDPVTSVQIFDKKYAYNIRHSYGLEGSRVQYDPKTCDDVQK LPPPAAGQFHGCPFRHWDVSFLHSQLSKYGVPHVAQIADAASPTAACLAHLHVLFPHS YKLMNTRSSSSTSSSSTTTMLTPNVWFDVARLSDASTTTTVAITSSSSSVGTTTASQV AVVQVDQ H257_09627 MDSTLKCRTCGAAGEWNFSKNDLGESVCNLCGTQSFQQSRNETQ DDDDAFTFGARTKRIRSGGRREPKQAKLKRKRQLITLDNCLHVVQSMLHIQAQALARL VHDPELPATVQSLWFHFLRMWDVSGSRPLLNVFLDFSSAQAADRQRLEDSGYETDWNL HLKGTQDAKVFSKFSYRHLLGLLYLACRVRQLGVLTSDIFFWVSTGQLPFANVLAQLP PDLQASVDPVRGYFNAKTKPNTVCASVVAQHATYLHYHLDLKLPPFNSGLACVNLCRS FQFPPAVWVHHTQLMAEYYRHSTAQVMDPQHLHHPYIQCEVDFIGALVAALKMTPRWN VWQYNNVRPQYNAPQVDDRRTPLNPLRPNDLHEPPSSMVVSCFPDDGTPVLRSHLPAL VDLCRQSLDAKKAPVKLPRALEAHVQALDRLGRDTSDMSATAALNPVAAFAPHYDRGV PVPDPTTGRWPCDDVAGEVGGEEGDDQCCFYPIYQQQLRTSGLGAWHAPLEYVVDMLA KYMDVPPGVVRDAIETIDRTISTRHVF H257_09628 MTDVDNEMRCLLDLYRAMAPMDQLMHNLKSCSSYLTLEFQDRFM QFVIQDPIADDFPPKQSYTFRLLRLYIQEIERQGDDVSDVLMEEILPNVCHKNASIGA IDLDELHHVTYRIPHPRGGSVDWTNDAAVHDRKNSVITCRVAAAHNEVGMKLWEAGFF LAEYVLSHTDVFRGQRVMELGAGVGFTGLVLASLPSVAAAVVLTDYAPVVMQNLRYNI EVNEHACRLQCPLVEAMLVDWTAWEWHDTPWDILIAGDCVYDVAAFPAMVHVLATFLD ADQKKSAIFASTLRNQATFDAFLKELHVHAIDYDDITAEAVANMPRAFQYDNRGSIRL CRMTKAATHETI H257_09629 MSEPKFILVKTAKYSVLHPTKGAKPMKAAAVVAAPAKAAVAAKP SAPKASTPKAKKPADDDDDDLFGDDDDDEEAEAAAKEAAKKRADAAKAGKKEKAKPVE RSQVVIEVKPWEAETDLLDLAAKIKALQINGLTWGEGHKLVPVAYGIKKLLVQCIIVD DLVLLDDITDAIEAFEDYVQSVDVASMNKV H257_09630 MYLPSGTTDEPLLGPPHVRKRILAPSILLSPQVRRLASLGSTFL AIAAVAALSLFMLTSDFAPGLSSLSESTSAHAAYLSLNASDTAVAPSSSSTSIGVIAP GIVYGIAILGGVVLGFFGYRFVRAGFFAAGFTVGLVVFFDVGARAFNGESWVVAGSLA LSIVGAVLIALLALYLYRFGIAAMGVLAGVGFGAFLGSIFFIQLNAAHPEIPMLISMV SFGILLGLVAFFEEKPVVILCTSFLGSFFVVLGAGNFIGQYPTPANIESLLLALRNGA SDAKVAMPGAWWAYFAATLVMWMVCIAAQVQITASGVDHHAEATEKAAGRRRPPRPIP GSKGGTDEVPIDIQDAKETKVAQPTKKKGSFKVERGI H257_09631 MIILRLLRRPAAASALTVRHAMRFSSQSTSGLGEIPDGSVVPPL PMLDQPIVPVDDPWVLVDAAQRLIEAVHVTTGLPWWATFGATAIAVRGTLFPLMVYQI KATERMAEAAKDTREVWKAYLYARMFLPPAIPQKQVEAFQLMYKGVKLTWEKHNTHPI QCVATPLVQIPTFLLMAYSTRELVRSGKVDGLDTGGVWLFQNLVEADSTFILPALAVG CTYLNFELMGTSKIKLLQSLKNKFQYIPLLSFPFICQIPQGIFFYWLASSWCSFAQTM ALRQPSIRRALGLKDVPTATASPSISAQDPAKSLLPSTPLPATRPKRR H257_09632 MSSLRNAVKRREHKERSQPQDRKKLGILEKHKDYVRRAQDFHSK EKRLKSMQLKAAFRNPDEFYYSMNQAQTIDGQHVSTNNHRDKLTAEVLKVMKTQDVAY LHMKRSVDMSKADKLRAAAHFIDAEKTNTHKIFVDDVSDLRKFDVAAHFDTVPELVNR ASNRLRKRDLAHLQLAAPAASSSHAYTELSNRMDRADKLNRMRQHLDLERAVQAKGKK FKVADGVDGAPPVYKWKRVRTK H257_09633 MTSVSGRSSASRSSKYSQASCHANSVGTSSRRMYHTRHKSSIAR LFKRDVPSNLDSPMQFSEDDVVMEGMLTKISSSKYFSSKPCYCILRSDAWSLCQYRSP SDLILLGEIVLSEHDIVRDVSDCESAAPVVHTFELLRPTSNQCVQFATPTARGLEQWI CALETAIFELSQLGKPTPPPPPQHHEAFERMPAPPRSTLTGWTPSPKYAPTPRKESSS SSSINVVVEPDDDDASMAAAMAAANLLEDELRDNDNNNHMDTGPETHEFNNNDDDAGD NQEPPGGTDEPRPGAYTSPAHLSSPSLSPDKQPGRQAASVLGDRFFRKVPRVAPGLSS SAVRAVHSFSASGQVFTLDVKYKLIKPIGTGAYGAVISATNDETCDSVAVKKISNIFD DLVDAKRILRETRLLGHFNHKNITRLLDLPPPPSRAAFHDMYIIAELMETDLHQVIYS MQPMSDDHVKYFLYQILCALHHIHSAGVIHRDMKPSNILLNSNCDLKICDFGLARGGC PENVELTEYVVTRWYRAPEIMLNCLHYTEAVDMWAVGCILAEMIQREPLFPGNDYIHQ LKLIVKFMGTPKVDEVEFVKNAKAQRFLAKLPIYKATKLADAFPAASDQAMDLLAHML VFNPAKRISVLDALHHPYLEAFYDAADLVLSPPFDFGFDIPDDKLTREALVSLLMEDI STFHPEVVDVGQEHGYLPPSAFLPPPPSKSSPPANRSGTAINEA H257_09633 MPAPPRSTLTGWTPSPKYAPTPRKESSSSSSINVVVEPDDDDAS MAAAMAAANLLEDELRDNDNNNHMDTGPETHEFNNNDDDAGDNQEPPGGTDEPRPGAY TSPAHLSSPSLSPDKQPGRQAASVLGDRFFRKVPRVAPGLSSSAVRAVHSFSASGQVF TLDVKYKLIKPIGTGAYGAVISATNDETCDSVAVKKISNIFDDLVDAKRILRETRLLG HFNHKNITRLLDLPPPPSRAAFHDMYIIAELMETDLHQVIYSMQPMSDDHVKYFLYQI LCALHHIHSAGVIHRDMKPSNILLNSNCDLKICDFGLARGGCPENVELTEYVVTRWYR APEIMLNCLHYTEAVDMWAVGCILAEMIQREPLFPGNDYIHQLKLIVKFMGTPKVDEV EFVKNAKAQRFLAKLPIYKATKLADAFPAASDQAMDLLAHMLVFNPAKRISVLDALHH PYLEAFYDAADLVLSPPFDFGFDIPDDKLTREALVSLLMEDISTFHPEVVDVGQEHGY LPPSAFLPPPPSKSSPPANRSGTAINEA H257_09633 MTSVSGRSSASRSSKYSQASCHANSVGTSSRRMYHTRHKSSIAR LFKRDVPSNLDSPMQFSEDDVVMEGMLTKISSSKYFSSKPCYCILRSDAWSLCQYRSP SDLILLGEIVLSEHDIVRDVSDCESAAPVVHTFELLRPTSNQCVQFATPTARGLEQWI CALETAIFELSQLGKPTPPPPPQHHEAFERMPAPPRSTLTGWTPSPKYAPTPRKESSS SSSINVVVEPDDDDASMAAAMAAANLLEDELRDNDNNNHMDTGPETHEFNNNDDDAGD NQEPPGGTDEPRPGAYTSPAHLSSPSLSPDKQPGRQAASVLGDRFFRKVPRVAPGLSS SAVRAVHSFSASGQVFTLDVKYKLIKPIGTGAYGAVISATNDETCDSVAVKKISNIFD DLVDAKRILRETRLLGHFNHKNITRLLDLPPPPSRAAFHDMYIIAELMETDLHQVIYS MQPMSDDHVKYFLYQILCALHHIHSAGVIHRDMKPSNILLNSNCDLKICDFGLARGGC PENVELTEYVVTRWYRAPEIMLNCLHYTEAVDMWAVGCILAEMIQREPLFPGNDYIHQ LKLIVKFMGTPKVDEVEFVKNAKAQRFLAKLPIYKATKLADAFPAASDQVCTYIVSPP CHTYKPPCHICIYV H257_09634 MEQESILHIQAAPSRVLDGRSLQQCHVTDSRVEETCVGYSKDRY DPDHPDADWGGIVNRTFKKRIFQDHVPTRSRLIPAKGGLLPAIDSTSTPQRRVSSKRI FDKDIKFVSNDADARDTPFRTGVHQMGPGGRHDCSDWKTSYAAQAERENNRGNIHEDK DAPGKVRSLGQESHRRQLQPLYDTQTNARHGVLPRIPTEPSSRHTPTNRDLNMEPRRG DRPHISSPASTTQPINRSWSKTIIASSWGTPGSAVTSDGLALRRKLQLCISRAV H257_09634 MEQESILHIQAAPSRVLDEETCVGYSKDRYDPDHPDADWGGIVN RTFKKRIFQDHVPTRSRLIPAKGGLLPAIDSTSTPQRRVSSKRIFDKDIKFVSNDADA RDTPFRTGVHQMGPGGRHDCSDWKTSYAAQAERENNRGNIHEDKDAPGKVRSLGQESH RRQLQPLYDTQTNARHGVLPRIPTEPSSRHTPTNRDLNMEPRRGDRPHISSPASTTQP INRSWSKTIIASSWGTPGSAVTSDGLALRRKLQLCISRAV H257_09634 MEQESILHIQAAPSRVLDGRSLQQCHVTDSRVEETCVGYSKDRY DPDHPDADWGGIVNRTFKKRIFQDHVPTRSRLIPAKGGLLPAIDSTSTPQRRVSSKRI FDKDIKFVSNDADARDTPFRTGVHQMGPGGRHDCSDWKTSYAAQAERENNRGNIHEDK DAPGKVRSLGQESHRRQLQPLYDTQTNARHGVLPRIPTEPSSRHTPTNRDLNMEPRRG MSILSGIGQSLASSDAFQSIKRPTPHIQSGFDNPADKSLLVENHHSVLLGYTGQRRDK H257_09634 MEQESILHIQAAPSRVLDEETCVGYSKDRYDPDHPDADWGGIVN RTFKKRIFQDHVPTRSRLIPAKGGLLPAIDSTSTPQRRVSSKRIFDKDIKFVSNDADA RDTPFRTGVHQMGPGGRHDCSDWKTSYAAQAERENNRGNIHEDKDAPGKVRSLGQESH RRQLQPLYDTQTNARHGVLPRIPTEPSSRHTPTNRDLNMEPRRGMSILSGIGQSLASS DAFQSIKRPTPHIQSGFDNPADKSLLVENHHSVLLGYTGQRRDK H257_09635 MTATAAVSNEISERLIGARNERGIPSAIFVDDVVTFVGADPVEG IIGALQQLFSKYKFMETNLTKTKQSLKVKVPDTKKDLAMLEHLISQRGKDADIETHFS LADNVFAKATIDAEVQTVCIWLGANVMVEYSFDEALALLKNNLHTAEQRLEQIESDLG FLRDQIITTEVNMARIFNHDVRRRRQEKQQQLPPHVKA H257_09636 MSYRLIEARFQMLDEAVARLDKSKHTKLNASVGLRGSVRINPHD VATWIRPSHPRSSTSIAPPSSSSSSDSSSPVTLISPAGPSFNQSTHDVHLTLRRSTLL SRQAKPDVHPLIVHRAPASHDWGHPHDEYDDDDDGCLAPVDPKEAKVHRVAHDTWECI NTEIQASTVLTPGHPSRLVKSATAARSQGATRSHRRTGHPAINYESTVDENEQRGLHI VPEAATHSSSSAADNQRQQPHRHDPHQPVGRPITRGRLVRQPWMRHPDTSRRNWFTHS DQHHHHGPTSVSTHGDSTTTAGSSKNRRHRPTTASPGQSSSASLRPQPPRGSDDPAYY YLEIRLEHATSLAGWTFRVIVTDTTHPSQPAALFNRMQCNASNCIVFDKDPPIPSTWP SSSKKKHVKTTATEPILRSTIFDPTRYFDHVSQPIDLYGHSLRIQVVSEGPVQKTIVD TMVHFTDANNGAALSADELLQVLGGSKPPPLVVDDKTPEEAPPSTHDNSEYIYRNHFE AVYATDVMPSTSANKVHEVMAEKTPAPISTGTMANDVEGKKRELQRMRESMMVRWTSD GSPSWSLHVGRHASGAAAAIVAPLTA H257_09636 MSYRLIEARFQMLDEAVARLDKSKHTKLNASVGLRGSVRINPHD VATWIRPSHPRSSTSIAPPSSSSSSDSSSPVTLISPAGPSFNQSTHDVHLTLRRSTLL SRQAKPDVHPLIVHRAPASHDWGHPHDEYDDDDDGCLAPVDPKEAKVHRVAHDTWECI NTEIQASTVLTPGHPSRLVKSATAARSQGATRSHRRTGHPAINYESTVDENEQRGLHI VPEAATHSSSSAADNQRQQPHRHDPHQPVGRPITRGRLVRQPWMRHPDTSRRNWFTHS DQHHHHGPTSVSTHGDSTTTAGSSKNRRHRPTTASPGQSSSASLRPQPPRGSDDPAYY YLEIRLEHATSLAGWTFRVIVTDTTHPSQPAALFNRMQCNASNCIVFDKDPPIPSTWP SSSKKKHVKTTATEPILRSTIFDPTRYFDHVSQPIDLYGHSLRIQVVSEGPVQKTIVD TMVHFTDANNGAALSADELLQVLGGSKPPPLVVDDKTPEEAPPSTHDNSEYIYRNHFE AVYATDVMPSTSANKVHEVMAYVLHVLIVSFSRRTILMLLVEWSREKTPAPISTGTMA NDVEGKKRELQRMRESMMDGMLREQQRLSLLR H257_09636 MSYRLIEARFQMLDEAVARLDKSKHTKLNASVGLRGSVRINPHD VATWIRPSHPRSSTSIAPPSSSSSSDSSSPVTLISPAGPSFNQSTHDVHLTLRRSTLL SRQAKPDVHPLIVHRAPASHDWGHPHDEYDDDDDGCLAPVDPKEAKVHRVAHDTWECI NTEIQASTVLTPGHPSRLVKSATAARSQGATRSHRRTGHPAINYESTVDENEQRGLHI VPEAATHSSSSAADNQRQQPHRHDPHQPVGRPITRGRLVRQPWMRHPDTSRRNWFTHS DQHHHHGPTSVSTHGDSTTTAGSSKNRRHRPTTASPGQSSSASLRPQPPRGSDDPAYY YLEIRLEHATSLAGWTFRVIVTDTTHPSQPAALFNRMQCNASNCIVFDKDPPIPSTWP SSSKKKHVKTTATEPILRSTIFDPTRYFDHVSQPIDLYGHSLRIQVVSEGPVQKTIVD TMVHFTDANNGAALSADELLQVLGGSKPPPLVVDDKTPEEAPPSTHDNSEYIYRNHFE AVYATDVMPSTSANKVHEVMAEKTPAPISTGTMANDVEGKKRELQRMRESMMDGMLRE QQRLSLLR H257_09637 MSKKMKPALEILLERERERMQQRQEMEDMMQHSDLLRSISNVHP TTLPDPRSSGANHHQPPQGGLLPPPTKKRRVDTLQQYPSSAIAAPASSPSTSGSITST PGHTPTSTHDGPSPAATSTPPMPRKACNCKKSNCLKLYCECFQQGSMCGSDCNCQGCH NSLDFENQRQRAIRVVLERNPVAFLPKVSTGANSVATTKYFRGCRCRRSGCLKKYCEC FQAGVKCGTLCRCQMCKNCNSDATSDHHHPPQVPRQHDHHPPPPHAPDQRDILRPPPH HAMHAADAPAAAASLSSSRRVVSTMPPSFPPSSTYTTKASKPHVLSHAKRKLVDPSKY MELPAVAGGRPASSRLLKPQVSSRLYPLETSLATDASVQKICLALVHAACVDDKLLPS SSTPRPPVNGGGAASRVPMTPFTSPLKTAISPPSFHSPSVDALLCSEDMECTPQKGSG GMTGGEVDQDRRSELQEKAVLQEFSVWLRNLATASVNHVMQHSTP H257_09638 MHRFGIAFDIDGVLIRGGKALPHAAKIIRQLQQKQVPHIFLTNG GGCREEKKTHTLSQILDLPLRHEQMILSHTPMRDLAKTFGNSKVLVLGAYEVMDVAQH YGFNKVVSVQDIARQSPSQYPFVKWDPTPSPFPDEPIDAIVVLHDPIHWAQDLQIAVD VLVGGTPLGSGHKQGRQTPLFVSNDDFTFSGEYPVPRFAQGAFTRCLQLLYEQHTGQS LQVHRFGKPHAVTYRFAEHVMHSQAGMAKVERFYGIGDNPYSDIQGANNAGDHWTSVL VRTGIFTDVDNHQQHPADVVVDGVDDAVEWILAQEASFSMG H257_09639 MTTCSQAIKAWEAKENASSEEAAVIKLYCQIPPIAKLDNSLNTL KNCEHLSLSTNCIDRLIPLSGMKKLRILSLGRNQIKKIEKLDDVSDSLEELWLSYNTI TSLDGLSGLANLTTLYLSNNQIKNWDELDKLSNLPKLRDVLFTGNPIYEGLSKEEARL NVLKRIRNVMKIDGDMVKQTERDAVTGQ H257_09639 MTTCSQAIKAWEAKENASSEEAAVIKLYCQIPPIAKLDNSLNTL KNCEHLSLSTNCIDRLIPLSGMKKLRILSLGRNQIKKIEKLDDVSDSLEELWLSYNTI TSLDGLSGLANLTTLYLSNNQIKNWDELDKLSNLPKLRDVLFTGNPIYEGLSKEEARL NVLKRIRNVMKIDGDMVKQTERDAVTGQ H257_09640 MFRSAALKLHASVGVARSMSTTTGQTIGFIGLGQMGGNMAINLA KAGNHVVVFDVVQANIDAVTPHGKVEVVSSPREVAAQATTVVTMLPSTPHVEQVYLGK DGLIHALTKSHFLIDSSTIDPGFTKTLAARLVKEVGATLVDAPVSGGVNGAKNASLTF MVGGSDDAFAKANPVLKQMGKNIVHCGGVSTGQAAKICNNLALAIEMVAVAEAMTLGD KLGINPKVLAGILNTSSAQCWSSTLYNPYPGILENVPSSNGYKGGFASVLMRKDLGLA LDAAKSTEASVPLTSAVHQLYNMVVNQGDGQKDFSYILKFLEGHKTK H257_09641 MAITSDEVNFLVYRYLQESGFSHAAFTFAYESQLAKSSVISTEV PPGALISFIQKGLLYVGIEAHVNEDGTERECEADITLLNPHICRVAQSVSRGSSSSGG KPGKRKRKADDGSGSTTTGLGLTSNSPDSVHDPALTPTTTTATTTTTVSATNEPSPSS HSTNPTTDSADPPAADTTMIVLRGHEKDAFSCSWKPRQNTLVTGSSDATARIWDVPPD FSTPATGLTLAHGSDGATSTDVTTLEWNMDGSILATGCYDGHMRLWSGTSGALLQDMA HHTGPVFAVRWNPSSRVVLSASLDSTVSLWDIQASSKLAQMTLHDGASILDAAWKDDA TFATCSADTTIRLTNVDDAAGPCVTWKGHTDEINAIHWNPPATVLASCSDDTTVKLWK VTDATCVYDLTDHTKEVYTVRWSPTGPGTAQPNRTAVLATASFDMTVRLWDVQVGQCT STLQHDNPVYAIAFSPNGEYVASGCISGAVQVWSLQTGGVVKTYQGQGDIFEVSWNHD GTLISACFSTGEVVVIHFRV H257_09641 MAITSDEVNFLVYRYLQESGFSHAAFTFAYESQLAKSSVISTEV PPGALISFIQKGLLYVGIEAHVNEDGTERECEADITLLNPHICRVAQSVSRGSSSSGG KPGKRKRKADDGSGSTTTGLGLTSNSPDSVHDPALTPTTTTATTTTTVSATNEPSPSS HSTNPTTDSADPPAADTTMIVLRGHEKDAFSCSWKPRQNTLVTGSSDATARIWDVPPD FSTPATGLTLAHGSDGATSTDVTTLEWNMDGSILATGCYDGHMRLWSGTSGALLQDMA HHTGPVFAVRWNPSSRVVLSASLDSTVSLWDIQASSKLAQMTLHDGASILDAAWKDDA TFATCSADTTIRLTNVDDAAGPCVTWKGHTDEINAIHWNPPATVLASCSDDTTVKLWK VTDATCVYDLTDHTKEVYTVRWSPTGPGTAQPNRTAVLATASFDMTVRLWDVQVGQCT STLQHDNPVYAIAFSPNGEYVASGCISGAVQVWSLQVGVVWYTYYFLGYCRHFKVTGD ICISLAVILQFLLLFMTFHWLTIVLSADYNLLRKFMPKYHSPKLSEGSIVCVNSK H257_09641 MAITSDEVNFLVYRYLQESGFSHAAFTFAYESQLAKSSVISTEV PPGALISFIQKGLLYVGIEAHVNEDGTERECEADITLLNPHICRVAQSVSRGSSSSGG KPGKRKRKADDGSGSTTTGLGLTSNSPDSVHDPALTPTTTTATTTTTVSATNEPSPSS HSTNPTTDSADPPAADTTMIVLRGHEKDAFSCSWKPRQNTLVTGSSDATARIWDVPPD FSTPATGLTLAHGSDGATSTDVTTLEWNMDGSILATGCYDGHMRLWSGTSGALLQDMA HHTGPVFAVRWNPSSRVVLSASLDSTVSLWDIQASSKLAQMTLHDGASILDAAWKDDA TFATCSADTTIRLTNVDDAAGPCVTWKGHTDEINAIHWNPPATVLASCSDDTTVKLWK VVRLLYIDRTVSNHMMLGRRTQHVCMT H257_09642 MNSDIQRLVFSGKREDFQTWMDAFRGELQKRWLKARIDAQNAKR AVCDVSYESWLTGVPDALPDTLTQESLQEATLLRDLQNVEIRSLLSKSLPKSYLNQLN VSLIDPAVTVTEIWRMLERDFGESSATGVIGLLTKFVGTLTSDYRHVGDHFKAMSALR NRINAQSVKCFGEPIVSEQLVGALFLSLLPQQYFGSSVKFTKDSFTMDKVFQLVVNTF GSKSKRDILSMSTGFGKSLNKYEFPVGQILANERKRKPDGGQNECFYCNGKYNEGAKV HIKKDCPKRKEDFAKGYYRTSIFKAAKSGPAKVAAVRATRSEVAVGQVEVATPSGGDR TATDMEEAAASLDDLTMQLEYADDCRHGQC H257_09643 MSQPNQVTDVDRAIAYVKCARTRYVTKIEILDMIMVNAALRQDD RIAEYRFESRISRITAVPGSRYSSRYR H257_09644 MSAVAALSVSVASAFGTISEFPTEMTSLMDQTVDPCTDFFSYSC GTWYNENTLHANESTTDATRAVVTAAADKVIEKLMDAKLPKLTEFYNACVDTATLDTL GLAPIEDHLKAIRSANSTVEAIFRGATISKATGVPLFVKLNVVANFVDATRNVLVAKG TKLPIHKNYYEDPTLWAKVEQPYREYIATIFTLAGRTDAEAGAATDVVISFERLSAKQ SMPRLLQEAVTSGVVPLSKAKEFFPLGLGLHLQGFGFDVREGCNTTKVLLDGISYLDF VEGFLHSLSVDDLKTIIEYKVLAFNAPFLSTPFVKAHSNFHGMVIQGLKESPPRATIC RRQVDWSIGELLGTYYLKEVWTANTTERVDSFVVALEAAFESGLNSAGWLDDTTRDNA KTKLSKFSHFLGGPKNPKTYPTLTFDPKAYIANLNKVSAFDTAFELAQIDTAKDKQNW IITAQTVNQYYDASVNTIVFSAATLQPPNYDANADPSVSYGGMGSNVGHEITHGFDNL GSNYDGDGNFRPWWTDTVKETFDEKTKCFIEQYGSMDVKSELSGALLGKLDGKLTLGE TIADNGGLNAAYRAYRDYVDTVADATKYTKETSEKMFWIAHAQLRCEKNTDEYLQILL TDEHPPGRYRLIGAVQNSVDFANVFNCPVDSPMNPTKKCVLWE H257_09645 MSLWINDMENELLSKAWVAASEDPIKGSGQTLTTFWDTVTHRFN EIKPERRPQRTARALESKFADIKHVVSKCGKSTLLQDHHFQDGQWTQFQGHGVLASPA RQAKMRSVSGKRLRHQEINQAF H257_09646 MRWNDRLMQLSNQLMDSSDEEIDVIISTAAQKAVRQAASVDSRS WSGSRRGRQPNIDRNRTGGHQQIVDDYFGENGKPSTYTEGQFRRRFRLSPLQKCTASI RMLAYGVCADSTDEYCPLGESTTIESMKRFTRAVVSEFGPVYLREPNEEDVEKHLNLN ESRGFPGMLGSIDCTHWKWKNCPVAWQGQYQDRNGKRCMILEAVATQDLWIWHAFLGV PGSNNNINVLDRSTLMVKLAKLATSSEGFSVNGISFDVFYLLADGIYPSHSTFQKSLS SPSTKKEKYYCERHESVRKDVERCFGVLFGRFHILANPSQLWSRGHMRVVWLACICLH NMIIDDDNTMEHHPNASEWDNDLERRRPQQPLSFDGYLHQRSTMVDSDTHDLLRQSII EHLWTERGNM H257_09647 MDSGMNENRQHATLDSLIDNQLSESTKICYNSKLNQVVEWVKGQ SRYELLKDDGSLDLNNFQYSDFMDFAVWKYKHSKCRVDMITVWKYKHSKCRVDMISGY RSAMRYYFEREGVEVPQALAKRTIGFLKGMRRECSLEAQEVGMDVVGKRPVDYSQYVV LCHVRSDAIGIVFCKSKTDQSGTKRRDPRHIYSNPMEARSCVFRAIAIYLACHPQLSM GKLFPGAAQKDRFGKQLAKLLSHPDTTCGRSEYGTHSIRKVLEARVARQSSAFASGAA GPLGMFLSGTCTTKAPATSSSDG H257_09648 MPAQSLDGVRSTVEDHGVAAGNITRSVLESSIASRNMALNPSEQ EVSTSIDTARCLFDQLCGEISAKRVRCDGQLKITTLVRLHHQLEPSKKPRVFMKRKRS NQRAGSQSTTMF H257_09649 MSVTKSKSYCYYDVLRIPRSATQPEIVKAYRSLALKYHPDKLQN QPNVDIQAATEYFQLIVKAYNVLSDCDKRSGYDINGPKLKPSYDLEIKASLGKLTPLL TCAFVGFVGGVAVTQTNDISLVLFFELFFSALCGAATCLPTKDTPTPAMSLSDFAVVG SMGIGLGNVIGFLGWHSTVYVAQCLGLL H257_09650 MAALKRAGSNYFVLQSDQKRSNGGLKHSTSSFSDRLNQMDILGE SAYYVMGDNPNDPDVFNAHDQSGGAEGILGGFLDEGSIDYCCDILYRKFGFQLGSVDN QREHALLLLANAKSRERMNGGIDHVLLLHRKLVANYAEWCKFVEAEPVWYAGELNKRL TNRLHMELMLYLCIWGEAANVRHMPECICYLYHQMMLLLNGDLQVVQPFPERWYLDAV IRPIWSECAGMTTKDALGKHLEHTKVRNYDDFNEFFWRASCLAVPVDQAGARLHTHPK TYYEHRSIFTLVLNYYRIFHFNFMFLFLLAVLQYCVTISPRGGESGLMQFAALGQVVT PYSTTDLKLSLVFLVLAHALLSLFKGLLELAQSWHLLKAAFSSSTSTSSSLPSRLSYG SALALRLAWNGTFAALFYVMMAEGSGSGVHPWLDRFAVLGPVFLSPGATALVVTAVSP AVVRTSFWSKFVREGDSCYVGRNMTPPWSYRIVYIAFWVVLWLCKAVVSYWVLISPLM LPSLAIYDMQLDYKTHVVSVRNAGIICALWAPVFFVFCYDTQIYFTIFQAIYGAVKGM RMHTGEYHGFTDISRAFRMIPQRFDSKVVTALAVAQDHVPDEDGHRRSMLMARFVVVW NEVINFFREGDLLDDKEAAIFQYDVSELTGEIYEPVFLSAGKVHQAMASVAKIHRKNH PGQDAELSVELLLHDCNSALKSCFNAVLVVLEAMLGPADVSILEAFDLMEQLATQNKF IASFQTQHLVAVCASLVEFLEAVLDLPPPATADSTMSPMQSTKAHPMPIVLEFVKRFH TFLHATTLLCAGQPVILEKLGASHFCSPTNGYMAAAEGLVNLCANDAAMSNATRALLL LTLDTSDAMPRCSEAKRRLGFFMKSLMMDIPQLSAVKEMRSFSVMTPFYAEGVLYSLE ELNAPLENHPIFGAVEEVGKNLTILKYLITIHTAEWENFLGRLDVQSEADARRDHPLE LRLWASYRGQTLARTVQGMMLYEDAIKMLYWLEIGSAPDKSQDQKRQLLEDMVCLKFS YICACQVYGKHKAEGKAQATDMDYLLRTYPNLRVAFVDEAVDAANVKTFSSVLIKSEG DDIVEVYRYDLPGNPILGEGKPENQNNALPFTRGEFLQTIDMNQQHYYEECLKMPNLL ATADMHPSGQPVSIIGMREHIFTGNASSLSKFKSWQELVFVTLSQRVLADPLYCRMHY GHPDVFDKVMCLTRGGVSKASKGINLSEDVFAGFNTTLRGGVVTHVEFMQCGKGRDVA LSQISMFEGKLANGAGETCLAREAHRMGAFLDFFRLHSMYYSHTGFYFATWLTIVTAF VFMYTKVYIALTGVQEQIVFSMNTTTLISQNSDKGFDARAFHNLDNIINTQYYIQAGL FLTLPLIAVYFTEAGIRRGFLRFFNMILTGGWAFFTFQVGTTSHYFDLNIVHGFAKYQ ATGRGFKITRETFVLLYKAYSGSHYRKAMELMGLCVIYGTYGLFSICQKAAVSEVNTF GQQFCITAQGYGTQTFAIWFISALWLLSPFLFNSDGFDYEKTKVDSIAWAKWMYATAD DKSDLDKVNHGGWIGWWQGEVDQYIGAKGISRTTVIVRECRHLLVAWYVITLRYNPIV LLYTSLAVVATLGIFHACTYFKGLGALSSSVRATVYLLTVSLVLALYLVLTMVVLSKS AGDALAILYGYFAILYAVNEMARVCAFPTWSISTIGMFEHLAFLFDFLFGVMMLVPLV VLSVVPFMNIIQTRMMYNEGFSQVMSDSSQYAFSIAGMVGLVGAGACGWLYYVLTTLD FSGSFLAYTTLYDISIAPTGSTAYYVIAGAMGGSWLSAAWGFYFGRRATIFCGGVVAF VAMSLVSGTASFGKAVFLPSLVLFGCAIGLLLPAFCLYCYEISTRDMRPKIMLVLAVG FILGSMAASYYTHSNALVWMWQCFWCFLVLAFLTPVIMLLPESPYWVLHRLGADEAEA CLVLLRRRTDVMVELTAMKESQAYRIPMSSSVYKAAVGMGLTVVLSLSLLPLNIYVAR VGLGYGRALLLTNCLAVEFTFALFSFVYIDKFAHKMVLMAALVVSAVVLVFVASQDRF QLFGQPDQTALVVLFLVLYAVKGMGLPATMWVGFIGLFRTRGRVVSIPIYFTAFFGAH VGTTYIHLASPSTRTSASNEFVWLYCLMGASVVLVVGLFRLAKRSNGMLCTVMEMNHE REMDEARQRAPSHPRRRAYTSKQGSRRFGNLTLSPRNHSATPRGRAHSRKGSDIDTPY QQVTEAAV H257_09651 MSSFRDTSFSQYYLAQQVFHDDELDAVIDTLRKPLPSCFRINPN APNRASIHEALQTEFQFERGSIVFKDQPVTPPQELPWFPAASGAAWQVECGKSAISKL GRENELFGALHRFLVLHTASGAITRQEAVSMIPTLFLDVRPGHRVLDMCAAPGSKTSQ ILETLTVSDVQDGFVVANDASEKRGYMLVHQLLRLGLLSAVVTCHQGQDFPGLYDPTT QHLHTTNAFDRVLCDVPCTGDGTLRKNENIWRHWHVGDGLTLHPIQLEIAMRGAALLK VGGTMVYSTCSFNPIENEAVVAELIRRSDGALRLVEVALPGLKHRQGLTTWQVGWQSK TKAKKQRHVTANDDHTPPIMAWYRSFSEDIPADLRGYRLTRSMFPPDEQDGNVVHLER CLRFVPHDQNTGGFFVAVLEKVAPLPGADEQGLPAYEVHSELGPKCYRYVCRLCNQPG HHIQDCSLSKKSLDKAALNAASSLPLSPESGYTGAHEEDAPSHENATADEVSAGVLKA LPPSVWGTLQDFYGLPDTFPSERLFARSHGTGIVSYVSSSVQAACLAGPDLKVISTGV KVFMKFSTSHFKGYRPAQDGLELLVPFLSKRKVVVGWAAFHQLVGITSSTLFDNLDEA TAPKFRGMAVGPAVVVLQQAQHEQMLPVVGLSIWVGHTSLAKLVTTSDLSILADHLCS LDASAYEP H257_09652 MVVRRVFHLGFHSSSGSRRQTRIGSSIRRRRASIHSSHNVRHLL GRRARVNGSRGSHVGRGRHVVRRRRDLVHDVSHGSRVQTGVGSGSCSRGSSVHRFGHV RSLRCSRSVVECGGGSDVSRVRHLFGRLNHFADDVGHGGRLETGFGGGKRSRVAVVHG GRDFQRLA H257_09653 MPPTPLSFHDAATSRFQSSRAPAKSLDEASISVAERAKLVRQHG YLLKKTLFRGLRAQYYSINCYSAKLHCFADYNDYNMWNSQGQPQDSLSSNSPGGKKVV TPLRKHHILAVDEDTSTKWKLVLTIRKTNSMSGKTDKMVLIAESADEFSDWIDAFHDV LQCTSFRERSSHAKSWVESITASARHSLFRPLQQVDGSSRSYCAPNTPTGVSSPLTSR SSRHRHAGPSLSASTPPSHDHPFSSSKTLPLQQRNIVLLGAANGSTMHVSESKLARAK YELDQLLAEPGTVRPYRGLVEDDSTCSVHPLLPIDDSTEWLHGRPDYTLTDLAYLKGR SRFHDPHSVATTLETALRIFVMDVAHKPIVSQWQSITLPTFRFQCNENAPLCGPEALA GLRHSLLGLVATSSMDRVADVFGAGCPLEVLHVYTTSPSFYFAWRQWGAFTGTFDGRR GNGDIVQVTGFGHMLLDMEGCHMHSLHLFCHDNALEHALQSICAVSST H257_09654 MQARRPTSSRWWNPKYFIAGALLLVVGMMVYMNLSLLAINQRDV QELNEREGSPNIRRGNDGSPATTKEIKQRRIVVLIANYRDSTRCAETLDSLFTMAEHP DLISVSIFDQLRFDLNEQRCMDVYCAKVGETKCQRPIRLRRNDTIDANKAIGPTFARY QTEHGADATKDTFALTIDSHLVFIKHWDTDLIAQWDSINNPKAVITVYPDPTEGLPKD GKLTGTTAKMCHGRIETDGADAMIQYGPATTINSPKVPTLMSQFAGGFSFQTVECALH IRNDPYTPYLFHGEEYSKAARLFTHGYDMYYPTHMVVYHWFEVRKYVWDEDWGRKWKL QQPAKRRIRAQLGLPTSSDDYDKTDMDKFMVGTKRTMNQFIEFSGINPLAPHQGTSTD QFVNCGDLTYVPVSE H257_09655 MQQQQGRQRSKRRHAPGWLDPMNVLIASLVVVMCLMVYMNVVML GMNQDESNSAHLKKLSQNFRKAESVVSTANPNKDPTNRRLVVLIANYRDSKRCGETVD SIFSKASRPDLITVSIFDQLNFDEDEQRCFDVYCSIVGEVACRRNERLRRNDTVSYTD AKGPTYGRYQTEEGVDLTIDTFAMSIDSHLIFIPDWDSDLVSQWDSINNPKAIITVYP DSTELYPKPGDVQKVVTMMCHARIESDTDDAMVQYSSAIDVPCPPTPRLMSQFAGGFN FGTAESALEVRNDPYTPFLFHGEEYSRVARLFTHGYDTYIPTHMVCYHWYEKRKGMWD DDWAARTLLAQRSQRRIKAALGLKPSSDDYDKTDLAKFSLGTKRTLEQFKVFSGIDPD AKWIENNEHQFDVCPPKELHYVPYVGSAVEQPALPASTQPVTTAPPPLARDPNRRRTI VLIANYRDSKRCGETLESIFTKAAHPDLVAVSIFDQLNFEENELPCFDSYCQLVGEDQ CRRSDRLRRNSAVEEPALPPPTQLLPVTTSPLPRTRDTVNRHIIVLIANYRDSKRCGE SIQSLYNNASRPDLIAVSIFDQIDLDANELPCFDEYCKLVGDANCHRAERLRRSDAIS FKDANGPTYGRYQTEKGIDPTIDTFAMAIDSHLIFIPNWDTDLVAQWDSINNPKGIIT VYPDSTNMYPKDGHIPSEVILMCHARIENDRDDSMVQYTNAIRIPSPPKPQLMSQFAG GFNFGTVESALEVRNDPYTPYLFHGEEYSRVARLFTHGYDTYIPTHMVNYHWYEQRKV MWDEGWDAKAVISRRSQRRVRAALGLPTTSDDYDKTDLAQFSIGTKRSMDQFKAFSGI DPLAKWIKDNNTQFDVCPPRVLQYVPYQGSPVQEPVLEVEAPAAVVKPTISRTRDSVN RHTIVLIANYRDTKRCSETVDSIFSRADRPELIAVSIFDQLNLDAGEKRCFDAYCDLV GEANCHRSERLRRNDTVSYKDAKGPTYGRYQTEEGVDLTIDTFAMAIDSHLIFIPHWD TDLLGQWDTIGNPKAIITVYPDATENMPPAGEKPTGVILMCHARIENDQPDSMVQYSS AVRLPSPAKPTLMSQFAGGFNFGSAESAVEVRNDPYAAYLFHGEEYSRVARLFTFGYD TYIPTHMLNYHWYEKRNGMWDEDWAARTVIAKKSQRRIRAALGLVPSSDDYDKTDLDK FTIGTKRTMEQFREFSGINPDAAWIKDNEHQFDVCPPKEVKYVPYAGSPVQDLVVV H257_09656 MELVIGCVGKPSAGKSTFFNAVTDGKAKVGNFPFTTIEPNEGIT YYTTECPCKSRGKIDLCKPRYGQCVGGTRHIPVKLLDVAGLIPGASEGAGLGNKFLDD LRHAHVLMHIVDVSGTTNEKGESTIGYNPLNDADWLTMEIHNWVFNNLWKRWGSISRR HSATQSTLRATFQGQLSGYGASVATILAMLNSMGDAAKEPCVLTTWGEPEVHALVDAF LAQRFPTILVLNKADIGNDTDKNIERIVDKYGGDRCFVASAASEVFLRNMHSKRHIHY EPGDMVYTTSADDATLAPLMPKMAARLDQVSDMVLFRYGSTGVRAAINAAVALSNMVV VYPVKSLKNFTTVEGDVFGHAVLVRPGTTVREVAYVLSPVVGANYLYAEGEDGRRLSE DDAVSMNLIVKFTTVASKEASGSAPASAAPEDKKPKKKVDQD H257_09657 MQKEARRILDDARADVSGERCLLRVLDAITVTESNALSQLLMAG LYEMADNALFTRKSLLHHVSRVASLPTLSDEKAEYVGYALAGLAFSLWTNDDDENDDV NKSLSRVWQGHAMVLVGVTAYVEETLVTLPSADRQVLLSCFRQLFLRTLGSPATSPLV KQTVASVIVRIGWSVDVESQRIFVVQSLLLRTIQLLPIDSNIASTMLLSTVDLGTSIR DATTHAAIASTLLSRLPHVQALGRGALHLLLALESLVTAAPAIAWDVDVNLVGVLALV VAKLAAVDQAILLRVLRVVLNAAPAPGSPRHVYAEVLFAPLLQLLPASASHLQQLQHL TSHVPFQPRPDAAAPTSTLSLASLISPHVSLVHLLSSSVHSHHAEAWLDAATRHLTSS SVDLPPFDPDAVLVTCALLFHPSPEVQDAAIAAAKASVLAWPAAGRMLVPCIVYALAI PRPSSSSVLALLHVLLAAATDSDCMKTILKTIHAFADVPSTKALALRLLYQVWTLESR VYPRLEEMLATSTTSNDEADVEWQVCQLYTILQLCQVRGDLGLNFIATIQQSLEHALP SVAAMAVACVRALCVGDCLDFAAACKILATKQRKKKIACMDHPLYQEELCHLYGAGGS MVSGKPPFLDQLWAFATAVHPSVRLAAVEALAQFPLHWIGLKAESAADLNAAPSEAED AEVEQAIDRLLLVMTDEVDPDVRRAIDRLVERVGQDEAKLPRKRFVAERTSTGATREM RNLLPTHSHLRALYTDTVPRMLRQALAGAVLTSFVSPTVDDSVRKRKDKLIKHMQVMW EDATGLKSQLREDAGTSECNAEWPLQLALVVGWEQFTAQYLSLRHELDDALRPGASDD NDRGIERLAVDLVAQVADASSPSSSPNDLLMLGVLARRLPSELHVLSNRIVEVLLRSL RLSLVKSSDGQAASAVDGPHNAIVALGLAAQGALGLHEHRVEEIADKLVGMLRSPDDA ALAPACLLALGHVVQSLMVKQIAPELMRRLFATLVRHLVEATVVVGGEEVDISTDLNV IPNNITDLASRSNPNKAKISAAVTALALASEGCMVAQQPQWLMGLRELLLALYAKGFS EVLTALPVVLLQCLQFDLIGWNQVDSFVDLCVAGLDDPEALVALPYLLCRTQPLGHVV TSPDVASRKLLTRLMDVATDPSRQFTATARAYATLGLANLLGVGLAIDVRPTSWKGML VSRVDAEKAIACVTSLTSLCPIQRVRIYAAWALGTIASVGTSGDAFQLKNAGMDAGLQ LPPSSITYKLLDRLRQVKQFSALDANWVASTLTGLAACQIPTFHYATLVQRLLKAGLG DDVALSVVSFAFRHCVQDPSLLSFVLEMTSPPRFQHLSPALQASFVRQVPALAKLVPP TQLHTILLAILVAIPRCRRHAGDDSRRLFELMLEVIPACASAAASPAATAVCTDMLLD DVFPQLIAATTSETMSLLPLCRVFTVAVFKVDPKQQQAKRRFIDLLRLHQQPDHAAED VADGVAACVCLLELFRLGGVEPKELRGAVLPYLASCATSSRSLIPVETLVLHAAASLG QLQPNDQLLWLLDLVNWIGLKLSSTQTPSSGLLLVLLLGALCVRWSPVAATQAQWLWL SNPDQVPFVANVLPAAFAQVLVKLHAQVDAHAARDLVSQLLVLVQQRPQQQTDTCSVH EAMVVYAYVVPQTQLCVDAAKFIDHFCS H257_09658 MDATENDMHVQDTASDAASCKLSAVQLGYWEDSFAHIFAKPNRK MPIINRGYYARVRSIEVLLHRFLASTSPTSSSGDSPAQQLPYTRQIIVLGAGQDSMYF RLKSRPRDSVSLDRTLYIELDFPAITRSKVRLCRRHKALSDVFGAVETNNDMELKAHG YALLACDLRNLSTVQAKLHAAGIQPSVPTLILSECVMCYMDPADSAPLIGWFGAAFAD ATFIVYEQIRPNDAFGQTMVTNIHMRGCDLKSISTYPDVEDQRRRFHAAGFTHVECWD MNQMKERLEMFDEVEEYHLLQAHYCVVVASTTPTPALRLVKTATQHDNNYERPTAPPH VTYG H257_09658 MDATENDMHVQDTASDAASCKLSAVQLGYWEDSFAHIFAKPNRK MPIINRGYYARVRSIEVLLHRFLASTSPTSSSGDSPAQQLPYTRQIIVLGAGQDSMYF RLKSRPRDSVSLDRTLYIELDFPAITRSKVRLCRRHKALSDVFGAVETNNDMELKAHG YALLACDLRNLSTVQAKLHAAGIQPSVPTLILSECVMCYMDPADSAPLIGWFGAAFAD ATFIVYEQIRPNDAFGQTMVTNIHMRGCDLKSISTYPDVEDQRRRFHAAGFTHVECWD MNQMYYDFLDPVERKQKERLEMFDEVEEYHLLQAHYCVVVASTTPTPALRLVKTATQH DNNYERPTAPPHVTYG H257_09658 MDATENDMHVQDTASDAASCKLSAVQLGYWEDSFAHIFAKPNRK MPIINRGYYARVRSIEVLLHRFLASTSPTSSSGDSPAQQLPYTRQIIVLGAGQDSMYF RLKSRPRDSVSLDRTLYIELDFPAITRSKVRLCRRHKALSDVFGAVETNNDMELKAHG YALLACDLRNLSTVQAKLHAAGIQPSVPTLILSECVMCYMDPADSAPLIGWFGAAFAD ATFIVYEQIRPNDAFGQTMVTNIHMRGCDLKSISTYPDVEDQRRRFHAAGFTHVECWD MNQMYYDFLDPVERKQ H257_09659 MAAPLALSSAWTSRGDSRILGAHACSGPTPGLILVTTSDQVALL NTAKSTFQGNAAVVHSWEYRSGSAQALSLIAVQHPTKHDLFFGIRGKGKTEFVSWSSA TERINDVPARTLPFSVHALLVNTHLDGCVVVGTNGAVSIVTDASISPLVVATKPASTT VVFVHLAHDNTHQLHLTLVFKAATGSTYTAASYTLSQDHDDSAPVTIASTGPRHDVTP PSATSTLVSTIVQDPHTLSLFWSTGEWQTVALHASPATAIKHVASLSVLPTTATTTTS QEQGSNKKKRKVSAESNAVTYFASSVSSKSLVVGSPSSLSVWNSQYVVEMAKHSIVSS APVPTNLLALVVLPQTSHIAYISERAVQVAVVPTAPATLASVLGKGASSQQPPLVLST FVPSNPAEVTAVMSSLDLSTWQSTMLGENAAQVDVLATLTAPALTREEFLKTFYGYVY FKTKRKLRVAVLSPQFVVQVASRIVHSPELALWAELSLLLSTKHLCSRSIPSLVPTAM ALKQFGLLEDCLVHLSDVDEVLAIRICKFILREASPSSVAAFQSQHAKGSTSGVTTAS QFVEHFLSLVVALPKADVFLQTAMQAFTVPEVLALLACLKKWYRQRAAPDSLHHIVEW MGLLLDVHYTALVVESDSSPYIVTVLKDLQALLLSHVDACHHMADIHGELDQFLTSAH LPQTAATLPDYAVDVLLL H257_09660 MKRAQYIDYGNTDRTTLSSTTSDLDGIRIDFSDSWFVRSFPSMM VVMVLNLLLMLGLDRVWNRSMWRRLAQNSLGRQAMFNSSSILCEMCYAFHELDNYKNQ AVVVQTRALCTVQWFLMCHTLCFGLPENPKHVRAMMTKSLGIHSSGYTVTNVSETGNG ERICGHRNKVTVTDTSGGGKGSSYRVVGTDALHHALKAVHEDNLGTVEPANEDDDGKA IHNDLCIVAQDVDGNIHLYDARKREVQSMSLEVKILSDSRFMIA H257_09661 MVLAPVCGAGRDHERLRRHVHVVHDLAHVQAELDNRVAARAKRP RREPIHGDVGLHRHARGGMATDVVRRMSTSMRAGMNPRYASVVWLASGGGGSSSAVKY VSRVLPRMSCIRLNTTPRRIVGAFRGGSGYVWTSVPQMLLVSLSIKCAPAVRT H257_09662 MRRRCVSFLDATSGAAYTRSQVNIELSSVHNRRVHPSGALEQSI HDVWAAKLANNPRLFNGTKFRLAAFTATPTSLHMEWGLTDYKTYLGLCSRCDVVSSLA TPPNQDTTIYLSNKIGVAGALLTADDKVCFLKRSSVVGAYPNMLDVPGGHPEPEHIGI DWSSLPVEPDDATNRRCAAEFFDSIAVEIVEEVNVPSSTLSPPLLLGVTLQGEAATPS FAFVVRCNLDASAIAAWYAQGPVDQYETTKLIFQPLQAALTSRSSGIMTPSAAGCFEL LGRYLDYEPPTTRSSSSTT H257_09663 MGLSEHAVKKMTVKQLHDELAKRDLDKKGAKAALQARLLKHLQS SASDTPVVPPPAKDDDTQTAAIAEVATISDSTANPPKAKQPNSSHDSADIASSNTDEP VDTANTSTTPTVSSSSPDPSIEHAESKADSTAIDADKPLDGKRHLSPPLHSSPRAAKK PKLDATDSIPESKVSPTPTIRIDNFIRPFTLNAVKAFVQVDASFVDDGFWMDAIKTHC YVTYATTDAAIDARSRIYGTTWPELSGRQLTVDFSTDTAADIAAQQKAATTAAATPVP TASGRSNRREAADSSKAKDTTKVLTKPPVTADDLFCKTKAVPSLFYLPVSDDLVARRR ANVERKKLGLIRPRPGRSSRRYRHRRRGGNRSSGGGGPLVQA H257_09663 MGLSEHAVKKMTVKQLHDELAKRDLDKKGAKAALQARLLKHLQS SASDTPVVPPPAKDDDTQTAAIAEVATISDSTANPPKAKQPNSSHDSADIASSNTVDT ANTSTTPTVSSSSPDPSIEHAESKADSTAIDADKPLDGKRHLSPPLHSSPRAAKKPKL DATDSIPESKVSPTPTIRIDNFIRPFTLNAVKAFVQVDASFVDDGFWMDAIKTHCYVT YATTDAAIDARSRIYGTTWPELSGRQLTVDFSTDTAADIAAQQKAATTAAATPVPTAS GRSNRREAADSSKAKDTTKVLTKPPVTADDLFCKTKAVPSLFYLPVSDDLVARRRANV ERKKLGLIRPRPGRSSRRYRHRRRGGNRSSGGGGPLVQA H257_09663 MGLSEHAVKKMTVKQLHDELAKRDLDKKGAKAALQARLLKHLQS SASDTPVVPPPAKDDDTQTAAIAEVATISDSTANPPKAKQPNSSHDSADIASSNTVDT ANTSTTPTVSSSSPDPSIEHAESKADSTAIDADKPLDGKRHLSPPLHSSPRAAKKPKL DATDSIPESKVSPTPTIRIDNFIRPFTLNAVKAFVQVDASFVDDGFWMDAIKTHCYVT YATTDAAIDARSRIYGTTWPELSGRQLTVDFSTDTAADIAAQQKAATTAAATPVPTAS GRSNRREAADSSKAKDTTKVLTKRAYI H257_09664 MWQANRASLSSTRAWESIRLRLRKDNAAVLSSAELDAILAQIMT LPMPPVRLRTDEVGSTLMALAQVLPPKSELLVSEFTSVVRHCCKDKLVLTADHLHVLV PFFLAALSHCPSWYAEQILTTLSVLLADNAPAAAAAFADSIYVAATPHLSPSSADVGA RYAATTCMAHLVAVADAPPPYFADLWKQIMDNFKQQTRQLHVDGPRVVWTTNRTHYKS CLASLQCLLRLMELDTAASSSGAGPSVVEAHMSKVLECLRLLLGCGLSLSTTAMVVGR VPLIDSDSDCSSKHPSQRGIGLAARLRLEVVRALEVVLHAFPHLITPTLAQYLPDTTT PCLVLYNGHPSVLTLAVVDPYDQVRLKTLHWLDMLLPLVNVKATLSQNFKHASTAFTT TGGALLQMVHQVHVMLLHTVQHEHDAAVLVQAIKTLTTLATLCPYPNMLQSADHLRCQ RPALIDKATTTITTMLSLDAILQALAHHLHGALFAPDHTVRVSALACLAALLSTPEPV ASVLEWLAQPATTAAIKSPLYHLHATPLLRLRPRRFLEDMLSPAKSTASLTHPSTSST RSSTSTSTSPREATNMLHRLDAMSLLSKTAKNYAPALSAHWPRLSAFLLAAFRDVDQN VRLQAVKILENYIKGGDNTPHTSHHLSFLSTHVLRAFQDPSHHVRASVCACFTLLRPD EWPTFATDPAFNACFLATPRDPSPVVRAAGFRLLGAMALVPVFKTCDFIGAVVRMGME AAADSTLNVRVRVVWAIGNACTTPGPDAPDAPDSPWLVRLLPPATIDQVLQCMLHLVE DNDKVASSVVRTLGLLARWLMAPQYLEAASSNLTTNAGDEGGGANSTLLADAMTVLAK KVVDGAPKVRWNACHAMAKVFHCPELPLASVSWTPAVFTALTTAIAQQDNFKVRISAA MALRISCARASYGVFFYGILQTILDALDAAVDLTDICEYKYKAQLELQLSFTLVHLVA LVQSPADEVALGEMLCRKYKDFIYDWLYHQQHKMYAAIFGDEVAATADEGDGGGGGGS AEDGHEINPVTCAQVVDACDVLRRVIQQYCPHTASCLAQIAEVKLIFEMDMLDAIGFE F H257_09665 MATPLADAVIVGYCDLSAAGLRLLHHVSIDDTHVVEETVYVHGL PLLVRNFVHPDTKRPHVSILVVPEPVATDDCTLFCAEIVDLLQGSKQVLVLSSLNLPM SSDQEKCVYWSQVHATTPLNLPDLDFEPIPENSRWTIKDQFLSTLLHFLHVEYLPVTL VVVRGYKFSSSRNDDGTAEILVKLGHAAPLVIQALNMASKVAFDLHAAAAMTNHLSTA TSAPFSNLSLLYN H257_09666 MAVARLVAELHEACVRPIQMQLVNDEDDGSVLYSLEMEDALDRL EADVVAVTISPTDAAAVESIDAMWTNCADILVQIALQHATAASLNRSSSSRSNPIAVF RVVNVLVTLIEGLDSLDPLSSSSSTTPATVERWAATMLHACSFYLCSTPPSSISTDEC QASAKRILAALHHRLLRCTHHPSSSVDLFPRLLPHMLSLFASKSSKDEWVATGAVPPR AFAWFVQQVSFPHFSSDVVGRVLALALPLLDQVTPATQVVGLSLLHHLLKHGTATDIR WYSDLLVHEMEQTLTTAATSASFLDATLACLEDLLAVLSPSKQDVTLYDRYFPSLLRQ WDMSLDVAVKTVFTAHARVWVARLGAPHSLHLLRYLQPLVKVILGCVESAERTLSVEA LKTLQAVIVAAWIRMPGHAEHITLAILKCLAYVKVLLLPLPNANNDHMQTKAAEHITA QCHATLYLLDQATAQETMAVRVTLQHVAVGCPSLAPICDSARQYLDDKAAAAASNSP H257_09666 MAVARLVAELHEACVRPIQMQLVNDEDDGSVLYSLEMEDALDRL EADVVAVTISPTDAAAVESIDAMWTNCADILVQIALQHATAASLNRSSSSRSNPIAVF RVVNVLVTLIEGLDSLDPLSSSSSTTPATVERWAATMLHACSFYLCSTPPSSISTDEC QASAKRILAALHHRLLRCTHHPSSSVDLFPRLLPHMLSLFASKSSKDEWVATGAVPPR AFAWFVQQVSFPHFSSDVVGRVLALALPLLDQVTPATQVVGLSLLHHLLKHGTATDIR WYSDLLVHEMEQTLTTAATSASFLDATLACLEDLLAVLSPSKQDVTLYDRYFPSLLRQ WDMSLDVAVKTVFTAHARVWVARLGAPHSLHLLRYLQPLVKVILGCVESAERTLSVEA LKTLQAVIVAAWIRMPGHAEHITLAILK H257_09667 MSKLNSDLLDKAVQDILAFSAGETITVGKEEVKGKKRNFHETIE LQIALKNYDPQKDKRFSGTFKLPTVPKPNLKICVIGNAVHCELAEKNGFEFSTVDDLK KFNKNKKIIKKYAKKYDAFLASDSLIKQIPRLLGPALTKAGKFPTLLSGNEDINDKAN AVRATIKFQMKKVMCLNLAVAHVGQTKEEIVVNTQLAANFLASLLKKNWQNIKVLYLK SSMGPSFQIYY H257_09668 MASVADASAVASDAAAFGLVIGSTVFGLIFAAFLFWQVSKIQVT RRGETYALLSQETRGQTADRLYEIYIAIQQGAQAFLLAEYTLCLAFIAVFGLVVFVLT SYVNKAEQTFDWTFGALTATAFAVGGLTSILAGYVGMMVAVYSNARTTVSAMKDGAAG WQDSFNTAFRAGGVMGYSLTSLALLVLFILIVAFENVYPLATDAKRLFEAVAGYGLGG SSIALFGRVGGGIYTKAADVGADLAGKVVENIPEDDPRNPATIADNVGDNVGDVAGMG SDLFGSLAESTCAALVISTQSAAIIKAGWAAVLFPLEITAIGIFVSAFTSFLATDLWP VKKESDVETVLKVQLFVATTLMTAFTYPLANAVLPASFAIGADYVATPLTAFACVSVG LWGGCFVGFVTEYFTSHSYTPVREVAQACETGAATNIIYGLALGYKSAIIPITIISIA VYVGFHSAGMYGVALAALGFLGTLATCLAIDVYGPICDNAGGIAEMAELPAEVRDKTD ALDAAGNTTAAIGKGFAIGSAALVSLALFGGFVTRIEETSINILSPITFAGLFMGAML PYWFTAMTMKSVGVAAMEMVKEVKHQFATIPGLLEGLPGHGPPDHARCIKISTDASLR EMIAPGFLVILSPILAGTFFGTHAVSGLLVGSLTSGVQLAISQSNTGGAWDNAKKYVE KGCVSIEDKDGKLIVQGKGSAIHKAAVIGDTVGDPLKDTSGPALNILMKLMAIISLVF GDFFKGINNGRGLLNVPQN H257_09669 MVEWKKHIANANWPMVLYLGFCHVQALAAIPYFTSCKWQTWVWT LVCYQAAGLGITGGVHRLWSHKAYKAADSVRVFLMLCNALANQGTIYHWSRDHRVHHK WSETEADPHNANRGLFFAHVGWLLVKKDAKVIEAGRKINCDDLKEDWVVMLQERFNPW GNLFVCFVFPMLVATYGWNESWVNGLLVSGFFRYVFVLHATWLVNSAAHFYGTKPYDP DMGPTENTWVAFFAMGEGWHNWHHVFPYDYAASEFGISAQWNPTKLFIDTMAKLGLVT DRKRALQAWEGRKARLYAKAVDDAVKAKTA H257_09670 MTTPVPTTIAYEDVARLPTPGSNAPTNIQFSPNGRLIAFLQSSS NELSQQLYGMDVESKQVSLVARAPGSGNTENNLSLEEKLRRERQRQMGVGITSYLWCP APHSTRILYPLQGNLYLQEYPGADLVLLFDKASTGAKGGAIDPQYSPDGRQIAFVQEN EIYVIAADPVGGGGTQPATQITFGAREVGKSHGLACFVTQEELSRFRGFWWSPDSSRI AFEEIDDTHIPEFRIMHSGSAELGDNAQEDHRYPFAGQANPRRRLAVQSVNVSTRQLP VYVPFPDADFYISRVHWFVDGSLGIETLNRLQTEAALLRWTPTTQALVELIHETSAVW QNAHYLYRNLESRPDGSFTFIWASERTGFMHLYLYEFAHGAATLVRPLTHGDGNVESI DGIDLEHDVLYFSGNLTSPIERHLFQTSLSVPNAPITQVTTIPGVHNVVLDGSASVFV DVYHNLTTPPTAVLSKLAAPAVTIHTLHATSDPRLTQLQDRIEAPSTFTFSSRDGTAT LYGALYKPDAAKFGPGPYPTMVNVYGGPHVMRVQNAWNVTVDMRAQLLRNLGYAVLKV DNRGTYRRGLAFEGAIKHAMGTIEISDQEDGVHKLIQDGVTIAGRVGIIGWSYGGYMS AISLVKAPATFKLGIAGAPVTSWDGYDTCYTERYMSTPQLNPEGYARGNVMNFVQHLQ PHQKLLLIHGLIDENVHFRHTARLINALIAARKHYDLLLFPKERHSPRHLEDRIYMEQ RIAQYIVANL H257_09671 MPTTASTRTMSDSLVLDEDQYRTWKYQLRDSVLHAMQSSPASRE ADAKFEGYRLSSSEKHATLRVFKRKAAQIKQHKKSPFVEVLSINTFDSSTVSLDDIAY AFHNATTHDHRNHTAMNFPSRFVDGAILNTTLSTCVEDPFQWFGVKSLVLRFDLSVAR RSATYVEYSGTTADREGHKVLFVVRESCPSLISPTSPSFVFKEHLLFTQLADFRIEGV HYYHAQDDPKGTKYPSFLFNKQAVQHGHLLEHVPHICRQKWLLAAHRRPPPLLTSSTT ILCESCDSTKTTKRHPCRACGYAVCAKCIVWASEAAPHAGGVAKVVVCKRCYVVASHV QPSKQKLESNNVRLFDGGPPTSGQNDHGIEGDDSLRDNVPPELLAMPLNMSSSSVDKN GRESNLTCRSTVQSEDFVMTSPYEPSDGDSDDDEDLTASFVRVSQGLQAQKHLVHQMQ RHFGLVST H257_09672 MHSEHMTDSQWQDLEAEMMGLVNTAMQSTPHTRELDAIQEGYHL TSEKRNIRVLVKKSPTSAFHEFLALRRNPLSLDDYMELSYCDTTDALRAQQALFYRDN LLNAMVLAAHQTRDTDPFRFVGLKYKRLRFANSGGVFEPRDTTYFEVSGLRTNKAGHR VLFIARESVNLDDYPPVDHVVRFHFKTLILQTHYDDGIEEAVYLISNPLGSIPAFVFN KVAQASLYLVDDFPLMLQKQRCLHALANLASPKKQAELQKLTACCACRGKLRRFRTAH DCVACGYAMCSKCVVSLPRVVRGSLNSLTVVHDEVCKACFSLFSKQRGSDPGVTFVLV PGSPSSQCSIDSYHDGDDDSRDSAGLRSTVSTGNDYDDDHCALLTSLPANGIYAQQHE KMRRGIDEQRQLVHQLMEQLDVRRKKVHVARDRSSSSLLACSSLSDERSEKIAMVESC PFMLLNLPNSDTPSK H257_09672 MHSEHMTDSQWQDLEAEMMGLVNTAMQSTPHTRELDAIQEGYHL TSEKRNIRVLVKKSPTSAFHEFLALRRNPLSLDDYMELSYCDTTDALRAQQALFYRDN LLNAMVLAAHQTRDTDPFRFVGLKYKRLRFANSGGVFEPRDTTYFEVSGLRTNKAGHR VLFIARESVNLDDYPPVDHVVRFHFKTLILQTHYDDGIEEAVYLISNPLGSIPAFVFN KVAQASLYLVDDFPLMLQKQRCLHALANLASPKKQAELQKLTACCACRGKLRRFRTAH DCVACGYAMCSKCVVSLPRVVRGSLNSLTVVHDEVCKACFSLFSKQRGSDPGVTFVLV PGLKSIKRRRTDLARFLK H257_09673 MGSYRCLQFQYMGTGECPSNVNGVVAPFTTPKRTERCDTKISTS LYGVPGHCQVQSDVTGDVISTMHMGCCRFTLRQFSCAGAPSMLRIALVVHPRVLASTY ASIRWLRQDLNYSLPIEDYWKPDNTCFGIDGYSMLWDLMGIDFVDMFEQESGQLDLYR LAWLKAAVPFHMIERSPGCLGCRLRPIRGGGGFCGKTMAKQNVRRDVHLANYKPVSYV LGDLGCFGHSYALVDMYTETKISQTVASHDGGHVAGCPQDQHHDPFEDAVGSRLNSLP QHQSTKRSTLFPPTL H257_09674 MGLAGRRVRVLLACVALQSMLYMVYVNLLLSRIDAKQAVVDAYH HAIQHDEYAVVWSIAQFVQRILYPKTTRRDGTFRCLKFQHTGECPSSYVSNVVTLFHT PSSTERCDTNISTGLHGVSGYFEVQSDITGDVISTMHMACSRFTNRDFSCDVGAQYAS YGWDSLQYRHEPLALEAGVSISTSSSINHSPLPVLSNSPQQSSSSAALFALHSGNHLR QRNDHVSTNSPPNQPLLGSRGIVFVVHPGILATAFASIRRLWQDLNCSLPIEVWYRPD EMEGTNPLLTALVAQFQVHPRVIDHPLATRFFTKPYALYYSAFDNVLLLDSDNFAIRN PEFLFDEPTFVATGALFWPDYWKPGNSLFGLNGFSSLWDLTGIDFVDMFEQESGQLLV NKRASEKALDVLMFYSFHTPRLVTDLGLVHGDKDLYRLAWLKSELPFHMIERPPGSLG RRLRSRGGSGGELFCGNTMVQYAPDGSPLFFHRNTEKMTGCGGDSLSWESLQEFRYKD VHVSKYRPTAYQVGESKCFGHPEPLVSAYTEVSRSSYITIEATLLKYAREGMRIQHPE GCPTL H257_09674 MGLAGRRVRVLLACVALQSMLYMVYVNLLLSRIDAKQAVVDAYH HAIQHDEYAVVWSIAQFVQRILYPKTTRRDGTFRCLKFQHTGECPSSYVSNVVTLFHT PSSTERCDTNISTGLHGVSGYFEVQSDITGDVISTMHMACSRFTNRDFSCDVGAQYAS YGWDSLQYRHEPLALEAGVSISTSSSINHSPLPVLSNSPQQSSSSAALFALHSGNHLR QRNDHVSTNSPPNQPLLGSRGIVFVVHPGILATAFASIRRLWQDLNCSLPIEVWYRPD EMEGTNPLLTALVAQFQVHPRVIDHPLATRFFTKPYALYYSAFDNVLLLDSDNFAIRN PEFLFDEPTFVATGALFWPDYWKPGNSLFGLNGFSSLWDLTGIDFVDMFEQESGQLLV NKRASEKALDVLMFYSFHTPRYDGLVYSIAIFI H257_09675 MFGRILLRTSTPSRLAAPLRSFAKKAKGGKATEPAAATEALGDV AKKTHDEVKKNMRGAVANYIKVLSAMRPGQADAGIFDSLMVSAYGQMASLTELAQVSV VGPTELAVTIYDPSLLTDIRTGIEGLNPSFSVQPSGATLSVRFPKMTKETRDELVKAA KKQAESARQHVRRVRQDGMNEIKKLKDSISEDDVKVEQDKIQKLTDDHIAEITRLLAS KERALAVI H257_09676 MFSLDAPLQPAVEGFVDPENGESQHTTHLNNVVMQIHRNTSIQD LITLLGPQLTHVQDKRRSRATLLLAEVLTRLPELKLAADTTHLLLVFFVERLADTPSL GPCLKALSALLSFHAAAVPAADILLLLESLFSLPSPIPTLGQAMRKQCFQLMNIVLEL NVVDTHGARVLMEGFLAAMDGEKDPRNLLLCLQLASALLTAFPSNVDADLIRLFFHVT SCYFPITFKPPPNDPYGITSAQLVAALRSVFIAHDSMAKHVVPMVLDKLSRTTVTDMT VDMLDTLAFCCTRYPLNRLLTQFTPVATTVYRSILHGDNQAVIAAATATLRAIAKAVS PPSSLPGMQALAWSKFVVHVVQTALRDMQDQAMDSMVSLRAATVLTGVAAQSAAGLTY VLESSLPFLLSRVSAAAAALPTSSCCSTSSQLEAALACLVGLLDCIDVDVDHVTPPVL PHVQPIQAALVQSFHFASAHVASSSRPQRLCLQGLAKLVLRPPSPLLQDDSVHSLVDL WTTVVLTHAAADVRAEATAALKATANKSAVLAHHVRERSLPPLMHVVASPEASPALGR SATDVLKDVLAVLAELSTEPSIFMALVLPLCQLGLGINPNQPLLQLHPQTKDIASAVA NIVRLNQLNVACIDACVLPTTATTSVVQLLVDTVVHHVRQQLELNGHALLNHDDDAVT VTLVPPTAVILGVVMQHGSIQAQETLLQYVLSLFLTMEVSPLQPQATAVSLQLMPLLG AVLYSAGAALATIAPALPTLLPLLFELAQRPLLLPPHTAVATPLTASPPPHPATTCAL KSIAALLNAMPDDALLHQYLSHVVTSTTSSSSDGGNLPPPVASVVVDAGYPLPRRLAA LQVYLHATKAIVLRAHATTVPVVFTFLWSLLSSEELKLHVAHGFELVLDDWTDVLTAA SHALISPVHRQRTFSLLFPLLTTKENMEKRVVTLLVVAHTPHAILLPHVLDIVPLVVD ALNLKEPPYAALLGHPALVTFEMCFQHDPQLVYGYLNQLFPGLLWQSQHCHAVKDRLR ALGCLASLAKIKYELIHPHKERVIKGLLAALDDRKRTVRQAAVKVRNQWSIL H257_09677 MSGRVGTPADQGTASQPVSVAGAETPNTQTNRYIFSAVVGVTLV FLVFFHAIAIDPSYVPLDATLLGAFTAPNSWELPTFVLFMQGVALGSFANVNAPHAVL VAFTDSLAWLQFQVRHEAASGGTRRLEAVATDDGDAAVYDTFGIQQFALRSSIREKDL FLHAWTFFLIGLAVLMACAIACTAVARVLHDREVNGTTWFHRSLSNHNRRHHRRQRTH MSSSLTSSQPPHVTANHMARYVLAFTVLWGIVSILPLSMLSAYECMQDVHSTSGFGSF TGLVSLAALIAVVSAVVGTAIAVTSMSEVDLTKFNTKAMFGVLYVNLHYDHRLFSAVS LVVQLASGAVVALAPTASSQPLWLMGVHAIYMGLLVAVRPFVTSLQLMTTVLLELCLL AVFAMVAVMASPATSLQTKRTVAFGVVGVVCCVILICLVRNLVKLWVYIAGKVPFDAD DDDQGDHDVTSRRRKRRTTRQLQLVVRSGCDLGPDTGGLPASPINTIQLVKASNNPLA IV H257_09678 MADGNARSKQRRDHTSAKHKVQDSEEDDDDEKKDDLESSSSPNS SSVASDSKDRRSEANPRRTPAGGRNKSDDSSSALSLPHSFDDFTPGSTPAVSPAPNRL RTFDAELKRPPPVQTSAMPSQFNATNGPRVQDAMRKFYELQVDKMRKQLAAVLEDKTQ TQRLLATERQTHENTVTTLQTSYRRDAAQWKSDKAASEARIALLERRLVAEKASFVEL RVSDALAQEFQKQDQDALTLAEFIQMRVYELIQPHVAAADRAAKELHDVASRHAECAA TVAALERTARIAKQQQDKSDLALHDMEVERDDVAQQLEAAQAALLEQRRVAVQSDDDD GINWKEKFTALDLDLMRARQRAADLQVENEQLAQKVQLLSVDKAYLVQDKELTAEKIL QLTRALDDATATCRRLELSKATFLEQIESTREETRSLFEKRMEVELAKLQDASRKEMD LLRDNGKQLYERENRLLREARLDAQSQLDHLHTKVSELQHAYEDKVLESTRADAKWTT DVAALRNDLKMKHFELAQLGRQFDDTSRQLHQSHLENDMYKQKVDAHKAEFAKLEAST GKQIAQLQLAVAAEREKLHAYDKLEVEMDNAILQSGALTDEASATFAMIPTAPKRRFQ QSVALAQKLVQTEQQLATTRQQLEYMETEKAQVASELAAARLAVHHMHQPQQFLIEKL KAKDDEVQTLQRQVTRLDESVARHQQETNTQLQAKLALQNQLQLLLSRRHELDTLKAF VLKAAQTTPSTETGSSSHQQHPHLQPPPTPLDTKVPKWYLKLRQQQPTT H257_09679 MGSALGWILSSVEEKAWNAAKDGDISTLQDLCISSIAAMEWREA ERGRTAFIMACSKGHVDCARWLVENGVDVYGRDYRGNTPLHYACLYGQPRVVELLLAQ PQFSPFTLNAKGLSPLDAAREAYSDAADDRAVSTMADIAACIELLEERLVVHQDVIFT RTDNAVSRVVGIASLRSWTCSHAMVFRTSSSLFLELALYATASPEVPLRCGPVPSSSY FVLIDPVNRPTTFVKQQQTPSSSMSSWLMASKAHAFSLLAAPKTAASSVPTLDWVDFA ALDAAGLDAWAAFFVEIAVTKLSDPTLSHDHQFNTQTLGRCHTYQNYVAPPTPVPAPP PPEEDIDIEDANGVVIAQLLSLAPRKPPLANSKPDASITAVDCVVCFDAPQSAVCVPC GHIVLCMQCATRITSTSSRCPLCRQHVREVIQVYRS H257_09679 MGSALGWILSSVEEKAWNAAKDGDISTLQDLCISSIAAMEWREA ERGRTAFIMACSKGHVDCARWLVENGVDVYGRDYRGNTPLHYACLYGQPRVVELLLAQ PQFSPFTLNAKGLSPLDAAREAYSDAADDRAVSTMADIAACIELLEERLVVHQDVIFT RTDNAVSRVVGIASLRSWTCSHAMVFRTSSSLFLELALYATASPEVPLRCGPVPSSSY FVLIDPVNRPTTFVKQQQTPSSSMSSWLMASKAHAFSLLAAPKTAASSVPTLDWVDFA ALDAAGLDAWAAFFVEIAVAIHVISFFYLVSSNAVVSGR H257_09680 MDVFSELRRHAGGNHPQKVKLNVVLAAITDVIHDRRGPGQREDH PISNTEYFAALMTALESNDSSSHAQEIMQLLTMVLPEVHDAVLRTKFDAVAHAMIRIM QSNEEDISLLRSSLVALGMVIQAQEPSASVWNHPTTLKYFHVLLALAADPRPKIRKAA QSSIVKILEVHADAHCDTLSTHIASFAENILASAVAKDQTKVLQLVGFLGSVLPLLPG KVVTSLTEALLKLLQTNQKTLALVTLEAFDAVVVSPSSRLSAECLTSLLTTVLSLDSN IHDQQHAILLIQITCRALARLEPLQSTRELLPRVVVAMCTYFESQHTQVHRKCAELLN VALQACLNLGPHPSVQRVLFSLEGLLTLRYQHAWSSIFSLLTSIVGFCGENAHPAFDS IFSTTVDLYAAMDSMPQAHDDMRKWFTRFLTAATTSVGAEVMLSVVPLQNDHGIVEER AWLIPVIRDAAKAGPGRLEYFSTAILSLAKTCEAVARADSTTALESKRMQARTMQLWS LFPSFCTHPTDIAGHFKKIAKTLANAMSDKRYPELQLLVCQGLQALLKAAAAAAKSED DDPSDVDQAALAKFAARFLPLLIAIVEGLDADTESDRLQTLLDTITNYATLAEPEFVQ NVFKQLMQTLLQTTTAAKKSADPKAPAAVAMLAQAHLHMSIALALVGIIDVSQVALLY RVVKPYMLDDTDPVMQKRSYSVLVTICDTHPAFAAEHLTDMIESLSESLLTCSVPAKK MRLRCLHQIVRAIVAAEHPDTAFVPTLVGEIMLCTKEANAKAREAAFEVLLAMAKLLD AQGNLMDFIQMVLGGLAARTPHMRSASVLCLSRLVFEFGRTEVTIQQAMPDLLRTVLM LLHEKAREVIKSVIGFLKLGIAILPKEALVEFLPSIVNGLLQWIGESKARFRAKTRTI MMKLCRKFGYEYVASLVPEADRKLITHIRKTKEREDREKEQKRESRGQKSFEEFMQDS DDDDSDHEGGAATTKSSKGNDAVAQQRPAKKIKTANGASNYIKENAEEDIVDFLDNAA FKNIVPSRKAKRVESDDEFEIAKDGRMIIPGIPDDDDDDDAMGDSEDEDAVRRDVQKK LANMGLDKKRKRDAAPAGPTAGNEYRAKKASGDVKKQGKVDPYAYIPLDPKLMARRNK HSAVSKFSKTGRVGKQRK H257_09681 MAVIKSGRATVVAGITINTLLVVLKMGMFLASNVNAMFADAVDS IVDGFVMVLVVVFLKFDLDGKLTYLQMDMMLLCQWSTVIMFRVVIFMDQLGDLYTPEP RTHAGPLIITSAIAMIAAIAIALVFVDEDDVIKLFISDAEKAHRKRRHPKKKRTGPKR FKLMPIFAEAVDNVVSSAVALVIGVLMYNEVALDYIYLIDDGSNMLISVVMCVLAYNQ LGTLANKYEGKSYYEIVFPTDTSPLLSV H257_09682 MERVRVHCVSSAVAVNDQLETRRSRVLAVDGVVTYANVCLESVL VVYVIAYTNTNTATNTASNTYVNTSGDVITNGRSNTSNATYTTNDTAKNDRNSNFKLV YNTPNVILVRHLLNWTSDRRGGQNHQHHERSWEQCRRGGYCITASALIERTLA H257_09683 MAFKECCMKATAPTPASTRVPTPEPTFALTPSPTPSSTPARTTN TPTPTTTVATPAPTNATTPESTTAPNTTAPATPEPTATTPVIPTISLPTLAPNTTVLV DLLTPTTPNVTTPAVTPAVTNSTDPTLKPLTTIAVTTDLPIVTKKVEDNVTGTTFEIL NGTKAPVTTVGSDDLIDLTPSPSKLNPSNPAPGGGSDAPEAPAPARPISAARTAAPPG GGLAGPSDIVPSEAAVSGADTPGDKTNRYVFNAVAGLTLVFLAFFHYLAIDPSFLAPE SVAGALVAPNSWELPSFATFMQMVAVVSCANVDTPHAIFVSFTDSFSWINFIVRGSAY TAKSPVVASSLVLLSTPSHRRALTADVQVSATSYDAFGFFQFALRSNVFEFDLFVRAW TFFFIAVMILLVLVITTAVVSQISGRRTPFTQSDSGSYTSTLKEASRRMQGFTVWFIT MAVLPLSTVSMYELMRDITSAAGFGSITGIFALLALVVLGGGIVAAGYVVLRQSEVQL SKYRTKITFGVLYTNLKFEFRSFFAVSLLVQYATGVLLAGVVAPSIQMVLLIALHGVY VALLVLLRPFVTTWQLVFTFVFEAVLVAVFGLVYAMAYTTSTDSKQTYAYVVVVLVCV VIVLMFVRSLVKLWTFVTGAGGAHDDVNSTRTQCTIPQLHSAEFNSNRGVDTISLNSG SLPSDHYMALTTPSRTVKLVNTSAKRF H257_09684 MQGTLDDLDKKARRRLYDRKKQKKKVDELRKLQNLVTDLVAKAS QLKYFKQSMLPWKEIAIALGRETAESFDSNRMLRGDLFQLENLAADVSRWVAVMSHAP TVPYPLVEKQHFSLRYTSLPKSESARHLGFDWITKHLFYNLQREVDHCHFPSTHDPYL SRVEPVAFHIAVQCMRKMVLQYPGSIEELDPTTPDMTYLRYRAAVGHNEYFENTLMRE FQEDDRYTIVVLIISEDDKYPTSGLRRNWTQWVQVEPVDATHSVIRQGTTWFGLRDAT RSLTIEDSTTFGPQIAACKDEDHKLAAYALLLQGSMALVAEDENAEFRRLAIEFQSAS VTPH H257_09684 MQGTLDDLDKKARRRLYDRKKQKKKVDELRKLQNLVTDLVAKAS QLKYFKQSMLPWKEIAIALGRETAESFDSNRMLRGDLFQLENLAADVSRWVAVMSHAP TVPYPLVEKQHFSLRYTSLPKSESARHLGFDWITKHLFYNLQREVDHCHFPSTHDPYL SVRAEPIDDTHVISHASQRVEPVAFHIAVQCMRKMVLQYPGSIEELDPTTPDMTYLRY RAAVGHNEYFENTLMREFQEDDRYTIVVLIISEDDKYPTSGLRRNWTQWVQVEPVDAT HSVIRQGTTWFGLRDATRSLTIEDSTTFGPQIAACKDEDHKLAAYALLLQGSMALVAE DENAEFRRLAIEFQSASVTPH H257_09685 MKRDTSSITVDVADFRRMQIKAAEAISELSTFGLGETALSLFHS EGDTRVYQRKVAGTPFGELTSTGAMALSMDALGYALYNSTSNDHRTFLGLHYATDYLE GAVLNKSMSRTHDDPFLWLGAKYKKTYLPNTTMFEPRDTTYLEYSASSVDVHGRRRVV FIQDSKVFPTFPPVPEVVRMEFTLMHLFTEVSEGRVEFVTRYVLGSLGKGVPTFLAKK MLLRNLILDPHLPQLVQKRRLLDNSIINSHGGITPVVPTIKDGKCTTCAAKFGAFRSV SHCAACGHGTCKPCRVVVYRALHVKSVNPVVKLCFCKACSIDARLSRSTAPPPRRAKS SSSSGGASRGTDLIEIVEHTTPPHRDYVQQHEHPLQHHQYGGTGPPPRSKQVSSYSNS TNSSSYRRDAGPTAATTEAMDGWMDGEGAPSDAPSTIEAMEMLQHMQTSLEDQKMLLH LMRMRLANRTDQYDASSVLATPSSAGDHPLKGADDGGYP H257_09686 MLGLQGYELGLGDCMAIKASMGLVVKDFLARSTVATRDVDALHD GYKLVTSKPNSRVYSRRAAHPSLKECLTIGRTTDLSLEDLSYLVYSDTTEQFKSDQAI YYEHNFHDAAVLSNVHKKTADDPFLYFGVKYKRLSIPSSGLAEVRDTVFFEYSGTAHD AAGNRVFFIVRDSEFLQNVPPTPNVVRLQFRALFLFTELDDGSVECVSRNFMNPNGII PAWMCNRQLVRYAALIETLPTALQYKRFLDKVKLNPARRPRKFSAKTCIACNSKMSAF TFKALYNCAYCGEVMCAKCVVPVPRAIKTNSPPACAKDDFCKRCFVEAQTGRVPSAAT GSRSSSGDFSTKGNNVDVEGSNLTSTTTQDELFETYVSTCNAIVKPGMFAKEGGASST SSSAATSLNSVSTPLSSRDPSAAFTQLQVSIEQQKALVSQMQQRLESRSQVG H257_09687 MQHHRVILSSEDEEGIYKALMKQVQTSILTTPAIRLVHATRQEG YRLYEQHHGVRVYTRKSASGGEETMSVSYSQNHLTFENLVYLLLAPSTEEHRIQQTLF HDDAFLDGCVLSTVLSPTDEDPFQWYGLKYTKMALSSYRFVDPRDLCYVEISGTTINM EGNTVLYVMRESMTLNSVPLVPDAIRGRVKSLSLHTECPNGTIEHVHFSYLNPFGSVP AFLFKPTQLRMNTLVERYAELIHFKRMLELSKHCTTFFPHSQKACGSCDRSFWALMTR YYCRSCGEVICGNCAIFIPRPKEQLFQTHLSVVKEEYCKTCYLHVRGPTSSSMTSSSS IGGGATFMLSSRHFPKDSETTYQSNRSLLSMPTSRRGYKTPSTKQATLSMIPPLRG H257_09687 MQHHRVILSSEDEEGIYKALMKQVQTSILTTPAIRLVHATRQEG YRLYEQHHGVRVYTRKSASGGEETMSVSYSQNHLTFENLVYLLLAPSTEEHRIQQTLF HDDAFLDGCVLSTVLSPTDEDPFQWYGLKYTKMALSSYRFVDPRDLCYVEISGTTINM EGNTVLYVMRESMTLNSVPLVPDAIRGRVKSLSLHTECPNGTIEHVHFSYLNPFGSVP AFLFKPTQLRMNTLVERYAELIHFKRMLELSKHCTTFFPHSQKACGSCDRSFWALMTR YYCRSCGEVICGNCAIFIPRPKEQLFQTHLSVVKEEYCKTCYLHVRGPTSSSMTSSSS IGGTCSFQQSDGRHGRPDVGGATFMLSSRHFPKDSETTYQSNRSLLSMPTSRRGYKTP STKQATLSMIPPLRG H257_09687 MQHHRVILSSEDEEGIYKALMKQVQTSILTTPAIRLVHATRQEG YRLYEQHHGVRVYTRKSASGGEETMSVSYSQNHLTFENLVYLLLAPSTEEHRIQQTLF HDDAFLDGCVLSTVLSPTDEDPFQWYGLKYTKMALSSYRFVDPRDLCYVEISGTTINM EGNTVLYVMRESMTLNSVPLVPDAIRGRVKSLSLHTECPNGTIEHVHFSYLNPFGSVP AFLFKPTQLRMNTLVERYAELIHFKRMLELSKHCTTFFPHSQYVVSIVASYDVARSCA SSKLRKACGSCDRSFWALMTRYYCRSCGEVICGNCAIFIPRPKEQLFQTHLSVVKEEY CKTCYLHVRGPTSSSMTSSSSIGGGATFMLSSRHFPKDSETTYQSNRSLLSMPTSRRG YKTPSTKQATLSMIPPLRG H257_09687 MQHHRVILSSEDEEGIYKALMKQVQTSILTTPAIRLVHATRQEG YRLYEQHHGVRVYTRKSASGGEETMSVSYSQNHLTFENLVYLLLAPSTEEHRIQQTLF HDDAFLDGCVLSTVLSPTDEDPFQWYGLKYTKMALSSYRFVDPRDLCYVEISGTTINM EGNTVLYVMRESMTLNSVPLVPDAIRGRVKSLSLHTECPNGTIEHVHFSYLNPFGSVP AFLFKPTQLRMNTLVERYAELIHFKRMLELSKHCTTFFPHSQYVVSIVASYDVARSCA SSKLRKACGSCDRSFWALMTRYYCRSCGEVICGNCAIFIPRPKEQLFQTHLSVVKEEY CKTCYLHVRGPTSSSMTSSSSIGGTCSFQQSDGRHGRPDVGGATFMLSSRHFPKDSET TYQSNRSLLSMPTSRRGYKTPSTKQATLSMIPPLRG H257_09687 MQHHRVILSSEDEEGIYKALMKQVQTSILTTPAIRLVHATRQEG YRLYEQHHGVRVYTRKSASGGEETMSVSYSQNHLTFENLVYLLLAPSTEEHRIQQTLF HDDAFLDGCVLSTVLSPTDEDPFQWYGLKYTKMALSSYRFVDPRDLCYVEISGTTINM EGNTVLYVMRESMTLNSVPLVPDAIRGRVKSLSLHTECPNGTIEHVHFSYLNPFGSVP AFLFKPTQLRMNTLVERYAELIHFKRMLELSKHCTTFFPHSQYVVSIVASYDVARSCA SSKLRKACGSCDRSFWALMTRYYCRSCGEVHVVLLLWTAKSVTLSWCKGDLWQLCDFH SPAQRTALPDAFERG H257_09687 MQHHRVILSSEDEEGIYKALMKQVQTSILTTPAIRLVHATRQEG YRLYEQHHGVRVYTRKSASGGEETMSVSYSQNHLTFENLVYLLLAPSTEEHRIQQTLF HDDAFLDGCVLSTVLSPTDEDPFQWYGLKYTKMALSSYRFVDPRDLCYVEISGTTINM EGNTVLYVMRESMTLNSVPLVPDAIRGRVKSLSLHTECPNGTIEHVHFSYLNPFGSVP AFLFKPTQLRMNTLVERYAELIHFKRMLELSKHCTTFFPHSQYVVSIVASYDVARSCA SSKLRKACGSCDRSFWALMTRYYCRSCGEVHVVLLLWTAKSVTLSWCKGDLWQLCDFH SPAQRTALPDAFERG H257_09688 MLLRRALRRRSIHSWLKPQIRPMEPSTNKPAPRRVVSLLPSATE HFAALVSAAARLGHTSLPELVGRSHECDFPTSYASIPTITKPRTTFTSCEDTHNQVVN LLQSDDSLYEIDAATLTNLAPDLILVQDVCNVCSIDRPTVSCAMASNPNTEILLVNSR TLANALEDSVRLLGKALHLEDAAEAVVAANRARQTALTVTTQTIRRPIVYIVEWMEPL FLAKGWADEMVALVGGQAPVTTGRIADPSVLEPPDLIVVALCGLDRHTTVKELRSKPF PPWWRSSPAVQAGHVFVVDGNQMFNRPTNRLLDAMEWLGVVVANPHHFNSIQGFPVDA FDSDAAAPPILSEIEAAIVAAHAAACAANQARYNDPATGYGVFTSAYLLDRQACCGNR CRHCPYGHANVPLEQLHLIKSKNTMTSSVFLRPPKPSATGRLGYRNPKPVKGAVPRDV VVVFWSGGKDSLLALLDTIDTLDRSAEDIVLLTTFNPDEGVVPVQNIDVRTIVAQAAA INLPLFLVAVPTGGNYAALVHDALSEIPGMRMPHVQRVVGLVVGDLHLADVHEWRVAA FPTYDMRSPLWGRDMRTDLLPKLAAACDKYKVTVRYSAVDTNRMPPTIREGDAYEPHL VPGTVDAMGENGEFHTVVEFV H257_09688 MLLRRALRRRSIHSWLKPQIRPMEPSTNKPAPRRVVSLLPSATE HFAALVSAAARLGHTSLPELVGRSHECDFPTSYASIPTITKPRTTFTSCEDTHNQVVN LLQSDDSLYEIDAATLTNLAPDLILVQDVCNVCSIDRPTVSCAMASNPNTEILLVNSR TLANALEDSVRLLGKALHLEDAAEAVVAANRARQTALTVTTQTIRRPIVYIVEWMEPL FLAKGWADEMVALVGGQAPVTTGRIADPSVLEPPDLIVVALCGLDRHTTVKELRSKPF PPWWRSSPAVQAGHVFVVDGNQMFNRPTNRLLDAMEWLGVVVANPHHFNSIQGFPVDA FDSDAAAPPILSEIEAAIVAAHAAACAANQARYNDPATGYGVFTSAYLLDRQACCGNR CRHCPYGHANVPLEQLHLIKSKNTMTSSVFLRPPKPSATGRLGYRNPKPVKGAVPRDV VVVFWSGGKDSLLALLDTIDTLDRSAEDIVLLTTFNPDEGVVPVQNIDVRTIVAQAAA INLPLFLVAVRWCFAHQIAMCPTRVYLISLCRYPQEATTPRWCTTPCLKSPACACPMF NASWAWWWATCTWPTCMSGAWRRSRPTTCAVRCGGETCARTCCPSSRRPATSTK H257_09689 MATANGSGGYASVKRPELQALSLAERISFFYCSSVQDFMGVAIN PSEFLVAPDDVPYYLQLLESPDREQWLKAEAVLIPFAQGRAVPDANQHRLLVDFDKAC VHNKHLVDAGALPTYTQHLLRAMTSLKGLNFQYMELEMVERLLGQLNRAITMLYLVVT LSPHASRPAMQRSLVDIGLFPPHQLLVDIFDCLQVHHAIPGFPIKRLMLLVYVWITTI LGDLNELDRLKAARRQHHHLAVDDSSKWTCKSLKKPATVPLGDPATDPLYPTRVKTQA ARDAIHAKYLHRPHADLSPVPPASVDALSSDDVAPLSDDQAWGARVELLYKLVLVPKW KEYTGLLGAIITTMAAGNAMDKRGYFSKRKFSVDDTATGVGSSSSGSSNPDEQLYWNW MNREKAIVLDVTSLVILHLLKHVRSSHYFKGELLAQGLVEGALLPAATKFLNRDTATY VQVRAEDASHQRVEMHPPMQKAVAVLADDPSMEYALAPLRTVTSLLRIVQRLTKRKPS LIRAMLCRTQSLVWLKRVLNLRDPTSRLYALKLVKSQGRYLGHQWMRKFTCVHLLTEV YLHVRPELEDDWLKNDEDEASPLAKASEALLQGEVHAFHHKNYWSKPQPPAAPSSGGV SIAVQGLLDLQHDTLDVDGGSARKLVADLKLDAALCGQYEKWLDARGITSSSTTTSTF ADDVVAPWPIAFH H257_09690 MSPMKRPRSSCYEADDSPIEVECEWEMGKLSVVPPVDITAATTT CPTFSPLDTASAAQLRQHLQDLRREQMAVPMLGVVTRLMIHRINQSFFNTPVDVVRLA CPTYYDVIKTPMDLGTIKQRLGSLEYANPDDAANDIRLVFQNAMLFNPPGHVVHDAAA ILLKEFEREYVAFLRKQADRLDKRNAHSCPHCQSHVCALCNEKCINFDPPLVMCVGKC GQRLRRHAAYFTTVDRQLNWCAKCVPKLKEVVVAGRKIGKRDLVKAKFQDELTEPWVQ CDSCTGWVHQICALFNASSVEADDDDTPYTCPICRLSKMEVAKAPESPMSLPPLSPCA VSSNELDVVVAKRSKHDEMSTHSPVVKKQRKPHCYPKGTDTSSSATSHLGTFMETWIR DHMIALGEPHAATSISVKLAASIRKTVPINPRVQEHIVAADHSTYPSHVTYTSKTILV FQKIHGIDVCLFSMYVQEYGDNCGVSSNVKRTYIAYLDSLGYFRPRHARSSVYQQLVI AYLAFCKLRGFTHAHIWACPTTRGGDFIYWCHPTYQRNPNKDRLLLWYKAIIASAKRS HVAFGHDTLWSTHFQSSSAESFAVLPPYFEGDYWVAEADRIAGMRPRKVRRKKTEPHV VAVDQVAPLVKSDAAIRVEVAASVASAKDSLFVILLQPACVQCRVLVVNAAYWKSDND DNNNPLVWCDMCHNQLAALNDANGRAFQQGGRHVLVSAEPWSSKLPPPPHGNGMLEVD DVDEISCPLVNHRSELLKQCEEHHYQFDTFRRAKYSTMMLLHHLKGDREV H257_09691 MARPPRMSLLQRFFYVTQTSLRRFDAWTEKHMIGLPNPPLTEEE RQDKAREQCVDLWTLSLADHVRFAREAWAEYKDTLREDYEFTEVVRGKVMDFKDTVEE QRAKVDEHLDSHHPELKQHINTWKSDIQQSVGDIQQSVDDTTARVKVHVDEMKVQAKD IDVERIPEHISDAVNALKNRPVVDVKRDVEEWALDKLVVGRATMSAFVEGYKAGKEEE LMRETPLLKELAEKATDQHKDFLTAKRNELLQKVADFEVKSTRSSPPPVV H257_09692 MVKPAAPTKQKSLADDDLLKLEKMELSDDEDDFQYTNVDVMDDD DDDDEADFQTVLRNLNKEKDVSSTEKAPSPVFKGQSGSGGSNIPSRTISTQVRPSVVD DFIRNFLIKISMPRTLDVFNTEWYELMAKGKLKEEDIGIVPDIYLRNQSLDDQVKALR KQLDETKKITEKAKGTWDKFRHQRDVHKMHHQRVVQEKNSLMDKIKKLRKNIAAYEPL LSELRTKYEVAMKEKMLMRLERDRFMAKTDALEAQVRALEQKDSKGSTSKSSPAKSSG LKKTKKGDTKLPTENAPNPNADKTFDPVQIKRVELVKVFQGHQNSIAAVAFHPKNPVL ATVSDDETWKLWSIPTCELIMSGEGHRDWIAGIEFHPRGTHIATSSGDNTIKIWDFVS ASCTLTLQDHAHPVWESAFHHDGDFLASASMDHTCKLWDLNTGKCRKTFRGHVDSVNS VCFQPYTVNICTGSGDKTISIWDIRSGLCVQTFYGHQNTCNSVAFSTAGDTIASCDAD GFIKLWDVRMVAERATIDGGQQPLNSVAFDKSGQVVVAASDDGMIKMCNARTFEYVSD LKGHDGPVQSVKFDPVGRYIASASSDCTFRLWT H257_09693 MEQYLVHETTALTSIPSMSINDPPIHAGEHHQLWLEIDGDDGGI QLFLHDAGTKSIIVDHPIVLLEGQVLLHHYTPPPPSCSILYVRVEYVVTSWPVDWPAG RFKALAHLPSPGQHRVALTTPTFHRRTHTLHYDPPLPSSTTDQTVSPYKLRFALQTCP DTDGSIDAPAGVDGSLPAALAILQFNALLLQAMMATLQQAHGLPARTFAFDDMDTFGQ PRVHAWSSPKLTTSTMAAMDDQEIFRVIRRELPVNHDPILHVIVTGCCRFNASSGRAV GHVANGADGLPRVALFGGCGLHTWASSVRDVVSKFTDATKIPSTLLDDSGHRGTFGGS YATGIGALLHEMGHALGLGHAPPGTIMSRGGDDIHRLVCVFDMDGRHISDDRGGAAWH PANAVKLDHSPYLRRLSPALTLFHQHRHNRNGPTIQWRHDFLGPAGFAYMDGPQRPFR VNFRRGGCIQMDLLLDKADIVVGGGANEDDDMRFCLDESEVVMAVTVHAVAWVDGLQL HTNVRVTKVYGRANDLPPARFEAPRGMQVTALAGTLGRRHIGRLGVYTSPLQTTNDSG CSASALFGTTALKTMVIHVPSIPLPPPTTLSPPPPLPSSSSALFHPPSPWTSFLSMQN WTERAHHLLLRHEHIVQLDVAKDADGVVCGVGLHSHFRAFPWQGGRTNRLEFVIAPTG FEVESFHVRHDTVDVSFAQLPPRNCLDLESHRQVWQWRRSDFDEANVQVSSTLGIAAI ILTQINQGYDLVEHVLPWPNENGHPFPTTWFVSYSWWRHVIGTNATPADYILEVVDVA GTTTKAECAAMYLDEPDMATDSDGNH H257_09694 MADLAVIQKQVEFYFSDSNFRRDKFLKAETEKNADRFVPFSVLF TFKKLQALTTDPEVLASALEKSTVVELNEDRTALRRLQAALSNDDATKRTVAFSGLGV LPPSIDEVNASFNIDTVGYTRVVKAAGRFFGVVHVEFKDEATADAVLADKSITIVGRS PKKIRLSAYLALSHDDKRDFEKGIQALLKATGVPADATFQDTVSALEGLWEDDRDKKP LVNFFEDLHELNLLYSQVSAATEALAYFDAHPVEVLGTKLSFELVSDESAIAGRPRKD SKKRKADAAGERKYVAITAIGKAVRVLDVKDLVVQALGASSVRVPFIEFQTGASLAKI NCTDNTQATAVFDALSKLSPVPQLGGKTPQFHFLTVGEEPAVEVDYDNGLVVKLTGVP SDVSRDVVKEKLNDILGETGVVAFIKFQLGQTEALLRVDVVAAATKLVELITAGDVTV KDQKIGAAEILTGDEEKAFWLDAEASRRARYAQSDSNKRQRQVEFGGRGGRGGRGGRG GGRGGGRHSTETMSQSPTISRTPPRMETTTKKEKRKKGRPKKLNAQQQRRLYRTSTNE ILSAAKLNDQLDLDLHKSTVTRYLRSNNKFEFIKMKKAPKLTPMHMLRRKEWASEMVD YGTEKWSSVVFSDEKKWNLDGPDGLKSYWHCVGRDVKTVFSRQNGGGSLMVWGGIWAD GTTRLAFVEGTQTAQDYIYTLGEFMLPAAQLRFGTDFVFQQDNASIHTANATKAFLDE QGVVVMDWPALSPDLNPIENIWGYLVEQVYAGGKQYDTKEELKASIMRHWNSLEVSYL QTLIFSMKSRCLSVVAANGMTTKY H257_09695 MSSRSNAASVPFMITAKMKYELKTLGYTDEDIHKMKVDNAHKIL DTGLRKEVEEGSLPTTSTAAAAAGRPQPVGAGPSTHPSTSTPPSSSIPFMLTAAMKHD LLQLGYSEPDLYKMPPDIAHQILRAKLRKRNKPPSYTTRPPSIPQHPQPSEDDDSDDV PFSPWAIGGCVLLTVVMLVVQFATVS H257_09695 MLRNQMCRVHHVYVCVCALEVEEGSLPTTSTAAAAAGRPQPVGA GPSTHPSTSTPPSSSIPFMLTAAMKHDLLQLGYSEPDLYKMPPDIAHQILRAKLRKRN KPPSYTTRPPSIPQHPQPSEDDDSDDVPFSPWAIGGCVLLTVVMLVVQFATVS H257_09696 MATDLRTFASIVCRDCLYLEFPGCDSHQLLYTESVLEGTTTHAK CPKCSAATNNQRPFREGCRRCKGNTFIRMWNCSPKEIKQAFRYFPSRIEEIDHTVVQC DEKCCASVVFPSSREVLTTRTAASALVTSSHRQLKLSNFQLSMPHVPGCPRHGMPLHA VAVRLPKSELKFVLERIDQCPVPPVISMGPVYRKRQLAPSQATNQLHQIYLRLLSTIV TTFDSHSLKALEPLKRIALLFEKSDALRMFQDWAPPQAPPVTHVVPSSAVATSHADDQ HNATQLLDTGSSSFWQSAASSSSTDTHVTLGFDPPTTVHAVSIAWHPTHRCRDYAIQV STDGGKTFNDIQLTRAHKSQEHAGNEPHDHRLHLVSPVVAVSHVKISMARPQIHAEDD RVHFGIYHVHVYPSTVECVYTPPSQLLQDLVAWVSSAATHSPHAAIRDLALSILQTLA LASGSLCALLRVVQCLLQSGDTTPLCPIQTASFLTDLATCVHKVMAHSSADENEAKCM EKRLIIQQMSLNLHDQVTRRTIQILQNIPGLEVYTSPDVAAIPGLEVYPSSGISLDHA AADRLDSRVSPQTTSLDEEATTGGTPYPVLVLLLCQPSFVQCIQSRAQLAMVILSVLS ELSVWQMQRMQRPEEFVGRRQEDELSRLEDPFSIQVSSELFELGYTLLTDLLAPWLNP SQPTHLHDALAAAATQLTQSLAQTSPPAFILHASADTRPNASQPSMVEHRFTPNAMGL AVLQIVTSNVRRLVLSHVDPAEVGLQDGQDQLIQALEHLIPLGTKRTDPLFSLSLQAA AAIEVGMEAFYPSSQQRTDMLTTRMGHGATLEFQLRWPVQEREQEDPRYERLILVLQL ACIKEGFEHALRGTWGVCLHLVVGLPSTQSTADVLTQCIAESIQQAGFAGWKVAAGAQ EVSITLQRHLHWNRVEKLGHDSGSGWIRVYPQAVATYDDVLVEVNAFVGQHASGAM H257_09696 MATDLRTFASIVCRDCLYLEFPGCDSHQLLYTESVLEGTTTHAK CPKCSAATNNQRPFREGCRRCKGNTFIRMWNCSPKEIKQAFRYFPSRIEEIDHTVVQC DEKCCASVVFPSSREVLTTRTAASALVTSSHRQLKLSNFQLSMPHVPGCPRHGMPLHA VAVRLPKSELKFVLERIDQCPVPPVISMGPVYRKRQLAPSQATNQLHQIYLRLLSTIV TTFDSHSLKALEPLKRIALLFEKSDALRMFQDWAPPQAPPVTHVVPSSAVATSHADDQ HNATQLLDTGSSSFWQSAASSSSTDTHVTLGFDPPTTVHAVSIAWHPTHRCRDYAIQV STDGGKTFNDIQLTRAHKSQEHAGNEPHDHRLHLVSPVVAVSHVKISMARPQIHAEDD RVHFGIYHVHVYPSTVECVYTPPSQLLQDLVAWVSSAATHSPHAAIRDLALSILQTLA LASGSLCALLRVVQCLLQSGDTTPLCPIQTASFLTDLATCVHKVMAHSSADENEAKCM EKRLIIQQMSLNLHDQVTRRTIQILQNIPGLEVYTSPDVAAIPGLEVYPSSGISLDHA AADRLDSRVSPQTTSLDEEATTGGTPYPVLVLLLCQQPSFVQCIQSRAQLAMVILSVL SELSVWQMQRMQRPEEFVGRRQEDELSRLEDPFSIQVSSELFELGYTLLTDLLAPWLN PSQPTHLHDALAAAATQLTQSLAQTSPPAFILHASADTRPNASQPSMVEHRFTPNAMG LAVLQIVTSNVRRLVLSHVDPAEVGLQDGQDQLIQALEHLIPLGTKRTDPLFSLSLQA AAAIEVGMEAFYPSSQQRTDMLTTRMGHGATLEFQLRWPVQEREQEDPRYERLILVLQ LACIKEGFEHALRGTWGVCLHLVVGLPSTQSTADVLTQCIAESIQQAGFAGWKVAAGA QEVSITLQRHLHWNRVEKLGHDSGSGWIRVYPQAVATYDDVLVEVNAFVGQHASGAM H257_09697 MMDDTSRPWRQAFQSSSMDLSRHDVLVMVQSFIEAFNDGSKQIA KNNALSELKSRCQSMQFVAHFHALPAPVFSPVLDIVSTVCVDSNDHDSLRDDLVALLF DVVGGAACVGYPTPPFAKALSTLVHSVVHAIVGISDVDLDASFALHLQTLAACLRGNV GVRLFVSELPTRKELVRTLALLLNRTDDASILIHAMSVLACLVLQEPMGRKLFQPKNV AHALTLVLSIVDNNPGTAHASSMLMTTRLDSSACWIDTTVPLHMASVNLVLDLAAQPW ILSQMEIADEMHQLLHIMLPRLHMQETIPRLHVALHFLHGVASMSYRLRKAIGAKCPP LATSMAGVLHPSPVIAIAATKFFVALFADDKPLVQTLLDATSSPTAPSSSSATTSCLP DATSTKILAPLQPLLIGMFRTLHATITHVVRNSSGSHDHPNHHVTNDDDDNPMDGGSP AYEHAVWICLLLVQLGADARVVTLSVPLINLSQLVGLSQREASYHDTLPLEARAQFTP RFSLGFVTLLGTLVLHDDMDEDLLRDCHAALHHPAVALVLARGLCQTDDKAWTLRVLS FVRRLVGLSTTKVVQLHPLADALFAIHQKSHDMLQTWVDRVAQRDAAVQLASKQVERV TAELDLVKMNANDERVLSRTEHARLQKELDVQRTTHEHVLESLTVKMETQLGRVKAHC DGLHRQVMETTDALAKKKAQLQDSRVQRGQLDQDNHALQRKLLVLEMRLDELGQANVA ATADVDRVTAASRQMQTELEAMSEAYAAQSGDLVAAHEANVQLKQTLNLQQDKHETLY RQLVLLAKAHQQQSDDLHRMTEERDVATRDLQEARTTLDDMHAHVEDQETRLHTHKEH MAELERAVVRYEQSVAEEQARSSTFRHDLDTLRHHHGSLQNEMAAKVAKAIDLERQLD AATTALHQRDGDVQRLKAELKKYAKIQAMIHQLSDGAAVTAQSQQSSQGGGGASQGSY HGFVPETQFSQ H257_09697 MMDDTSRPWRQAFQSSSMDLSRHDVLVMVQSFIEAFNDGSKQIA KNNALSELKSRCQSMQFVAHFHALPAPVFSPVLDIVSTVCVDSNDHDSLRDDLVALLF DVVGGAACVGYPTPPFAKALSTLVHSVVHAIVGISDVDLDASFALHLQTLAACLRGNV GVRLFVSELPTRKELVRTLALLLNRTDDASILIHAMSVLACLVLQEPMGRKLFQPKNV AHALTLVLSIVDNNPGTAHASSMLMTTRLDSSACWIDTTVPLHMASVNLVLDLAAQPW ILSQMEIADEMHQLLHIMLPRLHMQETIPRLHVALHFLHGVASMSYRLRKAIGAKCPP LATSMAGVLHPSPVIAIAATKFFVALFADDKPLVQTLLDATSSPTAPSSSSATTSCLP DATSTKILAPLQPLLIGMFRTLHATITHVVRNSSGSHDHPNHHVTNDDDDNPMDGGSP AYEHAVWICLLLVQLGADARVVTLSVPLINLSQLVGLSQREASYHDTLPLEARAQFTP RFSLGFVTLLGTLVLHDDMDEDLLRDCHAALHHPAVALVLARGLCQTDDKAWTLRVLS FVRRLVGLSTTKVVQLHPLADALFAIHQKSHDMLQTWVDRVAQRDAAVQLASKQVERV TAELDLVKMNANDERVLSRTEHARLQKELDVQRTTHEHVLESLTVKMETQLGRVKAHC DGLHRQVMETTDALAKKKAQLQDSRVQRGQLDQDNHALQRKLLVLEMRLDELGQANVA ATADVDRVTAASRQMQTELEAMSEAYAAQSGDLVAAHEANVQLKQTLNLQQDKHETLY RQLVLLAKAHQQQSDDLHRMTEERDVATRDLQEARTTLDDMHAHVEDQETRLHTHKEH MAELERAVVRYEQSVAEEQARSSTFRHDLDTLRHHHGSLQNEMAAKVAKAIDLERQLD AATTALHQRDGDVQRLVMQKGGARV H257_09697 MMDDTSRPWRQAFQSSSMDLSRHDVLVMVQSFIEAFNDGSKQIA KNNALSELKSRCQSMQFVAHFHALPAPVFSPVLDIVSTVCVDSNDHDSLRDDLVALLF DVVGGAACVGYPTPPFAKALSTLVHSVVHAIVGISDVDLDASFALHLQTLAACLRGNV GVRLFVSELPTRKELVRTLALLLNRTDDASILIHAMSVLACLVLQEPMGRKLFQPKNV AHALTLVLSIVDNNPGTAHASSMLMTTRLDSSACWIDTTVPLHMASVNLVLDLAAQPW ILSQMEIADEMHQLLHIMLPRLHMQETIPRLHVALHFLHGVASMSYRLRKAIGAKCPP LATSMAGVLHPSPVIAIAATKFFVALFADDKPLVQTLLDATSSPTAPSSSSATTSCLP DATSTKILAPLQPLLIGMFRTLHATITHVVRNSSGSHDHPNHHVTNDDDDNPMDGGSP AYEHAVWICLLLVQLGADARVVTLSVPLINLSQLVGLSQREASYHDTLPLEARAQFTP RFSLGFVTLLGTLVLHDDMDEDLLRDCHAALHHPAVALVLARGLCQTDDKAWTLRVLS FVRRLVGLSTTKVVQLHPLADALFAIHQKSHDMLQTWVDRVAQRDAAVQLASKQVERV TAELDLVKMNANDERVLSRTEHARLQKELDVQRTTHEHVLESLTVKMETQLGRVKAHC DGLHRQVMETTDALAKKKAQLQDSRVQRGQLDQDNHALQRKLLVLEMRLDELGQANVA ATADVDRVTAASRQMQTELEAMSEAYAAQSGDLVAAHEANVQLKQTLNLQQDKHETLY RQLVLLAKAHQQQSDDLHRMTEERDVATRDLQEARTTLDDMHAHVEDQETRLHTHKEH MAELERAVVRYEQSVAEEQARSSTFRHDLDTLRHHHGSLQVYDVACWEGDR H257_09697 MMDDTSRPWRQAFQSSSMDLSRHDVLVMVQSFIEAFNDGSKQIA KNNALSELKSRCQSMQFVAHFHALPAPVFSPVLDIVSTVCVDSNDHDSLRDDLVALLF DVVGGAACVGYPTPPFAKALSTLVHSVVHAIVGISDVDLDASFALHLQTLAACLRGNV GVRLFVSELPTRKELVRTLALLLNRTDDASILIHAMSVLACLVLQEPMGRKLFQPKNV AHALTLVLSIVDNNPGTAHASSMLMTTRLDSSACWIDTTVPLHMASVNLVLDLAAQPW ILSQMEIADEMHQLLHIMLPRLHMQETIPRLHVALHFLHGVASMSYRLRKAIGAKCPP LATSMAGVLHPSPVIAIAATKFFVALFADDKPLVQTLLDATSSPTAPSSSSATTSCLP DATSTKILAPLQPLLIGMFRTLHATITHVVRNSSGSHDHPNHHVTNDDDDNPMDGGSP AYEHAVWICLLLVQLGADARVVTLSVPLINLSQLVGLSQREASYHDTLPLEARAQFTP RFSLGFVTLLGTLVLHDDMDEDLLRDCHAALHHPAVALVLARGLCQTDDKAWTLRVLS FVRRLVGLSTTKVVQLHPLADALFAIHQKSHDMLQTWVDRVAQRDAAVQLASKQVERV TAELDLVKMNANDERVLSRTEHARLQKELDVQRTTHEHVLESLTVKMETQLGRVKAHC DGLHRQVMETTDALAKKKAQLQDSRVQRGQLDQDNHALQRKLLVLEMRLDELGQANVA ATADVDRVTAASRQMQTELEAMSEAYAAQSGDLVAAHEANVQLKQTLNLQQDKHETLY RQLVLLAKAHQQQSDDLHRMTEERDVATRDLQEARTTLDDMHAHVEDQETRLHTHKEH MAELERAVVRYEQSVAEEQARSSTFRHDLDTLRHHHGSLQVYDVACWEGDR H257_09697 MMDDTSRPWRQAFQSSSMDLSRHDVLVMVQSFIEAFNDGSKQIA KNNALSELKSRCQSMQFVAHFHALPAPVFSPVLDIVSTVCVDSNDHDSLRDDLVALLF DVVGGAACVGYPTPPFAKALSTLVHSVVHAIVGISDVDLDASFALHLQTLAACLRGNV GVRLFVSELPTRKELVRTLALLLNRTDDASILIHAMSVLACLVLQEPMGRKLFQPKNV AHALTLVLSIVDNNPGTAHASSMLMTTRLDSSACWIDTTVPLHMASVNLVLDLAAQPW ILSQMEIADEMHQLLHIMLPRLHMQETIPRLHVALHFLHGVASMSYRLRKAIGAKCPP LATSMAGVLHPSPVIAIAATKFFVALFADDKPLVQTLLDATSSPTAPSSSSATTSCLP DATSTKILAPLQPLLIGMFRTLHATITHVVRNSSGSHDHPNHHVTNDDDDNPMDGGSP AYEHAVWICLLLVQLGADARVVTLSVPLINLSQLVGLSQREASYHDTLPLEARAQFTP RFSLGFVTLLGTLVLHDDMDEDLLRDCHAALHHPAVALVLARGLCQTDDKAWTLRVLS FVRRLVGLSTTKVVQLHPLADALFAIHQKSHDMLQTWVDRVAQRDAAVQLASKQVERV TAELDLVKMNANDERVLSRTEHARLQKELDVQRTTHEHVLESLTVKMETQLGRVKAHC DGLHRQVMETTDALAKKKAQLQDSRVQRGQLDQDNHALQRKLLVLEMRLDELGQANVA ATADVDRVTAASRQMQTELEAMSEAYAAQSGDLVAAHEANVQLKQTLNLQQDKHETLY RQLVLLAKAHQQQSDDLHRMTEERDVATRDLQEARTTLDDMHAHVEYVHLVFCILNHG VTAWN H257_09697 MMDDTSRPWRQAFQSSSMDLSRHDVLVMVQSFIEAFNDGSKQIA KNNALSELKSRCQSMQFVAHFHALPAPVFSPVLDIVSTVCVDSNDHDSLRDDLVALLF DVVGGAACVGYPTPPFAKALSTLVHSVVHAIVGISDVDLDASFALHLQTLAACLRGNV GVRLFVSELPTRKELVRTLALLLNRTDDASILIHAMSVLACLVLQEPMGRKLFQPKNV AHALTLVLSIVDNNPGTAHASSMLMTTRLDSSACWIDTTVPLHMASVNLVLDLAAQPW ILSQMEIADEMHQLLHIMLPRLHMQETIPRLHVALHFLHGVASMSYRLRKAIGAKCPP LATSMAGVLHPSPVIAIAATKFFVALFADDKPLVQTLLDATSSPTAPSSSSATTSCLP DATSTKILAPLQPLLIGMFRTLHATITHVVRNSSGSHDHPNHHVTNDDDDNPMDGGSP AYEHAVWICLLLVQLGADARVVTLSVPLINLSQLVGLSQREASYHDTLPLEARAQFTP RFSLGFVTLLGTLVLHDDMDEDLLRDCHAALHHPAVALVLARGLCQTDDKAWTLRVLS FVRRLVGLSTTKVVQLHPLADALFAIHQKSHDMLQTWVDRVAQRDAAVQLASKQVERV TAELDLVKMNANDERVLSRTEHARLQKELDVQRTTHEHVLESLTVKMETQLGRVKAHC DGLHRQVMETTDALAKKKAQLQDSRVQRGQLDQDNHALQRKLLVLEMRLDELGQANVA ATADVDRVTAASRQMQTELEAMSEAYAAQSGDLVAAHEANVQLKQTLNLQQDKHETLY RQLVLLAKAHQQQSDDLHRMTEERDVATRDLQEARTTLDDMHAHVEYVHLVFCILNHG VTAWN H257_09697 MMDDTSRPWRQAFQSSSMDLSRHDVLVMVQSFIEAFNDGSKQIA KNNALSELKSRCQSMQFVAHFHALPAPVFSPVLDIVSTVCVDSNDHDSLRDDLVALLF DVVGGAACVGYPTPPFAKALSTLVHSVVHAIVGISDVDLDASFALHLQTLAACLRGNV GVRLFVSELPTRKELVRTLALLLNRTDDASILIHAMSVLACLVLQEPMGRKLFQPKNV AHALTLVLSIVDNNPGTAHASSMLMTTRLDSSACWIDTTVPLHMASVNLVLDLAAQPW ILSQMEIADEMHQLLHIMLPRLHMQETIPRLHVALHFLHGVASMSYRLRKAIGAKCPP LATSMAGVLHPSPVIAIAATKFFVALFADDKPLVQTLLDATSSPTAPSSSSATTSCLP DATSTKILAPLQPLLIGMFRTLHATITHVVRNSSGSHDHPNHHVTNDDDDNPMDGGSP AYEHAVWICLLLVQLGADARVVTLSVPLINLSQLVGLSQREASYHDTLPLEARAQFTP RFSLGFVTLLGTLVLHDDMDEDLLRDCHAALHHPAVALVLARGLCQTDDKAWTLRVLS FVRRLVGLSTTKVVQLHPLADALFAIHQKSHDMLQTWVDRVAQRDAAVQLASKQVERV TAELDLVKMNANDERVLSRTEHARLQKELDVQRTTHEHVLESLTVKMETQLGRVKAHC DGLHRQVMETTDALAKKKAQLQDSRVQRGQLDQDNHALQRKLLVLEMRLDELGQANVA ATADVDRVTAASRQMQTELEAMSEAYAAQSGDLVAAHEANVQLKQTLNLQQDKHETLY RQLVLLAKAHQQQSDDLHRMTEERDVATRDLQEARTTLDDMHAHVEYVHLVFCILNHG VTAWN H257_09698 MSMSVRVGKAVLWSGLTAAYHRPRAVLDLITHLTLGSPFVLPVY ADKIINASQAELATRLALTILALTPAVVRTSSTGYLLVVVGFLVAVNLAAVFALSTSL SFPGLSWSPATQQGLVDVVATGSYALLLGVGYFSPRPEPIHSLRQKQYRAALVSFYAT NNPSKLDSVDDLLRRYRFHEEVLFTRLKKKYLEADSAETVDDEESSDEDDDAAAERSA KQLPQVGTSVQHEDTEGEEEAGPFERADTTPTVQSAIADARAAQSSRVEERIRRMKQE H257_09699 MEDCEDDIYIVDDVEYANEVAAAELADELLVLDKKQNLIDHWNR VGSFLTKIKDESKARTTHWWCRHRYAELSRFMLRLVYSRKDNSATLQWIKESHRQLGL CTDCMQGYQDALTLLAEELKEELGVDGTKKAFQILVDFDMMRFKKIWSRGAVEKSMNA RESKDQVTMALYELFSSPRMLRDNRFLKPLQKWISDVPTEVQEYCDFAALCSLPGLFV LSICPDSTLRSWSAQKAPKQTAKLNSSLITFMDELMYVLENDAFDKPWTEMDVPSTAH FDLFVTPGQCTKSPTPQVLWAGLDTLFQALDEPSKEKLLRRFHNLPDLVFSCLQEASP HQSHALPLQGLLVVSRCYRMLLTTLKYRFWEHTSYEPTAVLDVILRQCQDKAWKEFIV IGFLELLPSFLRSLRPEYDGDIMTYVDDDKTLTYFSTRKSIYTFLLASQRVYASHAVL HPTAVKVLYTIVREAYGVPQASTTTAKDGDLPGDHTVEIIASKHTYWWPYPTPEKLDD DARNSSCFASEWMEHLFNTLKTSPLLQLVDAASATITLVLQKHLYLIRDALIGGFDVP GLLRSTWLLDNLCSWKDVEKIPLTVHAALFECIGVTAHLCHVVPDSAHLRAFQDRLVP YLTVMTHEIADKGLFNVLKTPVVAQHMTMCLISTHPTIRANIKTLVMHGGSPANTFVN KISTEPINVSYKRLVEMNIVPFCRGMMSTLMYMRSQGHHAGVLKEALQYWTYVLEGLP DGLFAAIVAASESKSKSGASLVRFPRLLHDFFCHALQTSDKADESYGVRVLRFLKVLW RFWWVFRPRQHKCTEYAGNRVLLLLLKHTLLHPSITIQRRVVELATYIIGELGHAKDY DSFRLDSHVQAEVLALSKTWQTTAEPNVVMIDVWNAPTELAKLRVAIETLSSEMQQRK AKVMQVDEDDDDVDEWNSRPSASYSPWCAKQRRRNARQGDNAVEVWHPASSDPWHPKP KRNNVSQYDTSKFFGNSAKTKQDRRQERWDEAESELAKVGKDQPPWMKRTSSKGMTTK MTADTVKNAPSQQNSFFDEPDYKKLAQDLAASKVRQAVQARAIREAEMKEIMSHDVAP TKVRPSASSSSARPNHPAPVTTEPAIPVENYKLLSVVRQIRTMRTPIAPASLYPFFRQ VLLLVSSMAERSDEHAELFKPGVNFKSAGAYHAVFAPLMLEECKSDMSEAWNKIGALQ PTKLRLQSEQSRDSMRLIVVSCSIKQARNFRNHDVLHLRGLNNADAFVGIFLKPERKD RQKLNQKPDSNGGTDVQILILSSDDMTQDVMLNSMDEFDGKVLGNLTTAAREYVAMMA LDLLPMHLRKVVLSPESCQSTHTVLISMVSDFDKWKESPGETSTDLLKRGLKRLNKMS IQLEDLRATNIGVAVRKLKKYKADDTIKAMAHELMGRWQKLLSSTTDALHSAPVFVPQ SLWDVLRPMYNSSQLQSIHSVLSNYESGVSLLQGPPGTGKTKTILGLVSGLLAIQLPA AAKTQMPKPAMRFNVQSDAVSRTSIQQIKNQSLGRQRLSSVMAGTSSRMGVLQRVPLS SSKLLSEKKVQSNHILICAPSNGAVDELIARLVADGLVGPDGTQVQVVPPTLHRASTL PVECNTTEMTIVRLGATADTSSDAIKRVCLKSVLRRNMEVHPKFQAWNGFVKRETQLR ESIRGFHAQKDPDLKKDKRQMTVWHRELTEVLGKKRRLEDEVQSLEHQITTSLLMQAN IIVCTLSKCGSGDLDALTRGFDAVIIDEAAQAVELSTLIPLRERVARVIFVGDPKQLP ATVKSMRAQEYQYNRSLFERLAEGGMPRAILRVQYRMHPFLREFPSKCFYGGILNDGD VIGTRVMALGDTVYRYPCFQPFLLFDIEGRETNGSGGSKCNMDEANFGMAIVKLLSQK VSLVKSKRWSIGFITPYKEQVSTVQLLMKKQGFHDVEVNTVDGFQGREKDVIIFSCVR TQSIGFLRDIRRLNVAMTRARYCCFVLGHVPTLKRDPTWRQLVDSAESRQLLVRAGRR GFDEVVERMDRDRVLNDHFASMHAALKDKYTASETTKRKRSPSVESKDRTTKVSRPEK QAPPPVKTDKANDATRDEPVWNTLARGRTNSVTTVVED H257_09700 MAEYFSTAPLHSLESQVEATAAGYHEGVDIVDSQTQALSHIDGE VESYSERVHVVSQLQRQGYSAVQAQGLVDAMKLAFSDSIDVQVAVVTTKAEHMALKSE ILEHVFNSTLKFDIAQRNMRDFLEHDFVTLKQDIHMMEKLDFEAVRNEIAQVEAKFKG QKDASDDMFANLVKSNARLEKRVLNYVMAFGSTIAIILGVLGSIIEKH H257_09701 MIFVGRTMMAAWPRRGMLPTLMRTREAKLGCMSMTSSVTDTQEQ HVTLPFDSNRFVTQLQYQGFSVEQSEAVLDALRSTMKEALVSQDALLATKSEHVQLKS ELTDRVFNSTLKFDIAQRHMRDLLERDFNNLKQDIRMIEKNDFDKVRNEIVDMEKRFL MQKQITDRMVHKLHLANETMETMIMKFSVAGGATLVVLIALVGTYLEKS H257_09701 MIFVGRTMMAAWPRRGMLPTLMRTREAKLGCMSMTSSVTDTQEQ HVTLPFDSNRFVTQLQYQGFSVEQSEAVLDALRSTMKEALVSQDALLATKSEHVQLKS ELTDRVFNSTLKFGIITDIFLERIMLMPGVDIAQRHMRDLLERDFNNLKQDIRMIEKN DFDKVRNEIVDMEKRFLMQKQITDRMVHKLHLANETMETMIMKFSVAGGATLVVLIAL VGTYLEKS H257_09702 MGKDIVAGGKSTGRKSRTAPKSENVYLNLLVRLYRFLARRTDAK FNQNVLKRLYMSRTNQPPLSIARVARYMNKKEDQIAVVVGTITDDIRLFDVPKLTIAA LGFTESARARILKAGGEILTFDQLALRAPKGQNTVLLRGRKTAREVYRHFGHESTLDS VKTHDHVKPYVRSKGRKFEKARGRRNSRGFKV H257_09703 MQLSEVSELRSIISLMRLNAGSSPRFHDRQDSEVDRIYNSSPKV ASYEMRSSWLDRPSMQMPVQSNPPPPQSQTQHDDVAPSSHEDSSFKAKQPPPPPDHKQ RHGTKDALRDAPNGKPKVIVFDSKKASSSSNVAVGGTTEPPPPSFVFEREDVPSFLQS VQTSLLDAALRGAMMLGGNGNGTQMMTNKPHHHHHHHAMTDAPMISLQIYLPNRAEMH VELYEVSTVEETIQTILMSHLQGGKRPLLYYGHPECYELRLHEANGYPDEDFPALDRS RKIKNFGDNGNHEFCLCERPEACPPPDGSPAALTTLDQSMMQRSMHQPSMTTGGDGRR VVPGGGDKNLLKIIMPNENHSVIPIKDGTTGKDLLPMLKKKHRLPMLDEYILKVTEAD KLRLDLPSEIIDLETNLKPLGLQEVTLARKVYADAPAPAAPAPLASVNVFGESTTEDG DAVSKNVRPLPSTFMYNDVKAAMYKEWTVIKTNKYGKRQHRMLGVDSHKVYNSKVGER AMISRTNIKVAERPISSITWLRFLPGPCDFQIQYSQPDEETIDYSAATAYECAEIVAK IKYIQEMHKRK H257_09703 MQLSEVSELRSIISLMRLNAGSSPRFHDRQDSEVDRIYNSSPKV ASYEMRSSWLDRPSMQMPVQSNPPPPQSQTQHDDVAPSSHEDSSFKAKQPPPPPDHKQ RHGTKDALRDAPNGKPKVIVFDSKKASSSSNVAVGGTTEPPPPSFVFEREDVPSFLQS VQTSLLDAALRGAMMLGGNGNGTQMMTNKPHHHHHHHAMTDAPMISLQIYLPNRAEMH VELYEVSTVEETIQTILMSHLQGGKRPLLYYGHPECYELRLHEANGYPDEDFPALDRS RKIKNFGDNGNHEFCLCERPEACPPPDGSPAALTTLDQSMMQRSMHQPSMTTGGDGRR VVPGGGDKNLLKIIMPNENHSVIPIKDGTTGKDLLPMLKKKHRLPMLDEYILKVTEAD KLRLDLPSEIIDLETNLKPLGLQEVTLARKVYADAPAPAAPAPLASVNVFGESTTEDG DAVSKNVRPLPSTFMYNDVKAAMYKEWTVIKTNKYGKRQHRMLGVDSHKVYNSKVGER AMISRTNIKVAERPISSITWLRFLPGPCDFQIQYSQPDEETIDYSAATAYECGTHASM LILRSG H257_09704 MHGVRQSSSMADTSDVGQRGEDTGPRPIAGHDSDITGPRGEHMS TVGLQRHAVHDGGAGNAGQDTARDDAVNRSQVHCGVGREECIKHLWLESRRLLVQIVT EGGHDRGAVLKESSDQRVPYLGRLGVISSACKSREVGSGVAHGACIIKVHAKRP H257_09705 MASSRYPASKAVILLGGHEDRHFRPLSMDLPTPLFPIAGRPMLY HHVEACAKVPNLQEILLIGAYDAGLFASFMDRVTRNIIGIPSIRYLQERQHLGTGGGL RTFREDIESGGPDLFFVLHFDICCSFPLVDMLHHHIRAQAFVTVLGKRVFPDEAKTYG CLVADPDSSEVVHWAEKPTSFVSDLINCGVYLLNMDMLQDIVELGDALADQRQQAALR SATYPHLFHNIPDQLRLEQDFLLPMAGTQTLFVFETGDFWCQIKTPGMAVMCSELYMQ RYRYVDPTLLASTGGKLSPRIEGNVVVHPSASVHPTAKLGPNVCVGAGVTIGRGVRVA HSIVLAGTTIRDHACVLFSILGWNAMVGEWVRVEGEPPNDKPDAASVGGGGVRDVTIL GTAVVCMPEVIVRNCIVLPRKTLTQSCHNQVLL H257_09706 MKLQPAGLAVVLVSPSVAVFCLLYAALDVPAVLSAFIAFLSAFV WADVWYFVHIIGTVVASPPTCLQSVLDSHEYPAIVGLNDIDRNGHFNNARYLRACNYG RRAFWTANGIWELLCANGGNLLVGAQTVRYRRELTLGQSYTLRTRIRTWDNQAFYIEH QFVTGAEAAGSLFVHAVVLVKNNVMGSKRPQMLMEMRQPGIVAPPVDPDVQSWIDSNA ASSLMLRPNKKT H257_09707 MDTLSVAMLKPSSALEEATRALGSFINLGSDQDTLDIMNDLMFM RKTFSIKPTVAVFTAPKEKNLNTISEEDE H257_09708 MLQLVFPITAWDLHVHWLCFYSLWRITCVPFHCPNGAATISHFS TAWRPHCSNPAMSNQADDDTRALAIGEAALEEFHGMCLESKNLWHTESCKVPDASIWS KPGKAVRLFQAEAILEAPPSVVFEILHINIADTREWNTSVDACTLMKQLSPNAEIMHT LTCAMYGGLVSARDFINVRVSKELDDQAGYIVGTTGIEYKGSVVRSSGVTRGMNGVMG FLILRHAKGTRLVWIINTDVKGWIPRSLIDAAIPAEMESYIVALRKRAAVLQAQQ H257_09709 MSIKLRDLIRNVRACKTAAEERAVIAKESALIRTAFKDNDKQYR HRNVAKLLFIHMLGYPSHFGQMECLKLIAANNFSEKRIGYLGLMLLLTDQEEVLTLVT NSLKNDLNHVNPFVVGLSLTAVGNIASPDMARDLITDIDKHLKSDNHFLRKKAALACI RVFRQVPELVEDFVEPILDLLRSKNHAVLLTGVQLIVDLLTIDRDVHGAYFKGIVPAL VRELRNLLSNNYTPEYDVSGIVDPFLQVNILSCLRLLGRDNEDASEAMNDVLAQVATN TETSKNAGNAILYECVSTIMSIQSESGLKVLAINILGRFLLNRDNNIRYVALNTLSKV IAEDAPAVQRHRNTIVDCLKDPDLSIRQRALELIYALVNESNIQALAREMLNYLVVSP LDQKPALCSRIADAVDRYAPTQRWHIDTLITMLSIAGSILPDEHISSSLIVLIQKNPA LHVYVVHKLFWALHEDTAQLALAHVALWCIGEYGHALCASDPPADEETLQGKTRVDEA VVVALITTMVKHPNASDQTKAYGLTAAVKLTTRLTQGPSIAALGALLESHKSALAVDL QQRSSEFAGLLEPQWASIRGDILAPMPLIDMTRVRTRQSQLLAFSAPATSADFDDMPT TASSAPEAPPAPTNLLDLDDIFGTTTPAAASTSAPPAAAAAAAPTIDLLADLFSPAPA TTASSAPSAVVPSSASSQLLDIFGGPPPAPIATAVQPPGQHIRVYEKNGLTLDIDLSK PNSSDPSISHILCLFTNASAYQLDNFVFQAAFPKYIRLIMDPPSGSTIPANLGGRVTQ LAKIQNTNHGDKPVMMRIKLEFTINGTKIEDMATVNAFPPTF H257_09709 MSIKLRDLIRNVRACKTAAEERAVIAKESALIRTAFKDNDKQYR HRNVAKLLFIHMLGYPSHFGQMECLKLIAANNFSEKRIGYLGLMLLLTDQEEVLTLVT NSLKNDLNHVNPFVVGLSLTAVGNIASPDMARDLITDIDKHLKSDNHFLRKKAALACI RVFRQVPELVEDFVEPILDLLRSKNHAVLLTGVQLIVDLLTIDRDVHGAYFKGIVPAL VRELRNLLSNNYTPEYDVSGIVDPFLQVNILSCLRLLGRDNEDASEAMNDVLAQVATN TETSKNAGNAILYECVSTIMSIQSESGLKVLAINILGRFLLNRDNNIRYVALNTLSKV IAEDAPAVQRHRNTIVDCLKDPDLSIRQRALELIYALVNESNIQALAREMLNYLVVSP LDQKPALCSRIADAVDRYAPTQRWHIDTLITMLSIAGSILPDEHISSSLIVLIQKNPA LHVYVVHKLFWALHEDTAQLALAHVALWCIGEYGHALCASDPPADEETLQGKTRVDEA VVVALITTMVKHPNASDQTKAYGLTAAVKLTTRLTQGPSIAALGALLESHKSALAVDL QQRSSEFAGLLEPQWASIRGDILAPMPLIDMTRVRTRQSQLLAFSAPATSADFDDMPT TASSAPEAPPAPTNLLDLDDIFGTTTPAAASTSAPPAAAAAAAPTIDLLADLFSPAPA TTASSAPSAVVPSSASSQLLDIFGGPPPAPIATAVQPPGQHIRVYEKNGLTLDIDLSK PNSSDPSISHILCLFTNASAYQLDNFVFQAAFPKVNNRSYHDIYIYKYAMILYIFVA H257_09710 MDAYYLTTLSHNLANDRPVVAPLECAWSPIVLDRRGQHPRAIDF RIDNIVVDLFAPALAIQKDYSNTFTLLRVQSTYAISLLYTRRADLETIIPILRSPMAS PDSLLTQYCWLDFNKAWETVHTDARQARYRDRYRLNTAATLWRASGLTYFHISFQNLF AVGVVDSMAVVNLFEMAQRLTLK H257_09711 MNWHPYNDLGYSQSAGYTIVRNDVGNPQFVESCPIGAPLTTCPS SKFDLVLGLSATSPLVNASRRGLGPFVAKRYIVAAVVSHAFRVVGFQ H257_09712 MEDFSLTSPVWADVTRVPQDDGPNPAAPISYSKHFQEVMDLFRA VLLLDEHSERTLALTAAAIECNAANYTVWHFRRKVLASLNADLYDELEFTRQHALESP KNYQIWHHRREIVERLNDSTVELALVAEALTDDQKNYHAWSYRQWVVKRFSLWDDELA FVDEMLLLDMRNNSAWNHRWFAIHNMHAVVPADVRAREVQVAAAYIRRAPHNESPWNY LRGYLREGPSSAVDVEPIERMAEEIYAEHPATCIFAANLLVDLHLQANTRDRINKANE ILQALAKADTVRAAYWTYRLAQVAKPATA H257_09713 MASTATRLGSQLIQVCSTGIIEDARTVLAAIKKEKRPSTALEYR HLTSHFTPLHTAAFHGHAEIADLLLQYGADIHTPTNVQKKNTPLHVAAYYGHAKVLKV LVARGADLYRLNADGLLPLDLVRFKNHTEPLNHQDAARVLLFAVEVHKGSAMYLSSAG LHPWKACFAHLVRVQSGAPGQTMVELALHAERNSLCPFKVVLFDSKTTTFAISSAPGQ PCELTLSRPVCCYNFKAPAFSRDPSIRRTKGTVVPSTFVMRVDSADEASRWIDVCNML QGIKSSPMGPDKFRQLSPPSRAAARNSYVSSLPISTIAPPPPPFRGPPPSYDEVLAMQ SQPTSQRGMPPPSPPPFPPPPSPPTCVGSRGPIDDDCAGTHTCRKNDTLASSMAKLAV TTTLASAPELTSSANECVICMDEVRNAVCIPCGHLSSCFDCLSSASTCPICRTPIQSV VKIYTA H257_09714 MAGGSKRSDVRDLTLEERLRLKKDGFATAPKHDSESSDDDDDDD DEDEDAGEVVVEEYGEDHQPTTKRANKNRPREITSKRPVGRFREVLQVRKKTTRDPRF DHASGKLNEDLLKKSYAFLDDIKEAELQEAKKQLKHAKSKTRKTELQQDISSRKQELA EAKRADRIRDANLKRKREEREAVENGKGAFYLKRKDKKQLELKAKFDELQESGRLSKF MEKRRKKNANKDHRWLPTQRKT H257_09715 MGAVESTPAQAAISGLALWKAATASDGNKVRAITDHVDAAQVIN WVHPDEHTTPLMAAAAVGKLSIVTLLVDRGAALDLREAGQGNSALHIAASKNKVSVLE KLISAGCDPHLYNKDGLTALDLARFRGHREATNVFARHLMQAKGWLYMKKGRSLFLSW SKRWCVIYECSQDHSRMELGLFHHPDDVKPSKVIFLTSSENATSPRASSRHRSKHSFS FTSTTTYQKYSGKETFSRSLNVRKARHIPVYNASGFRFATETVEGRDKWIQLLGPLAF SNNVPMSPPMNQRQSQLAHEDEPVIEPTTEPTSWQDPRFSTTSSQGGFRQYDNNNHGS TSNNMTPSAPPMEDSNMAKMDMNECVICMDMPRTAVCVPCGHLAGCYNCLLVVQKKSG CPICRSAIAAVVRVYTC H257_09716 MEGLLDQGVGDRRCHGAVPELQSAQGCQLHVSFRPRVRGAVRVD RGLKLVVPAPRHSQPSACVQSRVRAGGAPTRVVWPQHVQPDPASSARRSQQRPAPPGC DGLPCHVGCRSVHAPQRSHRRTRIHRSPRLDEKAQLEPKPIHCTIDDLSILTIVTLVA SNIALCMCQYTMNQHYQFGRWG H257_09717 MAALWRRIGSAGLPLRRGFCMAAATSVPFDTYLFAQNLKSKGFT SKEADAILTVTRAAVGEMNTTRVSLFTPKTDHLELKTDLSQKVLRSTMNFEIAHRHMR EVIERDFSNLKNDIRMTEKLDLDKVRTELAKVEREFLLQKKTDEETLNSLHIANEKLE KRILHRGVFRHVLFGLGPRRRVLLNVFEAPNVFYRCSFKRFEARNVFYRCSFKRFEPK H H257_09717 MNTTRVSLFTPKTDHLELKTDLSQKVLRSTMNFEIAHRHMREVI ERDFSNLKNDIRMTEKLDLDKVRTELAKVEREFLLQKKTDEETLNSLHIANEKLEKRI LHRGVFRHVLFGLGPRRRVLLNVFEAPNVFYRCSFKRFEARNVFYRCSFKRFEPKH H257_09717 MNTTRVSLFTPKTDHLELKTDLSQKVLRSTMNFEIAHRHMREVI ERDFSNLKNDIRMTEKLDLDKVRTELAKVEREFLLQKKTDEETLNSLHIANEKLEKRI LHRGVFRHVLFGLGPRRRVLLNVFEAPNVFYRCSFKRFEARNVFYRCSFKRFEPKH H257_09717 MAALWRRIGSAGLPLRRGFCMAAATSVPFDTYLFAQNLKSKGFT SKEADAILTVTRAAVGEMNTTRVSLFTPKTDHLELKTDLSQKVLRSTMNFEIAHRHMR EVIERDFSNLKNDIRMTEKLDLDKVRTELAKVEREFLLQKKTDEETLNSLHIANEKLE KRILQYTVAFSGTFCLVLARVAAFF H257_09717 MAALWRRIGSAGLPLRRGFCMAAATSVPFDTYLFAQNLKSKGFT SKEADAILTVTRAAVGEMNTTRVSLFTPKTDHLELKTDLSQKVLRSTMNFGASPSSIP CISPRAVEIAHRHMREVIERDFSNLKNDIRMTEKLDLDKVRTELAKVEREFLLQKKTD EETLNSLHIANEKLEKRILQYTVAFSGTFCLVLARVAAFF H257_09718 MSQSPNSASADLRQRGGGSQSPDGVQTAQAGSSLLPTTLLDAIS DQSTLRSRLSGSTALLSKLPSKLHVGDSIPYSSLSLNVSVDDLHTPTQSSSSTTGGFG TPSSSHMSMSLPELMSTITRPMERLAFEVKGALLATGLAATCYLLNWFKVVLVPFFLA IFLMYLVDPLVEMIDVSPRYFLCICTSRGRHSRRRSRGCSRAFASLCAVSVVCTFFGL LGYITVLSVHALDVGAYQKGYNALVASIENISKQVGLPMNITVTLHENVDKVATLVLT EMMDLFSTLFVTMIYLTYFLSTRVRASDAGGVWAKIDHDIQRFVTLKCYLSLLVALLV TIAYVSLNVGLAILWGILTFIMNWIPNVGAMIASLAPLCIILISPDEIMTPMDKFLAL VLPGVFHLFVGNFVEPKILGQKLEMSPVVVIISLSAWGLLWSIVGMMLSVPLTASFKI MLSHLKMWPELVALLDGTYFIRNHHHDKKKRRDEDADRVDDLHGGDHEQTYQAKDLRT DIQVSDDSDHHLIA H257_09718 MSQSPNSASADLRQRGGGSQSPDGVQTAQAGSSLLPTTLLDAIS DQSTLRSRLSGSTALLSKLPSKLHVGDSIPYSSLSLNVSVDDLHTPTQSSSSTTGGFG TPSSSHMSMSLPELMSTITRPMERLAFEVKGALLATGLAATCYLLNWFKVVLVPFFLA IFLMYLVDPLVEMIDVSPRYFLCICTSRGRHSRRRSRGCSRAFASLCAVSVVCTFFGL LGYITVLSVHALDVGAYQKGYNALVASIENISKQVGLPMNITVTLHENVDKVATLVLT EMMDLFSTLFVTMIYLTYFLSTRVRASDAGTSYVYVVLFVQYDWKKIVSSFNIYHRKS KGFYVKINFYFLFFLVYFYNFVSNVHVLYEIDKPVKYDTYHSFRGVVSS H257_09719 MSSLTATARALVKNPPGRASGSPITATVFGATGFVGRYVCAQLG YTGATVVTPYRGDDMEVRHLKVNGDLGTVAHVPFSVRDKDSIREAIAGSNVVINLIGK HYETKHALPWWINYTYDDVHVDAARDIAEVCAELNVPRLIHFSSLLAKPNSPSIWAAS KYRGEVAVRKAFPNANIVRSATIYGPEDRFLNWYARLGSAIPLVDNGAARLQPVNVND VAKALYALIVDTTIQGQTFELVGDEEYSTKEIVDYVLDVTQSDPQLLNLPLPVAEVVG KVIQNLPEPKFSQDLAIRLSLDEVKTSSLPGLRELQVEPSKMEKESFSFLFKYNKGGH FQKVEGYH H257_09720 MEDEPTAPLEHMQAPQQQEGPSTTSKRTLEDAIDEDEDDDDDDF DDIKVVKKPRVDEGDAVPTGDTPPAHDNTVAASNVFEGNDMDGTHPQGEVELAHGVEF EAAAQGEVGPVNDDAGQVSPTEPAFEAVVPHDDAAPPSTEFSREDDVVDDTSTDHDPL AIVPESTTTASDMDPFEPVTSDSDNHHEDEPHHGETTTTASSTGSAGDAFGPSVVGGT SPSVSSAEAIDAWSTTASEGADGPPSLLLLDDTDEGHPDVKAPDSDQILPVATLLAQG QGDGPLNAVDAVDLRPAAAGQPDDDVSKTLQVDNQSIREHNDVSIDHAVLPAPQSQQL AHCSTTSTTENPTAAATSLHALVYKQAEAITDSKHNRSSSNASDPTPSTNTTSVDGRP SKAVATTVEETPVGAITLSKGTTFDSSSSSSRWSVRPSSSDGTTAAQSKRPTADGSVG GGLSDVVWTRLLDFEHTPSAEFTLSQLHPSTLDIIATFPEFGQLAIVSRFTRAAAAPS VARNKDALLLQILQEYEQEQPAVRQLAVLSAVESAQEGHFAYGYAPPQPSTGMVANPP RRAYNLVAELQQHKAKFLLDEFGRVKTTAAATSTNRVSVPHVRSSGSHTPTYPDGRNQ PSYHVGGGPARGGGRSRSPVRRPPQDRSRSRSRDRRRPFAPHQPPPHHDDVRYQERYQ AHQLAPPMTSPYQQQQAPYQQQQQRYPPPHQQQPHSTYQPHHQEAPRGQSHAPPSQHE PYSRQPPTSSSTSYQQPPSRQSYQQQTPASSYQPSPEHRQYHHHQQQEQQQPRQYQQH QTYSGYQGERDHPPRQYPPPHHHHQQQHHQQAGTSPSQGGGSRPFGSSATQYLVDEFR RSEVYHRLAPSIRDALQALYAKGHVRELLNDSVLSRLVKLPEHLAVRAVENLGNTDAS HVDNIHGFFVGIISRVYERDRGPPPAASGMMQPPLGDDRYSDYSSSAPRLRESMPPPQ NHSRGQQQWSQSPVHDQLIRGLSPQVQAQLQHMAATGVMTAVDEWGEKCYEILAQLSE SLAIEVLKRFTMANLDTVRNRSGFLIGVVKRCRQEYGLQP H257_09720 MEDEPTAPLEHMQAPQQQEGPSTTSKRTLEDAIDEDEDDDDDDF DDIKVVKKPRVDEGDAVPTGDTPPAHDNTVAASNVFEGNDMDGTHPQGEVELAHGVEF EAAAQGEVGPVNDDAGQVSPTEPAFEAVVPHDDAAPPSTEFSREDDVVDDTSTDHDPL AIVPESTTTASDMDPFEPVTSDSDNHHEDEPHHGETTTTASSTGSAGDAFGPSVVGGT SPSVSSAEAIDAWSTTASEGADGPPSLLLLDDTDEGHPDVKAPDSDQILPVATLLAQV HGHPLQSTGQGDGPLNAVDAVDLRPAAAGQPDDDVSKTLQVDNQSIREHNDVSIDHAV LPAPQSQQLAHCSTTSTTENPTAAATSLHALVYKQAEAITDSKHNRSSSNASDPTPST NTTSVDGRPSKAVATTVEETPVGAITLSKGTTFDSSSSSSRWSVRPSSSDGTTAAQSK RPTADGSVGGGLSDVVWTRLLDFEHTPSAEFTLSQLHPSTLDIIATFPEFGQLAIVSR FTRAAAAPSVARNKDALLLQILQEYEQEQPAVRQLAVLSAVESAQEGHFAYGYAPPQP STGMVANPPRRAYNLVAELQQHKAKFLLDEFGRVKTTAAATSTNRVSVPHVRSSGSHT PTYPDGRNQPSYHVGGGPARGGGRSRSPVRRPPQDRSRSRSRDRRRPFAPHQPPPHHD DVRYQERYQAHQLAPPMTSPYQQQQAPYQQQQQRYPPPHQQQPHSTYQPHHQEAPRGQ SHAPPSQHEPYSRQPPTSSSTSYQQPPSRQSYQQQTPASSYQPSPEHRQYHHHQQQEQ QQPRQYQQHQTYSGYQGERDHPPRQYPPPHHHHQQQHHQQAGTSPSQGGGSRPFGSSA TQYLVDEFRRSEVYHRLAPSIRDALQALYAKGHVRELLNDSVLSRLVKLPEHLAVRAV ENLGNTDASHVDNIHGFFVGIISRVYERDRGPPPAASGMMQPPLGDDRYSDYSSSAPR LRESMPPPQNHSRGQQQWSQSPVHDQLIRGLSPQVQAQLQHMAATGVMTAVDEWGEKC YEILAQLSESLAIEVLKRFTMANLDTVRNRSGFLIGVVKRCRQEYGLQP H257_09721 MLRHKRRRLLSSDGGSRSIESGEEPLDTLHDHAVNDDAVMDDTL AAIHVLLSRYHAEFASVGLPSLVLWHQIYCLVDNRTAVDQSIQRMRTNGLILTLRISL PGGAVRRSARHRHHVNVRLHCVYAAIHQTTPCHAYLCQSASSTHKVACRRRHSLYGRR PSALSIAEPAATAYLNAGPRPHVPHPPTFVAKSERVYVCSHLVQRGFLVATTNLEVKQ FYFSLPRLGMIITGVARARSALTSLLKRQPYKQMLEVDMRKKKLTCSCLSMDFHILDM AGAALVGRVPSSQTFVLTLNIMDDDGTTR H257_09721 MLRHKRRRLLSSDGGSRSIESGEEPLDTLHDHAVNDDAVMDDTL AAIHVLLSRYHAEFASVGLPSLVLWHQIYCLVDNRTAVDQSIQRMRTNGLILTLRISL PGPHATAIMLTSDYIAFMLQYTKQHRATRIFAKVLRQLTKSPVVAATAFMDAVQALFR SRNRPPPPTSMLDLDLTHLVQRGFLVATTNLEVKQFYFSLPRLGMIITGVARARSALT SLLKRQPYKQMLEVDMRKKKLTCSCLSMDFHILDMAGAALVGRVPSSQTFVLTLNIMD DDGTTR H257_09722 MFQRTGCRSTTTFLSLLGRVRGMSTTSYDYIVLGGGSGGISSAR RAASYGANVLVIERGREQGGAGLGGTCVNVGCVPKKVMYNAAFHAEILHSAKAYAFKG VQDVGFGSFDWATMKTKRDSYVARLHGIYERNLAKDNITLVSGRASFVDNHTIAVDGA TFTAPHILVAVGGVPQLPSIPGIEHAISSDGFFGLETQPKKVAVIGAGYIAVELAGIF NALQSETVVFCRGRQVLRTFDPLVRDLVNDEMRRSGVQFVPHSGVQSIRKEPDGTLTI EATLTTEDGHSTTHHAFRGFDAIVMAVGRTPRTSGFMEHTTIIRNEKGFIEVDGQENT SVPGVYAIGDVTNTGWELTPVAIAAGRRLADRLFGGEPDACLHYHQIPTVVFSHPPIG TVGYTEPDAVAKFGPSNVKVYTSSFVNLFYSMLAPENKPKTAMKLICVGKEETVVGMH VAGMGADEMIQGFGVAVKMGAYKSDLDNIVAIHPTASEEFVTMAPWGKIQDRITLPFG TARPPPTMLE H257_09723 MPVAPPSVVPPKPAHTMSSSQSMAEYYQKSAQYAPVEVSQDRDD DTSHRSAATSMLFRLCLYGFFIELKPSEAYLNTFLTSEKGFSNQVTNDDIYPWFTYGQ MLMLVAMPLFVERFQYRTVLLIESVGFLFTRLLLLWATSLFWMQAMQVTYAVGTASKV VYLCMIYREVKQEEYHRATAVVWAASLGGQFAAGVLGQILVSFHASLWLLNYISLANV CVAFVVALYVPEHADLLLSTTSAATPATFSSHHPPSSNQAIQARRLKRARLAERARTW RWTNVLAVSWIAFKCCESLVVNYIQNQWTSFHVQDTSNGLATALYTASGAIVSWLLAC SDRRSNPKGLQWFLFCGGIVLLLLSFSQTVAPTLTSSYVSYVLYGCAYYALITTVSAE LAKQCTTNEYAKQFALVALGAAVLETVVTFLLQGTSTHTTTWWFGGITMVHCLLVVFY VLAFGRVRHDDVPTLPVESTLPLHIV H257_09724 METRHLYEVKSLRASRLNIAKRPKSAHISHRGELVLGLSPRANR ANYGVNDSPTKYHPDTRHRVVQRYCVLDHTTVSAVPLPPEEDTSMVRDSFKCKVTRAG NLLPRQPSVKTLRQQSSVKTLQQPPSIPTLDPPTIAPTSNTPTVAAEPNLIAHAQHAH TTDLDDDTVRGDEKEDQAADTAAPRHRGAWTEPPPPSKDLRINDTPNRNNDAATCVMT KPTPTASLCLSAYQARKQAEECARRLANRLSFLNMEKARAEQEAERLHIEFYREQDAK IAFERQKLERAARVDQERQRVAAKHSQAVAVQLQNRSNVQQQALNLAQQKRLAALRIK QQQKELEEDKKARDACMMQAKLKAKHNVLCQHERTKRQRQLRERQNRERLELAQSERL RKEVEREKMAKHLVAKMQAQETSLKTMLEFQEHQQRIDMQRCFDMDSYAMPDEMTPS H257_09724 METRHLYEVKSLRASRLNIAKRPKSAHISHRGELVLGLSPRANR ANYGVNDSPTKYHPDTRHRVVQRYCVLDHTTVSAVPLPPEEDTSMVRDSFKCKVTRAG NLLPRQPSVKTLRQQSSVKTLQQPPSIPTLDPPTIAPTSNTPTVAAEPNLIAHAQHAH TTDLDDDTVRGDEKEDQAADTAAPRHRGAWTEPPPPSKDLRINDTPNRNNDAATCVMT KPTPTASLCLSAYQARKQAEECARRLANRLSFLNMEKARAEQEAERLHIEFYREQDAK IAFERQKLERAARVDQERQRVAAKHSQAVAVQLQNRSNVQQQALNLAQQKRLAALRIK QQQKVYYIFVFSHIFIAFYPKFG H257_09725 MADVTVPGLRNLGNTCYFNAVLQALAASQFFQEYVAGLIDAGEA ASEQRPFAAVLQQCLSDLSPQPRKYIRSVVPRDLNCMLSEDTSMFRGMHQQDAEEGFQ FIMEKLEKEINQSKPDTCSLSTLLPARAPFTADGTSAPIHPFYGLTGNLLECNVCQKA KPISTDYFLDLKLSLCPFMDGQRPFGTLRESLLHYTSQERIEGVECSHCTLVQYVEDL DRKLDTLATTSTPVDLCGDKNDDGRHRSSSNYSVYDLYCRAQLRDMLAKRVEQSRSSG VCDIDLDDPHCWTPDQRVVWETNGVTDPLDIARTHATFLRHVELVRPPRVLLFHINRN VYVSDTVVKLDVHLAFDDRLRLTAPLVRHCEPISYALVAVVVHHGNERGGHYTCYRRV TSSHWVHISDEHVVDVSVADVRRAKAYMLLYEMMSL H257_09725 MEKLEKEINQSKPDTCSLSTLLPARAPFTADGTSAPIHPFYGLT GNLLECNVCQKAKPISTDYFLDLKLSLCPFMDGQRPFGTLRESLLHYTSQERIEGVEC SHCTLVQYVEDLDRKLDTLATTSTPVDLCGDKNDDGRHRSSSNYSVYDLYCRAQLRDM LAKRVEQSRSSGVCDIDLDDPHCWTPDQRVVWETNGVTDPLDIARTHATFLRHVELVR PPRVLLFHINRNVYVSDTVVKLDVHLAFDDRLRLTAPLVRHCEPISYALVAVVVHHGN ERGGHYTCYRRVTSSHWVHISDEHVVDVSVADVRRAKAYMLLYEMMSL H257_09725 MADVTVPGLRNLGNTCYFNAVLQALAASQFFQEYVAGLIDAGEA ASEQRPFAAVLQQCLSDLSPQPRKYIRSVVPRDLNCMLSEDTSMFRGMHQQDAEEGFQ FIMEKLEKEINQSKPDTCSLSTLLPARAPFTADGTSAPIHPFYGLTGNLLECNVCQKA KPISTDYFLDLKLSLCPFMDGQRPFGTLRESLLHYTSQERIEGVECSHCTLVQYVEDL DRKLDTLATTSTPVDLCGDKNDDGRHRSSSNYSVYDLYCRAQLRDMLAKRVEQSRSSG VCDIDLDDPHCWTPDQRVVWETNGVTDPLDIARTHATFLRHVELVRPPRVLLFHINRN VYVSDTVVKLDVHLAFDDRLRLTAPLVRHCVRLTPLHIFV H257_09725 MADVTVPGLRNLGNTCYFNAVLQALAASQFFQEYVAGLIDAGEA ASEQRPFAAVLQQCLSDLSPQPRKYIRSVVPRDLNCMLSEDTSMFRGMHQQDAEEGFQ FIMEKLEKEINQSKPDTCSLSTLLPARAPFTADGTSAPIHPFYGLTGNLLECNVCQKA KPISTDYFLDLKLSLCPFMDGQRPFGTLRESLLHYTSQERIEGVECSHCTLVQYVEDL DRKLDTLATTSTPVDLCGDKNDDGRHRSSSNYSVYDLYCRAQLRDMLAKRVEQSRSSG VCDIDLDDPHCWTPDQRVVWETNGVTDPLDIARTHATFLRHVELVRPPRVLLFHINRN VYVSDTVVKLDVHLAFDDRLRLTAPLVRHCVRLTPLHIFV H257_09726 MTANFDSDDYYENLGVQREATEVEIKAAYRKLAIKYHPDKNLLN IQATEKKFKIVGEAYNVLSDPKTRRTYDEFGKAGIESHAQPVTMDMAMEIFEEFYRFG EAMNPNAPAASKGIKRVAVGMLYAPAKGILYGGRAILGGVVVGTTAVAVGLSGMAVSI GMGVKEMGEASINCASKSSRERRRSAAQSESNRRSSSASEHSTPPPTIPAPHMAAADE QENHIPTFMGGLKKATVSAVAIPLAAVFSGGAFIFGGTLLAGGYLVGGIAGAIHNISS GVREIKAASKQQHNQSTHDCRASTPVADAASTDYHRPLHTETKS H257_09726 MTANFDSDDYYENLGVQREATEVEIKAAYRKLAIKYHPDKNLLN IQATEKKFKIVGEAYNVLSDPKTRRTYDEFGKAGIESHAQPVTMDMAMEIFEEFYRFG EAMNPNAPAASKGIKRVAVGMLYAPAKGILYGGRAILGGVVVGTTAVAVGLSGMAVSI GMGVKEMGEASINCASKSSRERRRSAAQSESNRRSSSASEHSTPPPTIPAPHMAAGRN IYIYPSCD H257_09726 MDMAMEIFEEFYRFGEAMNPNAPAASKGIKRVAVGMLYAPAKGI LYGGRAILGGVVVGTTAVAVGLSGMAVSIGMGVKEMGEASINCASKSSRERRRSAAQS ESNRRSSSASEHSTPPPTIPAPHMAAADEQENHIPTFMGGLKKATVSAVAIPLAAVFS GGAFIFGGTLLAGGYLVGGIAGAIHNISSGVREIKAASKQQHNQSTHDCRASTPVADA ASTDYHRPLHTETKS H257_09727 MVHANHCSGHHTTDNNQLSVTPSIVMSIVFGGKAIGSTTMVAFC NPTLDHTDGRRARKNAAMQSPPPEDATPHTLHWQLLVP H257_09728 MPSFVAAQASAPGKVILFGEHAVVYGIPAVAAAVSDLRVSTHVT FGGEPTVAVSLLNLTSMKDGQPLSRSWSLQAIKAAIGGASAANDTFLPRPSAAITAAL VDFLSDQHPKDANALRPALFLVLAILPELFDELSVGVQLHVTSGDFPVGAGLGSSAAF CVSVAAALLRSRHDVVPLDTINAHAFAAEVLLHDDPSGVDNTVSTYGGAILYQKQPQS QMKALQDLPTLRFLLTNTHVPRETKHLVAKVRALHTADPAFVDGRFEAIRSIIEAFQR QAETPRTFSQTEFQAMIRLNQTLLAQVGVSHPAIDTVVNLANPLLPTKLTGAGGGGCT ITFIPDDTDEGAVAALKADLAKHGFTCVETVLGGPGVKFSLATV H257_09729 MRRTKSHDVTTKFANVTFPSIQVDANLYHIKLTSTQDSIVPVSI DLYSVYSHTTWRCDVQDFADHATPPAPSAIPPRAILHALDGALCALATQVLGRKRAGG GNGFRSSIELTNLGHDLLRLTMAMAAVGSLTSSATGKKKSRTGERETGPRPCWFAFYL MPLWTTAQPPRPRQGSVLFVATTKWTRYPAPVEWDLHTIPWARFTLKKNTKAIVVLHA GHYQVTVLSMVPDTSVQMKLRLNGVTVKPAPSQYFPQYSVLTLHSRVRSHGPVAMYLQ VLTDQVFI H257_09730 MFLLGGCDLILRPGPCPFGTPSNRLNDVVPSQASPSTTKPAGQS TLPLRPASTASDALPSGRLSSIARRWHLDDVAWSRDLLDGKAYPTSHGLSPPTLTSSF SQFYAK H257_09731 MQTLNYNFLTLQACMRETICAQGNNNYKIPHGGKAKLLARGLLP HVLAADNEAVECGFQQLDESDVSAKFDQLAVEVSEAMEMSEFSSQLEKLIVNDELKED ADAIRWAANTQALASHSRLAKTIDARLETVIRQVCTHLRVSQSI H257_09732 MARSKKGASKHDEKSNAEQHERLLDEPTYHDMDTPVSADAGFVT RKVKRNGAWCSFVWLGLIAVGVVAIVAILKVSKQGDEFPTVKPWLPSQYEASITFRMP YMNLVEPVFVHTDEVLGAQKLSFYGGTQEYILNVTGPSYQIIPVIDSIKCFTDGPSSL QHIFPRLTAFEPMHGKTVVNSIECFTWKYDVASTPDNSSYIGTYKLYVNAVTNALVQF HFVGHNVVLGGSHTDEYIIDYNYIREGPVAPQTFHYRVDTLNCTGSSDETINVPTSLS SPWLDLHMRMPEGHDARMEAFDQFALQHGKSFDSSSETMHRASVFHANMQYINSMNRK GLSYSLAMNHLGDRTHDEMKAMFGRKSLKRAADNEAGGVYELKHVTLPDEWDWRPQGG VTPVKDQGNCGSCWTFGTTGALEGQWYRKTNHTVSFSQQNLLDCSWDEGNNACNGGLD YQAYRWIIKHGGLEPEATYGSYKNLPGFCHFNASNALARVTSFVNISGVNKLNEALVT VGPLSVSIDATPPSFYFYAGGYYNDLNCKSGIDDLDHSVVAVGYTKHLGKTYTLVKNS WSTHWGDNGYIKIAQEDNICGVATSPTYPVLAYTGVAAKHNLIRIPLVNYDQLQFYGT IYVGSPPQPFKVIFDTGSSDIWVPSASCAACSGAQRYHANASSSFTTDGQSFTVSYGS GSVSGAVFDDVITMGTEDVDGVSGGGGIAVRCRLGQIESEDIKIQQFESEGIVGLGFA ALASITTSPFVHDALGLGVFSLYISPLPNDNAPPSQLILGGTTQPRDRSIHELNDHSF DAKYDKVGVDPNLAGPNASWHYFPLLDPQHYDVEGFWAIEMTGMEVAATRINTDLAPH VAVIDSGTSLMLLPVAVFLDLMEHLCRHLDPQAPCDTPLIHGIQCTDCTHAFFPPLSL RFTAHGPLVTLQATDYVRCEYSTCSPQIDVSSTTFYVLGDIFLRAYYTVFDVHHARVG FACAGQHLCDGGVKPPLYIEPPVSTLETISRLYAHGFALVATVFAIKWMWQEIRHGQR MWSAKVTAQVV H257_09733 MENPQKKLGLKMVPTDSQSQTRMVVVMAIRSADWFVKLPLKSKI GLPVAYCSICNGHIMTSPSFLDAALNAFMRVPQVAMNGARVPHDMVHRHATSSSKYPT ALWMRQVPVPQNPTLSPLRTSTL H257_09734 MTTSTCSRSRSIKTFAEKALDMFMKAPQVSVRGARIPSDMSARA STSKSWAHLSEFPVIRRSSAHKVI H257_09735 MHGEHVHQSFSRTTTKPRASTGTVSQNWMPPLLSAQHPMVTSAF PPACGMIYIVLSLALSAYYAVLVTQHLANDLWWPNFNATGAHSYLVNMINMELLHAIR VGGVDFAAFDPALALPRDYSRVDTANPISTTYNRALLYSQRFDFDNIIPTLRVPFAGI VVRFTQYCWVDFNQTWETAHTDARQARCNQRYASNGAVYWETSLRNVKWAAFQRAFGG AEGAFTITIANAILKHPLGSSYLKYLSQCNGNVPVADEAAYWRAHNISFFQLGFENYF SVGIVDTVNVVNALGLQQSLTIKQVDAKTRGSGWTTMLMSWGVGNDLAILSSNGHSMI RGDPANLQFSPACTSQAMVDNGECAHTIDEMYGYDDSYPVVNATHASIGPYGSVDLML MALPIEVSAAVTSWQALVTAEILRGGAFYSAMQDQALNDPAWLDPVPREWTNPNWLYM GGDPTCPTRSPVPFVQSSWAFDVSCDLQSPLELPVSKLQLLFAVASFSLSHEMDEMTA GQAATLCGLCTSARSGTCEKLVLAAVKAFHQLCRIDQPTSCHDLVPAMSSAQSAVSSS KVGLVQFALDTGNDGSPFLLQQVLLSGTTSDENLWDFFGWLYVYEWASATREVVSFQG DAGVHTLMSDAYEPLTSQVKALEVPVSACQYLRAITIYVTFGLSVVGTLVLGFAAAQH LHVKGANLLCFNRVAGSVWIGRPFQLVRGMTALIVLATSPLAFDQSHGYAKFWFRPRM WFDVCVLAGEATWITYVLQDMLLLFLGNVFRQSYAPVVGTAVVWLAHVLVEVVSPFQA SMRLDRQCTSSDLEVNIRCSSGVVHVGSLDRVNLLLAIQVSVVLGTFALFKAWHWLRQ TPHRHRKDSHLLISGVALTFLHLGDVNADGDDEALLIDRATCAMCGLLCYRDYVFDIK LWILVHDSHANARSAVDNMKQFPSPNLALPYMDMSTTVDVVAVQSARHHRRYMAIGLA VAGCVYMALSVAGSVSFLLVSHVSMANDFWWGSFNATGAHAFISTWYNGLMATAISNA PLGQVHLNDIQFIDPSRTYAANATTTAVPALYAAMVVHESAADLEKIVTGLRQMDGCS LPWIATQYCWVDFGKSWEMGNSLARQRRCESQYSDNGAVYLESVLRNAQWKEFTSCWG QSLEIALARDLRQTMQGASWWSSVQNMDTTVQDEVQYWQTQGGIQYFDTAWQNYKTIG IVESYGIQNAFGLVYSMTLKSTNGSFRLALETSRKAYWGLAADLWAVTSSTLPIMSNR SLIRHSANFAFRNTSVASVMARNRSLPWPLSGQFATFDAVVGPFGSVDLKFMPRPTSL VRFVQQAKDVVYTAIRLDNDTNARYIGLAIPGQFGAVVPSWDGLSCAGGNILCPEAAA DTACSSGLGQFVGVNTVCGLLQGEVLTPTPLQSVLAVIAAGLARSTITTTDLTKPCAY IAPSSLCKRLLYAPIMFALNSSGVASALPTLLALAQVASADVRAANVSIVQWTRPLQS RGPRTLSSISFFAANTTVFHWHTWHFILEWATLSREVVSFQGDVGTVTVLTTYVAAVS GAFNPLEVPVNVAYYMRITCMYTTGVIGIVGAFAFLYALVSKFHVEGYNMFKINRVAG VVWVGRPLLFLRSMTAICLLSTQSLELVKDRNLTYFANVQRADMTRIFVTLLSAGEVS WLVFVLNDIGTVITKQYTQSYSSKCGVVVWLVSAALSFGQPIVNTADVGRTCDVDAVD WQLVCSTVHVYLGVVHIGSPCRFWVLIGVCVGATVVCFVIDYIRFPHLEGLPRSSLFL SSSAKFLFSMDGWTEFEVYHLDMSSAAMNGMLAVPCPHGFYIFDVKTWKLMYIPAQHG IRTELLHRKGRSYLRNAIPLAE H257_09735 MHGEHVHQSFSRTTTKPRASTGTVSQNWMPPLLSAQHPMVTSAF PPACGMIYIVLSLALSAYYAVLVTQHLANDLWWPNFNATGAHSYLVNMINMELLHAIR VGGVDFAAFDPALALPRDYSRVDTANPISTTYNRALLYSQRFDFDNIIPTLRVPFAGI VVRFTQYCWVDFNQTWETAHTDARQARCNQRYASNGAVYWETSLRNVKWAAFQRAFGG AEGAFTITIANAILKHPLGSSYLKYLSQCNGNVPVADEAAYWRAHNISFFQLGFENYF SVGIVDTVNVVNALGLQQSLTIKQVDAKTRGSGWTTMLMSWGVGNDLAILSSNGHSMI RGDPANLQFSPACTSQAMVDNGECAHTIDEMYGYDDSYPVVNATHASIGPYGSVDLML MALPIEVSAAVTSWQALVTAEILRGGAFYSAMQDQALNDPAWLDPVPREWTNPNWLYM GGDPTCPTRSPVPFVQSSWAFDVSCDLQSPLELPVSKLQLLFAVASFSLSHEMDEMTA GQAATLCGLCTSARSGTCEKLVLAAVKAFHQLCRIDQPTSCHDLVPAMSSAQSAVSSS KVGLVQFALDTGNDGSPFLLQQVLLSGTTSDENLWDFFGWLYVYEWASATREVVSFQG DAGVHTLMSDAYEPLTSQVKALEVPVSACQYLRAITIYVTFGLSVVGTLVLGFAAAQH LHVKGANLLCFNRVAGSVWIGRPFQLVRGMTALIVLATSPLAFDQSHGYAKFWFRPRM WFDVCVLAGEATWITYVLQDMLLLFLGNVFRQSYAPVVGTAVVWLAHVLVEVVSPFQA SMRLDRQCTSSDLEVNIRCSSGVVHVGSLDRVNLLLAIQVSVVLGTFALFKAWHWLRQ TPHRHRKDSHLLISGVALTFLHLGDVNADGDDEALLIDRATCAMCGLLCYRDYVFDIK LWILVHDSHANARSAVDNMKQFPSPNLALPYMDMSTTVDVVAVQSARHHRRYMAIGLA VAGCVYMALSVAGSVSFLLVSHVSMANDFWWGSFNATGAHAFISTWYNGLMATAISNA PLGQVHLNDIQFIDPSRTYAANATTTAVPALYAAMVVHESAADLEKIVTGLRQMDGCS LPWIATQYCWVDFGKSWEMGNSLARQRRCESQYSDNGAVYLESVLRNAQWKEFTSCWG QSLEIALARDLRQTMQGASWWSSVQNMDTTVQDEVQYWQTQGGIQYFDTAWQNYKTIG IVESYGIQNAFGLVYSMTLKSTNGSFRLALETSRKAYWGLAADLWAVTSSTLPIMSNR SLIRHSANFAFRNTSVASVMARNRSLPWPLSGQFATFDAVVGPFGSVDLKFMPRPTSL VRFVQQAKDVVYTAIRLDNDTNARYIGLAIPGQFGAVVPSWDGLSCAGGNILCPEAAA DTACSSGLGQFVGVNTVCGLLQGEVLTPTPLQSVLAVIAAGLARSTITTTDLTKPCAY IAPSSLCKRLLYAPIMFALNSSGVASALPTLLALAQVASADVRAANVSIVQWTRPLQS RGPRTLSSISFFAANTTVFHWHTWHFILEWATLSREVVSFQGDVGTVTVLTTYVAAVS GAFNPLEVPVNVAYYMRITCMYTTGVIGIVGAFAFLYALVSKFHVEGYNMFKINRVAG VVWVGRPLLFLRSMTAICLLSTQSLELVKDRNLTYFANVQRADMTRIFVTLLSAGEVS WLVFVLNDIGTVITKQYTQSYSSKCGVVVWLVSAALSFGQPIVNTADVGRTCDVDAVD WQLVCSTGVVHIGSPCRFWVLIGVCVGATVVCFVIDYIRFPHLEGLPRSSLFLSSSAK FLFSMDGWTEFEVYHLDMSSAAMNGMLAVPCPHGFYIFDVKTWKLMYIPAQHGIRTEL LHRKGRSYLRNAIPLAE H257_09735 MHGEHVHQSFSRTTTKPRASTGTVSQNWMPPLLSAQHPMVTSAF PPACGMIYIVLSLALSAYYAVLVTQHLANDLWWPNFNATGAHSYLVNMINMELLHAIR VGGVDFAAFDPALALPRDYSRVDTANPISTTYNRALLYSQRFDFDNIIPTLRVPFAGI VVRFTQYCWVDFNQTWETAHTDARQARCNQRYASNGAVYWETSLRNVKWAAFQRAFGG AEGAFTITIANAILKHPLGSSYLKYLSQCNGNVPVADEAAYWRAHNISFFQLGFENYF SVGIVDTVNVVNALGLQQSLTIKQVDAKTRGSGWTTMLMSWGVGNDLAILSSNGHSMI RGDPANLQFSPACTSQAMVDNGECAHTIDEMYGYDDSYPVVNATHASIGPYGSVDLML MALPIEVSAAVTSWQALVTAEILRGGAFYSAMQDQALNDPAWLDPVPREWTNPNWLYM GGDPTCPTRSPVPFVQSSWAFDVSCDLQSPLELPVSKLQLLFAVASFSLSHEMDEMTA GQAATLCGLCTSARSGTCEKLVLAAVKAFHQLCRIDQPTSCHDLVPAMSSAQSAVSSS KVGLVQFALDTGNDGSPFLLQQVLLSGTTSDENLWDFFGWLYVYEWASATREVVSFQG DAGVHTLMSDAYEPLTSQVKALEVPVSACQYLRAITIYVTFGLSVVGTLVLGFAAAQH LHVKGANLLCFNRVAGSVWIGRPFQLVRGMTALIVLATSPLAFDQSHGYAKFWFRPRM WFDVCVLAGEATWITYVLQDMLLLFLGNVFRQSYAPVVGTAVVWLAHVLVEVVSPFQA SMRLDRQCTSSDLEVNIRCSSGVVHVGSLDRVNLLLAIQVSVVLGTFALFKAWHWLRQ TPHRHRKDSHLLISGVALTFLHLGDVNADGDDEALLIDRATCAMCGLLCYRDYVFDIK LWILVHDSHANARSAVDNMKQFPSPNLALPYMDMSTTVDVVAVQSARHHRRYMAIGLA VAGCVYMALSVAGSVSFLLVSHVSMANDFWWGSFNATGAHAFISTWYNGLMATAISNA PLGQVHLNDIQFIDPSRTYAANATTTAVPALYAAMVVHESAADLEKIVTGLRQMDGCS LPWIATQYCWVDFGKSWEMGNSLARQRRCESQYSDNGAVYLESVLRNAQWKEFTSCWG QSLEIALARDLRQTMQGASWWSSVQNMDTTVQDEVQYWQTQGGIQYFDTAWQNYKTIG IVESYGIQNAFGLVYSMTLKSTNGSFRLALETSRKAYWGLAADLWAVTSSTLPIMSNR SLIRHSANFAFRNTSVASVMARNRSLPWPLSGQFATFDAVVGPFGSVDLKFMPRPTSL VRFVQQAKDVVYTAIRLDNDTNARYIGLAIPGQFGAVVPSWDGLSCAGGNILCPEAAA DTACSSGLGQFVGVNTVCGLLQGEVLTPTPLQSVLAVIAAGLARSTITTTDLTKPCAY IAPSSLCKRLLYAPIMFALNSSGVASALPTLLALAQVASADVRAANVSIVQWTRPLQS RGPRTLSSISFFAANTTVFHWHTWHFILEWATLSREVVSFQGDVGTVTVLTTYVAAVS GAFNPLEVPVNVAYYMRITCMYTTGVIGIVGAFAFLYALVSKFHVEGYNMFKINRVAG VVWVGRPLLFLRSMTAICLLSTQSLELVKDRNLTYFANVQRADMTRIFVTLLSAGEVS WLVFVLNDIGTVITKQYTQSYSSKCGVVVWLVSAALSFGQPIVNTADVGRTCDVDAVD WQLVCSTASTCVSRRRAHWQPLPVLGSHWGVCGGDGRLFRDRLHSVSPPRGAAAVVAV SVVIGQVPLFYGRVDRV H257_09736 MQSFGGDDDVDHDDLAAGPAPMYLELGTTPLDMMQIPMPLDIPS PRGASAAVPTSASLAGGELEFAHVMHTDDLIDYSSSSHIRQRGVRRMNKVTPVARYFP LVPPPSYTPPGNNIKSIQQQLALRLAFLRDPHPDREALIDMGIRFGLPSHEITTWFKS ERHRFKKKGGIVLAGNASASAWHLAMQDLPLAPTSHGPQSTTKTVDMEKRAIRAADTR RALTPYQLKRSRAWAEEYALLPDANERAYRVAQLVDRQDARLKREFRAAVVNEPSLGL RCDQEFKARKSCADPSCGRDVVAERADSCWDFMRARFGATMFSTTEKYVDNEGNILLD AGLLSGLEMMFMRHRDYFMALAHRLNIQEGFAEVSQKRQRLHTSTPPPPSISRVPPPT TPDDATVVHL H257_09737 MATSTATKARREGEKVWCPDPRNVWQLGSIVEDDGDSLFVLTPD DNEEHKFNVSQTHPFDPSHSMLLPNVSDMDNLHEAPLLDLLRRRYMEDLIYTFTGDIL ISINPYKNIPLLYNFPDIGSLSKQENPTPHVYVTADGAYRALQSTGKCQSILVSGESG AGKTEAAKYIMRYLANISQSSKSSHVNGGGSVEQCVLQSNPLLEAFGNAKTIRNDNSS RFGKFIKINYDRDGKICGASTSHFLLEKSRIVGCSENERNYHIFYQICSGLTDAERAA LHLERADDYVYLNQGHCIQCPEVNDKKCFKELVDAMDVMGISADLKHNIFTLVASVLH LGNLNFVENAKNEATCPDKSQVAVLASLLEVTPRELEFALCMRTVSAGARGSVAEICL SGVDAGKSRNGLAKAIYSALFDWLVDRINVATAQMTGAVPMNDVGASRFIGILDIFGF EILAVNSFEQLCINYTNEMLQQQFNQHVFVYEQDVYVEEGIDWSKLSFQDNIPCLELI EKRPLGILILLDEQALMGRRASDDNFIQKLHQTHEKHANYIRPRFGNEDFIIKHYAGQ VTYKVAGFLDKNNDSLHNDLLNLTNSSTMAFLRGLFASSTPPGDQKLKRASMTKMTGT MTVGRKFREQMTELMQQLNTTTPAFVRCVKPNNVRFPQGWNAELILNQLIYLGVMETV RIRRSGFPVRRTFNEFYLKYKLLYNVYAAKTKARPTTVGDKDKCELILTHAVERDNWQ LGNKKVFMRDSQLRLLDAAIRKVRVEAAITAQAYVRRFLQRKQYLRVRAAIIQVQANV RMFVARTKFLRLRHRITSFNACVRRWLARRRYLRMKRAAICIQAGERGRKARMLAKYL RAAPKAQTVIAKHFRGYLARKYVAKLKQSGFIVKASVQAYVTRQRFLRLRHAAIAISK SAKRFLAVQRYRRQRQAAIIIHAVGRGFLARLKYGKNARLRAHIRSNAQTAISRMVRG YLGRLHFRAALRCIVKVQARWRANRVRTLYIQGRQATIASQAMIRRSLARRKFLREKK MATRLCAFGRMIIARRAYLKTKRQIVLVQSLVRRYLQRKHYRHIMRQFVLIQAMWRRH QCVQTYQRQRWAIVQIQSLGKMIPQRRRFHAARQAATAMTACVRMFLARRRYLRARAG FVRLQARWRSVVARRQYMSHIGLIVKVQSAIRAKLARKQMQTQRRGLLRVLALMRKYL ARIRLHKKIDQMFAAAHAFDLSTILAMAQDKEFPNATMVRVRDKHNHMRSLVHIAALN GDLNLARFVLSEIPGMEDQVIGRDNLGNTPLHYAARLVHLDMVKYLAKIVNRINPSQD SAKHGTSRKSASPANSNNSPVNQGSDMLRKDSSFSQTAPRSPVGTPLWSPSMGGGANN TPGGKTKRRALVSSASAMPPNPAVLTTVELPPDTTKVLKAGPLRTASGAKMASKRHVV LDEYVLALYKSSTDRIPVKLIELQDVTLRRATTIENCFDILSPRLKCAKDPYGIVSFV ADTEAEIHDWMKAIREVPMVRIGTLVPPNPSMICIDWSNRKEWINAKNNQHESALHLA VQNQEDEGFEAVKTAVWLIENDADINAKDHAGNTPLHYAVHEERDDLVESLLKKGALV TVTNNAGQTPLDMADEQSTKDLLAPGAVVSVPTDRFNLLARPKGPHKVKNATYVSVLL SAVAIAGAVMQTPHFRIYVMDVRGNVVDTPQHTPDALIQDGPSLWFGNTWHLQVPLEH MTEGCVAVFELLRYDYHTEKPDVFCWTFFRLDLSKISSAPLTFEMYAPPVNPSSQILA KMLGDSFFQADLSISL H257_09738 MVNLPASTTQHMADFSTCLVDHIMTASHHNTPTYEKDNAATTAV LHIKEWKYARAATGSYVEFTVVINETRAVTSPHRNIRVIQKRYAQFAALHEALIDLGF ALPKMPTGDLWTNVLIKLTPHAVLDRRRGELQNVLTCISQSQQMQSTAPFREFVATNT SAMNYTSLRDAQFTPSHQITRQETK H257_09739 MTIVRILVYGIIAGCVDLAESKCPTHYAPYKAVVTVSKCTSKDV AFCIVDGQYKQLPLAATDTFSFNGQNVRVGQPFDGLVAELPAAAVSADFTYASVDVGD LSANTKLKSLSFYRTNRANLTSAKLPPSLTTLILTSSVGLTQLPSNVDYSKLMTFAAG NELTRVDNLNVPNVQDLSFTANSRLTTITNLKVTSKLSTFQRIHFLSGTDCKACPLTI FTVDETSYATLAALSPANFLVKSLDVSATCKAPGVVKPLQARYTVCVQAPPMTTATAV TTTVAPTVLSTVASSTTAINNRDSSINHQRDDRQLYLDHSSKLSARELEDLKGPFSDE EFESLQALVAEAHANKAVFLDRFSLGPLHGSSDDVLNAFGEVLYSSFGRLAADESAES ASPDNDQLSIVHVAKAAAMCIRSPSSSTLRALVAIFSPSLELSPTQLHQLFMACFLMA EDTNPSSRPMGSKDVHEYTPVAAAMADAFQLHSSHITPSTLAAWAPTHAPLLHTVFAS WMSFKCLGPRSKVSYVAPRLSHPSDIMTRGEELALSLQSVQLQQNWDRLYTSTEDGLS FNRLAYHLLGYSGPTLLVITDSNGATFGAYADTPWKESTKFFGGPGCFLFRTSPTFLL CPATTGAASNYMYYNTKGVVLPRGLGFGGTTSKCRLFLDDELDNCTSALKCASYEPGA VAMKGSFHIETLEVWGCGGDACMAAQKGYRADTAELINRARKVDKAQFVGNAFDREMF LGKTFGSGADAARVADDEH H257_09740 MFGGQQQRTGFGFGAAASAPAFGSQPSTGGFGGFGTAATAPNAS PFGAPAAAASPFGGGANTTPAFGSSFGAPSATTSAFGAPASGTPVGFGGFGSTTTTTS FGAPAQPAASPFGAPAAQPAASPFGGGMFGGAAAAKPSGFGGFGAASPAATPSAFGTT TSGFGAPATNAFGGQSTLGGGGFGGTAFGAPAAAPASGFGSNPFGQPTPATTGAFGAP AAQTGFGGFGAAPATGQALVGTGPPASYKPTSEQETEKGKMTTVHFQSISKMPEYQHK SAEELRWEDYLKRTDPAAAQAQAALVPNTGGAAPAATNMFGQPSTTAASAFGKPPLGG GFGQAAAPATGGFGGGFGAPAAPSAFGGGGGFGSSNTSFGAPAAAPTTTSFSFGNNAA AGTGTGGGFGGFGATPSNAFGTPSTTAASTTTGGGFGGFGQPAAPATTSAFGAPQATT TTGGFGGFGAKPATTSGAFGTTTGGFGGFGSAPAATPATTTTGGGFGGGFGSTPSSGF GGGFGKPAAAAPSAFGSSFGSTPAAATGSSAFGGFGSTSNAFSLNTSAQPGGAAASSA FNFGKPASTATPSLFGSAATSSFMPFGSSSSSAAPSSFGTTAANTATNAGMFGGGSSF GGGGFGAPGGTTTAQQQQQPTTLFAGHDTNPYGTGSYGAGLIEKQIQTTLTLPVPTSG AASSQSSLFPASLSVYGGTAATRDDRPVKPITTAAGLRFHPSPASTAAATRAALSSLE TPTADSTTTTSSSSSSFATSKFKSLATKQLTINTPPPKLTRPTDKPQVIPEAITLTFV VSSDSSSSPSRPIRWTGDADTTLDAVWPVVASQVKPAKLVRWRQSDGAPLSLDATVGS VDTSAPIEVDVVDTSAGGPHVPAAASSSDLSFDRFYEKEVEKESACHAVNPLAPVLTK EGYYTLPDYDTLCTLSTGDLKAVDHFVVGCKGMGCVQWYGSTDVTGLNLDELVLFAPK EVIVYPNEDDKHPLGEGLNKPALVELLHIYPPDDPAKRAAYVNRVKARTDHMDATYVD YQPDAGVWKFKVEHFSRYGFDDDEDDENSHHMTTENPPNTLQTMAAKLKLNPARLHQL QALYLKQTIPHAAPVSMTTDMQEEDIGKSPSIFGSFPLSERPAASTSLSSATHPTRLV VVEPVTPPPPAPAAPRRYAVPPPSRQQGSPTLALWNGPNTSTLDMGISFGRSFRGASF GPSGQLVVVTRHRHVRVYAHPIATPATADSLPLLAVHQSLSKTNDYHVTLPSDLSAHV HEYADNAVAATSMWQLVVALYGLEPPRPDDDRGGRSTRGHPSEHPPLSPSQRDEFISR WFERAIRRRHHPLPPSQSKPTSEKTVLQALLQHNVVAAAQRAMAQGNAHLAMLVAQAS SYNGSSFRQQLESQLSQWEDQAASRHVDKSLLEVYSILAGNVGVVTKRATSPSCSMDW IQMLALVLWYHKGPTSLPNALGVYHSFVQQGWAKPAVDTATMKPDVLFELMQLYCDAK TSLTSVLSALPDDIAWHLNALLSSVPSQSLRLSPKAHTLLTRHYLSHLVADDQHISHA LYVAMTLENDVERQATARAILERHITASTPLEPLEAIVPSTWIQHALALHALAEHQYQ AAIEHYLRAGDWSRAHDVLIEHVVFPALFRQDTGGVLEVLEGYLEPHSFEIAHWTKYG QVVLSYLRLRNSREGATVEDVVALGDQLLLWQANPLHVLSDNPTEAAAVARACLSSML TYTTEVALLLHPPPSLSADSGRHGVWLLDRLQAFVQPDCFGEAFRAKLLVRACATFD H257_09741 MSSPPSNATTTPSFHASSPARPTRFREDLQRSTTQVVDNAVQWA SFFQAICPVVLSANPVHLHVPDTVLLTPKGAPSIWYHSSASSGGVLKRKQPMVGGACD RFSLVLTTSLKHMTANAILGAFVGPRADLVGPTDAVAVVRFGFSSRLLSRADFSTLCD QMNCHKLTPMRGADGLSLPSVPFCLQRYICPQDDKRYIVSFSFHPPCLGDSKPAMCDV FVAPYSKRYHLGKANVVTHGEVHEKSVQPPPQFDDRKASYDFHVARGGSDAKLVSPVS RMKHLTVMLAHHINTHHPTNPVQGIVCEFIVGACDEVIYMTAVLGVSWQHQDVPSWEK LADVDPESHLICQHHARLRAASATPPASPRPTPPNTAPPALLPSTQTPRFPSVPNVQV SPAEVWTCGLVAADRSPRYKNASPSKFYRDGKFVTKLSALQCESSCRLHMPMHRACRP ALLVDLARQVEELREDLVEQTERCIQAEEHAVACVRETCVATRHREAVDARMVQLMAE QARQKERWEGRIMQAEECAVHVHELFSHQARQVARLEARNSAHDHQLQRQVADAHIEM DKLRRQDAVWASQVAARDSEIARLINEQDKTRQQAIVDTLQREGSQAHINSLRAQISL LKREKEVLRKESTRYMAERDDLLRVLPVVTSIADKRAVKPVKVNVADLFEPGDNAKEI NMLQLMLSSHAKALKGAFQGLTLQAAGTTSTGAKSNVLPVATFVGFATACGFMQTLSM DQLQSMVHKVTKDDRKAGSPDDKAAGLTYAQFCECLVRLAHILYKTELPQLTKRFAYL IENDVVGFEKSKLDSEHSKGEADNGGG H257_09741 MSSPPSNATTTPSFHASSPARPTRFREDLQRSTTQVVDNAVQWA SFFQAICPVVLSANPVHLHVPDTVLLTPKGAPSIWYHSSASSGGVLKRKQPMHMTANA ILGAFVGPRADLVGPTDAVAVVRFGFSSRLLSRADFSTLCDQMNCHKLTPMRGADGLS LPSVPFCLQRYICPQDDKRYIVSFSFHPPCLGDSKPAMCDVFVAPYSKRYHLGKANVV THGEVHEKSVQPPPQFDDRKASYDFHVARGGSDAKLVSPVSRMKHLTVMLAHHINTHH PTNPVQGIVCEFIVGACDEVIYMTAVLGVSWQHQDVPSWEKLADVDPESHLICQHHAR LRAASATPPASPRPTPPNTAPPALLPSTQTPRFPSVPNVQVSPAEVWTCGLVAADRSP RYKNASPSKFYRDGKFVTKLSALQCESSCRLHMPMHRACRPALLVDLARQVEELREDL VEQTERCIQAEEHAVACVRETCVATRHREAVDARMVQLMAEQARQKERWEGRIMQAEE CAVHVHELFSHQARQVARLEARNSAHDHQLQRQVADAHIEMDKLRRQDAVWASQVAAR DSEIARLINEQDKTRQQAIVDTLQREGSQAHINSLRAQISLLKREKEVLRKESTRYMA ERDDLLRVLPVVTSIADKRAVKPVKVNVADLFEPGDNAKEINMLQLMLSSHAKALKGA FQGLTLQAAGTTSTGAKSNVLPVATFVGFATACGFMQTLSMDQLQSMVHKVTKDDRKA GSPDDKAAGLTYAQFCECLVRLAHILYKTELPQLTKRFAYLIENDVVGFEKSKLDSEH SKGEADNGGG H257_09741 MSSPPSNATTTPSFHASSPARPTRFREDLQRSTTQVVDNAVQWA SFFQAICPVVLSANPVHLHVPDTVLLTPKGAPSIWYHSSASSGGVLKRKQPMHMTANA ILGAFVGPRADLVGPTDAVAVVRFGFSSRLLSRADFSTLCDQMNCHKLTPMRGADGLS LPSVPFCLQRYICPQDDKRYIVSFSFHPPCLGDSKPAMCDVFVAPYSKRYHLGKANVV THGEVHEKSVQPPPQFDDRKASYDFHVARGGSDAKLVSPVSRMKHLTVMLAHHINTHH PTNPVQGIVCEFIVGACDEVIYMTAVLGVSWQHQDVPSWEKLADVDPESHLICQHHAR LRAASATPPASPRPTPPNTAPPALLPSTQTPRFPSVPNVQVSPAEVWTCGLVAADRSP RYKNASPSKFYRDGKFVTKLSALQCESSCRLHMPMHRACRPALLVDLARQVEELREDL VEQTERCIQAEEHAVACVRETCVATRHREAVDARMVQLMAEQARQKERWEGRIMQAEE CAVHVHELFSHQARQVARLEARNSAHDHQLQRQVADAHIEMDKLRRQDAVWASQVAAR DSEIARLINEQDKTRQQAIVDTLQREGSQAHINSLRAQISLLKREKEVLRKESTRYMA ERDDLLRVLPVVTSIADKRAVKPVKVNVADLFEPGDNAKEINMLQLMLSSHAKALKGA FQGLTLQAAGTTSTGAKSNVLPVATFVGFATFVSRLCM H257_09741 MSSPPSNATTTPSFHASSPARPTRFREDLQRSTTQVVDNAVQWA SFFQAICPVVLSANPVHLHVPDTVLLTPKGAPSIWYHSSASSGGVLKRKQPMHMTANA ILGAFVGPRADLVGPTDAVAVVRFGFSSRLLSRADFSTLCDQMNCHKLTPMRGADGLS LPSVPFCLQRYICPQDDKRYIVSFSFHPPCLGDSKPAMCDVFVAPYSKRYHLGKANVV THGEVHEKSVQPPPQFDDRKASYDFHVARGGSDAKLVSPVSRMKHLTVMLAHHINTHH PTNPVQGIVCEFIVGACDEVIYMTAVLGVSWQHQDVPSWEKLADVDPESHLICQHHAR LRAASATPPASPRPTPPNTAPPALLPSTQTPRFPSVPNVQVSPAEVWTCGLVAADRSP RYKNASPSKFYRDGKFVTKLSALQCESSCRLHMPMHRACRPALLVDLARQVEELREDL VEQTERCIQAEEHAVACVRETCVATRHREAVDARMVQLMAEQARQKERWEGRIMQAEE CAVHVHELFSHQARQVARLEARNSAHDHQLQRQVADAHIEMDKLRRQDAVWASQVAAR DSEIARLINEQDKTRQQAIVDTLQREGSQAHINSLRAQVLTR H257_09741 MHMTANAILGAFVGPRADLVGPTDAVAVVRFGFSSRLLSRADFS TLCDQMNCHKLTPMRGADGLSLPSVPFCLQRYICPQDDKRYIVSFSFHPPCLGDSKPA MCDVFVAPYSKRYHLGKANVVTHGEVHEKSVQPPPQFDDRKASYDFHVARGGSDAKLV SPVSRMKHLTVMLAHHINTHHPTNPVQGIVCEFIVGACDEVIYMTAVLGVSWQHQDVP SWEKLADVDPESHLICQHHARLRAASATPPASPRPTPPNTAPPALLPSTQTPRFPSVP NVQVSPAEVWTCGLVAADRSPRYKNASPSKFYRDGKFVTKLSALQCESSCRLHMPMHR ACRPALLVDLARQVEELREDLVEQTERCIQAEEHAVACVRETCVATRHREAVDARMVQ LMAEQARQKERWEGRIMQAEECAVHVHELFSHQARQVARLEARNSAHDHQLQRQVADA HIEMDKLRRQDAVWASQVAARDSEIARLINEQDKTRQQAIVDTLQREGSQAHINSLRA QISLLKREKEVLRKESTRYMAERDDLLRVLPVVTSIADKRAVKPVKVNVADLFEPGDN AKEINMLQLMLSSHAKALKGAFQGLTLQAAGTTSTGAKSNVLPVATFVGFATACGFMQ TLSMDQLQSMVHKVTKDDRKAGSPDDKAAGLTYAQFCECLVRLAHILYKTELPQLTKR FAYLIENDVVGFEKSKLDSEHSKGEADNGGG H257_09742 MADPNGDVAATVATVDDVDMEVGTPAGIAERAQRSINPPGVPPS FRRAGRSTNIARTIMSRELGHGRRYAFHDEAMWIENESATKHVCEYFDMPSTTRSAIS IAFSPDGKTFASTHGDHTVKIVCFATGRILQTLVGHPRTPWSVKFHPTNPRYVASGCL GYQIRFWDVVTGKCLYEATLRHAIISVSFHPCGTVLGIASGTCVYTWDYQQHSIPRIA MFSNQTLRSVSFLPDPTKILVGEANEKYTRPLGTVPSDLTVTLTLWEFDLAWSVASEP STSKAMYSPHVLLTHALLYNDGGFDVSKCGQYLAVCTDFSLWQAEQDIPLAYPDSSTQ NSDAEGNTPPSGFPHVSSNPLPSRSVYFVPDWPSLNTTAPNLPPRRRALVTDLPPNDA AARRIRQRLVEVPPPPPPSLTSLSHARHAPTSNHPLMPAVVGTADGTPQAAAPCRMAQ LRPNIASSFNRTSNDQRLARGRLAVEHQSTWLALLSLAPDKTLGTVVQTALLAETAAG GVTSVKFSPSGAYVLLGYGVRDRIQRINQFPLHRVTRMYRWEDMSLVSHVESDADDVN IALFHPLPGGGFLYGTKQGRVRLCRPWRGAFDDPRNENTLKESLDLHALFATSPVSHF GQSRGH H257_09743 MFSYLSEKLNVKALGEMIAPTLTPEQQLHQAIEDNLSDKVRHLL DDVKVSPNARTPEGSFPVHTAAYNGRVDILLLLIERGADISALGPRDNTPLHLACAQG HLEMAKLLVAKGANVAQRNKSLKTSYDVATGDTLRQWLLPLQFQHEDPNDRAAAIQQA QNMGLSGFHPSNVAVAPPPPPPTSGAYYGAPPANAQYDPHAALYAPPTHSAIPRHNPS AFTARRDPSVFRPIQADGFGSSVGNAELTAKFGNTVSVAPTTTAPPPPPPGAAAGGYQ PSTAPPAAFVPSRPAAVPQFKIFNPRAAATSGDGRPQSAGPSQHPQVPSAYSTAAPSA GPPSSPTESSPTHHGDAIDFSATTSALQ H257_09744 MAEATPPPPRFVTNPPKLAQDSHSLLGKARHAIVAQVQTQCPDL VATVQAFFDTTLTDAIQERETKYVNTMSDVFKQEMKTRNETVARLTQKCAALETRMID SDTVTTTLHSKLDRRTYEMDNLRKMHFKELLMLREMVAKHRTDARTVKALDDALGRSN NDGDDAEDGATGRGGRSSSITTTSTDGRLEAMKMDLEKRKKFSDGLRDERDKWQQKAV EAMEQVEALKDLVRKRENSPSGGNGGLSLLSESYLTAWWYGGAESGLRERQAIESAVA ARHITFDEIAGSVVEVLASPDLWSSLGALMHQCPQAPAVRGLMTHLTQISGIMLAKSP STTFSVRSDDVNRQDDKANTAGGGSISGKPNAVACAKCHGSGYVEPNSTEKDEESERI KAMQKSVESLRKELRAAQTRVIELETTRDELENAKRALLVKVGEFQTTLSNVQTQAAT DAKAREDAALARINGMQHAACQTDANKAPKTPPTSLGATTSSPRHQSTVAPATSPTDA ELGVLNRSGLEALVADKCAIIGELHLTRQTQSEQLDELKRQLQTSAENLVAFHRASEN AQQLLRQEITVLRDTITNLQDSSSASIRERQASLTAYLTKMKTDHIKAMMLATSAVPG PDSRPKSSTVDSRETVATNNQEMEADITKLIPWSGSDVQTESLAHATAEAAVRAEAEL ENLPLGFVQEDEATEVEALRRLSLTTDALPHELMDTIQAMAEELETAKASARKLHTVQ LHRIVTLSSHLSKVSAEMLLLRKKSGAEIAFWKAECEKLDRELQCLVTEFNVYKSNHM IDGERATMESLLTADQETLWSQDGPEARAFLAMMREACGTSPVDPMVAKFLVLGEAVH SLVEGCARPFNALKAIYDSWRSGGGGTPRKGGARKRTKSKAVKHGKTVSDLAGSSPSP PKSPSKRSSVAGTTDGSSSSPSRQSGGSPRKRLSVSTKPSRVLLTRIPSGDGGSYAPD QVPSSPTQHPEMEEGLLSTDDDQIPALFALSEVNESTIEAVSALGALEVASPKEASPP TPLDPALLVLDGDSPLSSATAMREHEVLQVTTQDTPPASAMPQATDAPDSHDGPTTMN NQQLNDHDDGRLDLLQADNPRKQNHKELQYLKSLAGNRCDTLRSLALAQWELLVLKMQ LVTLHARNVEMKERRVRFHRPHVGMTSMTEILHQGIHRRECALSECRQRHDRLRERLK HVNLCIMHAITTLFHPSNRSGNTHGGGRHAMVGRQRLDLVDMSLIDMNNLPPSQQIPT DHFPLDGTVDTTAPPQPMHKEPSLPMALLTSPFKADKRFPNRVTKFRYDKDSHEYSFM HVTKFVYPKSAGPTKSSPFQLKPSVQVSGIRAPQHDGDGRSMQLHQLDDFDQPARARI TDDHHHRSIINPFRPKSTPSYLTRGSNRQSPRQLTFLGTDADDRPPFPSSPMVKR H257_09745 MTRKAKKRGIKPRMTATPSVAQDTDVNPPPKMTFSSVTHDAPPV EGTKAPSSSIKPQQVLAAAVQDADTVASSGTLRDDHASTPPMGETRPNPVRVDVPSET AAIGTDTLVQDNSIAQSKSNHDQTLHEGKLVLVDDLSTSHDELRRIPQCESSSSDSST EAKADFNDTPDHIADSDDQPSPKLHKSESKCTIEQSDMTLTRSPSIFRMLETTPTVGD TPPSQPPSIPPDVAHKVVQHIIFRWMKSAVPLRRLFPPSPSASSHPLDTFLSTLQQAN VALKPVEVSALRTSFPPTPEPPLTSAPCLNVTLLVDSVATKLFPIELLEFQLRKAVVA KATKTRGKCDVFKALNQLFDTPTTTDTSVSVALWRSILVEKLALSCPVWVVQCVFCRI LAAPLAEMSPRVHFVHCLDRFVRNVQFDTLSVEGVFRSLVQDTDFERGFRAIDADGSG SLSLAECTKYLQTSHQVAFPPAVLQDFLRRFDRDGDGSLNLDEFMACCRPKQHTGVHV LSPYGFFYMTTDPMELVADVVARIHKRLFWLQHNHLLGASPGPNGKDNAAKDNFSLPP KHKLTVDPAKISICRHFGALPLTYTKASDTVQSCLSNGELLVLFETTDTDNQGKSPTR LTCKERHAVQRPPPLRPSVPPTNSVPQTADTFDQPKIEPPDPLTPAARTETGISKQLK CEIPKKKAIPPAVQFPIDVSTWTRMDVKKWLIYNVQVKTLAHKFAAVDGHMLLGWAVH PHLDVWLRDKYSIAQPVLRLRLASHIRQLQPQTHPSTTNRGNVNDDSGDDTVDEKLDE DNTTIQPESSHQNTPNGLAIHKPELIRDSRGCQSALLPLPTDSQIQGENGTSDVQDTI RGNGDDDDEVSINTEDALDSITGDECLPGFSDCHVIDPGDDDNHPPPPFDDPLPGFDD H257_09746 MGAINSVRRLTIQCLGLLEALEPTTVEIENKATAIHVMQSLIRD KWPHLTLRPFGSSENGFGCGSSDLDIGVFFDPPALVNSLSWNDRLQVLASIASILTLH DGMELHQFIFHARVPLVKLWDSRRKLAIDISIGSSHAVGNTLLLKRYGEMDPRVRPLV FAVKHWAKQRGLNDASNGTLSSYAWIMLVLFFLQSRGILPALNPTDESDDCASSSLTS PTSCSSGGSHSSVSSSPPSDHQQCIHPILCSHPPTTPPSGMLSSTSGGESVGSLLVGF FQFYAFDFDYRCDVVSLRCGQALPKHAKWGLGLGTWRFSIEDPLDVHHDVARVIFHPK GQARLLDELRRAAAMTTMATCQLDDLCAAPSSSSCFICDAPGHAPRDCTASSSSSINM PPPPRNLSTISSDSSIGSPTTATRSPLPPPPPLRAVPPHAKMLKRPRRRSKSKGGAKK KLLVAWRSTVGS H257_09746 MELHQFIFHARVPLVKLWDSRRKLAIDISIGSSHAVGNTLLLKR YGEMDPRVRPLVFAVKHWAKQRGLNDASNGTLSSYAWIMLVLFFLQSRGILPALNPTD ESDDCASSSLTSPTSCSSGGSHSSVSSSPPSDHQQCIHPILCSHPPTTPPSGMLSSTS GGESVGSLLVGFFQFYAFDFDYRCDVVSLRCGQALPKHAKWGLGLGTWRFSIEDPLDV HHDVARVIFHPKGQARLLDELRRAAAMTTMATCQLDDLCAAPSSSSCFICDAPGHAPR DCTASSSSSINMPPPPRNLSTISSDSSIGSPTTATRSPLPPPPPLRAVPPHAKMLKRP RRRSKSKGGAKKKLLVAWRSTVGS H257_09746 MARWIPAYAPWSLPSNTGPSSAASTTRPTAPSAHTVPTSFFFLH HVVNSLEPFVAWIMLVLFFLQSRGILPALNPTDESDDCASSSLTSPTSCSSGGSHSSV SSSPPSDHQQCIHPILCSHPPTTPPSGMLSSTSGGESVGSLLVGFFQFYAFDFDYRCD VVSLRCGQALPKHAKWGLGLGTWRFSIEDPLDVHHDVARVIFHPKGQARLLDELRRAA AMTTMATCQLDDLCAAPSSSSCFICDAPGHAPRDCTASSSSSINMPPPPRNLSTISSD SSIGSPTTATRSPLPPPPPLRAVPPHAKMLKRPRRRSKSKGGAKKKLLVAWRSTVGS H257_09746 MARWIPAYAPWSLPSNTGPSSAASTTRPTAPSAHTVPTSFFFLH HVVNSLEPFVAWIMLVLFFLQSRGILPALNPTDESDDCASSSLTSPTSCSSGGSHSSV SSSPPSDHQQCIHPILCSHPPTTPPSGMLSSTSGGESVGSLLVGFFQFYAFDFDYRCD VVSLRCGQALPKHAKWGLGLGTWRFSIEDPLDVHHDVARVIFHPKGQARLLDELRRAA AMTTMATCQLDDLCAAPSSSSCFICDAPGHAPRDCTASSSSSINMPPPPRNLSTISSD SSIGSPTTATRSPLPPPPPLRAVPPHAKMLKRPRRRSKSKGGAKKKLLVAWRSTVGS H257_09747 YRPIPMRSSLFPGRRWFEHVSIRVSNAPSKGTISCGRHKSAFYT VTITHKATGATATVLKSDFDFETLRDDTKRVLERGHVCQAACPWYYVDVSEHVPKRRL LAVLETSKRAIAAHIRLYQDLFDHTAAFLQCPESSRCPRADALVPILFFDFLTQNLDG TLDPELLVVPPVADGSPSKIMSRHRSYRSESRHLPSTYCGVCSAVLHASPPAAWVDMP SLSKLPCGHVFHDECIVEALNASLECPSCTANNDAMVAEAMSPPALVV H257_09748 MLFSTTNAPTLRIGRWYSHVIIKVTRHQSSKHVVVNGRSKSSLY TITVRHPTSQAVIATLHKSDFDFEQLRDRCKAALRHGHKCNALCPWYYVDMSEHMPKR RLFTSPCSRYAVGLHVKIYQDLFDHTLAFLQCPDTQACPIAAQAIPQLFFEYLFGQEI LFDLFLEGATSPPSSASFSDTTSDEAYALSSYCGRSVCSVCCDGFLSGRPTMLSCGHS FHDECIVQALNVRLECPTCALD H257_09748 MLFSTTNAPTLRIGRWYSHVIIKVTRHQSSKHVVVNGRSKSSLY TITVRHPTSQAVIATLHKSDFDFEQLRDRCKAALRHGHKCNALCPWYYVDMSEHMPKR RLFTSPCSRYAVGLHVKIYQDLFDHTLAFLQCPDTQACPIAAQRAPRRPLPRRRSRIL RRTRPMPCRATVDGQFAVFVAMVFYPDDPRCCLVAIHSTMNALSRH H257_09749 MANKLPSKENALFRSIVKCYEIKQYKKGLKAADAILKKFPDHGE TLAMKGLTLNCMGKKEEAYEFVRQGVKQDLKSHVCWHVYGLLYRSDRNYAEAIKCYRQ ALRIDPDNIQILRDLYLQQVQMRDLKGYAETRRQFLSLKPTNRNNWIGLAIAHQLNGT HETAIDIIDQYNETLDPLRPVSYDDSEMYLYQNELIEEKGDLVACLAHLETTKSFVLD TLVWRHRKADLLVKQGDFDDAVVLYQELLAINPDHYHYHRGLQAALCRRADWLALSSV PSATESLSAHEVSTLLAIYEGHASPTYGRLALDLLSGAALEGPLDAYLRRGLVKGIPS LGSDIKALYAVPAKQALLSKLVATYLSEEATTSPSEWVWRLYLAAQHFDRIRDISQAL VYIDRCLQHTPTVLEFYQKKAVILKHAGDYNAAATVVDQGRQLDLADRYINNKTTKYL LRANRIAQAEDTIALFTRHEGDPQQNLYDMQCMWYELAAGAAQFRQLHAGPALKKFVA VEKHFNDFLDDQFDFHTYCMRKMTLRAYVQMLRMCDTLLGHPIHVQATHGAISVHIHR YDQQQTTATTADSSSKQSAADKAKAKRAAAKARKAEFQKAEEAKQLQKEHDALEKEKA TKKSKNQSRPKDTPVDPDPLGEQLLSKSSLDEAWRLVTILQQHAPHDVDTHVAAFDVA FRRQKWLLCLQALLAAHATDGSWHPKTLVRLVRLYTAATPAAVGVVASFLENGKAVLF QGLNDIAAILKHVAATHTSLEWQVAVAEAESLAHGSFKAGTVALWLQDKGASSLGVYE TAVRVVEKYGSQKDQDAFKQTAKTWFPYATLFGNKYDTK H257_09749 MYLYQNELIEEKGDLVACLAHLETTKSFVLDTLVWRHRKADLLV KQGDFDDAVVLYQELLAINPDHYHYHRGLQAALCRRADWLALSSVPSATESLSAHEVS TLLAIYEGHASPTYGRLALDLLSGAALEGPLDAYLRRGLVKGIPSLGSDIKALYAVPA KQALLSKLVATYLSEEATTSPSEWVWRLYLAAQHFDRIRDISQALVYIDRCLQHTPTV LEFYQKKAVILKHAGDYNAAATVVDQGRQLDLADRYINNKTTKYLLRANRIAQAEDTI ALFTRHEGDPQQNLYDMQCMWYELAAGAAQFRQLHAGPALKKFVAVEKHFNDFLDDQF DFHTYCMRKMTLRAYVQMLRMCDTLLGHPIHVQATHGAISVHIHRYDQQQTTATTADS SSKQSAADKAKAKRAAAKARKAEFQKAEEAKQLQKEHDALEKEKATKKSKNQSRPKDT PVDPDPLGEQLLSKSSLDEAWRLVTILQQHAPHDVDTHVAAFDVAFRRQKWLLCLQAL LAAHATDGSWHPKTLVRLVRLYTAATPAAVGVVASFLENGKAVLFQGLNDIAAILKHV AATHTSLEWQVAVAEAESLAHGSFKAGTVALWLQDKGASSLGVYETAVRVVEKYGSQK DQDAFKQTAKTWFPYATLFGNKYDTK H257_09750 MVSRRVWTCVVLVCTIIAIMMARSYAHLAAIGDGEEGIWNTWDM MQEEKLLLPSGSLEFVRQAPIVAQVGRHDSFLQQPRPSSNALSVESMHQHCNHLSSQV SQTTAANHCWTTLFDLFPATPFQVHYDYAKYIEQKGADTSTGDVIAAYERALAVMTEP TSLLSLDAMNRLGLLWLKQSRPHIAIDIFRQSLRWHPFECALYGNLASAYLELGNVSA ALAAATTSISLAPDNAALHHNIGNMHQQAGDMNAAMAHWQKAVDANPLVPNPLFSLGI AVGNQGHTRTSTAYFTQAIILATQHAPDLRDIARIQHATSLLPRVYESTDEIVLVRHQ FQAALDSLLADSPLNLGHNPIYTTGCGSMGYYLVYQGGVNKPLRRQLAQIYTLSMPAL GFVAPHVLASRHQTFQFPHTSRYVRHPPQPPNESGYSSISLTRRIRVGILSSFMYHHS VGLLMQGVLTRLSNATYELVLLRSRPWQHDHVSRHAAAHMSQDIQLPTDVFQAQRVVA ALELDILVFTEIGMDPGTYFLAFSTLALRSVLFWGHAITSGLSTVDYFITSDLFNSNP DEFTETLYPMQSLTTLFRRPKFTFDRSLLTFLPTIEPHNVLFVVPQTLYKLHPDMDAI LAAILTGLPHSYVVLLEGSVPHLATLIQRRLGRVLSPQDLHTRVVFAPYLSTDAFLTL CQVADVVLDPFPVGGGRSSLEIFSVGTPVVVHRNRTSIVQLTAAMYTKMNITTCCVAS SDAAYVAMAIHLGSNTSYRDELSSLIQRRSSVLYDSDAATVADVMNDWDQCLHTILAM PPPPSNRSQPTPVNRLGFRLQVAGQPSVEVVLRSNDEEPAAVAAAVAASHKLEPLHQY YIQTLLFNGLQRWRQQSPQVAISADVFPGMTPPVDVYVGDDVALAVHVHLWRYKYRMS GTELGHVIASIRSALNHADESTLWIAARGAQYPPPPPTPPLRSFIAPLVSHQTCVTVV VTTCKRLSLFLATMHSFLPYAATSSVCMLLVLDDHSSAADRRVMQDTFPAVEFVFTRR KGHAHSLNTMLELVTTRFMLYLEDDWRWEPSLPHHPLAHALAILEHDPTIVQVLVNTQ HSGWPRRLPTTDDSSFGLYFRHEYGVVDHAFGYWPGFSLNPGVWDLKRLSRCPALRFN ESSDVFEREFSLAVWRCGLHVAMLPYTTAVHIGTNGSAYVLNGLPRRFD H257_09750 MVSRRVWTCVVLVCTIIAIMMARSYAHLAAIGDGEEGIWNTWDM MQEEKLLLPSGSLEFVRQAPIVAQVGRHDSFLQQPRPSSNALSVESMHQHCNHLSSQV SQTTAANHCWTTLFDLFPATPFQVHYDYAKYIEQKGADTSTGDVIAAYERALAVMTEP TSLLSLDAMNRLGLLWLKQSRPHIAIDIFRQSLRWHPFECALYGNLASAYLELGNVSA ALAAATTSISLAPDNAALHHNIGNMHQQAGDMNAAMAHWQKAVDANPLVPNPLFSLGI AVGNQGHTRTSTAYFTQAIILATQHAPDLRDIARIQHATSLLPRVYESTDEIVLVRHQ FQAALDSLLADSPLNLGHNPIYTTGCGSMGYYLVYQGGVNKPLRRQLAQIYTLSMPAL GFVAPHVLASRHQTFQFPHTSRYVRHPPQPPNESGYSSISLTRRIRVGILSSFMYHHS VGLLMQGVLTRLSNATYELVLLRSRPWQHDHVSRHAAAHMSQDIQLPTDVFQAQRVVA ALELDILVFTEIGMDPGTYFLAFSTLALRSVLFWGHAITSGLSTVDYFITSDLFNSNP DEFTETLYPMQSLTTLFRRPKFTFDRSLLTFLPTIEPHNVLFVVPQTLYVVLLEGSVP HLATLIQRRLGRVLSPQDLHTRVVFAPYLSTDAFLTLCQVADVVLDPFPVGGGRSSLE IFSVGTPVVVHRNRTSIVQLTAAMYTKMNITTCCVASSDAAYVAMAIHLGSNTSYRDE LSSLIQRRSSVLYDSDAATVADVMNDWDQCLHTILAMPPPPSNRSQPTPVNRLGFRLQ VAGQPSVEVVLRSNDEEPAAVAAAVAASHKLEPLHQYYIQTLLFNGLQRWRQQSPQVA ISADVFPGMTPPVDVYVGDDVALAVHVHLWRYKYRMSGTELGHVIASIRSALNHADES TLWIAARGAQYPPPPPTPPLRSFIAPLVSHQTCVTVVVTTCKRLSLFLATMHSFLPYA ATSSVCMLLVLDDHSSAADRRVMQDTFPAVEFVFTRRKGHAHSLNTMLELVTTRFMLY LEDDWRWEPSLPHHPLAHALAILEHDPTIVQVLVNTQHSGWPRRLPTTDDSSFGLYFR HEYGVVDHAFGYWPGFSLNPGVWDLKRLSRCPALRFNESSDVFEREFSLAVWRCGLHV AMLPYTTAVHIGTNGSAYVLNGLPRRFD H257_09750 MHQQAGDMNAAMAHWQKAVDANPLVPNPLFSLGIAVGNQGHTRT STAYFTQAIILATQHAPDLRDIARIQHATSLLPRVYESTDEIVLVRHQFQAALDSLLA DSPLNLGHNPIYTTGCGSMGYYLVYQGGVNKPLRRQLAQIYTLSMPALGFVAPHVLAS RHQTFQFPHTSRYVRHPPQPPNESGYSSISLTRRIRVGILSSFMYHHSVGLLMQGVLT RLSNATYELVLLRSRPWQHDHVSRHAAAHMSQDIQLPTDVFQAQRVVAALELDILVFT EIGMDPGTYFLAFSTLALRSVLFWGHAITSGLSTVDYFITSDLFNSNPDEFTETLYPM QSLTTLFRRPKFTFDRSLLTFLPTIEPHNVLFVVPQTLYKLHPDMDAILAAILTGLPH SYVVLLEGSVPHLATLIQRRLGRVLSPQDLHTRVVFAPYLSTDAFLTLCQVADVVLDP FPVGGGRSSLEIFSVGTPVVVHRNRTSIVQLTAAMYTKMNITTCCVASSDAAYVAMAI HLGSNTSYRDELSSLIQRRSSVLYDSDAATVADVMNDWDQCLHTILAMPPPPSNRSQP TPVNRLGFRLQVAGQPSVEVVLRSNDEEPAAVAAAVAASHKLEPLHQYYIQTLLFNGL QRWRQQSPQVAISADVFPGMTPPVDVYVGDDVALAVHVHLWRYKYRMSGTELGHVIAS IRSALNHADESTLWIAARGAQYPPPPPTPPLRSFIAPLVSHQTCVTVVVTTCKRLSLF LATMHSFLPYAATSSVCMLLVLDDHSSAADRRVMQDTFPAVEFVFTRRKGHAHSLNTM LELVTTRFMLYLEDDWRWEPSLPHHPLAHALAILEHDPTIVQVLVNTQHSGWPRRLPT TDDSSFGLYFRHEYGVVDHAFGYWPGFSLNPGVWDLKRLSRCPALRFNESSDVFEREF SLAVWRCGLHVAMLPYTTAVHIGTNGSAYVLNGLPRRFD H257_09751 MATAPAESAEDGMPRRSSSSEGSEEEYKALDSPSSVHLHDDLGF RLPGAPLVRVVNVERVDSLMYTYNMFVIELSLPDNQTWRIRTTTPRLFSLHFFLQRAL RFRHVFEFPSSLLAHHTSSVVPHDVVTLVESFLTDVLSHKSLWRHPRVLAFVEYSALR FDPLAGDSLVEGWVKMRYVPSRKGTLHGRHSFIGFHFTKDNLMRVAEIAVVVSLCVII PFGVFYSFVAASSADSVSELHTGYMVAASILSAIPGLYFVAMMYQYLLRHRRWMVVKP SSIAFFDTVRSTAPTHVVLFQPHIAISKSTLLKHGLHWMMDGLHVTTPAAIVEIDFKH KGQCQHFHEMLTKAMDACDYTTFHQHTSFAPMRPAVDTHTTNVAQHLVDGEETFAVMF EHLGRATRQIFITGWWISPHYALVRTSAQARLDSELYTVLRAAAARGVQVFVLVYREH HLVLPNDSKFAKESLQGANIHVLRHPDFVVLPQFWSHHEKIVCIDQTVAFVGGLDIAL GRFDAPAHLLTDIGAMPTWTGQDYSNPRLKDFVDVQHIHEPLIDRATVPRMPWHDIHC RLEGPIALDIARHFIHRWNFTVQKKYTVLVNRTRQRRRRSEIPAILPYTHQPRTTSEG GGDVVHCQVVRSLSPWSGGVKTEKSIQQAYMDTIAAAKHFIYIENQFFVSGFDLDASV ANRVVEALYARIADAHAKQETFRVMFIMPLLPCFEGAVTGADSANLRAVMHWQYMTMC RGGNSLLERVARLVPDPSAYVAFFGLRQHAILNGHVVTEQIYIHSKLMIVDDKVAIIG SANLNDRSLCGDRDSEIAVVIEDSTMEMGAFAETPTTVGRFGHHLRRRLFQEHLGMDL VDPTSDASWHQIRRLAHTNTDIYERVFQCFPTDKFPSYFHAVPEAHLHEDIRVVYENQ RYAWGSGWSSEHLVFGERTEWSDAFGLPFDSFTPSSSWEVDMSDASCDDQGWQYAFSF KSFQKDKGAQRAVPLWYRWVRRRRWILLDTSRDADRHEEATVDLRQFLRRSSSRMMST RNLNTSAPLQQGGGWVPPEVEELSHVQGQLVEFPLQFLRDCDLRPLILPKNIFI H257_09751 MATAPAESAEDGMPRRSSSSEGSEEEYKALDSPSSVHLHDDLGF RLPGAPLVRVVNVERVDSLMYTYNMFVIELSLPDNQTWRIRTTTPRLFSLHFFLQRAL RFRHVFEFPSSLLAHHTSSVVPHDVVTLVESFLTDVLSHKSLWRHPRVLAFVEYSALR FDPLAGDSLVEGWVKMRYVPSRKGTLHGRHSFIGFHFTKDNLMRVAEIAVVVSLCVII PFGVFYSFVAASSADSVSELHTGYMVAASILSAIPGLYFVAMMYQYLLRHRRWMVVKP SSIAFFDTVRSTAPTHVVLFQPHIAISKSTLLKHGLHWMMDGLHVTTPAAIVEIDFKH KGQCQHFHEMLTKAMDACDYTTFHQHTSFAPMRPAVDTHTTNVAQHLVDGEETFAVMF EHLGRATRQIFITGWWISPHYALVRTSAQARLDSELYTVLRAAAARGVQVFVLVYREH HLVLPNDSKFAKESLQGANIHVLRHPDFVVLPQFWSHHEKIVCIDQTVAFVGGLDIAL GRFDAPAHLLTDIGAMPTWTGQDYSNPRLKDFVDVQHIHEPLIDRATVPRMPWHDIHC RLEGPIALDIARHFIHRWNFTVQKKYTVLVNRTRQRRRRSEIPAILPYTHQPRTTSEG GGDVVHCQVVRSLSPWSGGVKTEKSIQQAYMDTIAAAKHFIYIENQFFVSGFDLDASV ANRVVEALYARIADAHAKQETFRVMFIMPLLPCFEGAVTGADSANLRAVMHWQYMTMC RGGNSLLERVARLVPDPSAYVAFFGLRQHAILNGHVVTEQIYIHSKLMIVDDKVAIIG SANLNDRSLCGDRDSEIAVVIEDSTMEMGAFAETPTTVGRFGHHLRRRLFQEHLGMDL VDPTSDASWHQIRRLAHTNTDIYERVFQCFPTDKFPSYFHAVPEAHLHEDIRVVYENQ RYAWGSGWSSEHLVFGERTEWSDAFGLPFDSFTPSSSWEVDMSDASCDDQGWQYAFSF KSFQKDKGAQRAVPLWYRWVRRRRWILLDTSRDADRHEEATVDLRQFLRRSSSRMMST RNLNTSAPLQQGGGWVPPEVEELSHVQGQLVEFPLQFLRDCDLRPLILPKNIFI H257_09751 MVAASILSAIPGLYFVAMMYQYLLRHRRWMVVKPSSIAFFDTVR STAPTHVVLFQPHIAISKSTLLKHGLHWMMDGLHVTTPAAIVEIDFKHKGQCQHFHEM LTKAMDACDYTTFHQHTSFAPMRPAVDTHTTNVAQHLVDGEETFAVMFEHLGRATRQI FITGWWISPHYALVRTSAQARLDSELYTVLRAAAARGVQVFVLVYREHHLVLPNDSKF AKESLQGANIHVLRHPDFVVLPQFWSHHEKIVCIDQTVAFVGGLDIALGRFDAPAHLL TDIGAMPTWTGQDYSNPRLKDFVDVQHIHEPLIDRATVPRMPWHDIHCRLEGPIALDI ARHFIHRWNFTVQKKYTVLVNRTRQRRRRSEIPAILPYTHQPRTTSEGGGDVVHCQVV RSLSPWSGGVKTEKSIQQAYMDTIAAAKHFIYIENQFFVSGFDLDASVANRVVEALYA RIADAHAKQETFRVMFIMPLLPCFEGAVTGADSANLRAVMHWQYMTMCRGGNSLLERV ARLVPDPSAYVAFFGLRQHAILNGHVVTEQIYIHSKLMIVDDKVAIIGSANLNDRSLC GDRDSEIAVVIEDSTMEMGAFAETPTTVGRFGHHLRRRLFQEHLGMDLVDPTSDASWH QIRRLAHTNTDIYERVFQCFPTDKFPSYFHAVPEAHLHEDIRVVYENQRYAWGSGWSS EHLVFGERTEWSDAFGLPFDSFTPSSSWEVDMSDASCDDQGWQYAFSFKSFQKDKGAQ RAVPLWYRWVRRRRWILLDTSRDADRHEEATVDLRQFLRRSSSRMMSTRNLNTSAPLQ QGGGWVPPEVEELSHVQGQLVEFPLQFLRDCDLRPLILPKNIFI H257_09751 MVAASILSAIPGLYFVAMMYQYLLRHRRWMVVKPSSIAFFDTVR STAPTHVVLFQPHIAISKSTLLKHGLHWMMDGLHVTTPAAIVEIDFKHKGQCQHFHEM LTKAMDACDYTTFHQHTSFAPMRPAVDTHTTNVAQHLVDGEETFAVMFEHLGRATRQI FITGWWISPHYALVRTSAQARLDSELYTVLRAAAARGVQVFVLVYREHHLVLPNDSKF AKESLQGANIHVLRHPDFVVLPQFWSHHEKIVCIDQTVAFVGGLDIALGRFDAPAHLL TDIGAMPTWTGQDYSNPRLKDFVDVQHIHEPLIDRATVPRMPWHDIHCRLEGPIALDI ARHFIHRWNFTVQKKYTVLVNRTRQRRRRSEIPAILPYTHQPRTTSEGGGDVVHCQVV RSLSPWSGGVKTEKSIQQAYMDTIAAAKHFIYIENQFFVSGFDLDASVANRVVEALYA RIADAHAKQETFRVMFIMPLLPCFEGAVTGADSANLRAVMHWQYMTMCRGGNSLLERV ARLVPDPSAYVAFFGLRQHAILNGHVVTEQIYIHSKLMIVDDKVAIIGSANLNDRSLC GDRDSEIAVVIEDSTMEMGAFAETPTTVGRFGHHLRRRLFQEHLGMDLVDPTSDASWH QIRRLAHTNTDIYERVFQCFPTDKFPSYFHAVPEAHLHEDIRVVYENQRYAWGSGWSS EHLVFGERTEWSDAFGLPFDSFTPSSSWEVDMSDASCDDQGWQYAFSFKSFQKDKGAQ RAVPLWYRWVRRRRWILLDTSRDADRHEEATVDLRQFLRRSSSRMMSTRNLNTSAPLQ QGGGWVPPEVEELSHVQGQLVEFPLQFLRDCDLRPLILPKNIFI H257_09752 MSSACTFLLTFLVSVLCGLTCGQLAQNLTPLDIGVRCAQYPRTP TVLTFVVDQPDANLLLLHFDFVKLMPQDTLTLRAVVNHTVGAVIDTLTSATTAPFYSV PMYTLLVVLELHVVMGLGRSGPANATTRCFGFHVDGVRTSAIDGNKAASADESICGTD DSKNAQCFVNSAMYTASKAVVRLLTNRVGGSAFCTGWLLGCEGHLLTNEHCIQDATDA GNTLIEFMAEGVSCGTNCDSQMACAATPRLYTRGATFIAASTELDYSIVKLDPAMIPE GLGFLRLRLAGPALNEKVYIPQHPLGWGKHVAVMAGLAPGMITTVTLAGCAVDQAGYM LDTQPGSSGSPVLSVRDNNVVALHHCGGCPNAGIQSQKLIQDFAKRGILPQCSVA H257_09753 MLYAFPWFLTCLGVLVCGYPHVAPEAVELTQLDVGISCAQYPRT PTVVTFVVDRPDAAPPLPLLLLLHFDFLKLKSLDTLTVRAVVNGTVGAVVDTFTSAST APFFSVPLHATAAVLELHVTGVSSHASPSSGGPCFGFHVDGVRTSAIDDGNRVAADES ICGVDESKNAQCFVSSGMYTASKAVVRLLTHRHKRSVFCTGWLLGCDGHVLTNEHCIA DAADAVNTTFEFMAEGVSCSTNCSGQGMCKAAPRLFTRGATFIIASKDLDYSLVKLSP ATIPPGLGFLRLRASGPKLNEPVYIPQHPRGWGKRVAVVKGNRTGTITSLTLSGCAVD QAGYMLDTQPGSSGSPVVSAVDNTVVALHHCGGCPNAGIQSQKILKDLVARGLLPPCS AA H257_09754 MRSCTWALWVVLMAGCCWQGFAQESDHEGNASEEELIHAKLYAA VASGVTITVLIFLSIIFESGAEWLRESTEDTNMPFINTIFSELTTLGFIGSVLFVMSK SGYLSQISKALFGPNKAAELEETIELLHMALFLFIVIFLMLCLTLLKFGVQVQHEFRE FERRAPYVQVVVADYCLASEPATSWRQSLSWSWRRERTKRKREMVYVSLRRRFVDFRS NHPDPIQAHDLAKDFQLERDTRFPFNEYLTIISGDVMAKLIQIDGVTWVALEICIMVL LATCWAVGPDNEVFVFGVAGGLLVLLNQFVYGRIRHMRKQLTPPILFHKAERYRANGA WRAQHNLPPLRSPPRASSVADEKVPLLSPSDEKTSLVDLFVPPYVHELPQGGVHLTMS QLQDAQKKLLGGGNGVVLALFSTRLVFLFTALHLSVFVLRTLPLLVHRTDLDAVAKAL CVAVSILPSCIVTSMSIVIARDGLYAFNVEALKAPRVMNKVMRIVKARQTLRTLRFIA EMKLYLQEHDDRNVEMDKATTNNPSSTTTKIISDDTPSSPAALARGGPSSLWGRSQRR QFMMKDLPSFHLDDNQLDVPTWLLMSPRTRTAVEVPMSPMYDPPPFLESHPMATKEHY AAETERREINSIFSLIDKDKSGTIYTDEMESFLQQISPDMTTDQIQRIMDDLDKHKSG GVAFDDFETWCRTHIHKHSSKHNKQELIREVFKMIDTDKSGYITVDEFISIFKSLGQS LDHEDVRELVYQMDRNNDGKIDLEEFRKMLHKHAV H257_09754 MVYVSLRRRFVDFRSNHPDPIQAHDLAKDFQLERDTRFPFNEYL TIISGDVMAKLIQIDGVTWVALEICIMVLLATCWAVGPDNEVFVFGVAGGLLVLLNQF VYGRIRHMRKQLTPPILFHKAERYRANGAWRAQHNLPPLRSPPRASSVADEKVPLLSP SDEKTSLVDLFVPPYVHELPQGGVHLTMSQLQDAQKKLLGGGNGVVLALFSTRLVFLF TALHLSVFVLRTLPLLVHRTDLDAVAKALCVAVSILPSCIVTSMSIVIARDGLYAFNV EALKAPRVMNKVMRIVKARQTLRTLRFIAEMKLYLQEHDDRNVEMDKATTNNPSSTTT KIISDDTPSSPAALARGGPSSLWGRSQRRQFMMKDLPSFHLDDNQLDVPTWLLMSPRT RTAVEVPMSPMYDPPPFLESHPMATKEHYAAETERREINSIFSLIDKDKSGTIYTDEM ESFLQQISPDMTTDQIQRIMDDLDKHKSGGVAFDDFETWCRTHIHKHSSKHNKQELIR EVFKMIDTDKSGYITVDEFISIFKSLGQSLDHEDVRELVYQMDRNNDGKIDLEEFRKM LHKHAV H257_09755 MSSFSFQRLLPWVDIHGLPYLVDVVNFVETRSASFLLSTVVFCV AVWYGHLPLLRDFGGPRCHHLSGLDFTMAMNIAAKNGHVAIVQWLHANRKESCTSAAI DDAALRGHVHVVDYLHRTGLVIFSSRLSEIMLQVVAKGDVGMVEWLHRHRTRQWPRGL MDAIAASGNVSMMAYFHVHTNERCTTHAMDVAASRGHLEMVQFLHYHRHEGCTTQAID LAGGNGHLDIVQWLVNNRHERCSWNTIYLAACNGHVRMLRFLFHIDQLHAMPWRCASV VAQTSGRLDALAMLNQVKSTCNKQSQKQQASHRRRHHGRSS H257_09756 MPTPTAAGGAWLRRWGSRVALLVALSCHAIVKAQDEGHATTTTE VPGGHGSFKVNATSSTHENEGQEREEAAGDEVFYNVMASIATITVLIFLSIVFEMGAE WLRESTEEINMPFVNTIFNELTTLGFIGSILFLMSKSGYLGQISQALFGHDKNMELQE TIEMLHMALFLFVIIFLLLCIVLLQFGIQVQSELREFERRAPYVQVVVADYCLSTEPA TSWWDKLNWRRWQEIAKSKREMVYVSLRRRFVDYHSNHPDPAKAKELAKDFQLEKDTR FPFNEYLTIISGEVMARLIQIDAITWVALEICIVALICLCWWVGPDNEVFVLLGGGAV LIGLNQIVYRRISTMRTLLTPPLLFKKAERYRTKPAWRAQHGLPLLPSSPLMSDEKVP LLPSEEKLATDNTPPYLLNLPLGGVDLTSQELADRQKALLGGGNGVLLALFSTRLVFL FTALHLSVFVMRTSGQLIRRDDLHAVEKAVIFVLLALPSLIVASVSTMIARDGLYCFN VEAMKVPRVINKVMRILKARQTLRTLRFVAEMKVYLREHHATEGKAASMKNPPTSPDP ADDLRRRSLSFNDTATLPTHHRPSQLLHGATSSPGTGDKLSLLSPRSRSNVEEPMSPM SEPSSRSKAPGRRAKYDEETERREINSIFSLFDRDQSGAITRDEMESLLHVISPDMTE SQISRIIVVLDEHHTGEVTFDEFYRWCRSHIHNHSSKHSKHHLIREVFKMIDTDGSGF ITVEEFVAIFKALGQSLDHEDVRELVYQIDRNSDGKIDFEEFEKMLHKHAV H257_09756 MPTPTAAGGAWLRRWGSRVALLVALSCHAIVKAQDEGHATTTTE VPGGHGSFKVNATSSTHENEGQEREEAAGDEVFYNVMASIATITVLIFLSIVFEMGAE WLRESTEEINMPFVNTIFNELTTLGFIGSILFLMSKSGYLGQISQALFGHDKNMELQE TIEMLHMALFLFVIIFLLLCIVLLQFGIQVQSELREFERRAPYVQVVVADYCLSTEPA TSWWDKLNWRRWQEIAKSKREMVYVSLRRRFVDYHSNHPDPAKAKELAKDFQLEKDTR FPFNEYLTIISGEVMARLIQIDAITWVALEICIVALICLCWWVGPDNEVFVLLGGGAV LIGLNQIVYRRISTMRTLLTPPLLFKKAERYRTKPAWRAQHGLPLLPSSPLMSDEKEK LATDNTPPYLLNLPLGGVDLTSQELADRQKALLGGGNGVLLALFSTRLVFLFTALHLS VFVMRTSGQLIRRDDLHAVEKAVIFVLLALPSLIVASVSTMIARDGLYCFNVEAMKVP RVINKVMRILKARQTLRTLRFVAEMKVYLREHHATEGKAASMKNPPTSPDPADDLRRR SLSFNDTATLPTHHRPSQLLHGATSSPGTGDKLSLLSPRSRSNVEEPMSPMSEPSSRS KAPGRRAKYDEETERREINSIFSLFDRDQSGAITRDEMESLLHVISPDMTESQISRII VVLDEHHTGEVTFDEFYRWCRSHIHNHSSKHSKHHLIREVFKMIDTDGSGFITVEEFV AIFKALGQSLDHEDVRELVYQIDRNSDGKIDFEEFEKMLHKHAV H257_09756 MPTPTAAGGAWLRRWGSRVALLVALSCHAIVKAQDEGHATTTTE VPGGHGSFKVNATSSTHENEGQEREEAAGDEVFYNVMASIATITVLIFLSIVFEMGAE WLRESTEEINMPFVNTIFNELTTLGFIGSILFLMSKSGYLGQISQALFGHDKNMELQE TIEMLHMALFLFVIIFLLLCIVLLQFGIQVQSELREFERRAPYVQVVVADYCLSTEPA TSWWDKLNWRRWQEIAKSKREMVYVSLRRRFVDYHSNHPDPAKAKELAKDFQLEKDTR FPFNEYLTIISGEVMARLIQIDAITWVALEICIVALICLCWWVGPDNEVFVLLGGGAV LIGLNQIVYRRISTMRTLLTPPLLFKKAERYRTKPAWRAQHGLPLLPSSPLMSDEKVP LLPSEEKLATDNTPPYLLNLPLGGVDLTSQELADRQKALLGGGNGVLLALFSTRLVFL FTALHLSVFVMRTSGQLIRRDDLHAVEKAVIFVLLALPSLIVASVSTMIARDGLYCFN VEAMKVPRVINKVMRILKARQTLRTLRFVAEMKVYLREHHATEGKAASMKNPPTSPDP ADDLRRRSLSFNDTATLPTHHRPSQLLHGATSSPGTGDKLSLLSPRSRSNVEEPMSPM SEPSSRSKAPGRRAKYDEETERREINSIFSLFDRDQSGAITRDEMESLLHVISPDMTE SQISRIIVVLDEHHTGEVTFDEFYRWCRSHIHNHSSKHSKHHLIREVFKMIDTDGSGF ITVEVRLLFIHCIVDSESCDQHKQRAGICRDFQSPRPVARPRRRARTRLPNRPQQRRQ D H257_09756 MPTPTAAGGAWLRRWGSRVALLVALSCHAIVKAQDEGHATTTTE VPGGHGSFKVNATSSTHENEGQEREEAAGDEVFYNVMASIATITVLIFLSIVFEMGAE WLRESTEEINMPFVNTIFNELTTLGFIGSILFLMSKSGYLGQISQALFGHDKNMELQE TIEMLHMALFLFVIIFLLLCIVLLQFGIQVQSELREFERRAPYVQVVVADYCLSTEPA TSWWDKLNWRRWQEIAKSKREMVYVSLRRRFVDYHSNHPDPAKAKELAKDFQLEKDTR FPFNEYLTIISGEVMARLIQIDAITWVALEICIVALICLCWWVGPDNEVFVLLGGGAV LIGLNQIVYRRISTMRTLLTPPLLFKKAERYRTKPAWRAQHGLPLLPSSPLMSDEKEK LATDNTPPYLLNLPLGGVDLTSQELADRQKALLGGGNGVLLALFSTRLVFLFTALHLS VFVMRTSGQLIRRDDLHAVEKAVIFVLLALPSLIVASVSTMIARDGLYCFNVEAMKVP RVINKVMRILKARQTLRTLRFVAEMKVYLREHHATEGKAASMKNPPTSPDPADDLRRR SLSFNDTATLPTHHRPSQLLHGATSSPGTGDKLSLLSPRSRSNVEEPMSPMSEPSSRS KAPGRRAKYDEETERREINSIFSLFDRDQSGAITRDEMESLLHVISPDMTESQISRII VVLDEHHTGEVTFDEFYRWCRSHIHNHSSKHSKHHLIREVFKMIDTDGSGFITVEVRL LFIHCIVDSESCDQHKQRAGICRDFQSPRPVARPRRRARTRLPNRPQQRRQD H257_09757 MRATVACWWLLLVSAVVDAQRFPCNGETFRLRKSWSMYTAAEKT RHVAAVADAMSAGYHHRFIEMHTEPQSEREAHGCMFIYWHRKFLLGYENMLRSLKAEY ACLTLPYWDYATLSSSFVSGSCKSVYGCATDLINTLGGLVDSNAKRSWALVNGDSMDG VGCVQRPPLNNFCQSTSAFQSKQCMRCVPRNNWATSVVPPESNIVPVFSQILGGVTPT RGKTLAEASRGIQNGAHNTIHNALGSTMATFQAPGDPMFNLHHAEIDLMHRIYFKCVV ADAVPGRVPVLSDAAKKSATDARIWSSCTRFNGTTIRPTDPVLMLAGQYNQNKVDVHS PASPLYEFFKDLPRAYYQYADGDDLGRFSYRYQYTGMLADMLTQCKRFIAPRAATLLQ GDHDGGSKANPSYVDRCVDRPRLACEVTEASFLEYMSTLAGARGWSHDHLICQVETMV CLHHHECHGGCYDYSAAFKASFRPMGPPRCKVVVDRVKRGKVHIDVDNWRGVMAKFFP CDKNNTNAQV H257_09758 MRLLWLFVCVTAVAVAQTVPTCDPTAVRVRKPWSLLSTAEKTLY VAAVGEGMKQGFHHRFAEIHTDPQSEQEAHGCMFIYWHRKFLLGYENMLRSLKPEYAC ITLPYWDYATLSSTFVSQSCASVNACSPDIIQYLGGNVDANARSRWDRINGATMAGVG CVQRSPLNNFCQSTTAFNANRCMGCIPRNNYKRASVPAEANIGQVFAQILGGAVSGRG GTLSESTRGIQNGVHNQMHNALSSTMSTMAAPADPLFYAHHAQIDLMHRIYFKCVVAD ASPLKKPVLTAAEKRNANDRRIWTTCAGISQPTQTIYMYSGEAGGTKVSVNDVRNPLY PFFKDLPLQYSAYVDGDDLGKFSYQYQYTGMLADMLTKCKRFVAPRSALFLDEDHSYS VNPDYTDRCVERPRLPCEVNEMSFLDYMSATAGERGWSHKELVQQLEAVVCIHQHECL GQCTDYSDEFKRTFRPSGPPRCKTIVDRLQSKKLFIKLPKWRQVMAKYFPCLSDGSAD EHAPDM H257_09759 MSTAIAAARAKTKGKTLLKDILNAYGEIDSVEASADAISDWNRR KKEAVVNGTWVWRESTMTYAVPKSKVAAHKIKGEFASLTNLSAELNAIVHASPPTATT TATEASDDSKKGCSWSAVIAGKFYTCTNELHSEPEKLLRRCNWHAVDCRSPTHPSTLP DKSIAISNDEALCMGCFATSRSKLPKHRQTPPTFDPLVMPGVRRAEAQQVQAKLDIIT QAKVKPAYISTEKTLTKSSICAWKGHKPGQKEFRAYVCHHTVLLHPVYQTYLPMCGFH QYPCARNLTKGVVCPPIELYNAHGLCHNHYEAYLATLTYHERQADKAYSTPFDPPEVF MDGDSDAVGKQNAVAPHPLAPKHPPPPSPSPSPKSPLPTSPIRGILATFIDSWTSPIR TLWWQINYLRQGPRIATRLQAIFRGKRARKRVRRLLLDSYGRKRMNAAVLLQKHWRAK QAKRVVGRYRDLVLRMSCVLQRLARGFLDRQRVHHLRCFRRFCRVVGVYLAVRRAIHV LRFRIDTRHGLSRGSDRDRLIALSLLQRRHAAKVLAHAMRSWKKTKLEKLKQGELKFQ TFLSAVAIQRAWKRYQKLKWLQRRYQAAQRVQARVRGALTRCLWANDPGISSVVFWVN PRSGFACVRHTLNPAWSPSYSVGRRTMRRDAAARIVQMHFRGFVGRVAANTAWANMEK RWQWIDPTQDRQALRNTLLPRSFYHEDKKHHMRSIYNLAVPFRAFAYEFQGVVDLLDD KQGLRCENLPPRPTASTPLTVECPMSALSSDSLRLPATPSVVESIALEAVGITSTMAI FPLGAMVYVRVRNKKLHPATIIRVHRDADSFDVAFLPGLVSIRGVAITEAKHVHASRL QYDPPESPPESLTSRIHHELRRVAAVTTKLYTAADETPAVSNQVEHPVRFKRASFARR ERQVQSDPPL H257_09759 MSTAIAAARAKTKGKTLLKDILNAYGEIDSVEASADAISDWNRR KKEAVVNGTWVWRESTMTYAVPKSKVAAHKIKGEFASLTNLSAELNAIVHASPPTATT TATEASDDSKKGCSWSAVIAGKFYTCTNELHSEPEKLLRRCNWHAVDCRSPTHPSTLP DKSIAISNDEALCMGCFATSRSKLPKHRQTPPTFDPLVMPGVRRAEAQQVQAKLDIIT QAKVKPAYISTEKTLTKSSICAWKGHKPGQKEFRAYVCHHTVLLHPVYQTYLPMCGFH QYPCARNLTKGVVCPPIELYNAHGLCHNHYEAYLATLTYHERQADKAYSTPFDPPEVF MDGDSDAVGKQNAVAPHPLAPKHPPPPSPSPSPKSPLPTSPIRGILATFIDSWTSPIR TLWWQINYLRQGPRIATRLQAIFRGKRARKRVRRLLLDSYGRKRMNAAVLLQKHWRAK QAKRVVGRYRDLVLRMSCVLQRLARGFLDRQRVHHLRCFRRFCRVVGVYLAVRRAIHV LRFRIDTRHGLSRGSDRDRLIALSLLQRRHAAKVLAHAMRSWKKTKLEKLKQGELKFQ TFLSAVAIQRAWKRYQKLKWLQRRYQAAQRVQARVRGALTRCLWANDPGISSVVFWVN PRSGFACVRHTLNPAWSPSYSVGRRTMRRDAAARIVQMHFRGFVGRVAANTAWANMEK RWQWIDPTQDRQALRNTLLPRSFYHEDKKHHMRSIYNLAVPFRAFAYEFQGVVDLLDD KQGLRCENLPPRPTASTPLTVECPMSALSSDSLRLPATPSVVESIALEAVGITSTMAI FPLGAMVYVRVRNKKLHPATIIRVHRDADSFDVAFLPVWPLYCVVDFFK H257_09760 MVLSHAERRRLKKKGIVAMDDPAVTSKVDGDSHGSIVAQDPRDD VISKKRKQSTGNDDTSTDPISSKKRRRKRPRSKKPHFRSLTPSPITSMSAISTGHISV TPQWLPSSAVARIRQDAEALRAAGAFTQSAIGGREGESQKMALRKRHSECCGLFDDAE AVGKDVGDIRIRDALMGAIADLRETLSATVQPLADVMELQYLFYPGVGNGFYGKHIDQ QHHVPGKVHRVISMVLYLNDAHWNSSTDGGALRAYPSPAHPPVDVDPAGGTLVLFDSG KLLHEAKPTQRDRWALVGWFMAAGSA H257_09761 MLILVEGYLKKRCANLPLMRRRYCMLVVDDSQPSSSSPKIKVML RSYKHEEARHASIDSFVSSHVVKCIGEWTGKGNLHTYPNAFVVETLQSKLFHCSADTL EDKVRWTTAIAVYDRLSVGSSCTAAVVHTPASYVRLSDATEPGPVIDDETPVNPPPLE AQHHVATDTAAPTTSIRRPSARPMSLRNDALFKSKQRRRGNYNDLDIDSGEEDHVDAV HLDSALIHPQPASSPRPSVENDALDDIPFSYVDAPAMSMQYITVTATNSDAHHVDEEE YLDLNPDMVARFSDMRMMEARGLSHDISHRPKRQDVPTRRKHRQDDLVQPPPRSKKSL HKKQILDDQDAHLRDKTTKKKKKKKKQPPNDDQLQLPPRPSSTLLPPDAPPPRPPPPS VQLPAIDDF H257_09761 MLILVEGYLKKRCANLPLMRRRYCMLVVDDSQPSSSSPKIKVML RSYKHEEARHASIDSFVSSHVVKCIGEWTGKGNLHTYPNAFVVETLQSKLFHCSADTL EDKVRWTTAIAVYDRLSVGSSCTAAVVHTPASYVRLSDATEPGPVIDDETPVNPPPLE AQHHVATDTAAPTTSIRRPSARPMSLRNDALFKSKQRRRGNYNDLDIDSGEEDHVDAV HLDSALIHPQPASSPRPSVENDALDDIPFSYVDAPAMSMQYITVTATNSDAHHVDEEE YLDLNPDMVARFSDMRMMEARGLSHGTHVPVSHL H257_09762 MLNMEQQRREKRQETAEKLAAEFPIPKWFDLSLPALQAGDATAS AVESSTKSPMKPRVSLMNSAVQLDILRQQIQTAGPTSSVDKLQRRSTMTALHPKRSMP PPTLPRLPNGADQYGLWQIHTSPSKHAPLQKSMTSTDMLRVMQAQQQNISLKKIVDPW ATVDPVTAPRSLKSHASGGSLRHLSSVYSMSRGDDSNNSLHAALEGLHPPSRRASQVS DHPSSSIALDDDILDDSLSEQDDRINQDDNNPTTMTSQLSTSSTHLVASDQNTNSMAC RRQMSMQQHDIKGAALLTLGTQRRQTMTDISVFADTYLIKKIFKRWDAVEFAFSGGDL NQAQVERVLHTNGMSATAMDMERIRTEIHQYMASHWMPPADDDDDQGVEDVTTINTDT VHVHVARKDAVAAHFGPRLISYDMMRDIFYPKDPSAIATWTAELDAEKKAAAADQAER DRQLERLEQKIKQRLQTTAQGMLRVLDRFDFMHTTWANATVQAATTTQLFKLIFRQRN QKLLVHDDTADDHRSVPAVLHALLQTYSRNRGLDDMDLEDAAHVFHDVAATAIQNGAR RYFDRKATFWFPERQQFFAFQLKKRVFRAWRGRAQQLHHQRMLVYRKFVAWRHHVVLA ARYREMYRICFWPLYVWKRYVQFVLLSRSKSMFLRLVFHTYVQLRILRGWRRYVARKQ WGRGVIQARKVARNALTVGSIVTAWHAIIQVSARLKRIWEKRGLSMHWQTKHYTIQVA MHLWRYYTILRQDIRRRKYVCFHGVLAKKNDPWDGDSSHEHVPLTRIMESHLGSKIKL KSHTHDLGIAMYIKYRKKDRQAILAMAMVFQRVAPLFLRILVMHRERRKRGRFATDLG LFRLFAGHFSTWVRYLLYRKHEDALRRASSKRLRDQTQPDASSREVTKAAPANDVKPM SKRALQWRADREWRDAGLAQAGVDAVDLAVMLETLALERLDSSRRFADREATLVGVKN AELRLQQDEESKSNGMRSQLHHCASQILHTRVRRLYETICRTFDVLQDIANRMLLKST FRTLRLPRSDKHAAILCRRARLRNWIRLARCFGYWEQNMERFHTLKSLWHMWRTWLAF LRRRAQYESPGLSRHMKRRRMLVSKFEAYLVEQEFMLIPTVLGKKLVYNAFKAVFVRW VEWTQLTFATNAITRQFRTRSAIRRLGQVFVAWKVQLKAKYIVLPSFSAEKRPTADME RVRSSLWSLRKHLVSKRIRKVLGACDRKLKLSVCSNPTLKHLFAMHSKDIMKRLNLEN RLMFVAYNERQVHHYEERLSPLMGGEIGQRFDYVDVVPFGHIRQLNVICGKSVDGIAV LVKSYNHTVEGKIHGNPFGNSSVFPLGPGELLVAIEGYATQSTILGLRFGTSQGRWSK WYGRADAGLPFLLQGGDHEEIVGLHGYAAKDSVHGLGVCFRRTTEHNVFEGLWIDHIT HKFDSTHTPDDAASAPPPAATEDRINNCDRQFSYFLQMRSCDVYAAMDRSHKLALRMW RSESIPDEVKRLRIVMAVCRWFFNAQVHGLVALTDKENEGRRILQDGINIRASGEKLL AEGDAVMALVDKYREGRKQQLNLTLLGFKKIQELRHNMEVGDDKIKRGKQLIADGNTE ILRGKQLLPKIPLTERMLHNIRGLYRVVQTKDSMDAMSDGIKKLLLNGQPIATDTTLV DLKEVAEANDNAAFDMGQARAEVVSSKLRETLTRVAQ H257_09763 MQRFWQRLTIVRQTAPQPCVFCDHANLETNGILYEDDQVMAFRD KHPRATAHILVVPKDHIESTANLTPSHASIVEHMLNIGKDVLHQECLRHGHVPSKPAV FGFHQPPFNSINHLHLHCLAPPFLPSWNELRYIETPLLRNFITADSLLLQASAARPTS HYK H257_09763 MQRFWQRLTIVRQTAPQPCVFCDHANLETNGILYEDDQVMAFRD KHPRATAHILVVPKDHIESTANLTPSHASIVEHMLNIGKDVLHQECLRHGHVPSKPSV QSSMLGILMSLLT H257_09764 MTDFVRDEKPADFLLPDSVKGWFSQMYTHMIAGNVEEMDRLYDK EFAALTASYFKQSPWPEPDGDAVISLVDEDPVFLTLYAQIYYRHMFSKLQPTLEFNHA SWDNYVAIFDGILDGSLVLDAMPSQWLFDIVGEFVYQYQSFCQYRAKVKTETEVPSIW TTKAVHSYLHRLVKVSNIKSILVNGDVDASPSSTTLKELGYFALICLSRAHVLIGDYF TALQLLTPIDFSKRDQIYLNSYSCHVSVFYHLGFAQLMLGDLASAVRSFVKIILQVHR NRAYYSKFADYDQVNKLTEKALALVGIATYLAPGNNVEDQVHSLLREKFGDKLAAIQK GNTQVLTELFASSAPKFILASVAADAEDVSKDALAFQTKLFLDLVQVHSSLPALRSYI KLYRSIDAAKLARFRSTDVAAVVAEAMHLKVVADKVNSDVHFYLTNDLIKIDEQKREQ RNGQYFLSQIAKLQRVVDTCHAQTHVL H257_09764 MTDFVRDEKPADFLLPDSVKGWFSQMYTHMIAGNVEEMDRLYDK EFAALTASYFKQSPWPEPDGDAVISLVDEDPVFLTLYAQIYYRHMFSKLQPTLEFNHA SWDNYVAIFDGILDGSLVLDAMPSQWLFDIVGEFVYQYQSFCQYRAKVKTETEVPSIW TTKAVHSYLHRLVKVSNIKSILVNGDVDASPSSTTLKELGYFALICLSRAHVLIGDYF TALQLLTPIDFSKRDQIYLNSYSCHVSVFYHLGFAQLMLGDLASAVRSFVKIILQVHR NRAYYSKFADYDQVNKLTEKALALVGIATYLAPGNNVEDQVHSLLREKFGDKLAAIQK GYCQMLPIWGIDERSMIGYKEYRLQFDWLKRLEYFN H257_09765 MDFEVPDGVAITFDTFSPQHSSSCSYEDGDDSDKVDVAADTSDH PQPSSSPFSNVIDTWYLGDIMDKINALDAVTGGRVRDVLTKLRSRAYAPVPLDNASWT VVFCSLCQRYATHDAAHHLCRICHRMGDHTTATCTLVPPLNRKCTFCGSQPTGHDSND HCCVHCYTRGQHNSCGRSSLSSVALSWSSHVASSSIRSLEPPPLPVAAPGSSTTTNSP ATTPATTPATSSATATSPPGCAFCGGTDHDTVHHRCRRCHIQGHHRSRQCTSKSSLGS SVVSTLLALPAQAIVHQYRSTLSNLSPWTSRSDTTAVFLLSDMELRLRHTLQRLGLWG AGSQTPIGTPNSDQYHAPAATSLATSLPPTPPPLPPHYSVVVAYREGSSVVPDRILKF MRLLMHTNVSTNAFHVEVHANASDDKRPGMVSPKSLPPSPQTRAAYQTHMDGYTESVL VGAKLKLVAYVQTAATPQV H257_09766 MPFTASDGTEFEDRDEYRKYEFETNYTFKNKKDETLIKAPGQIQ GQPFDLADLENCQVFLVDHSDQVQIDNLVNCRVYIGPSSESVFVRNCTNCFFTIACKQ LRTRDCSQIQVSLYTLTDPIIETSTNVVFSTFNAAHHGLRQQFEAAHLEPENNHWTQV YDFNDPNKTGENWKLSHDAVEPWIIPLDQIVPSPDSLGPLENPVPATATPIVAHDTSM QSFSIDTSQEAASAAVDAAAPAVESPPSPPHEDDFVPAPDTTDASFYDENPAAVPVHD TPEPVHEVAAPPPAPAALVTQQSWPVLNEFEAKMAAEVEAKREEEERLIREVKSKAEE ELDKYYGDRTDKLAHRAATNREVEDEKKKSQELLVEMASEKPWNRVTDLVDTNVAPKP KVEGAANTGKKDAAADDFFDTSRMRSLLLQLKNSGGPEATAQ H257_09766 MPFTASDGTEFEDRDEYRKYEFETNYTFKNKKDETLIKAPGQIQ GQPFDLADLENCQVFLVDHSDQVQIDNLVNCRVYIGPSSESVFVRNCTNCFFTIACKQ LRTRDCSQIQVSLYTLTDPIIETSTNVVFSTFNAAHHGLRQQFEAAHLEPENNHWTQV YDFNDPNKTGENWKLSHDAVEPWIIPLDQIVPSPDSLGPLENPVPATATPIVAHDTSM QSFSIDTSQEAASAAVDAAAPAVESPPSPPHEDDFVPAPDTTDASFYDENPAAVPVHD TPEPVHEVAAPPPAPAALVTQQSWPVLNEFEAKMAAEVEAKREEEERLIREVKSKAEE ELDKYYGDRTDKLAHRAATNREVEDEKKKSQELLVEMASEKPWNRVTDLVDTNVAPKP KVEGAANTYVCMTRG H257_09766 MPFTASDGTEFEDRDEYRKYEFETNYTFKNKKDETLIKAPGQIQ GQPFDLADLENCQVFLVDHSDQVQIDNLVNCRVYIGPSSESVFVRNCTNCFFTIACKQ LRTRDCSQIQVSLYTLTDPIIETSTNVVFSTFNAAHHGLRQQFEAAHLEPENNHWTQV YDFNDPNKTGENWKLSHDAVEPWIIPLDQIVPSPDSLGPLENPVPATATPIVAHDTSM QSFSIDTSQEAASAAVDAAAPAVESPPSPPHEDDFVPAPDTTDASFYDENPAAVPVHD TPEPVHEVAAPPPAPAALVTQQSWPVLNEFEAKMAAEVEAKREEEERLIREVKSKAEE ELDKYYGDRTDKLAHRAATNRYAYCRGSNMCMLKEGCTGKWRMRRKSPRNCWWKWLRK SRGIA H257_09766 MPFTASDGTEFEDRDEYRKYEFETNYTFKNKKDETLIKAPGQIQ GQPFDLADLENCQVFLVDHSDQVQIDNLVNCRVYIGPSSESVFVRNCTNCFFTIACKQ LRTRDCSQIQVSLYTLTDPIIETSTNVVFSTFNAAHHGLRQQFEAAHLEPENNHWTQV YDFNDPNKTGENWKLSHDAVEPWIIPLDQIVPSPDSLGPLENPVPATATPIVAHDTSM QSFSIDTSQEAASAAVDAAAPAVESPPSPPHEDDFVPAPDTTDASFYDENPAAVPVHD TPEPVHEVAAPPPAPAALVTQQSWPVLNEFEAKMAAEVEAKREEEERLIREVKSKAEE ELDKYYGDRTDKLAHRAATNRYAYCRGSNMCMLKEGCTGKWRMRRKSPRNCWWKWLRK SRGIA H257_09767 MKQTLLRDSDMSDLTPMLESSRSTICDPDTLLRSPPLGPTPLKR VREDAALRSSTAVDPAAAADSFRQSSRGASAHQLASFGVVQDTDKAAAVAPSSEQDAT AARRGFVRIYFENSNFTSSTVLRVEEQTSVLEVRHIMANKMRLSLKQVAHHAILMLYV NSKGSSMSARTLHDNECIYQVQEAIHSERQSTPEIVVNETSGPVRAKPRHRARQTLKF IFKDIRGKPIDLEAELELTPNYSNSTTTTLSQYGSHAAHPRNTTMSQDTVFLLPQKLG LGLRAGPLKKASVKDANVWRSRWFVLKDDKLYYCKSEANQRDVTAIPLLNAHIVKQDA LVPHCFELHTSRRVYRFCTDTDDIMMAWIQALHREIRLAAENQVLTKAEIFIVDEAVT TCERKQERAPFAVSGILGYAALRKMFRDFMTSESMASVILLDTWAECDLFRRNGLVRF QQAASSATNATDEWDHVKRLLLQYIPAAQQAGAQLQAADLDSCRQSMHDNQKHRRLSI AMDSSTHVTDFPSPNLFVNIQQDILRALDEGPFQRFIAGRGYTRIIDRTIRR H257_09767 MANKMRLSLKQVAHHAILMLYVNSKGSSMSARTLHDNECIYQVQ EAIHSERQSTPEIVVNETSGPVRAKPRHRARQTLKFIFKDIRGKPIDLEAELELTPNY SNSTTTTLSQYGSHAAHPRNTTMSQDTVFLLPQKLGLGLRAGPLKKASVKDANVWRSR WFVLKDDKLYYCKSEANQRDVTAIPLLNAHIVKQDALVPHCFELHTSRRVYRFCTDTD DIMMAWIQALHREIRLAAENQVLTKAEIFIVDEAVTTCERKQERAPFAVSGILGYAAL RKMFRDFMTSESMASVILLDTWAECDLFRRNGLVRFQQAASSATNATDEWDHVKRLLL QYIPAAQQAGAQLQAADLDSCRQSMHDNQKHRRLSIAMDSSTHVTDFPSPNLFVNIQQ DILRALDEGPFQRFIAGRGYTRIIDRTIRR H257_09767 MANKMRLSLKQVAHHAILMLYVNSKGSSMSARTLHDNECIYQVQ EAIHSERQSTPEIVVNETSGPVRAKPRHRARQTLKFIFKDIRGKPIDLEAELELTPNY SNSTTTTLSQYGSHAAHPRNTTMSQDTVFLLPQKLGLGLRAGPLKKASVKDANVWRSR WFVLKDDKLYYCKSEANQRDVTAIPLLNAHIVKQDALVPHCFELHTSRRVYRFCTDTD DIMMAWIQALHREIRLAAENQVLTKAEIFIVDEAVTTCERKQERAPFAVSGILGYAAL RKMFRDFMTSESMASVILLDTWAECDLFRRNGLVRFQQAASSATNATDEWDHVKRLLL QYIPAAQQAGAQLQAADLDSCRQSMHDNQKHRRLSIAMDSSTHVTDFPSPNLFVNIQQ DILRALDEGPFQRFIAGRGYTRIIDRTIRR H257_09768 MLFCRLLPPRASPSSKCALHAYRRHCKSPRVPQPSVRPRQLCAG HGGKNVCRPGCTLRARVANVCFQHGANKKMCAWAGCPKPAHARTYGVCRRLRREHKKT TASPPPPPGPPPTLFRWPSIPSNTTGGAVTRMLSVVSVGAATALTFSMCF H257_09769 MAVHDYSGVISNVNSPSADTMSSDRTCLISGCNDVVLHNSWRCK LHRHRGMCIIDNCTNQAYARQLCCRHGAKKECAVDGCNLRARLDNVCYKHGATKKLCT EVGCTQPAQARQRCVKHGGGRQCKRPGCTAHARTGGFCQRHRTSSDVPTPLQLLPTVT ASKGGINMTTLWGDTATLLAVKATSPPTHNRCFSMDSATDVSQVNHTYAPPHQPSMMH LIQEMNHHTVAPLQQQRWDPLHYHHDTSMLRGIFELMDDL H257_09771 MQGTAAPNENRRRGTCSVTDCTNQVYARQLCCRHGAKKQCIVTG CSLRARLNDVCFKHGAQKKQCIEQGCAQPAQARQRCVKHGGGRHCKVNGCFAHSRVGG FCQRHRLPGSLLETMDMSWDESKAHNDRSYSVASNSDVCASADTSAVVLDPWVLCLVD PIRLNQHTCCWSNLSEEDSAMMQDIFGLLAN H257_09771 MQGTAAPNENRRRGTCSVTDCTNQVYARQLCCRHGAKKQCIVTG CSLRARLNDVCFKHGAQKKQCIEQGCAQPAQARQRCVKHGGGRHCKVNGCFAHSRVGG FCQRHRLPGSLLETMDMSWDESKAHNDRSYSVASNSDVCASADTSAVVLDPWVLCLVD PIRLNQHTCCWSNLSEEDSAMMQDIFGLLAN H257_09771 MQGTAAPNENRRRGTCSVTDCTNQVYARQLCCRHGAKKQCIVTG CSLRARLNDVCFKHGAQKKQCIEQGCAQPAQARQRCVKHGGGRHCKVNGCFAHSRVGG FCQRHRLPGSLLETMDMSWDESKAHNDRSYSVASNSDVCASADTSAVVLDPWVLCLVD PIRLNQHTCCWSNLSEEDSAMMQDIFGLLAN H257_09772 MVSTMPMTHGSNSTVDVSAAHTSSVDATEYDRSLWALDSSQLMS IVSSNDPGRRCRWQNPPTRECAKHPLTLQWRPPPCLTQRCRACAGCAHPCSMHCFFWA PCLKHTSLSRARREQPLTMHCFLAPWRQHNCRAYTWLVQFVTEHVPRRRWSCFVAVVC IIPIFLK H257_09773 MMQTTATKHDHRRRGTCSVTNCTNQVYARQLCCRHGAKKQCIVN GCSLRARLNDVCFKHGAQKKQCIEQGCAQPAQARQRCVKHGGGRHCKVNGCFAHSRVG GFCQRHRLPGSLLETMDMSWDESKAHNDRSYSVASTDDVCAADTSTVELDPWVMGIVD TIKLNQHACCWSNLSQEEDAMMQDIFGLLAN H257_09774 MSYRPSRSLSVRRGNFELVLLLSMDKLASESALRNVRRFLLHCG YNRGNKKGKKSLALTEKNTLLRDLYVRHESFVHHHYNKNDISLHDPTDELDIQPKAKH KGKRYCFIGAIVDGGEENSIFIAYDKFVGAGRKQTKEYHGMFNHEYYLMWFKRLLDEL AARNLQTTIIVIYNAAYHKCRPADTPAIRSRKVELQIACDRFGLDWTLSDLKSVLWAK QNQTVCGRDQTMAEAAGHEVYFSPPHHSNLQPIGVVWAIIKGNVGRQYQDDTTFQDVG QRLDAACANLTSHSIFGCIRKAEYDLLDLHRHVSIIDDDNYQEDNEVAGDGSDTSCPG TVDDQEADLRDRQCNTEPSHRWADAKTCCEYLVTQSLSNEINPRNLLRTGATLQRMQW TGTEVASSASGSLPYSVDELGEAVAKRLQQGSGGKFVVEPKSTKQLSMDGFVAQKTIP TARSGLQA H257_09775 MLTILASMHSDQALFKLCNAIEAWNDDAEDMDDAWEILSSSNGP RQTPGSGAYI H257_09776 MTQPCHFDGCSQPAIANTMRCRHHRHRGRCMVDNCSNQVYARNL CCRHGAKKQCEFDGCTLRARLGNVCYKHGADKKQCEEPGCSQPAQARQKCVKHGGGRK CKANDCLAHARAGGYCQRHRAALSPKVVAKQDINMRALWDDHHHHARHDSIDDVSDIG SVMQTDEALMCKVEGIDLSICTDVFDGHWEPFHVDEDKSMLRDILSILEQL H257_09777 MAAPSTFPLPDGYFSCPVLSPDAVRQYVSMGEAMCLQLVQRAKL HGGAIQWSMLADTKDVQMYSGHDPDGPPASSTFCGVTVVPSSIDEIAAAFQCSNTQQY REYCRRFSSGWLDAVNLYSVQPRTVAQPYCSTNIKWHVQQSPAPSLIKHRDHVFVESC HEFHVSGTSLRGWVQALKSIELPICPEFPASFNVVRGIQHLSGYVFLESPDQPGHVRV ASMWQLNPRGKVPKWVITNAIKQRCVALQTDLNSSFQKARLLQSMPALLPQHAMIPTS HRLRCFACQKRFRPFSRKQNCRVCGEVVCRRCSQTWQTNELDVCLCVVCSMEMVKRPV LPLPGPCQVDGDGVALMDRSGGGAADALSTMSSSQSDEVIVPHMWRQVEAPPKDGMTV ITVEDFLNMISILEDDDAVRRTSIDAMSMDDGEGSYVAESCRNDLIRLPRGWGDYND H257_09778 MLDTLAISLAALVVLAFSVLVASLVLLLVQLLILVLVLVLRLLV LLRLSMALLLLMVLLSVAPLLMELVAMAPLWVILLLAMPLSLALHWVLLLYFVVMLWA LIQFVELVVVAPQFSGRVSLVPGLLLLLMVWPLVVPLLVLLLLVLWLLVVPSPVLNVV LVL H257_09779 MASSWIHLPRSHIEWRQVEHGFKLKNGMVGVVGAIDGTLIEILR PRLHEGFYNRHGDTSLNIQAVVDSAGSFMSVDMRAGSFSDKKIWKLSELGNTFRAKAP H257_09780 MPPPGPKSMKITTTAPKTRRTPIQPWSPIRIRAELASLVFADHP KRPRSRKNTIVEKRFHTLRIFHRLYTTQAALLIPLRRIFTPAWFQIGDETFSDHLIGS EVSPSYIVSVPANIPELSSPSQ H257_09781 MATQGVLTYPKGITHRTRPSIAQKLHAVQIATASSVHHAAQSLG YGERTVRRWVMEQDKLRNFSGSKARKRNTGNCEAVPIIPDGHDLVIHMKDLRRQDLAV TSSHMLQFLRADHTDWIENYKSTRKTGYKSLLRLLKHFADRHGFSKQRICRQKKTQED LEATRLEFGRYFHDKYHGVAMDTLYNADETGIYVANSESHSYRMTALLTIRGDGAKLP IFLSSVARIELGTNCNDWYP H257_09782 MGLPEGPGGLWNEKEPRQSAQNERTRSKANTTGCVRGRSSSSRI QFDLDLDVSPRTVRRLLNKTTHFQYKKRKPTPRLTKAHKQARVEWAKDHVYFGTKWAP H257_09783 MKGHDGDCLDTRMADVKSIASQYRAAKIPSLLEIAASNYDAFLN ANQYMVKLSELFLDDKQIWGIIRAISSNAKASGQPCSVASIDRDIKDALATRHLRLLA LGEHGTADAHSLAFY H257_09785 MTDRYRNRKGYTSTNVLGVVDADGRFLAVLKGVPARALFTVRYG LSGSILTPYRSTRYHLREWAEDEDGRQRNNKEVRKRKWDILAKPMELEIPLVNIVIHT CCALHNFVLACGADLGADDSDDDTAGAADIAHELEKLTERFESHDSGEWRDVLANDM H257_09786 MTTPTKPAKWNLELEIEFIALVRTVARVPRFRAAGGKSLRAEGW RDVLKGMHAWEPSIKSKDQLSNKWKRLKTDYTDYHFLVTCSGYGGGFLDVHWSLDTAP LTGIDDVFGGDGGVLGERAGDGNDGDDAEPPFANIDETNERDVDAANVDQDLARPGDM ASAKRRAAILNKLKQNRKQKSIDQDDPTHLLRVEATTAMTKISNSLGDLVQVFAARHS Q H257_09787 MRITRQPGTATTLSYSDEENIALWVAELRQDGVPVSNLLLQCKA LEVAVYLGLSKDQFKASPSWIKSFMKRWGFAIRAKIRSGQANLEVGLQALAEFKTTIR QIILDNDIEGINNADQTGINYEYIPNQTINKEGAKTVWIKCSGHENDRMTAMLLADAK GTKYPLFLRNGFGRRVWNEINDLHDNFPLRIYGNPSAWWNSDISLHFLEYHFGHRRGT SAKYVLLLWDDFSAHFTENVTVRAEELRVLLARVSRTFTWMCQPADVAWMKPIKALM H257_09788 MAPPLEPQYLRLSTGRPVIKNTKRSTHKHQREYNTYAIKLHIIN WRVQHFMESAINTYAKKLHIIN H257_09789 MLGSKWTFPLPPNFFKCPALSDDDANHLVSVGEAACIQVVRNAR LQHGPIRWSLQSQSKGVEIYLGDDPKASVGVSTWCGVTEIPGTIEEIATMFMSNTTDE YRNYCRLFAKDYMDAVNLYNIASPTPSQPLRSINVKWIAQQSPIPAIVKHRDWCFLES CYEFDVDGTRGWVQAYKSTKMLQCPDLQSTFGVVRAEHHLSGFVFFQSNDRPGYIRVV STWQVNVKGKVPRWIFSRSIKMRCFALRDLHASIQETRLRHVMSESLLPIHRLIPFEL RSRCFICQIKFGMVFTPKYNCRGCGEVVCRKCCQRWNRTTMNVCVCVVCTMGSLKRGD VEGPPIVQNPRVLLLGTTNPLDESLGGDMGRHMVPVLEDDYDSDEKDVVVLADGRDRG YGNSSPRFSRRRP H257_09789 MLGSKWTFPLPPNFFKCPALSDDDANHLVSVGEAACIQVVRNAR LQHGPIRWSLQSQSKGVEIYLGDDPKASVGVSTWCGVTEIPGTIEEIATMFMSNTTDE YRNYCRLFAKDYMDAVNLYNIASPTPSQPLRSINVKWIAQQSPIPAIVKHRDWCFLES CYEFDVDGTRGWVQAYKSTKMLQCPDLQSTFGVVRAEHHLSGFVFFQSNDRPGYIRVV STWQVNVKGKVPRWIFSRSIKMRCFALRDLHASIQETRLRHVMSESLLPIHRLIPFEL RSRCFICQIKFGMVFTPKYNCRGCGEVVCRKCCQRWNRTTMNVCVCVVCTMGSLKRGD VEGPPIVQNPRVLLLGTTNPLDESLGGDMGRHMVPVLEDDYDSDEKDVVVLADGRDRG YGNSSPRFSRRRP H257_09789 MLGSKWTFPLPPNFFKCPALSDDDANHLVSVGEAACIQVVRNAR LQHGPIRWSLQSQSKGVEIYLGDDPKASVGVSTWCGVTEIPGTIEEIATMFMSNTTDE YRNYCRLFAKDYMDAVNLYNIASPTPSQPLRSINVKWIAQQSPIPAIVKHRDWCFLES CYEFDVDGTRGWVQAYKSTKMLQCPDLQSTFGVVRAEHHLSGFVFFQSNDRPGYIRVV STWQVNVKGKVPRWIFSRSIKMRCFALRDLHASIQETRLRHVMSESLLPIHRLIPFEL RSRCFICQIKFGMVFTPKYNCRGCGEVC H257_09789 MLGSKWTFPLPPNFFKCPALSDDDANHLVSVGEAACIQVVRNAR LQHGPIRWSLQSQSKGVEIYLGDDPKASVGVSTWCGVTEIPGTIEEIATMFMSNTTDE YRNYCRLFAKDYMDAVNLYNIASPTPSQPLRSINVKWIAQQSPIPAIVKHRDWCFLES CYEFDVDGTRGWVQAYKSTKMLQCPDLQSTFGVVRAEHHLSGFVFFQSNDRPGYIRVV STWQVNVKGKVPRWIFSRSIKMRCFALRDLHASIQETRLRHVMSESLLPIHRLIPFEL RSRCFICQIKFGMVFTPKYNCRGCGEVC H257_09790 MTCIKDEPPVLDIVQEEAMLAKMQDVEDREIVRLTRELEACKDE IGSLTQDCVEMGSGEMQCQRTHQEMLAEMRIIEQQIDTLTTQLAMAPSLACMTDVETF AGAIVEFTNRPKQLHQELTAIKRRKHDLQQQILVQQKNIHALEKLSAGQASRVDTLER DIADFEGILHDTEEGTHHLRQSYQVLMHQIHRHREQVSKPSKEKHRNQATNPPRHPPV RTSTESLPATETPVGKPRRDSKAAPIQTAADLIQSFQKRRYTSSKRQKTLLEY H257_09791 MTDHDPQNDFQFTNESLDKYATYEDYLDSQISETDIFYLEDEEL ARQLVELGYRGTGETLRREDFDARKKMERDRNAQKTNLPKQLASAGKDFSQLAFLSAL ANREEMVRNGKLTSIVFIRDHNSKGQEVSGYIDYALRLKSEAFEPYFERKKRLLPKPS DLSYYNWETQTCTSNSSPNFQVIADSETGLLFKNKRDRKVINVDPKANPGDNSTRTEI KTNEYMQVVIYDHMTRRKN H257_09792 MLRTNSLALVIAIATLAVQYVYAASCGVIESDTDFQGNDLVTAS ASSANACCPICNANPSCSGFAFAHGSCYLKYGPLVRITKVGVSTGVAQASTSQCAPVE PNVDYFGNDLECTPSIVTPDACCAKCTANSQCQLYVVSRFGCCIKFAPGARQVNLDPS LNAFAAFRSPPATTLKVVDATSSPDIRVDPISYSYVAGAQWFPTAPQVITTLLDNLNT TLSRQVHGAEPEQLMVVVEAGTNTIMPFTSVTSLGECAALVGAHGETFFTYLSDYGIC LGHQFLGSSSKALLRRGAALAPSAPVLSVAKAIPVDFALPPAMPGADDRACVSACQAS STSRVCAAATRSSTTCALFGPLAARSSTSIAGWLTSAFVATVKPNLPVFSSPTKVHIY TTAHQDDHELFMSNTFHYSIADAATKVVFVYTTAGDDKDALDSWRIARERGTLAVSTA WVDNLGKFNSNPKTETVTVLNRKVAKVTVGNVVHYFLRIPEYGVDGQSGYMELVNNQR PIAPVDDPSNPYANRNAFKTVLAAIYASEVNGIKTVKFHAQDPQSEQPDHPMHYATGQ LVWDIVNAADSKWKTCAPQDYYYDYQRWLDEVNVDKPVVYDLQRYAWLRMSQAIYYSN SSVLFWSMHSENLGRTYIRRSVNTNAGPC H257_09792 MLRTNSLALVIAIATLAVQYVYAASCGVIESDTDFQGNDLANAP ASSAQDCCPICDANPSCTGFAFGWGVCYLKYGTLTRISKVGVSAGAMAPTSSCGVIEN DTDFQGNDLANAPASSAQDCCPICDANPSCTGFAFGWGVCYLKYGTLTRISKVGVSAG AMAPTSSCGVIENDTDFQGNDLVTASASSANACCPICNANPSCSGFAFAHGSCYLKYG PLVRITKVGVSTGVAQASTSQCAPVEPNVDYFGNDLECTPSIVTPDACCAKCTANSQC QLYVVSRFGCCIKFAPGARQVNLDPSLNAFAAFRSPPATTLKVVDATSSPDIRVDPIS YSYVAGAQWFPTAPQVITTLLDNLNTTLSRQVHGAEPEQLMVVVEAGTNTIMPFTSVT SLGECAALVGAHGETFFTYLSDYGICLGHQFLGSSSKALLRRGAALAPSAPVLSVAKA IPVDFALPPAMPGADDRACVSACQASSTSRVCAAATRSSTTCALFGPLAARSSTSIAG WLTSAFVATVKPNLPVFSSPTKVHIYTTAHQDDHELFMSNTFHYSIADAATKVVFVYT TAGDDKDALDSWRIARERGTLAVSTAWVDNLGKFNSNPKTETVTVLNRKVAKVTVGNV VHYFLRIPEYGVDGQSGYMELVNNQRPIAPVDDPSNPYANRNAFKTVLAAIYASEVNG IKTVKFHAQDPQSEQPDHPMHYATGQLVWDIVNAADSKWKTCAPQDYYYDYQRWLDEV NVDKPVVYDLQRYAWLRMSQAIYYSNSSVLFWSMHSENLGRTYIRRSVNTNAGPC H257_09793 MGRDVNVTKLPQLVARSMGRPIKSTGWSSSASKIKCTPTSKRKQ PVNTTKSQGISGPITKPPVQLSALDQRILVLYNSKLRRHTMASLLQDDPSTPLASTTA AHSAEAHHAALAIQRLIRGYLCRIAIHAFFGPINQHNALLIQRHFRGHRGRQRVDRIR ARRVFVHARKIQAWVRGVLTRDRLAIALVLDTISKVQLLQRVVRGHRGRRAAHERRFH KHTTSTLTIQRVYRGYRGRERVKHMHYKNQANARAMALSTQRHAVCTRCKGCSWNHAT EPSLLACTFARLLGLYDLRGATLLVEDGLHRFPSHPMFPLLMSVILQVQCASVELAML YLHKAKTLGLADAVELKQCEAKYFFAGLAWQPGNAVMCVVFAIYLQSIGLVKRAESYY KQALNANPLEYPLPAYLARKALCEHIVLNFKRFLCLFKLSPTLHVRLSHRTFTLPTTT GHGTSMVVTVHVSRLNHFAAFLPDNPAICNGLYIADDELSYLLLPDTNHSHGSSSTLA NGSVNGNHDLEPRQPPNALRGHRKALMGLHHRRRTHGGSSTPKEQALLHEARSTVRLS TEQAEKILNQLVLVPAATAPGSYVLLLPQLQRLREYQTSAMLHYEALVNIQRMYRGHV VRYRKVRVQLCDRIRDDQMYQLQQLLHCRKFLRDERARAATQIQALRRGCVTRRRLQH MSTAATQIQSVLRRQLAKLRVEDIRQGNTLQFPVVRVYHRGVELQGKLVVLTIDQRGL SFRFTGTDFAAGCEYSGCCPRSRTLQMVRYWHELYAAACMGQPVYHVGHFGTVVAVDD AQTATSSDQFRVVCHFKTHEVSLSLVEAGLAIRSAHKSGNRRRSSNSNPSTGNVPTTS TPNDNNEEQSLFPLPVDPTKSDQLVAAILPHIALVPNIAVPTRQMQATSAAMTLAVVV SPSSSTPLYVASLAPMNMTTRTRPQLPPVLARQLPKPYQTRLRVDSSLQTRHRFHSSH LSYLPPTSPPFAPGHPLYRKRCVRHFSHFSRCKCCLPIAPTPAHVAALEAALAIARPT NDQSRHTVLTNNIANRSHRMWIYDK H257_09794 MDSGSTGSNQSTTVFLTETKVARHAYPTPELADVEPLVSGVSLM SQSTLLLKKRKELREINDALDFMKEEYAQRVETCAERQREFERKQDEMREQVAKFEKF IKENDSKRTRAELKAKTEHRSAEQNDVRKKQLVLQLDKDVASRESLEKRRDQLLKYRT YLDSAVEASEQEYEEIADILNRHATLLDANNDLKAQVQSAESQIDKLRQDLRTFKTEM ENTILVQNSEIHGHQQHLEKIRGETFQLDLGRGRDDRISNDRSRESGQIVLAIKNLYN RCRTSGKIPPVKETPGETMVYMTAILKVVAERIVDLDFITTSYAGTSGGGGGSGGNVI EAGGAPNARHLKQKKLPL H257_09794 MSQSTLLLKKRKELREINDALDFMKEEYAQRVETCAERQREFER KQDEMREQVAKFEKFIKENDSKRTRAELKAKTEHRSAEQNDVRKKQLVLQLDKDVASR ESLEKRRDQLLKYRTYLDSAVEASEQEYEEIADILNRHATLLDANNDLKAQVQSAESQ IDKLRQDLRTFKTEMENTILVQNSEIHGHQQHLEKIRGETFQLDLGRGRDDRISNDRS RESGQIVLAIKNLYNRCRTSGKIPPVKETPGETMVYMTAILKVVAERIVDLDFITTSY AGTSGGGGGSGGNVIEAGGAPNARHLKQKKLPL H257_09795 MDSPQRYSLAPPPGMMPLGGVEIDISSLSLDAAGPIGFRSITQP PQSQPEAEPMLDHHRLTTSSPRDGSPRPSSPPLTSTTVLPATFLKTICDQLEFYFCDD NLLGDLFLLKNMNADGYVKLELLASFGRVKKLTTDLDLIKQALELSTKLLLSEDALSV CRKDPLPYDQTYHGKLARTAIAFNLAEDASIASLKEAFRTCGEISYLRLLKKNGVTGR NAVLKPPIAAGETYAIIEFEDPDMTNHAVLTLSDQYNWRTGMQVVLFDGTTTDKLKQR MFPVDTMDPQRRGRAKSAAAAFITPGGPRLSVSSSSSSSGGGASTPVHVDAVGEGLND LSEGKVSTGTVFSIRGAGGLITPARQDGSSISFRLVPDDDGLVDIRQGDYVSFTVGKN KKSGRKYASKVKLEFRPPSNLPAWGGLAGSSPRQDRSSGRYEIPASRHRAQTVDTATS SSSMTRGRSISTGQNNLPRPRLPLKSPEAGYRTPSSTAESFRQAMGPDGTRGFGETRQ RQASHESAAFAQELSGRRRAASSTMLPPSSFY H257_09796 MYQRRQLDGRGSAAVATTSIRAGACVVRCDPTAAVPLDMASTCA YCFAPGARRCGLCKILHYCSRPCQLADWKVHAVECTYLAKHLQANPMTPTLLLVIRLL RSEASMAAVQHLVSHLDNHTANKLDDYRAMGMLVLSIMTRMQLKTPVPSLESVMTVFG QLNCNAFTVCTPEQVPVGIGMFPDAALLNHSCAPNCILVFHKRQLSIRAIRDVAVGDE LTISYIELMTCHAERQAELQASYFFTCCCTRCTTPEDPAITSLLQPLSDHTFADETEC SGDAVLSQLLRLQAQADSMEQSHDTRGAVDCRLQAVALARKLYGPRHAALTLALETTA NLITSAEHAAVATPSDVRHAITAYRHVLANQSRLYSTFHMQAFQGLCQLKYARLLHQH PDDDDAVASLREACRMLTLSHGDDCPLVQDAIALLHDTQRDQHVRRSSSMG H257_09797 MTSAVLGYVGIMMSVVFFGTTYVPAKQYPTYDGMVFQWYMCSGI LAVGLFWGLLSNQWDHFAEQGMYMFPQGLLGGCLFALANVLIPTVVNTLGLGVGFMLW NGANITMGYLVSRFGLFGVHPTVPTHPWLSALGIVFMLASIVVYGMVQPKLSNHVSQL TSATRSSTDPLVDVTTVDETTPLKHKDHSPASSSDSILDLDLNAMANENLTESFIHPE LPNYGPFSLPAEVPQHVAIANAEDERKRKYIGVALAILLGGILGNCLTPYVLWQQSCT AAGGCNPLNFLFSQCMGIYVTSTVCFLIYASFFRYHKRSMPRSAMRPAYVSGLLWATG LAGQLLSAGNLGFDLVYPLTSIGPAMVSMLWSAIYFKEIEGKRNMSIMALGTAMIFTG TLLRAVAA H257_09798 MPDIGGGVRTGGSTTTEPMRIAMCCDFFYPRLGGVEMHIWSLSQ CLIRRGHKVIVITHQTDGPNKRQGIRYMTNNLKVYYLPLVPMVDNVTLPTFAGGFGLF RTVLIRERIQIVHGHQATSAFMHECILQAKTMGYKAIYTDHSLFGFADAASIHLNKVM KFTLSDIDHAICVSHTCKENLVLRASLDPSIVSTIPNAVDASKFTPSSSATPSPPLDP LRDPITVVIISRLVYRKGIDLVGKTIELVCARCPNVKFLIGGDGNKRLLLEEMREKCQ LHDRVTLWGAVPHEHVRHVLTQGHIFLNSSLTESFCIAILEAAACGLFVVSTRVGGVP EVLPPDMIRFSKDITPEDLADAVVESIPLLAKVDKTDFHDRVETMYNWYDVAERTERV YRNVIQLPKSPLIHRFRKYYGMGPVAGILGCTVAACLFVYLQYLEWLKPSEDVEVALD IVADTSSHQQTTTTTQQPTVPT H257_09800 MADEVLVELPVQNGNGVEGRHVALDTWVRVKTFDDTPVIEGSVY TLDPVSGFLVVKGVDSTHLVHIAAVQSVEIVAKDDESWESSHSSLNGRSSVPTSSVSE GKLRKLELQNRELAEKALASIGKDVTPLGQSIFDVLSKTMPCHWEGQHIRVMDVLIRP PYDSRSCASSDQVMLDRIKKILDALHRKLAKQ H257_09799 MATWLQKSLADALGFSETQDIAAFVDSIESKEDIYEAVVQMIGE DKSAVAHTIAARLVDERRPKVVPTATGALPAVDATPKPRLPHASPITNCLECGLIEYG GSPACASCGVLLHYVDERPDAHEAREHRDRLLANDASLSQRTVVHDMNAHFDADKLAR DDAAAVASAMTVHIDLVNRSIEAAPMASDQADMMASLVHHLERDVRKVAKGAPPPLVH HGNYTVVNGDGIADEYV H257_09801 MTTAVEAWTAKQLTHLGVDSVFAPYVLGMLDMETGPTSSYDDED EKRQEVLDLLMGWLDDSHQAQAENFVDELILYMKNPQQLALLNDTPTVVDVAAAAAAK EEEEFARLNATASSFVPRDEAHADARTTDGAADDDAFEFDVDDEEAFYWSVAYELVEQ LLLVFPVMDPERLLDLLKEVDLNVHRAQATMQGIVDSELSKGNNAQVCRHYLQGDCRR ADCWFLHDTHLIPCRYWIRGGCLQGDECAFAHSFAVAVSQYSSSVVADTDEHDDEEPL EWNFPALGGLTTTVSDDGLSLNFKRAVAMAPTSSSSYGGSNSWFGSATSANLTHKKQS SVPGKSTMSITQWVETGDQVAVQYQRARATARDLALARNQCFMNATRAFRANNKAAAK SLSRQGQQFNAEMKQAHFEAATIIFNARNPQYEVDGIVDLHGLHVVEAVELLAWLLPS IKTREAICVVTGSGHHSHHQRLRPAVERFLATEGYTFTVVPDRKGYVGMLNVSLRW H257_09804 MEVLRSAILSEIQALVHVFRQDYVKLKSTQLQGLASLRVHVYQW TDLADFESQTVLRPFLDIVRNENTTGPLTRTAMESVCTILQAYESSTTSTSGLSMQYA LSDVVDAVTQCRFQETDPESDQYVLLMVVRVLDMVMQCRDATRQLHAGTMWHVVESLY GISRSYETQIPSVLRSLATESLHRLMRIVFTPTSSPSSPATSTAASLDTRILAFLVQK VQLHAPPSPSTTTSSSSPAPTPSTTEREILVALELLSTILHVAGGSLAPPLLMYIQDD LCHALLHICRSVSTTSTSTTTTTSSTTIDNNIYQVTSSLSVGMATLPLWRLLWVHLGP HLKWQWEALVLGGIVPVLTTCLNDQDEHHDDRHMRMTWKVEMAQLMVDFLRDPLFVID GFVTYDCAPNPRANVVAAALDALFFEAIEISGDDEHSETTELACLGVLNALQMLYRRA QLHQQHLSCGDERLPSPETLLAQRQRKQLFQDAITTFNTKPSAGIDLLASSGFLPTPV TPHALATFLRALPRGMDKNTVGQVLGSLGKLPQPESSPRSKTPVTNNQSKPRHDSIEF RAQLRQAYVETFELHQMPLVDALRTFLGAFRLPGEAQQIDRILEAFATHVYAQCRERD LFGCVDVAYLLSFSMIMLNTDLHNANIRADKKMSCADFVKNNVNYGLSNQGTPLPEPF LISIYHNIATNQFRTSDTDPFGPDRWNDLQRLANVEDKANWMTSVARQHHQYDPLVLQ VVALRLLDTAPTLIHSSNRRVGDLAMQLVVLTGLVSASLRSAALVDRVVQVLATASTL LDTIDTQETLQGATYTFLNDAVACAATEGLLEVWAQCAFEFRNAAWSRFNAVVCRLRE FHVVPRSLLRHRPTYRSADETKAFVLTVRSLARAKRHSSKKSGGGGGYLDSFMSRLFF FSDEPLDQHAASVADNFELRVDDLLLDEDDDVASAQVNNTTTTSSLNRDGLLPLWAAK RLALYYEHMHPLSDASLVSFCHAAWTEIERVVLPPAKSKACTVPKLSPGGAVFLEQLV LQVLGKASPSSLEMAADRLWSHADVVLSCADPFIRGNLQVQDMAFENAVFLVDTLVTG LTTLGESKRLGLLLQLHHHVLIGPVTHAVLRGLVNMPPHVEHVHWVQLLAPVQQPAWI PEVMELLDKWMRTLLLVDVADQLDVTALINVVFTWGVFPVQAEAAAAAQALKYAVQLF HHQSTTPLVALWIVGGVLALRCHPTAAVRANSTLALAQCLLEAPLSSSSSSSGTCHRL DPETWSLVLRFGCQSERGVDVPTLFVPPAHDEHADDSSIMKTTTSDDESKEWTALSEG IRLRTNDSTRTSSTTTTSSTSSGATATTAEFGRMQVLVQVFLHHLDDLATLSDFELVW TELLQALTWHMSVHYEVALELLRNVVQVVSVSCDQPWIQSTVQAITAQYPDVALLPPY HSQPPPPSSE H257_09805 MRQFLQSFLAKAGVAAAPAASSGASASKNIAKERLQIILAHQRG SVALSGVNLNALQAELLACVQRHIAVADGEKVNIAVKHEGNLDIFEMQVPVQPLAAAA ATSPRAPSV H257_09806 MEVDGTMTRELNVIREELRCFICRETLVDPHCLGCNHNYCKRCI DVQLRKAVSQCPKCKIPMCPSDVHRNQFLDGLLQEWRLVEAALAKQPPSPVLHTTIDP AAVGTSVLSSSPAVKRKLPLEFATPPPPPPVSSLTPPHSSEPESISSLMNTPQLESYR IRLQRHAAALDATSPTDSPSDGTPMNCTATTPASPPETLAPSNVVSNDLQQRDCLPEV SSQQQQQQHAPVPKPIISPSLHPASPHASNETVMSMKTTPSPVLLVPQSPPRTDATAM MTAASFQPGTVAATQDYEDDEEASVPETELQVYLPNESGLLLPPSQYDDTAPSFTPTY QPPPRLLTKGGECGPRFENPDVHSPSFRIHTPSRTPPTTTFTKDLPPQSPSKAVRIVA TNVNKADRRFLLDCVRGLGGRFGLRFVPRPNRPNFPRSPDGTTHVVTSVDATTRCPRT ESVLLGMAHGCWIVSLQWVVASWKAQRWLDESPFEVLGDTSAMTVTAVPRRCRLMNAT QIFGGMCVWALHDAIEQGDAMAAIVAACGGRWRPFEKDGEETSRTRSSDEAMEGMHVI GVVSKTLSMAACRNLVNMHSALRRRTMPIVRATWVVDSVSHMECQPFAAYTTTTKTT H257_09807 MDGGGELARLRAEVASKDDTLNQLKVKAKAFAEALTNDKKSLEA QLMQSRNEMNELKTKAKAFADNVKTQILVEKERVQLLEKQLHAKDIELLQQQQQHATA ATPPLNEGDAVTYQWKAQVDQLTVQLATDRQVHAERVSQLEQLAQQRMAEKATLEQKL QQLQWQHTQDSAQHEQSMHDQVATLRQENQESARQVQSVVLALQALTLSNGTNVEALV AAARDAWQAKDAQVRALEHTVASLEDEKNLTRTNSASLSDKYRGDIVVLEGVRDQLEA DLASLKADGVVLRSDCAAKDVECTNLRAQLAQATADVDVKAAEVTQVREALAIEGRNA ATECANLRGQVEALASQVRELDMELQHAKSNHASQSSGLAAAQALALEESAREWKAKE AAWNVAKLEMQAAHDKFVRDEEEKKVKVKTYIQNLTADKQKALEDVDKQVKERDLAHE KRLVDIKAKTMAKFAEHEAVIKKGHEDLEALRSQMVKAQQAYEAEIKSLQAKKKEAED ETMEVLKKKRLAAKAETQKLANDLEGVQKRAAMLVDVTGNKCTHQSKQLEVLQERVLE AIHVVSHHKKCDLTTLNDLAQIVTSPRMSAGNLPGPALGLNKIDEDVSHVFDQMAALT NVTERLVDLTLEESDLSLKEIVVDRMKKQFSACFVHQYAKSDEDGLLHQRTSSTMPES PSL H257_09808 MRIVRAAAAVVVSTVVTTVAASSPRVSQVHLALTNAVQDCPNGV AISFASPVAAPYDVQYAIQGHDNWSVRASTSDSYHVKAGRYSYQSPHLHTAYVCDLLP QTTYVYSIDNSTKHLFVTPPMVGSRDAPTVIGVVGDPGDTSDSSKTLHSLGQAYRGLA TQAIVIAGDYSYANGEHEIWDKWYTVQEDVFSRVPQLGINGNHETISSHGHTPDSPYA NEFEGEDYVAYLKRTVTPLSVEAKAAKRTYYSFDLGVVHLVFLDDYVGSQGNAINTVG TVTWQHERELQLSWLETDLGHVNRTLTPWVVVIKHNPFYNTWMDHQCQCSKRKYVVAP EDVEACWGGHYVAGSPMYEPACGLQAKFERLFVQHGVNVVMAGHVHGYERTAPIVDNE FNADKGVVYVTTGAGGNYEGHAGPRVPGAVPTWSRRANNKVYGAAKVVATYDWLELLW FANTKASDPWDAVTLTRRQ H257_09809 MHSTIVFALTFVAALAAAASPPAAPETPVSKPNESPAMVSKDDK EFLLGLHRPIYLPFVAIPVLPIVPWRWWGVHCFVVGKELRKATPSPTKNGRLLLSDLV GALLRWLVALVVAGKPKN H257_09810 MHSSVVFTLAFVSALATASTTPAAPETPVSNKLSAGDSKDDKEW LGWHRPIVRPVVAIPVVPIVPVYRPVYRPVAVVGRPLLRRWEEGAKATDDESKSEYVA AAVGRRGGAVVAAGPRHYEDESKATP H257_09811 MYSSRLLAVLAFAALATAATTSPESRPSSVSVADRTVKDAQEWL TVYRPIIYRPIIYRPVFNAPPAVALPPVMRPRYGNVVVDHPVVRVATVGEGAKAAEQG AKAQSN H257_09812 MHSSVVFTLAFVSALATASTTPAAPETPVSNKLSAGDSKDDKEW LGWHRPIVRPVVAIPVVPIVPVYRPVYRPVAVVGRPLLRRWEEGAKATDDESKSEYVA AAVGRRGGAVVAAGPRHYEDESKATP H257_09813 MYSSRLLAVLAFAALATAATTSPESRPSSVSVADRTVKDAQEWL TVYRPIIYRPIIYRPVFNAPPAVALPPVMRPRYGNVVVDHPVVRVATVGEGAKAAEQG AKAQSN H257_09814 MATTGRTMGRCHPSHSLSSFESPADSLFDTGVSGAAGVVLAVAN ADTNARKTTRLCVIFRSAGFHLTTKFIQQVAMYSSRLLAVLAFAALATAATTSPESRP SSVSVADRTVKDAQEWLTVYRPIIYRPIIYRPVFNAPPAVALPPVMRPRYGNVVVDHP VVRVATVGEGAKAAEQGAKAQSN H257_09815 MHSSVVFTLAFVSALATASTTPAAPETPVSNKLSAGDSKDDKEW LGWHRPIVRPVVAIPVVPIVPVYRPVYRPVAVVGRPLLRRWEEGAKATDDESKSEYVA AAVGRRGGAVVAAGPRHYEDESKATP H257_09816 MKTKAYVSLGFHSWFYLELSSTFVCVFYPLPPPPCLFNINDKIK EKNVNTITCDSKDLDLYLAFKEGAWLNLAGAKALTLDDLKGFDTMDPLSRIDNAMHFG TRFQPNEGEVHVLVTVAVANEKSSTTQLAAPAQEQMVETKQKRYVHSQMNSENGVEFL QNMNMWVKYWSATPYPDEDRFTVDAFHWETVDVAGQTIALTESQQRARYREYMETGIG NVLAQEKLCVVGVENAPDLLTAYIPHLDIHLAGRTDLVILSDEVLRGNADDLRVLPGA RMVVEVKKSIQSDSMFQALTELTALDLLTTDPVVALLTDLNDHWHFFWLSEVTGEGTE KLHVFVKWPITNPGQAFEIIRTLLTSSATVIQLPGSKALANRRKLTHMLPTIGEGGGG VLDSIERYFDIESVLGPDVEMARAVARQVVSSIPNFNTTTKIEASWEPAANLLEDIPT EFKRYVRSNKTDPQVKAMAAALGVTQSLGGIVANLPFAEPLNTSQEGIQVFD H257_09818 MDSLGGVKVKQCVEVTDKEAARLLKKFLNSQNRGHGDEESHTLA VQQEVETQLQLILSHLQSTGSS H257_09817 MAGSSSADARIACTWSTAMDCVNTSLSKRLAAATSLLESSDPWS SLASCSMHTRNSHVRSMLSTCSEVLQGGDEGAVADWFFLTASAFMSLHQSSSNTSCDS SAVLRILRKVGPYMTLLSVALGTSWLVLSQPEVDMMLEALETCVYGREYIWEGLLRAF NQTSNLGNGHVVALGHLLSLLLARDSVLIYPNDFQVFVDILVRETTDLDMDDPRRSTL ATVLRWGVLSPLYERGGRYRSMELAIVVAQWKEALEKGHGSSIDRTPALSDLQECSTW KALRDAQLALLQQT H257_09819 MDDEENGKGYAWEGSFERSWDGIEEDESGALKIQAHVASSGDKA RQRRQQMLQRVRKGLIRYVYVVVDLSKGMSQKDWKPHRCAVVTEEVQRFVADYFDQNP ISQLGLIGIKSAIAVKLSDLSGNPTHHIEILKSSLTVHGEPSLQNALEMARNALKIVP SYGSKEIIVMYGSLTTTDPGDIFGTLAALKRDSVRCSFVGIGAEMHLLKRIAKETHGT YSVALDAPHFRDLLSAFTTPCPSLASSVAKFATLVEMGFPQKRSGVLSLCVCHQTFTT MGYLCPRCKSKSCQLPTVCVVCSLPLVSSPHLARSYHHLFPIVNFDKTAISTKCFSCL WPLTSGYQCPTCRHSFCSDCDMYIHDSLHNCPGCK H257_09819 MLQRVRKGLIRYVYVVVDLSKGMSQKDWKPHRCAVVTEEVQRFV ADYFDQNPISQLGLIGIKSAIAVKLSDLSGNPTHHIEILKSSLTVHGEPSLQNALEMA RNALKIVPSYGSKEIIVMYGSLTTTDPGDIFGTLAALKRDSVRCSFVGIGAEMHLLKR IAKETHGTYSVALDAPHFRDLLSAFTTPCPSLASSVAKFATLVEMGFPQKRSGVLSLC VCHQTFTTMGYLCPRCKSKSCQLPTVCVVCSLPLVSSPHLARSYHHLFPIVNFDKTAI STKCFSCLWPLTSGYQCPTCRHSFCSDCDMYIHDSLHNCPGCK H257_09819 MDDEENGKGYAWEGSFERSWDGIEEDESGALKIQAHVASSGDKA RQRRQQMLQRVRKGLIRYVYVVVDLSKGMSQKDWKPHRCAVVTEEVQRFVADYFDQNP ISQLGLIGIKSAIAVKLSDLSGNPTHHIEILKSSLTVHGEPSLQNALEMARNALKIVP SYGSKEIIVMYGSLTTTDPGDIFGTLAALKRDSVRCSFVGIGAEMHLLKRIAKETHGT YSVALDAPHFRDLLSAFTTPCPSLASSVAKFATLVEMGFPQKRSGVLSLCVCHQTFTT MGYLCPRCKSKSCQLPTVCVVCSLPLVSTALYNKYYIYTT H257_09820 MKPRRCSVARLRAAFLGLFVVSVAVTWYATSAVHPASLDRRSAT PTKLHALANTVVPNDIEPTKQLHVVAKEKPAPAAVFFARIIGNALPPRHDPARTLINL RFILENEFQDPRVHKHWVLNRILNDTVERDIMQLLDQHSASYTRLPFLLEEYADAPFS LLDQDDHSDHLHSNVELDAWNQNLLLSSIYDQKNLYAMSVNHARNAMIALGIAKGATW ILPWDENCFVTKNAWQSISKDLFPPNITTDVASDDHPNYYVTWMDRLKVDNDVVLSPS YTPHAWEEPQIIFHRNAVERFDEAFRYGRRDKAALLIRLNVPGAWFDWGWSTWERQRT FDIPSQDIKSHSSHAVPSTGFVIRLFSGNPVYEDQAYGYEREMARAVAVAKQLEALDD QVMRDVLQFHPSNLVVYSEDQLLQAKDSFENRKKILFPHVHEILADADTALELLSVLL LPSDVPPSMFTDTTQWYHDVQVRFESMVYNLTALVFGSFISSDDKYTQAAVQLLHTWF VQSSRSVLPPPATLLRTEDGLVVMRTLPLFLDTLKILQESTDTSYTSGLLLHVQTWLR QYYQVLAMDKQPAKLNAPSKWFRSSTHYGVVYDSQMACLAAYLDQPAEFRFHADTVQS RLVHLASNNSVEMLRDWVIMATMAQSAGIDIWTFQGQALCGHVRCCGPTAATCNATVE DTSLRLQYGWDLVPLVLPKCPSLRAVAECTAVASAVATQRFGSLTSIRNARNWHFPPY MSLWQT H257_09820 MFGVLSVEKPAPAAVFFARIIGNALPPRHDPARTLINLRFILEN EFQDPRVHKHWVLNRILNDTVERDIMQLLDQHSASYTRLPFLLEEYADAPFSLLDQDD HSDHLHSNVELDAWNQNLLLSSIYDQKNLYAMSVNHARNAMIALGIAKGATWILPWDE NCFVTKNAWQSISKDLFPPNITTDVASDDHPNYYVTWMDRLKVDNDVVLSPSYTPHAW EEPQIIFHRNAVERFDEAFRYGRRDKAALLIRLNVPGAWFDWGWSTWERQRTFDIPSQ DIKSHSSHAVPSTGFVIRLFSGNPVYEDQAYGYEREMARAVAVAKQLEALDDQVMRDV LQFHPSNLVVYSEDQLLQAKDSFENRKKILFPHVHEILADADTALELLSVLLLPSDVP PSMFTDTTQWYHDVQVRFESMVYNLTALVFGSFISSDDKYTQAAVQLLHTWFVQSSRS VLPPPATLLRTEDGLVVMRTLPLFLDTLKILQESTDTSYTSGLLLHVQTWLRQYYQVL AMDKQPAKLNAPSKWFRSSTHYGVVYDSQMACLAAYLDQPAEFRFHADTVQSRLVHLA SNNSVEMLRDWVIMATMAQSAGIDIWTFQGQALCGHVRCCGPTAATCNATVEDTSLRL QYGWDLVPLVLPKCPSLRAVAECTAVASAVATQRFGSLTSIRNARNWHFPPYMSLWQT H257_09821 MPATSYVYEVPLFFLVLWRMVAVAAAADTAQCTALNQTYQSQYE ICRNKSSTPYSSGREWCAVPSCADAIKAAAARRACGCEIELSCLPLSYCTDACLSDLE AYQSSHIACSEANQTLVVSSCAACQHMFPQYASFQASCQVQASSADAYVATFHNNLGA QFDYCIKQFPAAGLSFPTYELSSDHPSTSTLVPVILGVAAAVCVVAALGYVLYRRRQK PSRQQGGMCRLDAASGTDLSRYQPDSSTTLAAAAAGAPWLHAEGEKERSLTTLPLQDI RFDPEVAKYRIPKQSITDVTKLATGGFGIVFRAKVYGRDVAMKQLLPSKAKDLDATAE FMREIRLCARLNHQNIVPFVGIAWSTLVDLAVLSDLMPRGDVHELLQSERRFERVQNR RFHWRRAASPNEAADEMTTKTSVALDVGRAVSYLHDLSIIHRDLKAKNVLLSASFEAK LSDFGISRVSKLDETMTANVGTIAWIAPEVLTGDRYTTKADIYSFGAFLSEMDTLGTP YATETSTNCEGFSNARIAILVSQGQLQPSFTHNMPPTLLALARQCLEFHASERPSAAQ VVAALEAFLHNPQD H257_09821 MPATSYVYEVPLFFLVLWRMVAVAAAADTAQCTALNQTYQSQYE ICRNKSSTPYSSGREWCAVPSCADAIKAAAARRACGCEIELSCLPLSYCTDACLSDLE AYQSSHIACSEANQTLVVSSCAACQHMFPQYASFQASCQVQASSADAYVATFHNNLGA QFDYCIKQFPAAGLSFPTYELSSDHPSTSTLVPVILGVAAAVCVVAALGYVLYRRRQK PSRQQGGMCRLDAASGTDLSRYQPDSSTTLAAAAAGAPWLHAEGEKERSLTTLPLQDI RFDPEVAKYRIPKQSITDVTKLATGGFGIVFRAKVYGRDVAMKQLLPSKAKDLDATAE FMREIRLCARLNHQNIVPFVGIAWSTLVDLAVLSDLMPRGDVHELLQSERRFERVQNR RFHWRRAASPNEAADEMTTKTSVALDVGRAVSYLHDLSIIHRDLKAKNVLLSASFEAK LSDFGISRVSKLDETMTANVGTIAWIAPEVLLLL H257_09822 MSEGGSMSRDEFVKALAVALAANDVRDAPTSGEMARTAYDALQF DFPQTSPSELKALATHLRNDPLTYPLTYMLLRNVLELAHGTDAISSSAATVLAQCLFL PFQSPHHLDHFHWPRHNTVHEKLLFICYRTTYAPLSSLSLDEWNHLQCTDLCCSIAAT LLHYPKIDPTAVLLQMEWMRYMYLLRDRILQYPVACASLLHKILLFFHAPNNEQAIDA SGASTALLRLLLDIASSNELKQAAMAKSSIISFLRSAMPKLASQGMAAVASSCALDDV EVHAQLWTWAVLEDPLGVVPLLEDRGVLRAFAGFVMATTRDSPHGALKHAVRLLVLSM LFRPSFAAYVHGIPSMAELCPWLTTSSYSAECTLWSMAYPTTAKSFFTAFLALFPVEC VDGIAAITAMHEAVFVLEVLVKMRSQYSWIAWPHKSNLLTTLQTLLPTLNSLFQCPPK QDVTADDDDNSSRSITTSSRQSIQLVHRMRVAIKQLAATIAHSHGDGAKLD H257_09823 MDRPTAHGDDVETLMNVSMDEVVMNVSVDVGTGNEPPPTIVAKE LSLEGRDLRHTMSANNMVSARNSTFWLQVVAQSHSDPSLQSSSPSLHRSVSVDSYVDK PCSTSAIPSPDSVREESSERTMEDEIVYEHERYQILLGWGAKGCLLPMDPKRYTNATY SSHYPIFPTIALPKSTPTGSWEWTSPWQIHIAADVTDKDGWQYASSFHHLRHNPSTCS PAHRPTTFTRRRTWMRRRELVPTGRRKSMHAHANVGVDDSNLDRKTGWLYKLGHVRKN WKRRFFVLDGSVLQYFTDDVDATTPKSKTHKLKGEVLLFHKDTTVHYVDIHLTGRDYT FAVETSGGDYSLVLQAASLDEREDWIFAIEEAILCRESYQETRSSELKQNVQKRRSLT RVAPPSGHTNVICDRIVKAHSENIRNFIATFLLHYDKLAKHECVPVKVLSAIKSFRMF IERILAKVLERYREYMVQMKQESEIEHTYADARDTALIHIEQLTFIPLQDVLYNLLLV STGEDVARLFETKRRWLSRQSQAFFDIQPGHISPSKWKAAIVQLNQMDKYSLPFEKGK ALIEAAKSIYTLHAMEHDVAHQLAADDFLPIFIYVVCQSRLRQVLLTRRLVSETMISS VSMGEVGYYSTMLEAAVEFIALFELPTTII H257_09823 MDRPTAHGDDVETLMNVSMDEVVMNVSVDVGTGNEPPPTIVAKE LSLEGRDLRHTMSANNMVSARNSTFWLQVVAQSHSDPSLQSSSPSLHRSVSVDSYVDK PCSTSAIPSPDSVREESSERTMEDEIVYEHERYQILLGWGAKGCLLPMDPKRYTNATY SSHYPIFPTIALPKSTPTGSWEWTSPWQIHIAADVTDKDGWQYASSFHHLRHNPSTCS PAHRPTTFTRRRTWMRRRELVPTGRRKSMHAHANVGVDDSNLDRKTGWLYKLGHVRKN WKRRFFVLDGSVLQYFTDDVDATTPKSKTHKLKGEVLLFHKDTTVHYVDIHLTGRDYT FAVETSGGDYSLVLQAASLDEREDWIFAIEEAILCRESYQETRSSELKQNVQKRRSLT RVAPPSGHTNVICDRIVKAHSENIRNFIATFLLHYDKLAKHECVPVKVLSAIKSFRMF IERILAKVLERYREYMVQMKQESEIEHTYADARDTALIHIEQLTFIPLQDVLYNLLLV STGEDVARLFETKRRWLSRQSQAFFDIQPGHMYY H257_09824 MTASSATALNVVVSGAAGQVAYAFLPLLCSGRVLGPNQRIHLRL LDIPQSLEVLGGVVMELNDCAFHLVDDIVPTTDLETAFENADIAILLGGFPRKQGMER KELISKNGSIFKAQGEALELYARRSVKVLVVANPANTNCLIAMKHAPSIPTENFSALT RLDHERMRSLLVKKIQSYPEHKHVSSKDVRNCVIWGNHSNTQVPDAAHVVIQSADGSV APLADLLQDSDWIDSSLRPLVQNRGAAIIKARKLSSAMSVGAAIAGHMNDWLFGSSEL VSMAILSTGNKYGVPDDLIYSFPVKCLGHGEYQVANVAVSPAIAKLMQVSTDELQGEK VEAFSQVDNSHV H257_09825 MSTFLSGKSTAPKFSPEQCSRYFFSAITDSNDETTGRWRCTLCQ RTYTQQEGRGYTNLLAHLKASHSNYATLMREAPAAAQSTNTRLWVSDRVKGRFGWISW IVEEGLPLTFCEKPSTRRFTNLPIISHVTLRDNILRLTEVVEKKISKEIPDRFGIIFD GWTHNSEHYLAVFASYEKDGVLVQPLLSLAPIIHEPDDDHSAKTHYTAIKSVLAMFKK TITQCVFLVGDNCSVNKTLAKLMSVPLIGCASHRLNLAVKAYTQQHEDELAKIQQLMI KLRTLNQASKLLFRTELRPILRQDTRWSSTFAMLQRFFELREHLDHEDDTILEILPTL GGSANIVGNPNFENAVTKVQRGRTLTRPEKLVVAALRSNNGADVASDEDAGFAERALK RARLADENDTYVLLGAVTPTSNIAERLFSVARALIGLDRFSLHPIMIEATLFLKCNRS YWDVSTVHETLE H257_09826 MLTMKALSMDPTFHEALPKRLSHWVYAFLNRQGLSIRRPARKGQ KLSNHLKEIRDEFVRSMQDRFSVFDTVADVSRFVNMEETPVHFEPDVHTAIAPKGAKT VSARVCSTHNPRMSVFLVVTAT H257_09827 MWDVVHVNENWFNVDNDGRKVYLVRNEVVKRRACKSKRFIPKVM FLADVERPRDDVDFDGKIGMWPYVSQVTASRTSRNRPAGTMVTTSSRFTLLRTATSVT CDEDVTVTWFLKVGLLKDVMLCPKCDGAMTMSVPTKSWRCRRSSCGDVQRSIKADSLF AKSKLPTTNAACLMFDWASRKCLTGHLASQCLW H257_09828 MHRRTQYLISTKLEAIGLLESMSCREVARGLKVPLLTVRIWLAQ RFELLAYYGNKKNKKLEPGGRYEEFPDPPGLVEFITHVRDNESALTTTHMIAWFKLNA AYNSLLKLLQRFCKRHGFSCQRPAKNKLKQTVLAEDCVFNVDETGMYYDLPPSYIWAV RGGSSKISAGEKHLMRLTAVRADRTKLPLMFIMRGTPGGRIESSEFPTFRSEHYYAVQ TKAWMDGLVWAQYLREVLGGSIEEPSVVLMDNFECHVSAASYKIMYEELGTHLRPLPP NSTSVCQPLDVGVMAPFKRNLRNLWLLEEQIIGDDEDPYSLTAQQKRMAMVQRAISAW DMVSEDVHKRFARPACRRRLLLVLVASIKRPLVPDVRFNLDSYNDADLKLKFRFGSDE IRLLVNLLGIPSVVITEMRDRWKRTIYFAIDTVETRLQLYANAIANKGSPVACLFGFI DGSKFETCRITQTSASAFPDMQRYVYSVHKRRHCLNFQAITAPDNLCIHFWGPLEGAR HDTTLLRESKLLDYVTERSYIFNNYFIYGDPAYGVLRWIFSGYKGNTITQQESAFKST MSTVRQSMEWWFSRLKTLWSFVTFKM H257_09829 MHILLDELVAFRKDNVAKKKGKQQANAADQKEEAWSEEAARHIR DETMRTCPMKRAKVQDDERDEASTTPSKKKMLVDLHQDEVQLKRERLAFKKAKMEQEI EEKRLDRDERCEARENDRKQREETRNQMSEILALELAAVNNRIG H257_09830 MVKALIFVSALATAATAGSITELPESVTKLIDYSANPCEDFYQY ACGAWLKDAVIPPGRDLIDTAPTKISIQNEAVLQQILSDNSTKIGAFYNSCLDTATLS SLGVSPLDDSIKAIRSANTTLDLLVVAGELGKNGIPGFVDISARRDPANATNNALFGS RALLPLNREDYITPFYWYAFKDFYGEYIESVLQLAGYTADQAAAAVLVIIRFEQTLAG FAHKKVKYTKANGPPYAVLTYCELDEKYPLLIGSWLKANGFNVQDQCGGSNDWVGFLD LNYFDKTEELLKNTALDDLRTIVEYKLIHASSKHLTPEFRTANWNFFGKYLQQGEEPT REQFCAKEVDDVLGELLGQDFQDTVWSAGTAKTADELVKALKSSFSTGIATADWLDNS TRTNAQTKLSKYVHLLGGPENPQLYPTLTLDSKTYLKNRWKVSQVNIDTNLKLNGQPV NKRKFSSPPQIVDARYKHRENKILFTASIFQAPYFDLKYDAAQNFGGIGMVIGHEITH GFDNRYRNYDGDGNLNPWWSNATDIAFKTKAQCISDQYGNFVVQSDLTGAVLGNVSGH RTLSETIADNGGLKTSFRAYHEYLKKFPSQYTEEAGDKLFYLSFAQLWCVKNKDSSLR ASLSRNYPPGRFRVTGALQNNAEFARVFKCPIDSTLNPSKKCLLWE H257_09831 MAVTGGIPRGLVFATGLDQLLTKYVDVFRLTLGRDPPVSMSPLK LHLANEAKPVRFKARRYFLPQHEFMQKHVEEFESAGFTYRTRPVVVMRQLLRLCRACL RQWQMTATQCPGIDLVIMLIKNER H257_09832 MSAMLTEVEDVSGKCSRQYHDKKGVRMAQFVVGDYVLYQDAWAY LLGKNWVYDVENQLTHDVRPIHASRLKFYADCDLDVIFELLDQVTHNSEEFDIKAMVD ARCIPTTKVCELLIKWRRLQDVENMWEPADNIFTDVPVMFKAFCKAANDGRQYTQDTT FKDVRVQLMKAFEDLTPSSIKGCIHKADRQLNKLAAYNKEQHEGDASDSDSDCSDNSS SSNDSSSSESVM H257_09833 MRKWLVGATAALDACWRGVSRRRVILGVLTWCRGVAAGVVEGLV WADSSESSILARSPRNSVSWNLTWKPVTLLLAARSYSSNAFTFLEYPRNTHLAAFEAT HPKTFMLPSYGRWPVNASMGVVVAVVEWTTRFKMKTAMLTASAHQRRAMPALDIMARV ISMMVLCARSATLFCQWLSGEELS H257_09834 MLAPTLPTDPLHPPHATQHSPSVSVAEVRYRNHTKKVAVYEGMS PTECSLLLQAAFFDPTTSGTHPDQGGKVMGFVHLKTKTFLPLSLATAFPQLLQPNHTY ELILEKEPPAPTIPTHAETPSAASPHQHSYHSAHYPRATPPPPPPASSSSVAATTASV AHVGLVHNPANTTHPHAAPSCPQDQDGEDHWSEAMYNLMVHWDSHPHDDESAARLPLE KLETLLLSQQHPQLHQAFLGYSTPGAATYRNLPALVHQVRRVLAVLQQQTKSSFTRVV NQLVHLKPHDIALIHELFTQGNELVLAAWEVFELEEDKDELADTLLRIVRFKRQQQQA PPSLDSICRELVERHLLTDVQCQGLLALWEAKNDAIIGAVEAFHADKDMRELVDTLLL VVKHAGLDQNNLSPSNNGKSPVFARGNVPTSPVDDAAADVSLEELHPLSPRASTGKFP TSPPLSPPSYGTFETANSPPTTSFTLGKIPTKRSKDSVVALLDALLRQSLLTNPQHQL LSNFRNDSRVQAAVQSQSAKESLVELYQVLQWETNRQSILHKWIVPLEDQGKGRGLRR LWASADPRLMAAFICFADDSDEVEFVDTLMRLSDTIDGPAEGGEIAQSEAPEVASSML ALHATGKLSPDVLEQLQMDDPKVLAAFDVFESAQDMDELVDTLNRIATTGRNNTRLSM DKQLLHLVYELQLPADELAALKLAISTNDEVVQAAVDVFQIDHDEEDFKDTLRRLARH SVGATEPVDE H257_09835 MPASFNAFFAALASIRQHLHQHPELSFHEFKTQALVRDYLVHEA HIPTEHIHDCATTGLVVDIVGPTEGDKTSPVTCIAFRGDMDALPMTEANPHLSYASQA PQVAHMCGHDGHTRRHLLPPHSVVRLLFQPAEECYFGAPAMIKDGCLESVQEIYGYHN VPFPLGTVGVKPGAMMSHSSRFTIRISGPGGHGSAPHETKDPIVAAGQLIVAMQSIVS RNVNAHDSAIVSITQVHGGEPDNVIPSVVTLSGRLHDFAPAVAATVQERMATLVEHTC AAYGVGGSISFQEGYPVLVNPEMETSIVQAIAQGIVGSKRVISKGCQCAHRKIFRIF H257_09836 MGAAASNYVYNEATSLSTLIGFSKDPRTALLNARDHYGDIFLVE SAFVSTKIAGLCGPEALKEFEAKLQDGSLVKQGAFPPSILALLGPILVTLDGDVHHAK KAALLKALSPAQLDVYKPIIRRIVQTEHSKWAAHGGAISFAVNTKILVFKVLLAVLYG VEGEFDTYRRYVDDYVTAIKQSAKVTDEHGVTCRAKFIAEIIAPAIAAAKANQTKRQQ QPLNSVLDVLVATGDLTDDDDLQNEMFHFMFAGFGGVSAAATNLITAVCVFPDIRAKV LRARDDFLRQYDGRDESPWNHLDEMGYLNLFVLEVKRYFVAGPTQVYAKAARDLDLVT STGVFRIPEGALVMAGLEATNRDPDTWPSPDSFDPTRFTQADVDGMHMTRPFSFCPHG FGSHRRCAGEQLTTVIMQSVLVSLFDFTWKMIPGQEYALQPHSVTAVPIGQLMGVNFH RRLNEDDPSTPEVETYGIVGTQDDWKFLRRPDVQELTGVNAAEYFDDSRLDLWTRLMI QLISKKQTLWNRPYATTALSVPQHQQVLDKITLIQTNIQIPIVDEDWPCQPWLEIQQT NLLRDHAPFVDDFSHLWLPAEDGERYVMSKVGHMWPRVNVHWNDRYSDRALELLVFHG LGSHLVQKLPQAHADGSYYGVLLNVMQGLEVRPGFAKYGADAFFNKHGKLVKIQRGDK TYTNTHDDWAYIKMTFRGTLMTKVTAVDHLLGVHVTAANYLVTASREKLPVRHPLRRL LKPFTFRSVSINYGAGRALFWPNGMLQRAFALTTAGMKQTWEFGLTQFEYATFPETMA KQEIDTLTLPFHQDGLDYWHIVYKFVANYVDLYYPSDDDVAMDVDVGKFWRYMGELSP APLPDLTKSHLKDFMSQGIFLVSSMHNHLGTIAEYVSDPAFCPSAWVEGELSARPGNA VRLALIMSATGFTQPSITEDFSHIMLDDKAKALVKTFTADLYAQIKVVDARNANRVQP FQSFNPKAMEMAVSI H257_09837 MNETHSKHLGLVSTKGPQSTRRHVDKAETVQDLKDKFPAHAMQL YWVNGLMQDKDERLVFNATTIETRCLLCG H257_09838 MLKLFCIALGEEHPIPVDIAEAETVGDLKDKIKGKQMYQFPADE MQLYRVNGLMQDEAGRLMLNGTTIDMRSCVWETFGGVKAKMPAVSLISECFQDVDVRV RRMIHVLVVAPVAVPTMLPAVASCVHCTPKKFIHSNMGQTNGQEMLTALDIQVVPMVP FAGNNVQVPVSPFQWESTADESGAPLTEEQQRRRPDLLIMRPHAVSDAKYLPGVKLVV EVKRQVADDADYEALSELVALDVLANGYVMALLTDLNDDWRFFWVADTRDDGPAPIQR TIIKKVCITKPEVAFQVIQALLVLPECRSELDLPCFERPIKMRKLNPMAAPGSGDGSD EMIGIRESIERYYDIQSELGPDEDMARALAQQVVRSIPAFSLYPESMD H257_09839 MDDVAAFFAGLRATRHTLHAHPEASFKEFKTQDFLEAYLVNESH IPLENIKRVATTGLVVDIHGPTEGAKSTTALTCIAFRADMDGLPMTEHNPHLSYQSTA DQCAHMCGHDGHMTTLLGFATLVQSHRHLLPPHTTVRLLFQPAEEGHFGAVAMIKDGC LDGVDEIYGYHNIPHAVGQIQVKAGPMMSHSARFSIQIRGPGGHGSAPHQTQDPIVAA GHIIVGMQSILSRNVSAHDSGVLSITQVHGGEADNVIPSLVTLSGTMRDFSPHVSTLM QTRMTEIVQYTAKAYGVEGSISFTDGYPVVLNALAQTNVVTEVAQKVVGPSKVTAEGL PNCASEDFSYYLQRIPGCFYFVGTVDKTQTQNRLVHSDTYDFNDDILPVAVRMFVEIV HQRLNCTLFSSHELQTWTS H257_09839 MDDVAAFFAGLRATRHTLHAHPEASFKEFKTQDFLEAYLVNESH IPLENIKRVATTGLVVDIHGPTEGAKSTTALTCIAFRADMDGLPMTEHNPHLSYQSTA DQCAHMCGHDGHMTTLLGFATLVQSHRHLLPPHTTVRLLFQPAEEGHFGAVAMIKDGC LDGVDEIYGYHNIPHAVGQIQVKAGPMMSHSARFSIQIRGPGGHGSAPHQTQDPIVAA GHIIVGMQSILSRNVSAHDSGVLSITQVHGGEADNVIPSLVTLSGTMRDFSPHVSTLM QTRMTEIVQYTAKAYGVEGSISFTDGYPVVLNALAQTNVVTEVAQKVVGPSKVTAEGL PNCASEDFSYYLQRIPGCFYFVGTVDKTQTQNRLVHSDTVCFCIIIFMVIISLFYVLT VNMC H257_09839 MDDVAAFFAGLRATRHTLHAHPEASFKEFKTQDFLEAYLVNESH IPLENIKRVATTGLVVDIHGPTEGAKSTTALTCIAFRADMDGLPMTEHNPHLSYQSTA DQCAHMCGHDGHMTTLLGFATLVQSHRHLLPPHTTVRLLFQPAEEGHFGAVAMIKDGC LDGVDEIYGYHNIPHAVGQIQVKAGPMMSHSARFSIQIRGPGGHGSAPHQTQDPIVAA GHIIVGMQSILSRNVSAHDSGVLSITQVHGGEADNVIPSLVTLSGTMRDFSPHVSTLM QTRMTEIVQYTAKAYGVEGSISFTDGYPVVLNALAQTNVVTEVAQKVVGPSKVTAEGL PNCASEDFSYYLQRIPGCFYFVGTVDKGNIVRL H257_09839 MDDVAAFFAGLRATRHTLHAHPEASFKEFKTQDFLEAYLVNESH IPLENIKRVATTGLVVDIHGPTEGAKSTTALTCIAFRADMDGLPMTEHNPHLSYQSTA DQCAHMCGHDGHMTTLLGFATLVQSHRHLLPPHTTVRLLFQPAEEGHFGAVAMIKDGC LDGVDEIYGYHNIPHAVGQIQVKAGPMMSHSARFSIQIRGPGGHGSAPHQTQDPIVAA GHIIVGMQSILSRNVSAHDSGVLSITQVHGGEADNVIPSLVTLSGTMRDFSPHVSTLM QTRMTEIVQYTAKAYGVEGSISFTDGYPVVLNALAQTNVVTEVAQKVVGPSKVTAEGL PNCASEDFSYYLQRIPGCFYFVGTVDKGNIVRL H257_09839 MDDVAAFFAGLRATRHTLHAHPEASFKEFKTQDFLEAYLVNESH IPLENIKRVATTGLVVDIHGPTEGAKSTTALTCIAFRADMDGLPMTEHNPHLSYQSTA DQCAHMCGHDGHMTTLLGFATLVQSHRHLLPPHTTVRLLFQPAEEGHFGAVAMIKDGC LDGVDEIYGYHNIPHAVGQIQVKAGPMMSHSARFSIQIRGPGGHGSAPHQTQDPIVAA GHIIVGMQSILSRNVSAHDSGVLSITQVHGGEADNVIPSLVTLSGTMRDFSPHVSTLM QTRMTEIVQYTAKAYGVEGSISFTDGYPVVLNALAQTNVVTEVAQKVVGPSKVTAEGL PNCASEDFSYYLQRIPGCFYFVGTVDKVISRRFMPF H257_09839 MDDVAAFFAGLRATRHTLHAHPEASFKEFKTQDFLEAYLVNESH IPLENIKRVATTGLVVDIHGPTEGAKSTTALTCIAFRADMDGLPMTEHNPHLSYQSTA DQCAHMCGHDGHMTTLLGFATLVQSHRHLLPPHTTVRLLFQPAEEGHFGAVAMIKDGC LDGVDEIYGYHNIPHAVGQIQVKAGPMMSHSARFSIQIRGPGGHGSAPHQTQDPIVAA GHIIVGMQSILSRNVSAHDSGVLSITQVHGGEADNVIPSLVTLSGTMRDFSPHVSTLM QTRMTEIVQYTAKAYGVEGSISFTDGYPVVLNALAQTNVVTEVAQKVVGPSKVTAEGL PNCASEDFSYYLQRIPGCFYFVGTVDKVISRRFMPF H257_09840 MEFDAPTFLEAPVSQDMSKPIVATSALTAGQVIFAEAATVASAG GMEPEQGFHEEDCEDEECDGCAAIDESDDALEDVLDESEHDEVSAYVVAHFSELTAAC ESFEALGASEVRKNLFKVFHLIEVDAKAIDSFLSLDVVADDVAATLDAATGLRDAHPS AIPTSLTTDQVAHLIGVLHKHSIPLEDIGGSGLFFFVSKLKHSCTPNASYTDAGDAIW VTAIQPIAVGEQITVDFFDTHYMCAAERKQVLTEEGHACACGVCSGTAPDLTRGFKCQ VPTCPTGIVHPTNSDVFACTTCDTVWDAATVAAAVKAEDTLLTELEVDSVEQMLELVQ NSPLHPFHHIFYSALAVLLEDSVAEQNMTEPQALALMTIQADALNYVVPFPHSEKVSV YDSIAQAHVEAGDIAKATEAYALAYQTCINVFGPESKTSVMFKGLVDNTPTNAAEIAA AYGFDVDDDDDE H257_09841 MVLFRNLMAFAAALAGATTSSNDVNNNPHQITSLPNYNDAKPIN FDQYAGHIPLPSNSQKMFYWLVESESNPSTDPLVLWLNGGPGCSSLGGFFTELGPFVV QSDLSVKRNPYAWNRKANMVFLESPAGVGFSQPVLNDTDYNDNFTTDRAYEFLVEFYA KYPRYKNREFYITGESYAGIYIPYLASKLVQTPIAEVNFTGFAIGNPFTDQVADGNSN MDYYYSHGLISIESHNAIKANCASPDFSRNLSTACQTALEDAKLSAHTDALNPYYLYG DICLLQNGQAKALPNYNIRPNTHRGVIGPCQDQFTESYLRLEAVQTAIHVENGGAIAW TDCADIPYISSPSSLQKYPVILNAGLKGLIYSGDADSVVNFIGTQRWITSEGLKLPVQ DKWKAWFGPDKQLAGYTERYTNLTFTTIKGAGHMVPATRPLHALYLFECFVYGQVACD SFAYPKDALEYLSGADLTAPVTSSTSQANLVYLWWALGGVAVVVVGLLGWRYVAHPEN PKGNRQVY H257_09842 MLVQALAVAAAFVVSAVAATTASSHQITSLPNYNDAKPINFDQY AGHIPLPSNGQKMFYWLVESESNPSTDPLSDLSVKRNPYAWNRKANMVFLESPAGVGF SQPVLNDTDYNDNFTTDRAYEFLEQFLELYPRYKNREFYITGESYAGIYIPFLVHKLV TSPIANLSLTGFTIGNPFTDNVIDASAYMDYFYSHGMISIEAYNLGRQVCGDIGVANC FYGTGECNSDCKSALEAGFSMSEWGNSNALSQYYIYGDVCKLQSNNQSKTLIPNKNIR PLTHRGLVGPCQDVYTASYLQLPTVQAAIHVISDVIEWTACSSATVSHHYTRSESALD KYPTILQAGLKGLIYSGDADSSVNFIGTQRWLTDEGLNLTVVEKWQAWFGPDKQLAGY TVRYTNLTFKTVKGAGHMVPAHRPLHALHLFECFLYGNRQCQSFKYPKDALEYLSGAD MGATTTAVVDSSANVWWMIGSLAALVGVALLGWRGYVHHFTQSSSYTELESKPISSSK H257_09843 MVSWIQRNAALLGAFAVAIVSGDANSSSSHQITSLPNYNDAKPI NFDQYAGHIPLPSNGQKMFYWLVESESNPSTDPLVLWLNGGPGCSSLTGFFTELGPFV VQSDLSVKRNPYAWNRKANMVFLESPAGVGFSQSVNDTVYNDDFTADRAYEFLEQFLA LYPRYKTREFYITGESYSGVYIPYLTYKLVQHPIAALNLVGYAIGNPFTDSKVDGGAK VDFFYSHGLISIESYRAVNSACPPDVLWQCTRSGPNCTASCRQAVDETVATADLESLN PYYIYGDVCLLKNGQAGALQDYNIRPNTHRGVYGPCQDQYAATYLQLNSVQQAIHVQG GHVDWTECTDLNYHRAPSALQKYPVILNAGLKGLIYSGDADSVVNFIGTQRWITSEGL KLPVQDKWKAWFGPDKQLAGYTERYTNLTFTTIKGAGHMVPATRPLHALYLFECFVYG QVACDSFAYPKDALEYLSGADLTAPVTSSTSQANLVYLWWALGGVAVVVVGVLGWRYV ATQKTKKENVKYTELTSEVKPVYST H257_09844 MLRTVATMTVAVVIGLADATAASSHKIPTLPGYNDAKPINFDQY AGHIPLPSNGQKMFYWLVESESNPSTDPLVLWLNGGPGCSSLGGFFTELGPFVVQSDL SVKRNPYAWNRKANMVFLDSPAGVGFSQPVLNASEYTDDVTAARSREFLKQFLELYPQ YKNRDFYITGESYAGMYIPFLVYNLVTDPVENLHLTGFAIGNPYTDQKLDDGSYMDYY YSHGLVSIETYRAIQNDCQPSELWLCQDGHPGCSALCAATYAAAELSIDFDEMNPYYI YGDICLLQANQTKALRLQKNHMIRPLTHRGTIDPCTDTFTTLYLNQPQVLTAIHVDGG ASQSFSDCSDGVIELYSSSLTVLDKYPTILQAGLKGLIYSGDADAIVNFIGTQRWLTD DGLNLTVTEKWKAWIGPDKQLSGYTERYTNLTFTTIKGAGHMVPATRPLHALYLFECF VYGQVACDSFAYPKDPTEYLSGADLTAPVTSSTSEANLVYLWWALGGVAMVVVGVLGW RYVATQKTKKENAKYTELTSEVKPVYST H257_09845 MSRLAPICVKTLKSAMDKVTRNVEAKIAAELPDVFGLCIDGWTD GSKHFCTIFATYAVANVRHTPLLAMSPLLKPDSMDADPHIAFIAETLDLCGIELANLA FVTGDNCSTNVSMAAKMGVPLVGCYSHNFNLAMKALLVLYESDLLSIHAIMTRLGRLR PAGAVTRFTDLLPVCRNVTRWSSTFQMVQRYLALLRFLPEVSGVRDLLLLSGQNTRVK KLFFVLEQFKSVTRQLQAEDLDMADARTMFHGLHCRHPTLPYLALNAEIHHCPNFDNG VAKVLSLREDELTTAEKAALVMFLLDPDSVAVPSTPLSYADERLAPKRRRMGPTASKY CCLKFVLPTTNLVERLFSVAQYILTDHRKHLYPVNFEMIIFLRANTLYWSVFSLM H257_09846 MSDIKSGRYLLDHLTGKVDKANMQRDRLNEYFRCHITAKVDKPL GKSRTSVGKPTELTVASDTSFGVVSALGVHIADYHADASNAKLLWDPEGPKEFYVKVA ANTTQDKRATSNNLVTAATRVAGYIEDQSLLLGPLQIDYATVVTARLPPTAPVEIPAN ATMQQLGHIDLTDSRHADECRYPDHGNVPDWLNEYATVHPCFYIEELEDALRHQFPTL KIVSATDECESLPI H257_09847 MLNITRLDRSIPLDIVLKSALNRVAGRRDPCRQINCPAATPRTD IPSVSSAAPAKCAKLPQCRCKRRRKRFGHHQRKSSHITYHVSRVKARYANLLALSLGH EKSNIHQSEHHCRQTKVTLRLNVAHRGDITSKLTDYTPLTAQDLPSNPVTNTTQPLST TDCHIRVNTLRHRHCATTGGTTVCIDENGHIHWHNADHAHVECHQRVPTGCCTSKTAY CGIHQLVHPPTSTGKPALRHRASYLGCFRISQMLNLTWNDVALQHDGDS H257_09848 MLRTMAMMTVAVALALADGTAASSHKITTLPGYNDAKPINFDQY AGHIPLPSNGQKMFYWLVESESNPSTDPLVLWLNGGPGCSSLNGFFTELGPFVVQSDL SVKRNPYAWNRKANMVFLDSPAGVGFSQPVLNASEYTDDVTAARSREFLKQFLELYPQ YKNRDFYITGESYAGMYIPFLVHNLVTDPVENLHLTGFAIGNAYTDQKLDDGSYMDYY YSHGLVSIQTYREIQNNCQPSELWLCQDGHPGCSALCAATYAAAELSIDFDEMDAYYI YGDICLLQANQSKALQRQKNHMIRSLTHRGTLGPCTDTFMTLYLNQPQVLAAIHVDGG AGQSFSDCSDDVTELYSSSLTVLDKYPTILQAGLKGLIYSGDADSVVNFIGTQRWLTD DGLNLTVTEKWKTWFGPDKQLAGYTVRYTNLTFKTVKGAGHMVPAARPLHALYLFECF VYGQVACDSFAYPKDPTEYLSGADLTAPTSNGQGEGAGAVVWWWLGFAAAAVAVGVSV VVMVMLKKTQIDRAQYVELMAGPPKPKYST H257_09849 MDQALLMKEKGNACFAKHKYSAAIDFYTEAICLKSDVAAFYTNR ALSYLHLEKWALAQTDCRRAIQLDASNAKAHYLLGKSLCHNSEYTAGIEAFQAALALA AQSSQLKVSFEQEVLAGLRQARKLKWQAAHDIQTSRHERVTALFHDIVHQSRTHQLHC ANTSAPQTKADIHNDHDTVLAHMMELLELQQSAEKNLVPEYFLCPIGMDIMEDPVTTP NGVSYDRKWIEAHVARSQIDPLTRESLRASQLRPNVSLRHAIEDFLTKNPWAYEQ H257_09849 MDQALLMKEKGNACFAKHKYSAAIDFYTEAICLKSDVAAFYTNR ALSYLHLEKWALAQTDCRRAIQLDASNAKAHYLLGKSLCHNSEYTAGIEAFQAALALA AQSSQLKVSFEQEVLAGLRQARKLKWQAAHDIQTSRHERVTALFHDIVHQSRTHQLHC ANTSAPQTKADIHNDHDTVLAHMMELLELQVRTY H257_09850 MLFRAMVAATGVLLAVVTASSPHKITSLPGYNDAKPINFDQYAG HIPLPSNGQKMFYWLVESESNPSTDPLVLWLNGGPGCSSLGGFFTELGPFVVQSDLSV KRNPYAWNRKANMVFLDSPAGVGFSQPLLNASEYHDDVTTARSREFLQQFLELYPQYK NREFYITGESYAGMYIPFLVHKLITDPVENLHLTGFAIGNPYTDQKTDGKAYMDYYYT HGLISIEAYRAVQRDCQPTELWLCQFGHPGCSPKCAVTYQEAKFSIDLEHLNPYYIYG DVCLLQGNESQVLKYHNVRPLTHRGNIGPCTDAFTNIYLNQPQVLAAIHASGGNSWTD CNHNVTRRYTLSLSSLDKYPTILNAGLKGLIYSGDADAIVNFIGTQRWLTDDGLNLTV TEKWQAWFGPDKQLAGYTERYTNLTFTTVKGAGHMVPAARPLHALYMFECFLYSNREC NEVFDYPKDPAEYLSGADLTAPTTNGQDDDAGAVVWWWLGIAAAVAVGVAVVVMVVLK KTQKDKKVQYVELNSGTAKPAYS H257_09851 MTRQLFTLQFDTSNVLHAYMYHQKVPAKPNGEHAGAPVATPQPQ NGHKHLTLEDRRGIYEMPLSASVGDMLPRGVITKAAQQFRCHVRMISRLWQRVRFSLR GGGHTADVKRRYYVYDDEEVVARSVKSKNLITKVMLLAAVARPRYDHHAKKILDGKVG VWPLVQVSPSTRSSKNRPRRTMITVPHIVNFEVYFDSVVNKVCDWSALETPKRSNFEM PAPLLPQRVQRRYNLDMGIKLLMAYGNTNLSDKAISALEGIPCTTWQTWLSKKDAYLT TERNKRCLTLGCQGRPVAMQFANDLLAFMEAVQADSHLLTTAHMVAWIKTHHQSWVET YLQRKAASGTGYDGLLGLCQRFAHRRSFGQRVPCYSKLKRAELEKQKDAFAATFWEKH GEKPL H257_09852 MGKAMHKQVAWNPEMDTALLREVVRVEPYDGEYGTLILRWKAIA GSLSTYFEADIPYHSARERYDGMVDQFKAKDKAQRLWRTGSDEEVTEQVQLLQDLIDL RDTAESTKKAKKDKDQKRRESLESTGSQLCVEAEQRMAKRQRTGGSGAVPTKKEEQEN GTFVI H257_09853 MHPLHHQFVHRSSLRFHVRLALLSQQQRRSRLTIPAITFTLDDY SDADCIEKFRFTKASLRRLSACLRIPSRVVTTERTSCSGVEALCVLLRRFAVPDRWSD LISMFGRSQSGMCNIFLHVLDHIHNEFDEIIFLDRDRISAQLVEYINAIIAKEPFVSA ADRVVMNVSEPISMDINDAMSSKFQSLVTPDGIISHAFGPIEGRRHHLTILRQSNLEN VLADDDRFAGFVVYGDPAYGYSNHLASPFGGARLTDAQRVVNKSMSRVRISVEWSFGQ VVQYWPNVDYKKKMRIGNSPIAKMYKVAVLLTNCITCDREAYLHAVI H257_09854 MGNRRRELTSDMKVEVIQRIQAFLHRGKPVRGAFTKTAAEVGIT RQTVAYVWRKYCLTGSAASKWAQDHRLTMGTLSRHLKRGTFERRSTRIKPLLTDNNKA QRKWFNTDKDRRKTYLVPGNALSTLLEEQALAPAARNSHDRQAGTMVITPVNVNAVVF RDFIIHKVVHAIQVHTSASYFNTTIQCRMDP H257_09855 MLTAAMWSAAIGLASVLATASHKVKSLPHYNDTKPINFDQYAGH IPLPSNGQNMFYWLVESESNPSMDPLVLWLNGGPGCSSLNGFFVELGPFVVQSDLSVK RNPYAWNRKANMVFLDSPAGVGFSQPLLNASEYTDDVTTARSYEFLEQFLELYPQYKN RDFYITGESYAGMYIPFLVHKLVTDPVENLHLTGFAIGNPSTDQKLDDGSYMDYYYSH GLVSIETYRAIQNNCQPSELWLCQDGHPGCSALCATTYAAAELSIDFDEMTPYYIYGD ICLLQANQTKALQHQKNHMIRPLTHRGTIGPCTDTFTTLYLNQPQVLDAIHASGGNSW SVCNRNVSRRYTLSLSSLDKYPTILQAGLKGLIYSGDADAIVNFIGTQRWLTDDGLNL TVVEKWQAWFDPDNQLAGYTERYTNLTFTTVKGAGHMVPATRPLHALYLFECFVHGQV ACDSFEYPKDPTEYLSGADLTAPTTSGQEDAAGVVVWWWLGIAAAVAVGMAVVVVVLK KTQKDKKLQYVQLNSGTAKPVYS H257_09857 MLTAAMWSAAIGLASVLATASHKVTTLPGYNDAKPINFDQYAGH IPLPSNGQNMFYWLVESESNPSTDPLVLWLNGGPGCSSLGGLFTELGPFVVQSDLSVK RNPYAWNRKTNMVFLESPAGVGFSQPVLNDTDYNDNFTTDRAYEFLVEFYAKYPRYKN REFYITGESYAGIYIPFLVHKLVQEPISNVKLKGFAIGNPFTDSASDAGSYIDYFYSH ALISLEAFEEAAVVCPGNSISQCMNGGPNCTQACADYFMDVYTSSSLANPQALNPYNI YGDVCLLGEGQSGALHYHNIRASSHRGAYVPCQDDYTQRYLQQPAVVRALNLESTTTS STTTTQVVVPWSACNFDIAKNYHRTPSTLDKYPVILEAGLKALIYSGDADSVVNFIGT QRWLTKGLKLSVQDKWKAWFGPDKQLAGYTERYTNLTFTTIKGAGHMVPATRPLHALY LFECFVYGQVACDSFAYPKDELEYLSGADLTAPSDIDKQPEQRSNQSLLWAALGLVVA AAAGVAGTVLYLNRSNKATQYVELTAGQPKPVYSN H257_09858 MIRTRHATSADESALVTCINEAFRPANLNLKKPECQHRCNLHEI RRLLSTANSTIFVAEQVLETDADGNAATTSSVVSGCVYVSWESCDDDVLVGHLSLLSV PKEFSKRGIGNRLMDVGEALVARQATALTRDIRLDISVVSIRDDLRVFYERRGYKATG KELDAPGFAATLNDQHAHIRLLEMQLHVPVLAGFGN H257_09859 MTPSQFTSVAVFGAAVAWAVKTTKPPNPHKVKSLPHYNDAKPIN FDQYAGHIPLPSNGQKMFYWLVESESNPSTDPLVLWLNGGPGCSSLGGFFTELGPFVV QSDLSVKRNPYAWNRKANMVFLDSPAGVGFSQPLLNASDYTDDFTAGRLVEFLKQFLV LYPQYKNREFYITGESYAGMYIPFLVHKLITDPVENLHLTGFAIGNPFTDTVIDASAT LEYYYTHALISLETYEVSRQVCGATGVSGCFYGGNICPQECLTLLLESGFGHNIQNLL DRSDVYGDVCLLTQVTSLRSSMPQQLTSANPRRRSSAKLVRPLTHRGVIGPCQALYTA QYLQLYAVQRALHVYDMHVNWVSCNPRVTSRYTRTRSALPKYPTILQAGLKALVYSGD ADATVPFLGTQRWLTTPNMDSNVSDGLDLSIVSPWQSWVGPDKQLAGYTVRYTNLTFT TVKGAGHMVPATRPLHALYLFECFVYGQVACDSFAYPKDSLEYLSGADISVGFDGGRG EGALIPWAITAVVVVLGSMLGLVFWAKKEPDQVVEYSVDKSTTTRSMSTLGMYR H257_09860 MYLSTAMAVTIDTRPATAADASFLLTCINDAFKADAFFKTKEHF NRVSMADVLAMLAAPRSTYLVAEISADGRKSDLCGCIYVQWTVHQDATVVGNFSSVSV RNEFERRGVGGRLVQAAELLVASQATSQQPSRMDISVVSARPDLFAFYERKGYRVTGN VIDSPEFAAILNDTHRHVHLVEMQKMLSPPPPGV H257_09861 MIDVQRGFRAIRDVRVIGFYSAKDIRSDKHYVVYVIEVTLDPSM STASSSSSFLVYRRYSQIAILSTKLHFETSVGLPPKYALQPYPLSDEQLAHRYDGLRH FVEEIFAELKRRCDDIHDDSGAPSSITSRRDIQIFSEFLHLHKNRPPPPAHPPLADWA HPGDFLLSSDRSGVSSSRFSSISSESLSTLGWGDGGDGFHENIVHEEIEEEEQGGRHV RDDITTTTQLDLSNYLRNDVLQVESQCHALWNVVQAYVQAAQTLSQATETVQVEMTRL SKMNLLVPAAAQPDPGSTASEDSSPAPLLPPLPSALNFSMERWKHLEQTCGQDVVAPI RHLCHDVLPEVKATYWGSNAPVLYPACRYRQERAAMQTSLQSTLAAVSAAFRAAAIEF LTPCPTVKTEPATQCNEDMTSPHCDIVATAIDATCTKNDGPTVAFAVPQVYVWGRLPD ATTPLLRPTPQPIKIGQDIAQVACGGDRVLFLTGSGEVYSYNPDEKGQSLDSPQLVEQ FALDKALHRSVVVSVACGPQHALAITHVGELYSWGSGEDGRLGHGDVRDRPVPRKVMS LLGERVVQGSCGGAHTAVVTASHQLFTFGRGKHGRLGLGSTALLLQTTPTAIPSTMTW QRVCCGWNFTVALTMSGQVLSWGKQAEGQCGLGYIEADQWTPVPVDALRPYTIADVAC GYTHTLAVSDAGELFSWGLGEYGQLGKETIYQPVPQLVDVSTCLAMDRVLHVYCGAFH SVATTQHNVLLTWGLNVYGACGLGHTTNKDAPERLDCFDRGHELVVVCGHKFTMVLER ATGSHVESRMGAQSTGSLQKEEDLRRTKRLWRTRILKNWDASRDSPLAQTLWRQGIPP SLRSKVWPLAIGNSLKITPAMYHLYRQRASQARLGNVQVPLDGREHTVKLIHTDLPRT FPTLKLFDTSGPYFDYLREVLETYACYRPDLGYIQGMSYIAALLCLHIPTDRYLTFQC LANVMVHEHLFTFYLLDVDLANDYYMWFDVYFEMELPRLQTHLMQLGIPSSMFLMNWL QTLYLQVLPLEVASRVFDNFLLDGVLLLFRTALAILTLLGPTLMEHDLEVALPMLQRH YQYHALWLEVLTEEKLFETIATINTPSHVYMGVERLVQNVYFYQPGSTAGVSFPQQKI RRSRYALSDQLDSIVGGL H257_09861 MIDVQRGFRAIRDVRVIGFYSAKDIRSDKHYVVYVIEVTLDPSM STASSSSSFLVYRRYSQIAILSTKLHFETSVGLPPKYALQPYPLSDEQLAHRYDGLRH FVEEIFAELKRRCDDIHDDSGAPSSITSRRDIQIFSEFLHLHKNRPPPPAHPPLADWA HPGDFLLSSDRSGVSSSRFSSISSESLSTLGWGDGGDGFHENIVHEEIEEEEQGGRHV RDDITTTTQLDLSNYLRNDVLQVESQCHALWNVVQAYVQAAQTLSQATETVQVEMTRL SKMNLLVPAAAQPDPGSTASEDSSPAPLLPPLPSALNFSMERWKHLEQTCGQDVVAPI RHLCHDVLPEVKATYWGSNAPVLYPACRYRQERAAMQTSLQSTLAAVSAAFRAAAIEF LTPCPTVKTEPATQCNEDMTSPHCDIVATAIDATCTKNDGPTVAFAVPQVYVWGRLPD ATTPLLRPTPQPIKIGQDIAQVACGGDRVLFLTGSGEVYSYNPDEKGQSLDSPQLVEQ FALDKALHRSVVVSVACGPQHALAITHVGELYSWGSGEDGRLGHGDVRDRPVPRKVMS LLGERVVQGSCGGAHTAVVTASHQLFTFGRGKHGRLGLGSTALLLQTTPTAIPSTMTW QRVCCGWNFTVALTMSGQVLSWGKQAEGQCGLGYIEADQWTPVPVDALRPYTIADVAC GYTHTLAVSDAGELFSWGLGEYGQLGKETIYQPVPQLVDVSTCLAMDRVLHVYCGAFH SVATTQHNVLLTWGLNVYGACGLGHTTNKDAPERLDCFDRGHELVVVCGHKFTMVLER ATGSHVESRMGAQSTGSLQKEEDLRRTKRLWRTRILKNWDASRDSPLAQTLWRQGIPP SLRSKVWPLAIGNSLKITPAMYHLYRQRASQARLGNVQVPLDGREHTVKLIHTDLPRT FPTLKLFDTSGPYFDYLREVLETYACYRPDLGYIQGMSYIAALLCLHIPTDRYLTFQC LANVMVHEHLFTFYLLDVDLANDYYMWFDVYFEMELPRLQTHLMQLGIPSSMFLMNWL QTLYLQVLPLEVASRVFDNFLLDGVLLLFRTALAILTLLGPTLMEHDLEVALPMLQRH YQYHALWLEVLTEEKLFETIATINTPSHVYMGVERLVQNVYFYQPGSTAGVSFPQQKI RRSRYALSDQLDSIVGGL H257_09861 MIDVQRGFRAIRDVRVIGFYSAKDIRSDKHYVVYVIEVTLDPSM STASSSSSFLVYRRYSQIAILSTKLHFETSVGLPPKYALQPYPLSDEQLAHRYDGLRH FVEEIFAELKRRCDDIHDDSGAPSSITSRRDIQIFSEFLHLHKNRPPPPAHPPLADWA HPGDFLLSSDRSGSSESLSTLGWGDGGDGFHENIVHEEIEEEEQGGRHVRDDITTTTQ LDLSNYLRNDVLQVESQCHALWNVVQAYVQAAQTLSQATETVQVEMTRLSKMNLLVPA AAQPDPGSTASEDSSPAPLLPPLPSALNFSMERWKHLEQTCGQDVVAPIRHLCHDVLP EVKATYWGSNAPVLYPACRYRQERAAMQTSLQSTLAAVSAAFRAAAIEFLTPCPTVKT EPATQCNEDMTSPHCDIVATAIDATCTKNDGPTVAFAVPQVYVWGRLPDATTPLLRPT PQPIKIGQDIAQVACGGDRVLFLTGSGEVYSYNPDEKGQSLDSPQLVEQFALDKALHR SVVVSVACGPQHALAITHVGELYSWGSGEDGRLGHGDVRDRPVPRKVMSLLGERVVQG SCGGAHTAVVTASHQLFTFGRGKHGRLGLGSTALLLQTTPTAIPSTMTWQRVCCGWNF TVALTMSGQVLSWGKQAEGQCGLGYIEADQWTPVPVDALRPYTIADVACGYTHTLAVS DAGELFSWGLGEYGQLGKETIYQPVPQLVDVSTCLAMDRVLHVYCGAFHSVATTQHNV LLTWGLNVYGACGLGHTTNKDAPERLDCFDRGHELVVVCGHKFTMVLERATGSHVESR MGAQSTGSLQKEEDLRRTKRLWRTRILKNWDASRDSPLAQTLWRQGIPPSLRSKVWPL AIGNSLKITPAMYHLYRQRASQARLGNVQVPLDGREHTVKLIHTDLPRTFPTLKLFDT SGPYFDYLREVLETYACYRPDLGYIQGMSYIAALLCLHIPTDRYLTFQCLANVMVHEH LFTFYLLDVDLANDYYMWFDVYFEMELPRLQTHLMQLGIPSSMFLMNWLQTLYLQVLP LEVASRVFDNFLLDGVLLLFRTALAILTLLGPTLMEHDLEVALPMLQRHYQYHALWLE VLTEEKLFETIATINTPSHVYMGVERLVQNVYFYQPGSTAGVSFPQQKIRRSRYALSD QLDSIVGGL H257_09861 MIDVQRGFRAIRDVRVIGFYSAKDIRSDKHYVVYVIEVTLDPSM STASSSSSFLVYRRYSQIAILSTKLHFETSVGLPPKYALQPYPLSDEQLAHRYDGLRH FVEEIFAELKRRCDDIHDDSGAPSSITSRRDIQIFSEFLHLHKNRPPPPAHPPLADWA HPGDFLLSSDRSGVSSSRFSSISSESLSTLGWGDGGDGFHENIVHEEIEEEEQGGRHV RDDITTTTQLDLSNYLRNDVLQVESQCHALWNVVQAYVQAAQTLSQATETVQVEMTRL SKMNLLVPAAAQPDPGSTASEDSSPAPLLPPLPSALNFSMERWKHLEQTCGQDVVAPI RHLCHDVLPEVKATYWGSNAPVLYPACRYRQERAAMQTSLQSTLAAVSAAFRAAAIEF LTPCPTVKTEPATQCNEDMTSPHCDIVATAIDATCTKNDGPTVAFAVPQVYVWGRLPD ATTPLLRPTPQPIKIGQDIAQVACGGDRVLFLTGSGEVYSYNPDEKGQSLDSPQLVEQ FALDKALHRSVVVSVACGPQHALAITHVGELYSWGSGEDGRLGHGDVRDRPVPRKVMS LLGERVVQGSCGGAHTAVVTASHQLFTFGRGKHGRLGLGSTALLLQTTPTAIPSTMTW QRVCCGWNFTVALTMSGQVLSWGKQAEGQCGLGYIEADQWTPVPVDALRPYTIADVAC GYTHTLAVSDAGELFSWGLGEYGQLGKETIYQPVPQLVDVSTCLAMDRVLHVYCGAFH SVATTQHNVLLTWGLNVYGACGLGHTTNKDAPERLDCFDRGHELVVVCGHKFTMVLER ATGSHVESRMGAQSTGSLQKEEDLRRTKRLWRTRILKNWDASRDSPLAQTLWRQGIPP SLRSKVWPLAIGNSLKITPAMYHLYRQRASQARLGNVQVPLDGREHTVKLIHTDLPRT FPTLKLFDTSGPYFDYLREVLETYACYRPDLGYIQGMSYIAALLCLHIPTDRYLTFQC LANVMVHEHLFTFYLLDVDLASV H257_09861 MIDVQRGFRAIRDVRVIGFYSAKDIRSDKHYVVYVIEVTLDPSM STASSSSSFLVYRRYSQIAILSTKLHFETSVGLPPKYALQPYPLSDEQLAHRYDGLRH FVEEIFAELKRRCDDIHDDSGAPSSITSRRDIQIFSEFLHLHKNRPPPPAHPPLADWA HPGDFLLSSDRSGVSSSRFSSISSESLSTLGWGDGGDGFHENIVHEEIEEEEQGGRHV RDDITTTTQLDLSNYLRNDVLQVESQCHALWNVVQAYVQAAQTLSQATETVQVEMTRL SKMNLLVPAAAQPDPGSTASEDSSPAPLLPPLPSALNFSMERWKHLEQTCGQDVVAPI RHLCHDVLPEVKATYWGSNAPVLYPACRYRQERAAMQTSLQSTLAAVSAAFRAAAIEF LTPCPTVKTEPATQCNEDMTSPHCDIVATAIDATCTKNDGPTVAFAVPQVYVWGRLPD ATTPLLRPTPQPIKIGQDIAQVACGGDRVLFLTGSGEVYSYNPDEKGQSLDSPQLVEQ FALDKALHRSVVVSVACGPQHALAITHVGELYSWGSGEDGRLGHGDVRDRPVPRKVMS LLGERVVQGSCGGAHTAVVTASHQLFTFGRGKHGRLGLGSTALLLQTTPTAIPSTMTW QRVCCGWNFTVALTMSGQVLSWGKQAEGQCGLGYIEADQWTPVPVDALRPYTIADVAC GYTHTLAVSDAGELFSWGLGEYGQLGKETIYQPVPQLVDVSTCLAMDRVLHVYCGAFH SVATTQHNVLLTWGLNVYGACGLGHTTNKDAPERLDCFDRGHELVVVCGHKFTMVLER ATGSHVESRMGAQSTGSLQKEEDLRRTKRLWRTRILKNWDASRDSPLAQTLWRQGIPP SLRSKVWPLAIGNSLKITPAMYHLYRQRASQARLGNVQVPLDGREHTVKLIHTDLPRT FPTLKLFDTSGPYFDYLREVLETYACYRPDLGYIQGMSYIAALLCLHIPTDRYLTFQC LANVMVHEHLFTFYLLDVDLASV H257_09861 MTRLSKMNLLVPAAAQPDPGSTASEDSSPAPLLPPLPSALNFSM ERWKHLEQTCGQDVVAPIRHLCHDVLPEVKATYWGSNAPVLYPACRYRQERAAMQTSL QSTLAAVSAAFRAAAIEFLTPCPTVKTEPATQCNEDMTSPHCDIVATAIDATCTKNDG PTVAFAVPQVYVWGRLPDATTPLLRPTPQPIKIGQDIAQVACGGDRVLFLTGSGEVYS YNPDEKGQSLDSPQLVEQFALDKALHRSVVVSVACGPQHALAITHVGELYSWGSGEDG RLGHGDVRDRPVPRKVMSLLGERVVQGSCGGAHTAVVTASHQLFTFGRGKHGRLGLGS TALLLQTTPTAIPSTMTWQRVCCGWNFTVALTMSGQVLSWGKQAEGQCGLGYIEADQW TPVPVDALRPYTIADVACGYTHTLAVSDAGELFSWGLGEYGQLGKETIYQPVPQLVDV STCLAMDRVLHVYCGAFHSVATTQHNVLLTWGLNVYGACGLGHTTNKDAPERLDCFDR GHELVVVCGHKFTMVLERATGSHVESRMGAQSTGSLQKEEDLRRTKRLWRTRILKNWD ASRDSPLAQTLWRQGIPPSLRSKVWPLAIGNSLKITPAMYHLYRQRASQARLGNVQVP LDGREHTVKLIHTDLPRTFPTLKLFDTSGPYFDYLREVLETYACYRPDLGYIQGMSYI AALLCLHIPTDRYLTFQCLANVMVHEHLFTFYLLDVDLANDYYMWFDVYFEMELPRLQ THLMQLGIPSSMFLMNWLQTLYLQVLPLEVASRVFDNFLLDGVLLLFRTALAILTLLG PTLMEHDLEVALPMLQRHYQYHALWLEVLTEEKLFETIATINTPSHVYMGVERLVQNV YFYQPGSTAGVSFPQQKIRRSRYALSDQLDSIVGGL H257_09862 MTPRWLPWTTLVLAIALVSFNVGAFAEDASVHVHPRLSSQRVVV HKRIYGGTAAATGNTPWMAGLRFSANESSYCGGALVAPQYVVTAAHCVTFRHAAPAVL YVSIGTSSIDGTDADVRTVSSMWTMPNFASAPLGSDLAILKLDAPSTKRPVALSKQWV HPNTTTVTFGWGQCSLSEPSSYHLLQANLTTIANAACQRRIRTASNDSVYTTWNATAS HLCAGGVTGTGTCYGDSGGPLVAHPYLDTNLSLSQIVLVGIVSFGVKCADGVPDVFTR VSATATFIDEHVTGHRWVE H257_09863 MKNPPPSPPRGPLVPSHISTPSTGDQPPNDDNHSGPQDPPRRRN SSTNTTDLSTSDAVPSSLDIIRHRRMINKRRWLAKQAARTQRLSSEVAALEVDIVNLE H257_09864 MTGGAFPIATPTARFGETFNHLPTVAESISFTPLVATVQDEATA EAQLRHFVHRFQHGWTPEASADLDHIVDGNVEVVDHLGASVTTSYEQVAGEPITGVRR LEATWRRFCDTFHGFHMGLQQLVSLEPPREWLLLITLTLQLTNETLALHYPHVVQTAK STGGAKAIEIAAKLTASPLRLDVQCHVWLDGHFKIVKVHTVVGWAAALLACLGDPDDV VWVLTSPCPVYNFPQGEF H257_09865 MNNHPTSPPGKMTLPPRAPHPSVPVPRTDHPSRRHSGAASSTST KGMSTYSPSSRESIRHRRKMNKRRLRAEQAARTQRLSSEVAALQGDIRHLQMHLLSVE KQTSSAPAVAVPIARFGETFNHLPTVAESISFTPLVATVQDEATAEAQLRHFVHRFQH GWTPEASADLDHIVDGNVEVVDHLGASVTTSYEQVAGEPITGVRRLEATWRRFCDTFH GFHMGLQQLVSLEPPREWLLLITLTLQLTNETLALHYPHVVQTAKSTGGAKAIEIAAK LTASPLRLDVQCHVWLDGHFKIVKVHTVVGWAAALLACLGDPDDVVWVLTSPCPVYNF PQGEF H257_09866 MSSTATGSSSSTAATSKHPTVECDVCVGMTMYTSAMRDANEKPI CVGFKSTKCEIDQQGFVELLEKRQQEAAGSPTRSKSATTTIDQEARLRHIEAFLAALS NKPQPKVDATAHDSIYAPEKDSFRYMYWGLTLYSSTPTNTGHFKHVLPLCVGVSYLQR SKPSLPATADVASRSVPAPSPFSRPADLALTKAVDPEATPVNLLGKMTAACNFTAMAM VKYADRQATNFPERYTQSMQRLVDNMHVQWGSMTKLAIRIVTFGGSKKDS H257_09866 MSSTATGSSSSTAATSKHPTVECDVCVGMTMYTSAMRDANEKPI CVGFKSTKCEIDQQGFVELLEKRQQEAAGSPTRSKSATTTIDQEARLRHIEAFLAALS NKPQPKVDATAHDSIYAPEKDSFRYMYWGLTLYSSTPTSTTSTTSTSTTTGDKDTGHF KHVLPLCVGVSYLQRSKPSLPATADVASRSVPAPSPFSRPADLALTKAVDPEATPVNL LGKMTAACNFTAMAMVKYADRQATNFPERYTQSMQRLVDNMHVQWGSMTKLAIRIVTF GGSKKDS H257_09866 MSSTATGSSSSTAATSKHPTVECDVCVGMTMYTSAMRDANEKPI CVGFKSTKCEIDQQGFVELLEKRQQEAAGSPTRSKSATTTIDQEARLRHIEAFLAALS NVRGGRIFIPGQLSSAHQKPQPKVDATAHDSIYAPEKDSFRYMYWGLTLYSSTPTNTG HFKHVLPLCVGVSYLQRSKPSLPATADVASRSVPAPSPFSRPADLALTKAVDPEATPV NLLGKMTAACNFTAMAMVKYADRQATNFPERYTQSMQRLVDNMHVQWGSMTKLAIRIV TFGGSKKDS H257_09866 MSSTATGSSSSTAATSKHPTVECDVCVGMTMYTSAMRDANEKPI CVGFKSTKCEIDQQGFVELLEKRQQEAAGSPTRSKSATTTIDQEARLRHIEAFLAALS NVRGGRIFIPGQLSSAHQKPQPKVDATAHDSIYAPEKDSFRYMYWGLTLYSSTPTSTT STTSTSTTTGDKDTGHFKHVLPLCVGVSYLQRSKPSLPATADVASRSVPAPSPFSRPA DLALTKAVDPEATPVNLLGKMTAACNFTAMAMVKYADRQATNFPERYTQSMQRLVDNM HVQWGSMTKLAIRIVTFGGSKKDS H257_09866 MRDANEKPICVGFKSTKCEIDQQGFVELLEKRQQEAAGSPTRSK SATTTIDQEARLRHIEAFLAALSNKPQPKVDATAHDSIYAPEKDSFRYMYWGLTLYSS TPTSTTSTTSTSTTTGDKDTGHFKHVLPLCVGVSYLQRSKPSLPATADVASRSVPAPS PFSRPADLALTKAVDPEATPVNLLGKMTAACNFTAMAMVKYADRQATNFPERYTQSMQ RLVDNMHVQWGSMTKLAIRIVTFGGSKKDS H257_09867 MSNMQTLIPLASTMSSPTGPQTTPVVRNYNKRIRASSIISTQSW CRSNMSSPPLLFDDEIEGTGMWTNAEHLRFMEGVAMFPKGPWKCVADHVQTRTVRQIR THAQKYREKKARHLRGLRQKVHPSPSASFRNSNYRYSSDSDDGCRYVDPVAVDDVGVV SGQLDMRLDPLPYSCSPMQLDECVAFLLEAFTNSK H257_09868 MSSTTTTSKSCPLDTNYSDNDNQRIEGTGTWTTDEHDRFLTGIA MYPKGPWKKVAVIVKTRSVRQLRTHAQKFREKMARRERRVECSVSSASSTTSSEDREA NVDWENCGVTHKYDGEVNTWVGDPISFARTGVQYDECALFLLEAFGEWGCAL H257_09869 MPRGKAKRQGRPPKLSSGDKQQLFRHALLSVTARCIHQQLLSHL NLSYVKSQTTRHLTKEVFSKRQGGGGGVMVWAAFSASM H257_09870 MPSQLPQTKGVLGSAWKGIQQEGGIQERPEDKLQTEATMLPLSD ARHGTGAQSRPVHIPEDCTVSTAGDDSRRQTDVSEDKEEKRIYTVPCNEAKSIKTIGE TSGSTDVYTGPSAGDNSRRQTDGSEAKEEKGMYAEPSKEESSCRQTEPNTRDASASPD GDSLEPRGSASVLSRILPRVQGPLTTFPEETSDAEEPRAPSRDSTNPVLVQTQFWRVR GGGTMSAVTVGSTRTEYWKQKDPRLDSDITPVFTAAQIDAISRCDMAGLPDSRHVDIE ERLYPIWLVGAAGAIEPAPDERAVWAGELPEEAFSISLGGVGTPWIVSSFPFCG H257_09871 MNAQATGLVPLEDNDSARVSTPGRKREADGSPHVSPPTYCPETQ SPLKRPALTSAADLPLVLTSPLARTGTDGRESNAETLERYTSLVQAEVKRTASLLFFQ QANEDRARLLGVQETQLVEVVEGLRIARASETHESRRQDEFQKALTYLQDCYTQELDV ARAQKDAERVLEVRRRKDHLRHDGLMSSLREELRTLKRNHQEEILLVREAARDEDNSK GVAYDLLQSQSSRRLRNSSQYRRPSQLPSGTSLSGSLRKPCGNCPILEKEKQDLREAL RERERSLKEEVQRRQGMEQVWEEEHRRLLESEEMARELQESILTEQNR H257_09872 MAAAKPLTAWEVHQEVSLRTTASGIGAATPKTIIQVFQGTVKRV PNHPAYYTKAPGSSSYTFKTWTQYYADCRAFAKSLIALGLAPFHVINIIGFNSIEWVT ACIGAILGGCIPAGVYTTSNPEACHFIAEHSEAHVVLCDGVAQLEKYVAIAHKLPVLK ALVVYNDVVPSDLNCSVPVFTFADFLASGSGVDDTALEARMNAQKPGNCCTLIYTSGT TGNPKAVMLSHDNLTWTVEAVCQSYEAAGSILNQHSNTVSYLPLSHVAAQLFDIYLPI TRGLAIYFAQPDALKGSLGTTLKEARPTLFFAVPRVWEKMMEKMISIGRGTTGLKKTL VTWAKSVGAAKNEAAQFGKGGGTPCGYTIAQRLILSKVRAALGFDRCDTMFSGAAPIS LEAVKFFAALDIPVYELFGQSECTGPTTLSLAGAWKVSSVGMPMQGTQMQVVEDTQEL IYQGRNTMMGYLKAEQITKDTIDADGWLHSGDCGKLDEDNFCSITGRIKELIITAGGE NIPPVLLEDAIKEEIPLLSNAMAIGDRRKFLTAVFTLKHGARHSDRPRIHGDHRGRGQ DLPQGQGLRGDEVEKSQRPRGVPGAAHSKVYHSGQGL H257_09873 MTLNSTISIRKTTCKVNVEFPDSFRCQVATKVGVPLGKSRTSVG KPTELTISTGTSFGVLHASVTDAVTTAVAEHHAVPTNIKLSWDPATQTTPSGIFVKVS ANTTQDKHVQLTLQNYSDLLQQVWDKASKIRNA H257_09874 MISIDMRWRCVVLVQVYGIETECLRLLLGISERSVTRFNKMFSR TGHVCNTKRRVRQKKWPPEVNSWVSEYAIAHPCFYIEELEEALRLQFPSLNNISASTI CRALMHDLGLTRKVLEKRAREGAEFELRDYYRRLSPYYLYPDQLVFVDETSKDGRDSI RKTSTERKMSTGELAIVPRRIRTLRVLGEHAVNVAKLVHCCIRRDFDGGSHGQTGSND PRIIRLELTKHDVLVANIIRNSER H257_09875 MAAKRNEVRAYKSLIERCLNFANRHGYKHRVPCPAKAFQGELLA SQHECATVFQEKFGHQPRREKLPLLIIVKGTPGGDIERFEVPTYLPDPVYAVQEKAYM DQRVWSMYLREVLKPALDSPSVLLADNLKCHPLPANTTSVLQPLDVAIMGPFKQMCRT EWIKEDKDVTAQEKRMAMILREKLPLLIIVKGTPGGDIERFEVPTYLPDPVYAVQEKA YMDQRVWSMYLREVLKPALDSPSVLLADNLKCHPLPANTTSVLQPLDVAIMGPFKQMC RTEWIKEDKDVTAQEKRMAMILPAKAYDIPPCTLRKHVADTINGVNNDTLVTMGRVPH LPRQCEDDLVAWILAMERDGHRIGCHHIVQKATKISEAVHNVPRATKLSTGWYKRFLD RHRELRVSKAQVLSKPRNGVDQPAVKEFFRELSKSIALRNHLRPRMSPLSHVLPRTEP RNHHCLCSLVTESTEVCDSLSVPITSSEKAQAARHPKRLSSLDQSTNQSAISNGIVCT GLCPPSLDKMLYRLSLFKPSEIADNGVNETWQKRVDSVRDYVLLLPSTKKRKSATRKT LTVSGKFITADYHALLQTQPTANPKRKKRATRQAENSVEDCVI H257_09876 MKVHGDNCFVLPHLKKDKMANEGMLLIRPYCDVDTLAVFDALYA QLQCDEEMDRSSDLFNVSCSMRFSVYGQIVSLGAISADTKCAIREFLRMNALLGPSSS DRMFTGTYNISEATFCRWKARVSHFLESSAHRFRATLMGRARSENINFSQQLVEFMEA VREGKHFLMTAHLVT H257_09877 MMTKPLIAWEMNREVSLRLTSSGMGAFTPKTIVEVFQNTVKRVP DSPVYYTKAIGSSDYTFKTWAQYYADCRKFAKSLIALGLAPFHVINIIGFNSIEWVTA CIGAILGGCIPAGVYTTSNPEACHFIAEHSEAHVVLCDGVAQLEKYVAIAHKLPVLKA LVVYNDVVPSDLNCSVPVFTFADFLASGSGVDDTALEARMSAQKPGNCCTLIYTSGTT GNPKAVMLSHDNLTWTVEATAVHYEQTGIVLNERSNTVSYLPLSHVAAQLFDIYLPIT RGLAIYFAQPDALKGSLGTTLKEARPTLFFAVPRVWEKMMEKMISIGRGTTGLKKTLV TWAKSVGAAKNEAAQFGKGGGTPCGYTIAQRLILSKVRAALGFDRCQGMLSAAAPISL ETVNFFAALDIPLYELFGQSECTGPTSFSMTGAWKIGTVGRPMQGTQMQVVEDTQELI YQGRNTMMGYLKAEQITKDTIDADGWLHSGDCGKLDEDNFCSITGRIKELIITAGGEN IPPVLLEDAIKEEIPLLSNAMAIGDRRKFLTAVFTLKVVVDADGNPSDQLDSTALAIL TGLGSTATTVGEAKTCDKVKAYVETKLKKANGRAASRAQHIQKYIILDKDFSIGGDEL AATLKLKRRVVMAKYEHAIEAMYA H257_09878 MASSGVGSIAPQTVVDIFRSTVERVPDSPVYYTKAIGSSDYTFK TWAQYYADCRKFAKSLIALGLAPFHVINIIGFNSIEWVTACIGAILGGCIPAGVYTTS NPEACHFIAEHSEAHVVLCDGVAQLEKYVAIAHKLPVLKALVVYNDVVPSDLNCPVPV LTFADFLSVGREVTDAVLEARMSAQKPGNCCTLIYTSGTTGHPKAVMLSHDNLTWTVE ATVQQYEQSGLVLNEHSNTVSYLPLSHVAAQLFDIYLPITRGLAIYFAQPDALKGSLG TTLKEARPTLFFAVPRLDEDNFCSITGRIKELIITAGGENIPPVLLEDAIKEEIPLLS NAMAIGDRRKFLTAVFTLKVVVDADGNPSDQLDSTALAILTGLGSTATTVGEAKTCHK VKAYVETKLKKANGRAASRAQHIQKYIILDKDFSIGGDELTATLKLKRRVVMAKYEHA IEAMYA H257_09879 MDECNDRLILGFPMQFKLLVSVALAAVASVGAQCEMECIDVYEP VCGSNGKTYSNKCRLDVDACSTKTEITVVSAGECPSSAISDCDNTPCTRELDPQCGSD GITYGNKCLLGVATCKNATIKLVSAGECKASTCVKACLEILKEVCGSNGKTYGNECEL KNAACDLPTLTLVKEGPCATTTTPTTTAAPANNAGNNAANKVSTTTTAPPAVPVGVQS AASGMVASSAAVCAAAVAYVLA H257_09880 MKTFVSVAVVIIAWSMLALATSAQCNVNCLLEYAPVCGTNNKTY GNSCILKVDACLTNETIAVARPGKCECSPICTAEYSARCASNNVTYGNDCELKLAQCL DGTIKLLRRTVCTACNIACTKEYQPLCGSNGITYANRCELNNAACNINSTSSSLFSVF NGECSPPPSSTNSTVKPNSTTTSIVTPSPIATTVKSGTMTTVASSAVATLVCVGLLLV AP H257_09881 MHLTSTIVAVLLAASTTTTTAQCELNCITVVDPVCGSNNKTYSN ACLLQVDACTTKENITIARPGACVCDSICTMEFEPQCGSNGVTYGNKCSLKVAQCNDG LVKWISAGVCTKSCDAVCTKEYQPVCGSDGKTYGNDCELKNAMCQDSTSGLVKVSDGE CPVSLNTTKIPTSTSPSIVTPSPSSTSSSSSPPVTTVPSSATAMATSAFTAALYVVLG LIVGY H257_09882 MRLQYFAIAIATASAECEIACIEYYHPVCGSNNQTYANSCLLKV DACAAKQNITVASIGECPVELTCDTVCTKEVAPQCGSNNVTYGNQCLLDVATCKDPLV RWSGDGECNRPCMFACLDVYDPVCGSNMKTYGNDCELNYAACLAPGLVKVADGECSTH NTTKSPNVTSTTPLSTWTTTVMPGPLPPVTTVTSSAAGTLSPSVLATIVAPVTFLIAI STMNL H257_09883 MATVHDKTQVDAIPAPMIIQGGKGRLYKDKSRKIKCVVQHPTDE PLLLGEVLKKCSRGPKLVGCKYSITPDLFCLRWESTGKLAKLTDKFSRTPSTLDIASI CRLQPGVTTSKFHRLDAKTRQTHALCFSIILAFGKTIDIVCTSAAQYDRWFHGLRSLM DRLRATRSADPETAFLYQIWIHADSNQDGMLSRSEVEKVTRSLNHATSAKGELRRMFT QVDLDGDGELDFNEYCALMTQLRHRPELDALFQPSVKSQQYMTSSAFEAFLITNGHTT DDIQSILTLFTTEDMCSRLQFRQYINSTWNDWANPHSMTLHHDMTLPLSQYFIASSHN TYLEGDQLQSHSSVYMYISALLRNCRCVEIDCWDGDDGQPVVYHGHTLTTKILFADVI WGIHVHAFESSPFPVILSLENHCSDAQQIVMADLMTQTFGDRLYIDDLTNDGSVLPSP HALQYKILLKGKQGQADDTTTGEYSDMDDDDDDSADVVLHKISTKTKKQPPPTGTRRR SSKKSKVSPALARLCLFQGVHFRNFDVAATWPCNQMSSFSEGKTKKLAADRKLAFAAY NRTHLSRVYPSGLRVDSSNYNPVMGWGTGSQLVALNYQTADLPMHVNHGLFRQNGQCG YVLKPPSLLSQLGTFTRAIQAITVTVCSGQHLPKPHGDKKGEIIDPYVVLDFVGDGVS SQCKSPTIQNNGLNPTWGFTATFDVGVEAELHVLVLTVMDKDLDRDDMIGFAALSLPC IRPGYRTVPLYASDGSRAGPYEFASLFCHFTLQQAKDDDDVEEMDGWPKLPE H257_09884 MVKPPARGTYGTLTSNKCAKVCPKPKYSPDDIRILVRDVPMDQR STTRDISAAIGLSMGVPGSRRDPSAADVEEQAHYPEGDDHHDHGVLFDGKVGVWPFVE SVPAVRNSRNRPTGTMATSLVNVNATVYRDYVINKVIPAIKASDAECVLPCVPSFVG H257_09885 MASKRPFTVRALHGTTRTKVVAIATLQTPPPEVLTGADEEEIAS IVAFAASKEDNYFNAMVERSNTNAHEASPSEMSEADMMAINHRATKTTILDKTTPMKS MQIQHRTTRHFARRQKYVASYTTKLQQLMRPSGWFSNDPSSASNWVITISNGSVSVIS LSWSLACMARFLALIWWAQSVQGCLSPSQARCMTC H257_09886 MRVMRLPPVLRNRDVVPLLTALLEQCHGDFDTFLYTVEVLIGVN VLRPLINNLNNFDRRTDAATAHTKFQISIDQLACLCVKMRLPEFMWAFPHELRTAGPT K H257_09887 MAAADGATMSTPTFFRINCSHKDLFRESYIRCYQNHGLKVIRCF PHCCPHMEYRGCGSSLSVRVHDPAHYDRNDLQAFGRFQVASEATYAPNDVVSLSTFTS DLRSQRNAMGLWLQGHRQPPGEDGSLVYHFNEKRTDGWHYTWHGGSSTKKREALHLFQ VYVVAVVPNNNDDKCVIGWSVATPPFKLSSYRRAKSADDSSSSDVVKPKVVKTTRTTQ PAQLAPRRGGLPVKSEALTRIQRQAHQLAIAHTFCTSILIGDVAQRWPAIERQLVAQC RDWIGHPSDDVATSMPTMPSVVLPPELIQVSGMPWGAHHEIALNMIWRWFDPAVFTRV QQYTHDHACDDVHLMPQVYDVGIGFLHSMVADMLASHSHSFDEFVRLALANDSNPLPA HREPPTIGLHALLRTLQTNAKLKNSDAAAFNTPSQQLRIQQTHEFWVLSIVQLRRMSL RPTVVAFFRVFTMLFAVHTRLDGHLFFVKSHVPMFVAMGTEFHLNGEPNQFQAFPNGE SCGLHRFQLDGDYIGWTEVYTWSVGDSPPRWCTLLRVCISPDVQNSKLCRVAWTLEYS DHSSMENLKHLGVDDRIRVWNDVPHSLVLQLDTTYTRMDNV H257_09888 MLVQDFTRKFLDEYIAESLAALRVEAKAILFNSLTPILQQVIGS YTSPFSLWVALTAHHESCTRDSLILFASLKYTKGKTDQCSGDSESRRRQVAEAATYAT PNTTQPSPLYTAAAAPSYE H257_09889 MRGVLRRAAFGLGPIRQLSTSRRVIHGEGEMERLGAALAAQIPS TQSGNVLLLHGDLGSGKTCLARGLARTWCCDPSMDVTSPTYLLINTYDPPEASTRHTL HHMDLYRLDSVTSTDVEALGLEDTFTTGTSIVEWPDRLQVLPTPRLDPMVRNGSLWKP GFGRFHP H257_09890 MASHGRESIQGVLVTCDPPTKQYLRHLNLTPGKKKFIIQDLDDH HLFIDPDPAIVMYINEMIDKWNDKNTYQAPTN H257_09891 MPPKPRGVTLEYIRQFIDDVGGRSKLQGLSTSDVWTQFVAPTLS NPSRGNDDANESSTATSFRAAEWCVYHGWNDLFLAVVDTLESWRSSLDTPRSFWLRVF NQPLHLLPPASADTVSSYISQIVPVVPNMLVLWPQEDPSTLLSCEWSAAALAVAATNS IHVDVTALVVPRKEILDDTALLSRTPLLDAYATSTAAITGLPFDAVSSASSPLTAAAR AFLLRSLHAAIDREIQTLPTSLDQAHASYAFGLVLHKSADFIELAKTYYERATSSFPP TSREYFSACRQLALAQDAITTLLLRNDDLQNAHTSCATSKLQSILESQRLIFGDQDMD TIDTMVALGYVYASHSQPSLAWHVLDKAMHLRLECLHQQHQPYPNHHLSTLHVMELLA SQSFALRRYKHAAAIYSTCLLHYDNDQHHHEDVIARLTCANNLAAVFMCQGQYNKARP LLTECLEQDILTFGLDDDRTLMSMANVAEVHRCLGEYMHAEWLVLSMWDRAKELYGDS SDLAILGLSTLGMVYRNQRAKEASECLEQAFLTRKARASSDLRGISTSTTSSNTANNS SSSSLMLAHKSLYQWFNYQVDHLRFESLTNINAFEGHLVGLGCVSETWRNEFCHGCAS EIVGDVATCPHCVPATFQYCRPCANKSKQRRCAHNVRFVFRTPPSRYLHEQRLQLLAM TDQHALYDECFERYDAYCVENSVTNRRLQARQDQAITASCFGCAPAWWRRR H257_09891 MPPKPRGVTLEYIRQFIDDVGGRSKLQGLSTSDVWTQFVAPTLS NPSRGNDDANESSTATSFRAAEWCVYHGWNDLFLAVVDTLESWRSSLDTPRSFWLRVF NQPLHLLPPASADTVSSYISQIVPVVPNMLVLWPQEDPSTLLSCEWSAAALAVAATNS IHVDVTALVVPRKEILDDTALLSRTPLLDAYATSTAAITGLPFDAVSSASSPLTAAAR AFLLRSLHAAIDREIQTLPTSLDQAHASYAFGLVLHKSADFIELAKTYYERATSSFPP TSREYFSACRQLALAQDAITTLLLRNDDLQNAHTSCATSKLQSILESQRLIFGDQDMD TIDTMVALGYVYASHSQPSLAWHVLDKAMHLRLECLHQQHQPYPNHHLSTLHVMELLA SQSFALRRYKHAAAIYSTCLLHYDNDQHHHEDVIARLTCANNLAAVFMCQGQYNKARP LLTECLEQDILTFGLDDDRTLMSMANVAEVHRCLGEYMHAEWLVLSMWDRAKELYGDS SDLAILGTPPSSTPTYCSSYYSYYQYYYPYY H257_09892 MNFLSPNVAFSSNAADINVINDTKASIRKYLYTTVLRIKDVSSN LVVGALIAPKYVLITPSTDVERMKLVKQTKYPKSKPSTKATLVKGNLDPVVAAAGTPS WVR H257_09893 MGKGLIHTLTQHSFNMSSAERTFKSDNDDEVAQPTYRRDTQRAL GIIIESINVDQSQHVDVHTRAMEAYLALQRHHEPQTHVEKIALLTEYHAISWEPKRET LSSFIERFQNLVRKLRDAGCAQDEYMTVAKLLALMPWCLLIVLHQINLMHENLQTVTV ARTLLEAEYKAAVANRALQAPGNAAGETRALDSMDSGRSRGKGGGRGAGRGQMREGNW HHCGKAGHWKSEYHSRLRGDPPSATPSRPQNE H257_09894 MVDYHADHTAPHSRLGPLAQTGPRTLAQGLPQTSGLANYQPTSN TDATTSDRDEVAEGLRHLLDNWIPRRKKQRVPGT H257_09895 MPPLRKQHAYTIDRKREYFVLFDAFGGSARTFCALHGLPRETWK SWTKQRANIMSTRRNAKRKTLGGQGAKSIIPFERDLLTFMKDVRRDAARRAHTDLDAH DQLHEDTLPGVADWLHGEQGRSIQVTPRLVSVVCPPPQVLPACPLPHEAAQCRDGPDP KRLCGNVLGQGVLDDIGLHLTLEPYPFRPKEDPTVIHGEVQFIEDALHLGGDPLFLSQ IPVQDAIQARPPCRIPVNSVGRQLYEVFEEGGHTRVQVPGKTKTCSHRRAKLTPHNYK NLPCCLKGKVKMDPYVYPDSPEMQAFKDLFKQRNFIEHIRQYNAQFNFTSIGTNEVRH SGSGPKTYCIQGQLTHNIGLLQPSLNRSGNLRQPSFAQIFMNTSEEQLQHRRNMFPAF SSRTFALSGSAQTHNLPTVAQLAVIMEGGGSEPTEGRHVVLQSLNSNRFTTIRETNPL HDALQFPLLFPMGGSGWEYTMTKTDGKALSLRAFFNYWLQERDGGDFSDMLHRSSMLF KMLRYISQNRDKLRFDLYASVKEAAERRSGLHNVGIKSIVPSSFTGGKRCMKKCYFNA MAIARATERDDIVTCVFQSKLTYFEDDIHKKHVLGKVVASVRVEEFQKRGLPHVHMLL IMEDQDKFRTVDDINYVVSARIPDEIKNPQLHELVKNFMTHTCTHVQYDDNGQPIPSA RACTDKTGKCKKGFPQPLQATTTEGVDGYAKYRRDTAADQYCVPHNPYLVHKYNCHIN VEVYKGSDRITYAVFTDQERQPMLDKAREYVEGRYGSSLEAITRIRCYDLQGMSHAVE VLPVLEKDQQHCTYDETHDAESVVARNQKTKLISLFLACAQGLTGSDGVPARNCLYLD FPQYFRFDQKTKLWVGRKNHIKVIGRIDSVSPRQKKRFYIRTLLCHKYGPTSFEDLRT VHGTLYPTYEEAALSMGLLENDEEYVTDSCTNCLPTLILVHCLPANTRALFDQFKADF MDKRLRVLRRCNEALPQPLSEDMMLGKAILLDYQTLPQLHEFKIFRDLGERQLLDDPR SRLYVIETSYTRAALNDVLATAATMTDEHRSFVATVLAQIYEHASGNAYFLQGEGCSG KSYVSQILLAKVRDKGDIALVVASSGLAALLLMGGTTAHSRFKIPVTTLNDKSLCHIP KQSSLAKIIRDTKLIVWDEVSMIHKHALEAVDCSIRDIRDNPTALFGGKLTKNMRLRG GSDDASIEEWAKTLADIGNGTYPEQEIYGSPMIRLPDAIARNWETDQDLNAYIDQIYG DINNPANPPEYMSERAIWAPKNVGVDKYNAKVLRKMNSSVMFTCLSADSVEQEGEDVD DTAIEFPSEFLNSINISGLPPHKLEFKVGCPVMLLRNICPSQGLCNGTRLRVVKVSTK CIEATIMGGAFDNKRVFVPRITLVDKGSQTNLPFKLKRRQFPVKLLAFAMTINKSQGQ TLSRVGLILPSPVFSHGQLYVALSRAKS H257_09896 MKVKGDNTYKIPHMAKENKQRLGILPQNVMCSVDKFDAARAALV GADSERLEREIAAELAEAVAVNELACQIEEIVLIYPHGVDDMIDNVSTNGDEVVEVTR SWCPVQYKCQVIWSCRGNGTPT H257_09897 MASRNKEAADLEERVSYIQSNTAKDDDGYVEAKSPKGLEEGALA EGGALNLFSREAFALFIQYGAIGIIYSLIPALRYPIFNIYLNLEGYQTSSYWVLVRIG WSFKVFFGLLSDCVPIYGYRRKSWILIGWTITMICLSVMAFTPFGEPFCNREKTKHCG TPLEEVPEPELQYFNLSAPDNGTLFILLSMFIAFGYVLAASASDAMVVEYAQREPIAL RGRIQTAIYTVRTLTGILGHLVSAFGLNGTNYGGSFSFALSPNAPYGIALAPCVLVVL STLFVLVEKKSESVSFPLWWGKFWESLQSRVMWQVCLFRFLSNVFNGVKTTATLPIQT HWAGVEPLNDALSDIFGNMVFASVLVIVAKWGLHWNWRWTIAAGTLGMVLVDGFVVFF TIWDVVRNQWFFNGVGLAEEFPHGLRFIVSTYVAVEIADKGNEGATYGLISTVSNLSG PFASIFYKYVNSFFKVRQNDVKSDTLEVRWDVTYVYFISYGSKIASLFWLFLLPPQKA EVKALKARGGKSKVAGFILVSLFFFCVSFTVSSNIMSIFPSTKCYRIAGGNGVLDPNT GKCPLK H257_09897 MAFTPFGEPFCNREKTKHCGTPLEEVPEPELQYFNLSAPDNGTL FILLSMFIAFGYVLAASASDAMVVEYAQREPIALRGRIQTAIYTVRTLTGILGHLVSA FGLNGTNYGGSFSFALSPNAPYGIALAPCVLVVLSTLFVLVEKKSESVSFPLWWGKFW ESLQSRVMWQVCLFRFLSNVFNGVKTTATLPIQTHWAGVEPLNDALSDIFGNMVFASV LVIVAKWGLHWNWRWTIAAGTLGMVLVDGFVVFFTIWDVVRNQWFFNGVGLAEEFPHG LRFIVSTYVAVEIADKGNEGATYGLISTVSNLSGPFASIFYKYVNSFFKVRQNDVKSD TLEVRWDVTYVYFISYGSKIASLFWLFLLPPQKAEVKALKARGGKSKVAGFILVSLFF FCVSFTVSSNIMSIFPSTKCYRIAGGNGVLDPNTGKCPLK H257_09898 MHQMCRWLEAKLKKTLPESFACVFDAWTSGSTHYVAVFVSFPSD SLRGYEKVLISLSSMNDEDSLSATAFLEFFYFVLDVYGKQRRNVVALIGDNCATNRAF TRLAGIPMEGCASHRFNLFVGDVLADYEDLLCAVHAIMKKLSNIIPAAKLRRTTPLCP KQRNKTRRSSSVAMLARYVELKPFLLQLGLDDIDMVLLNSRQDRDVELLIVQLTDLNS VTLALQDELLTLADVRMLFDEVVVQYPGAEVRLGPDASVVEDPTFESGVVTMLQHMEA SLNEDERIAIALLSTPSMPDGVYVGDTDSMSMAARALKRRKLLQARSNYLDCRFLRPT SSMCERLFSVTKWAMIDRRRSVLPSNFEEQLFLHCNSFLWGSEDVKSVMEGETDE H257_09899 MGRRPTHRALDLRLPLEFACGPISWKSKLQAIVTLSSTEVDYLG ASLGDPHGMHLDNLMNELGLINYKCCKDFDIIENKADGTTEESVNTIVGYVAIYKPER KMWVSRFRSITELQTFTMSTEALVHSISEAFAHGVDIT H257_09900 MNEWFNGKIGTWFFIDTVTAQCSSRNRPSGTLVTAPKTVTSEAY RDMLVNNILPAIKENCHGCVQHYLIQLYTHARLLSTARSFMNKEDFDLNISLSAAEVA RDVEMAAISSLIDSLYLANNCDDDEGDDDQDISWTDRADSILLATLALTATHLYWEST QCRKPGGGLLPRWLYHTALSCTPLLAPRDFWFGQWMGGPNGATFQGPLGASTHCIIPR PPTNNHWWIDATHHPFSAPWWRRLSAEIQSSTASHTTATYWFVVPDGSLGHALASNLT TTWLLTIPAGQLLLRAITSIHHTTTPQWDKLTTSNPVPIHIGFLTTSTTTPSDPGPTT LDRGSPPPGCPPTHCLHWAQTNIPMYDQYAHASRTYLEATYHTIYSATTGWKMFSTMT QSTRDWAASLTHLHAPHFHKQWLKRLHNDTAPTGHDRRIRTLRAHLQAKTRDWYSRRL SLLPPATPSPPVSNAPPIRPPRRPPDPKGLPPAA H257_09901 MSKRLLGAPRPPRPSYRTDVRQLLDREFAGLPSSVVFDYINHTD RKVVEMAVYLNAIDDAAGAAFSSDSESESDADSCDDSNFADYEDYVVMLSDAGYIGGF SDASNL H257_09902 MEFGNASQTRSGQAKLAEGEKALVEFKNSIQKIIKDHNIDEIYN TDQTGINYDAHFTDDVIARTKELTVHFARIPPTFTWLVKPIKASMKLKWVQYLRKEVA QSGVARRKDFRLKCPKR H257_09903 MFIAFGYILAASASDAIVVEYAQQEPVAIPCRIQNPDRNLRHAL ARSISTKTTNPNDANLHAYTKFYTYVTSSPHARAYVALALPPPQTWPAGTLSASAMPL H257_09904 MPSLPPDPGKLQANNLTLSVPATMPTHMATVYVQRNGVPTAILV PATGIIRQPHPKTTLPPIPQNARPTHRSPPPTTVKTCSGQVPGSPRPPQHQTGCSSKV ACTSTIHPARHN H257_09905 MVYQYAGVLDNGLSFHQLEYRNPSRTTPGDLMCALRALGATDAI IQSHTRMSGAHGPRDHWAANGCLNWPSEGQYRFRLVFPSQTMAENVYATFCRHAAGPE RLELVPQAPSAATLVDTKVRIGRLPPLTTTDDILAGLLGPAFPSQTSTSPGMDMPSTP QPPLPSSDMTTWANPVTVSPICPRDRTRSKSQHKPSAHDTARGSDTHTRGKSSHQGVG QYSRSAPQHSAPEERIPQAWQLPLQRHPAQAPRPTADLSLYLRRELSTYVDQRIKL H257_09906 MTTWANPVTVSPICPRDRTRSKSQHKPSAHDTARGSDTHTRGKS SHQGVGQYSRSAPQHSAPEERIPQAWQLPLQRHPAQAPRPTADLSLYLRRELSTYVDQ RIVTATAPLRQEVESLRADKEALAALVSASSTAFSTLDARLLEERRLREAAELLQAED NKSRTEAQIRLHTAIAQHEAQQAALAERLPFLESSVHTLLQAMQSVSSQMTALAGLGP LPANPSPTAPMPADPTPPPAADNAEPSALSPSPNANMVADFDEPMESN H257_09907 MLMNKGMLSPSRYVSAKESRPRFSTSLVMPLQADKYKNDFNEVV LSAMVRLYPTVMRPPGSSIPWEIHSNPKMFPFFKGSVGALDGMHVPVIPPPSGAKPFR NQKGYMSQNILAACTFDLKFTYVLAGWEGSASDGRVLEDALLNKGFVIPPGKMYLGNQ KTQSPKELYNLRHAQQRNCIERIFGVLKKRFRILVTAPEYLFPSQVNLVYALCAMHNI IDGLESDRHYLNKAERERYLGVEGKIPPSPWLHPKATPDALIERVLSSENVGQSILAV EILGAKVGVSNEPSRNISKHDHYTIVHRPQTAPSMTLPSLCMHAPSTFVASSLVPSTR REVQAPTSAPMNVKQCTKHCLAFHRKAYYPGSTIHCSCCCPIRLPRANCVANLVARTA VHPRGTHVCGCRFENSR H257_09908 MVRGIVLLSSLATMAMSAQDIDVFTRFNVLDQVEKFESAATESA SSSPPFIQDEWFKTQKLDHSDATNPAVWAQRYFSSAQFYGGPGSPVFLYINGENVARN TTVVSTGLFLNELAKKHKALVVSLEHRYYGKSQPRPDFSNANLKFLRSDQALADIVTF QDHIIRTRNLTADSKWVAFGGSYPGMLAAWVKLLYPSRFVGAVASSAPIEAKIDFFEY SDVVSEGFRYFGKDTCVNTVRAAMEEVHRLLTSPSASDAATLKTLFNPCFDFTSDDDR FVFERQIYSRFQGIAQSNDFGAVKLADVCNAFAGAGPSPVEKLSRFYNRTVASGRCTY NNFAGAYDYAKNTSLTTTGIYRQWSYQTCAEFGYGQTTANAKGAFSPLKFVTPDRVHA YMCRDAFNITNAPANVEATNKKYGGFNLNVANVVLPTGTIDPWAALGLTNATGSTNPA SEVVDILGTSHCRDMYARRAGDDGHITWAHQRVEAAVDGFLRAKC H257_09909 MVKAAFVPLAVVASATEAFDINIINGTEAPIGKFLYTTGFRTTD ISENHCVGALIAPKYVLTGATCVHTSLTYSSVGSHYLSGDRDGERIKIVKQTKHPLFN HHEFDFAIFELETASKIAPVKVNFDPVVTPGSWSTVRGWGIFSAAETPSPVLLEADVK VWDNVECAKAVEPYGPLADSHICAGGADKDWCGGDNGAPLIVNKAGEDYVVGLASWGG PCATKNVPGIYSRTSSARDFIEPYLPINPVPTTTKPSC H257_09910 MPQGSGQTFRPASAQAPPRAAPAPSTPQAPTSSTPGSGCLKCKS TTHKVRECPGITDDEAVRLLKAHGRVLGQKRGDWSRGDGRADAGRGRGIPGGRVATMR TNTVKVGREDLTATVDGVVPVRASLLDSGADLSVASGGLVSALLAAGAAPEIIMLGPT TLRPYGTDSRPITVTKQVRLGRLEFNTGCGPLMFRGLRVWIDEAEAAVELTLGLPVMQ KVGYSEQTLLENARRQQAVWDFDDQPDTTPGIAMHRTLRMEELSDGIDDDEGMCCATP ELGMIPSLADAETVHTVLMAKVAEAAADGMEEPAVETLQDLLLEFRDVFRLRFGRDPP VRVKPLKVHLKRVSVPVKSGLRRYPPTHMAFLEKHVRELEEAGLVYRNTRSRWAAAPR IVPKKDPGDLRMTIDSRPINACTEPMPNLDVAMGVLKDSLVYFSLDWIKGYWQLPLPP DSQEYYSFMTPVGVVTPTRVLMGQSDAVAYCQGVVDELFGDLLMHGVLGWLDELLGYA GTTTELLQLLRQVLGICHISAQSPSRVQGLCGLEPPQTAADLQQFLCATNWMRANIPQ YTELVAPLTRLMDIAANAADPRKKTALTRVALSSVGWAEDHLTCFERRYSAWCLCPTR TLIRCRNAGSNQDLALPANDQRHEPLSFLSGAFRGSSKRWPVVEKEAFAVVESCKQLE CLLIRPGGFRLFTDHRNIVYMFNPLRSNSNMAKYQAHKLQRWALTMTTFPYVVECVAG EDNLWADLLSRWGSLAGPDRGARMQKLALVSSLRAEEFIWIPDDALDMQVRICVVAHA GVAGHRRVEATTASVADMFDWPTLKANVKNFVRACLHCMESLCLGLGAKHCMRPSPTS H257_09911 MERPMSGSVQDVELPGNDHVYLDGDRSRSGRSDSPVAGENFSGR PDDRGRPRSKKTDRRQPVAVDEAWLFGGLSSFKPPSFGGPSGAVPSMIALVTEDWDIG QDDVYIRNAPALLNNPFKGSTKEERRAFMASYNQYISQMNVITVNGIRPFLMPLSACI DPATKQRVAEWDMGNFPE H257_09912 MVRGVMLLSSLATMAMSAQDIDVFTRFNVLDHVDEFESAATERE SSPPFIQDEWFETQKLDHTDATNPAVWAQRYFSSAQFYGGPGSPVFLYINGENVARNT TVVSTGLFLNELAKKHKALVVSLEHRYYGKSQPRPDFSNANLKFLRSDQALADIVTFQ DHIIRTRNLTADSKWVAFGGSYPGMLAAWLKLLYPSRFVGAVASSAPIEAKIDFFEFS DVVSEGLRYYGKDACVNTVRAAMEEVHRLLTSPSASDAATIKTLFNPCSNFTSDDDRF VFERQIYRRFQGIAQNNDYGVVNLADVCDAFAVEGPSPVEKLSRFYNRTVASGRCTYN DFVSEYDYAKNTSLTTTGMKRQWAYQTCAEFGYGQTTANAKGAFSPLKFVTPDLVHGY MCRDAFNITNAPANVEATNKKYGGFNLNVVNVVFPTGTIDPWAALGLTNATGSANPAS EVVDILGTSHCRDMFARRAGDDGHITWAHQRVEAAVDGFLRAKC H257_09913 MVKAALVPLAIVASAAQAFDINIINGTEAPIGKFLYTTGFRNKD ISANYCVGALIAPKYVLTGATCVDTLFTYSSVGSHYLSGDKDGERIKIVKQTKHPLFN PKTYEFDFAIFELETASKIAPVKVNFDPVVTPGSWSTVRGWGTYSAAGTLSPVLLEAD VKVWDNVECAKAVKPYGPLADSHICAGGADKDWCGGDNGAPLIVNKAGEDYVVGLASW GGPCATKNVPGIYSRTSSGRDFIEPYLPTNPVPTTTKPSC H257_09914 MVRALMGLPTPLPEGSFQHLHWKVSVDVATRRLHATATYTVLQT PSSTDEGCLALAVEGLSIESVAVNNDATEFKVIEMSPTSDQLHSTLAIAVPKQVVAPY SVAVTYHLAKPSLACHWLDSSWLITQSYPSYAPSLLPVPPQLCMKFTYTAHVTTSALA TVLMSASLTESIQVPKSKSQVVTFNQPVAVPSHLLALVICPATTTLITTQHVASACTV HAASIPSSTSNALALVPDLVAAAERTTGLPWVWPPLHVLVVPTALTLSFRSMATPSLV VVDEAAAQKIDILADSVVRHWTAFRAPHETWTDVWLSEGWAKWLQLEVLNTVHPPSVA PIVHDGLVALRRALELDADTALVASHHSSHQWSVVGREKGYLFLRNLQTIVGDEAFQY FASAFVSGFEQGSVTTDEFCAFCHEYFTLVEDLPPLPVAWDSWLHVPGYIPSDSELKG LVGHVVPSPLPVSLLVDDTQSQQSLDVPGLLGISDTLELCSAFEHIQRQHGAVVALDV WLQCSEAHSFHPVTVHRIESLIQSQAVDDSEIERMEIPLARDHDQPPHELLDSVAMTS TWLLVAFSVGVCVAMGVRLVSVRSA H257_09914 MVRALMGLPTPLPEGSFQHLHWKVSVDVATRRLHATATYTVLQT PSSTDEGCLALAVEGLSIESVAVNNDATEFKVIEMSPTSDQLHSTLAIAVPKQVVAPY SVAVTYHLAKPSLACHWLDSSWLITQSYPSYAPSLLPVPPQLCMKFTYTAHVTTSALA TVLMSASLTESIQVPKSKSQVVTFNQPVAVPSHLLALVICPATTTLITTQHVASACTV HAASIPSSTSNALALVPDLVAAAERTTGLPWVWPPLHVLVVPTALTLSFRSMATPSLV VVDEAAAQKIDILADSVVRHWTAFRAPHETWTDVWLSEGWAKWLQLEVLNTVHPPSVA PIVHDGLVALRRALELDADTALVASHHSSHQWSVVGREKGYLFLRNLQTIVGDEAFQY FASAFVSGFEQGSVTTDEFCAFCHEYFTLVEDLPPLPVAWDSWLHVPGYIPSDSELKG LVGHVVPSPLPVSLLVDDTQSQQSLDVPGLLGISDTLELCSAFEHIQRQHGAVVALDV WLQCSEAHSFHPVTVHRIESLVHTYILQLLYVQFFHRR H257_09915 MADATIVTPAVPDAPALALHAPIEAFALLENNNINQVHVLVVIP PLLRNVRRYERCASFCDRINYVVGTSALVVAVLYSTLPPGPACTSHCLCPGFNDTLSC ALKGLVTVLPIALAFGLLVKCYLESKVKAAKETFKYGATVYT H257_09916 MKTVVCLVRGKLCRIDTEDRQFMPALKEIINTQTRSYASLFVME MYLMKPNGKWLKTGDPDAQKLMRLKVPASIRAMMRKNGEMNLVPFMSDPAYGFPDTED ARDDEIHILVQGQRPYSVLYGYGEPFHVTAWYWLRDLFTSTCTGTQKRLGWPCNERSQ PPISSQTNKHVIDLAKAIPGSQDGSSTSYQRGKVHAHGCCDAPSSRNANHEGR H257_09917 MPCLRASRLFCCRRMALRTGSSFLKLTTPGIYMYVDQKKSSASR NHHHTHGRRRVGMDGDGGHDFKVWRNVPPVFAGVVVVVIRATTLRYELDPSSLGGRLG RGGCGRGGRREHVRWELGLAHSA H257_09918 MLNVLRRRAAPALSRAAFSRLASTSCKHNTSQLRAVELAMPLPS AHQQAASTLRWLFVVLPVGLVTSMDASSSAKCAGNKDDDDNHDTFNLAKIQASVEKAL EQLPTSLDQVQTHVSLLGENADQISWGFALGACSGFTLKKVSKVGAFLVGAAFVGMQY ASYSGYVNVNYAKLQQDITALMDTNKDGKVNSTDIKVVYDQVLNVLEYSLPAGSGFGV GFLVGFRAA H257_09919 MSLELSANTSAHGLPRYLRYVKLLVQKPRSLVCAGRWSWAAKPS VTRTTSSSKVSMASNSNTLHGASQNVTRASSGRSRNSISSSMDSRKESLLAAAASRMF SGVLRHSMVKGCTMCSSLGPATSILDTVDRIQLKRRLRDSWTLEMSQHPDFSVSREID RCIRCGGDGGVGEWNVGAP H257_09920 MDILKGAAQSVVYAFTSCVDASYSRRLRAESFESEAPFLEMRDK ATVTSSSSKNVHHLLSTPMDKYSRMVQAGVPTEKVKLKMSMEGIDPQLLDHAAAQSYE TSLYPMQSAHRPLQPHQSQQQFPTMSV H257_09921 MADDSVVAAASASEHAPSRRPQNKKIEGKGSCRGKRTRSESNLG LKPTNKRKKGVGSTDVAEVDIDNTGAGVDNDEVEALQQEKQRLLAELRRYTVLGDASA DTPPPPHTSLSRRNSQTSLVGAPPSSSPAALPSSSTDPMNPTSSFRHWDFLLQEMQWM STDFAQERKWRIRKAKTLSLSVTSYHGKKSTAAMRTLQHEEQARKRFAAKMGRDVKKF WLKVDKLVAHQVKAAEDSRRKASMETQLQFLLQQTEKYASALATTFAMADAMMHDANN QISYDEEGSDFSEVEEPADDESTIEAEEQLQSKADVAMEVALLQEESTMSIQALHAKY AAIMEEGEEEEEGEDGDDLAWNKDVTPAINLDEKTRIQTRGQAEGTESDGDFEMDDIE EAADDESTIEAEEQFQSKADVDMEVQLLQEESTLSIEALRAKYAAIMDEEEEEDMHGT TTLAQTAPVETSRTKRATSKRMSDMVPLTDDANDVWTQVGLERPFLLHHTLHLRAYQA TGVAWLLSLAHNRMNGILADEMGLGKTIQTISLLAALATEGLWGPHLVIVPTSCILNW EMEFKRWCPGFKVMTYYGSAKRRKELRLGWSKVNAFQVCITSYQLVVADAPCFKRKKW YYLILDEAHNIKNWKSQRWQTLLTFNTQRRLLLTGTPLQNNLMELWALMHFLMPHLFR SRAQFSHWFSTPMNAMVEGEAAVNDQLVSRLHNIIRPFVLRRLKKDVAKQMPGKFEHV VVCSLSKRQRFLYEDFMARSSTRKALSGGNFMGMMNVLMQLRKVCNHPDLFEARPVLC PFDMAPLTVSYPFANLAVVGRHRRTLGCFYPDNGLVTSEVAWQARRTLAPSVQVFIDD VSMAPLEKEEQEEWLQQYATLWNFATQQKTLRQAATRAKATANAWINHWRCMETTPFI SHELLRIATMPVFISPAMDVHNKVGKATSTALAAMVQSPTSRLNDWLEIMLKVLCFVH KARTTAPTLVVGSTLSAGRVQDALHSKETNDQLHAALDPAWTMLHPITQRQHLYFPDK RLIQFDCGKLQQLDRLLRELKRGSHRCLIFSQMSSMLNILEIFLNVHGHTYFRLDGST PVEQRQRLMDKFNTDPKVFCFILSTRSGGLGINLTGADTVIFYDSDWNPAMDAQAQDR AHRIGQTRDVHIYRLVSAHTVEQNILKKARQKKHLDFLVLSEGQFTTEYFSKSNLRAL IGPATAQDHDGDDVASISSNENTAADMRTIQDAMAQCEDEADVAAMKGVELEQEAAKA QDETFDDEENAAVDTVSATASGEAVEASASLRPIDQYAITYRCTTDPLFDGSVVDVLP VDYAEEEVELERIEAMKVVDEEMAIQDGDLIVAAEPVDVKTQKRLYVRERSHVKSEKR RRALTGAAWMPKTCSRSNLPFYYNTDTHEAIWDRPLVWVTNDEHAHAVTVGYGGLSIP TLLHLLGFATAKDRMASCVNKQWTVAARHPSFHKRVHSTDSVAAVQSLLSALEACDTV AFGSGVHSMPTAVHIRCPVRFTGPPLTRGSTCTLEFSPVNEMSGLVWHAKAGEISNLT VQIPTSSSSPNMSTKSRRNVVLTVASDSNLTVLDSRILGGDVAVAVQGGTATLVDVDI ADAVGSGVVVQGGFVAIVACRVSGHGRCGLAMVHGAGIVRRSWFALNGRYGVRLLGGV QMAMLDTNSFENNRCGALDIEHSHRRIYIRNCTISQHVDVPRPHSHERLKFKGWQYEC VDTCAAPKPKPDKKDKKLQLKDKVVSGLPMTNDVLVPAASIGVAPYLTAAPPAFTLAT SSCTKLQNDEPEIL H257_09922 MTMHVHVCGSAVDAEFQQVKAVLEGLSAVHDNMHVHVTEGNSSL QIQVTTAPPGPTSTVTSLQDLLPLLRTHVSFVTPSTSPPPLFPSAGTPNGALRLFIGG DRSQVGKSTVCLGILGALLQLGYHPSELAYIKPATQCEEPQLVARFCEHYGIAAQPIG PVVFYAGFTRAFLDQPDPARASLDLLRQVVHAVDAISVGKRIVIIDGVGYPAVGSICG VSNADIAAALQPISVLLVGKKGVGDAVDSFNLNATYFSSRHVRVLGGIFNRLPAHGYY SLDQCKAAVTTYFAKMSNLDGYKAYGFVPELDPTTSAISPPDEWHAIVHAMMQHVDVR GIVADASAQATRKRRRFGKLHDDQYDDVTMHIAEPIELDTFKKPRNELTIQWKAAAQA AARHMGAPSSA H257_09923 MPRVWCMVVGNGIPFPVDIGADETAGDLKQQIKKGNPSLIACDA KDLTLFRAFKNGAWLTSDAAKDVTVDDLGDFTMMNPQHLIQDPANFPANIDVNAVDIL VLAAVPVVAPPSVASLTSDVPTPLTKYTHSGMNTNNGQDLLTRLNVVVKCSVASAVGD QVVAAEPFKWEDSSSDGNGQSIPLTEEQQSQRYRAYLEAHLVAVLKKHKLCVFAVDKG DDILSAAIPGHDILLVCGTDLVILDDLREVDINSTYEATSQLIALDALAPDYVMVLLT DLTTTWEFLWISDIHPPCIHKMYVATPGDAFQVIRAIVDDDETELELACMERPLKRRK LDEACEDAGDGIRERVQQYYDIQSMLGPDDDMAEALARGIVRCIPQYRAHIEFK H257_09924 MLRDLYVRYMCQATQVVNTTDRRVVVYLDESFINQHYKKNDISM YDPKDKLDVKPKARHKGRRYCFIAAIVDGGPDASRVLCYEKFPEWP H257_09925 MLPCTKKFAAVYNPTDQGHLPKRNDLTDVTFEIAEILGRSRKTV QSVWREYTKTIVSVSPPSNQAPRKTRIPRTIAVISSIQKFVRDKRQLRARVIAKDVVA FLLETGVLIYDTHDKTQSTTALRCV H257_09926 MLASPLPLRSVGSKGTPIGTTTQHRHSTHAHTPRRDSLSSSAQL TVTVVQVSALPFRKVVESSMLPQHGLRCKFHLSVGGKALGVVGATASTAGQANTLVWQ EGGGSSSMQLNVALSRDLWLGIDVLCGSLIIASTLISLDALESPPHATAFWHALQPHG RIELHISYSPCIVDSNTASHLSTAPTRVSSPTRVTTTAPIAPTLNQQLVDDPPLLVEV DAASVVNTKVSLPSSYCYNDDDANDSDERAMYPSQDSDGEPSPEKHYHHHQASLSSYD SCAFRLKQDHWTTNNTEPPMASSSSSVHPLDHLPATTVDDLEEHLVNDATIQHKMNHH SSSPRCRPDDQHSATTHMFEWTQRMALMEKKTLAATLQASKDKCRQAWHACDDVSPTS TLIRERRRSLPMPSYVGHRRPFKWCRLIGFRPATWGNMKYIYIYIYDCCLGSVGHCRR RNRQVAVPPIHNNPPMQCTTTPSPLPRLLVILVVHRLGRRLCAAHAVRLCAGFTTLPS RVANQSTPALVCHHDMSSQ H257_09926 MLASPLPLRSVGSKGTPIGTTTQHRHSTHAHTPRRDSLSSSAQL TVTVVQVSALPFRKVVESSMLPQHGLRCKFHLSVGGKALGVVGATASTAGQANTLVWQ EGGGSSSMQLNVALSRDLWLGIDVLCGSLIIASTLISLDALESPPHATAFWHALQPHG RIELHISYSPCIVDSNTASHLSTAPTRVSSPTRVTTTAPIAPTLNQQLVDDPPLLVEV DAASVVNTKVSLPSSYCYNDDDANDSDERAMYPSQDSDGEPSPEKHYHHHQASLSSYD SCAFRLKQDHWTTNNTEPPMASSSSSVHPLDHLPATTVDDLEEHLVNDATIQHKMNHH SSSPRCRPDDQHSATTHMFEWTQRMALMEKKTLAATLQASKDKCRQAWHACDDVSPTS TLIRERRRSLPMPSSLSPEKSPSGCATDPQQPPHAVYHHPIAPPPITSNTSSTSSGTS ALRRTRSASVRGFHDFALPRRQPVDACLGMSS H257_09927 MGNKASAPQAQCVDLREAKPTTSITHDTQPSTSPSSCDSSSRQK TPTSLPDLLSDDSFDVEFTQAANAALFADDKEPPAAQPSLMINDNYTNDVSGRRRRID ETYELEPTPLGRGHYATVWRGRCRATDMAVAVKKIKRVLTDDSRLKSEVAALRRIRTH PNIVTLLDVYETPSEVLLVMELCTGGELFERLAARGPYSEMDCVRHVKSLAEAVAYLH ANGIVHRDLKPENILLSTPHDADAVVKIADFGLAKLNTTTMKTKCGTWGYSAPEMISG SGVSFGYDAKVDSWSIGTILYILLCGFHPFDPLGNRSDNDMIAHIKTSTFDFDDPAWV GLSAKAKDLIRHLLVLDPAARYSMADLLAHPWITGREGVDIPVQPLSPTIHTDLARFQ QQSKHRMLSYEED H257_09927 MGNKASAPQAQCVDLREAKPTTSITHDTQPSTSPSSCDSSSRQK TPTSLPDLLSDDSFDVEFTQAANAALFADDKEPPAAQPSLMINDNYTNDVSGRRRRID ETYELEPTPLGRGHYATVWRGRCRATDMAVAVKKIKRVLTDDSRLKSEVAALRRIRTH PNIVTLLDVYETPSEVLLVMELCTGGELFERLAARGPYSEMDCVRHVKSLAEAVAYLH ANGIVHRDLKPENILLSTPHDADAVVKIADFGLAKLNTTTMKTKCGTWGYSAPEMISG SGVSFGYDAKVDSWSIGTILYILLCGFHPFDPLGNRSDNDMIAHIKTSTFDFDDPAWV GLSAKAKDLIRHLLVLDPAARYSMADLLAHPWITVRLTGLERYIYIYIYI H257_09927 MGNKASAPQAQCVDLREAKPTTSITHDTQPSTSPSSCDSSSRQK TPTSLPDLLSDDSFDVEFTQAANAALFADDKEPPAAQPSLMINDNYTNDVSGRRRRID ETYELEPTPLGRGHYATVWRGRCRATDMAVAVKKIKRVLTDDSRLKSEVAALRRIRTH PNIVTLLDVYETPSEVLLVMELCTGGELFERLAARGPYSEMDCVRHVKSLAEAVAYLH ANGIVHRDLKPENILLSTPHDADAVVKIADFGLAKLNTTTMKTKCGTWGYSAPEMISG SGVSFGYDAKVDSWSIGTILYILLCGFHPFDPLGNRSDNDMIAHIKTSTFDFDDPVYK YTVYDVAFPQPRESRTAFCRRGWGCLPRRKT H257_09927 MGNKASAPQAQCVDLREAKPTTSITHDTQPSTSPSSCDSSSRQK TPTSLPDLLSDDSFDVEFTQAANAALFADDKEPPAAQPSLMINDNYTNDVSGRRRRID ETYELEPTPLGRGHYATVWRGRCRATDMAVAVKKIKRVLTDDSRLKSEVAALRRIRTH PNIVTLLDVYETPSEVLLVMELCTGGELFERLAARGPYSEMDCVRHVKSLAEAVAYLH ANGIVHRDLKPENILLSTPHDADAVVKIADFGLAKLNTTTMKTKCGTWGYSAPEMISG SGVSFGYDAKVDSWSIGTILYILYVYIYIYHSFFKTQK H257_09928 MPTGNADPHDNTMTRWTSKATSDDAIEPSPPSSSSAPPSDGLAS FDLFVQWVLLSPTSTVDAHCASMWPADLDALDDDEVTSREATDGAEFATTTDAKLAQA SVEADHEDLADTLFAIEREIDALDEALVSLEHDKTTDELELAQCERHLLQRLKDRDET VADQYALEHQIAQVQQLGSPQDIVSSIITTTPSSSKLLRRGMTRLNLPFGWSSKNQSN PSASLELDIDLYHDTTQVHKDIELYEKRLAHEKLSLAEAKSDLDTQIFSNRLLAKKCA KLQESRKAFLATQIEAKDDLDQMQTAYAATVAKLKMVSNVATTIRKNNATASTPHARA ST H257_09929 MSRQPYGQRCGIEDGRLSSTWEDDDDENDDDGIGMRGLFFAMYC PGWWFNEATTLSSFVPTHSLQTRRMHWGHFRRLEKMPMLPSHREQVGIASQSASFT H257_09930 MMDDGITDGADLRHLLRQYVDTLVKAAQPPPPASMPQPYLASFA TVALEQRRPPLEDSCATTLRAILRRHADDVVVRGCVLASSRQVQIRSIGFHRGKYHGS TLNVAAVPFYPLPIASLENVSPHSVLNLTVQIDTPTQDVELVPTDMLLPFTFWDSLQP WTPGCAFGGFTFSPTPPSRFSPAPSPSINHLLKQDRSATNIYAVDGMLFTYSAPSVTS YIVRPKLHQDESLSYRALSKQQMQQWAADKRTQAAALHRQGKQSDAVAAYTTAIDMDK DDVEAYVGRGRLFVEMQRMDEARQDVEAALKLHPLHEAAVELQHKLLHHASSSSSMED AMLARMKGDEGGSGGVASSKHPDRLRALLEMDIQSHAKEKKKDKKEHKKKEKKKHKKR SRSHDNRSSSSSSARKKSR H257_09931 MGGPTSTTAAAAPEGTTTTLQARVLDVMKNSAAWEAKHKEVNEG FVVTRFPPEPNGYLHVGHAKSMSMNFSQAFDKLGVAEDKRRTIFRYDDTNPEAESQEY IEAIRANVDWLGWKPWKTTYSSEYFDQLYAFALELIHKGRAYVCHQSKAEIEASRSIL RDLHGRASAEGLVLTESVLAAAASPFRNRSVADNLRHFERMRRGEYAEGAASLRLKMQ LDSPNPNMWDFVAYRIKFVPHPHIGSKWCIYPTYDYTHCIVDALEHIDYSICTLEFET RRESYYWLLDVLDLYKPTVYEFARLNITFTVLSKRKLLKLVTHGLVRGWDDPRLATLN GLRRRGFSAPILNAFCKDIGVTRVQSTIQIQRLYAVARAHLGDASKRAMAVLDPVPVV VDNYEPYTCEVLDYPQDKDVEHGRHSSHPVELTRTFYLDRSDVRSVDSAGFFGVAPSK IVRLKYGPVFTCTRVDVDASGNVTQVAGTCSYVEDESVKPKGVLTWVSAAAAPVEVRV YSHLFTVPELGAVDDWEALVDSSGSEKVYGKALVDGAAIGGSDVLTSFQFERLGYFVV DQDSTAERVVFNQIVALRDNDKADDARKEEQLRQLADKKAKMHIDPLDMFKADAAYSQ WDDMGMPTHDAEGRPLSKSLLKKLLKDRLKQKKLFDANK H257_09932 MTAEPPRSRSKTGKRKVISLRFKVKVIQAYEAALGPAKTFYAIG KAFGVQTGQVSRWVKDNTLIASRATVNPSACTVNPGRAVTKPDVEAAVLDYFQTLQDD DIVISTYMLIVYALSIDPSFHGGESGALTRWVTKSFRPPRRHHARLRRCNGKVVALRE RFEPFDHHRQKGCPYCVGA H257_09933 MSSQPENYLRKWLCRVDNGIECDKAYPHSNDEFKVHVQAVHNYS NSASLEAH H257_09934 MAENDAHGPPLDQVPADAVRADVELYDMSDVADFSWHSVLKATG DEWTCVAWCMDVGLLSKAMTCPKCPCAMTYDAAKKRWRCRRRACDGLGNVQIGVRTGL WFQRSKLPMTKVVRVLFAWASRRPVSAVVAEEELSMETGVDWYNYCCELCSMEMLLTP MMVGGPGVTVEIDETSMKKKSKYNRGRHYPEHWVFGGVDRATGKWFGVITGADRTKPT LSRLIKKHVSPGSHIISDKFGSYVSSNEQHTLANNPLLADQGYTHEWVNHTENFVNPI NGAHTQSIEGAWEIRIKRFSKAMRGMHRPHLPSYLDEYLWRSWFFAHGSDSKAYFKGF VCAIRRYNATVQ H257_09935 MLLTLTLKKWVVFTYIGKTMLYGWRTTNFVKSEHKTSRVNGLRY MLPFPFVRAFVDFIRDDIKKKRDRAKTWVEEGRKVTPGALMSYDEQLQWVAQYATNLV SDDIVQVFSTKYLPKVTRRIIVSKRDCSCGYMDQQGIPWRHFIAALVSAGNVHRVLEY FDPTYHVDVYATPFSGRFVLSPIEAELHQTSLLPPQERSTRPGPIVS H257_09936 MPVNHILQERVSYIASITKQADKDVDGFKDASTPLDLEDGGALR EGGPLVYTSPEVLTLLFQYAVVGICYGGIPGIGMPVLTYYLGLESAKLSSAYGLVNLG WSFKVFYGMLSDCLPIMGYSRKPYILIGWVMTAICLVVIALKPVGPSVLLDRSDENIK AAQSYGSVLVLLCALASFCYIMADVACDALVVEYAQREPERVRGRLQSSIYGTRFVFQ GLTTAMSGFLMSSQRYGGKFGFDISVNAYFGILAVPVVANVFLVYFFMKDRKRGAIRF ATYFHDVYELIQQRAVWQVMIFYFMFGLLSSEIKSLAGNYIPVYWAHVEPVNSAVVGV ITYIILATTSFSVGRWGTHWNWRYILVIVTLSGVVIDAIVQYLTIYDIVRHQWFYIGV PLTAQVPYAVQFVVSTFVVVELAGDGNEGLMYGLLTTMGNLPATFGSMVTNVYSTQLK VSKADIETDTAEVRNHAAYSYLVVYGTTVLACCWVVILPPQKAAVKEMLQHGGTYPVI GALIIVLTFVILCVSVTAIMMTMFESTSCYLLAGGQGC H257_09937 MSQRGQDRPRQGRSDDAHRGRSNGPRERPFERIDGVRQWLLGRE TYEEDMRAVCMRRNLEVRNYREGWKECFEDKRLLKQFMIMRKLRGDPKELDETVLETE LRKIVDEPKNGVEADIPLLFHGINLDMKDDDVLSRVCKFLADCDERIEARVMKGHLKT PEMRKKIFKRLLEVVDPEPVRDACVLDMEKAWHPVKFTWESISELVMHHAQEQQRFYS TYGAGRKTPGYEAKVAKNKKEHRKDDYSGQEQREVRQHFALFLSTVIIL H257_09938 MRARSKPKGPTEAEKMRVLVAYKNGDDWKLVAKHNGVSVTTAHR VVNNGHVNQKPRGGARMGRSKVTPAIREALERYVDQNCSYTLTAMKEFVANDFPGTEL SLQTISRHLIGMLYTIKAVRIEPVTCNSDANKTKRKAFVEALLQHQQEGDYIVATWDA NFQGKKVVIVLDNAPAHSQTEQRVQPHEDLAFPDPNPSCSHHTPRRPKPSTADRAWPP EWLQPGASSGY H257_09939 MNALCQMQPSYDDIVAVLKRVENGETVAAVARSSPLKWTSMFKY IKMKPDTGAISIGQRGVKQVLPYDMKRDLVTWITAMQQAGWPVERFEIIIKASQILTN YAGVPRSLSRGWFDHPRLRPRAHNASSTKDQSCSKLGCLGFVCTARDIYNVDETSFKT KGNTKKVVAIRGSKDVWKGEQSDTYHMTIVITVAADGTAVPPAFI H257_09940 MVLANDHVVESRKNLYAVDVLTAMKRSLDGRLGKRHDNDDSELF LDSASKRRCSSTRIKKRFCVLRTSRERVKLSQLIKVPAKVLPWSKVDDQIASEDATTK VSVNQVKYELI H257_09941 MVVSDKVRRVAWGIYLIDALGAPPSSEWTERLGTVYTIMQQFKV PRGSRDSVLNLLEDNVGLIKMIALHTCEIQIVADCVELGMGLTKTTHMVNEYRAVHNR VHVGRNAVYSSYLRLKPVVTPLYRQKQGSADKDSEWAKARHRLAQEFATRLGIWELD H257_09942 MEAIVNVLVQGAVPWHQLRTIPHRATSKLRASMEPQFWQYPAPE GVMHEYPVVRVFVITAAEASMMCWTKFVTQTLGLSDVDFMIASFHVDPK H257_09943 MVNLGYHRWQSTIYCNKEIVRARIAQYAAAIHNKGAPLQSVWPF PDGTKVESCRISANASGAGSCHDVTLLRVSKLLQYFEANEEIFRGYFIYGDPAYPISK WIISGYKGANLDEHKDLFNSSMSRVRKGVE H257_09944 MRRRSQCASGTINAATKKSSGADKKDKVEEGGLIVREMDMQTLK RHVERTTNCEKQKEKVVVEDRRSSLAAAIEVDSEREQAARERNSNSRRSAIIDWRWRA SKDYEFVASRP H257_09945 MEKRDRTALAVMAERVAQRNRRETDGFSALIGSYQQIMSQLHYL STQNQRMHTADIMGTTDKTIQMKDEQLMQLQKRIYDMEGVGKGMEKEMHGLREELNRQ RLRAELAEQEAEDFRRRVRDLEHQLIEKSKMMMQELMLDPSNHPSLDNTSNNTTNTRH PPTSLVSSSSAADVTMLQHGKCIRAHATEVNSVCFSGSGKVAFTASSDGTVRAWDSFT CQAKADYRGLGMSQPLICVRVSEDGELVLGTGCDRICQVWRVGTGRIAHTLLGHKVWQ YDIKNHIYI H257_09946 MPVNHILQERVSYIASITKQADKDVDGFKDASTPLDLEDGALRE GGPLVYTSPEVLTLLFQYAVVGICYGGIPGISVPVLTYYFGLESATLSSADGLINLGW SFKVFYGMLSDCLPIMGYSRKPYILIGWVMTAICFVVISLKPVGPSVILDSSDENIKA AQSYGSVLVLLCALASFCYIMADVACDALVVEYAQREPVRVRGRLQSSIYGTRFVFQG LTTAMSGFLMSSQRYGGKFGFDISVNAYFGILAVPVVMNVFLVYFFMKDRKRGAIRFA TYFHDVYELIQKRAVWQVMIFYFMFNLLSSEIGSLAGNYILVYWAHVEPVNNAVVGVI TYIILATTVFTVGRWGTHWNWRYILVIVTLSAAFIDAIVEYLTIYDIVRHQWFYIGVP LTAQVPNAIQFVVATFVIVELAGDGNEGLMYGLLTTVGNLPSTFGRMVTNVYSTQLKV SKADIETDTAEVRNHAAYSYLVVYGTTVLACCWVVILPPQKAAVKEMLQQGGKYPVIG ALIIVLTSVILCVSVTAIMMTMFESTSCYLLAGGQGC H257_09947 MMFTLVGKWKKAAVEVTLSGDASILDLKQELHARTCVLPHRQKL VGINQHGKPAGDHVLLCELTLNIPVHKFMLIGTVEDDIFVDPQHMPKYALPSVFSDFG CAFSAGSAEWTKAKAIDDRVDQIADAAQITLIHPFRAHTKLLVLDLDHTLMDISATKT SNDIPISRFHRPYIHEFLKLVHQRYDIGIWSQTSWRWIEIKLTELGMLTTPDYCINFI LDKTSMFSVPDQAKPIKVKALDIIWRSFPGRWHAKNTLHVDDLERNFKLNPLNGIVIS RYDCQHAHAPDDQELVHLAKYLLHVCADLYDVTQCAHTNWTTHRSTS H257_09947 MMFTLVGKWKKAAVEVTLSGDASILDLKQELHARTCVLPHRQKL VGINQHGKPAGDHVLLCELTLNIPVHKFMLIGTVEDDIFVDPQHMPKYALPSVFSDFG CAFSAGSAEWTKAKAIDDRVDQIADAAQITLIHPFRAHTKLLVLDLDHTLMDISATKT SNDIPISRFHRPYIHEFLKLVHQRYDIGIWSQTSWRWIEIKLTELGMLTTPVSQSDYC INFILDKTSMFSVPDQAKPIKVKALDIIWRSFPGRWHAKNTLHVDDLERNFKLNPLNG IVISRYDCQHAHAPDDQELVHLAKYLLHVCADLYDVTQCAHTNWTTHRSTS H257_09947 MLIGTVEDDIFVDPQHMPKYALPSVFSDFGCAFSAGSAEWTKAK AIDDRVDQIADAAQITLIHPFRAHTKLLVLDLDHTLMDISATKTSNDIPISRFHRPYI HEFLKLVHQRYDIGIWSQTSWRWIEIKLTELGMLTTPDYCINFILDKTSMFSVPDQAK PIKVKALDIIWRSFPGRWHAKNTLHVDDLERNFKLNPLNGIVISRYDCQHAHAPDDQE LVHLAKYLLHVCADLYDVTQCAHTNWTTHRSTS H257_09948 MGDNLFAGLNMDFNFADSFVPSSQHQLGSVGRGRHKRHKSSPDV LGQLSFAMLLAEDGVATVDHSVLHPAAAATQPPLASKPGPVPLSSQHGNSSHNPWTTT PPPTRGQSFIEDVDLDDILQDFLEAPDLRTTTAPRPSTPHHDQNHMTLVSSSQGYDSM MPPNVLPPQYPAQLFHVHQKLHENVLDLKRKSRHERHQSNPDGLFYHAQQLRQLHQQQ HSIYPSYSPHQPASVITSTAADTLGRRLPILSPSSELLQSDAPNSSVRPKKRTSRSTG ISMDLSQIAVLDDLQTHSIADSSGVNSHDFVVDDVATSHESTRKSYKCGRCGQPKVGH VCSLPDLRNNWSQADLAITRGMKGLDANCKVLASRRYTVVHPDHADHYTSST H257_09948 MGDNLFAGLNMDFNFADSFVPSSQHQLGSVGRGRHKRHKSSPDV LGQLSFAMLLAEDGVATVDHSVLHPAAAATQPPLASKPGPVPLSSQHGNSSHNPWTTT PPPTRGQSFIEDVDLDDILQDFLEAPDLRTTTAPRPSTPHHDQNHMTLVSSSQGATSA GYDSMMPPNVLPPQYPAQLFHVHQKLHENVLDLKRKSRHERHQSNPDGLFYHAQQLRQ LHQQQHSIYPSYSPHQPASVITSTAADTLGRRLPILSPSSELLQSDAPNSSVRPKKRT SRSTGISMDLSQIAVLDDLQTHSIADSSGVNSHDFVVDDVATSHESTRKSYKCGRCGQ PKVGHVCSLPDLRNNWSQADLAITRGMKGLDANCKVLASRRYTVVHPDHADHYTSST H257_09949 MLHSAVVTTDNANVLLARYFLPLTTESKRIFEQALFKAVTWSAL ASVTDDAADAHLVVCDGQFVVYRKFGDLVWFLAGSGEYDELICHDTLTTLLSVSAVHM EKKYTEASFLANHSKILVSLDEMVFQGHLDNNDVQSILQMTKLKAYPPKA H257_09950 MTSNQSFSDLLLSSNDLDDMNNECLDQLTADVVNRSKNADAASA AKAAGLKRKPSASFQKQRSQVYEYLPEDDNPSTTATGYDYFADYKDGEATTFVTATGE QHVVGLGLDLDSLVPSSTSTLVPDPIPFKEESHPTSASPVSHIETIPDIIHLSIPSST TPFIDGTADSAQRGGRGHVHRNSVELTGLSHQFGLQTSMAPPGSPVHQQHRIPPSNMI MPSYAPASPVNPLHMAYPTSPAHHHMQLPPAMMQPSSPVRHPANGLLMPLPISVFPSG PMNMYGYDPTMLMPHSPALSSGMSDHFGSFNMHTLSQPNSPSSHSSSSHDKNNNADSG REKREYKCRQCGQPKSGHTCTSIKSMMDSAVQNETTPSNMTDWRILPVKSKWVVA H257_09951 MSAARPVADAAVDSAGLPRDARTVVVRPSGAAVTTASTSTALNV RVTVRYPAPANAKVPDILALFRSATWVNVMIRYIVPYLKTSTPANRDIVANLPHHACG DCEDCVICMSCMNDAVTLPCGHHFHSDCIDAWLRLRSTCPTCRHQIQNAFSGRYAFKG INTALVIDELDPAISVEALQRMELGGRVLKAVVRIAMVPVTHVPELEKFPCELNAMVM TGSHAMVQHLHRPASTATTTPATALSTTSTSTPPIPPTLPSLRCRQPSRSRVRSPTAV DLPVVKRRRVVR H257_09952 MLLRRVMGPAATAWSRRHFSDFLPDLIITKATSNQLNALNRTAA TKQHLRVAVEGGGCSGFQYVITLEDTPLEEDDRVFEKDGAAVVVDPTSMDLLKGSTVD FAQELIRSSFTVINNPNAVSGCGCGSSFEMKE H257_09953 MDDRIKRLAPSITISECPSEVFCTRFSPDGALLAAGCGDGAIRI FNVQNGTLAYTLMQPHHNFGFGMPCTAIRFRPLTSASKTKNVLLAVNSNGSVEHWHIT SGKCLHAITDDTNQLYALDYRPDGAMFATSGKDHVIRVYDEATKTEVISMKGGHGTLT AGHSNRVFSVKYHPLDENTIVSGGWDNTIQIWDTRVGHSVRGIYGPHIAGDAIDINAK NEILTGSWRPENPLELWDYGSGKRFCTIPWNQSALKGEPCFLYAAQFTKDPRTNLIAA GGSGSNEGKVFSHVSNKLIGTVAGLSRGVFTLDFSPAGDKLALAGADAAIRIIDIYDY VPGDKARERPVTPSIKNAPLTASSDNLRLQSPRGASSLPTPPNVLPVHQ H257_09954 MVVYAVDVGGSTLKHGDVAGATYAVSSNCVRVNAKQHTAQIRRP IERGYVVNWTLQGDIWQEALTVDPDATLLLTTPVFTPEKLLHTQDEVVFEEFHMAGMA NVIPQAMVPLTLSSSSPVHVIVDVGFSATHIVPWIDGSIVWAGVRRLNVGGKLLTNYL KECVSFRQWNMMDSFDLINDVKEAVCRCSLEFDKDMKAYATSSGPSTTTSTTTASITP THPTPLVQQWALPDFVHSYQGKLVTPTTDLPPHVQVLRVGVECFAVPEVLFHPSDIGI DQEGIAGGIADAIAACPPFVQGLMYQHIVVTGGSSKFPHFIDRLRVDLRPLVPAEYAL HVTLHDDPVGAVWRGCQAFANTRTFKEEAVVTKEEYMEMGSAALYRWRNKAMTPTHEW KQ H257_09955 MDRRRDRSDSNPRHRDRDRSKGQYWYAHEYDPALIGAIDGCESN VPHDKALIRAEQAKFDPSKDPQIVGNPYATLFVARLSFTTTEETLQSVFGAYGPIERL RLVRHAVTQESRGYAFIEFVHERDFEAAYVATNRLTIDGRTILVEYERARVMKGWKPR RLGGGLGGRKESGQLRFGGRDRPFRVPVGAKRPHPTTSRRSRSSSRPSQRHRR H257_09955 MDRRRDRSDSNPRHRDRDRSKGQYWYAHEYDPALIGAIDGCESN VPHDKALIRAEQAKFDPSKDPQIVGNPYATLFVARLSFTTTEETLQSVFGAYGPIERL RLVRHAVTQESRGYAFIEFVHERDFEAAYVATNRLTIDGRTILVEYERARVMKGWKPR RLGGGLGGRKESGQLRFGGRDRPFRVPVGAKRPHPTTSRRSRSSSRPSQRHRR H257_09955 MDRRRDRSDSNPRHRDRDRSKGQYWYAHEYDPALIGAIDGCESN VPHDKALIRAEQAKFDPSKDPQIVGNPYATLFVARLSFTTTEETLQSVFGAYGPIERL RLVRHAVTQESRGYAFIEFVHERDFEAAYVATNRYMLNGFIILVSYVYRLTIDGRTIL VEYERARVMKGWKPRRLGGGLGGRKESGQLRFGGRDRPFRVPVGAKRPHPTTSRRSRS SSRPSQRHRR H257_09956 MATFNLQDEARSLVYGGRHDRDEENDAVEDLPAVVAGQRAGAKR GAYCRFPDGCTKYAQAGGLCIAHGGGRLCTDPLCPEYHTRTCKKHGGTKKCSHPDCTN VSVGKTRMCNTHGPGRRCQTDGCDKVDAGRGYCMAHGGGRICKMDHPTACTKKQYRGG YCYEHAIKPKCQSEGCTKMDLGKGHCKTHGGGYACKVSGCVKKDQGKGYCIAHGGGNR CHISGCDKVNRGGGYCKAHGGGKKCDVVSCKCWVVGGGRCKAHGGGNSSNSSSSCHST TGKRCAEPGCTKYNQGGGYCLAHGGGVKCSTDGCIKKQTRYGLCSGHGGKARCHVTGC DKISQTKGLCKAHGGVKICSALYCSRQAKTKGLCIAHGGGPKCKHPTGCDKAQRSGGF CKAHLASRASTTSTRTSLSPTDQPDSHPSPSSYTIPPPQMVVASSTRHHLSPSSATFS AYPTTSSLHHPTYSAASMLNPSAAISILNPDTTEDESGGRREPLPATTRTSNTVTHLL DSCLPYMYALPSLRLDGLVPPASTAEEVVRSHGLQHQLNPIDELVHPTTQDAALTMTS LW H257_09956 MATFNLQDEARSLVYGGRHDRDEENDAVEDLPAVVAGQRAGAKR GAYCRFPDGCTKYAQAGGLCIAHGGGRLCTDPLCPEYHTRTCKKHGGTKKCSHPDCTN VSVGKTRMCNTHGPGRRCQTDGCDKVDAGRGYCMAHGGGRICKMDHPTACTKKQYRGG YCYEHAIKPKCQSEGCTKMDLGKGHCKTHGGGYACKVSGCVKKDQGKGYCIAHGGGNR CHISGCDKVNRGGGYCKAHGGGKKCDVVSCKCWVVGGGRCKAHGGGNSSNSSSSCHST TGKRCAEPGCTKYNQGGGYCLAHGGGVKCSTDGCIKKQTRYGLCSGHGGKARCHVTGC DKISQTKGLCKAHGGVKICSALYCSRQAKTKGLCIAHGGGPKCKHPTGCDKAQRSGGF CKAHLASRASTTSTRTSLSPTDQPDSHPSPSSYTIPPPQMVVASVQSPSLHIDPPCRT FVL H257_09957 MVKYVADLHALPAYAGALPKVVVIGTKETPATALAQQILTRLNN GTAVDTALLEHAVAQLSAGLDSAASTHLYVSLGARGVASVVVAQLPTFISRYNTLSRP HAISALVRSNVPDNKDVIVAFTLPEHATTTVSAGVAVAKGISTAYSHKSSGTQSGVIT DGVSTTVALDQVVVVFDHTVDASTVSFLNATATGIHLTQRLVDSPPNELNTDTFVAEA KAVAARVHAEITVIQGEDLNTQGFGGIYGVGKAAANPPALVVLSHYPSSSSKSDKSVA LVGKGIVYDTGGLSIKISGSMVGMKHDMGGAAGLLGAFEAAVLAGTSSRPLHVVLCLA ENAVGPLATRPDDIHTLYSGKTVEINNTDAEGRLVLGDGVAYAAKHLNPHVILDMATL TGAQGIATGKRFGAVVSNDNDLEAWTVAAGKASGDFVHPLPYAPEFFRDEFKSKVADM KNSVKTRTNAQVSCAGQFIANHLGEYETSGKWIHVDMAYPVIEDDLATGFGVGLVQSL LASLP H257_09958 MAVNEDATAMADDGAAVMGRRVVVVGRLVVVTSGSRVGSSVANG GSVVITSVVVGTVVLVPLRREVDGGLMVVVVVVVAVIMAVVVGTMVVTFSSSLLGTVV MGTLVVAPGVAVGTSMAWDLATTHPLKSSCSSVFTGTPHHSQLAGMVSPCNSLTSAAQ LGFAVALAS H257_09959 MKPQKGGAKRTSKPKSKLIVTFDADKRKDYLTGFHKRKQDRRRF GHDMEAFKKQKALIEARKIRKAEQHELMASLPEVANVKPVATDGDTEVVDFDDAHTQG KFGDVVTVTTSVGELKSDSEDELDDEEHELLLAALAKKKDRANQKQKNHLTLFQRVQL KRKGVALPTKRSKIKAAKQNAKRNGKSQATAVLAKKGKDAGDDKGVDKRKGFHKARKG GKHTA H257_09960 MTLGEHLFFAFLLLLLHVKQHAGAAHGGAASNHNSRNGATRQGL RRVLRGAAAVRVVLLDGCRGMAIMSRRGRCRVGHGRLGRVGCVRGRGHNGWVRHGRVR RRERGFDWWNRRVVELGGRGFGWVRGRRRIGRRRPGRRVVWRTSVPVPAVLRRRRGWV VVHVAGWNEFVRGADAASGNARRLRHERVAVARAVVASARRWNETVAKALALQRCRPR RRRLHEHARSRRGLGLPQRGSTGPAKRILLLVRRDRFKGVRQE H257_09961 MIQRDWIHYIFMKVLHFPAALLALLLLPHAHADCNDSMRSAYLQ QRSLCVATSKLPHVDPAVYCQVPSCRDALGLLEGLTPTCTGSLAATDLPMIDLGGANT STSLPIGAAFCRQECTDVYRQMQSASTSCQANGKPSLASCQGCQRYLRLIPSVVGACH INATTAPGILKATVDREMPQCDAIVRSNSRNATDATSKSSISLFLIAGLVGGILVLVL ALVIQKRRHRLLHVFHHLTFRKQHGRHPKQLANPNDPSTTALNDIRYDARMAKFWHPQ NKLSQISLRSKGGYGMVYRAQLDTDERGKIAVALKQLLPSRAQQMEHIEHFMHEIRLA STLVHPNIVPFVGFTWSSIQDISMLTEYMAQGDLHKFLRAEFAKPPRDKRSSFFTWRG GNDGTPRPTKLSIAANVVDAIAYLHGQTPHSIVHRDLKSKNILLNHLFVAHLADFGVS RPTACDAVMTARVGTSAWIAPEVLRGDKYSYQADMYSFGVLLAELDTLQDPYKDTTLQ PEVAGMSAAKLASKVAHGKITPAFSDSVPPFIKVMATKCLSYDYTARPSAAQVAMELT SHLIKQNHRQSQLIPAFDLKTPGTSSHAAYIAAAL H257_09962 MRSTHILLALALAAVTAAQTPTTTSAPITAACNDTLSNLNKAVA AAKGLNCKINNPAAFCTTDVCSNIHRMGKIAVESGCGKQSLSDVKYTFPKMCDADDKC SKLVTQMTTNLQNCIEDPRKVGTSASCQPCRTLNDTTFRTSLTSICGYEQAAFDFVLT DEGLVNSFAQCESLKTDKVAKDNAAAADTGSNSTVFVIIGIACAILIILGAVIYKLKL KNDIAKRNFDYINNDSHTINNNGQDYGHNDKYTGPEVGRIANDIRFDEELAQFRIPQN EIQNVSLLVKGGYGVVFHATFGRDDVAMKQLLPSKSKDFDAIQEFMNEIRLCARLEHP KIVKFIGIAWSTLHDLAVLSEFMPRGDVSSLLKKEGKKHESHRVLHWHTSPSSSITKT QIAADVADALVYLHSFQPTIIHRDLKSKNVLLSDTWEAKLSDFGISRVTSLDDTMTSN VGTVAWIAPEVLTGGRYTEKADIYSFGVLLSELDTTDAPYANLMNKSKDAAFSNARIA LMVSEGTLKPDFTNRMPEGLLTLALECLAFDEADRPTAMALSYKIHTIAKSL H257_09963 MAGSTADDALGRRLRRQLGSYAHDNSGEMSTTAIGLIASVSTLV VLALLFLACRLSSRLQQRHHALLREVRRIQATRAVMERSNQTSYQFAYDNVSGVAGQV QLAHDVRFDPSFAHTRIPHHELYNLRLVAKRSGTVVFQAIFNNMDIAVKQLLPSQCNS LLIVTDFMREIRLAATLEHPNIVGFIGLAWTEGASLADLSLLTEFMPNGDLTGFLAYE NKTPVADRRLRPTWEAMTPLATPMSSIRSTLSGHYQSSRHRMRRELLEQGTSKASLAA EVADALAYLHSFEPTVIHRDLKSKNILLSRTWNARLNDFGYSRVTNPDDLMTMNVGTI AWIAPEVLTGGRYTEQADIYSFGVLLSELDTLQVPYIELTREYIDEHHHELSSDLHHP KVKAKAKSLSNAYIAMSVAEGRLSPSFTNLIPHELLVLARECLSHAPQNRPTAIELSY RLRSFILGV H257_09964 MATANIWVAASDGNIDAVTAYLQGGASVNAQDENGYTPLQAAVS YNHTELVQYLLAGGASATLSDFDSETPLHFCESVEIAQILLARGADINAKNADLRTPL DSALDDENEELVAFYEANGAVSSGIVSEESASLAQLQAMMEAQENADGHNAVDMD H257_09965 MYGASCLAVLVLFLKSLSSVDAADLPPACNITAFVQAFAPVMAS AALCQTATNVSFMPPTAPLTDANVALVCAASECKPLIPVISQIPPCSIGPVDMGAFAS SVGGACGNGTRTPTTPSPNPSTAPPSWVAPPLGGLVLVGLTLFMAM H257_09966 MFLESIAANLVNKFCRRFVKDFKKENLSFSLSGEVVLTQFELNT DEIKQLQLPVELKSFCVGKLRLRVPLAHLTTQSAEIELIDVSVLLGTPREPKWDLDSL YVAEQSKIFLMTLLLDHFAADSTTPSTPSSSSVHHTNNQPPRGGAIPQGGPLTPSLVA LIQNSHIIIERIHVRFEDGLTSDHVVDVDSATSSSSSYALGVTLASLVVPPSTPSTST FAHDKKLSLTQLSIYLKSDDRFEDMSPDERAIAFRAPFERDGTKTSPLGTISTTSAST TSPSKHPPPLAVLEPLSLDVRVQMNLVPVIQLAVQMHVPLVHVNMAPSHYQYLDAFLT HVDRFDRFSLYRRFRPVQSTPLLTGEAKRATNWKDWWRYAIVAVMMDLNDPVRRKPTW RSTLNLVLVGLQYTALRRQITPFLIRQTTGTADHHFLQYREDFKGNDSDARAPFDRSL TLASEPVPKHVTHFGDGIFAGVYGLYRCFPRHTPGSKPIDTAESIALRPTEPVHQPKE DNASAAAQAKKLWHRQLCIDASFRPIIVAKLRALAVRQLALQDHKTVVTTKLHNAIKG RLTLTVVGVYGIPKPMVLFCKVKVGHKGTPYTGDLVHCERSSSDSNFDALVAQSFEFK VQGTPNEDILHLNLFDRWPMFNQFVGKLRLPLPALTRKSYTDQMVAVEAADNKAHHRM QLHVLSVFVPDEASSPKPKVGIATTSTTTSPTAIDEHTAATFANSTAMMQALYPALFA CKPHSMQSWYSNKAKPSVQSLTIDATLAKLYVAFVFPSATNTSRYASSAVLPMDLALD DVAPPSSASEDQLVVHLQAVQYRLNLVVPAHLKHALVLGRFDMHLETTTCRQSSSSAA TDEVHHPPALRCTKTPFFQAPRRIPTQKPQDHDEQSLPPFASFDQVIDSNQPVQSKLV TQDIQVTVDVPVVLRNMRFVLDKVPERTVLSSLLAAPVIQAMMKHIRGRERSGGQSED CPPVDSMAAGVAPPAKGISRTSGNSSTTSTIPPTENTSATGPTSPRFLGSWKWTSQRP EAVPSMVSGRTATAGALPNIVGKQLTKDRSGEFHAHIELGAVYIAVAGAASSPPQHDN NEDESNSQTSNPPNYSPLNVLEAVIPSSSLHVVGGKGLPIEVKSGTSATVFTTEHDMS WVLAQLARIRRVAKRQLTVDARPFYDNPTVTSRGKIDRFENEIHALERQLAHFRMLIR QVVAAPQTYGINSVDCDSLRLMVRDMQPKVIDTTPTTISNVQFDVVRMLLKQGMTVLK HNVRKGDPSWRVLWLLPPPDKNTPTTTEYPSKLNRPPAALCLAKPQDRKTPKVLPLSS IANVVTNVMTPALTRTGHPQALDTYLVLQVVDELKPISLEFASNDIRDQVAVTLARLL AGLE H257_09967 MLHTDVIRALLSNDNAVRHQAESTYTQIKARQPVELATALLTLT SVQTNPSDVETRTFAPVLLRRLVETEGLPNDAAYRAQMKAQLLATLVQESQPSIRRKV SHVVAQIARQDASWPELLPSMVHLTQSPDASIQVTALDVLAMLAEYTGATLKVHHEQL THLFTSFISSSTASKASRVAAWKALSAFVLHLESNDALQPFSQILPPFLQLLEGLLHN GDEGAARDLLTSFLAITEVHPSLLLLHTDLVGNAMLSTTQAQSLSPETRTLALECLLA LCEHATQLFRSSKALLEAVVPCLLALLAELEDVPAWVDQFDDIKHDEATDMCDAGAVA IDRVAHSVGGKVIVPLILPFVAQYLEDVTWQRQHAALYALGLMAEGSKDHLFQALDVW LPRILAKLQDPNNHPRVRHAALFCVGQFARDFGVVERGKNFQTKYHAYVLPTLVPLLS DPVLRNRGSAASAMSNFCHPQHCRTQYVLSLLDPILSALFRTLQTSPRQVQEQAITAV ACVAKVVGDAFVLHYSVFMPVAAQLLMQSKGKSYALLRGKAMECVALIGQAVGKDAFY TDAKAVMDILLCHDTGDSGVEMQYLTQACVRIASVLQEDFATYLPLIVPKLLHQAATK PDVVLVDWNEATNEKNDGENDDDGIQEIAVDVPGQGKKKLQIQTSALQDKELGLNMIY QLALDLRGSFLPYVEPALQVIVPLLQFEYLDTVRMLSGLSLAKLLDAAIAGSDVSSAT PQHVLELIFEPLLTALIEETDLECIVGLSEAVASVLEAARNAADNGVRIGIPLSHLPT VYDKLLAVSHASVLRRVQNLTESANDEDDEEVNAEDEEAILQNIVDAIGWSIKQYKQD SVPLFVQHIAPVISAYLDPSFPAEIRAHFICILDDVLEFGGAAVPPILPSLLTHLWNS LEDSNPCVIRAAAYGAGICAQHGGPAFEPHCVATLQRVWTCIQALEHDQVETDQASAR DNCVSAVGKFCLYRAHLVDAPTLLSLWLNCLPLQSDAIEARTVHAEFVSMVENANMDL LGDNFANLPLVLKKFAEILALDLDEEFDPVLDDDTKARLATVLRHIQTSFPPEAVQAA WTTLSDEDQQVFSTLQ H257_09967 MLHTDVIRALLSNDNAVRHQAESTYTQIKARQPVELATALLTLT SVQTNPSDVETRTFAPVLLRRLVETEGLPNDAAYRAQMKAQLLATLVQESQPSIRRKV SHVVAQIARQDASWPELLPSMVHLTQSPDASIQVTALDVLAMLAEYTGATLKVHHEQL THLFTSFISSSTASKASRVAAWKALSAFVLHLESNDALQPFSQILPPFLQLLEGLLHN GDEGAARDLLTSFLAITEVHPSLLLLHTDLVGNAMLSTTQAQSLSPETRTLALECLLA LCEHATQLFRSSKALLEAVVPCLLALLAELEDVPAWVDQFDDIKHDEATDMCDAGAVA IDRVAHSVGGKVIVPLILPFVAQYLEDVTWQRQHAALYALGLMAEGSKDHLFQALDVW LPRILAKLQDPNNHPRVRHAALFCVGQFARDFGVVERGKNFQTKYHAYVLPTLVPLLS DVRYILYICLGFIYKRGFMSYSGVRACFKHQPVLRNRGSAASAMSNFCHPQHCRTQYV LSLLDPILSALFRTLQTSPRQVQEQAITAVACVAKVVGDAFVLHYSVFMPVAAQLLMQ SKGKSYALLRGKAMECVALIGQAVGKDAFYTDAKAVMDILLCHDTGDSGVEMQYLTQA CVRIASVLQEDFATYLPLIVPKLLHQAATKPDVVLVDWNEATNEKNDGENDDDGIQEI AVDVPGQGKKKLQIQTSALQDKELGLNMIYQLALDLRGSFLPYVEPALQVIVPLLQFE YLDTVRMLSGLSLAKLLDAAIAGSDVSSATPQHVLELIFEPLLTALIEETDLECIVGL SEAVASVLEAARNAADNGVRIGIPLSHLPTVYDKLLAVSHASVLRRVQNLTESANDED DEEVNAEDEEAILQNIVDAIGWSIKQYKQDSVPLFVQHIAPVISAYLDPSFPAEIRAH FICILDDVLEFGGAAVPPILPSLLTHLWNSLEDSNPCVIRAAAYGAGICAQHGGPAFE PHCVATLQRVWTCIQALEHDQVETDQASARDNCVSAVGKFCLYRAHLVDAPTLLSLWL NCLPLQSDAIEARTVHAEFVSMVENANMDLLGDNFANLPLVLKKFAEILALDLDEEFD PVLDDDTKARLATVLRHIQTSFPPEAVQAAWTTLSDEDQQVFSTLQ H257_09967 MLHTDVIRALLSNDNAVRHQAESTYTQIKARQPVELATALLTLT SVQTNPSDVETRTFAPVLLRRLVETEGLPNDAAYRAQMKAQLLATLVQESQPSIRRKV SHVVAQIARQDASWPELLPSMVHLTQSPDASIQVTALDVLAMLAEYTGATLKVHHEQL THLFTSFISSSTASKASRVAAWKALSAFVLHLESNDALQPFSQILPPFLQLLEGLLHN GDEGAARDLLTSFLAITEVHPSLLLLHTDLVGNAMLSTTQAQSLSPETRTLALECLLA LCEHATQLFRSSKALLEAVVPCLLALLAELEDVPAWVDQFDDIKHDEATDMCDAGAVA IDRVAHSVGGKVIVPLILPFVAQYLEDVTWQRQHAALYALGLMAEGSKDHLFQALDVW LPRILAKLQDPNNHPRVRHAALFCVGQFARDFGVVERGKNFQTKYHAYVLPTLVPLLS DPVLRNRGSAASAMSNFCHPQHCRTQYVLSLLDPILSALFRTLQTSPRQVQEQAITAV ACVAKVVGDAFVLHYSVFMPVAAQLLMQSKGKSYALLRGKAMECVALIGQAVGKDAFY TDAKAVMDILLCHDTGDSGVEMQYLTQACVRIASVLQEDFATYLPLIVPKLLHQAATK PDVVLVDWNEATNEKNDGENDDDGIQEIAVDVPGQGKKKLQIQTSALQDKELGLNMIY QLALDLRGSFLPYVEPALQVIVPLLQFEYLDTVRMLSGLSLAKLLDAAIAGSDVSSAT PQHVLELIFEPLLTALIEETDLECIVGLSEAVASVLEAARNAADNGVRIGIPLSHLPT V H257_09967 MLHTDVIRALLSNDNAVRHQAESTYTQIKARQPVELATALLTLT SVQTNPSDVETRTFAPVLLRRLVETEGLPNDAAYRAQMKAQLLATLVQESQPSIRRKV SHVVAQIARQDASWPELLPSMVHLTQSPDASIQVTALDVLAMLAEYTGATLKVHHEQL THLFTSFISSSTASKASRVAAWKALSAFVLHLESNDALQPFSQILPPFLQLLEGLLHN GDEGAARDLLTSFLAITEVHPSLLLLHTDLVGNAMLSTTQAQSLSPETRTLALECLLA LCEHATQLFRSSKALLEAVVPCLLALLAELEDVPAWVDQFDDIKHDEATDMCDAGAVA IDRVAHSVGGKVIVPLILPFVAQYLEDVTWQRQHAALYALGLMAEGSKDHLFQALDVW LPRILAKLQDPNNHPRVRHAALFCVGQFARDFGVVERGKNFQTKYHAYVLPTLVPLLS DVRYILYICLGFIYKRGFMSYSGVRACFKHQPVLRNRGSAASAMSNFCHPQHCRTQYV LSLLDPILSALFRTLQTSPRQVQEQAITAVACVAKVVGDAFVLHYSVFMPVAAQLLMQ SKGKSYALLRGKAMECVALIGQAVGKDAFYTDAKAVMDILLCHDTGDSGVEMQYLTQA CVRIASVLQEDFATYLPLIVPKLLHQAATKPDVVLVDWNEATNEKNDGENDDDGIQEI AVDVPGQGKKKLQIQTSALQDKELGLNMIYQLALDLRGSFLPYVEPALQVIVPLLQFE YLDTVRMLSGLSLAKLLDAAIAGSDVSSATPQHVLELIFEPLLTALIEETDLECIVGL SEAVASVLEAARNAADNGVRIGIPLSHLPTV H257_09968 MMRQVNVESAMASSADNAVSAGQNHRERLQQESRRRAEVACMEK EDYTFTQEGVDRLKRQEARLTMQEEDKHMRKLMKDEAARVYQALEKERRRVEAEERQV LLDKHKIEMEALKAKQDAENDRKQQDREARMRKMKEASDRKAREEYLANEAVKKAVLE KERQAQQEQMERLHMEIEDDAERGRMQKAAEDALVQAKVAAEEAKWKAWEEAEAAREA SEQAARRAQMAAQYEEDKKRRMELHRLRKETENRLKRIEAMDHPTSLPPPSSPPKGND PPAKSVPKIGSFCLNPSVDKPPVGEFKDVALLTKEQLRDEHNTCVVLKKSIKQDIVSW CDAFQAKLNRPPTLEEKTEIQQLYKRYSDVEAHLRLVKHRLEGLVADDKAKKAQHSSA FHQLSAAASTSPSATTIHKADTPGVLLKSTPSQQQLNAMMDTSNATPSSPPELDILSH RKKHIKQEIQDWCTHFQQQHGHPPTVADKKDVQGMYEEYATLDAKIKTLKYSSAESVL VAAVPLAANLSIDDLVGLVHDALDSPTPLPPRDLAQRILAIHEAMDGQKCSAFLKVDG VESESPAAHAGLQVGDVVGRFGSVVKAPTATHSTLIRQVVTVVNARVGKGVSISLQRG SSTWRHLVLKPQKWKGKGLLGCVLQPYEPPPLNLSVPTQPAPRAPQNDQAHTSTSGAP QNDLAAFEALCVPVPVDPIPLPLGDLIVWHHKLLDAIDTVSSPAFVCPKEGAVDTLRC HMDATISQLIDHMQRHMIAMNAIPFVKVECVEVDSPAAFAGLLAGDLLGRVGSVMYYT GATHATLIKDMVGLVNERTNRGISISLLRGSRTWLPLVLRPHKWKGQGLLGCILHPFD APERAKKHASSLKLSPTATQPIPPEPRLLATVDTQPIPMSQKQPDEPAPEPPAVHEAR TLLEPEPLLLRKDTEVPQSTEDATAKDTEPAVEMKEEDPPSAPLAEISQFLLEANNVV AELPVAQHESSPGILSKMERLEVDDARLVRDDSVSPSLANESSIAVVAMSTCERDGLG QCAVEVTTALSASVQSEIAQSMESLPPTADNEGVLEPLVEAARIPDPQPNVFPGEDIP TNPEGKTAERSVLQEEAIAQNTTVELRGEATLRCDDVCHNEDGVQDDHPPPPSEPSEA VVEVFEPVVAPRVASTQEEQLDTLIPPLCQGEAPSAPSVAAVDSSTHDDNCEQLILMN ESTCDGDTVAPLPGPSAASNQHEDNLDRILSEKYSDRVSTMDTSTDDTPALGSTDVLA VVSDKVAVVDYYFPVEAFGIFEEISGDAALAGLEDNDYLVWFDGMALYSSGVSPPTAI SSTARLDAFSNITVFPLRLLVSRWSVDVLQYESFEVKLESWDGVGLVLPIEHVATNNN NDDIIGLIPSGTTTGDEATASPPVGVDHGGAFAQVNAVYDNSPADNGGLMAGDLVFWV TDLPTFPTLDSVSDWIGTMYSASLAVEMHVYRYSSDEARYDELVLQIQPDRWCAPQLQ LSSAVRRNVELLGVDLIYFDIAAPQVIYPPFLLVEHVIAGSPAADAGLVAGDWIGKLG QLTAVATTTTSLDDDLIGQVHLYIDKAMPVQVGRYDSTSRSMQSFDVTLRPQSWAGDG LIGCQLTPWAPQDMTPFLVVQSLPSSSLADPALEDGDLILRLGDHVHDHSMQDVSHSI DVHDTGGLLLVLQRWDPTDMAYKTFPVVVATTPHHVTVWTLDSVDFIGWHCVVYEAYW AQYEETHPAELPCKECWATAFSTVAHAAAYAGHDPCLRYLGEYFDVFVADTLGRTPLF FACYANQVECIQLLLALDYSNLKESIDSNGDTPLHAATSGGALAAIALLLQAGCNPEP INYSGMRPVHIAPSADTLQALASGQADLLALDGCGRMALSYACMAGDDASVRYLAGEC AEFIDYPDPEGETPLHFAVVGGYLPCVQAIVEASSKYILRPNKAAKTALDVAKERSQW EIATYLEQTCGVDDTVPP H257_09968 MMRQVNVESAMASSADNAVSAGQNHRERLQQESRRRAEVACMEK EDYTFTQEGVDRLKRQEARLTMQEEDKHMRKLMKDEAARVYQALEKERRRVEAEERQV LLDKHKIEMEALKAKQDAENDRKQQDREARMRKMKEASDRKAREEYLANEAVKKAVLE KERQAQQEQMERLHMEIEDDAERGRMQKAAEDALVQAKVAAEEAKWKAWEEAEAAREA SEQAARRAQMAAQYEEDKKRRMELHRLRKETENRLKRIEAMDHPTSLPPPSSPPKGND PPAKSVPKIGSFCLNPSVDKPPVGEFKDVALLTKEQLRDEHNTCVVLKKSIKQDIVSW CDAFQAKLNRPPTLEEKTEIQQLYKRYSDVEAHLRLVKHRLEGLVADDKAKKAQHSSA FHQLSAAASTSPSATTIHKADTPGVLLKSTPSQQQLNAMMDTSNATPSSPPELDILSH RKKHIKQEIQDWCTHFQQQHGHPPTVADKKDVQGMYEEYATLDAKIKTLKYSSAESVL VAAVPLAANLSIDDLVGLVHDALDSPTPLPPRDLAQRILAIHEAMDGQKCSAFLKVDG VESESPAAHAGLQVGDVVGRFGSVVKAPTATHSTLIRQVVTVVNARVGKGVSISLQRG SSTWRHLVLKPQKWKGKGLLGCVLQPYEPPPLNLSVPTQPAPRAPQNDQAHTSTSGAP QNDLAAFEALCVPVPVDPIPLPLGDLIVWHHKLLDAIDTVSSPAFVCPKEGAVDTLRC HMDATISQLIDHMQRHMIAMNAIPFVKVECVEVDSPAAFAGLLAGDLLGRVGSVMYYT GATHATLIKDMVGLVNERTNRGISISLLRGSRTWLPLVLRPHKWKGQGLLGCILHPFD APERAKKHASSLKLSPTATQPIPPEPRLLATVDTQPIPMSQKQPDEPAPEPPAVHEAR TLLEPEPLLLRKDTEVPQSTEDATAKDTEPAVEMKEEDPPSAPLAEISQFLLEANNVV AELPVAQHESSPGILSKMERLEVDDARLVRDDSVSPSLANESSIAVVAMSTCERDGLG QCAVEVTTALSASVQSEIAQSMESLPPTADNEGVLEPLVEAARIPDPQPNVFPGEDIP TNPEGKTAERSVLQEEAIAQNTTVELRGEATLRCDDVCHNEDGVQDDHPPPPSEPSEA VVEVFEPVVAPRVASTQEEQLDTLIPPLCQGEAPSAPSVAAVDSSTHDDNCEQLILMN ESTCDGDTVAPLPGPSAASNQHEDNLDRILSEKYSDRVSTMDTSTDDTPALGSTDVLA VVSDKVAVVDYYFPVEAFGIFEEISGDAALAGLEDNDYLVWFDGMALYSSGVSPPTAI SSTARLDAFSNITVFPLRLLVSRWSVDVLQYESFEVKLESWDGVGLVLPIEHVATNNN NDDIIGLIPSGTTTGDEATASPPVGVDHGGAFAQVNAVYDNSPADNGGLMAGDLVFWV TDLPTFPTLDSVSDWIGTMYSASLAVEMHVYRYSSDEARYDELVLQIQPDRWCAPQLQ LSSAVRRNVELLGVDLIYFDIAAPQVIYPPFLLVEHVIAGSPAADAGLVAGDWIGKLG QLTAVATTTTSLDDDLIGQVHLYIDKAMPVQVGRYDSTSRSMQSFDVTLRPQSWAGDG LIGCQLTPWAPQDMTPFLVVQSLPSSSLADPALEDGDLILRLGDHVHDHSMQDVSHSI DVHDTGGLLLVLQRWDPTDMAYKTFPVVVATTPHHVTVWTLDSVDFIGWHCVVYEAYW AQYVETTLSNYWPGCKTV H257_09969 MLVTAITAHITNGTTTSRMNVTNLLHVESNSLETLLQNLVTRLN DVEGSIPSHPAFTSLADRLALVESSVFASSTTDVVASTPLSGTTSSTTPVACTTTTAA SSFLHHLDDLRAHQMKLRLDHDVHIATLQLELEKQKRAVAALPSSADSDLLRQSILAE AAQLVHAVDTKVTQVERVVDEKIAAQATTEAQWKATFEATTMARIDGIFNAIHDNSTT ITNVVTVTENRIKMQQNSIDVMEDTVAFLRDKVTAIDNAGADLAKRVHSLDDAAASTH AKLLQLRATVDSRDSDYHSTFQGLALGQQQLKGALADHQQALAKHQDVTANSVAELQW KTGDVTSRVDTHDRRLDVVDADVAGVSTRQQDAAHVVASLDTRLDMLANQHVKAQNLH LKLDAAVSGMDKELRRRLDDAEKAFDGLNLDCATFRRQVGEVQWAQGETNKMVEVECK ELARSVHAVHGEMRDVASNLPKFHVELSHTNANVANVRLEMRDIRSDHASTHETIAAI SNRLSDLRSTSTAQFERAKTQHDELDQGFKETSIVLERVVARLETEHKYTQINLQELK KMLDGLQHVELNDSEAVDKQLNALALSIAQIDLKQEHFRSHSTSFPEDLKNDLSGLLL RAGRLISGSIRCQLHFKLLTDDGANVDEAAILHGLRMAAAASFAKKVHQLVDKLSPPT DAKYSVQARDIFERRLRVCLECRFKDGMAASSILDGKPLGKHRPSTATCISCDRPIYD APPPFTHHPTSSPRDGTPNQHDNHDLGPKKGLKTNPIDTRTVIPRRSGHTNVPQKANA EPIHVHPHTKEKFVYRGGFRLPKNGSKPSTDGIEAPVDLNDHPCLEKVALVGTFQGDV IGPSPLKRPQTAPHSKSLPRLPPASLLTSHHTIPGSS H257_09969 MLVTAITAHITNGTTTSRMNVTNLLHVESNSLETLLQNLVTRLN DVEGSIPSHPAFTSLADRLALVESSVFASSTTDVVASTPLSGTTSSTTPVACTTTTAA SSFLHHLDDLRAHQMKLRLDHDVHIATLQLELEKQKRAVAALPSSADSDLLRQSILAE AAQLVHAVDTKVTQVERVVDEKIAAQATTEAQWKATFEATTMARIDGIFNAIHDNSTT ITNVVTVTENRIKMQQNSIDVMEDTVAFLRDKVTAIDNAGADLAKRVHSLDDAAASTH AKLLQLRATVDSRDSDYHSTFQGLALGQQQLKGALADHQQALAKHQDVTANSVAELQW KTGDVTSRVDTHDRRLDVVDADVAGVSTRQQDAAHVVASLDTRLDMLANQHVKAQNLH LKLDAAVSGMDKELRRRLDDAEKAFDGLNLDCATFRRQVGEVQWAQGETNKMVEVECK ELARSVHAVHGEMRDVASNLPKFHVELSHTNANVANVRLEMRDIRSDHASTHETIAAI SNRLSDLRSTSTAQFERAKTQHDELDQGFKETSIVLERVVARLETEHKYTQINLQELK KMLDGLQHVELNDSEAVDKQLNALALSIAQIDLKQEHFRYSQLHLLDIFAEPNIYHIY IYCAFSHKVKILVLIHEIHLLD H257_09970 MPGSRVRSMQLLVVTLLVITCAQQVPTADVIVSSDGGTVHTQPP ATTAAASTSWDVGGPIVYVTAGTALGCTLLFLIWRRLKRNAQVSAEQDGMYKEIGHLH T H257_09971 MAQRNLALQERVSYVVSVTKHADDDPNDLDGYKGERATPLDLED GALREGGALNYTSPAVLVLLFQYAVVGICLGGISGIKLPVLTNYFGMESAAINSATGL MSLGWSFKVVYGMLSDCFPITGYSRKPYILLGYTLTSLCFIVIALKPAGDAVGANSSP DDVKTTQSHGSLLALLCAVACFCYIMADVACDAMVVEYAQREPDNVRGRLQSSAYATR YIFNALITAVSGFLLNSKRYGGTFSFEISVNAFFWVLAVPCVLNVLLVFRFMKDRKRR AIRFATYFAEVFQLIQQRAVLQVMGFNFMFNLFSSGITSLAGSYIQVYWAHVEPVNSS IATVLTYLLFSATLFAVGKWGTNWNWRFILIITTLSASAIDAIAQFLTIYNIVRSQWF YLGIPLTEYIPIGIQFVVGTFVIVELAGDGNEGLTYGLLTTVSNLPLVFGTMVTNVYS TQLKVAKADIKTDTPDVRNDAAYSYLIVYATTVIACCWTLILPPQKAAVKELLRNGGR YPMAGAFMVTAIFVVLCISVTSILLSMFESTSCYLLAGGSGC H257_09972 MVRYVGSVADVPVTTAAKVLVIGTKETNGLTLAQRILTHLNHGT TPPSSTISLLTHAIASLIAGTDNAASTHVYLPLSDSVLVSVVVAQLPTAVSRHNVLAR PHAISSLVRSHANDSSTSFVVGLSLPDPATTSWTGGVAVAKGISTYYNSKSTTKSGPS GVITDGAATAVTEDQVVVVFDHAVDTSTLSLLNATATGIHLTQRLVDSPPNQLNTDTF VAEAKAVAARVHAEITVIQGEDLNTQGFGGIYGVGKAAANPPALVVLSHYPSSSSKSD KSVALVGKGIVYDTGGLSLKTSAFMVGMKFDMGGAAGLLGAFEAAVLAGTSSRPLHVV LCLAENAVGPLATRPDDIHTLYSGKTVEINNTDAEGRLVLGDGVAYAAKHLNPHVILD MATLTGAQGIATGAKHAAVVSNSAKLEAWVVASGRASGDFVHAMPYVPEFFRQEFKSN VADMKNIPATRMNAQVSCAGQFIANHLGLEFEQTGLWGHVDMAFPVVDQERGTGFGVA LVQSLLQLIE H257_09973 MKRLHNVFNVDRLKKCPGQTDRFTNRPIPKATPMLLDDSGHEVF ILEELLKQWQFNRKKEILVKWHGLPDYESTWELERDIKHVSHFKRLVQDLRAKILAAK SITRGEFYDQARLACGVLGHGLQKFLLQSAPFILDAKSAKR H257_09974 MVLNINSMLERLHVQSVSDQLYLEECYDVYGDVVAEENDTTTYN NPVIDRVIEDSGVDGFRTVTNFTPDEFDTIWSVVEMALQARWHDGRGRRPLSTPKDAL FMTLVILKYYQTWQKHALDFDINAPTLEKMIIRVVDVISPIIYAHFVTMPTMEALREH DTTFRNYPYAKYATDIKFQPSHRPSGRFGDQKHYFRGKHKLYGLKIEASVSAQGLLVD MGRHEPGSVADLTMFRKRLDVHVTNLKKTPTEATVNDNGELFQAFSTIHLTASVRAIH PKKRPSNGALDRPDLERTRRSPLIASSSKTSSVATFFWGEKIYDGIQRLTFALTNFHV GHMPLRDDDLHQYRAVLARYARMAEKRSQRAATQRRYVHRRAERLATESMRSSLVARG AFLCPTVNTRR H257_09975 MAANHLLQERVSYIASITKQADKAVDAFKDASTPLDLEDGALRE GGPLVYTSPEVLTLLFQYAVVGICYGGIPGIGVPVLTYYFGLESATLSSASGLVNLGW SFKVFYGMLSDCLPIMGYSRKPYILIGWVMTAICFVVIALKPVGPSVIVDRSDENIKA ARSYGSVLVLLCALASFCYIMADVACDALVVEYAQREPECVRGRLQSSIYGTRFVFQG LTTAMSGFLMSSERYGGKFGFDISVNTYFGILAVPVVVNVFLVYFFMKDRKRGAIRFA TYFHDVYELIQKRAVWQVMIFYFMFNLLSSEIGSLAGNYILVYWAHVEPVNSAVVRVI AFIILATTVFAVGRWGTHWNWRYIVVITTLSGVVIDAIVQYLTIYDIVRHQWFYIGVP LTADVPQAIQFVVSTFVIVELAGDGNEGLIYGLLTTVANLPSTFGKMVTNVYSTQLKV TKADIETDTAEVRNHAAYSYLVVYGTTVLACFWVMILPPQKAAVKEMLQHGGKYPIIG ALIIVLTFVILCVSVTAIMMTMFESTSCYLLAGGQGC H257_09976 MPSANHGAMDNAKRAMTTRAERLAMLKFLRVPKHFALMTDQATK GKAMKGGQRLMKAHGHSLMAQYVNYICPYYNDMNALFGERQNFRPSYTLETNNHVDGS QTLDNSFVADDSDDNDAKDGEQPGNDPDSDEYWAQNDVEDPGRTDADAAVGGKANKRP DVPRVFPTPEKRLTPRKDFSSIYMDAQIQAERQARPSPLEEAITVLAYNSQAGVTGVE GVVTVLVCCRQPVGNGADDAHTVESWTLPGHPATT H257_09977 MVWRGLFRALGSATGVKLVSAASWNDLGALKMLHWATGGPSWTT SFAAFEPLLYPLLLSRWVFLGHILRWPIDAPAYKFMLRYFSFSVAEPWPDRPITSLHR VLNAAEQIVTACPTIPQHSDV H257_09978 MPVNHLLQERVSYIASITKQADKDVDGFKDASTPLELEDGALRE GGPLVYTSPEVLTLLFQYAVVGFCRAGLEGMGLPILTYYFGLESATLSSSNGLINLGW SFKAFFGLLSDCFPIMGYSRKPYILIGWVTTAICFVAIALKPVGPSVILDRSDENIKA AQSYGSVIVLISALASFCYIMANVASDALVVELSQREPVRVRGRLQSCIYGARYVFEG LATAMSGFLMSSERYGGKFGFDISVNAYFGILAVPVVMNVLLVYFFMKDHKRGAIHFA TYFHDVYELIQKRAVWQVMIFYFMFNFLATGIASLAGSYIQVYWAHVEPVNNAVVGVI TYFIVATTFFAVGQWGTHWNWRFILVIATLGAAVIDAIVQYLTIYDIVRHQWFYIGVP LTAQVPNAIQFVVSTFVVIELAGDGNEGLMYGLLTTTGNLPLTFGTMVTNVYSTQLKV GKADIETDTAEVRNHAAYSYLVVYGTTVLACCWVVILPPQKAAVKEMLQHGAKYPVIG ALIIVLTFVILSVTVTSIMMTMFESTSCHLLAGGQGC H257_09979 MVWRGLFRALGWATGLTLVSAGSAASWNDLGALKMLHWATGGPS WTTSWPVLDTKSDPCLDHWYGVLCNTRGEILALKLSHNNLRGFVPTNFARLTALEVLD LSSNYLTEALPPALGNLVSLQTLRVDHNAFTGLVPETIAQLPHLLTLTLESNQFESPL ALALYNLQTRRDVYVSYDLVMAPTRFDPQ H257_09979 MVWRGLFRALGWATGLTLVSAGSAASWNDLGALKMLHWATGGPS WTTSWPVLDTKSDPCLDHWYGVLCNTRGEILALKLSHNNLRGFVPTNFARLTALEVLD LSSNYLTEALPPALGNLVSLQTLRVDHNAFTGLVPETIAQLPHLLTLYEPLTRVCRQL DYESLCVFFTRVGR H257_09980 MQYLFQYRSPTQPTCIFCGSNETYQHFLFACRYGLSVWHHFKRI QRALQCPFPRNAFELFFELPKPQDGYYVRGLLKIWPIVRACVYYQIWLQRADRTFRPD LTPKTPVDTAIHAANLIKMHLRLLLRDLPLKKGYSKVFNVLRALSADPWLKLHVIPDS VHA H257_09981 MNPTQQESGNLSAKDKICFRGSNFNVYKTRIQAKLKAAGLWTTV LGHEDDGDEAEEKENKAFNVIINSLDDDNVAYVCHLKLVSDAWALLTERYESRAYADV SHVIHCMHTTSYKPGTSMQRYLTEMRAFQQKLIHMGSMVEDKMLGKVVLTSVKDAFPT TVEILRSRDPPPTLQQICDRLLSKESEVNDSKPSKRKQTQAR H257_09982 MNAVDDGKSGVAGYHTVQTPSPSAGEQSCSDSEQVELDVDLPPA VKEDIALRYRNEQIHVNEAQTEVETLEIRVFRVLESVFCFLKRTTLYEARKVLKFVII WQGALCTIRFLPILLGYSFRSTVPVFILYLTLIFGWHGANHLASRSLLLYKLGMQLSI VVDVLWYVLGWNGSPSSTYTGEEAEDLSKETAYWIHLVLSVIVFLCNITGLLYGWKLR RIVKSVVNQRECERAAFVAEPKVSKLDVNEPVAKRSVTLGAADSSFASNTIHTSKYTF WNFFFVFFKMQFSRLANVYTSIVVGLCFFSFSPVGPEASLIPLLIVFTTAAIKDVSED LRRHKSDFKVNSKPVRVLCRDEGDATLDRTWKDVAVGDLIVLKAGDEVPADCLMLATD NKNGMCYVQTANLDGETNMKIRQALTTNTFANPTALATLRVSVSCDAPNKNMFEWSGY VDLNEGHMSASIDNLLLRGCDLVSSEWTVAMVLYTGSETKIALNASDGVRKNKRSLVE RSLDSMFVIVMVVLFTISLYCSLGNRRWSTTNTTMSTSVYPAYLYGGNDGAKRGDYIF LSYVILFNNLIPLSMYVTMEGIRFVHARYIESDLAMYDAASDMPAEARNSNINEDLGQ IKYIFSDKTGTLTRNEMVFAKCTVAGLKYNDMDLDKSARRGTRFNDPRLLHRLNNKHG SAHHIHEFLTLLMVCNTAMPQMETDVRYQASSPDEQALCLAAHDLSYCLTNRRGSKCE VTIQGVAAEFEILHVVEFTSDRKRMSVICRTPSGRVHIYCKGADDVIFDRLDPAQPQG VLHVTKGHLQDYASQGLRTLTTAMRVIPPEEYAAWKLMYDDAEASMDKAKLAAAAELV ECGLTLLGATAIEDLLQEGVGECITSLRKAGINFWVLTGDKKETAISVGMSSEVIHDS MDVIVLDSNNKEQLTDTLEALYAQMVEDKWGTKKDDSISVVIFQTLKRVCVLCMNHVL SMLSGKYREQPKQLHRKRRRPSDVNNINMDVFPHHDAVSSVSSMDPFAKEFEPVGVQP PPTNESSTQDTADDDLIEYAMVIDGKTLALVLDDDIKYLFLAVAQQCKSVVCCRCSPS QKAAVVRLVTEPTLLWTPGNVSLAIGDGANDVPMIQAASVGVGISGKEGRQAVLSSDY SFAQFRFLKRLVLVHGNYSYKRVSKLLLFSFMKNIALSFTGFFMAPQTLYSGLLMYFS ILFTLYNALFSTIPIVILAMYNQDVSPSALMQYPTLYHNGLDNRSFNMSSFLGWCGLG IWHAYVVSSVPFTCDGYYFNWFSEVEADTAVFHGAPLGLWADGVASYTYLIVASTFQI SLLTSNWTMPNCVGVYGTLVFYFAFMWFFCSAYTLFGADFLEMYEASNVFQELSVEAW FWLGMVMSGVVAVLPNFILRAGRVLFYPEPSHLMREWNKMPTDDTTYGDETSHQSPRI IRNQTGFAFSEGSAIETQTVLRSVSH H257_09982 MQLSIVVDVLWYVLGWNGSPSSTYTGEEAEDLSKETAYWIHLVL SVIVFLCNITGLLYGWKLRRIVKSVVNQRECERAAFVAEPKVSKLDVNEPVAKRSVTL GAADSSFASNTIHTSKYTFWNFFFVFFKMQFSRLANVYTSIVVGLCFFSFSPVGPEAS LIPLLIVFTTAAIKDVSEDLRRHKSDFKVNSKPVRVLCRDEGDATLDRTWKDVAVGDL IVLKAGDEVPADCLMLATDNKNGMCYVQTANLDGETNMKIRQALTTNTFANPTALATL RVSVSCDAPNKNMFEWSGYVDLNEGHMSASIDNLLLRGCDLVSSEWTVAMVLYTGSET KIALNASDGVRKNKRSLVERSLDSMFVIVMVVLFTISLYCSLGNRRWSTTNTTMSTSV YPAYLYGGNDGAKRGDYIFLSYVILFNNLIPLSMYVTMEGIRFVHARYIESDLAMYDA ASDMPAEARNSNINEDLGQIKYIFSDKTGTLTRNEMVFAKCTVAGLKYNDMDLDKSAR RGTRFNDPRLLHRLNNKHGSAHHIHEFLTLLMVCNTAMPQMETDVRYQASSPDEQALC LAAHDLSYCLTNRRGSKCEVTIQGVAAEFEILHVVEFTSDRKRMSVICRTPSGRVHIY CKGADDVIFDRLDPAQPQGVLHVTKGHLQDYASQGLRTLTTAMRVIPPEEYAAWKLMY DDAEASMDKAKLAAAAELVECGLTLLGATAIEDLLQEGVGECITSLRKAGINFWVLTG DKKETAISVGMSSEVIHDSMDVIVLDSNNKEQLTDTLEALYAQMVEDKWGTKKDDSIS VVIFQTLKRVCVLCMNHVLSMLSGKYREQPKQLHRKRRRPSDVNNINMDVFPHHDAVS SVSSMDPFAKEFEPVGVQPPPTNESSTQDTADDDLIEYAMVIDGKTLALVLDDDIKYL FLAVAQQCKSVVCCRCSPSQKAAVVRLVTEPTLLWTPGNVSLAIGDGANDVPMIQAAS VGVGISGKEGRQAVLSSDYSFAQFRFLKRLVLVHGNYSYKRVSKLLLFSFMKNIALSF TGFFMAPQTLYSGLLMYFSILFTLYNALFSTIPIVILAMYNQDVSPSALMQYPTLYHN GLDNRSFNMSSFLGWCGLGIWHAYVVSSVPFTCDGYYFNWFSEVEADTAVFHGAPLGL WADGVASYTYLIVASTFQISLLTSNWTMPNCVGVYGTLVFYFAFMWFFCSAYTLFGAD FLEMYEASNVFQELSVEAWFWLGMVMSGVVAVLPNFILRAGRVLFYPEPSHLMREWNK MPTDDTTYGDETSHQSPRIIRNQTGFAFSEGSAIETQTVLRSVSH H257_09983 MLTGRVITVAVASSDSSSLFEKNSIGSTAIGLRRTSTERRLDHL LMISTWTTTSTSDTTFPPQTDIDRASDPSISIPPQMRHGHEPESSEA H257_09983 MLTGRVITVAVASSDSSSLFEKNSIGSTAIGLRRTSTERRLDHL LMISTWTTTSTSDTTFPPQTDIDRASDPSISIPPQMRHGHEPETTVF H257_09984 MSEEPAPPPTSEPVVEAPTSTSEPAEVPPSSEPVVPPPEATDAA IIASDDIAPRANDAGANDPKDAVLEEGVVDVVVVPTAAVPTQADAAATNDRNTPSPDL AITIPNDLEACMNDCTSPGHDPQLAGYTPDCGTKHLKYLSYAKCRRDRGLTHPMCYSL TEKDRMGHPVPKTYPVVATDFGAHSFHTLPTDSHVLSKYGIGVSLYFKFLKVMSWLFL IMFIISLPSMVIYVICGSGNGDAIKLQLQKNPLAILGMTSMGHLGESESVCAQAKYGE TLTLTCPYGEIGHVDSSYSLHDAQGTCSCPTAYQASSKGVCRGPLDDAACPTSGLPCF LGDFPSAGDTCCGYTKDAVSGAGTFPELMLKDADGCASPVVTPILNGLCLGQQTCSLT VDDAELYLWKVDDTYKTVCTDPSSPLFCQARLTDRMNTATCPNATRSERGIIAQAKCL TTKIDVSTSWAFKIMGWNSVTRQDFVGMACGLDIVYSVVFIMVVIWMKQQEKNANTQI LSERLSVDNYTVQLMHLPHHKDVPKLAEDLKTHLETVLSAKAPVYNEAIQAIRVADIN FGLTNAAQISLMRKRGEVAAKLDVALQRIAKFKKLQPHQPPNAHEMDVKTFERRMERL LKASNALGDQFTSYDKRLDEWEKKNKGKGLVAVTAYITFEEEEGYLRCMREYPSLGVL HRLFQPYHKRFLKKRMWIQPAPDPTDIIWENLDFTAANRLVRVIVVNLMALSLLCLSF IIIYAAKVKKNELTQKYGAPVACPDGGVTAWDVVQEQTSSSGDQTMVMCFCKAALLQS SLKDAMNIDFVDHRTGTSVKYCDTWGTQYLEIQALMVASVVIVVVINSCLTPVLRYLV LKQKSHTRSGVVVATVTKIFIAQFFNTALIVLLLNANMDDVMDKSGAGASVNGVKIFS GKYSDFSVSWYNDVGISLMLTMLINMVSPHAGVFITYVVVEFQRFSDRGFSFDMTITH QETQRDLEALYRGPEFDLASRYSVVINTIFITLMFSSGMPLMLLIGLFSMIITYWTDK FTFLRVVRSPPQYDGKIAGAAGSLLPWAVLLHTLFGIWMYSNTQIFDKMTTQFSALGS LNAELQRGGRIVARAITMPSEVMFGLLVAIAAVALVRVVVFRYFGSALRSVFPICVRM MKKEKPPRNLPNYFDSIPTHILKESLATKTLKPRLRDSYSAALEDADDAHRDSGRATL EGCHSYDIMVNTYYKDAFGGGAFHRAL H257_09985 MITSVEREGHFASCQFVVISVQPSHRRAPTSKRYAVNMVGFGKK KSVGLISDTELERKGKVDGSSLLDDNGDPTHGTLYEGENFDKKVRRADNQNEEDEPKK PASRSWFRIPGLSSKKK H257_09986 MIRIKDPIPSNRIHWLALSATVEGTPQSTSNMARKGRQAAVKQQ PSKPATPAKKAAAVTASRSSEGDTEDSDDEEDDEEDDDDDDGDDDGDDEGDDEDDEEE DDEEDDEDDEDDDGFEDQGSSSEDNHSDNENDDDDASDDEKTTQRFVESREKQLQRMR DDGSLKVASHLHIDDLSSDDEEAHNTIGNVPLRWYEEYDHIGYTVDGQKIVKQTKTDG IDDAIAAKDDPNYSRTVYDAYNDRKVVLTDRELEIIRRMQSGAFAHPEFDAHADLVET FSSEVMIHSLANDQEPKSRFLPSKWEKMKVLKIMKGIREGRIQVDKKPDDKPDVFQMW FEDDQEAQVRKGPAHVQAPKMILPGHAESYNPPEEYLFTPEEKKAWLEQDPSDRELNF IPQKFNSLRDVCGYSNFVRERFERCLDLYLCPRVNKRRLNIDPESLVPQLPKPRDLRP FPNTLALLFNGHTGRVRSLSMDSLGQYMVSGSEDHTVRLWEVETGRCLHTWNVGAVVI KVEWCPNKDHHVVAVAAAKKMLLIATGTGNGDETDITNALFDSDDVTSASSDPLDEVD VDVTVVDTAEADIDDAARKARMPVKWTYHAGKGRHGRGVRAVLHHSGSVTDVAWHHKG DYLSTVVPAADSSSVLIHQLSKRSSQNPFSKKLGSIQAVSFHPTKPFFFLATQTHVRV YNLVQQAMVKKLSSGVKWISCLHVHPSGDHVLVGSYDRRLCWFDLDLSSTPFKTLKYH EKATRAVGFHKRYPLMASASDDGTIHIFHAMVYSDLIKNPLIVPLKILRGHEVTGGLG VMALAFHPTLPWIASGGADNTIRLFQNIH H257_09986 MQSGAFAHPEFDAHADLVETFSSEVMIHSLANDQEPKSRFLPSK WEKMKVLKIMKGIREGRIQVDKKPDDKPDVFQMWFEDDQEAQVRKGPAHVQAPKMILP GHAESYNPPEEYLFTPEEKKAWLEQDPSDRELNFIPQKFNSLRDVCGYSNFVRERFER CLDLYLCPRVNKRRLNIDPESLVPQLPKPRDLRPFPNTLALLFNGHTGRVRSLSMDSL GQYMVSGSEDHTVRLWEVETGRCLHTWNVGAVVIKVEWCPNKDHHVVAVAAAKKMLLI ATGTGNGDETDITNALFDSDDVTSASSDPLDEVDVDVTVVDTAEADIDDAARKARMPV KWTYHAGKGRHGRGVRAVLHHSGSVTDVAWHHKGDYLSTVVPAADSSSVLIHQLSKRS SQNPFSKKLGSIQAVSFHPTKPFFFLATQTHVRVYNLVQQAMVKKLSSGVKWISCLHV HPSGDHVLVGSYDRRLCWFDLDLSSTPFKTLKYHEKATRAVGFHKRYPLMASASDDGT IHIFHAMVYSDLIKNPLIVPLKILRGHEVTGGLGVMALAFHPTLPWIASGGADNTIRL FQNIH H257_09986 MIRIKDPIPSNRIHWLALSATVEGTPQSTSNMARKGRQAAVKQQ PSKPATPAKKAAAVTASRSSEGDTEDSDDEEDDEEDDDDDDGDDDGDDEGDDEDDEEE DDEEDDEDDEDDDGFEDQGSSSEDNHSDNENDDDDASDDEKTTQRFVESREKQLQRMR DDGSLKVASHLHIDDLSSDDEEAHNTIGNVPLRWYEEYDHIGYTVDGQKIVKQTKTDG IDDAIAAKDDPNYSRTVYDAYNDRKVVLTDRELEIIRRMQSGAFAHPEFDAHADLVET FSSEVMIHSLANDQEPKSRFLPSKWEKMKVLKIMKGIREGRIQVDKKPDDKPDVFQMW FEDDQEAQVRKGPAHVQAPKMILPGHAESYNPPEEYLFTPEEKKAWLEQDPSDRELNF IPQKFNSLRDVCGYSNFVRERFERCLDLYLCPRVNKRRLNIDPESLVPQLPKPRDLRP FPNTLALLFNGHTGRVRSLSMDSLGQYMVSGSEDHTVRLWEVETGRCLHTWNVGAVVI KVEWCPNKDHHVVAVAAAKKMLLIATGTGNGDETDITNALFDSDDVTSASSDPLDEVD VDVTVVDTAEADIDDAARKARMPVKWTYHAGKGRHGRGVRAVLHHSGSVTDVAWHHKG DYLSTVVPAADSSSVLIHQLSKRSSQNPFSKKLGSIQAVSFHPTKPFFFLATQTHVRV YNLVQQAMVKKLSSGVKWISCLHVHPSGDHVLVGSYDRRLCWFDLDLSSTPFKTLKYH EKATRAVGFHKRYPLMASASDDGTIHIFHAMVYSDLIKNPLIVPLKILRGHEVTGGLG VMALAFHPTLVRR H257_09986 MIRIKDPIPSNRIHWLALSATVEGTPQSTSNMARKGRQAAVKQQ PSKPATPAKKAAAVTASRSSEGDTEDSDDEEDDEEDDDDDDGDDDGDDEGDDEDDEEE DDEEDDEDDEDDDGFEDQGSSSEDNHSDNENDDDDASDDEKTTQRFVESREKQLQRMR DDGSLKVASHLHIDDLSSDDEEAHNTIGNVPLRWYEEYDHIGYTVDGQKIVKQTKTDG IDDAIAAKDDPNYSRTVYDAYNDRKVVLTDRELEIIRRMQSGAFAHPEFDAHADLVET FSSEVMIHSLANDQEPKSRFLPSKWEKMKVLKIMKGIREGRIQVDKKPDDKPDVFQMW FEDDQEAQVRKGPAHVQAPKMILPGHAESYNPPEEYLFTPEEKKAWLEQDPSDRELNF IPQKFNSLRDVCGYSNFVRERFERCLDLYLCPRVNKRRLNIDPESLVPQLPKPRDLRP FPNTLALLFNGHTGRVRSLSMDSLGQYMVSGSEDHTVRLWEVETGRCLHTWNVGAVVI KVEWCPNKDHHVVAVAAAKKMLLIATGTGNGDETDITNALFDSDDVTSASSDPLDEVD VDVTVVDTAEADIDDAARKARMPVKWTYHAGKGRHGRGVRAVLHHSGSVTDVAWHHKG DYLSTVVPAADSSSVLIHQLSKRSSQNPFSKKLGSIQAVSFHPTKPFFFLATQTHVRV YNLVQQAMVKKLSSGVKWISCLHVHPSGDHVLVGSYDRRLCWFDLDLSSTPFKTLKYH EKATRAVGFHKRYVEILMILIMILMALDTR H257_09986 MIRIKDPIPSNRIHWLALSATVEGTPQSTSNMARKGRQAAVKQQ PSKPATPAKKAAAVTASRSSEGDTEDSDDEEDDEEDDDDDDGDDDGDDEGDDEDDEEE DDEEDDEDDEDDDGFEDQGSSSEDNHSDNENDDDDASDDEKTTQRFVESREKQLQRMR DDGSLKVASHLHIDDLSSDDEEAHNTIGNVPLRWYEEYDHIGYTVDGQKIVKQTKTDG IDDAIAAKDDPNYSRTVYDAYNDRKVVLTDRELEIIRRMQSGAFAHPEFDAHADLVET FSSEVMIHSLANDQEPKSRFLPSKWEKMKVLKIMKGIREGRIQVDKKPDDKPDVFQMW FEDDQEAQVRKGPAHVQAPKMILPGHAESYNPPEEYLFTPEEKKAWLEQDPSDRELNF IPQKFNSLRDVCGYSNFVRERFERCLDLYLCPRVNKRRLNIDPESLVPQLPKPRDLRP FPNTLALLFNGHTGRVRSLSMDSLGQYMVSGSEDHTVRLWEVETGRCLHTWNVGAVVI KVEWCPNKDHHVVAVAAAKKMLLIATGTGNGDETDITNALFDSDDVTSASSDPLDEVD VDVTVVDTAEADIDDAARKARMPVKWTYHAGKGRHGRGVRAVLHHSGSVTDVAWHHKG DYLSTVVPAADSSSVLIHQLSKRSSQNPFSKKLGSIQAVSFHPTKPFFFLATQTHVRV YNLVQQAMVKKLSSGVKWISCLHVHPSGDHVLVGSYDRRLCWFDLDLSSTPFKTLKYH EKATRAVGFHKRYVEILMILIMILMALDTR H257_09987 MDKRKLMLDSLDAAFASKKPKIVPVSRNAPKKAAQLQPKSNKAN KANKAGGALDVKMNHQAKARESVKQTEQKKDPPNPLYSKVDGLLYTTKTSKDKSRPPL KDLEEKLMALALMKQCQPSISRDESLLDKVKNKVLQLDNPRKAKSTTQTVPNPALQFP HRMSMKVRRKLGLVIPSLPINQDDAAELHRLWSAYMTDFLAEIVSTTSNAATIESFSA CYYGPKLLKADFRGCDLRVVRSSNPTLLGTRGIVVEDQRNTFRMLSASGLLHCIPKSG HITFEFEVDGKAFRVSGDDFRSREYYS H257_09987 MDKRKLMLDSLDAAFASKKPKIVPVSRNAPKKAAQLQPKSNKAN KANKAGGALDVKMNHQAKARESVKQTEQKKDPPNPLYSKVDGLLYTTKTSKDKSRPPL KDLEEKLMALALMKQCQPSISRDESLLDKVKNKVLQLDNPRKAKSTTQTVPNPALQFP HRMSMKVRRKLGLVIPSLPINQDDAAELHRLWSAYMTDFLAEIVSTTSNAATIESFSA CYYGPKLLKADFRGCDLRVVRSSNPTLLGTRGIVVEDQRNTFRMLSASGLLHCTWLPR PAVLIFSILSL H257_09988 MPKSKRVRSKPLTQTNKKGAELKKGVVETIRHAVDEFETIYVFS FENMRTNHFKVVRADFSDSRFFLGKNKVMKVALGRTREEEYADNLFKLSADITGGVGL LMTNKSKDDVVKYFKDLSVEDYAKSGFVATETVTIPAGPQPQFVGSMVESLRQLGLPI DLKRGVIVLTNDHTICKEGATLTPEQAKLLVHFDKKLSTFNVQLVSRWATEGGVYERL APKKAKKATSVAADDDEDDNDDDEDME H257_09989 MSDKAAIHSPNQRFSRSEYIVDMSDAADFVQTRIDKVQLEGLVV LQIIKHCHESLPRSVAGSLLGLEADDTLEVTNCFPSPAVQAQPSNMPSSPTSKDKNLQ YSTASDEYQIDMMKNLREVNMDNNKVGWYQSALNSTFSTTATIEYQFQYQRNLGQNAI CIVYDPVETTKGTLAIKAFRLTSAFFDQYKSQVFTKEAFAKADIRSANLLEEIPIHIN NSDLVHVYLADLHAQKLTDSEFDRLDLATNGYFESSLQNLSAWADELAQEHYKFQGYE RAVAKQRAQHQQLVQKRRDENKVRRDNGEDVLAEEDPNSLLKSLSQPSRLESLLITKQ MNTYCENINRYAGKSLNKLFLAGNLHKE H257_09990 MNVSWPANRLKDDDSISPEEHTFLDKLFAARSDQPLMAKWVVQH KGKASFTRRMLVVSKYRCITLKPPGLGGKLKVSKDWSFLDLLKIQVEQSDTTTAIKAI FSGGRILHIDPGMHCADVVRTIQHRIHKLVDAFHFSGRPKFALPLNWDAFCTDMSDKD KLVEAFHACCDFYLVPFRGDIATKLKAAMDTTPSVADFEHCIVGMAETSKPADCGIAL ALLRTLQHSRHFHSVVVRGFPIGDDGISMAFQTLEQPHPVLSSIRLSNVLASRGGLQA LESAAKTKHQSPSEWTLTSLDLSDNSKWAKESVVALVSALKAFPSPLRVLNLAHCHLT HLMPLVAVLAQPSWAATLRTLDLSFNPMDADATAGLTGWLSQVKVLQHLNLAGTGIDT FQVINALKRNAPLYKSALMALDLSYNTLKPTTAAALGEMLETTQSFTSLVLRNVKPAL ASPTLSTILSPWFQNPHFATPSRASDLALSLDLSENNLSGGGGTLLADLISRSPRLRR DVLKLNQCTLHDDSLDAIVVAVAQCDSLSALHLEDNDGSPPPKSIFFSSPAVLRHAPG DAFVKVLTKQVQLKELYLSNSHPQSRYPLALLRAVVHSLGTTNSTLEVLDISGNGGGD DVAKCVAAVLPKTSCLRALFWDGNHTTLAGFRLVQEALRRNQSLRIMPVPVQDTRRLL DRRDPQREALFAVLGSMCESIERNQATVETHEGPTGVMSSMVFRDDAYDKADVMRRSW LQSGPADLRQSWSRGLDALSAVPPSSDNNYTSHSVDA H257_09990 MRLLLSLAIDLLHFLDQCDYHACCDFYLVPFRGDIATKLKAAMD TTPSVADFEHCIVGMAETSKPADCGIALALLRTLQHSRHFHSVVVRGFPIGDDGISMA FQTLEQPHPVLSSIRLSNVLASRGGLQALESAAKTKHQSPSEWTLTSLDLSDNSKWAK ESVVALVSALKAFPSPLRVLNLAHCHLTHLMPLVAVLAQPSWAATLRTLDLSFNPMDA DATAGLTGWLSQVKVLQHLNLAGTGIDTFQVINALKRNAPLYKSALMALDLSYNTLKP TTAAALGEMLETTQSFTSLVLRNVKPALASPTLSTILSPWFQNPHFATPSRASDLALS LDLSENNLSGGGGTLLADLISRSPRLRRDVLKLNQCTLHDDSLDAIVVAVAQCDSLSA LHLEDNDGSPPPKSIFFSSPAVLRHAPGDAFVKVLTKQVQLKELYLSNSHPQSRYPLA LLRAVVHSLGTTNSTLEVLDISGNGGGDDVAKCVAAVLPKTSCLRALFWDGNHTTLAG FRLVQEALRRNQSLRIMPVPVQDTRRLLDRRDPQREALFAVLGSMCESIERNQATVET HEGPTGVMSSMVFRDDAYDKADVMRRSWLQSGPADLRQSWSRGLDALSAVPPSSDNNY TSHSVDA H257_09991 MTDHVIRPGRLRVISMLQDGVLDPHVTHSFPAFIAPDGKSAEAY LKTQPMGSYLFVHNLTQSASWWRPTDLSLSIMVKFRTRVQVAHIPMRFKSSKTWFTPL RRSAYIEWSKTSQHVHKAYVDPTMYPTLRAYWAEYMLYVGEKVSEICQDPSLNENWTP SSSLPSTIRVLGYPVGDGIHVDIMQARPISIRFNFARCSIDIYFNRRRLSLDGSLWRI KYPWNMTFATIKGMALGQSPFRGVPPKSLESMIMPRGSSFKYIPSCHESVEDEHVEEE LLQALMDRAQLYQNTTKESPLTDVLQILLRVPPDQSTSPKLLELRLDILGFLVQLQSV DVHAARWFTRPTTDRAVEYVVHLTCQYELGSIGSEKIAQVVRGAVGANPDVAYVLRLV HGLLSVPSFQHAFVNNQGASALWSRSASLMAEVHAAVRAQSRGRCLLYAPDTTTTHGT ALAVTSTRPSGSNAPPLPPSYPSAHEAGVQLALHGISFVRDEFVGRYPHSKQPHNDLC ADDTDDDATPHVFPRLDTPSFRAPPLTPCKACQCPQKLAALQFLRCIDKLHEKSSAAA LAGKADAACVHQLTSELAFWASQTDDTCWKRMFLNQWLRKFNGWARQDDDDSLSATVD LTWLTAVVGRVHMLNINDGVVQVRIFCQLLASLPGLLDEKHSDSDDGKAVAATIVEIV SGIVTTASVTSSLIFAVLELWRLLLLSTGWHATKLKLLHVLVHYTPLYSAASAARNAM EEAGSPHAVYYAKWLAVSLGFLGSRRAETKMYPGTIGATWSKLLYKMGCPVPAGGFED MHTTTSHRFLEVVPSTDQLHHDATNEHGLRSWKAYLECLHQCTPARMIPRALVARQFS RFLECYYFHDRDTPLVWDCLVTVSTYAATAWADLDEFVLLQLFGFHSADGGPTLASRP CKSSATPNASSLLVLARTEDASSIPLLQLHKLMPLQQLATPEQSLLECSHSHPMDNFK FWCSSDMYIAFVLHVFNLLVTTADNQSLNPTYCGRFGGSHDVDILYWLQMHFDSPKCK HLVPQLTRRLADQELHTSRRQSKLRLVKLAAPSLSDRVLFFRGFDPPRQGAFGTVYRC TSDMPIIRLHSPNTRESIALKVLPPQKLPQDRSILYCVYNEVTVMEHLRGNAAAVQLY DYGLSQDGYFIAMEYAECSLKGWRDNATTVTLATLLAVFSKVCWALSTVHSASVAHLD IKCENVLVRNASLSEFNVCFGDFGESVVVVPSSSSAFDCLYARGTEAIQSPEMLNFAG AVGYTSDIWSVGCLLFELITGSMLFGNEEWPTLFAHLTCSTAPVLMDKHVAMLHEAVA RLSPQRAIDDDVLTHQVATVSDLLSFILVRDPLRRPSLDSIQRKLLDFRLPRLVEMTR SIRPQHLTAPDAMARQVRLLDVHHPAPTGKLRLSRRLYLGWQPVWNGEHGVVITHTPP THRNRSSRPRTIFVTPHHSLPFDQQEVKYTQDLFRHAKAICSMLWDLYVSDRVVLLDV PSKPVMRALMPVLYVYHMLFFGPSSFELVKQLWKPHETILIPSTAHLTSLLCWEEKRC ITTQSAQHTNTYQCICGTHAFQTVSPATACCTESAPCALCMVCKPHREQEVFDPHTSA RPLKWIDVSGDGEAIVDLAQWGHWETTAEAPTDEVIVRDISWQVYRCSICHMLTRAIH KDGQIKRLAQIQTGRAWHDAQRRLFFS H257_09992 MIWNLQIHDVVIHQLLFMDSFRFLARRPSRSEDSSTHMPSPMMN HPAFERPTVDASPSGAPPIPFGHSARTPVGPAIVPVDTDISESGDELRRVRRTRSKSE CLFLAKNHSKNELPGPCQDYITQIQTIMAKNDSARSGSLSTGPSPSTSRGTTPTSSSA PARRNSVSGVPPPPPDLQI H257_09993 MSDKLTRIAIVSADKCKPKKCRQECKKSCPVVRMGKVCIEVTGK SKVAYISEGLCIGCGICVKKCPFEAINIINLPKDLENNTTHRYGANTFKLHRLPMPRP GQVLGLVGTNGIGKSTALRILAGKLKPNLGRYESPPEWQEILTHFRGSELQNYFTKIL EDNLKAIIKPQFVDRIPKAVKGQVMNIIRDRCEKEGKLEHYMNELDLLHIQDRDLEVL SGGELQRFAICSVAVQQADIYMFDEPSSYLDVRQRLKAALVIRSMVEGQHSSYCICVE HDLCVLDYLSDFICVLYGAPSAYGVVTMPFSVREGINIFLAGFVPTENLRFRQEELTF KLAQTADEMQLKDDTSANTYTYPSMTRTMVSTKDNETTQFKLHVQGGAFVNSEILCML GENGTGKTTFIRMLANQLKSDELEAAEAADDHYEIAKASLQYDANVVSYKPQKIAPKF QGSVRALLHKRIKDSYTHPQFMTDVTKPLNLDDIIDQAVPNLSGGELQRVAIVLCLGK AADIYLIDEPSAYLDSEQRIIASKVIKRFILHSKKTAFVVEHDFIMATYLADRVIVYK GRPGVECTAQSPQSLLSGMNMFLKQLEITFRRDPTNFRPRINKLNSVKDTEQKSTGNY FFMDD H257_09993 MAWISLPMPRPGQVLGLVGTNGIGKSTALRILAGKLKPNLGRYE SPPEWQEILTHFRGSELQNYFTKILEDNLKAIIKPQFVDRIPKAVKGQVMNIIRDRCE KEGKLEHYMNELDLLHIQDRDLEVLSGGELQRFAICSVAVQQADIYMFDEPSSYLDVR QRLKAALVIRSMVEGQHSSYCICVEHDLCVLDYLSDFICVLYGAPSAYGVVTMPFSVR EGINIFLAGFVPTENLRFRQEELTFKLAQTADEMQLKDDTSANTYTYPSMTRTMVSTK DNETTQFKLHVQGGAFVNSEILCMLGENGTGKTTFIRMLANQLKSDELEAAEAADDHY EIAKASLQYDANVVSYKPQKIAPKFQGSVRALLHKRIKDSYTHPQFMTDVTKPLNLDD IIDQAVPNLSGGELQRVAIVLCLGKAADIYLIDEPSAYLDSEQRIIASKVIKRFILHS KKTAFVVEHDFIMATYLADRVIVYKGRPGVECTAQSPQSLLSGMNMFLKQLEITFRRD PTNFRPRINKLNSVKDTEQKSTGNYFFMDD H257_09993 MPRPGQVLGLVGTNGIGKSTALRILAGKLKPNLGRYESPPEWQE ILTHFRGSELQNYFTKILEDNLKAIIKPQFVDRIPKAVKGQVMNIIRDRCEKEGKLEH YMNELDLLHIQDRDLEVLSGGELQRFAICSVAVQQADIYMFDEPSSYLDVRQRLKAAL VIRSMVEGQHSSYCICVEHDLCVLDYLSDFICVLYGAPSAYGVVTMPFSVREGINIFL AGFVPTENLRFRQEELTFKLAQTADEMQLKDDTSANTYTYPSMTRTMVSTKDNETTQF KLHVQGGAFVNSEILCMLGENGTGKTTFIRMLANQLKSDELEAAEAADDHYEIAKASL QYDANVVSYKPQKIAPKFQGSVRALLHKRIKDSYTHPQFMTDVTKPLNLDDIIDQAVP NLSGGELQRVAIVLCLGKAADIYLIDEPSAYLDSEQRIIASKVIKRFILHSKKTAFVV EHDFIMATYLADRVIVYKGRPGVECTAQSPQSLLSGMNMFLKQLEITFRRDPTNFRPR INKLNSVKDTEQKSTGNYFFMDD H257_09994 MSSVDATRAIGRRSGVVVRKDVRRDSDGFEDIDDFWDDDDSSAA NDSTTDIQRPGDAFEFTGRAGKSPATKSVLRTPKKASDPGPNVHRNSDGFDDIDEFWV QAGASANTTSPSGPRANESGELGGTDLEASSTLPEPEKTAPPTLPKKHTHLNRRQRRN TFGSAPPSSGQDENDRVQQGVATSQPVPASRTAITPPFARRSKFPSSTPPAGPQPSPP TDDTTPVSTTSSAVIGGVAIKDEPAPSPVRDEFSFGNMSSPASTVKSLDLDSPATNSF MAAGLTPSASRRHPTQNIERTPSPTPTLVRDTPTSRLSDTDRSMAVSEQHDEREADDA APSRPSPRGGIPRRSAPPKQASPPRMSAVDFDGRESNHSPPSSPVSPSSSYAGNRDSV GRGTNDLDESRFLDDHDVDDEEDAVMPKVPTSRQTTSPSRREVASPRPHKSKNSISED AHGIMKTPQKKRKHRVVVDRQEFEFGMASPHDDDPFEGNLTPIAHAEDHTLYTPPSSP TKQQLQKPKQTKTLKSVGKKAKPRSNRRRKASADAGSDVESSFALTDQSRMDSESEHS DVDVTVLSNADAIRRDSDSDGDGRGVRRSKRRRIKPLEWYKCERPVYERRQSGVGLIL PTISHVERAGTKTPVKLKPHKSSYQSKTTPFPTADLPSEFSYLATDTGDIWDELHDDA TKMRVIGRSKSSQVFALPGVQGFPCGYAGQTFNLPGGPTLPTWISGRLLLPPSGVKQP ESVGNCTQSFVVLQCQPGALEVAYAHPSEGEYNDETAQRFLLSQGDEYFVPPNNAYYL RNHSKSVEAELRFTIMKPSRLQVAEAGTTSGNKKTKKAKIN H257_09994 MSSVDATRAIGRRSGVVVRKDVRRDSDGFEDIDDFWDDDDSSAA NDSTTDIQRPGDAFEFTGRAGKSPATKSVLRTPKKASDPGPNVHRNSDGFDDIDEFWV QAGASANTTSPSGPRANESGELGGTDLEASSTLPEPEKTAPPTLPKKHTHLNRRQRRN TFGSAPPSSGQDENDRVQQGVATSQPVPASRTAITPPFARRSKFPSSTPPAGPQPSPP TDDTTPVSTTSSAVIGGVAIKDEPAPSPVRDEFSFGNMSSPASTVKSLDLDSPATNSF MAAGLTPSASRRHPTQNIERTPSPTPTLVRDTPTSRLSDTDRSMAVSEQHDEREADDA APSRPSPRGGIPRRSAPPKQASPPRMSAVDFDGRESNHSPPSSPVSPSSSYAGNRDSV GRGTNDLDESRFLDDHDVDDEEDVMPKVPTSRQTTSPSRREVASPRPHKSKNSISEDA HGIMKTPQKKRKHRVVDRQEFEFGMASPHDDDPFEGNLTPIAHAEDHTLYTPPSSPTK QQLQKPKQTKTLKSVGKKAKPRSNRRRKASADAGSDVESSFALTDQSRMDSESEHSDV DVTVLSNADAIRRDSDSDGDGRGVRRSKRRRIKPLEWYKCERPVYERRQSGVGLILPT ISHVERAGTKTPVKLKPHKSSYQSKTTPFPTADLPSEFSYLATDTGDIWDELHDDATK MRVIGRSKSSQVFALPGVQGFPCGYAGQTFNLPGGPTLPTWISGRLLLPPSGVKQPES VGNCTQSFVVLQCQPGALEVAYAHPSEGEYNDETAQRFLLSQGDEYFVPPNNAYYLRN HSKSVEAELRFTIMKPSRLQVAEAGTTSGNKKTKKAKIN H257_09994 MSSVDATRAIGRRSGVVVRKDVRRDSDGFEDIDDFWDDDDSSAA NDSTTDIQRPGDAFEFTGRAGKSPATKSVLRTPKKASDPGPNVHRNSDGFDDIDEFWV QAGASANTTSPSGPRANESGELGGTDLEASSTLPEPEKTAPPTLPKKHTHLNRRQRRN TFGSAPPSSGQDENDRVQQGVATSQPVPASRTAITPPFARRSKFPSSTRPQPSPPTDD TTPVSTTSSAVIGGVAIKDEPAPSPVRDEFSFGNMSSPASTVKSLDLDSPATNSFMAA GLTPSASRRHPTQNIERTPSPTPTLVRDTPTSRLSDTDRSMAVSEQHDEREADDAAPS RPSPRGGIPRRSAPPKQASPPRMSAVDFDGRESNHSPPSSPVSPSSSYAGNRDSVGRG TNDLDESRFLDDHDVDDEEDVMPKVPTSRQTTSPSRREVASPRPHKSKNSISEDAHGI MKTPQKKRKHRVVVDRQEFEFGMASPHDDDPFEGNLTPIAHAEDHTLYTPPSSPTKQQ LQKPKQTKTLKSVGKKAKPRSNRRRKASADAGSDVESSFALTDQSRMDSESEHSDVDV TVLSNADAIRRDSDSDGDGRGVRRSKRRRIKPLEWYKCERPVYERRQSGVGLILPTIS HVERAGTKTPVKLKPHKSSYQSKTTPFPTADLPSEFSYLATDTGDIWDELHDDATKMR VIGRSKSSQVFALPGVQGFPCGYAGQTFNLPGGPTLPTWISGRLLLPPSGVKQPESVG NCTQSFVVLQCQPGALEVAYAHPSEGEYNDETAQRFLLSQGDEYFVPPNNAYYLRNHS KSVEAELRFTIMKPSRLQVAEAGTTSGNKKTKKAKIN H257_09995 MYYSELVRSSLCDGEFQQQEHAFQMGCPSRDRTVAVFDWDDTLC PSTWLHSQGLLPAYRGHNVALSALVRQVLAALEVRICALLTKALTYGPVFVVTAAETG WVEIACSLYLPAVNNLLKMSDEIHIVSARSWFERTFGSGGDARRWKLETLGMIASKCF GNRPGWGNHSLISVGDSLAERDACHAAVHVIPETALLAKTLKFIEHPDIGDILKQVDL AFMSFDNMCAYDNHLDLRISLDHLHATTY H257_09996 MRKQQRGDTDNAASRGLERQKQLCDEGMALVNESVGIQNSGGDT DLAERNLNRAVEIFETALGIQYGTQEEQDASARLNNKMLRYVKMIKTQKAKNPAVGGN KRAASKFNILELDNLPQIYHSIASKLFNSQSGDLFESLKTTFGFQDNNVLNQKEHVLL LLTNYKEQLDVPEPKAPANQPVPARDQQREAQLADKAVTKFHAKLFDNYKKWCKYIGQ KPKFTRDTLTDIVLFFLIWGEAGNFRQTPELLCFLFHNLAPSSQAGGTKESGDFLATV IRPMYNELKKDNDKKTSKGARAPHNEIRNYDDFNEFFWSKKCLKYSAYTIGEAFASAD KKGNPKVVKKTFVEKRTWMRALMSFRRIFLFNFALFLATVGFAVNMITLCPDSPIMYG SDLSMVEVFGKKYYNPLTTKTSLDFDANETDLYAASTLELDTTGLSCNVAKLSTCLGV PNFVKGQSFHYMPRDFQELLQDIPFTSCIEKQSGRCTCYIELIEKCFTQTGTATVFGT DTNNINKGVTIKYNQALCGKVYKENALQILNNNSPGRLNCGMCRLEPTQLLNSLPKLI TSLIDFKRFTDKKQSDYGPLFFVGGIGCLVLVFVCEILGRMFSGVGVGFVGRSLPVPF AAYCRYTCFWIFLFTCKLTFDYQFMVKNLVETTVFIWISDDKTYLPTTQFLINFSFHN ILYILFLWIPAITVFLYDAQIFYALLSVIFGSIRGFNLRIGELRSFRILRLTFKSIPK VFNKKLVSNLIERSGKKKKDKKKKDTKDEPAPERRFQRVSYSEGSKPLTGTAKGFSSL LENDGYNEMRTPGGNELDTASASGRNSNIGSITGVSGPEFERTIPFAMAWNRCLASMR EADVLSDRELNVLSYLIDSKDVAERRLYPPAFLTAGKLDESIDIIIESYTLYDKLKSD KKKDKTLQKVEVSMRERLTKDDLRVESILGSYKFSSQVVKLLLGDEHKELEACFNFIE EMATTKSTLKGLNFSNLYQARSACADLMKSILEVPKGAHEASIKFLRSLYHVIDNVEV VMGCLKKVLSKQEHLVKLLNDTPLKPNSFFFPGDAQHYASSQLQRIVNDQTAIDIVSR AYQLLTVDNFDAEPRSEEGQRRLRFFTNSLFMEMPEAKPVSHMHSISVSTPYFNEIVL YSVKELTSENDDSIKLLYYLQTIDPFEWENFLERINAKDVNEALKKYPEEVQLWASYR GQTLARTVRGMMYNEEAIRFLYWLEIGENEPMHLTGCACNRCIKLDEMVALKFNYICS CQIYGKHKDEQRQQAQDIDYLLMKHPGLRVAYVDGPKKVKDGPPKYFSVLIRGQGDKI VEVYRVELPGDPIIGEGKPENQNHAIIFTRGEMLQCIDMNQDGYLEECLKMPNLLATV DRKEHAKNPLTIIGFREYVFTGAVSNLAAFMQIQELSFVSLGQRMLALFHVRQHYGHP DIFDKMFAITTGGTAKPSKGINLSEDIFAGFNTTLRGGHVSHEEFIQVGKGRDVGMQQ LALFEAKLSSGAGECVISRDAARMGSRLDFWRLNSWFYGNLGWYFTQTMTVFGIYAFI YGKIYFALSGLDSFFLQSGRLGISGVLNTSWALQFGFLLVVPVIAVVGVERGFRHGFS YLVWNCMTLGPLFFTFQMGNRMNYFDRTLIHGGAKYRATGRGFTIKHEKFAELFRFYA FSHFYRGVELVFLLLLFSAYGTFSWCNCSWRVDQLFYNNIEPLPYEWKTRCYNNFYQT CVLPTNQNYGIMSFSLWIIAGTWLWAPFFFNPSGLDWDKCIDDYNDWQNWLTTKNDSS ESWFGWWSNELEYLEHSSVSARAVQFLRKCRFLCVAIGIYLQLMYRLFYKDQNKLIVF DLSSKTPGVFLESLKPFIIVAALLVLMLLLVCCGYCASRFSKRSQMKQKKLRKIKFNI SFCILVVVLFSFLYLTIMQLFEVFLIIVLSVYWFVQFAIVRLKSNHVVILAMAKSFDR AVGWIVFGPILFIAMFMPFISSFQQRVMFNSAFTSGLEVSKLFSHDVAPSHSIKAKRP KKKKRDE H257_09997 MRKQQRGDTDNAASRGLERQKQLCDEGMALVNESVGIQNSGGDT DLAERNLNRAVEIFETALGIQYGTQEEQDASARLNNKMLRYVKMIKTQKAKNPAVGGN KRAASKFNILELDNLPQIYHSIASKLFNSQSGDLFESLKTTFGFQDNNVLNQKEHVLL LLTNYKEQLDVPEPKAPANQPVPARDQQREAQLADKAVTKFHAKLFDNYKKWCNT H257_09998 MAERIKVKTPMKKELPKRLARLKAANTVGDFGSLSTEDEASRQE AHVERGHGASTPRTSVAHILGHIRRLQKPPAAFHAMEEDCTVTQSCVGGGCIALLC H257_09999 MQTRKQYALAFTDVVSGSLIFDVMMDRVFLDEKWFYLRKVKSKC YLAPWEEVPKLSTKNKRFVPSVMFLTAVARPRVVDGTSLTANLVFGPSLNKSPHSGAA TGARQEHVLLNRVFPSIFEKFPQTYQLIVVQHDTPHGIALDPDVVRASASHGRLQTLQ QKMPAYTVDELITKVNAAYLNVPDESLNNMFNMLLAVKDTSSKSKKKSKYGRGEVYPD RWLFGGVDRATKRWFGILVGEDRTKPTLLALIKKHIRPGTLIMSDKSYVSTNEVHTFA NNRDLQDMRYRHQCVNHTDNFVDPTSGAHTQRIECLWENQVKRLIKTMRGDIPKVRPE RTEEGGWTYLDDQVNETLFERIFSMKHETHVKKVESESKMNEWLARVRHSRVSLSIYK YGNEMVTKDQFAEFNVTCIVSTKPRLFWSTQ H257_10000 MASEQLNDLQRQKALCDNAMALVNSAVSMQNGGNQAGADAVFDQ AIEIMESVLAFKYTTMEDQEAATRLNNKMSRYVTMIKGQRGKAVSGAVLKKSSGKFNI LEMDNLPVRYRGIMHMLTNSPTYGDIFDKFRTAFGFQESSVHCQREHLVLLLANFKEY ANPSSLKMATGADVNEADLVAKAVSNLHDRLLDNYTKWCKYISQPPKFLSEPLADLVL FFLIWGEAGNFRQTPELLCFLFHNLAPQANAGTAKAPGHFLASVIRPMYNEVKKDNDK KTPMGARAPHTDIRNYDDFNEFFWTKTCLKYNEATIADAFTSTNNKGNPNVVKKTFKE TRSWVRALVSFRRIFVSHLFLMFATIGFAVNMVLVCPDSPIMYGADLGSGVKVFSKYY YNPKPKFVATDLVDVILGPNDGFTNGTCNYPKLATCLGVVNFDKSKTFKYLPDDFKSL LQDVPFQECIELLSGRCDCYLSVLDRCFGQKGTATYILMDEDGRKKYMPIQYNQASCM PVWKAAALSVINTAGDGKLNCDACRLDVATLSTSLPKLLTSFLDFKRSDQGPLIFLGG CAFIALLVVWELQNRMFSCCGVGFVGRSLPVPTAAYCRYMCFWLLLFACKLAFNYQFM VKSLVETTVFIWLSDPVKYLQVSQFMIQLSYHNIVYIGFLWGPAIIVFMYDAQIFYAL LSVIFGSIKGFALGIGELRSFRILRLSFKKIPKVFNKKIVSNLIDASSDRSNKKKKKK SYVDTPPQRIFETIEYSKGDRPLTIKAAVYSTLLGGGPENSIPGDNCKQFTTPSVGDI DPGKAATPLNGVFGVTGAEFERTIPFAMAWNRCLSSMRDADVLSDRELSVLSYLIDAK ETPDRRLYQPVFLTAGKLDESLEIVSDCYVVYERLVLDKKKDKALQKFEQSMTDRLLK DDLRMQAVVGSYKFTSQVIKILLGEQHKEVDQCFAFIEEVVCQHQILKGLNLNCLYAV RSQCAELLKSILDVPASSTDANIKFQRSLYAVVDNVEVVINSMKKLLAKQEHLVKLLN DTPLKPNSFFFPADEQRYASRQLQTLVNDKAVMDIVSRAYQLLTVDNVDAEPRSDEGQ RRLRFFANSLFMDMPDARPVRQMHSFSISTPYFSEIVLYSLKDLTTENDDAIKLVYYL QTINPHEWDNFLERVHARDAADALKKCPEEVQLWASYRGQTLARTVRGMMYNEDAIRF LHWLEIGENEAMHLHGCACSRCLKLDEMVALKFSYICTCQIYGKQKDEQKQQALDIDY LLVKHPGLRVAYVDGPKKVKDGSLKYFSVLIRAQGDKIVEVYRVELPGDPIIGEGKPE NQNHAIIFTRGEWLQCIDMNQDNYLEECLKMPNLLATSDDKQRKDNTYPITIIGFREY VFTGGVSNLAAFMQIQELSFVSLGQRMLALFHVRQHYGHPDIFDKMFAMGTGGTAKSS RGINLSEDIFAGFNTTLRGGRVTHEEFIQVGKGRDVGMQQLALFEAKLSSGAGECVTS RDCMRMANRLDFFRLNSWFYGNLGWYFTQTLTVYGVYVFIYGKIYFSLSGLDAYYLQA GRLGISGVLNTSWALQFGFLLVVPVIAVVGVEQGFRHGMSYLLWNCMTLGPLFFTFQM GNRMNYFDRTLIHGGAKYRATGRGFTIKHEKFAELFRFYAFSHFYRGVELSFLLLLFY AYGTFSWCNCSWMLEADFYNNVEPLPYEWKTRCYANFYQSCVLPTNQNYGIMSYSLWL IAATWMWAPFFFNPSGLDWDKCIDDYSDWQQWLTTKNDSSESWLGWWANELEYLEHST PFSRLVQFVRKTRFLLVAVGLYLQMMFRLAYTEQNMTVADDFALKPYIILGALVVLLL ILACAGYASGRVAKKMTFKQKRLRKLKFHLTFAGLAGLIAALLYFNLRTIVEIALIVL LVAYWVLQIAIVRLGFRHAMIETIAALFDRSVGWIIFGPVLFIAMFMPFLSAFQQRVM FNQAFTSGLEVSKLFSNDAVTKPDPAPKKKKKRDE H257_10001 MDHPKIERRCCLTPCIALWASPRQLDVLCVLLDDRGHSNTIEIT QPLQVAGQLGSGSRRRVGMWASAARRGMKLAGATCSGWAISMFE H257_10002 MWKSTRDKHAATSSSTSASVSSSSSSAATSGTNVSASAAIARRG SNPRVTPSKIPGKPASKLKAPTTQAIAEIEQKREDRRKAMAQVKKERDHENMLNEQNG NPGDVDFQRMIKQFRDQDHQEQPYATKANVQITICVRKRPVNKREVKGKDYDCVTCWH PKVIIHDCKLKVDGITKYLDSNAFEFDHSFDEFTSNETVYKYTAQPLIQFVFNECGRA TVFAYGQTGSGKTHTMEGIQRQVATDIFAEIAKFQSHDRPLEVWLSFFEIYGGQCQDL LRQKRLTIREDGNGEVQIVDLDEVIVTDEAELLQIMQRGNALRTTHATEMNDQSSRSH SICQLNIREKASLKLHGKLSLIDLAGSERGADTKSHNRQRRMESSEINKSLLALKECF RALDSGGRGAHIPFRASKLTQVLKDSFVNTKARTVMIAAVSPCVSSADHTINTLRYAD RVKEKQVSDDIADVSQVKFRPLDSSSISPGTSPDKPPTGNSLADLMSQAVCRNIAGMA AKDSDESPEEGRPTMDKVTDEDDDELKQDDAWPRRKDSSSSQDDIKLLHSSLRRQCRD RGEGDNSLEELHGVVQTLYEEQENLLSSHMSAIQENAQLLTEEGMLLSDVQGDAVVDY DIDLYALKLDHILEQKEHTIKRLRKQLALFRRRCQDEESASKNVDHVSFY H257_10002 MWKSTRDKHAATSSSTSASVSSSSSSAATSGTNVSASAAIARRG SNPRVTPSKIPGKPASKLKAPTTQAIAEIEQKREDRRKAMAQVKKERDHENMLNEQNG NPGDVDFQRMIKQFRDQDHQEQPYATKANVQITICVRKRPVNKREVKGKDYDCVTCWH PKVIIHDCKLKVDGITKYLDSNAFEFDHSFDEFTSNETVYKYTAQPLIQFVFNECGRA TVFAYGQTGSGKTHTMEGIQRQVATDIFAEIAKFQSHDRPLEVWLSFFEIYGGQCQDL LRQKRLTIREDGNGEVQIVDLDEVIVTDEAELLQIMQRGNALRTTHATEMNDQSSRSH SICQLNIREKASLKLHGKLSLIDLAGSERGADTKSHNRQRRMESSEINKSLLALKECF RALDSGGRGAHIPFRASKLTQVLKDSFVNTKARTVMIAAVSPCVSSADHTINTLRYAD RVKEKQVSDDIADVSQVKFRPLDSSSISPGTSPDKPPTGNSLADLMSQAVCRNIAGMA AKDSDESPEEGRPTMDKVTDEDDDELKQDDAWPRRKDSSSSQDDIKLLHSSLRRQCRT NSIHAYMHNAHGRGPGRGRQFIGGAAWSGANIVRGARKLVEQSHECDPRECPTADGRR DAAVGRAGRCRRGLRH H257_10002 MWKSTRDKHAATSSSTSASVSSSSSSAATSGTNVSASAAIARRG SNPRVTPSKIPGKPASKLKAPTTQAIAEIEQKREDRRKAMAQVKKERDHENMLNEQNG NPGDVDFQRMIKQFRDQDHQEQPYATKANVQITICVRKRPVNKREVKGKDYDCVTCWH PKVIIHDCKLKVDGITKYLDSNAFEFDHSFDEFTSNETVYKYTAQPLIQFVFNECGRA TVFAYGQTGSGKTHTMEGIQRQVATDIFAEIAKFQSHDRPLEVWLSFFEIYGGQCQDL LRQKRLTIREDGNGEVQIVDLDEVIVTDEAELLQIMQRGNALRTTHATEMNDQSSRSH SICQLNIREKASLKLHGKLSLIDLAGSERGADTKSHNRQRRMESSEINKSLLALKECF RALDSGGRGAHIPFRASKLTQVLKDSFVNTKARTVMIAAVSPCVSSADHTINTLRYAD RVKEKQVSDDIADVSQVKFRPLDSSSISPGTSPDKPPTGNSLADLMSQAVCRNIAGMA AKDSDESPEEGRPTMDKVTDEDDDELKQDDAWPRRKDSSSSQDDIKLLHSSLRRQCRD RGEGDNSLEELHGVVQTLYEEQENLLSSHMSAIQVRGFPRCSYLALTMSAGECPTADG RRDAAVGRAGRCRRGLRH H257_10002 MWKSTRDKHAATSSSTSASVSSSSSSAATSGTNVSASAAIARRG SNPRVTPSKIPGKPASKLKAPTTQAIAEIEQKREDRRKAMAQVKKERDHENMLNEQNG NPGDVDFQRMIKQFRDQDHQEQPYATKANVQITICVRKRPVNKREVKGKDYDCVTCWH PKVIIHDCKLKVDGITKYLDSNAFEFDHSFDEFTSNETVYKYTAQPLIQFVFNECGRA TVFAYGQTGSGKTHTMEGIQRQVATDIFAEIAKFQSHDRPLEVWLSFFEIYGGQCQDL LRQKRLTIREDGNGEVQIVDLDEVIVTDEAELLQIMQRGNALRTTHATEMNDQSSRSH SICQLNIREKASLKLHGKLSLIDLAGSERGADTKSHNRQRRMESSEINKSLLALKECF RALDSGGRGAHIPFRASKLTQVLKDSFVNTKARTVMIAAVSPCVSSADHTINTLRYAD RVKEKQVSDDIADVSQVKFRPLDSSSISPGTSPDKPPTGNSLADLMSQAVCRNIAGMA AKDSDESPEEGRPTMDKVTDEDDDELKQDDAWPRRKDSSSSQDDIKLLHSSLRRQCRT NSIHAYMHNAHGRGPGRGRQFIGGAAWSGANIVRGARKLVEQSHECDPSTWVSTV H257_10003 MEQVQAYADKIKVELNKLPFLVEAEKQTGVDKLYLAAGGSLVLL VVVLFGFGAGLLCNLVGFVYPAYESFKAIESDNSNDDTQWLTYWVVYSMFQIVEVFVD FLLYFIPFYYAIKLGFLVWLFMPSTQGATFVFNHLLQPFLKRHESTIDGAINNIKNNS GKVVGDLSGLAADVGKDVTAAVLQKVADNQLKTPTSPKKSA H257_10004 MSVERRPPMEFVEAIHARLREELDSLNIPLLDSLEEQTGVDKVV LLGLVSVVLSMVLVGGAGAGIVCTTVSFAYPGYASFRVLQKPAVIRAGEVRLWLMFWI VFACVKFVEVFADKALASRVPYYHILKMCAILALFVPSTKRATSFYTRFLVPFVKPNE TDVDKLVRETQFEMDRVAAKIYNTDGFQGVLKLFKSKPE H257_10006 MMMLVRLTQRTLRKQPASLMAFAVEPRAAETSSASMGVLVGASA LMLASSFSTTTASNDHALNPNEWRSFRVYSNEQLNHNTHKLRLEFPEENQTSGLTVAS FLLTKAHLNGKAVIRAYTPTSANSQKGHLELIVKGYTTGTMSKHLVGLKAGDHIDVKG PNIKFTYKPNSRKHIAMVAGGSGITPMLQVALEILRNPEDNTDVTLIFANDTEEDILL RDELASYEQIYPGFKVINVLSKPSESWTGYSGYITKDILEKHLPGASADNLVLVCGPP GFMLAVSGGKAKDFTQGQVEGYLKDLHYSSETVFKF H257_10005 MEPPDDYDPTGVPIPVYVYKCDALRVGPVSDVGAVNIDGSRVTI SQQGNSSETLTVDHVFQNADEVDVIEHALNSLLTACVDGVNACLLCGGSSNTLRSTFF HGSDKAPAHAGLALTMLQHLLLHLADKHGSGGNPSPHTPRYFVRLSFVEFYEETITDL LASKQGPTGSSPPMLALREDEYAGVDFKNATKVGPIQSSMDVQTAVQDGRRRRRSAAT SLGPSTDFTSAIFRIYIKQVPTSDGPVVLSTLDIVDLPPFDRLAKVPTAVRLTEGPLL NKSLYAFESVCKAAASAASFPPYDASLLTRSLQQALGGDALTNALLFVAPNDHEGAKA TGQVASMLQRMRTFPLAHSDVVQGLRRRHHAERMYWKTKVPQSNSTYHDTNDGSQSTL ALVQKAHELEGKLLQDSIDKSKLKDTIDGHVKALSESRAKVAGLVEAEVGLRKQLLDR EREKLSLSKALVDCQLEHSTMLEAVEKDKFDLTTKLLNAENDLLELQMREEQHDTLLR AAQDAAAAATADKKELAIEFVALKANFVAANKALQAKSGKAQQLSVELLTLVNQKTQL AAAVDDLEKAKADGLERERKLREAMDKATVQEQALMGLVAAEKARGHSLYEEKVALEF QLKSLAVEVEARQVQFEKAAQEQAVAHHAQVHALKQAAEDQVARSMAKESSLEERIRE MECMARQSRRQLDDLERSLAKRSTEAADLRTAMAREAAEMEAQTASYRLRLNSLFGNK PITTASGNEGEEAPVAAARAAWTLSFETRERELVERLAALEARQASWGGRYEVLYRRT MQLQGILDDNHVKVDDAPGDLDMWSQEAAAESDVSDMERRRMLAKVEVCTQELRLQLE KNLQSAETFNGMLAAKERDVAAVRTQLDAAIASRDQLQLQNERLLADKSTDETKDEMK RMQEMLVAQLQELKATMQAQPVSSKGENDQATDKRRSGYDENGQQLRAQIAKLEERLR GIKASHMQTVEATERRCVQLSTKTIMLEEEVVGLKNLLKASTMKYQRRLREVTEAQGA ARDT H257_10007 MDEGNVGDGESWWQLQCCNDDQMQSIFVIVGYTILIFKLWRFPL LHPFKILTVFLHELGHALAVWMTCGKVTSMEVHPNEGGVTSYAGGVALVIVPAGYLGS AVWGMAFVVASPDRLAAEIAAGILILFLLIFIYYAKNAYMRWLNAGFIVILGGLIALD RAVPGGAFALRYVILFMGVMSCLFSVYDIWDDLIARRINDSDASVFAKMTHTSSRCWG VIWGLFALGTMGGAVYLNLLVIQHVLPGPSIASIHELSGATTAALVVAASVLGIGVLH TIVTRRCMVQSGSNQLGYAQAP H257_10007 MASRGGSSSAAMTTKCNQSLSSSDTPSSSSNCGDFRSCIRSKSC TSVITIMSSCVVSRTVFLHELGHALAVWMTCGKVTSMEVHPNEGGVTSYAGGVALVIV PAGYLGSAVWGMAFVVASPDRLAAEIAAGILILFLLIFIYYAKNAYMRWLNAGFIVIL GGLIALDRAVPGGAFALRYVILFMGVMSCLFSVYDIWDDLIARRINDSDASVFAKMTH TSSRCWGVIWGLFALGTMGGAVYLNLLVIQHVLPGPSIASIHELSGATTAALVVAASV LGIGVLHTIVTRRCMVQSGSNQLGYAQAP H257_10007 MTCGKVTSMEVHPNEGGVTSYAGGVALVIVPAGYLGSAVWGMAF VVASPDRLAAEIAAGILILFLLIFIYYAKNAYMRWLNAGFIVILGGLIALDRAVPGGA FALRYVILFMGVMSCLFSVYDIWDDLIARRINDSDASVFAKMTHTSSRCWGVIWGLFA LGTMGGAVYLNLLVIQHVLPGPSIASIHELSGATTAALVVAASVLGIGVLHTIVTRRC MVQSGSNQLGYAQAP H257_10008 MLKHELGRKWRDIPWQEVLEKNVHADKYFMRSGLIRKDLLPLYA AEHMPRTFVVSSMEDVNQIIHFMEEEDKCSANDLWVLKLSDSSNAFGIHFFHRTDVAS MAPILGDGQTRVVQKYVQSTLLDGKKFHLRVLVLAVGHLDVYVYDRCRVLVASAPFSV EALDNSLVHITNMGINQARNAQYDAAAQNRLLSDVFPAHIVANVMDQVHRISRHMFRA VGSNRRHFFSVSNCFELFGVDFMMDDHSRVVMLEVNPDPSLAMFHDGGMSGQDSCILP PNVLVDGVPPSFVQVYSRAQDNAFRMLKQPQRSSSVYSATCKDHTA H257_10009 MNDVVAAKLKEVTKIVQMTPDLPVPPIPEPPRRTSKASSNVRTK MAAIQTYMNALEYNYTGKMYFDVSKNRSHKSIYGTAKDIIHDALPIQCLEAVFLAAYL TAGGPEYEGATFNHQIDRIPISFKTSSGGTTFRHIVLAIKHQHLWGALGLSRSPGLMN KELKFKSLSDLVGNFVEQYTAVCHDVIKVYVGFPFSHDIHSTERVEWRVLNIKLSIHP WDNVAAQLDLFTREYSELMSHLHRVGDLPDSFADKFPLHKPPKRSTLSSPSRRHPRAP VECDGFAMGGGGGGGDVEEDVPTTKLPDLLLRVTPEVLHFKPSTAAADDDTNNRPPDL VEFGSVNLYLNNLSRVHHLVVYVVVSDMYLQILGKPFVLIPSEGRKGCQFSLKPGSVT STAIRLVLPANVAQETSGRTASFQVQYAVVQSGSDTPVAVADSTLLDRATLTIPYDWT TIH H257_10009 MNDVVAAKLKEVTKIVQMTPDLPVPPIPEPPRRTSKASSNVRTK MAAIQTYMNALEYNYTGKMYFDVSKNRSHKSIYGTAKDIIHDALPIQCLEAVFLAAYL TAGGPEYEGATFNHQIDRIPISFKTSSGGTTFRHIVLAIKHQHLWGALGLSRSPGLMN KELKFKSLSDLVGNFVEQYTAVCHDVIKVYVGFPFSHDIHSTERVEWRVLNIKLSIHP WDNVAAQLDLFTREYSELMSHLHRVGDLPDSFADKFPLHKPPKRSTLSSPSRRHPRAP VECDGFAMGGGGGGGDVEEDVPTTKLPDLLLRVTPEVLHFKPSTAAADDDTNNRPPDL VEFGSVNLYLNNLSRVHHLVVYVVVSDMYLQILGKPFVLIPSEGRKGCQFSLKPVRTC KRVSWMDE H257_10009 MAAIQTYMNALEYNYTGKMYFDVSKNRSHKSIYGTAKDIIHDAL PIQCLEAVFLAAYLTAGGPEYEGATFNHQIDRIPISFKTSSGGTTFRHIVLAIKHQHL WGALGLSRSPGLMNKELKFKSLSDLVGNFVEQYTAVCHDVIKVYVGFPFSHDIHSTER VEWRVLNIKLSIHPWDNVAAQLDLFTREYSELMSHLHRVGDLPDSFADKFPLHKPPKR STLSSPSRRHPRAPVECDGFAMGGGGGGGDVEEDVPTTKLPDLLLRVTPEVLHFKPST AAADDDTNNRPPDLVEFGSVNLYLNNLSRVHHLVVYVVVSDMYLQILGKPFVLIPSEG RKGCQFSLKPGSVTSTAIRLVLPANVAQETSGRTASFQVQYAVVQSGSDTPVAVADST LLDRATLTIPYDWTTIH H257_10010 MELNGLDGVTTAVQLIARVRPLHITETQCPQDALSIEEGGIVWA KKGVTKALNVDAILRETASQSDVFGTVQGHVAPFVQGYDCSVLAYGHTGSGKTFTMGG ERVALGSVSVDDVAINDGSGLIPRFVEKVFEACDAELENAPHDTFQLSCTYMEICNEK IYDLLAVQPLAPSPPRSLPSSSNSPPKGASSPLKTTLQDTPRSSWNSNRTAKESLGLS LRQHPLGDVYVLGLTRCDIVSSQDAVALFHQACVNRAAATSANEAPDGHTIFELSLNR QSPQGTRVSRLALVDLAGFTKPVQGIDPPVNNKSLATLTTCMTMLAKSQRRILHGNSS TIIPFRESVLTRLLQPFVQGASRVTFVLTLSQSATSLNDTCTTLRFAERLRSISCKPT RNPIVPVAPTASLEATHAMQLEMNRLRQALVQITCKHEAAVVHDKALMAENDRLRIDL HASQSLLDRTNQSPTRAAAHHRQLDTIPDHNAPDTLATDAAISVHNPTVLGEPSHANM LPKTSTTPVCPPSLPYDPPLRNGTTIDTNTRLPLLMPSAKDSSIRAPETSLPLSLQKK LVHVPLPMRFQAPPRRPARNLVPSPPKLPPDTPSSLKPNASLNNHNDLFASALPLLDT KLQSTRPIEKPSRRHTRRRRHTRHDDEGSSVAMLMSTPRAMALPKLHPPHPPILTSSP QPPKPVNQLAAPKEDSADIARYKVQRRMELEAMLGGDFLGSRDAYIFF H257_10011 MAGLSQVTAVTASAFRQLMESIPKTHRSGRVAIALSGGADSTAL LMLLREYVVHPNQVLAVTVDHGLRPESADEARHVASFATKYNIPHQIHRLRWDDIPGK LPRSQLQIQARLRRYSILGDVCTREGIHGLYVGHHLGDQLETLLFRLGRGSGWSGLAG MPAWSLFPVPHPTFSRDLHVVRPLLAVNKAQLKATCNRFGQEWVEDPSNDSEDFDRIR IRKQVRALGALPGSDALEHGLALLQQHAREAHDDLQLAVLTGIVRDVGGKAYPPRVSS VALLLASLQHKTLKRSTTLGGCLVRKKRGCVAFEPEVPQHTLHTTLP H257_10011 MAGLSQVTAVTASAFRQLMESIPKTHRSGRVAIALSGGADSTAL LMLLREYVVHPNQVLAVTVDHGLRPESADEARHVASFATKYNIPHQIHRLRWDDIPGK LPRSQLQIQARLRRYSILGDVCTREGIHGLYVGHHLGDQLETLLFRLGRGSGWSGLAG MPAWSLFPVPHPTFSRDLHVVRPLLAVNKAQLKATCNRFGQEWVEDPSNDSEDFDRIR IRKQVRALGALPGSDALEHGLALLQQHAREAHDDLQLAVELLDSFLDDPLMFDELAIR VLTGIVRDVGGKAYPPRVSSVALLLASLQHKTLKRSTTLGGCLVRKKRGCVAFEPEVP QHTLHTTLP H257_10011 MAGLSQVTAVTASAFRQLMESIPKTHRSGRVAIALSGGADSTAL LMLLREYVVHPNQVLAVTVDHGLRPESADEARHVASFATKYNIPHQIHRLRWDDIPGK LPRSQLQIQARLRRYSILGDVCTREGIHGLYVGHHLGDQLETLLFRLGRGSGWSGLAG MPAWSLFPVPHPTFSRDLHVVRPLLAVNKAQLKATCNRFGQEWVEDPSNDSEDFDRIR IRKQVRALGALPGSDALEHGLALLQQHAREAHDDLQLAEQFLREKYVIVKSPVAVELL DSFLDDPLMFDELAIRVLTGIVRDVGGKAYPPRVSSVALLLASLQHKTLKRSTTLGGC LVRKKRGCVAFEPEVPQHTLHTTLP H257_10011 MAGLSQVTAVTASAFRQLMESIPKTHRSGRVAIALSGGADSTAL LMLLREYVVHPNQVLAVTVDHGLRPESADEARHVASFATKYNIPHQIHRLRWDDIPGK LPRSQLQIQARLRRYSILGDVCTREGIHGLYVGHHLGDQLETLLFRLGRGSGWSGLAG MPAWSLFPVPHPTFSRDLHVVRPLLAVNKAQLKATCNRFGQEWVEDPSNDSEDFDRIR IRKQVRALGALPGSDALEHGLALLQQHAREAHDDLQLAGTLRYPAACLYMFYLMQWV H257_10013 MEELQKKKEELLRAQRENADKFNAILNGPGGLNETSRKMCKNLE AAISASKKPGYFMYFEQPDVVKAVVKNGELRKLQTMIVQLQQKIDQVDVEIANHSKGL ASHTTGGGGRETDIHSLKQWLSTYGTPKPVSSGMMTCFSANPKVYGGTEHYSAFKSQS TTMKKGRITK H257_10012 MPIISIKARQIFDSRGNPTVEVDLVTEHGLFRAAVPSGASTGEF EALELRDGGKAYLGKGVSKAVNNVNSIIAPALIGKDEKNQQELDVYMVETLDGTKNEW GWCKKKLGANSILAVSLAVCKAGAAAKGVPLWQHIADLAGNPTPCLPVPSFNIINGGS HAGNKLAMQEFMILPTGATSFTESMQIGTEVYHTLKKVINKRYGLDATAVGDEGGFAP NIQENGEALRLIQEAIELAGYTDKVKIGMDVAASEFYTGKDDARYNLDFKNENAADTD KISADALIAVYQSFIEQCKDHSPIVSIEDPFDQDDWASWKKLTAVVGDHVQIVGDDLT VTNPTRVKKAIDEKACNALLLKVNQIGSIWESIEAVTMSKKAGWGIMASHRSGETEDS FIADLAVGLSAGQIKTGAPCRSERLAKYNQLLRIEEEMGDKAVYAGNNFRNVDKLGKY STF H257_10014 MSSISFLMQQFARAAPPQHVSGRAARGLFAGRDKRFGNNVSFSQ RKTRRAWKVNAQHKALYSEALDEKIPLHVTAHTLRCVDKAGGLDNYLMSITSMAELGT KGSLARYRISEALRSARLNPAGEVVETATN H257_10015 MSFWLIGAKSKFARGPASMATSFGDVRVLGHLTEEYAQILTPDS LRFVAYLHDTFEPRRQALLQDRVARQTQLDAGVLPTFLPQTKYIRDGNWHVASIPKDL EDRRVEITGPVDRKMVINGLNSGAKCYMADLEDSSAPTWATVVSGQINLRDAVRRTIT YEQPGTGKQYALNASTATLLVRPRGWHLDEAHMTVRGAVVSGSLFDFGLFFFHNVHEL RLRGSAPYFYLPKLEGHLEARLWNDVFVAAQQYLAVPVGTIRATVLIETLLAGYEMDE ILFELKEHSSGLNCGRWDYIFSFIKKLRAHASYVLPDRSAVGMTAPFMASYVKLLIET CHRRGAHAMGGMAAQIPVKNDPALNDRVMAAVREDKLREVRAGHDGTWVAHPGLVSVA MDVFDAHMPTPHQIAKKGEDVSVQGEDLLEVPTGGRITVQGLEENVDVALVYTEAWLR GIGCIPLHNKMEDAATAEISRSQVWQWLHHGQSAEYEHGEKNVITKPWVLEILDAAVA RHVTTTSPHKFELAAEIVGKSLTSDSFEDFLTLPCYPHITSFA H257_10016 MQIAHSLAKSGKTVNSDVYLDAVLHKVVPAIKAKFPRGRLQHGV VVQQDNASPHRCVTTDMLRTRGVEGISIANQPANSPDFNADYVSAELKASMSSNGGAS TFGVADKTLARRRYFREKQRAHRRKLNSDGAIVKAQIEHLQPILDGLQASIPPPSMAP REASDGPLSWHSIAIVFKREAHRVLTDRQSLITQTQEYQSLTKAMQRFVMMNIPSPMS RSNHAWHSATLAADPSARNLGKEWLTQHMYHNIHEPFTLLPAASYHDDFFKFDIQASD DSDPITGVERMQFSWPGTVQMFRRLVASNMWPAMLFNSNDMVVEETTPNTRLFRTITP KGIFVNSLQGHFVEANRFIMVMRQVEHDEAHLCDPLHKQQHNMSWTEVRQVSPTHILL RVVSHVSHIFRPGGGFVSVDEFAALRGVDVTGIQDDQKDAYVRRELIRRENSDFVPWV QGFMGLMHQCATN H257_10017 MASSTHLPKAPTSKIHEFESDKENFPPCTKVGATKDSTRLIASK PDKAVSTPCNLKGSWSIEDDVKMRSLVYEYGTKNWNEIASHFTHKSGRQCHERWKQMG STTMLETPKKAASGYAGNFDFTKALAVIKKNVASGSAVKSTPTPSSSQPFRGRNQFPG ILGKATPPHPLSSHAPYSASPRLGKVNKLHSIANKLKIRQELLSAEKVVTPKHHKNQR PMSPVHATPQKQRNKAMLGPWSTLYGVDADDIECVTFIKRPPPPPPGYMPRRVVPELK RRRQGHELNSQMSTGNVPWMHWSGEALERSLIEMIAWRYDQPPSSQLGQFAAVNRAIK KRRRSSPTTTAS H257_10017 MASSTHLPKAPTSKIHEFESDKENFPPCTKVGATKDSTRLIASK PDKVSTPCNLKGSWSIEDDVKMRSLVYEYGTKNWNEIASHFTHKSGRQCHERWKQMGS TTMLETPKKAASGYAGNFDFTKALAVIKKNVASGSAVKSTPTPSSSQPFRGRNQFPGI LGKATPPHPLSSHAPYSASPRLGKVNKLHSIANKLKIRQELLSAEKVVTPKHHKNQRP MSPVHATPQKQRNKAMLGPWSTLYGVDADDIECVTFIKRPPPPPPGYMPRRVVPELKR RRQGHELNSQMSTGNVPWMHWSGEALERSLIEMIAWRYDQPPSSQLGQFAAVNRAIKK RRRSSPTTTAS H257_10018 MTIVFGWRHTGTNSVVPIATAMPASTEWVAFGKATSLFTLDLTE FLVTMDTYADRIHRHYDDGGHLRPTTPQGQPVPVRHKLPPLLSSKSKRFIRRPLIGNS TDRTERPPASHSITPSHTTKPTTSNWFWGTCSECALPGRISCSDCNILLCLSHGASHA VTHLHPPSTLSNQQDESLGQQGLGGPNSGDKSTTSLQLKPIPRITNRKTESCRVM H257_10019 MTMGYAKKNRRHGKEDSDRMTLTDGDSLEDTARVEPTTSSSRPS LETSSGDDRVASSSPPTVGSPVQGEPLHSTHASDAPMPCNANEDEHHVVSIPPRVQVT TGTSDTTTVPVDLVECDDTIDEDDYNFRLKYRTEMTWQLVYFLIWNLLARHSWGLWIE FAGVVLAYSVVGVAGSLNPKRYPASWCLWSVRCYLWLNPLVQVYNALWFGRLFFLDNV FWFTYVTMLLNFGVLYCGYVSASEYYDNDLVHFMQRHFAASPSSGPKVNGDTDSSVAV DVGMLHLN H257_10020 MAAPTASTTKAALRCRCLGVEVKWRVSDGTTVKEGDVVLDLVAL DKTTSTAVWSPAYGVVRHHPEPTNASTDGLEADIGWIDICQHDVLTSNRLMCQICLKR FEDGGANHTVKVIMNDGKAMQVSHTQAKKLDNDNIARMFKTEKLTLVLDLDHTLLHAV RLEDVVDPIESYVDILHFEIPGIPTPHVLKLRPGLATFLSDLAAMYELCIYTHGTRKY AEKIADIIDPGRKLFGGRIISRSDTPDIGHKDLKFLFPSCDDSMIIILDDRIDVWRKN YENVFIIEAYHYFNTRAEINNASGGGGGKGNLASVPKEDTHLQKTYRVLQAAHSRFYQ PGADEEAQIRGQGRSVKRILYDLRHQVLADVHIVFSGVIRLDRPPQVDYLWKLALSFG AKPSMTMDDVPITHLIIDPRRLGSKKFMDAIAMKHVLVVNPQWLVDSASEWQRQDEAK YAVSSSSQRPPSFAADTDVKLEQSATVKFEGETATRTDVPVRPTLLASTPENATESEE GRGGDGREEYPPATLYDEIDASIDAESRLQETTTAVPNATETPAAVATSSRDGAAGTT GKPLVKGILSSPASIGVHKAKKSVRFAADVKEPSVQFSNLPKRSRGVQRKGGPLPARV TPKGVVESGGSLEFVTNLVSRKPRAASNVAPPMFNRVVVAPALASKRVETNDDDIFSR LAHLEEEEEEEDKPVKRKQSALFQDLEAAKKAKTLALQQATDDADDDLDDLDDELFS H257_10020 MDRHMPTRCPYIESLDVPDLPETIRRWRRQPHRQSDHERRQGHA SESHPSHFALEKLDNDNIARMFKTEKLTLVLDLDHTLLHAVRLEDVVDPIESYVDILH FEIPGIPTPHVLKLRPGLATFLSDLAAMYELCIYTHGTRKYAEKIADIIDPGRKLFGG RIISRSDTPDIGHKDLKFLFPSCDDSMIIILDDRIDVWRKNYENVFIIEAYHYFNTRA EINNASGGGGGKGNLASVPKEDTHLQKTYRVLQAAHSRFYQPGADEEAQIRGQGRSVK RILYDLRHQVLADVHIVFSGVIRLDRPPQVDYLWKLALSFGAKPSMTMDDVPITHLII DPRRLGSKKFMDAIAMKHVLVVNPQWLVDSASEWQRQDEAKYAVSSSSQRPPSFAADT DVKLEQSATVKFEGETATRTDVPVRPTLLASTPENATESEEGRGGDGREEYPPATLYD EIDASIDAESRLQETTTAVPNATETPAAVATSSRDGAAGTTGKPLVKGILSSPASIGV HKAKKSVRFAADVKEPSVQFSNLPKRSRGVQRKGGPLPARVTPKGVVESGGSLEFVTN LVSRKPRAASNVAPPMFNRVVVAPALASKRVETNDDDIFSRLAHLEEEEEEEDKPVKR KQSALFQDLEAAKKAKTLALQQATDDADDDLDDLDDELFS H257_10020 MAAPTASTTKAALRCRCLGVEVKWRVSDGTTVKEGDVVLDLVAL DKTTSTAVWSPAYGVVRHHPEPTNASTDGLEADIGWIDICQHDVLTSNRLMCQICLKR FEDGGANHTVKVIMNDGKAMQVSHTQAKKLDNDNIARMFKTEKLTLVLDLDHTLLHAV RLEDVVDPIESYVDILHFEIPGIPTPHVLKLRPGLATFLSDLAAMYELCIYTHGTRKY AEKIADIIDPGRKLFGGRIISRSDTPDIGHKDLKFLFPSCDDSMIIILDDRIDVWRKN YENVFIIEAYHYFNTRAEINNASGGGGGKGNLASVPKEDTHLQKTYRVLQAAHSRFYQ PGADEEAQIRGQGRSVKRILYDLRHQVLADVHIVFSGVIRLDRPPQVDYLWKLALSFG AKPSMTMDDVPITHLIIDPRRLGSKKFMDAIAMKHVLVVNPQWLVDSASEWQRQDEAK YAVSSSSQRPPSFAADTDVKLEQSATVKFEGETATRTDVPVRPTLLASTPENATESEE GRGGDGREEYPPATLYDEIDASIDAESRLQETTTAVPNATETPAAVATSSRDGAAGTT GKPLVRVCI H257_10021 MMIQAIFYSEFDNIAGPQIVYQAPPNALSNEVFDSVSGYIIIDK ALCGKIITVCPQGIKIVGYPVCIEDDKYHRNALLFNIGFVFDKDADAAPYKPILRKLG ALMESMEKEMGFLSKEASKATLSTILPSILHDLTMYGESTTTIDTANIINLKVFQKLP PPPNVLDHQVPVAIRDLHDLLKHSVEWDLALQKIVPHIDGVNYVKRIAIAAEVDIAIV KSCLRQLLYYRCITMIDIFMHSNNYATTPKLHSFFNDPLLQQECIEYISHANGPPPPS FATVFSLYCALQPNTPVAHVWTSHQELLVHVDVRRLITFGLVHGFLRRIHRYPVIIDR LSSRPAQMLSSSPSTMNLQAANNGNNAVMNIRKAATPHHGGGSNAVRTALVDREVVKK MMDGQHHTDEICCTHMIRYSDLEKVLEGEAYCFVLK H257_10021 MMIQAIFYSEFDNIAGPQIVYQAPPNALSNEVFDSVSGYIIIDK ALCGKIITVCPQGIKIVGYPVCIEDDKYHRNALLFNIGFVFDKDADAAPYKPILRKLG ALMESMEKEMGFLSKEASKATLSTILPSILHDLTMYGESTTTIDTANIINLKVFQKLP PPPNVLDHQVPVAIRDLHDLLKHSVEWDLALQKIVPHIDGVNYVKRIAIAAEVDIAIV KSCLRQLLYYRCITMIDIFMHSNNYATTPKLHSFFNDPLLQQECIEYISHANGPPPPS FATVFSLYCALQPNTPVAHVWTSHQELLVHVDVRRLITFGLVHGFLRRIHRYPVIIDR LSSRPAQMLSSSPSTMNLQAANNGNNAVMNIRKAATPHHGTYDDNPRPMFPYMPSGGG SNAVRTALVDREVVKKMMDGQHHTDEICCTHMIRYSDLEKVLEGEAYCFVLK H257_10021 MMIQAIFYSEFDNIAGPQIVYQAPPNALSNEVFDSVSGYIIIDK ALCGKIITVCPQGIKIVGYPVCIEDDKYHRNALLFNIGFVFDKDADAAPYKPILRKLG ALMESMEKEMGFLSKEASKATLSTILPSILHDLTMYGESTTTIDTANIINLKVFQKLP PPPNVLDHQVPVAIRDLHDLLKHSVEWDLALQKIVPHIDGVNYVKRIAIAAEVDIAIV KSCLRQLLYYRCITMIDIFMHSNNYATTPKLHSFFNDPLLQVRVLLTRGVYHACSCSK SASSTLAMPTARRRRRLLQCSRSTARCSPTHPWHMCGRRIKSCSCTSTCVG H257_10021 MMIQAIFYSEFDNIAGPQIVYQAPPNALSNEVFDSVSGYIIIDK ALCGKIITVCPQGIKIVGYPVCIEDDKYHRNALLFNIGFVFDKDADAAPYKPILRKLG ALMESMEKEMGFLSKEASKATLSTILPSILHDLTMYGESTTTIDTANIINLKVFQKLP PPPNVLDHQVPVAIRDLHDLLKHSVEWDLALQKIVPHIDGVNYVKRIAIAAEVDIAIV KSCLRQLLYYRCITMIDIFMHSNNYATTPKLHSFFNDPLLQQECIEYISHANGPPPPS FATVFSLYCALQPNTPVAHVWTSYGMKMRSP H257_10021 MMIQAIFYSEFDNIAGPQIVYQAPPNALSNEVFDSVSGYIIIDK ALCGKIITVCPQGIKIVGYPVCIEDDKYHRNALLFNIGFVFDKDADAAPYKPILRKLG ALMESMEKEMGFLSKEASKATLSTILPSILHDLTMYGESTTTIDTANIINLKVFQKLP PPPNVLDHQVPVAIRDLHDLLKHSVEWDLALQKIVPHIDGVNYVKRIAIAAEVDIAIV KSCLRQLLYYRCITMIDIFMHSNNYATTPKLHSFFNDPLLQVRVLLTRGVYHACSCSK SASSTLAMPTARRRRRLLQCSRSTARCSPTHPWHMCGRRTE H257_10022 MAILQYSEQLANMTQQPKRAKSDNEATPVAIVTGGSKGIGEACV EKLLSQGYDVYNLDIVPSKVGNFVEVDVGRVADVEAAIASIATQTGRIDVLVANAGVY LSANIEDTTEEALDRIISINIKGAYAAVRAVLPSMKEQKKGSIILMSSDQAFVGKKTS FAYNLSKCALASMTRTTALDYASFNIRANAVCPGTIDTPLYRREINLWAETSGTPVEA IHQSMGSLQPLNRVGLPVEVANLVAFLASDEASFITGSLHSVDGGFVAQ H257_10023 MFMPENSFDDDGRKGRGIDLVKDVDDRLSVGDKPRSNTRELHPN MLDDDDIEEIGGPAGSRSNVIQQQRDLHKKKMQERMNGGAVRSAGARQFSAPRPTDVD DKPSRFGREDDFLDDRKATATKKTPSSRRFDDDDDVDEDEDVGHRRTAGTQKKSGGRQ WRDDSPEDAPMDRTSRTRRDEPVTSRSSSRRPKDDADDDDDNDDDEDTDRPSRRRGDA PPSREKDRSFSRQDANDSWVEPKKGSGRDSTRKDKGSARDRSSSPDLSSEEDGNDEGV VSMLDDKKAASAQKGTVKKGKLDLTDMKAFLLRPVPKAYDVVECYIERNRTGANKLFP EYCLYMKDGDRFLLTAKKRPNNRTSNYLISMQRGDLSRKGSENFLGKLRANFIGTEFV IYDNGLNPKGADQHTLTVNPATIRQELGIAVYAKNVLGHRGPRKMRVCVPRVREDGTR VVWRPTTKEDEMVNKCKEQDHTNLTYLINKPPRWNDQVGAYVLNFNGRVTMASVKNFQ LVTPEDQETVILQFGRVGKELFTMDFRAPLCPFQAFAITLSSFDSKLACE H257_10024 MATATATLGRLACQKNSFLQELSAKVVSCVKAASTNEYDIVFDD SVLFPEGGGQPGDVGTLHRSTDDQAIPVTKTFTHEGNCVLRTDRELQVGDELLMRVDW PRRLDHMQQHSAQHLISAIAKRTLDLNTTTWSLGLTRCNVEFDSPTITPPQLGALESA VNDAIAAARPVTAHYADESSDIREVVIDGLDNNPCCGTHVEHTGQLQAVKFLHSEVAR GGTRLWFLAGRRVLSEFGLMFDRERAATKLWSCPPDEHIDRLVKLLQQQSVAAKEIKA ARLELAGLVASQLASSNGSAVLHRDDADAAFLSAVSAAFHAIESNKDKLLFVTGGTTT DGCFLLTGPPAIVTTHGKAVATLLEGKGGGRPGVYQGKGKNMANVPAAQALVLDRS H257_10025 MNNLENIRRGLLSLDVGVRLKELSDLYKHADVFLTPQLPRILDV LFPVFTMIMRTQIPPQFTNNDKNRCRKLTLQILNRLPYNEALKPYASRLLHLLMEVLT VDNVDNCLIALKTIFDLHRNYRPGLKNEVQPFLEQSQKMYKNIQNIMKKQFSGPPEPP LASIGQPTATTVVTPVPTAAAPVPATTQPLDPTVATTTPVAVESAPTVPALEVDPNEI IDDPMMSSDELASTSIPPVDTTTSAIPDTTLSTAASADVSMESSTDIISSEVPPTAIG AGGHGTTIPDDVTSTTTSATTTAAAAPSSDVALCSGIESFKTMSEFPLIIMLLFQCYP NYIENYIPILVPLMMSTLSLRCPEHAPKLYPTKYVDFLDSQVKTLSFVTYLLRGFANL MRPFQDTICDNTVKLLMACPKDAFVLRKDIFVAARHILSTDFRRGFYGQLETLMDDDI LIGKGRCSYYQIRPLAYSTLADMVHHVRDMLSLGQVSKIVSFYGKRIHDPTLPVPIQT TAIRLLLNLVDISAKNEDADGWRGRNILSRILLTIATKFGTTLKSLPVVLSMASSSSS SSSDRSVDPLEGGAMDKIKQSKLVPQPDEPLSAVDAALKKLLAPYENMQRSYVGLPEE EPTLRDVKSLLRTMILGIRAVIWCTANYRNPHAKDLTSMDATSTHPPTPFDLATPAAS SDTQHLYPLTDDERALIAKVLQNGLRCFILYTLSDSSVAEEKQLLDHFAGACTVLDAA DFRDLFLANIELLYHCILQDHAILTVPQHFLANANVSCWFAEILLNFLVTQMDVLGVD VDGDVPDMDRAEKLVHITSLTFERMRVVSQAQKASIVLRLFKIVFGSVTLFKANEVAL FPHLKTIIVSCLSNATHTNCPDNYLLLLRALFRSISGVKFENFYKEVLPLLPGLLSAL TRLQTHIQKPAMQEVLLELCLTIPARLSSLLQYLPSLMKSVVQAILSKGELANLGLRT LEYWVDNLNPDFLYPIMTSQERLLTEIIEALNTHLHPPPYPYGELTMRILGKIGGRNR QFITDALHLAPASQSFEGVTLEFACDAVPKTSMAIPMDLHIRHVCRLLAQFAKRHESP AADPHQTSTPLRPDGSSSSVLPPDEPADDIESNVAMLEKDDKTLALERDTVDKIHATI LHQKKHAFAFLKHQLAISLRVPSMVSSSYAHRMHPSFTGHEDHHSVADELETQFSPSC TYPSTSSKDKSAAHEQSIRIMLQALYECVADVDLTTDATTLLRCVVVHFTLVVLSYSK RNEPNPDVLAGLGKAAILPGPRGLSPGRMLGTSARIQLYREAYFGTTYFHEVPKSLDP FLVFEVLVDTLSDPDDKVVAAGRLGLQVVVDTAEATYAGDAKDTAKQAGALFNTICDI CSHACHDKSSWRHKLGGTRGLHVLIERIHVDWCRENELSMVRALLFVLSDHPPEVTAG ISEEAGDAFLSLLRKCHPVVPTTKLDERTMMDLIEETKASETLNSLGSLEFPHSTTAA AAALNPTALTDYNTELLQLLLVELLSASTPVRTYVKRAIDVFADFAGCSATALVLPYQ QPLAKQIMGTSLRLLPLGTRTGYIDAMAYALTLDPPVFTLNKELLVFLQEVWTLVSSE DPTSSSSSSSPPRPDHPPALSEGAAAGHEYPFGLNQLCQLRIAAIQLLRAAFVNDETL NQHQDARNRFVGVFFKFLTGQPKELVDCAQKALTDVVIMNKRNQERSLPKELLQQCLR PVLLNLADYRKLTIPLLEGLARLLALLSNCFNVTLGEKLLEHLKQWRDPERIIKTGIW KRGEEPNVAGAIVDLFHLLPPSDTFLDPLILCVVELEAVLPKYGSFGKRSSPYRLPLV RFLNRYAHQAVAFFLKRDHLIDSTYSLLFQQLVKHPDAGDLRHVLTSDAGTNSIIQAT LTPPKASTPPLTTPKTPSDVDDLVQAGALKAASQAIALAQAQGLTASLAEQKGKMARA AYLQKAAATPPGNMGPQHNQMATNTGLTTQTQVQIQANAQKLHAQTLTAAQAQGLSLV QAQARAQQVMKDYMSKHLQLASSSSPAAALSPQLSSPNSALMSHQQAQFQAQIQVNAQ KVHAQALATAKASGLKLADAQDKAKQAQTQYIQIAKAQAQAKMARQQLHQTSPVSAIS SNLATKPQQEALELHFQGIRVVRSLSKLEPAWLATSTNGMIDVIRKLWRSPSRVQRLL AQDRLPIRYHLESKLLVKCLIQYCRANPDDVQVLLDMLTVFLHHTSFDFSFLRAFYRD EVACRYSTANKRAIIHLFLRMLRDTAASEDLKVHAIQLLIMPLLNTSFEDPHTNNADV MDPEAVMMMLREILASKDYPQDSYQALRIELLKLGTLLIQHMSRYVTDHRKEVIKFAW NHLKAPDLTSKLWAYVNVCRFISVYDTPPKIVLQVYVALLRTYEMDSRFLVRKAFDIL LPALPTRLPAHEFIKAIKWTKKIAFEEGHVLQQLVHIWYLIVRQPSLFYPFRGQFIPL MVNSLNRLAIPPSSTQDNRRLAVSVVDLVIAWETARRQRVSDKTSSSSSKRMSDTSTS VGGTEDKGSDCKRQKLTADGSFAATEDASATTTTHDTDDSASHGHEDDFELTGAMTDL IVNFAFRFALACADKQETNRLSKTCGELFHRALHLWPSASIRFSYFDKLIAVTAEVII MQAKPTQPPETISASMPSFLSVPKGAPLASLAILNAVLGILNTLISPQVIQHSNRPVP YVVQYAPRIMKLLEPCFDRQNNEVQHHLATYLKHMIQLYPPDTAPQQLVACKFYTWLR DILIERLLKAAAVPNDPNTAAASGDPTASSSSSLGQSPKPKPQVKSESKPPSSSASPG PRRELSALNPMINHEAARMRLLFAHTPHPHAAPSSRLSCPGSYTLNILTDLGHACPKF IDLFVPALIKLAQRFTKEHLQHIVPKTTTSLEGSVGGIDVGVSAGAQSKDRVMATPTL AVVHEYMQLKTGRSTLTVNNVVRLKKGSNGTSAGATGSGVKDDKKKKAAAAGGPKDAT ANKPVAAKKRASPTMSQTSNGSRKASMDMLISCFKLLAQCTAFDAPEIGRLFVQLLSH CLEHSAHVPLLLEITKIVACMMAGAGESKTPDWFTVKDKALLLSKMATFDRLNEISAQ PLLTEYHALILKLCRSSPSDPSLNVSGPFLVGLMSSESGIRGEFFTHFETHAGGASTS PTARLKYILHQDWQACGTRFWPVVAIELLLKSFASAKVVPSHTTPAFPPIASSESNVD HHPQQSAWLTSHIQALDAWGRVTAGDVLRPLCELIHVDLDMANHLWSCLFPFAWANLH PDYMSPTQNLMRLLSMKYHRRELKLPQANAARHNVVQTFMAGIVNAQPMPIFTAELLL YLANTYNVWQQVLRICEYQALSPLTLVEDRERWADALDVIYQELNEPDWQVGLNVQVC QKPSTKAGLYLQAQGFVHEAQDIYFDTLAQTSKSVKIDATKFEMKVLEARWVGCVKHL CQWSLMNDFAKTTQNQELMLDCCWKRGDWGTAKQLLHASSIQAASEAGCPLIRLKKLY ISILDGDKKPQVDSLVSQIVDLALHQWQGLPRVLSRAHVPLLHLFHQFIEAKESLQIM ADIKVATQQHNLPNLKPSINTWRERLPNSYEPILMWDDILTWRSHMFAVVKGTFSWSD AQLLAGMHDVPWSILKLAHTARKQHLPDVCLHSLAQLYTIPAMDVQDAFSKLREQLSI CFETSKDFDGGVVILNQTNLEYFDTRQKAELFRMKALFLDALGNTHDANAAFSQSLQL CESYGKGWLSWGQYCDKLFVERQDVAFAAQTIACYLQAVHHRSTFARLMLARVLWLLS LDNDKGVLIHTFETHGKQLPIWIWIVWIPQLLMSLCRPEASQIRGLLRGLSAKFPQAL YYTMRAFFLENRELFAMDNKATDATSSNNRGLASAPPTPVASAHTPKHGTSTTTSDMM YFRTRTGHVVAVPMSHEQIADIPGLVGASRSNPSFFGSATVLTLDVWLEKVATGELQK TDVSPVQYAEDLLNFLRRSHDSLAFEMECMLEEMIVRFRPEPEDELLTAVHSLLHKCY QLPSFSKTEPVPKMLKDTLNRVCNKFFVLQPHQKSEKHIAFVDEFKDPFETDFMLPSF DRPTDDTQDDALSEPTLSQIMDRLKVWKYVLQCRVRRYGKRHADKLYLENSSRHLVEL SSTCVEIPGQYLTNHEPIKELHARLQYFHSTTDVLLRNGYTQRRVTLGGSNGASYSFL VQYAMTYMTRCDERVMQMHLLMNRLLSRHKETKKRHIVFHVPKVIPLTPRVRLLEDSA TNVSLGEIYELECQAQGKDPDFPVELYRQKLSDVGDAPAATVKLAIFNDICDHHVSET LLTKYLHRMLPHFDDLFQFRTAFTTHLALSSFLSYAMHVGERTPHKLIFSKQTGHVVS CEVRPGYASSSGVVEATCTMPFRLTRNVHTFMTHSGVEGPFTIAMAAVAQALTSHAHE GIMTNQMRLFFRDDLLSWHTSKTKSRLEHTHGDTHRRIMQEHQVPQRVDANVKAVMDR MSALAVKPTSHTTPSPPSAIQQLIATATSADNLAQMYPTWFPWL H257_10026 MWAKRSSSIVVGNHSMPEQMRQRGRYRDEKWRRRFRIDYELQVE RLRRTLGWLFNQFEVIPLLMLGVVIMYPPRQRITGMGFFSLGVVSMIALDYRFRKLVA TKDKASAVSLVGIVLVCAVESFAVAAFNGDVSPFHTLFKPIQDPTDDGATIVKAVMVK DLVLRLASLGIKAMIGLVGTRASTATSYRRQQRMYEAIEVLTLCVRSIVSTFLWVIYY QSVHLKLSAQVLYVGVKGFVTARAVAPYHLKFGSPIAECCICFDAIPSPRACAHMLCR ECAVECDNNDRVASCHHCRRGPATKHTSCCHPKYGGSSPLPEFF H257_10026 MTSIVITCRDVVERLRRTLGWLFNQFEVIPLLMLGVVIMYPPRQ RITGMGFFSLGVVSMIALDYRFRKLVATKDKASAVSLVGIVLVCAVESFAVAAFNGDV SPFHTLFKPIQDPTDDGATIVKAVMVKDLVLRLASLGIKAMIGLVGTRASTATSYRRQ QRMYEAIEVLTLCVRSIVSTFLWVIYYQSVHLKLSAQVLYVGVKGFVTARAVAPYHLK FGSPIAECCICFDAIPSPRACAHMLCRECAVECDNNDRVASCHHCRRGPATKHTSCCH PKYGGSSPLPEFF H257_10027 MSPAFLADRWASSPKAIFLEADALDTTSFRAVIILGSIALFVVA AFITFLGYKHFSTALVLQGAILGGYMGWYIGSAFADSSETKSVMVHLSVAIVLGLFLA VFTCCMKGVMRFLFGFALGVQIGSVANIVWLHSLTLGINESNPNNLGYVVMAAVGLVL GALAFVSGRKGHIVLTAWVGAYWVIQAVGNFFGKYVVETTMCSLFVLPSGSCIFCSFP SLFYPFPQDARTATVSTSYFIYIGAWVLLAVGGMATQLHLTTFDSNYHDMVDPDDADD ISLEKDLHKSHTPYKNVQDVSV H257_10027 MSPAFLADRWASSPKAIFLEADALDTTSFRAVIILGSIALFVVA AFITFLGYKHFSTALVLQGAILGGYMGWYIGSAFADSSETKSVMVHLSVAIVLGLFLA VFTCCMKGVMRFLFGFALGVQIGSVANIVWLHSLTLGINESNPNNLGYVVMAAVGLVL GALAFVSGRKGHIVLTAWVGAYWVIQAVGNFFGNFPSLFYPFPQDARTATVSTSYFIY IGAWVLLAVGGMATQLHLTTFDSNYHDMVDPDDADDISLEKDLHKSHTPYKNVQDVSV H257_10028 MLNSPSVLLSTSNANVIIVDANTLHTIVVACSVLLLLVNLFVTF LGSKFFSTSLILQGGILGGFVGWYIGDAIADSIGKHTPVLNLITAIGFTLFFAFFTCM LRLFMKFLFGLALGVQIGSVLNVVWLHNIDSPINRANHNTLGYVVMALLGLLLGLSAV FSGRSSHIALTAWVGSYWVVQSIGNFVGNFPPTFYPYPNDAPSSVPSTFYYYIGGWVA LALVGILVQAHLAALDPPGNAALDEMDDLQLEKAYQKQMSYKQV H257_10029 MSAALGSSDKGVEELSQNDWLAIQLPKEILSHGGKLAHHFRTLA LDAQDAVKRDVLRRFDSLKKESTKEFPATLFEGEDLDRIRIFNSKDTAELKSFQTSTP SAVLRELQLCQTPTSANNHQVTCFQTSYCFQRHLDLLARCVHASSRPDPNANTKYPVS AHDPAIFPEKLFSLASCRLQLEMLSSFRQINPTFYRNGMHILIRTVLECPPQALQHIA PKTAEAAMLQSMFEFCTHVIQDSSSTPPEKLSALSLLLALGESSGLARHLLVVVESLL LNRVGADFPDDTFASQVTDVVARFEAYRVTFDLGSISAKTIKSIRLQEDDDSVCGTIA SDGKYLYAFTGQGLSKLGTGYRGTILGNVYATQPLDTFMEWLGWDASKEIPYFVYVVL VQQKLFVWFVRRQVDAKMVPFLFELSVDDLDMVARDCDDAGTVDLIENATNVEFCSDG LHMFVIATTSSSLSWRKLDPADNWACLQHETLDESHVGKEGRDMLTGEMLPFFYTNGH ILTGTLKKDKKLKELSIALATSVVQYTEYESALTPHAICFDAPNNLLWSTSAKSVQCH ANTGTQVILRPARVLNPALVAAIQPQSLTSRVVGLRLLSHLESIVDAYLPDDINHMDV TGHAAKIVFAVDVDESTFKSLMKMVSSFADAAGALTEWQEYVVVVCLKMLSLNTLHWL VHKTHAIADVVLKLNFPAVLKSLIATSSNSHIVDAARMLFVLSIDIFHTTISAQWQLL VSYIDKFHHKTLAPEERSVVTLLLDRLASKRKTQDAVQEAATADFDGMHWFEKLVQLS VANMSLQVANDEDKSLVEVGEKLTHLVHSVVHGVLGGVWGHILPVSVLLDVFRIVLNG CIDMCELDGTSTDLLESSVLGQVAASLVGFTQTILVADGQLDNRDQWEVAALTDMTRQ VLSLLEKLVPLVASVHSDDQEMSIDVQYVGKSSVDMESAHEYANDTHELKELRLDGAT SMTITFDSRCRTELNYDYVTFYHDRSCSAYYGDEKYSGRDSSFNWPGVGSIPPLVIES DSCVVLFHSDGSTVDWGFKFTAVANVSENNVSLHLHWLVAVEEQLTNLLSTVATLGST WMPLDAALETDQAQFLDNDLLHGGVDDATDDDTEVSAFLRELIELNTPDSPAAHVAKT LKQHTIQDQGAVAHINRAVRAVAAAMLHHNMSSVDAYALGQGRASNPNPALLKAWKNA QKMRNWFDVGDAKRPTRHPDESSTSPPSSTKPKLTRQPSAYVGASDDSLRTLCANVEE RATFLLSLAPASFSLATASDDPTGAKKRWNLLAQYGTALKQGDTNAAAILKKWHLLVD EVEAATELKRKMLYRKQSAGRYQGSHEKTVTELVLEFVQSDVVVSDLAAAVQLRNRRA QFRLLTLTILFRTSEISRNGRLLHTLVERVSTSLSELDANKVHFSTHTHGCSLSLRNN LRGEFAKVLQVFAKVLRQVNSTPPLVGSVLKCIAMDYDIKEDAHLLFQSSIVPAVFNL LLSAHPVVRNAAQATARVLLERFMVKEKESHVQKLLCTRVQHYVDQVHGSVGGAGVFL PQQASFFTSDAASFVSLGLWVYVPRIEWRPLRQGDLVVVGPQWTDGPQAQPTGVVLAV HGNDISVEWHAQGAQKAKKGFHKYDVASTVLEVIPADQDPRGQILLRTNHTDTPWGQL CLRLTTEVKLEASVSLGPSQSTTIIGTDPIPVDTWQYVVLNRENDVLQMTIQGEVAAQ VPLCDVLKAHGPTHPRYVVESAHPFHGQAEQWSFFPVSIPNATLVRITFDAQSNLTQA SNYIAIYSDVTMEIAYGENMYNQAFGNFPGVGDNTGLEIPSGDFVVGLYTEDTSELWG FRITVQVVESSTLDFNNANNNTPFQVYFGESPSRVSNEKAAACYIEDFKLFDTAPPSG CPKATPPPPPHFELEVHASELCLHALSLVNVCLVSQNEYGSQVISPSASLHHVLDLAF SPTLPVSVRCCATTVVSRLLSNAQVDVADLDVYIAKAFATLASTVDPWANKPSQLFRP SASDAMWLSTCYAAFLRACCHQIDWGGSISTTLMQKLTDPHAFDQRLAALAVLGGTVD GVFVGSRVSCLVKRDAVEVGTLLKFELVGGQRMARVLFDMDMTKVDSINLDKITMANK RTPLYLTTLYDRLSPSMHALVDVLHGLNVSASSPTQQQQHLEAQSRLLKAIYLLIQHQ PQASVIPLLRPALTELALSQHDGMLLGVKPTQQVFESRHPYRDGQDVYETVFVKNAKS LRISFDAASRTEQGCDYVTFYKDSSHSQRWGDDTYSGRDGSENFPGCGGRPALDIPAD SFVLFWHSDSSNNDWGYKFTVEATYKDIPASSFSTGELNQRMYHLSEVMYEQQQHQPP IKSSVAPVDLKGAANNVVEVVQPDQSTRSQDAFRDFNHEKPIVEWTVEVPACTVYETQ ATSSAVLATLSRGDVVTAVEFTDDGWVHLDHGEVLSGWCQLTEPQSLRQVASHPYLEF STRLPGWDAVYTPLPKPDELTTPKRDDTDSFESHFNAETIAFTSADASPSILLAEFSQ SATVQYAKECLRSYVSCGLHADDLSVATFGQLAQLFALEKTPIADTLGDRLKALASQP EFAAEIVSLHLSAAAAVTQSLPRRQTFAKVVQDMNRDSIETIYFPGASSIRIEFDKDT HCHETDEFVRVYDKQGVVGSKYQGPKGGGWPGVGTAEPLVVDSDTAIVQFEYVSDTGA TRLIRFTAYGEYRRDRAPSPPLLPPDFLDVIQLSLWVFCLVAPSPAIPSTSLAAIVDM SLQLYQVMPERIQAHVIALWAALLTNPSVFNALTACQIKAWVTFVKNKLRLQHESDLR RQSILLQRLVQTVVDMDMHLNEYLLHIEALSDTFTNFEFESGSTLRTNITAGPVLLRT TQGVSSGRHAWDIHIQNMTRRVDMGIVAHASDAFLIAFPQDVPLNQGDVVGFELDLHL NVVTFKRNEAVVGSQPVGVVTSSLYPAVVLHDLVCDRVHITKQPPLRAQLWPSIAQNP PWYNKLVSSVRLLRGLNAADSPSTIHISSPDSEGSIPGAEKLHVHVRPSSVLAPDTLL ALTNPKTNLSSVLVESANHLLAPSPHLEAQIAPTDMVLTLVSRGSDWQYGNDDGAPGN VGVVLSVESWQGVAKSGVRVQWLHNDTSAIYRYGYHGLYDVQAIPAVEAMNSNAVVVD GDAVQIHTKPKPQTFFKGSLHFDGTQQVHIPHLPSLDRDFTIQLWVKLDKVEASSIFH IASEDHAWWMGFSLTSSHQLTFTICDKSEEAMSVTTSTDFERGGWHRVDVCACGSMIA VHLDSKLLGHQRLASKLTLESSACRLTLGANPSTSVQKLHGHMFGLRIWNAPLHLRDY SEFFINDERHLIDECPTFDQLAIQSSVQIPPRYSASVEAIPRAIVAKSQALSAMTFDN QSMHFASLRTKYLGIVSPTTSTHTKVYYEVTLFTACCVQIGWSFKECAPSGATSGIGD CKLSFGLNTVAQSKWHGEREHISEVPWQAGDVVGCLLDWDAGVMTFSVNGRVLDNICF TRTSSSGSGGATQEINSPTASMLGGLWGDEEEEDEGDVVNHDDVCDDNANDTAATTDA NVEAAGNERNGARPTVVNGDTAVDNPANIPHNVPDEIAWLTSNVTVDEPAALTESTAP STTDQVEVELEPTAELPDTDNDKPTNSATTLTTPISDNAWLQHGGIYPSLSFRSADGV RWNFGHTPLLHCPPGYVSIAEATQFLDRPVEFEKYDFEETKWQDVAFRHRVNETKPAL VGEWLCLDGLGDKVADSSSHKRHGHVAKEAWTSDVLAPAWNSEVGYALTIRPVFVKAK SLLSYPSLFEPSPVPGRQKEYLEIVRYVNSVCTARSMSLHGLLTAKWSSLAPDADALL RWPSLAELTEAKADVSGLFQVLVEFNTRIRDTLGFVELTSSTSRLATLVSSARGFIFG LVKHELWDMVLEQTKAPTSPDMALTLNRPKASRWKPAVGSSADFNRFALFAQAYRETL NWVPSIYCRTNNLYVVTFLGENSIDAGGPYRETLSQYCVELQSSQLPLLLPAPNGQHN VGRHRDAWVLHPNAHQHHAGMLVFFGKLLGVAIRSKYCLSLNLSQVVWKLLVQEPITL DDLEAIDTLVVSSMRSLRTIEQSGVTEEIFADIMHETMTTLSTDNRMVNLVDGGDSIP VTFANRHAFADLVESYRMHEFDVAAGFVRQGLGMVVSLRLLRLFTWAEVEVLVCGLPE VDIDLLEKCTEYSGCHATDQHVVWFWEVLRGYNQEARQAFLRFVWGRSRLPRSVQEFQ SGQQFKLQAFERHPADMYMPVSHTCFFSLELPRYMSLEVLSSRLTYAIYNCVAIDGDT NTMQANQLGWED H257_10030 MNRNPRRREPPPSSSRLRITIGKLDEKEAMHTPVGTATPKTPRD ATDGFSTGIRLMSQGVASGISSFVVMPLLGAHEDGLYGFAKGIVVGSASAIAAVGGGT VTGVGQILWGIANTPYAIMCSHEDKVWNPDTHEWYMYRLDDEARRLLTSDDDQFSSKA TTCVKDTKLYDVLGVRFNATDAEIKKAYRRLAIQWHPDKNLTDPAKASQQFQELSSAY QVLSDRRNRAAYDARGHDTTTPTFTDDQLYAMTMQWVFGNEHFENFVGDVNIMPNDFF GHDEVSKRVQNRREVQCAVFLRDLLDDAQRPSPFTDKQKHANFLATVAALAKDLSSTK FGASLLRVVGVIYEEQALKHLGFRNSAPAGLGLHNIAKSARKVVSRYRVVASYISAFQ SVVKAAEVNYHAMKAQDDRMKDGDTSSRSGEQEQLLHQTFGSVLEIGWHCIVSDVEAT IRGACFKLLKDSAVSTLHRDRRAKNLLIMGEIFQASGQPPEAGLAEILNKLLQFKQSM KPPQPTSAA H257_10031 MYFTPAQKEVLIKHLRAGCNSRKECAGWRANIAAELGGVDTARI DRWFCNNRGLVSDEGLAKHRATRQHHDERRQLPPTHPDDYHPTFPRQQQMAYGVSTPQ YYATMGSPSTASGDLKRRGHESSASASQHWQQHDYISDKKPKLEHHVHARTQQQPARI MLPQLAPLSQQFKVEGGAPPRLLPGSSSAFPQTNVLRRFALPSMASMQSQSAMQQSPC QQQHTQHLPGMADATHQPQQLYPPSYGSTSYMSKHEPADNHTNPYPHHPPAPRLHGRA AVSPLFPSPSGGLPQLPPHHQQHVPLASQQQPHRRRHDDDKTDDAMVPVAKRARQSKA TKKPPGETGSNIPEKRLARYRTVASQQTQDRIARAIHQRMFLIEKQVKSPLHQTFAVL GSTGNIYTVSIEFVPACTCPDFLKGNLCKHILSVCLPLKSGFCSLSWSRFVYLKCLRV PATSPHVFQKALLTSELQEIFETASQADPTAVANARVVTHYRAALASGASPGTPDLGG VQQKSLEAADCPICFEALDDGRPVVWCKEQCGNNIHSECFGQWEKSRRATGVPLTCIY CRAKWPSPSSRGKARARDDQGYMNLAAVANLPRQRDTSTYRQQRSRWHRHDEHDFDDY H257_10031 MYFTPAQKEVLIKHLRAGCNSRKECAGWRANIAAELGGVDTARI DRWFCNNRGLVSDEGLAKHRATRQHHDERRQLPPTHPDDYHPTFPRQQQMAYGVSTPQ YYATMGSPSTASGDLKRRGHESSASASQHWQQHDYISDKKPKLEHHVHARTQQQPARI MLPQLAPLSQQFKVEGGAPPRLLPGSSSAFPQTNVLRRFALPSMASMQSQSAMQQSPC QQQHTQHLPGMADATHQPQQLYPPSYGSTSYMSKHEPADNHTNPYPHHPPAPRLHGRA AVSPLFPSPSGGLPQLPPHHQQHVPLASQQQPHRRRHDDDKTDDAMVPVAKRARQSKA TKKPPGETGSNIPEKRLARYRTVASQQTQDRIARAIHQRMFLIEKQVKSPLHQTFAVL GSTGNIYTVSIEFVPACTCPDFLKGNLCKHILFVYLKCLRVPATSPHVFQKALLTSEL QEIFETASQADPTAVANARVVTHYRAALASGASPGTPDLGGVQQKSLEAADCPICFEA LDDGRPVVWCKEQCGNNIHSECFGQWEKSRRATGVPLTCIYCRAKWPSPSSRGKARAR DDQGYMNLAAVANLPRQRDTSTYRQQRSRWHRHDEHDFDDY H257_10031 MYFTPAQKEVLIKHLRAGCNSRKECAGWRANIAAELGGVDTARI DRWFCNNRGLVSDEGLAKHRATRQHHDERRQLPPTHPDDYHPTFPRQQQMAYGVSTPQ YYATMGSPSTASGDLKRRGHESSASASQHWQQHDYISDKKPKLEHHVHARTQQQPARI MLPQLAPLSQQFKVEGGAPPRLLPGSSSAFPQTNVLRRFALPSMASMQSQSAMQQSPC QQQHTQHLPGMADATHQPQQLYPPSYGSTSYMSKHEPADNHTNPYPHHPPAPRLHGRA AVSPLFPSPSGGLPQLPPHHQQHVPLASQQQPHRRRHDDDKTDDAMVPVAKRARQSKA TKKPPGETGSNIPEKRLARYRTVASQQTQDRIARAIHQRMFLIEKQVKSPLHQTFAVL GSTGNIYTVSIEFVPACTCPDFLKGNLCKHILFVYLKCLRVPATSPHVFQKALLTSEL QEIFETASQADPTAVANARVVTHYRAALASGASPGTPDLGGVQQKSLEAADCPICFEA LDDGRPVVWCKEQCGNNIHSECFGQWEKSRRATGVPVVNDSRLWIWLILLLCS H257_10032 MFRIDVVGVWAFWGVVNASLRGQSSCGLRGVSFAVPLSVCGFSS FVEGTFEPVRSLHMMHILTATFSRCLGVGCNPLQLVVDVLSSGVVGSLGRLVVAMFLR ENRLGSLGHVAALLKRVGG H257_10033 MNLTATQLGAIPPPCHHKLRIDFLVSPDTTSSSQPPRTSSRSSG STANGGRKSKPSGASSLPKRTALRSGGWTEAEMEYTLRLSADFKDGLVSDAATGILLR QYLSLKLNCSPMRLSKKFDKSSGILGMHRYDPTASVLSGLTPEMRRLRKKELKQLEDA FHKNSSEVKADVMQAEVRSMKRRRLHRHPQEPPTPCAMMAPLDLLVALACHAA H257_10034 MTATVAQYPLASKVNDAFMPLSGVDIRSERIALVLDMDECLVHT KIKSNKANKYRQDEARPETSEEYPECFEVVMEDGEKVVVNKRPGLDAFLQKASQHFDV YVFTAGLEMYGRPILEALDPSKSLFKGHFFRTSCTLKSGFFMKDLRSVRTDLSKVVLV DNNPVSFLPQPSNGIPVPSFYDDIHDKTLDSLTKVLMNLLNLSDVRPRLHQLFRLSDL LADHRKSLWCM H257_10035 MSSAAAAPPCCGQSWSDPKVPHLCQLAKESVTHGVSQLPTGKKL DKPSSATPFATSANTAITVSSTVNDSSSGAVRRGGQSENIQVLIRIRPLIDRETGHDP TAQHFIHTTSDQTIEVQTADSNIKCKYDAVFGPSVSQEDVYDRVKECTEAFLDGFNAT LFAYGQTGSGKSFTMFGAETDLSRFRPGLCKSQAGIIPRAIKDIFAGVIKKNASGTQQ AAVFCSFVQIYNENLYDLLRDVNMDKPLAIHEDRSNGIFVEGLSEYAVQNVHDCFALL QAGEEHRAVRATHMNQVSSRSHSAFQLFLEIKTTDGTTIKSKFNLVDLAGSEKWHPDA NMQYYHISEMTNINLSLHTLGRCIASLTTKNNGHVPYRDSKLTRLLQDSLGGNTKTRI IATLSPAVDCIDESVSTLKFADRAKQVMVCVRVNEQREIDPAYVEKLEEEIESLRAIV AAFEASAPGSTSSSTISSTSNHAGGGTPESTLVRLLKENADLKDTNTKLRRQLDEGGG RPMKQPAPLGPDRNGSSTSSGTNNHDDQYYRQQTQTLEGVLLRLKEASDSFFKFEIEE DQLKAIMDTSFKFIQPASSKGNTSATTMQRPSGISLTRPRTKCTCSSLESNGVTSESW TSVAVMLSSKPMAEVAFRVRGKSSAVDDGEASWQSDAPPKRTEEDDVKAAEKAMKKQV KLQAWLMEKERRELAKLHQHQEYIDEQRKAQADKDAKFFKRAQETKKRLSGGPPAASP ESS H257_10035 MSSAAAAPPCCGQSWSDPKVPHLCQLAKESVTHGVSQLPTGKKL DKPSSATPFATSANTAITVSSTVNDSSSGAVRRGGQSENIQVLIRIRPLIDRETGHDP TAQHFIHTTSDQTIEVQTADSNIKCKYDAVFGPSVSQEDVYDRVKECTEAFLDGFNAT LFAYGQTGSGKSFTMFGAETDLSRFRPGLCKSQAGIIPRAIKDIFAGVIKKNASGTQQ AAVFCSFVQIYNENLYDLLRDVNMDKPLAIHEDRSNGIFVEGLSEYAVQNVHDCFALL QAGEEHRAVRATHMNQVSSRSHSAFQLFLEIKTTDGTTIKSKFNLVDLAGSEKWHPDA NMQYYHISEMTNINLSLHTLGRCIASLTTKNNGHVPYRDSKLTRLLQDSLGGNTKTRI IATLSPAVDCIDESVSTLKFADRAKQVMVCVRVNEQREIDPAYVEKLEEEIESLRAIV AAFEASAPGSTSSSTISSTSNHAGGGTPESTLVRLLKENADLKDTNTKLRRQLDEGGG RPMKQPAPLGPDRNGSSTSSGTNNHDDQYYRQQTQTLEGVLLRLKEASDSFFKFEIEE DQLKAIMDTSFKFIQPASSKGNTSATTMQRPSGISLTRPRTKSVMLSSKPMAEVAFRV RGKSSAVDDGEASWQSDAPPKRTEEDDVKAAEKAMKKQVKLQAWLMEKERRELAKLHQ HQEYIDEQRKAQADKDAKFFKRAQETKKRLSGGPPAASPESS H257_10036 MSALLIEWLNRDFHLHRPVVSMEKDLSNGYLLGQVLHALDLNAS FPAGYHDCDTVPAMVANMEQLSAALRPIRVAFPVELARGIMMEKKGAAAKVLMDVKTY VDQRHTNPTHAVASTTSVRPPAHGTRHFRPIDPNDTSERFVETLINSLDPADVNNLNR IDMAIHLRKFSQFMWDTDHENATFFASKSQESAAASADRRHEAQAHIHEKRTFLQQWT HDGEDAWVVNQSVQSTREATELQFELSLREKRRLIVVQQNDTAAADLHDGVAGFDKNF KRLGISSGDEDANVRLPPIQGTGLEHLVTLETRVDGCHFRPASNVQMMKELRERRKVH LHAQKERASRRRKMLVDQTRNTIEIHRKTEEGLLLKRLLHVGKARREILAMLWLEHHD HVQAKSDKATQLAARGKEAAEAIEAAVKNSLQALHTVATATPRLRDQELKARAAKDCT ALAIQKRHEGHYQMCRDVVHMLIDMVWILIAHRTTTAKAPMAPQTYRALKLSFVDGTV VPKPPKNANTAAGHSRTELHMLLSNYMHGSGVFYSPELGRLPEASSDDITLVETTLHQ LNTKSMDEIVVTKWKPLAPPRPLLLCWYTKDPNCVLAKTIADDTGLLVVTVDSCVDKS VKLGERVKGGEKLTAPETELASLGNKVVALRAKKDNAIPEGVISDIVNKAILLLLMHP PDSLFVGCILLNFPRTKDEAKAFEVDMVRRMVDLTDAESVARVAQLNAVLDDKNPLSE QDLLARPPPASSIDWVVFVDPSDETDLTVEDDAAAKDELRLKLTVWKAMQTTLNSFWK PFGCVYSVDPTTSTPFATLETLHLLLELVATPADTSLHASRVRDMNGFLQTLQLAKQS RRQSLPRPEYLYTQQLAGERSLLPVELCPKLYETLVLQGVATVQAKRKALFTDLYDSL LQLSEQFRGLRTAFLNVLCGHTQQRHIDSTFQRLRALGTTNPRTQKEQAKKLMSTLEV TLGDMVDTSRKAANDFLLARQPLLSPTTFIAHIEGWLEQLVTTEITAFEARDAALDLY FYQVETLAIGQGDNTKAIPAPLRPLMDALGHCMSSNPHFGALLAPLASLCAPRPSSSR GETSATPVPVAPQFLSDTTSSTTQPSTTDNQNSVTSTPSPPQLTDDSDNISARRLRIM WSERALVIQRVLAAIDFVTQLIRRVETVDETERQALGNVTVEYMRQDHSFIQQVLSDL AHLQPSIGPTAKVVAWPRRQGLETPWIRHSVDISAHRNYLHPRHVLVVAKALASAPEQ TDGLVELSIFSRIVRDTALVREDDAGGGVSTRATKCSDEMPSFPEFWINFARVSHAAL PFCTASHLVDWRRFLLSVLFVQWVPPPRTKHLHALLSPRTHIHDDATTSSGSLADAYW TQSAFASLPMWLDNVVDQPEVFKDCLYHIFALQDTRVPVATFVLHLCVSTYPTDVVSA LHGSLAPFSRGLARAFRFLLATPSSSSPPSWDASKFRLLLSVSHVADVDIIKVVDGYD YSVTDVESFLHYCDATIPSLAARWAFHNVYDHLVE H257_10036 MMKELRERRKVHLHAQKERASRRRKMLVDQTRNTIEIHRKTEEG LLLKRLLHVGKARREILAMLWLEHHDHVQAKSDKATQLAARGKEAAEAIEAAVKNSLQ ALHTVATATPRLRDQELKARAAKDCTALAIQKRHEGHYQMCRDVVHMLIDMVWILIAH RTTTAKAPMAPQTYRALKLSFVDGTVVPKPPKNANTAAGHSRTELHMLLSNYMHGSGV FYSPELGRLPEASSDDITLVETTLHQLNTKSMDEIVVTKWKPLAPPRPLLLCWYTKDP NCVLAKTIADDTGLLVVTVDSCVDKSVKLGERVKGGEKLTAPETELASLGNKVVALRA KKDNAIPEGVISDIVNKAILLLLMHPPDSLFVGCILLNFPRTKDEAKAFEVDMVRRMV DLTDAESVARVAQLNAVLDDKNPLSEQDLLARPPPASSIDWVVFVDPSDETDLTVEDD AAAKDELRLKLTVWKAMQTTLNSFWKPFGCVYSVDPTTSTPFATLETLHLLLELVATP ADTSLHASRVRDMNGFLQTLQLAKQSRRQSLPRPEYLYTQQLAGERSLLPVELCPKLY ETLVLQGVATVQAKRKALFTDLYDSLLQLSEQFRGLRTAFLNVLCGHTQQRHIDSTFQ RLRALGTTNPRTQKEQAKKLMSTLEVTLGDMVDTSRKAANDFLLARQPLLSPTTFIAH IEGWLEQLVTTEITAFEARDAALDLYFYQVETLAIGQGDNTKAIPAPLRPLMDALGHC MSSNPHFGALLAPLASLCAPRPSSSRGETSATPVPVAPQFLSDTTSSTTQPSTTDNQN SVTSTPSPPQLTDDSDNISARRLRIMWSERALVIQRVLAAIDFVTQLIRRVETVDETE RQALGNVTVEYMRQDHSFIQQVLSDLAHLQPSIGPTAKVVAWPRRQGLETPWIRHSVD ISAHRNYLHPRHVLVVAKALASAPEQTDGLVELSIFSRIVRDTALVREDDAGGGVSTR ATKCSDEMPSFPEFWINFARVSHAALPFCTASHLVDWRRFLLSVLFVQWVPPPRTKHL HALLSPRTHIHDDATTSSGSLADAYWTQSAFASLPMWLDNVVDQPEVFKDCLYHIFAL QDTRVPVATFVLHLCVSTYPTDVVSALHGSLAPFSRGLARAFRFLLATPSSSSPPSWD ASKFRLLLSVSHVADVDIIKVVDGYDYSVTDVESFLHYCDATIPSLAARWAFHNVYDH LVE H257_10037 MVDDLQSSEELAFVAEEVDRIILQSVEHFLKDQSYDENEVSHWV DLICDGIMKGLSDLRKPLKYIVSCVIMQKNGAGIHSAVSCHWDTAIDGAHVVKWPSDK HKEHNRTMYCIVTVGGLGF H257_10038 MNADDIQWIRAPFSSLREESVLLERYASLQVSSSPASVALTLSN NISTTSTSTCVNGVTMSLEELNQDADVARLQLFARHPHTTTGTSDDAAKDPSTTKPAK KRPKATTTSGVNVMSLVLKEPSLQGQSPEAAISTQRQAKKGALIERMFQAIDTCTPAL GHRPLSSCPSSSLSLHEASHTTTFAPPRVLAPSSPSERHSFAQKLFSVASCRMQLTLL KAMPVDVRRACVVDLVASILDFPALALSGTSTQTAEDATLQAMYSFAQDIMTTDGPDA MADGMLLVLALGVATGQAHFLLHVASRLLSTDNGLNLWAESTCTVQYDRLFHRFQMSE PETSLGVLAASSLVHQLRIQPATTTDDSTSNVSITTDGAYLYTWSTATGLKKIGMGTG GSVLGRVYANVPAASYLPYFGPTRPVVRAVCGAVEVVSLSPTLLETAPTVHDAFGDGQ LLVVFKAIGNTNVIQDVLFDALERISLPATAVVVLAAFGTLVDVTPAIQHLSGHNHRG VFSDSMSPLANAQLLVVHSPLPGEHNIRSHLVQKGDVVFKPMPPVKSTSVVCVGKWLY LNVTCSETTPATLEFVRIGTTDLRVQSVVDVLEQRSNGVRLQWITEGDFLYDVRFRVE SNIMDVHVYDLPVLSSAKPTLSWVRSVAIPLTSSQLTGSLKTIGDEARPCYTNGRSLA VVTRVDGAPSASPLCFQLDLQRDGERTATAAEALTSLATAAVCFDAATNMLWSVTSAR DTVEGYRTTNASPLVYIRDKVDHKGAVVSPVEAWNLIHQANPPSSGSHRWLVGIFAKL HEWAGCDLKQDSVVRDELSLAVDICDETFHVLLHCITTYADRFCRGHVLHGWEEYVLM SSLVVLTANVRRVSVNEHAHLVHVVVTSRLAPTLSSLVRYPDLDHPIVQAALHLYKAS LDIFYQGPVDQLALVATYLEHRSAHTLHASELPILKLVLQRLTSVETLHELVAHPTAF EYLDVLLSHSVKWHLVNDAADDDDVARELVAVVYAMTQALLWGYHRQRIPELGAWARF EAIVQAAIQLVQATPANRIDRLEHTLVGQVCPLLFTAMQHFPWTPAKTTYERMCDLLG QLVELVGPLNKQTSKCHDDQNETVVDTTTTVVQTVESAHDYANNMHLLTELTIPGAST LTITFDRRSRTEAAYDYVTFYKDESQTDFYGDEKYSGRGDDDDHNWPGVGSRPPLVIE AESCHVLFHTDGSGVDWGYKFTAVGLVVSHVTCLSLPWLAHVEETLMVLLTSMATTLV RGKAFTPLSTAETNQRVLLNSRLLQGGKQQTADHVVGFLKDLVDHPLDDESTTAAATV VKTLKKQTVQDQGSIAHVNRAVRAVAAAILHHNLWGMDVYEMSQASGPAGATIVPHVL QAWKTAQKMRQWFDIGDAADATVHRVSAAGRPTGLKRQPSAYKGQSEEAMVQLCQVVV ERALFLLEFTPASFSFVRAAKLRWNLLAKYSTAIHRKNSWMHLVTELNAATELKSMLD YRRTSMERLHMPKTVTELVLEFVQSDVDVGEMQAMLETRNDRAGSRVVGMATIARALS ASSSGRLQHVLLEGLACTMRAIGLEDCCATSLHFFNSLNGCAEEKRKALSEAVAHCLK ASADILATRSSSKCLAAEGDSGALVSSALKAMAMDYDVRDSYLLYDSKVLPHILRLLP SDNVRVRRVAQAIIRVLMSHFVAIPDQSFYSTDMGLPTLSAFQKQLLAAVRLQLEGIV GTVQHQVDSPYTALCLTRNHAGYCTPFVAVLPNHSISFWLFVEEQACQYALKVGDEVR RGPHWISSQDEDGGDAGVGTIVSIQTPTTVQVKWQTTSTTSVYTWDPSVPLYEVQLVD EGVGGMVFLHGNRNLVSDTEEMAAWSHYGMFLTDEGQIKYIVSSGAPDKDSIFESTDS VHWNAWNHVCLVKEDAHLRLYLNGALDSQHVLDDHVSSTAAHEVLIQSVHPCFGHGDG NRWPVSFPGASRLVVTFDPLTQLDKSNGDFICFFASADEAEVWGQPMYSHSFPGVDQE CSLVIPSDSTVVYFHSSSQTVKWGFRLLVAAEYDDDRQFHDVLNTFPFYFGEPPSRVL DAPSARCWVSHFSVLNAPLQAHDVALRMRLDSQECTPYAFPVDRTLQTLGLIQTCAET QFGRSFITNSVLIRHLMVVAFMGAAETQCGALYVLVELAPTLSTALVDDAFGRAFPTS SSGSFLDSVWENLGAILNVWPSTDALHPSIQCHVTVETQPAALSAMSLVQAYLSLVRA LARSSRDWLDRVHALLLSSMEHTDSPHELSLVLASVAVLGGTYDGVGIGSRVRCCVNI DGKESVEVGSVIQFRLKGEARMACVLFDCDNSRPVDVPISDVTVDDDNDEEADGMSAV NTQHLWDNDDTTKALLGRLERLLQKWQRIDAASDKSVDPRRYKPRTKSHDKVQVLESD HPYANDTHKTVTLDFPGADAILIVFDPMTSTEPDCDFVRFVKREVGDERSGATYGDDK YSGVHFPGVGAVPPLRIPASSVDVVFHTDSTTTDWGYRLHATATTESLVLPPETPPSP SKGAWGDLRARIFKVLSRHAHVLAPSWTRTLMGEMARTAVMPYTGRPLTSMPKSQVFE SKHPYANSISEYMAVTFKGANLLSISFDPLSRTEHGCDYVVFFKDKSLGDRWGDHQYT GRAGSENWPGVGGRPPLLIPAEGFTLLWCTDSSNVDWGWKFIVKATFPSLSPLELTSE QLNQRAYHVTEMLYEQTQYQAMPRSTDFDGFEEDKGAEAKSPFLLLPPSPPPAFPSKS SKWHRIQSFDNVGLYERPHDKAAVVDVLTHNIHVKILNDSVMDWVHVETKGGDVGWIR KRKGDVWVIQPLDPTDLAVLIDEDTVLVGIDDETFDHQPPVVDDTNEEQDELANFASH FTLEELKGHAHRLHSMAVETYYATAIHSARRSLMTVFSTLTPCPLTSLSTSPTLLLEL LVMFLTQRSLMFPHLVKAKLQLQLFQWLDSSPALLSAVVSYSTSILNHTIQTLQSHRR AMVRTLESPHPYTDNADTYWRVDMPGAKCIKIVFDSRSKTEAGCDWLCFYAATDRHVT YGEAQYSGRGGSENWPGFGNRPPLIIDSDRFEVYFHSDGSGTDWGWAFTAYGIVSSDN PSCPPNSSVVDMEKPMVACWLLHALTATPLKSSNVEEAVLNNALVLQTWIDCLTGMPR QVKLQVLAMITNVALDHSVWARMTPCHVNLWSNIRTLVKQRMRSQHKCEERQELKSMY LQALIQCAMALDVAVESCGYPSTSTSFAREVVQKPAGDTTAAYTVDTTSLSVSFANTT LVHAWTFEAKSIVGTVLVGIRRNDGWNIQWTTSVAKDAPPPPDMYVDTNHVLVFNPRD VIRVEIDLERLVLLRNNAKVLDERIPPPSTNSTAVLHPVVTVFNPSDIVIVSAAPSLR IPVEFPDPAWYVKALDSMSALWTECASFDSRTRHRRRPLVATRESSHPLADDSWVDTI QIPDAVKLEIRFDRQTQLDAKDTIVLSSSSTEHHQSHVLTGLNGKASPNHAAFGPPDV TKTSLRAGDVVVRHDRDWVYGNEDGGPGDRGTVTEIVGWKHRSGAGVKVKWTCTGHEN VYRYGYNGYFDVVLLSTAVAPPPSVLWIEGDTVQVSVTPFRLAHGKDASGFRGSVDFN RHMCLVLPRTPAHLTLGDDFSIQFWIRLADFKDDKRPQTIFFAGHPASSPVLACLHLS VASDFKLRLAFKTDDFVDSLVTEPLVPVSHTWTHITITSSGSDVVLYKFGEKWASHTF YGRSSYTTPFHTMLWGHHIPPNDTAGRPGFRPFGGLAGQLYDIQLWDAPLSSTDIRRT LFAKQTSGGNDLYPPPPPSSLNPWATINKSGKDFTSVRSDVCIRRGQAYFEATLLSGG TVLVGWVEAGSWLRRKTAGIGDCASSYAIDVNRQYMWHNGPIPFAVPTGVRGNAGDVL GCWLDVDRGVMSFTLNGVTIGDSFTAPKAVTTTSPTRTTSASRQSAVLKIAPLLSPRN GRSAALNSTPRSLSSYEVKVAELMGMGCTRQSAIEALERNDESVPRALEWLLHHDHPE GKPSTDRVSGPAVALVDDATPVPNQWQHGHGFHAAVSLSPMGGQGVVWNLGQSPFVHP PQGLTSPSVWSHRVVSTTTATDQPQHAFQVFDWAEDGWETIKVRHNILDMTPQLLHQY VLDEGDGLQVRDAKGGPPGELVSTETSSSSSSPLKPWKGWIYSPIHNQGTVPWGFKFT VFGHFHRNSLPKTRFVLRGGYNPLESHRKAALQLVQYVNKKARPMDVAHVVRAPWAEF ALRDEDWVRWPLLCELASGASIPSPDSPPANGLELNQAKLAQCFKWLQEFNLAMHCLL PLVHFEAETSDTTTTMLFGQFKLSAMVGACRGLIFQALKKGLWDDCLKRTQRSGVSLE MTLNRPKAMRHRAAGLVDTEARTTLFGQAFRQLNSSENHHFRRSDNVYYVKFLGENAE DAGGPYRETFAQYASELHSAQIPMMLRTANAAHNVGVGREKWVVNPSAFASVRLRRRM FTFLGKVMGASVRSKDFLALDLAGLLWKLLVNDGVSVDDLEGVDKMLVQSMSKMRSID QVGVTEDMFEDIVLETFTTLSTDNRVVEIKPHGASIAVTFSTRVEFADLVEHFRLHEF DTVVRYVQEGLAKVLPLNLMSLFTATEFESMVCGCPEVDVDLLAQCTEYSSCAATDMH IVWFWSTLRKFSHEERSAFLRFVWGRSRLPHSVAEFPQWFKLQSFNKSPADTYLPVAH TCFFALELPSYTSEELLMKKLLYAIYNCQEIDADGDSVAANQLGWEE H257_10039 MMDLSHATIRLTVDGSVLEYSWSDFALAMHADHIDAGNSSTLNI YALQDTVDVLWILTCSMQILLFQVGLAFLAPAVSLPDSKSFGLVAVVVLLSYSFTFAL SFGTGTFLGHDGFFLLGIPLASPTWAFWVLHSTGAVTMTSVVYHALADRVSRGPLFLY ILLLNTFVFPVLVHALWSSHGPVSSSNPHPSALYGTAVVDYAGASALHVCAGMAVLIA SCFSRSKDSTPATPPLEPPSTLPPTDNYLADLSRRPPPTSSPPFAEASPETTSLYHSI GSFFVFVAHFGLIHLSSPTLRGLCPDVVVASCVNLALSSAASCVVSSVLSLYVPTKHP MNHGLLSGLVAMSAAASSVASHAAIIIGAVAGFVFVTVSTWTRLGRLDDALDVIAIHL GNGVWALVGAGMFSYGDRIALANACTFAAVTSTTAVPVATNSSNGTTSPFSQPNITTT LTSMTSGGCKFSMWQGCGRQVSANVVWTVVVLVFVGLMCAIWCVPLYLKGWWAADKTS LTKLPYDPLQDFDEFYPTDDEGTTPWGYHDQVPQHHSVPVHSPRSPGAASSDGSTSPT GLLCDGHLQSSVERRYKFSPTTSFLSGAPSTIHGRFSSTSS H257_10040 MGYAKRGADRRPEPAAKKAKTSAYPGAPPHIPRHASTHVPAIAE PVGPINRTYDDLIQMTTAHPLFASKFAPNPKWVSTLTMKPSSKAKYKKIVAIDCEMCV TINETTKQRNSKALARVTVIDGENLDSILVDLIVHQPPPGHVVFSYKTHIHGIKEVTI TASTISEERARKEVLKHVGPDTIVVGHSVYGDLASLGIHHNRVIDTSFLYTRKNVHEK YKMPGLRDLTKQLLAIEMPAVHDSYLDAKTTMMAATYTLTHPCGEILYYDGPRTDAVA EAAVPAIPVVKTTSQPIVKPETEDSDEASTHWLVHKIPKGLYNSDLEQFAISHTAVVP TMVENIVFKDMWGSCSVHFRSSGHAKLAFRTLVGKESEDPLQRPVKTMNIHDAKGKKF SNIKIVQVTQRVGKSKK H257_10041 MLWYAAQCPFHSSIHGNLSTMLLWLALHAAVTAAYLSPTGNRPA PWGTVLGVTNGVKVYSNYKAKVHNGDNYYQGTYTGLKWQCVELARRYLLITHGVVFES VVDAVEIFNLRSVKNVINQDRLPLNVYPQGSSTPPQVGSLLIWDRQGVNSPHGHVAVI VNVQNTYIDIAEENFEDTVWPPSANYSRRISVSRTPAAFNVKPYYNQYKASENVLGWV TFSP H257_10042 MSAKTRVDNVHERTDYLFKIIAAITFAKTLMAPCHKTTQIIKPS TTAAAVTVVRDLLQQPQSTRSAH H257_10043 MLWYAAQCPFHSSIHGNLIHGNLSTMLLWLALHAAVTAAYLSPT GNRPAPWGTVLGVTNGVKVYSNYKAKVHNGDNYYQGTYTGLKWQCVELARRYLLITHG VVFESVVDAVEIFNLRSVKNVINQDRLPLNVYPQGSSTPPQVGSLLIWDRQGVNSPHG HVAVIVNVQNTYIDIAEENFEDTVWPPSANYSRRISVSRTPAAFNVKPYYNQYKASEN VLGWVTFSP H257_10044 MTARLLREGEGHTDAQSFDFSRGFIRELRIHIPWTQILSQPIEI KLYTVELILRYIVLMRYIYSTSFRHSPPEHLATIATTRQQFSALRCHRAENGQ H257_10045 MQNQQRHNILTKMAAWSIQAPVNPHNIMTENPSVPLSKIDGERD VMAEIKCEFQFAFSGNSQASSTQPGANSEVAKKTRFQNPFSYLKQASSSASKDAIDAG SSSAPVEAPSTTSSSSSSSSRHKADYKLPGKLMMTSYRMQFYYHPSMSEGEADAAAFQ SLLRRFRILRRVHEYCTVALGTILRVEKSEKHHSLEVETKDHRRFHLSFHGQPEILVK VHELLMSYAFPSSFEYVFAFCHRLPTNMTLHDSTAYAAERRADECLPLQWDWDVYAPQ AEWRRQGLLDNPQWRISNINQGYALIPSYPSQLLVPATVPDEVLSEASSFRSIGRIPC VTWVHRGNGASLSRSSQPKIGMSNAISLADEDLVAAIANANAPNQTIHIIDCRPMSSA MANRAKGYGVESSLRYKQATVEFMNIPNIHTMRDSAKKLKHLSLSLTCDNLNWYADVE ETKWLYYLRLTLKATLHVVDLMHVQSASVLIHCSHGWDRTSQLVALAQLCLDPYFRTI QGFQVLVEKDFLAFGHPFQMRLANGAKHTGDYSPIFLQFLDCVWQLQQQYPSFFEFNQ GLLCLLADELYSCRFGTFLLSTQQEREALRLRDTTTSIWTYLNGYRGVLENRTFVADQ VLLPTQSSLLRGVTVWPHVFLRWSAQASAVDIPCANSQVFVDNELRQPSWRLSHSILS LLAELNPPKH H257_10045 MQNQQRHNILTKMAAWSIQAPVNPHNIMTENPSVPLSKIDGERD VMAEIKCEFQFAFSGNSQASSTQPGANSEVAKKTRFQNPFSYLKQASSSASKDAIDAG SSSAPVEAPSTTSSSSSSSSRHKADYKLPGKLMMTSYRMQFYYHPSMSEGEADAAAFQ SLLRRFRILRRVHEYCTVALGTILRVEKSEKHHSLEVETKDHRRFHLSFHGQPEILVK VHELLMSYAFPSSFEYVFAFCHRLPTNMTLHDSTAYAAERRADECLPLQWDWDVYAPQ AEWRRQGLLDNPQWRISNINQGYALIPSYPSQLLVPATVPDEVLSEASSFRSIGRIPC VTWVHRGNGASLSRSSQPKIGMSNAISLADEDLVAAIANANAPNQTIHIIDCRPMSSA MANRAKGYGVESSLRYKQATVEFMNIPNIHTMRDSAKKLKHLSLSLTCDNLNWYADVE ETKWLYYLRLTLKATLHVVDLMHVQSASVLIHCSHGWDRTSQLVALAQLCLDPYFRTI QGFQVLVEKDFLAFGHPFQMRLANGAKHTGDYSPIFLQFLDCVWQLQQQYPSFFENMC VVGMM H257_10046 MSAEAENIRVAVRCRPMSEKEIREQAQSCFVCKNGNAVLTNPEN KDEVHEFGFDLVYGIDTEQRCVYEDFGRPVLERAFGGYNGTIFAYGQTGSGKTFSMTG FAGNEAMEGLIPRMNKALFEKIQVEKANDPNKLFLVECSFFEIYNEIIYDLLDSSSAK DKKNKGLEIKEHSVLGIYVKDLQERVVESREEVIELMTLGASNRTVGYTNMNSESSRS HSIFVIKIHQKDSSDESKNVFAKVNLVDLAGSERAAGTGAVGTRLKEGANINKSLSAL GNVINALVEDARTGKKSFIPYRNSKLTRVLQESLGGNSLCSMLATLSPANINFIETLG TLKYASRAKSIKVNAKKNEEASQISQLSEEIAALKKKLGEQAESGLDPREKNEIVAKY EKQIQEIDAVRMQTWEDKAKLSKQHEMERKKLAKERARADQKTQEEKIKKWKLLEAKA DIELAIRATRELDVGDDAWIGMTTKVKALDQDVKDARTLICVFKDSLDKDVAAWKAAQ GGSDEPDDGASSSHVTASQLCTKLKNIQDESAKMMALESELLRHTSTLIDAVCDECDK LAPAPSTKELKQVLEDRDKALAITRSMLQMYRAALVTTLKTERKRILNFTEASMLMVS ELQTQIDSPHLDDNRKKARMLATKSLTGAMDTCAKLAVAAKSEAPRVQIPKGDVHALG VEAKVLGDDKLSASSGDAKRARLNGPNCWIAAPEDATPWLKVDLGGFKLVESVLIQGG VVGGSSVLSNAPLVLTKTNMDMLAKLYDPVAVTGDHQQTYDIAKHILSWTGLLKTAQV PTKLFSRPPVRFLHDVITLVVANTAYGQSLFTDKEKDYSQLTDKKDKSEYLVKVLHLV ASSFHGVVEIKATESNILAGKEPDQTMQFLALFCLGAIRHLAATLPEETNHVADAAAA ATLPPPVETQQAWVTDCDVECSVDGDTWTKVPWNGSNVGSADAFTAVATKLPQPTVAR YVKFVPTKWNVAPAMRCEVLGFKLTEKDDTLADVQAEGVHYLGLLTTLFTAGELILDE ARVKWKKAKDVQREKQAELKHVLVEIDTWKSQVAALKAELEGSNKALDKCKADKADVD KQLTATTAKWDAATVKCTSLEEQQKKTKASLDAITSQFHDATKEATSWKQQHVQVSDQ LKSMGQSKAELEKLIETLRGQLTSKSAIEGATDSKLATLSADLQSVTIQLDETKRSLQ RSEKAAADGLVERDELQTQLALARTALTSKDEALEALEAKLKTQLGESHAQLDAAQAS LAEATNKHRDIDAQLQKAVAHTLALQADMENAKQRGVESTHVEVKRLHDEAVESEKRV FQMQAQQVRLQADNERLEAKYASVEEKVKVFEAKQAEFALEVETLQKERKQLVEQEEE LQLQLQVVTDERDSARQKEEQLFVENAEKEQEIERIRDGYVWVTDRMNNKEDELAELQ DQLEKYQSVLKLAGGADSTLHPRPTTGSTDYLSGLYKAAFGKDLDYGNYTTPGDIQNQ LLQWIQNQHQQSPYKGRDPQTKQGTSDGVPPRSSSDTLSSARNHQDRNATPLEDSKRG YATTPSPKLSSIPIEDAKSVDTVGPHDRKDTPPSVSNPPGSMSSQAKALQPPGTKDVH DAKGEAKGADVKGGVTLVSPLSTPQPAPPLKTTEKCDVEAKTIDRVSGNGGEGTKAAS PKEGVTPTNDTGGGPSLGPALATSATATPPKKDQRLPPTLLRRDSIEDLMPEIQDTAK TNPDATEVADEYDDDFDAYDDENSARRGGKSKRRSVDKAASAKVPVEAPVEPVSEKTM AQHSTPSKTTAPSPTKG H257_10047 MKLKCPLFCSLSNFFNQPIMSSVRELLAALSLSAPSASSTCGID GGCGPKSLASPVNDLTPEATAAEVDSAVRFYRLPESNPQELGNVNFLRSVSDVADNEK PVFLQFQEIPGCHTCTDYGDNVLLDPLVVELLETVFTPVVIHNNSQEPSDVAALKQFN EPTWNNPVVHVLDPVSQTDLIPRIDGVYDLRGVLLQVLEALIVSQRPVPSWVDYVAIA QQLHVVRDADHRVVAVRKASVASTTFVMECYWAGETVLGGIDGVLSTLPGWIDGVEVV QVDYDENVLSYHDLLHAAASAGLEPMVHTDAQLQTAQHEKAANIRDRRAPHDALKVLS TVSYTETKYHLRSKLPLVALLPLTPLQEAHVHTLVARRQTQSVVERAVLTPRQVTLLR RLEAVADRVPHAKDDAEALHALTPADRWQNVLRAVEAAEALSAPPHT H257_10048 MLYANVPDELTHSGGFARPRRHTLPGARSTFLQPRQGSNNFFRL LASSSRGRGSQELNVVLLRAAATVVGHTLGYLYRTGLLYRYGKHAAMGLVGVPALLFG GVLLAYTERMPISNRSHFVFLSHDDEQALAYEAVANILAEEGNMCLKRGDPV H257_10049 MHSSCPMEATFVYRGILPIAKTYGGLACVLGHEMARAMALHSAE KFGFFDLVLVLHDFLRGVSGGTSDVGGRGWKAALMEFLLVTVFQVVVPLAFSRRMEGK ADYMGMVLAVRTGYDPREASPLWKRMMDATMETPPSRESDLHVAAPEAFLGDLLSTHP SSQSRIHDLYEYATTILWEFQLATDKLAFAQVMRDSTALLPLISTA H257_10050 MEKTVAVQDAQTGEWLQVTLPTLGNPNTIGADDEEHGDDDFNPA ATATSSSLTYEMAGMFTPYAVGKQGPTQSTRKLGTSVGWEQKCERHRQKHGQQERSSL HGLQRQLANPSLSINDRRRVEVQLVETLKGMYKRQQEALINDEIEREQKRCMSMRLEQ SDMGKARLKRQFHSEREQYRGQIERIKEECSMALAATMAKFNMLR H257_10050 MRLMLMLVLAAGEWLQVTLPTLGNPNTIGADDEEHGDDDFNPAA TATSSSLTYEMAGMFTPYAVGKQGPTQSTRKLGTSVGWEQKCERHRQKHGQQERSSLH GLQRQLANPSLSINDRRRVEVQLVETLKGMYKRQQEALINDEIEREQKRCMSMRLEQS DMGKARLKRQFHSEREQYRGQIERIKEECSMALAATMAKFNMLR H257_10051 MTAIDLTTDGGVTKEILTEGSGDLPPKGYEIRAHYTGTLLDGSK FDSSRDRNQVFTFVLGKGNVIKAWDLGFATMKVGERAILTCAPEYAYGASGSPPKIPA NATLKFDVELLGFSPKKKELWEMSVDEKLKEAETSKQQGTAFFKAGKYEQANDAYLEG VKYTEKDHESSEDEKSPLKDVQTVLYLNSAMALLKAQDYAGAARSASKALKNDKNNVK ALYRRGVALLHGNDLDRAKEDLTAAAKLDPQNRDVRRELVVLKEKLAEAKVVQKGVYG GLFNKVSIYTDKEVVFKNISIDDADDEGNPFVWFDITIDGELVGRIHFQLYANVVPKT AENFRALCTGEHTSKTSGQQLTFKGSSFHRVIKNFMLQGGDFTRGDGTGGESIYGEKF ADENFKIHHTQAGLLSMANAGPGTNGSQFFITTVETPHLDGKHVVFGRVMDGMDVVKK IEALETDAGDKPKVPVIISDCGVFKVEE H257_10052 MGALLRLQPEYLPKAHTPKSSANSCFVSAGLYAGVFLGSLILWR REHHGKGSSIGGFKDVAPFELFGTTLIYDDIEDTEEVEPLVAADTASLKKAIQQQRRV PSSPSIQQL H257_10053 MSAPPSSYDDICEKGKAEAEQRLIDHFKDNGGEVWNIRSGCLGC KTNPNNVPLKTCSQCKTALFCSKECQKAAWKTHKHECLIISTFAHDEADASSIPTTIQ ACLDTLSRTEAVKTTVSDAALIKVASSIGLTGPSFPGWFCTVNLIDHPAAHSVYIKAI LQLYAILRDEACWTRDTDSFPRSSYTFATTIPTTSAWRSDAVAAFLAANGPLVIFTAW LQDPQPPAIQSVPFEKRLIYGLLDSLLQIEEIRLAIDDYMDDVMSSASATS H257_10053 MSAPPSSYDDICEKGKAEAEQRLIDHFKDNGGEVWNIRSGCLGC KTNPNNVPLKTCSQCKTALFCSKECQKAAWKTHKHECLIISTFAHDEADASSIPTTIQ ACLDTLSRTEAVKTTVSDAALIKVASSIGLTGPSFPGWFCTVNLIDHPAAHSVYIKAI LQLYAILRDEACWTRDTDSFPRSSYTFATTIPTTSAWRSDAVAAFLAANGPLVIFTAW LQDPQPPAIQSVPFEKRLIYGLLDSLLQIECVRC H257_10054 MAAKFSMAGASSLFGAEDHLEASDRMGQAMEQLKVREQHETQQR QQKQLVKQQQHVAKLDAQETQHIRDSIRPEHYEAGNLQKSQASQAADGDDDDEDDFED DLDQDPDFMRLREVRLKQLQEQYKLKAENLAKGHGDYREILQDEFLKEVTSSARVLVH FYHRDFERCKVMDMHLGRIAKRHVEVKVLKIDAEKAPFFVAKLVVRVLPTIILFENGI ANDTRVVGFEGLADDLPLGREDEFPTVNLARRLAKMGALNSSDQDEDAEDHDGSCRRQ DNKPSIQQGLNRSYDADD H257_10054 MAAKFSMAGASSLFGAEDHLEASDRMGQAMEQLKVREQHETQQR QQKQLVKQQQHVAKLDAQETQHIRDSIRPEHYEAGNLQKSQASQAADGDDDDEDDFED DLDQDPDFMRLREVRLKQLQEQYKLKAENLAKGHGDYREILQDEFLKEVTSSARVLVH FYHRDFERCKVMDMHLGRIAKRHVEVKVLKIDAEKAPFFVAKLVVRVLPTIILFENGI ANDTRVVGFEGLADDLPLGREDEFPTVNVMLA H257_10055 MEPFLLACQSRAQVDDVFERAFDALLHARNIGDPPKDKAQEELR EVVVAALTPDASSTFHDTLRTVVSNTQLHQLVLEIVNPDRRQLWRHISTSTDCFPSLP HLLDLNWYVDVPVASDAAIKHRDPCACVEISIQATSSLTTTFPPLQHITFQADRGTVD TVVTELHKVRDLLHRLQTTPTTRACVR H257_10056 MVVVDKHSLANIAAIKSTHVAFELTADFDRHVLHGFVEITATVV AATTEFVLDTRALYIQKATIDGEHVLTTQVEDAVFGTALHLPLPESVRAVNSTFKARI YYETTPDTTAIQWLPKEQTADKTHPYLFTQSEAVHARALFPCQDVPSITTVFTAAITV PSWATCLMSAVASADPAPHASKPVTTFYYEQNVAVPSYLIALVVGRLDSREIGPRSRV WSEPSQVDAVAYEFAQTEDFLKNAETIMGQAYVWGRYDLVSLPPSFPFGGMENPCLTF ATPTLIAGDRSLADVIAHEIAHSWTGNLITNETWEHFWLNEGWTMWLQRKIMAKIYTE LHFDFDAILGWTALQSSVDAYGAAHEFTKLVPTLDGCDPDDAFSSVPYEKGFNFLYYL SKLVGDDQFELFAKAYVQQFKYKTVTSDAFKAFFIDYFTPTKATELATIDWQTWFYGP GMPQRPAFDTTISAASERLADRWHAVGATAAAFDAKDVDSWTSSQYVVFLERVLNLSV KEQRFLDPATLEALGQLYKLTSTKNSEVRMRYQTLSVRSEAAFILPYVEVFLKEQGRM KFVRPLYRDLYKSKIGAVAARRIFAESKDTYHPIARKMIAKDLEL H257_10057 MASEDESKVEFVCRMCRVLLFTSDDLLQHEPQKHQISQRKKLKE SKLGAVDCSSFFLVDTKEWMDEASLAEGKLLCPVAKCNARLGSFGWSGSQCSCGTWVT PSVQFTKSRVDVKLKMNIQAVYVPVVESAVAAVGAPAAVVEASTNSESPPIPSA H257_10058 MHVGVDTRIAAMNQSEEKWGHRRNVSAAATKSTGTTKFDSMMAE VDDIHECDAKAIDDRFTKQLRLARAAIREKPTDEDNGSDDQSDDEVTTARVSHAAKKG AFDVARSTVPEISIDLNALRSFVTRAPANDSKMVQCYVERDKSGVNMMRPVYRLFLED GKQFLLGAQKRIHNKTSNYLLSMDRNPTDRRSGLIVGKLRGNWSGASYTMYDQGLNPL KTSLASNVRCILGVVEFTYDKMGPGRMAIRIPPVNAAGVATPLKDKPTAGEDGAKGGD ASGCGDNDDNDHVPLLPVKMHNKRPKYDEKARGHVLNFNGRVTMSSVKNFQLQRDGED NTSDVVMQFGRVSCQPPGPNEQCSCHKHIFNLDYKHPLSAVQAFAVCLAAMDGKLADH KTFETLSDLAKRK H257_10059 MVRATVFVYLAWTAALVTPQDDLHYNTIIVDIIRHQELGNGVNL GDIPQSRDQESKVDDDIFADAEFDHEYEAVDKAAANALAPSPQPASVDRKHLNTAEVA KVERLAALAKNTPNAPIAEEDTDDGESNDDDDDDENDDVGDKVDGDEPPASRGNVPAA VDDDKASRGNVPAAVDDDKASRGNVPAAIDDDKAASTLAPSPTMQPDNVDRKHLNTAE VAKVERLAALVKNTANVPIDEEDTDGGERNDDDDDDDDDIDDVGDEVNGDKTPAPRGN ATVAVDDAPIHAASVGAASPDHNVSSPTASPSPFGIIGITLGCVATVCAIVGVYRSRR QAATQFSSESTLYMDDIRLRESEVTRY H257_10060 MCNLRQDKHSLANVDAVRVSHIDLTLAADFERRALHGHVALTSS LISPTNTFVLDSKALVVHRATVDGVDASFRHVDDAVFGTALHVTLPALALGVPFTARI YYETTPESTAVQWLPKEQTADKTHPYLFTQCQAIHARSLLPCQDCPLASSTYSAAITV PSWATCLVSAVARADPVTTGDVTTFSYHQAIAVPSYLIAIVIGALESREIGPRSRVWC EPSLVDAAAYEFAQTESFLQHAEAIMNQAYVWGRYDLVCLPPSFPLGGMENPCLTFAT PTLLAGDQSLADVIAHEIAHSWTGNLITNHTWEDFWLNEGWTMWLQRKIMARIHSPLH FDFDASIGWNDLQHSIDRYGAAHEFTKLVPTLDGCDPDDAFSSVPYEKGFNFLYYLSK VVGDDQFELFAQAYVQHFKYKTVTSDAFKAFFIGYFTPTKATELATIDWQTWFYGPGM PPKPAFDTTISAASAALAQSWLQGGTTTANRGADDVAGWTSSQVVAMLDALLEAGATR HQYLDVDVLQSLGDVYHLVTTKNAEIRMRFQTLCIRSEAAFILPYVEAFLKEQGRMKF VRPLFRDLVKSKIGETHARRIFAEWKGYYHPICRSMVEKDLA H257_10061 MLLLRERCVVTLSAMLVVELEGLGNVDAVDHQPLCRSVSLLPTH LKFELLALVIKHPQCSPAIFASVLSNDMDNISLKGLEAPATSLLPAWTRQKMGTHLTD LDLASLNQLTSSDLFTLLHNVPQLQVLNVSYITAWHDGHTVHIIRQAPHLRELQLEWC QHVTDVSVTHIANARNLRLECLGLTGTAMSSAGVVKLSCCEHLRELTLQACDRVDALP SPLPNLQVLDIRGLSYIADADLFAFLKLVWTNMRKVNLGESNLSIASLTALQAQGTCP VLHCLDLSWCFHLTNNVVAACFPLTPLLHTIKLRCVDIDDTCLDAIGAHCPRLVKLNV ARCRAVSDKGLASIFANCPALQHVDVAWTLVSNAAVLDMLHLCPELRSLSVQGCKNIN RELVHGIAKMPTSLPHFAYLDLSWVDDVMTTDIAAAVSAHPLLTIKGYFGDLYDDSDK YHEH H257_10062 MPKKVSFHSVKQPTFGLISENTRAEHAVRRAATKAATSSNLSPH RRLKSNLGQRFVRMMATMATLANLNLHDDQGENEPAVAIPSSNNTLAVQGEKPTSSSV NTSATVRHPHGPTSFLKHSFPIDEPQPTAHQGSDPWQPESTARSPPVPALALPATQVK SKKRRRKARVKRTWKTSHATWSQGHVDVNVTTYNRESELRKLEMLHTLSTLQPPTLVH LPAAEMSVMAATRCSKSYTTITHTRNPNDQDNCANDDLSQPSDIISGMSMISQPQSPS PRHSPELHQPKTITIEMRLTLDPPRPPSPPIRNDIDQSVLQRPQHPQPDPQVRAPTFM HPPIKENVALKAPQLHPPNKTDIPSPNAVVPKLLRHTPTAITRDMATWLRQSGLFKCK PRHELHLTMADQFPVGTT H257_10063 MSNLVRSRPSSRQSSHDNEFIKGTEPIQYAIRRVKSEDVTWSKL RPDSHSLNGNNNDDVDSFVDNSGGQRSVFAAVADMNAAAPISRYNMPPMYPRTSNSAS AESHNPNSGDNNASYMPEFTTGGRFKEPSFQTKPTSRLSNFFRRRRSIASKAEGSAAI STGDIYMQGYLSKQGSWRKNWKHRYFILRIDEPALVYCDSEENREVLGWVPITKDTTI MDVSSATSTWAFEVASPQRALLLEAPSPAVFKHWIDAIQDTIDLVTSQQDNEDEAFAP VRYSPDVRLSDENLLSARSTNTAYSASSATDDIANVYDLRVELHVDACGKDRMAYYVL LEGVVQHARGGDGGASAVHKLAQTDVFRCVDAAASVGQCRHAFTVLLPIHSDKHSTVR FSLFRAPHADDVHFGKAPYAVATVDMSTFLPQAIAATGCDLPLHPAKKERMASSATHR TTPSHRTLTVNQNSATGRTPSSRDHGTDDWEYSLHISAFAPDKRVVVGVPETSAVTAH RKYIVPTGGAACGVVVVEVIAVPKSTFAMPIAYLTFLVDDLSARLDVLRRTVDKRKLG PIEAHYEALQADARAHIAFLTQESKGKAHFKRSTFKKSKEWAMVATNMHMQTMQVYNG LDLIHTYTTITMGAAAAHTKGFSGGGAFRLKETLHDVLNEANRLRKPKSKEQDPLRTF DLFHIPVGLLSSASGNPNSPNKSYDPVSVAQKAWFELEIRYHGLCIQILSATAAQIAA MLELAAKGSVHHAMVWEVVMRNNLLMDFESLLSTQGNEGGMLEDFRVACKWLESVVFT FEVSPSVTESVSYRVGRGTNALLNVVVRVPVTFGEHLPSDLATAKKSFRVLAVLFTQG VNEMQSLAHAMHSASTAIQDEINHESFERLGHYFVRFKKIKFAHLPRPRDGYGSPFQP NVSELETMWTQITASIAHQPMHKKNVRLLMATSEFCRRLGGGRATCCKSGKDRTAMSV TLEQARLLVQDFKALNLKHVIETMRLCGVRRDNVFKNIQSNTYAFNELQRKLLPECYK PPVGTYKKGST H257_10063 MSNLVRSRPSSRQSSHDNEFIKGTEPIQYAIRRVKSEDVTWSKL RPDSHSLNGNNNDDVDSFVDNSGGQRSVFAAVADMNAAAPISRYNMPPMYPRTSNSAS AESHNPNSGDNNASYMPEFTTGGRFKEPSFQTKPTSRLSNFFRRRRSIASKAEGSAAI STGDIYMQGYLSKQGSWRKNWKHRYFILRIDEPALVYCDSEENREVLGWVPITKDTTI MDVSSATSTWAFEVASPQRALLLEAPSPAVFKHWIDAIQDTIDLVTSQQDNEDEAFAP VRYSPDVRLSDENLLSARSTNTAYSASSATDDIANVYDLRVELHVDACGKDRMAYYVL LEGVVQHARGGDGGASAVHKLAQTDVFRCVDAAASVGQCRHAFTVLLPIHSDKHSTVR FSLFRAPHADDVHFGKAPYAVATVDMSTFLPQAIAATGCDLPLHPAKKERMASSATHR TTPSHRTLTVNQNSATGRTPSSRDHGTDDWEYSLHISAFAPDKRVVVGVPETSAVTAH RKYIVPTGGAACGVVVVEVIAVPKSTFAMPIAYLTFLVDDLSARLDVLRRTVDKRKLG PIEAHYEALQADARAHIAFLTQESKGKAHFKRSTFKKSKEWAMVATNMHMQTMQVYNG LDLIHTYTTITMGAAAAHTKGFSGGGAFRLKETLHDVLNEANRLRKPKSKEQDPLRTF DLFHIPVGLLSSASGNPNSPNKSYDPVSVAQKAWFELEIRYHGLCIQILSATAAQIAA MLELAAKGSVHHAMVWEVVMRNNLLMDFESLLSTQGNEGGMLEDFRVACKWLESVVFT FEVSPSVTESVSYRVGRGTNALLNVVVRVPVTFGEHLPSDLATAKKSFRVLAVLFTQG VNEMQSLAHAMHSASTAIQDEINHESFERLGHYFVRFKKIKFAHLPRPRDGYGSPFQP NVSELETMWTQITASIAHQPMHKKNVRLLMATSEFCRRLGGGRATCCKSGKDRTAMSV TLEQARLLVQDFKVYMWLLD H257_10064 MMLPPMMLMLMLLPLMTLAAVQVPLPSSPPGFTIGKGCLNSSVQ LDVFMDLLCPFSKDAFRGLKRLVHHLSPSDFRLRVHQFPLPYHQQAYSVAQASETIVF ALGRDSFETWMDAVFVNQDSFGNKATELAGQAAVTDQLAQLAYDTFPNLTIAQWTDGM SGYGGTERDADTRVAWKYACSRGITGTPTFLLNDVVVDDPDLDWTLTDWLAYLQPYLQ VGNRAKSSELLSSTGWFDWGVIVISVVGTMVVGIGMIFYKRRSLYNRI H257_10065 MVKIKFNGVACVLGLTAVLVNMITLPLRGLVLPTVQLLDTPQAL LACDYICDSVMLTLFVLQLVCCRPASALATTTNPTTSLASMAAAAAAITTTSPQRVRL WIECIAFVPLDLFADVMMGFQGNFGLWRLNHVLAIVPFLRYLRITEGYFWRMSVQMRR TMYILTILPMCSHWFACIWFYIAYVERHDTYSWTNQAMYPQFYNTSTTTKYLRSIYWS ATMLTTVGFGDVTAVTRVETFMSILVIYMGILISCASIACVLKLMEHADRNQMALQER LDDVCGYLEWRNASDDLVDRALSSVRASFVPQIETTRAELATQLPHALRTRLQIEHEE VLVHTVPRFQTASKSLRAAISEHVVYVSKAPGDVLLAEGQALGGVYLLQRGDATYVLG GVVVKALELHEYFGEDALFSADEVTPYAVVCDSACEFVFLGRSHFQDMWQLEQDRHPD AAMGDDVDSDDSGGGHILAKHAATAMDSNAATSTRRRRWCRVTSADWLPNSMFRRLWT LVCFGGLLYNIYAVPRDCAFFRSHNRFEDPDAEALVDLGLFWGFDLLFLVDFFLHCRR FYVEYDGHLVTDRRRLFRRYTRSFWCVIDVVSILPLDLICLGGWYSMRDLPFFRVNKI VRLLHLTEYFEVAEALLLSRFHVHVFSRRIFRIVSILILSGYLVGCFWYYIAEITAVV YPDANWVHVDQSNPRFYFKSYAHNRFYVLRSMYFGYIGGSTLGFGDIVPVNPYETMIA TIMLLYGAILKPALVGGVASLLLTRNKTQVTHQKMLVGFKWLVTSHKLPEALKDRVLG YFEFMWEHEYYEKEASILEEAVPDLRWEIVQTMCRNDDPNAYFFRHVNEDGMRAVYAA MTPQLCIPHEVIDVPKGALGILVSGHMVVRCVVTALAGEGSDDHEMELDETDSLFRRT FGLDTFLSFGMEANEEGRIGGNHTTKTSFRSGSDFCEVLWLRAKDVADILASQMSTWQ SLRHDMQLSLESNIATNDVENDDNDDPGGGGIVDLMTTTMTKLGELPTTVKSSMQATL GHLSWPSSSHVVPMASTPQLFPFEPPTGATSAERPPSAAATSSRPRPDRPRKKHRKGK VAKWTPTSKSTLEKDALIKSRFHPKSRFRRVLNVLVVLALLYNAFLVPFRLAFFSSLQ PVSYLYGFVVDYVLDGLFMVDIGMKYRYHLLRLRQDNALLSFSFHWTVWQSLKWDIVC GFPVEVFAIDFVRRHPEKLVTVLTVCRIPKVFRLRYFTIRIKELAQTACRNRPQWSDF VVVCRYFLFILFFTHLLACGWHYLAFADKGLFPWYTDCNLLDNVHVNADSATDEASCL FAGTWVEYQIHGLYLPKDGGTIWERYSRCFNYAIQMLLVVSAGIIVPVNMVETIFCIG AIFAGIFFSAGKIGVIGEIILKVDAASTSIRQATDALAKYMAFHKMPQHLTDKALGFM EFLYRKRRKLLFQEDEIIDMLPRQLRDAIVDHCKRTRLVQSDLFATLPYEVVAAMAKQ LKPRAVAPGDVLVEAQRYSRSMFFVKPGSVQYQDPSIATKHSKALDVFGARSLLLDEP HPHTICACMFTEAYVLRETGIEAVFSDFPELKQQLIDRIDDTKTDDLVNDDVVLVAEA SSSMTVDVKSDDEEAAATPMGRGRSLSDSRDESALSGATIARTWTEPDSRFRRAWDGV LFWTTLYILIMLPLRATYLVEDRVDTVHELAVWYGGDVLAQLLYALDTYWSYNHFAFM DHSKMIRHRLKIRENYRSYLLLDIVSIAPYCLGSFVVGLPALKFLVMPLLLRAKRFPR YLRRFSRNFQFFVCRLSGSMLHILHCILYYVIMVHWWACLWMFLHRYVEKHSALTWAV RDPYMGGGKLSVWDPQTNTHNICTSMIDCYVRAYYFVITFIGTVGLGDIRTGGHLEYF FENVEALCGSFLFAALTSCFASYFQYADTFGKGATQAKLSTLASYFRVAHTTKPTARA IVANMKLWCHRTGGGLVEHAVAAYLPVPLRVEMATFVTRRLLSTSPVLSSVDSYIRDL LVLTLHFQVVLAGASMYDAGDSLDEVAFVSTGSVKLVVLVTKGGNDDNGHHDLMVTSA CSHDTRVVVGDAGCHVGRAEAGPCLHAVAALEHVELYVLDATGKRDVLSHMPRESRQA FLAHLDATSLHASMENQGGDVPTTRHGVCEMGLNREDEDEISDDDDDDEGNGPTWLAL PQSFFK H257_10065 MVKIKFNGVACVLGLTAVLVNMITLPLRGLVLPTVQLLDTPQAL LACDYICDSVMLTLFVLQLVCCRPASALATTTNPTTSLASMAAAAAAITTTSPQRVRL WIECIAFVPLDLFADVMMGFQGNFGLWRLNHVLAIVPFLRYLRITEGYFWRMSVQMRR TMYILTILPMCSHWFACIWFYIAYVERHDTYSWTNQAMYPQFYNTSTTTKYLRSIYWS ATMLTTVGFGDVTAVTRVETFMSILVIYMGILISCASIACVLKLMEHADRNQMALQER LDDVCGYLEWRNASDDLVDRALSSVRASFVPQIETTRAELATQLPHALRTRLQIEHEE VLVHTVPRFQTASKSLRAAISEHVVYVSKAPGDVLLAEGQALGGVYLLQRGDATYVLG GVVVKALELHEYFGEDALFSADEVTPYAVVCDSACEFVFLGRSHFQDMWQLEQDRHPD AAMGDDVDSDDSGGGHILAKHAATAMDSNAATSTRRRRWCRVTSADWLPNSMFRRLWT LVCFGGLLYNIYAVPRDCAFFRSHNRFEDPDAEALVDLGLFWGFDLLFLVDFFLHCRR FYVEYDGHLVTDRRRLFRRYTRSFWCVIDVVSILPLDLICLGGWYSMRDLPFFRVNKI VRLLHLTEYFEVAEALLLSRFHVHVFSRRIFRIVSILILSGYLVGCFWYYIAEITAVV YPDANWVHVDQSNPRFYFKSYAHNRFYVLRSMYFGYIGGSTLGFGDIVPVNPYETMIA TIMLLYGAILKPALVGGVASLLLTRNKTQVTHQKMLVGFKWLVTSHKLPEALKDRVLG YFEFMWEHEYYEKEASILEEAVPDLRWEIVQTMCRNDDPNAYFFRHVNEDGMRAVYAA MTPQLCIPHEVIDVPKGALGILVSGHMVVRCVVTALAGEGSDDHEMELDETDSLFRRT FGLDTFLSFGMEANEEGRIGGNHTTKTSFRSGSDFCEVLWLRAKDVADILASQMSTWQ SLRHDMQLSLESNIATNDVENDDNDDPGGGGIVDLMTTTMTKLGELPTTVKSSMQATL GHLSWPSSSHVVPMASTPQLFPFEPPTGATSAERPPSAAATSSRPRPDRPRKKHRKGK VAKWTPTSKSTLEKDALIKSRFHPKSRFRRVLNVLVVLALLYNAFLVPFRLAFFSSLQ PVSYLYGFVVDYVLDGLFMVDIGMKYRYHLLRLRQDNALLSFSFHWTVWQSLKWDIVC GFPVEVFAIDFVRRHPEKLVTVLTVCRIPKVFRLRYFTIRIKELAQTACRNRPQWSDF VVVCRYFLFILFFTHLLACGWHYLAFADKGLFPWYTDCNLLDNVHVNADSATDEASCL FAGTWVEYQIHGLYLPKDGGTIWERYSRCFNYAIQMLLVVSAGIIVPVNMVETIFCIG AIFAGIFFSAGKIGVIGEIILKVDAASTSIRQATDALAKYMAFHKMPQHLTDKALGFM EFLYRKRRKLLFQEDEIIDMLPRQLRDAIVDHCKRTRLVQSDLFATLPYEVVAAMAKQ LKPRAVAPGDVLVEAQRYSRSMFFVKPGSVQYQDPSIATKHSKALDVFGARSLLLDEP HPHTICALTSPSSSSNSSIGSMIPRPTT H257_10066 MGCTQSNLDGDKDQAKSREVDQANEEAHRLEQEKVKLLLLGAGE SGKSTIFKQMKILYGMPLTEEERRHCTPIVYNNIVTSMKILLDQCVELQLKGEVKCIE DFDEIKAASDETEVNPKTGQKIKNLWTDPGVMATWARRSEFQIVESVKFYFNDLDRIM KDDYQVTQQDMLYARVRTSGIVEEKYQIDGATFVMYDVGGQRNERKKWIHCFEDVTSV IFVAALSEYDQSLYEDSSTNRMIEAITLFDEIVNNRFFSNSAMILFLNKKDLFEEKVK KIDIKSVEVFKDFPGGLGDFDKGVTYFLSKFLEMNRQPEKEIYHHVTCATDSQNVQVV FNACKDIILKQNIRGSGFM H257_10067 MGLQDTTNGTTSPQKSQRRTGELVFEYIPSMKRTFLTHEYASYP LKFLHPSTMVAPNFPTAVACMLGYGGGIVGGDSTHVDIKLGDNATVVLGTQATTKVYK PKPLAPATLSTQHLTVRIGADSLFVHVPHPITCFQGALYAQHQRFFLHATSSLVLVDW MTSGRLACGESWSFASFESCNEVRYEVDNGEVLVVLLDRLRLLDEPHDPLAARMGGMA VFGTVVLIGPRLHSFVQLLLQDTARKSLAPHQPPVPAGATHVQNVRAAVSPLTPSHPL LTSSSSSSGAIVRVAGTTTEATYEYMRALLLPLENIVGVRCFGENR H257_10068 MEPPLELPPPPPSHAHRAFRSILIVDGSYANIGARDLPSGRINY VNLRSEIECRTGSALSECWYFDHEQKGRAYPDLRDLKRVSPHGPQFQVKTYQTKGYEC RCPQCHRHFQQKVQKGVDNGIATKMLSLVYEDMADRVVLFAGDGDFYDTLDLIKNVKH KELWVIGYERSVSPDLQQLATKVLWIEDIFHGDDVAVVEGDESPPLSQLPTVPRALLV DSASDKAAAASVVTDQIPVKTPPAHPLSVFVNNLPFDTEKAEIESHFQPHGEVKSVRM PMDPQMGKCRGFAFVQFDSIEGVESALTSSGQDFRGRKLRVRRPFEHRGPPAADVPAT TSTSKPTKTSKRKKNQDAADDGKPKRNKTDTTPRPDTEPVDLTADAVSTMWQLSLQPK HAKYVPTVIDLCDDEEGHKELNSDKSN H257_10069 MKRLHRGAATAALLVVCEGAKQGTTKGSSSKMTMDDFLYMLGML QVVIIGPMVLFFVVNLVRDPAFLDVVKGLWMTVRSRTLSDLSASAPVQHAPPSTSARQ KDPRYTN H257_10070 MFAFTVMVMRSVLFMPYCLAVAAATLRIDGWFNCSANTFPSWNA RHNTMQVAVECALVELPLCHATTAASCRSDRVIQVFVKRLLGNGVNVDKDVWVLQGGP GASSVAMEYMMLDLFTTTNGTANIYTMDHRGTGRSHPLECVAAQASTPGSVNGEAIAI DELPACIRDVLFQIDGQPTAFSVTSAATDLYVLSDELRPNGSHQVFVYGASYGTYLVE RVMQLGPSHIRGYVLDGVVAQVNHSFAQFDNDINAAGARFLDRCAKNAFCNSKFPDVV TGNLPLSNLIMRIYTRLDARQPGGVAESFCYPLANWTDTPPSHTLRRFLTTLLMNVNL RGMIPAIVYRLHRCTRQDDHVLAFLQRKIANPSQGRKGFTQLVLPTLRSPTVQGDALV EMNAMLYTLIVFSEEWTYPTPSVADLQLSFESQPFGLGVFDLVPAYCVATNFRDEACR SLSPLSKATPAPYVYARDSFFNRSSTIPLHASVLFLSGTLDPQTELHHGRAEFAALRG TQKRWVEFPDAPHCTAFQTPMLSGDIHCGVYVVSSYVMGRGRLDTINTTCMKKRVPLS FDVSPKVALAYFGTTDAFDGVLHVDTAAIVDVSVALKASGPTAATDSRTHDDAPADKD GTFGSLIPLGIMLLAVGGVVVVKRQRSLAEAVTAIDVLAPCSELSGL H257_10071 MYRIPLPLPPSAADASATWLTEDEYNMFSIHKQTKSSAASTKKR KAMEGADTAHSVAVKHPETEPASLSSCWDDVLHAKKSLIPVEDHAVYLELKQRKITAQ KVGMPAILALSDAERDTIDRIDAVVVNERRDFQKHFERLAKRELVVLTTLPEAVDKKV HEELRRRCDLAKAAYPRHYTPTSQLEFKEAAYDVAAPKHTAKALVIPGSSKPLHALPA TPSSTTSIPDDVVRATLSSPWLPSPVVSLDPAVAPLMHAHKCKVVLTTSTLATLFDNH NGHFHRAFKIPVTVTDQRQVYMDKPLVLAKWSGRAMSTKYSRRLLTKWLDSPSSVATP TANTDTDDKARTYHVWEFASTRVLVRSSLHGPKAQPVTMHAKMDYQWSLQPEQVTERD RAHIWLHSWMRGNARIVFGHFHGTDHRVSVTEETVASVVSVEENPFTKFQLVHEILHH VTELPPGRYLVDHSPTRSSIGGGGVVTVYAAVRRGDAWDDPVESTSPDTLFDLHGYLD SAGRWDRTDLACVMPQWTLPNQIPYTFLVPSYCTSYFESNGQCDRIHRRRKPCGHVHV RVGKHTGVFVCQLSVSGSASLKKEHTRVPSAPFCKGFLQQTCFKKSCSEPHVPLPALL RQVAHEVLVHTPPRPGRKKAKGGRRPPPLSKEPPKDDTQDIPP H257_10071 MYRIPLPLPPSAADASATWLTEDEYNMFSIHKQTKSSAASTKKR KAMEGADTAHSVAVKHPETEPASLSSCWDDVLHAKKSLIPVEDHAVYLELKQRKITAQ KVGMPAILALSDAERDTIDRIDAVVVNERRDFQKHFERLAKRELVVLTTLPEAVDKKV HEELRRRCDLAKAAYPRHYTPTSQLEFKEAYDVAAPKHTAKALVIPGSSKPLHALPAT PSSTTSIPDDVVRATLSSPWLPSPVVSLDPAVAPLMHAHKCKVVLTTSTLATLFDNHN GHFHRAFKIPVTVTDQRQVYMDKPLVLAKWSGRAMSTKYSRRLLTKWLDSPSSVATPT ANTDTDDKARTYHVWEFASTRVLVRSSLHGPKAQPVTMHAKMDYQWSLQPEQVTERDR AHIWLHSWMRGNARIVFGHFHGTDHRVSVTEETVASVVSVEENPFTKFQLVHEILHHV TELPPGRYLVDHSPTRSSIGGGGVVTVYAAVRRGDAWDDPVESTSPDTLFDLHGYLDS AGRWDRTDLACVMPQWTLPNQIPYTFLVPSYCTSYFESNGQCDRIHRRRKPCGHVHVR VGKHTGVFVCQLSVSGSASLKKEHTRVPSAPFCKGFLQQTCFKKSCSEPHVPLPALLR QVAHEVLVHTPPRPGRKKAKGGRRPPPLSKEPPKDDTQDIPP H257_10071 MYRIPLPLPPSAADASATWLTEDEYNMFSIHKQTKSSAASTKKR KAMEGADTAHSVAVKHPETEPASLSSCWDDVLHAKKSLIPVEDHAVYLELKQRKITAQ KVGMPAILALSDAERDTIDRIDAVVVNERRDFQKHFERLAKRELVVLTTLPEAVDKKV HEELRRRCDLAKAAYPRHYTPTSQLEFKEAAYDVAAPKHTAKALVIPGSSKPLHALPA TPSSTTSIPDDVVRATLSSPWLPSPVVSLDPAVAPLMHAHKCKVVLTTSTLATLFDNH NGHFHRAFKIPVTVTDQRQVYMDKPLVLAKWSGRAMSTKYSRRLLTKWLDSPSSVATP TANTDTDDKARTYHVWEFASTRVLVRSSLHGPKAQPVTMHAKMDYQWSLQPEQVTERD RAHIWLHSWMRGNARIVFGHFHGTDHRVSVTEETVASVVSVEENPFTKFQLVHEILHH VTELPPGRYLVDHSPTRSSIGGGGVVTVYAAVRRGDAWDDPVESTSPDTLFDLHGYLD SAGRWDRTDLACVMPQWTLPNQIPYTFLVPSYCTSYFESNGQCDRIHRRRTSPRMQII H H257_10072 MLAPTSSKSAKVANKVSPEVQKLPPTQAQRERIVALLDGRGLNI ALGVMTIFALFADDMRIGGFTTEADSAFYVLLLLVFVGFSLEMVANVYARPGYFNRGV SFTFWMDLISTLSILSDVEWVMNLIVPEDTNTKGLASTSLQAGKAGRAGTKAGRMVKL IRLIRLIRVSRMFRSKEDSKVVQLNEPSKVGRILTELTTRRLIVLVLTMIIFLPVIDM SLSSVDEYQYDAFFYLHRLAQDYNATGSVTMAAFQSSFQEYIRQCDGLILSVVVYGVS TNLTNEWMHQMQFQGLTSTGAVDTTSAYALSANPTSGWSASYLNMDLSTLRKTDLDTT SSIYACYANDGTVFDTSCFSGVVFDIQSQNVADAHSNVGKTCIIIFVFGMSIYFFTKD SDQLVIGPIERMMALVNRLAQNPLANVEIEEDLNQEYETRMLEKTIAKIGRLLQVGFG SAGTEIISKNMSGTGELNVMIPGKKISSIFGFGIIEHFTETVSVLEESVITYINTLAD IVHSDANMYYGAANKNIGSAFLIAWKICDGSLPGMRDPRDPATKPIMDAAERVKKRAD IGVLLTATGSRPRKISPQELVDSALVAVLRMRVEIHTANQPNGRFHTYLTNQALQDKF DNHFHVAMGFGLHIGWAIEGAIGSKFKIDASYLSPNVNMAARLENATGQFQCDMLISE WFMDEVSPIVKSFCRKVDRVTVKGSEIPMDLWTFDIGNYVDIPLPLVDPNGVQMPMDF ERQNFLQVLQERIPRDFFTLFNDGMTQYLDGHWDLAHTAFTAAYAKYPDGPTDVLLKT LARENSDTNGGYAAPAWWKGFRPLTEK H257_10073 MDALGLVRAAVIANRPVETEGGEVLLCSINMETGVKEVDHRFPG TLKTTFHSKASSKSYDLMAVVTCVKYAALTFPEYVAKTRAEKVNMVSTIDKKELMAYL RGDIEESVQVYDQATAALAQKRKAAAAAGEHSSRPLDSSSSVPPSKKSKDANHVAASS STADVLGETDTENEIKKRILDKEYTHRDRTSMMTTPKSFDSMLALFELVLKEERERSS GSGKVTLLTQTSTLTVPLHQAMKKALPDIPIIVVPAGVSDLLSLLNVKDFLEEGHFVT AAQKKSEGLRKPTSVTIQVKESDQTYTFKLVDSVLRFSDRDWKCVVGVIVSGHTWQFK DWQWQFPLEVFKRVCGIHIYSHGTALNQDIKKWDVKILMIHPHKRHLDKVAASEFWRH MFAHLRLRMNS H257_10074 MRVFSQLAAAVAALVLQGVTGDHWAVIAVGSTGYWNYRHQADAC HAYHVVRRHGIPASNIVLMMYDDAANSTSNPFPGQLFNHPTTFKKDAIDVYKDCHVDY RGDDVTPKKFLQVLSGDSAAGGKVLKSTAEDRVFVNFVDHGAPGFVVFPKDNLYAKDL VATLQEMHAAKKFKELVFYMEACESGSMFKGLLPTDINVYATTAANDHESSWGTYCPP LGDKIGLHLLGTCLGDLYSVNWMEDSDVGDLAKETLGEQYQHVKRKTNKSHVLAFGST DTIPDEPVGDFLGTLDQRPHDDDDLHGEDAEDLTVLTSMDVRDAEIVSKFYQYLRAPP GEARHGLAHALLHTIQARELADIMFTEIQTKVAAMAPTLAANEDPLDDACLRRVNAAV ATACGGYSDYSLKYAAPFAGFCAAGYAPDVLEAHVTAVCHRHLHGNVYRGPLQ H257_10075 MAMNDATCTEAAAPRRIAASTYGSLGGAMPTEQRSALRKGHFFE KANPLTRGIVAEKRCVGMRIHDRNERSTGRIGRRYDDMRMTSSRRAASQENESARIES CFMER H257_10076 MVDFAPEQLEECILRLMAPDTQVIKEAEGLLKQYLSSPASVIGM MTQLQGSSRPEVRQYAALLMHLKISSHWDHFDAATRTNLAQALLQRVVEEPVAVVQLG IARIIAAVAAEIMPEGQWPELFALITSCAGNAASAPARYLSMLLLNKLNEAIGGQLIE QFQNVKGFYLAGLKDAEMKVRVEAMRASCGIAQYLDESEATDKKTATEFQTLVEPMIE ILKACMASQNEQEACDFMESFTSLSEISFPLLTKAMPTLVHLLLQIFMAPDIEPSTRA AANACLNSLVENKPKVISKSGLAPTIFNAMIQAVAADNHSASGVFFSILQEEKDDDEE EHMTQMAQRTLDYMALSLSPKTLKPIVLQCAHQVVGSSNPSMQKAGVLALGVVSEGLS EFLSENLASVLPLIYTAAASTHHNVREAACFSLGQFAEYLQPEIQTHYVDIIPVALAL LDDPTPDVKATSCYVLEVFTESMEPDEIEPFLNGLVEKLVGLVQTQKPGIQKLAISAL GSVSVGAKTKFEPYFAGVCDLLQPFLAITDKKYLQLRATAIECLGYLVVALGADKFGH HIQVVMPYVFQTMQFNDDELNDMCMGFIINASNIFKKAFLPYADTCVQAILPMLTPDN GVEICRDNDQALEAFEDDDEIDATEHYFKVHTATTEMLTRAVQCIEALATNLGGGFDR FVPDILVAMSLMVETIHESVRAVVPEACAALVVTSFYATTPAAQDGDVKVWTQGQLLE LHPRTKLLLDKLMGPPLGDVEAGQIQSVFQELLHDSDPAVVDGTLRALTTLTTELGAV VLAPYFDVIGKFSETTLELNNPDDEDGGHGDDDDDDEDDGDKSTVVDLLTELFGAMAK AFGPTLLPLWQPLFPQFMAYLQVGVHADKDRAAILGSFGEVLPELQAAGAQYVAEVVP ALFKGAASADSSLQRNSAFSLGAIVRMSGPALAPQYLQLLQALAPLFNAKDEAVVDNA CSAVASLISTAPDAVPLDQVLPVFLKALPLKADFTETENVYGALFKLLEMQHPVASQH MGDIVSIFAQSLGDDSDVDEDVQGKIVACFKWLVASFPDQMHAIVGALDGQQQATLQK VL H257_10078 MGLRVMMMALCSAVVTSSAFYTSASAVKNLDPKSFEKEVVGGKG VWLVEFYAPWCGHCKSLAPEWKKAAKALDGIVNVAAVDCDQHKELAGKYGVNGFPTIK VFGENKRSPTDYQGQRTAQAIVDAGVKEASKLAKARISGKASSDKPSSAAQDKSKPKP KAKPSPASSSAVVTLNDDNFDDLVLNSGDVWLVEFYAPWCGHCKSLAPEWEQAASELK GQVKLGALDATAAERKAGEYGIKGFPTIKLFGPTASSASDAQDYQGPRQASGIVSYAL NQLEKLGGGLKVPEITSTAALHDHCAGKSICVVALLPHIIDSGAKGRTDYLSQVEGAA KLVRGKPFRFSWVQGGDQSKLEHAFDLSFGYPAVVAISLDKQRYSVMRAAFDSKSIAT FLEGIFSGKEATYPYDKLPAIQSVVPWDGKDAKVETVVDDDDDDILKELGLGGSDEL H257_10077 MVVALRAELDVKARRRWQLISDHVVTLTLRSLALAVGLLPPFMF VPLGRLFGRIGHAAGLRRNIVKANIALSFPTMSAVEQKRLVRETYENTCVSLLWFLHI RAFGRWQDMERYVDVAFPREYVADLRNGPVIVTSAHLGCWELLPCVHAPPNLLVDHVY ELYRPLHNLPLNKWLLTLRSYPHTRLLPDKQCLTALTTILTEKSQILQNNNADVATPA SAIVALVCDQRPSRSGVLVSFRNRPTIMAPGAAVLHLRTGRPVWCTALVLAPAGHPKP FLLHTLPVIRHTPGNEEKHTAQTIMELYASTVSSLIETYPSQYLWLHALWK H257_10079 MSRKKSANKVAAAAKESGAQTTTEAKPSPTKEVNPSPAKKAQSV TKLAIEPGFFGEQIHPRHSLVCENPTEDMAFQLSGAALAGDAVAGRTTLYVSTERRDL KIALCTLDTASTAQWALNNTFTPMDGALTFSTEGVNSVHLTAYVDAEMDGDVSDDDEG LYGLGPDDDDSDDNDLLDVEDEEDDDEVQDSGRFEEVVEEDKNGAAKKDAQAAGKKRP LEGASAVAEDSKKAKPATIRKAGGVTVEEVVLGKGKEATKGRKVQILYKGKLAKNGKQ FDANQNRKSPFGFKLGAGDVIKGMDIGVEGMRVGGKRTVTIPSKLGYGSEGAGKDIPP NSDLIFELELVNA H257_10080 MGSDITQEFHKLAKWKAHHRQEDKEDARHPSSPFMQTAVDILHD MQKIASFWEAKRKAYLNPAHFLPSKAMAFTDMEREELEDSVLDSYKLCEQRVGELKLL VFPAHGIPQQVVKVRSEVVHYLSDRIQRGVASTKDEQKKRLQRPFFLSKKLVPNGIDL SRQAIVLPKPNATSTSTCASTTSTPATTLSHEIDTQTSLDIPVPTTSTTTTTPTPPSV PSPLRTSTSSTTMPFQPPSDDAVVFTEAESRQFHAENVQLHRHLHEEIDAARRVEKQV HDIQGMMHQFASEIGGQAEKMHVVAQEAEAAADNVGHGNLSLKHAADHGHGFGFAIFC CYLAASTLLLFFHYY H257_10081 MSAPQLDQELFFRRLNNIYASWRDPKNAEWDDVESFLVLAGKPN PDDSGYRKSAVLQFYLLGFLEFPETLMVFTKSKLLVLTSGKKYTMLEAILGGNDTSDV KLELLKRDKTDGNKANYAALIAAIQADSVKKRVGVLAKESPDGDFVQLFQKEVDAAGM DKIDISKGIECALRVKAPEELENIRWAGALTKKVFQSKFVEDMEGIIDEDKKVKHDAF SADIEGVFEDPSKIKVTLSPEDVESCYSPIIQSGGKYDLKPSAQSSSDVMKFDVIVAS VGARYKGYCSNVARTFFVTPTKSMERSYELLHEAHDMCINELRPGKTVSQVVDKVTKL VESRNPKLAKLLTKNFGFGIGLDFREAGMVLTAKNHHVIQAGQAFNVAMGFQNIPLKD ADRPRHLPDTYSILLADTVSVQPDETKILTKVSKAWTKVHYVLDNDAEDKHAKAAKAA KDKLDKQQKQRRARQEQADNDDEDAPVSSNIMQNRLRDKQRQSEGKQTDQERRERHQA ELMKEKREEAMRRLEEQSSDAVQGKRQDRNAIVAYNHSSRYPELKPRQVAVDMRAEAV IVPINGIPVPFHISTIKNVSKSEEDKATYLRINFHCPGVGGTLGKDASPAMQAAVAKF PSLMFIKELGFRSSDAHNLNNQFRLIKELQKRVKQREQQEQEESDLVVQEDLIMQRDR RVPRLSDLSARPTFSGRKTQGTLEAHVNGFRFTTNKNQKLDILYANIKHAIFQPCDKE LVVLIHFHLKNPIMIGKKKHQDVQFYTEVIESSQALDNRRRSMYDPDELDEENRERSL REKLNLTFKDFCQKVERVSEQIDKTIAFDIPYRELGFSGTPFKEMVLLQPTVHCLVSL TEFPFFITLLDDVEHVHFERVFFGSKNFDMVFVYKNFNLVPVRVSAISTNELERIKEW LDDINICFTEGTANLNWKQIMVTIKADDRFYLETDEDGVPKPAGWEFLRMDGSDDEDG DEDDEESEFEGSASGSGGGSDGSDSDDSDDDSDSDVSLVDESDDDGSADDDDDEDDGP SWDDLEKEARASDMMRNEKKEADSDEEFKRKHKKKTAGGGGPPPKRSKH H257_10081 MEGIIDEDKKVKHDAFSADIEGVFEDPSKIKVTLSPEDVESCYS PIIQSGGKYDLKPSAQSSSDVMKFDVIVASVGARYKGYCSNVARTFFVTPTKSMERSY ELLHEAHDMCINELRPGKTVSQVVDKVTKLVESRNPKLAKLLTKNFGFGIGLDFREAG MVLTAKNHHVIQAGQAFNVAMGFQNIPLKDADRPRHLPDTYSILLADTVSVQPDETKI LTKVSKAWTKVHYVLDNDAEDKHAKAAKAAKDKLDKQQKQRRARQEQADNDDEDAPVS SNIMQNRLRDKQRQSEGKQTDQERRERHQAELMKEKREEAMRRLEEQSSDAVQGKRQD RNAIVAYNHSSRYPELKPRQVAVDMRAEAVIVPINGIPVPFHISTIKNVSKSEEDKAT YLRINFHCPGVGGTLGKDASPAMQAAVAKFPSLMFIKELGFRSSDAHNLNNQFRLIKE LQKRVKQREQQEQEESDLVVQEDLIMQRDRRVPRLSDLSARPTFSGRKTQGTLEAHVN GFRFTTNKNQKLDILYANIKHAIFQPCDKELVVLIHFHLKNPIMIGKKKHQDVQFYTE VIESSQALDNRRRSMYDPDELDEENRERSLREKLNLTFKDFCQKVERVSEQIDKTIAF DIPYRELGFSGTPFKEMVLLQPTVHCLVSLTEFPFFITLLDDVEHVHFERVFFGSKNF DMVFVYKNFNLVPVRVSAISTNELERIKEWLDDINICFTEGTANLNWKQIMVTIKADD RFYLETDEDGVPKPAGWEFLRMDGSDDEDGDEDDEESEFEGSASGSGGGSDGSDSDDS DDDSDSDVSLVDESDDDGSADDDDDEDDGPSWDDLEKEARASDMMRNEKKEADSDEEF KRKHKKKTAGGGGPPPKRSKH H257_10082 MALGSAVEGQVQRAATELPGAVLRQLFVLAVEQLSYPSPTSPAL PALDSASSVVADVAKQNLTSESTRRLVEELGVVENAVDDIAGLLHTSVPNIRALGGVS GLELNEVVGVSWQLDHCIRSSTYGNIREQLYLIVLTTKSPRTGVVATVEFTCTVAQLE ELVYKLQEATHQIDKAIASFADPLSSA H257_10082 MALGSAVEGQVQRAATELPGAVLRQLFVLAVEQLSYPSPTSPAL PALDSASSVDQSVVLKEAYAAICILVADVAKQNLTSESTRRLVEELGVVENAVDDIAG LLHTSVPNIRALGGVSGLELNEVVGVSWQLDHCIRSSTYGNIREQLYLIVLTTKSPRT GVVATVEFTCTVAQLEELVYKLQEATHQIDKAIASFADPLSSA H257_10083 MFWKKTDEPKKASRARAAPPSQRSGGNDDFDLAQFGIKPVSDKD VEAEMAKMMAEISNGSSGDMDASDDETELMRQIQQIGVDVYPSSLRAVSLSMGSIHSN DENDDDGELSDSCLDDPHLQAELQGILGTAKNTPSSREDDERLRLQHLVESEKQNAIN LKRQGHIHGALEAMRVMKAYEAQLEALGPPVSAPPSQRRRPVAAATSALSPTIDDDDD DNHHTIEVTDDDLNNPEFDAMLNGGGASTVKSKAAAAASATPPQAAAVDVHDNDTVDS VQAQIAALKREAMALKHANNIPQALAMLKQARAMEPKLVELMQRRHAPPLSPGVPTIP SDSSHANALESRGQQEGPHEMATSCTDSDKEEEGEAIHVTDDDLDDPQFDAMLQHIDQ GKDTERSKSTALDDALDDDIAALKHRAMQLKQANRVPEALAMLKRARAIEVKATHRHT ADLPPSSADADMVSSSVVGNPDTITLSVDAINKVPTHDDMSSDHVILAETGGSLAVAD DNTIEDIALRPRTSSSQLIDEFEEEEGEPFHDAVSAPPPPSSSIMSVQEQVNAAKTLA VALKKQGNIHDALVQLRLAKDLEATLQANSGATLYDQQQRANAFESIEKQLVEFGNEC RVQATRLLSIDRGRAQEQLNLHKTYVAALETLRAARADPRQPPPSTHVEERVDMEEHV NVDVPVDRVQLSVLQMRSTSPATTAKDLFVKLLLNVPSQNPHELTTATARGSAAGLYT YNAVSVFPIQRNRGLQKLVELRKAQVEVFTAAGFFTPAQSVGKVTLPLSPLLTAAEIH CWLPVMVNRRPCGLDVQIRIKLNVPLRDKEFRPVKTRVFVVDSYPPPVGPTTQQTPAT TPPAIPVDVRAIAAAAPPPSTVAKRKASVVSERTTDDDEDDDPHAVDKIVSYDVICQE VAKLEEKIQGGLNAPAFVDRFESLALKKQVGNDESVFDGRMRSQSLCVYVRVVAVGDR HPDGQADGSHVRGPVECSHHHGHGAGALPPSSRSQVGRREGAPPCQGHATGSSVRDDD GTTRRVIGIPVVINTCL H257_10083 MFWKKTDEPKKASRARAAPPSQRSGGNDDFDLAQFGIKPVSDKD VEAEMAKMMAEISNGSSGDMDASDDETELMRQIQQIGVDVYPSSLRAVSLSMGSIHSN DENDDDGELSDSCLDDPHLQAELQGILGTAKNTPSSREDDERLRLQHLVESEKQNAIN LKRQGHIHGALEAMRVMKAYEAQLEALGPPVSAPPSQRRRPVAAATSALSPTIDDDDD DNHHTIEVTDDDLNNPEFDAMLNGGGASTVKSKAAAAASATPPQAAAVDVHDNDTVDS VQAQIAALKREAMALKHANNIPQALAMLKQARAMEPKLVELMQRRHAPPLSPGVPTIP SDSSHANALESRGQQEGPHEMATSCTDSDKEEEGEAIHVTDDDLDDPQFDAMLQHIDQ GKDTERSKSTALDDALDDDIAALKHRAMQLKQANRVPEALAMLKRARAIEVKATHRHT ADLPPSSADADMVSSSVVGNPDTITLSVDAINKVPTHDDMSSDHVILAETGGSLAVAD DNTIEDIALRPRTSSSQLIDEFEEEEGEPFHDAVSAPPPPSSSIMSVQEQVNAAKTLA VALKKQGNIHDALVQLRLAKDLEATLQANSGATLYDQQQRANAFESIEKQLVEFGNEC RVQATRLLSIDRGRAQEQLNLHKTYVAALETLRAARADPRQPPPSTHVEERVDMEEHV NVDVPVDRVQLSVLQMRSTSPATTAKDLFVKLLLNVPSQNPHELTTATARGSAAGLYT YNAVSVFPIQRNRGLQKLVELRKAQVEVFTAAGFFTPAQSVGKVTLPLSPLLTAAEIH CWLPVMVNRRPCGLDVQIRIKLNVPLRDKEFRPVKTRVFVVDSYPPPVGPTTQQTPAT TPPAIPVDVRAIAAAAPPPSTVAKRKASVVSERTTDDDEDDDPHAVDKIVSYDVICQE VAKLEEKIQGGLNAPAFVDRFESLALKKQLLEIDIQTGKLTEAMYVDLLNAAIITDTA LARSLHHQGTKKQHVQFVGFVWFHMWCRSGRGHWRLYDDVGRKLDGARVLHRVKVMQQ EVASATTTAPPEES H257_10083 MFWKKTDEPKKASRARAAPPSQRSGGNDDFDLAQFGIKPVSDKD VEAEMAKMMAEISNGSSGDMDASDDETELMRQIQQIGVDVYPSSLRAVSLSMGSIHSN DENDDDGELSDSCLDDPHLQAELQGILGTAKNTPSSREDDERLRLQHLVESEKQNAIN LKRQGHIHGALEAMRVMKAYEAQLEALGPPVSAPPSQRRRPVAAATSALSPTIDDDDD DNHHTIEVTDDDLNNPEFDAMLNGGGASTVKSKAAAAASATPPQAAAVDVHDNDTVDS VQAQIAALKREAMALKHANNIPQALAMLKQARAMEPKLVELMQRRHAPPLSPGVPTIP SDSSHANALESRGQQEGPHEMATSCTDSDKEEEGEAIHVTDDDLDDPQFDAMLQHIDQ GKDTERSKSTALDDALDDDIAALKHRAMQLKQANRVPEALAMLKRARAIEVKATHRHT ADLPPSSADADMVSSSVVGNPDTITLSVDAINKVPTHDDMSSDHVILAETGGSLAVAD DNTIEDIALRPRTSSSQLIDEFEEEEGEPFHDAVSAPPPPSSSIMSVQEQVNAAKTLA VALKKQGNIHDALVQLRLAKDLEATLQANSGATLYDQQQRANAFESIEKQLVEFGNEC RVQATRLLSIDRGRAQEQLNLHKTYVAALETLRAARADPRQPPPSTHVEERVDMEEHV NVDVPVDRVQLSVLQMRSTSPATTAKDLFVKLLLNVPSQNPHELTTATARGSAAGLYT YNAVSVFPIQRNRGLQKLVELRKAQVEVFTAAGFFTPAQSVGKVTLPLSPLLTAAEIH CWLPVMVNRRPCGLDVQIRIKLNVPLRDKEFRPVKTRVFVVDSYPPPVGPTTQQTPAT TPPAIPVDVRAIAAAAPPPSTVAKRKASVVSERTTDDDEDDDPHAVDKIVSYDVICQE VAKLEEKIQGGLNAPAFVDRFESLALKKQLLEIDIQTGKLTEAMYVDLLNAAIITDTA LARSLHHQGRKLDGARVLHRVKVMQQEVASATTTAPPEES H257_10084 MADLKHPFEDKYLDLKDENIALKKKKNEQEDTIKRMYTKLAMIE ETLKRKEKEQVPEADSPVKGGVAGTSFRRDVETERFIHELRRENAALRKKTQAMTESS RYGFQKDKQKVAASKKKGPVPVKHQSSPGHHHHQTPPSSNNTLEGHSSMARAMHHAHH YASSSSTAAGGVRGRDDKSLEAALKARMVTAERHVVQLHRENADLKESHHHHHNNNND GMNDSVEQLQRELRDRQAQLVILNARFDNLESKAMAEREIQEKTLEQMDNFNRVIHRL RSELQEAHMVRDDMEKKVAKAKDMREELEMLRAQNHTLEERMTSLCESPFINDAFQRK ERIDKLVALESQTKKQQHAIDALQAEGQKHVAVIQELQANIRLLKQAKDGADQELLRV KQLLDDERLQQRQPHQVAPPLTHQPSSPVLVQPPQRQASSKSTSPMHQQSPPSNQGDP PLAFQTIPPRATGALPLVLRRGIVPDDPSHVAFLEGTDSLPADNSVAYLRHKVHTLQI AHLTSTQELERCEKMLQAQTSINRELTFEIEELVTRKDAGHVALRRKLDEVELVADTR LRKVAMLEAQLRQLKYMRSSGKKTTRGNKPQGNDDDDEEDLLSLGDEDDEVNAQGGKL VRRSRPMDLLADSAVDLAAGENLLEIWIVGGDFDSKYVNGSGCTFVLCDFFDFESQST SLVLGSRPAYNFAASFKITADAFFLRYLASESLALEVHQAVKGDFHVVGRTSLRLSPL LVHSSGALKDSAVPVRHVTTNATIGRLHLVIRVALPLTEIWQLHLQACPSDGAFLTAD HATAASAHAAAVDLVPDDAPLNDLQVSMVACRKLLTSSGIPPSAYVHYQLLGFPDVFT DIVPNSGAPTFSNDHGGNHWFTLAVDPCLKQFFKKVKLRCTIFDDNASSSSGGGGVLG SCDVPLRSLVDGDPVDGWFDVVHESTSSSPAIVGELLVHLSWKHPFQLVDQTNHKNAL TQTQVHDLMVAFSPLHDGRVNYRAFLAYAHASVFASALFLDTIQSIQAMLHGAVAAGR DVPSAIASATSSTPALSKDAVARAFHAAGLSLPPDQVQVLIDVLGDVNGFIHPVELWR HVQPTPSCQDRFVAQTCRDVVRRFELTERQPTKVTAPFERYDPTHCQYVSRAEFKRGL GVLGFVVYDPEADQAKADLLGKNPSTETRDRLMSTPQDVVVAVADEAEVEVLNPKTTT SATTEFEQRKAAFTKRMKQAADASCKTSYVLDAPKLPSLSSNQQQPLPPSRAARVIQQ RFRAYRQHGTSSIQRSSATTAASTMVGNPQLQRETLLDVEAFLAQTLTVTDDLKATLV RACQELDHAKRGRLSRKQMTFALRPLCLTPPHLRCLLDAFRTDDGISNGQTVVMYAPL LHFALTAKHVLPPLTKWLHTLVLEEADMVPFAHADGKCDNVLPFDVFQSCLKRKCPHL SSTQVNLIAQLFDVSGFGVHYRAFFEYMASTPMSVSLQKIKASLRSLSPPTKQAIQVA LAQVPQDESLTKLQLSALWKQHNVELMPADQSVLWAVLDPSRLGTVSPASLWTVLFQP SSYPACLNSGETAPLDLALLQQLSWNSRRYIAPDSDAVLAAFTRYDWAKTGGIGVHEF AAVVQQLGFIVTSTHASQQLARHFYSHGHVQYSRFLQWSRGPDVSYPVLQTRLQHFVQ NVAADQDVEVAAVVGQWRQAFPPPPVTRHAFASIVAQPPLALPLNANEIRAVLYHLDP DCHDVVDVHTFLRFTDTENAPDDRPRSLDAVADGEQGTAVLSALRSVVAKARKHDVLH LFQAYDGSKQGVVEPDIFVLVWRKLGVDLQPPDVHWIFAEFAKDGHRLAYRKFLRHHL QDQDGDDDGDDDDDDAVAHARRLDKRRALLRDMLQTAAAERPNEYAAWRQQVRVRAKG RAYLSRDKALSILAKSQANGSQLIDMPVFERCLHVFENVRDDTGGDGDNEGNKTKHKV CMAMLQACLESAAPLPPPHETSTRTTSDGDETVLQDSVRVLGMVLRRCVEQGVDYRRA IDRHDDPAWSGLVTPAQVKASLMELGFNVVADGMRCIGSLIGAFRFSSDNQNDAINYI QMVHAGRHAADLPPSDTSTTWQVDEALRARVRQKCHVTFHPDGVAAVCAPLARAFGHF DRDDVGYLTLASFTAGLHALHYHPSAAETQALFDSLAIFRLATPAVVSRVEFDAWALD PHRHDLLAHLHSRIASFSELSHALAAADPRQTGELPVATFGDVLATHGVRVSQSDLGR LQYLFDVNRTGTVLSYKLWLRVVARSLAANSNAAINVDDMEVHDSSSVLAAVHRTLKT YMIDANTSHQGGVTASARACMEAADASRGGVLAVADFFLTMKHMGLVLAPEQVRELVL RYPGGADKRQIDYRALLADAAPADTTVMQRVMACVEDALERGIDVLSRLRRLDTTGHG TLTASQFRVGLEELGAAVRDTDMPLIMAGFGGDSSVVELRHVLQHARVQATKALLCKL EPLSDAVIAASAMDRATFASLCVSQGISPLSAAQWTILDDQFGTCDMIDVGRLVQSVR AVGVQSVLHQLRDGFRAVGCVAKDLGQACLTLDDDYTGQLSSSQFFDVLQQVMGTPVS LSKRRLLHHAPWVVLDHVNYRAFVAAVFHSQV H257_10085 MSDDESKPVVAAPEGADATAVDTHDAAASTDDAAVEPIPTAPVV KHPLQHRWVLWYDNPKKKLANESWEENLKVVYTFDTVEDFWGMFNNILPPTKLLVGSN YHLFKEGIRPMWEDPINAKGGKWVLTNNRQRRARLDDAWMNTMLALIGECLEDDDDLS GAVVSVRKTQDRVAVWTQTATNEPLQRKVGSNFRKVLDLSKNEGLKYQSHADAAASGS SYQNEVVYDA H257_10086 MDPQVDIDVNEEDDEMILEDEVTEEYELGDMPEDDDDDDMDMGD ENEAEVTAEVVDDARQVFRGHSGPVYALALHPLDKSIVLSGGGDDVGLIWSLDDLSVK HVLKGHEDSVVAVGFSCDGSFAATGGYDGVVKIWVVQTGALVHTLDGPSQEIEWIKWH NKGNVILAGSADGTAWMWLAATGECMHVFAGHEDSVTCGAFTGSGKMIVTGSADATVR LWNPKTGECNHVFRGHEFHEGPICDVVCHPKQPVMISCAQDGTARLLQLQGKRQLAVF VHGVTESNRGTVADDEGGAVSSVECAGFCNTLNWAATGDLGGELRIWDLATYQCRHIC RHDAGVIKLLWHPTEPFVFTVTTDGVIHVWDARTGQLQKRFTGHSEMILGAEFVVRQG VTSDLVTASEDETVRIFTLN H257_10086 MDPQVDIDVNEEDDEMILEDEVTEEYELGDMPEDDDDDDMDMGD ENEAEVTAEVVDDARQVFRGHSGPVYALALHPLDKSIVLSGGGDDVGLIWSLDDLSVK HVLKGHEDSVVAVGFSCDGSFAATGGYDGVVKIWVVQTGALVHTLDGPSQEIEWIKWH NKGNVILAGSADGTAWMWLAATGECMHVFAGHEDSVTCGAFTGSGKMIVTGSADATVR LWNPKTGECNHVFRGHEFHEGPICDVVCHPKQPVMISCAQDGTARLLQLQGKRQLAVF VHGVTESNRGTVADDEGGAVSSVECAGFCNTLNWAATGDLGGELRIWDLATYQVHTSI ALGYIYSVLVL H257_10087 MGLIGNILGFQGKGKIEVVVDRPYYMSGELVKGKILVEVTSPIQ CNEVVLLASGKEKVEWKDNGSTHTGVVEFFKKKIVLYCVERILQPGTYEFPFDYQLPD NLPGSFDAKKESSDVVAKIEYSMTGTVIVDGVFARDLMKKAVLVLYTSHAGQLVQPSV DTCSRKVSYLCFDQGTCAFKASADKTVYNTTDTPQIHIDIQNGSKQNVLRIQCRLVRQ DFLTAADGATKTVRTQLCDASFPGVPAHAVISQDLPFALTTKLLAPSTKGSLLVVTYV IHVLCDLPRTASDILLALPIEITPPVLRQAVPSTTQPSTASAMPPSPKHTITVPTDGT TAQPPIVIATTDRSTLNDHSMSTAPSHEEATTTTPRTKRATMAIVQPAPRLEPPFNPP LAVETTPATTHGQMQPMQMIAPYPQPIQQIQFGTMPTYGGVTTSPPSTMMIMPPMMAM PGQNRHMVMQHPSNMVTLPGNLGGFMMQPQVSPGMHMMSNPRPPPTNNLDTVSVDGGG PADVLFVNLTPMPIDLLWVDTVGVESFYARLHPTESYLQPTFTNHMWKVGQCGQILLA YRVRVGGQRVEVLGPGLANYF H257_10087 MTGTVIVDGVFARDLMKKAVLVLYTSHAGQLVQPSVDTCSRKVS YLCFDQGTCAFKASADKTVYNTTDTPQIHIDIQNGSKQNVLRIQCRLVRQDFLTAADG ATKTVRTQLCDASFPGVPAHAVISQDLPFALTTKLLAPSTKGSLLVVTYVIHVLCDLP RTASDILLALPIEITPPVLRQAVPSTTQPSTASAMPPSPKHTITVPTDGTTAQPPIVI ATTDRSTLNDHSMSTAPSHEEATTTTPRTKRATMAIVQPAPRLEPPFNPPLAVETTPA TTHGQMQPMQMIAPYPQPIQQIQFGTMPTYGGVTTSPPSTMMIMPPMMAMPGQNRHMV MQHPSNMVTLPGNLGGFMMQPQVSPGMHMMSNPRPPPTNNLDTVSVDGGGPADVLFVN LTPMPIDLLWVDTVGVESFYARLHPTESYLQPTFTNHMWKVGQCGQILLAYRVRVGGQ RVEVLGPGLANYF H257_10088 MGLATTTIRRRAPRHRAIEASDYDALIKKDIELYPTENPLTPSV LATWFRRHPEFGMMYDTFGCCIFVPLIPSTWNKFIRKEIDEANLVDGIFDATTSSTGE LCLHMYHIEKSDKWTREFERMATVVLHDVCMLIHQLNRHHSPSLSPIRVVGCSALTAS DAGYRMARDVFHMSLLFEPVEFLFRHCTLGHVAIFSASDGELLSPNEWTKIGEARLMA VTCTDVCAKVFETTGKMKHNTTRM H257_10089 MASGANFFRGTTLDQDSRFFNKHKKLLAKMEFPTCFKHKVEISK VNKEVMHQWITEKITQVLGFEDDIVVSTAINLLEPTHPLDPLNPKEMQVALTGFLEGD AASYMEELWTLLVSAQENPTGIPQVFLDKKKKEMEAKRDQDAKDRERLAKRVESFQPP SSSDIGRTKPSRFNDRRQEGPNRRHGSPPSSRRRSPNPSPPRRQRASPPRRSPSPNTR GRQTTRRRDSPVSPVKKASPSPRPTRSKSPTSKSRRQRSPSTPRAKALSPRKPESPTK DTPPRKSRHRSSSPPQTTPVSRRPRRSATPPSRGRPPQSPDPPTSSRNHRSPSRDRGS RRRSGSRDRRRAKSPARRSRTPPARRSRRSRSPSKDEFQREKKRSRRDDDDDDRRRHR RRH H257_10089 MQVALTGFLEGDAASYMEELWTLLVSAQENPTGIPQVFLDKKKK EMEAKRDQDAKDRERLAKRVESFQPPSSSDIGRTKPSRFNDRRQEGPNRRHGSPPSSR RRSPNPSPPRRQRASPPRRSPSPNTRGRQTTRRRDSPVSPVKKASPSPRPTRSKSPTS KSRRQRSPSTPRAKALSPRKPESPTKDTPPRKSRHRSSSPPQTTPVSRRPRRSATPPS RGRPPQSPDPPTSSRNHRSPSRDRGSRRRSGSRDRRRAKSPARRSRTPPARRSRRSRS PSKDEFQREKKRSRRDDDDDDRRRHRRRH H257_10090 MGFLGGLLHGKGAIRVNVDKPYYISGEVITGNLAVDVLEPIECN GVVLVVTGKEKVYWSENHTETNANGESRSVTRVFADRREFFKQKIVLFNVQHSLAPGS YIYPFQYQLPPGLPGCFDNQSDAGVKAKIEYSIKGSVDVAGLFSKDLKSRQKLTVYAQ LAGVVAPSADQKVQTVRLFCCISQGTCSLRAIMDKNMYGPGETPQIHVDVQNQSSRDV TVMRCELRRHVQAHASGKTRNMTKVICHAAFAGVPAGGAVSQPQPFQLTGTSMYPSTR SALLSCHYTIDIVCDIALCPDVELHLPIALGAPTLVPVLTAPPFGTTYSQQPTVNQTP YQGAHVSSDV H257_10091 MIDFVLCIHVVVTGSTTLHHHPTCTTMLQQSIGRRVTQSPAFLL SNESMDTAAAARPIDTPAATRMGAFTQRTTHPSTTTALRKPTNPTSNEPLMLHCVV H257_10092 MVLFNVQHSLPPGQYVYPFSYQLPFGLPGCFDNDGNGGVKAKIE YSIKGAVCVDGLFNRDLKKKQCVTVYAQLAGYVAPSFDHVEQTIRFLCCFPQGKASLR VAMDKNMYGPGDVPQIHCDIQNHSSRDIRSMKCHLRRTTVVTGSGKRRVLTKTICTAT FPGVPASMSISQPQPFQLTGSGMFPSTLASFITVSYTIDVVCDIALCPDVKLKLPIAL GAPSLLVAPVTAASAPPMYDAPMAAHEGLPYATEVSAPAKQVPPSSSFADKA H257_10093 MFYVVPTDVWADFSILAEISIDTSASHVFDRILDLDGYGAWSTY ATHATKASSEDSPDRKWMVVASSPMSHWLL H257_10094 MGRAEKRKAAVQRRPPSQVVDSDSDEVEFQPTKRSKRSSQSQRR PPPSQLSQSSQRIHNDEEKDDAHEDDEAGDLDFTQRDTEQHVAMSQVYDDDDEPARIA NMNPKTKEDLTAKTVRYLLYRANSHNPVKLGDMTKEIFKDHRNAARHFLGVAAKKLES TFGYRVVAVDDAMLGDAAASKKDVFIIVNNIADQKHLQKINKCHGMKERGLLMMIFGL IWCAPRRQLDEDALWKNLSLLDSSIRDKRNAQLGDIVPLVKTFESQLYLACHSEIDHD GNRVKSYGYGPRAMAEVGKAQILTFVCKLINGRHPSKELLEELEHEENLV H257_10095 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGV KRISGLIYEETRGVLKVFLENVIRDSVTYTEHARRKTVTAMDVVYALKRQGRTLYGFG G H257_10096 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRP GTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQGSAVLALQEAAEAYLV GLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERS H257_10097 MATSPRSSDPGAFPPPSPSHQSLPDVTEVQPPFMAKHPKGFGEM VHMEGIVINDTYLLPVPHLAQWLPDSNAFYPNERNIDTQDKKDAQDFDRASTYNRIMS QNDVILGDIRRHPRQQPVSRAYLRAGPRASLFFDPTKVKAAIVTCGGLCPGLNNVIRD ITLALWNLYGVRDIYGIRMGFEGFHNWTDLKQKEAPIMLTPTLVGGIHHNGGTILGSN RGGFDVEKILSFLTKNGVNQLFVIGGDGTHRAANIIADECRARSLPISVAGVPKTIDN DVDLLDRSFGFNTAVEEAQRAIRSAMIEATCVPNGIGVVKLMGRSAGFIAAHATLSSG DVDLCCIPEVPIVLDGPRSCLAHVERVVQQKGYAVVVVAEGAGEELLGTSAQVDAGGN KKLPPIGEFIKGELLRHFQANDKVATVKYIDPSYMIRSVPANAADSLYCMLLGQNAVH GAMAGYTGFTVGLSANRVVFFPITAVTKNSPRCMDPIGRTWERVLCMTRQPNTVREGW TAPGATSSNLI H257_10098 MTEQESIVVTIDAVRVCEERIRIGVRDKELDLTLLLDEDELAPL FAGAAWAGTLVWDAAVVLANHLLNDVRLESLRVLELGAGIGVPGMVASILGAKHVVIT EQPELVPLLRTNIRRNFPNGGVTATALSWGVAATNAFCDSFGAFDVVLSCDCIYQPLY GESWCALAVTMDVLCKRNPACVVLVSVERRHEDGIDAFLAYLPEATSLHATLYRTIPK IKKSLGDEGVGLELYRITLIQQ H257_10099 MAAAAPQYAALFRWAHHCDSVSIAGSFNNWGEKFPLEKHADGTF SIALDLAAGLYQFKFLVDGKAWKYDPDYAFAPDGYGNLNNFISITATAGEVVQVPIPT MLRGPDVHELPTMNPAATLASSDVRKRATPPTVRQLSPTTLRTKLPSSKLAVAVPTAN DVTVLQSPRVKPRSELKKLPPALIKRDSMIKADNDAEYGLNVTDEAGRTRTPSAGSIT RSKSEESLKALDVSLESRPFNAPPAPKKLSAAHREFSQTSILLAARTEHFGGDLVTQE HQLRQQDGGHRSTLSYPVSMANDAQQRSGKLLIILVGLPGRGKTFLGHILARHLTWMG HATKVFNVSEYRRTMVKTEVTHHFWDPENTSDSQKRAEIGETCLKDALDALANDSCTC AVFDATNASVSRREEIREAAAARPFKYELLFIESICDDPELIAISINEMKLNSQDYAH YTLDQVVDDYHQRIEHYRDIYAPLEETERCSFIKIIDVGRQIFCNQVYGYLQSRIMFL MANLQLRPRPIWLSRHGESMYNTQGLIGGDSPLSPWGVKYAQQLDKFIQAHYPDDTRL SVWTSTMTRTGQTVERIAAHGRVVVKWKQLDEIDAGICDGMTYEQVATQYPDEYRARQ TNKLHYRYPRGESYQDVIHRLEPVITELMRLDRPVLIVAHQAILRVLYAYLTNKHPEE CPTIEIPLHVVIQITPKAYHCEEVWHHPI H257_10100 MNSHARWRCLLVCVVILAQWKLVAGMMLVDLGKRYGLDVSNSNT PMHEGALRQIMDGVRDEKPDSMYLFGLMQFYGHGVTQDKDAAIRFFRKAAADRHVDAQ FALGLLYHNGADGVRQDDAMAYSFLKPSADRGHADSQWLLGTMLRDGRSLPFSTTESA SLAFELIQESASQGNPHGQFHLGVYYEYGRHNVPQNLTTAAQLYAVAAAQHVPDAAFY LGLMYAYGRGVAQSLETALEHFNTAAALHHGPAMYYLGLMHVHGDGGVPVDYTRGLYW FDKALATKDLSVAARAQHAHDELAALLAQATAHRDAVLKGA H257_10100 MNSHARWRCLLVCVVILAQWKLVAGMMLVDLGKRYGLDVSNSNT PMHEGALRQIMDGVRDEKPDSMYLFGLMQFYGHGVTQDKDAAIRFFRKAAADRHVDAQ FALGLLYHNGADGVRQDDAMAYSFLKPSADRGHADSQWLLGTMLRDGRSLPFSTTESA SLAFELIQESASQGNPHGQFHLGVYYEYGRHNVPQNLTTAAQLYAVAAAQVRPQSYVP PNATSPSKTSLYVARARRSILLGLDVCVRSRCRTKPRDRTRALQHGGGVAPRPSDVLP WPHARPWRRRRPRRLHSRLVLV H257_10100 MALSPRVRRHPGAVEVGGWHDASGFGQAIWPGRLQLQYTHARRR PTSDHGRRARRETRCVPDSMYLFGLMQFYGHGVTQDKDAAIRFFRKAAADRHVDAQFA LGLLYHNGADGVRQDDAMAYSFLKPSADRGHADSQWLLGTMLRDGRSLPFSTTESASL AFELIQESASQGNPHGQFHLGVYYEYGRHNVPQNLTTAAQLYAVAAAQHVPDAAFYLG LMYAYGRGVAQSLETALEHFNTAAALHHGPAMYYLGLMHVHGDGGVPVDYTRGLYWFD KALATKDLSVAARAQHAHDELAALLAQATAHRDAVLKGA H257_10101 MPTDAAALAACARSLLPSSWLHEMETLICSSEDRIEAMTHVLKQ TFTMLLNEVDVLRAHAASAVDDTNMTTPTTWKVRGNAHFKAHEYDASIDCFTQGLRAL VVRSQASTSLQSILYTNRCAAWMAKTCWQHAYEDASRAIAADPTYAKGWFRRGKALEA CHDANPALALALCDGRLQQHDGCNSVVDAVARDLHHAKCLVEGVPSSVTSTNTHAVPA GPKDIGGAGVFHPHIVITTTKTHGRSIQATQAIPPGTVLLTESPTVLAVGTDVAACAA CARVSLPNPPLPCDFCSADMYCDESCRAKAWADGHRWECSLTWQHVALDPLCRVYWRL LNRRRFQTNDDVLAQWKKVDVEPNTKGLRLWTTADEPTVHALVHFPPLCHHFPSLSSS SVIHNHQFLALLDPSLDDNVPLGLVHLTPHQDGPIDVRLFVLTRPSKELYTLWLTQLQ HVLSSHVKVVLHHAHMDTNLCAGALSAGFRPRSLPSSSEFTWNNPSGRFRGLDALQSH LRSMSPAVAVETVLFVSTLAVLDPWRGYLHDDNAQDMTLSVLLALAGQLPTNVVAITT TTTAAAAPSSHNLRDVTQTRVGVGVYPRMAMANHSCVPNAFVRFDDGATLHLITSRAI AANDHVYISYGPHASKMDGPARRRHLQDQYFFACSCDACSSNDQVNEPPSDSVFMQRT HAMEAAIVVAIPKTHDDLHHAHELAQKLLHERLANLSNTHVLVGRAHDLVAQIAATRG DFAEAAAHSSKSLAILETHYAPFDAELGHEYLKLAQLLFHAEQFEAVQAPLSRAQAAL SLHLPAHDPALSDLDDLVAQCALMRM H257_10101 MPTDAAALAACARSLLPSSWLHEMETLICSSEDRIEAMTHVLKQ TFTMLLNEVDVLRAHAASAVDDTNMTTPTTWKVRGNAHFKAHEYDASIDCFTQGLRAL VVRSQASTSLQSILYTNRCAAWMAKTCWQHAYEDASRAIAADPTYAKGWFRRGKALEA CHDANPALALALCDGRLQQHDGCNSVVDAVARDLHHAKCLVEGVPSSVTSTNTHAVPA GPKDIGGAGVFHPHIVITTTKTHGRSIQATQAIPPGTVLLTESPTVLAVGTDVAACAA CARVSLPNPPLPCDFCSADMYCDESCRAKAWADGHRWECSLTWQHVALDPLCRVYWRL LNRRRFQTNDDVLAQWKKVDVEPNTKGLRLWTTADEPTVHALVHFPPLCHHFPSLSSS SVIHNHQFLALLDPSLDDNVPLGLVHLTPHQDGPIDVRLFVLTRPSKELYTLWLTQLQ HVLSSHVKVVLHHAHMDTNLCAGALSAGFRPRSLPSSSEFTWNNPSGRFRGLDALQSH LRSMSPAVAVETVLFVSTLAVLDPWRGYLHDDNAQDMTLSVLLALAGQLPTNVVAITT TTTAAAAPSSHNLRDVTQTRVGVGVYPRMAMANHSCVPNAFVRFDDGATLHLITSRAI AANDHVYISYGPHASKMDGPARRRHLQDQYFFACSCDACSSNDQVNEPPSDSVFMQRT HAMEAAIVVAIPKTHDDLHHAHELAQKLLHERLANLSNTHVLVGRAHDLVAQIAATRG DFAEAAAHSSKSLAILETHYAPFDAELGHEYLKLAQVRTIVDEVLTLRT H257_10102 MRVDILADEYAAHARHMEWIVKNALAESGKWTPQSVKNGWAVDV DRHGWRVCSKSLPTSSSVRSPSKRMVVCHGSHQASLSTLRDELYADNTRDYLAMAAVL HGASLYDAAVLNVHSTRTPDDPAHFFGVKWLQLTVAANKPPVSFLFLEFTGTCIDPQG RVTLFVVTEPILASHGASTSRTTTSPTEGVSCVKLYRARPDGHVDVFVRAHYTPGSST SASRRQLPRTTTWRGMDMNIPLSLGKDIGPLLQESSLHESLVLTTGEFSPQWDYSTTR CNVCEKKGMFWHRHHHCRCCGLVMCSGCLVKLHCVRRPSTPKWKKPSSHKSLKAVAEV KFCIKCWVHARTEARKQPASVASVHPVLDTTLAALETIVRVGAPNNATTAEWWKSTRE GDCMSSIDTSWMSTSASVDTAYDLPLSTMSASYRSHTCRINSFNLLSTPSSRESMAAR MDEMNESIALQTMMLSTMTRILTPQTPPALVDVVVKYDE H257_10102 MRVDILADEYAAHARHMEWIVKNALAESGKWTPQSVKNGWAVDV DRHGWRVCSKSLPTSSSVRSPSKRMVVCHGSHQASLSTLRDELYADNTRDYLAMAAVL HGASLYDAAVLNVHSTRTPDDPAHFFGVKWLQLTVAANKPPVSFLFLEFTGTCIDPQG RVTLFVVTEPILASHGASTSRTTTSPTEGVSCVKLYRARPDGHVDVFVRAHYTPGSST SASRRQLPRTTTWRGMDMNIPLSLGKDIGPLLQESSLHESLVLTTGEFSPQWDYSTTR CNVCEKKGMFWHRHHHCRCCGLVMCSGCLVKLHCVRRPSTPKWKKPSSHKSLKAVAEV KFCIKCWVHARTEARKQPASVASVHPVLDTTLAALETIVRVGAPNNATTAECKRRSG H257_10103 MLANQTFSVLLCVDLGFSQSNMFDCAVSSNAAMSNFRGDDVAQH ERTRSLGLTAGTIVLLGFGEACLRCRRPVPLGLACRYALFNFIPSFVWRSIDMPLICR SAKASLADTVDASVLSEALRSVRYIVASYSALYHWLKLPHQTLPSEKVLRLTTPTSRL TPYSVRTHGDHVQPVEIDVAAPDVTGLRMQQWCLHQLDATRPDVFLLEVDLSSVASSA QAASILTSARHVVEPFRGPRAFARRMEAVVVLLTSPATAPDVVAGQDWDVCINTASIV STHVVDILDAISTTAAAAPHEEAHDAKSDRVHDTSPVIVHTDSMDQFQSLASGLLQAN RIPLRYREDDGSTLLTDAAAAVHVLAFQKPSSAVERLHVLLDQEVDATHICIVTDAPD FSAAPGVHVLHIPALCDQALQVIRGHLRCGMTTDEVQDSLRLRYGPMNSITATGFRRD KYSTV H257_10103 MLANQTFSVLLCVDLGFSQSNMFDCAVSSNAAMSNFRGDDVAQH ERTRSLGLTAGTIVLLGFGEACLRCRRPVPLGLACRYALFNFIPSFVWRSIDMPLICR SAKASLADTVDASVLSEALRSVRYIVASYSALYHWLKLPHQTLPSEKVLRLTTPTSRL TPYSVRTHGDHVQPVEIDVAAPDVTGLRMQQWCLHQLDATRPDVFLLEVDLSSVASSA QAASILTSARHVVEPFRGPRAFARRMEAVVVLLTSPATAPDVVAGQDWDVCINTASIV STHVVDILDAISTTAAAAPHEEAHDAKSDRVHDTSPVIVHTDSMDQFQSLASGLLQAN RIPLRYRDDGSTLLTDAAAAVHVLAFQKPSSAVERLHVLLDQEVDATHICIVTDAPDF SAAPGVHVLHIPALCDQALQVIRGHLRCGMTTDEVQDSLRLRYGPMNSITATGFRRDK YSTV H257_10104 MSRHSKNNTATHHFTYREKVAAGHGTLKRRYGKDSQLPFGCCCL CLKPILEKEEPLASPCGYMYCKGCIYANLLAQKQQIKLDVAAYEAQEEGKLAKEDAEV LAAERKLLESTLGVNRQVDFIKSVDERARLQLSSKIDLETTAEKAKEMQRTSFWVPGF TPSAEVVLAKPDEFTKDPMSGKALKLKQLMPVHLKRSDKETKGESVVMCAVSNKAITH QMAVLLRPSGHVVMESLLKDMVLPTMTCPISGLKLRSQKDIVHLQAGGSSFSAHSTVE AKKYRPSMT H257_10105 MAPNQESSSSSAAASASLVAAWRGQRMMTAITPPKAKYSYHSGS YATRHAKSHERNFVRRPVPIAIPDVFKSRDRCCVGGKHIPFTRFNTIECRRKLLKEDL DTIHESVYYTDLKWEDLISDLADMHYVDQRRRMAITFIL H257_10106 MNLKQLFATSLRSSTKQCHPFELKPQAATTSSRHWGIFGRHQAN KKMTPVVETTPQTTPESSPVHHFPLFIDTPSATAVGVLIPQEYRYQRTNCVGGKNVPF TRRQVKVKQRRVRRYPTIPEEDSATIYSEA H257_10107 MHLELPSSRWWRSLGLVPRHTKSDKPPEKSKTSFFKWRRQSTVG VADDDESTPPPPPAISNNVVAPPATDVVEVEPPTKRMQVPVAIPLEYLYKRETFVGGK KVPYTRLHAKQRRMRLIIYDRIHEDKPILVF H257_10108 MNTCHFNGCEEKVRPGTQKCAFHRKKGICSVSECRNQVYARGLC VRHGARKPCEFPDCEGFARYGGFCCKHGDKYTMKECAEEGCMNKAHARQKCVRHGGGK KCRHGGCDSHARFSGFCSKHMESAITAESLVSLANKPTIIMPKPSMRDICSALSPQFG HSALKTSPHANKLPSIKLSYEYKPYFVVNA H257_10109 MLSSTRLPRSVGHALWRRAFSTAPELKSPALNLRKNVFAEFSGL AVAHNSLNLGQGFPSYGTPSFMKEAAIEFIQQEQNQYTRPGGNPQLVKVLADVYSPWY KRELNPMTEIVTFTGAQEGIFCIFLSLLSQGDEVLVIEPFFDAYINIALLLGVKTVGV PLEMNAATKAKYNDPHCYDKFSSKDLTIDLAKLEASITPNTKMIVLNTPHNPTGKVFS KDELEGILGVLDRHPQIIILSDEVYEFACFDGTPHERIATYDGMFDRVISLFSAGKTF SCTGWRVGYAILPQKYADLVAKTHSAIPFCGALPFELAVAKGFQAAATNGYLDQLPKL LEKKRDTVVDALEKANLKPIVPDGGYFVCCNVSSLSSYDEFKHLETVENLPAEERPDY QMAKKMCIEQGLTVIPTSPFYSNVSNQPSPALVRIAYCKDDATIDGAVKLIESFKQQP H257_10110 MSREGGKESAYEVHATPRETDSSSGDTEFVRHRVERPAGNPEAV VDPRASYQAPVKVTEINTARKENSSSDETMISVHGYMHKQGKRSIKGPIHKSWKKRYF ALEKAKIYYFQNDTDCRRYFTTRNVDLVVGAVELKDALQLRPSGRLDLPTKGFEIHTK RRVWVLCPETDDEYKMWFEGVEEAIVASGAGNVIERHLPNVRKYVMKGLPTYRFLYVL FLLAGLVELAGLVLWFVVGVQPCDQARPTVDCGTILSQTMDLLKCGNKPFNGVFTPPK FWLTFAGVDNVVCWAEPPIGQWISYFCLLLAELISFVLGSIYYLGMWKPVRRGAHYLD EFEPKLPDEQWPKVDVFICHYSEPAEETIDTLAACMNLQYPPHLLQIFILDDGYCKAK WTKGNPVPTIELNKGVIEQAGDLRQEVAQYMYDRVSDPNDDVEVYAWRKLHSSANLPM ESRPRVVNRADCAAGSFRDDYRYSGLPHVTFVGRVKPSTHYSKAGNINNACYNEGASG RYMVILDNDMQPHPKFILATLPFFFDSEDRALKNKYSCCTGGCNKVARMCCASCKIAG VPEEKISYCSKQCFENAMHTSSALHRRQVNGTMSEKPNKGASKRVLYCMTCNNVMDTH GACKMCTHPAAPDMEDGLAQSSSPYSDAVADNAVAFVQTPQYFRDCVQFQIGDPLGHR NATFYDAIQTGQDGYDCASFAGTNAIFRREALDSIGGIQYGSLTEDCYTGQQLCAMGW KGLYFRKDFEGETSERIRLAEGLIPDNVASSLAQRKRWAKGNFQIMLMNKTKSYFDKD WKRPTTALPPKPKRGHFMRKVRTQYLKLFQPVLHRLCTSQSSYIPLCLVDEWTRTTHS RMMLMPYLCLQVLHIRDPDKLSRWVVITSRDKMRSNPQGNKPYRTAEADLALLISLLH GKDILNVHGIGNFKTFLDKFSHESFSGPKKMVLESPEFAHLLALVQTQVGGGRTASNN PKLMQLQVVLSEHFTRHERGGSSTRSIVFTQYRESVTEIVALLSSMAPLIKVQQFIGQ GTAKGKDGKGQTQKQQQQVVAKFRDGEFNVLVATCIAEEGLDIGEVDLIVSFDCLTSP VRMIQRMGRTGRKRVGRVVLLVTEGDEEKKLERSVSAAKSVNRSLTIFKDKFKCVPSA RMIPTGIVPQLTESAMVVPTFQASLIGGKKGGGGGATKPSTTAAPDWHLTEDENAILR LKHELPGQYTRRSHLFTSLMVPLRLSDMSKPHHFGKSTRSRCLLQLMHRVHEGDRMTN AEFQHRFHHAVAAQKEDTGGQNWHEEGHYKADNVKEDDNISCMSSPLSFDDGVALSFD DSVPHDGCRSPNLSNDNQQLVIDITSSPESTAASPASLKQADVDSLSVASDKDRKVVK QMQPTSRVFPLFSRPGGSSPKPDKHYYRVASTSSPAKPIKISKSPPAKTPTPAVVACS LMSSSLKSKSPTTTMSLDTSVGVMQQGEQVIAMLERLAAQEVASPEGKQGGSQLTPKS PTLSPQHPPVVLPPRKPLPRLFDAPSVRPPPRTRDDVVPEAPRKSQQTDAAASSFDMS SGIPAADMPPTPTMDEVFGSNGLRTAPTNDPKKSLQFASSCSHVHYDYAMPTMADDGV HNAAQPHVPCPPITSRKDGHASTTKSKPTLSSTVDMTVQTSFDRAPPSEVKMTSASAR PRSNLTANSSVPTKDAAMANGKRKRSIGPLATHTGDRLNMIPTPPSREATPHTPSHVK KAAVGGEGAAFGEDDEFVMEPTPPRTNQQPPSTTTTQSYNTPGTPLQDNCQVCGDDES SETDPILYCDGCNVAVHQHCYGVRVIPPHDWFCDACQDKSKPTKCVLCPERKGALKKT KCQQWVHVQCYLWIPELVVRIQPAERTIELGSLETLDAERFALQCEVCRTAHGFGIVQ CAHRSCLRAFHVSCASSAKYQLVQAEGIEHAQFVVLCPSHMSNQSGSVYMSQATPGSD PRPRKQRKRLRAGDSKTSTRRRLDQSPSNRHKTKKRKASKQLISHYIEMQADDDEDDG SDEEDEKDDEHGLHSSFIDDASPSSTQFLSPSSMQAIYRRRDSKSPALAVSRFLPRDG IVAACLQRHDEGIHDVMDTSVIDDEGLLHHGISCDGCQLHPIEGVRYNCQRCPQYNLC MCCYSAREDFHPQSHIFTPIDACQAGPSPPGRVVVAVATSAPPPPQSPGLTRSPCPTH ENRVVHGPPDDVRGARAPQVPVPQQQPSVQQPMAAADLTADQIARMEESRKKALERTR RRLDENRRQQLQQQQPIGGRPSAAEGAPPQSIPLLPNFSLMVEPTMTTRRPTPTLPPS VTVDPAETTPEMPSFNLLPSFNVMATPPRVEFSIAYHPRIRSSALLLAVFGHDALPKV PEPSLECDLLLSARRALLVVSFSDFASLLHASSQCPHLNVLAAFGSITYLVQSTPQVS PQARQECLTYAAKYSGMEIVWTEDPAHTLQLVLEIASQEAKQGHGLVQYEHNRGKDPH FVDRWQLFHAVPHLSFGGQQALASRFPNLPVTTLLQMNTRFNPMHWKRMLPWISDTAA QSIHSHLKSKL H257_10110 MSREGGKESAYEVHATPRETDSSSGDTEFVRHRVERPAGNPEAV VDPRASYQAPVKVTEINTARKENSSSDETMISVHGYMHKQGKRSIKGPIHKSWKKRYF ALEKAKIYYFQNDTDCRRYFTTRNVDLVVGAVELKDALQLRPSGRLDLPTKGFEIHTK RRVWVLCPETDDEYKMWFEGVEEAIVASGAGNVIERHLPNVRKYVMKGLPTYRFLYVL FLLAGLVELAGLVLWFVVGVQPCDQARPTVDCGTILSQTMDLLKCGNKPFNGVFTPPK FWLTFAGVDNVVCWAEPPIGQWISYFCLLLAELISFVLGSIYYLGMWKPVRRGAHYLD EFEPKLPDEQWPKVDVFICHYSEPAEETIDTLAACMNLQYPPHLLQIFILDDGYCKAK WTKGNPVPTIELNKGVIEQAGDLRQEVAQYMYDRVSDPNDDVEVYAWRKLHSSANLPM ESRPRVVNRADCAAGSFRDDYRYSGLPHVTFVGRVKPSTHYSKAGNINNACYNEGASG RYMVILDNDMQPHPKFILATLPFFFDSEDRALKNKYSCCTGGCNKVARMCCASCKIAG VPEEKISYCSKQCFENAMHTSSALHRRQVNGTMSEKPNKGASKRVLYCMTCNNVMDTH GACKMCTHPAAPDMEDGLAQSSSPYSDAVADNAVAFVQTPQYFRDCVQFQIGDPLGHR NATFYDAIQTGQDGYDCASFAGTNAIFRREALDSIGGIQYGSLTEDCYTGQQLCAMGW KGLYFRKDFEGETSERIRLAEGLIPDNVASSLAQRKRWAKGNFQIMLMNKTKSYFDKD WKRPTTALPPKPKRGHFMRKVRTQYLKLFQPVLHRLCTSQSSYIPLCLVDEWTRTTHS RMMLMPYLCLQVLHIRDPDKLSRWVVITSRDKMRSNPQGNKPYRTAEADLALLISLLH GKDILNVHGIGNFKTFLDKFSHESFSGPKKMVLESPEFAHLLALVQTQVGGGRTASNN PKLMQLQVVLSEHFTRHERGGSSTRSIVFTQYRESVTEIVALLSSMAPLIKVQQFIGQ GTAKGKDGKGQTQKQQQQVVAKFRDGEFNVLVATCIAEEGLDIGEVDLIVSFDCLTSP VRMIQRMGRTGRKRVGRVVLLVTEGDEEKKLERSVSAAKSVNRSLTIFKDKFKCVPSA RMIPTGIVPQLTESAMVVPTFQASLIGGKKGGGGGATKPSTTAAPDWHLTEDENAILR LKHELPGQYTRRSHLFTSLMVPLRLSDMSKPHHFGKSTRSRCLLQLMHRVHEGDRMTN AEFQHRFHHAVAAQKEDTGGQNWHEEGHYKADNVKEDDNISCMSSPLSFDDGVALSFD DSVPHDGCRSPNLSNDNQQLVIDITSSPESTAASPASLKQADVDSLSVASDKDRKVVK QMQPTSRVFPLFSRPGGSSPKPDKHYYRVASTSSPAKPIKISKSPPAKTPTPAVVACS LMSSSLKSKSPTTTMSLDTSVGVMQQGEQVIAMLERLAAQEVASPEGKQGGSQLTPKS PTLSPQHPPVVLPPRKPLPRLFDAPSVRPPPRTRDDVVPEAPRKSQQTDAAASSFDMS SGIPAADMPPTPTMDEVFGSNGLRTAPTNDPKKSLQFASSCSHVHYDYAMPTMADDGV HNAAQPHVPCPPITSRKDGHASTTKSKPTLSSTVDMTVQTSFDRAPPSEVKMTSASAR PRSNLTANSSVPTKDAAMANGKRKRSIGPLATHTGDRLNMIPTPPSREATPHTPSHVK KAAVGGEGAAFGEDDEFVMEPTPPRTNQQPPSTTTTQSYNTPGTPLQDNCQVCGDDES SETDPILYCDGCNVAVHQHCYGVRVIPPHDWFCDACQDKSKPTKCVLCPERKGALKKT KCQQWVHVQCYLWIPELVVRIQPAERTIELGSLETLDAERFALQCEVCRTAHGFGIVQ CAHRSCLRAFHVSCASSAKYQLVQAEGIEHAQFVVLCPSHMSNQSGSVYMSQATPGSD PRPRKQRKRLRAGDSKTSTRRRLDQSPSNRHKTKKRKASKQLISHYIEMQADDDEDDG SDEEDEKDDEHGLHSSFIDDASPSSTQFLSPSSMQAIYRRRDSKSPALAVSRFLPRDG IVAACLQRHDEGIHDVMDTSVIDDEGLLHHGISCDGCQLHPIEGVRYNCQRCPQYNLC MCCYSAREDFHPQSHIFTPIDACQAGPSPPGRVVVAVATSAPPPPQSPGLTRSPCPTH ENRVVHGPPDDVRGARAPQVPVPQQQPSVQQPMAAADLTADQIARMEESRKKALERTR RRLDENRRQQLQQQQPIGGRPSAAEGAPPQSIPLLPNFSLMVEPTMTTRRPTPTLPPS VTVDPAETTPEMPSFNLLPSFNVMATPPRVEFSIAYHPRIRSSALLLAVFGHDALPKV PEPSLECDLLLSARRALLVVSFSDFASLLHASSQCPHLNVLAAFGSITYLVQSTPQVH DGRDFFVAHVNVMI H257_10111 MFKKNGPPLSSQEQEYTNYATPVRGEAEVTRRVVEGRPTGNPET SDPRGPTQAPVQMTDAAVFGNGKDNVISVHGYMHKQGKRSIKGPIHKSWKKRYFALEK AKIYYFQTHLECRQYFTTRNVDLVVGAIDLKDALQLRPSARLDLPTKGFEIHTKRRVW VLCPESDEEYRMWFQGVEQAIVANGAGNVIERKLPNVRKYEMKGTGTYRMLYVLFILA GLVEVAGIVFWFVVGLQPCDQARLTVSCEIITQQSMDDLHCMSQPFNGVFRPPRWWLK FAGVQDVMCFAKPPIAQWVSYFSLLVAETLSFVLSAIYYLGMWKPVRRGAHYLDEFEP KLPDEQWPKVDVFICHYSEPAEETIDTLAACMNLQYPPHLLQIYVLDDGYCKAKWTKG NPVPTIELNKSVLESAGDLRQEVAQFMYDRVCDPNEDMEVYAWRKLHSAANLPTASRP RVVNRADCAAGSFRDDYRYSGLPHVTFVGRVKPSTHYSKAGNINNACYNEGASGRYMV ILDNDMQPHPKFILATLPFFFDSEDRSNAAKFACCTTGCNRVARMVCSSCKIAGVPED QVSYCSKQCFENAMHTSSALHRRQVNGTMSEKPNKGASKRVLYCMTCNNVMDTHGACK MCTHPAAPDMEDGLAQSSSPYSDAVADNAVAFVQTPQYFRDCVQFQIGDPLGHRNATF YDAIQTGQDGYDCASFAGTNAIFRREALDSIGGIQYGSLTEDCYTGQQLCAMGWKGLY FRKDFEGETSERIRLAEGLIPDNVASSLAQRKRWAKGNFQIMLMNKTKSYFDKDWKRP STAIPNNKKANGFMRKVFYINSTIYPVNSINALLFYYMTLYFLYTGYAPIYMAGLRLL YALVPKLLIQGTLSALSNRSVENNDVVRSQEAWFVYAFTHTSAVLETFWWKITGKEPT WFVTGSSSRGSATELPNVLVFFGLVFGCVWSVIRFFAAYNSLQTSHGASLLAASLMMG LYIATKLGPSVRMSIQEYFGWSYQSLMDQGNFFGQSTIAFGLVVITLWVYMEKPTSNP F H257_10112 MTAVPAVATTALTAHPIYETYAELLGDAVVVSLHSLAFLRGALF GIDPENGNVILLEWLPDENDKAVRTHTVMAHAIASIERDTDESSTAVSTIRLRLAATM QLSHASQTLESLRQHLEQHCIEASIDATTNELIVFGGAATIAPPYDEFSVCAKNDLVL QRLTSLLRLCNP H257_10113 MDAAFASHALTDAECARLAATGQLQATPEDMKKQFYVKSIGDFC GPGLLVLRKYDDDNIDVATLRQEPTPRRTSWLRSIEQRDYHPPTTDVMPRTNGSCGAI TADAFVTPMKPRSSFVTSTDQTSHTNQQQKQQRHAVQTSSSSTVVTTSCTMRVVATCP EETHVDSFQSPIHAIMTPASTRRGSSIPQPYTTPAPTSRHLRSSRLLHVQLPESTPLP LGLPDTFGISPVIADNKKKAATAMQLKKKRHFDVAASSAPIALDEDEVDIVLRHDHPV EQAPSSRRPWTTPDFLFKRFRSSCELEPIEACPTQTTATPLDDMLPPPPSPSTSLWDR FKSIRMPEVLAEGLALHVTSPLDNHDDDLYDDHNDDLCYGFDLMDVDHADDSDDGNDM TIPDDQDISKQPLTLEFTLTPMTAVCHHSTHERRSSSRLAVAKTTPSLPASPPVRRRT TTTRSQRKKRLALGVSLLNVAQCQESRSTQPTTSPCSDQCHQWGRYSTCGRMHGRWTP TE H257_10114 MSFFETKVKKPIVDLLSSGVSPHALALSMSFGITCGVFPIPGLT TIPVVIVVFLLGLNPVAAMLTNYLVTPLNIASVPVFVAYGNQYFGDSKEEFSVNALFT GLQEDLVGTLSQFRFILLNAIYMWAAFLLIATPLLYAVLFPVLKFSMGTKPAAAPSKK TE H257_10115 MSRGNLTLLTMVSTIGGFLFGYDTGVISGALLFLQQEFQLTPFE SELVVSATVFGAIAGAVIGSSANELWGRRKVILSSALLFAFGALGMGLARSVQELVLG RLAVGVGLGLSSMTVPLYIAEVSPPDMRGTLVSLNTLLVTGGQFFATVFAAVLSSSPS GWRYMLGLASLPAMLQFIGFLFLPESPRWLVQHGQHDAAVAALASIRGEKDFQHELRS MEAELTTLSRGGAGIAGLWTAISQFASVRRAVVLGCVLQMLQQFCGINTVMYYGVTII RLAGFTDSHVAIWLGAVVALSNFLFTFVGIYLVDRMGRRQLTLGSLLGVMVMLLVLGA GFYVAEVQSPPVHGGIDACADFTTCFDCVASTSCGFCPVASLSPPPSVVVDAASSSST SSSGVCYPGTAAGPAHAACAGGGWSFDACPNTTHAPGYVILAALFVYLACFATGMGPM PWTINAEIYPLSVRSTAISLATAVNWISNLVVSLTFLSLIQATSTYATFWLYGAVATV GFVYLVYHLPETKGLTLEEIDGVFQVRGGVTYEPVATDRTL H257_10115 MFPSVPLYIAEVSPPDMRGTLVSLNTLLVTGGQFFATVFAAVLS SSPSGWRYMLGLASLPAMLQFIGFLFLPESPRWLVQHGQHDAAVAALASIRGEKDFQH ELRSMEAELTTLSRGGAGIAGLWTAISQFASVRRAVVLGCVLQMLQQFCGINTVMYYG VTIIRLAGFTDSHVAIWLGAVVALSNFLFTFVGIYLVDRMGRRQLTLGSLLGVMVMLL VLGAGFYVAEVQSPPVHGGIDACADFTTCFDCVASTSCGFCPVASLSPPPSVVVDAAS SSSTSSSGVCYPGTAAGPAHAACAGGGWSFDACPNTTHAPGYVILAALFVYLACFATG MGPMPWTINAEIYPLSVRSTAISLATAVNWISNLVVSLTFLSLIQATSTYATFWLYGA VATVGFVYLVYHLPETKGLTLEEIDGVFQVRGGVTYEPVATDRTL H257_10116 MGTLKLQQLRDELAATLAKEASVRTSLESYVTRTSPTKDGELSE HEQLQERTQTLLQVKHQGGIEALRRQTAQVGAAIVSANDVAEKMTREVRKLGKIQERL KACVERSDCMLRIRQCMQRLKGAMTDKNYVDAAACLKDLRAIEAMHIPLDVSDTLRMN HAESDIRFAIEGQLDAALRHKDTRELLRVGSIFEPMQFAEEGVQMVLKFIHVQLQAHL DAIVGPPNAIWSVQELTSQLVQVFNCVAETTTYYEPLLVQSFQSVQGADRMLAAAYAV GTPAAVSILTSYGKQRGLPGLLAKARAALKPPLPPSSSTSQPPLSPPPTTTTPPSSAS FKDDDDLDLHPYLNELVMIIQHSQTFERFMRGRQTHYVAHSPTTPHRRVVPTALLPSY NASDLNQCVQDLAGFYCSLEEQSLVFAAKKALALEEIRSVVLDTDQLVPLSSVVDEVF YVARLSGARALATGHVDSACAVLNVVATLVQSTVGDVLKSRVQNNVWKDGASGGFGGA ALGLLATFTPKQLRDHMATTLGKTKGLTSPPTSNPRSSASALGGKGGMPALVLAPPVT MNSLDAVGQYLAQLQASFEAQVASTFPDQPPRLMSCLLGLEETASEFKALLLTCHDSV VTDLLQPKVSATAAPLFKRVSYDLSDDQFTFNEANDPFAHVLVQTVAASVEPFGAHLS RANFVTLMEGVAEGVADAVDAMIGTKTFNQLGAMQLDKEVRVLAAFFGDKCHHSPRHD HTFAPLRQTALVLNVDSPDDVVEIFGRSTKGVEWKLSKQRVVDLMHLRVDFSTAAVAA VKF H257_10117 MGDRSVNVADQVSGSMYQKTETTLDEPVSETILRDVRLVGSKLK VVLMPKNTSDETLHALRDWDLWGPLLVCLTLSIMLSISAPTNQSAMVFTGVFVVVWAG AAVVTINAQLLGSTISFFQSICVLGYCVFPLVIATLFTTIFRVLSLGHVTVRLVVVAV GFLWSTRASVVFMSQLVPPKRKALTVYPVLLFYLFIGWMILIS H257_10119 MGGKPSKEKKIVVEEVLYREFTYGLAASDCVQHYLPPNLPIRPI LNVAYLTDCTKTWKLIVTANTDRMRQYGKSGIVLFYDEFFFRLFQRDFTLEDVFPDIA KRSEVIIKAVTFMLKSSSDDQRRVINRCHFLGHRHRTFTSLRPHHFAQYTSTLIEVMM YWLGEYASPDVGAAWSNIVGFFLMHILEAFLSEKVDPFESYQNVVIGAVEEITTSHEG ESDIQTVEQLGNVNANNM H257_10118 MEEPPSAPPRSNLLAPLVLPVVVPRPEAPQGRLDVEGDQVVYIL PKMVSPWKFRNEVMSEAVICDEYERMAAFIEAYQAREEASARHRPLQKSAPLGSPTFR QVLLPPLPDPTSLDPQLRVQVHRHPLPRFIPGTIEQKEAFWDVMDAYHRHRAAKLARD RKLGVCVYDFNQVAAGRPEVLETRHAEWVRRRIVLDDECAENRRLRQDEADLHVQETT TAARIDRRHRQHADAVHRADVRRVLPMVLAAAAGQWFRHTMWETWQSHRCQRIVRQCA MRWRHHTLVHIECTRHATLLCHWLHESVKVKSLAHKVFYALRVYLRRVTLIQSFWRQK IAIRTVQVKRLDAQWTTFVNTPDATHDPAKRQRRAGPLKFPFPEIDPVIRRQVLYEAW IDHERQHREQFRALEADLLPHLIQSLQGLHPNKSRSEVRAIAARHFALGSVHSTLQGI PGALAPPTPPSHHATACNELFAMLPLVTKAYQVQNPSYFQMAATAEAVVIQANEPPDM KQKGQPSSRTRVKKGS H257_10120 MGAAGSVQDASYDATKFAQSKAIMELAVDDAEKFAKLKEVWNVS KNSVTKEPSPEPQPATAKEAKEAPQEPKETTAPKEAPKEAPASSDAKEALKEAAKDAP KEAAKEAPKEAATEAAKEAPKEAAKEAPKDAPKEVAKDSQPPKGAAAEEIKVAAPK H257_10121 MASHASSGTRIEERERRVYKDAHAAQFVKIRHFPALSQVTSSGD NIILLQDAMDNAETNAHRSTRQSLRMERIQSRVGDADASFQALLGPKSMLNEFRGAVL CKHTKARDVRDSEGFDDASPRPAPNRRPRPVFNAGSASEAKSRIARPKDYDYPTGIHS REDRVLSPAQQALVDEARAMDSKPTWATTNATKARGTIEASYYMNSPMHDMALDRKYI KQIIRMTVRGGGAGLDASSSNAAAHAQVLH H257_10122 MESIEQKLWLRRYKRFDPFYEALLRSPPSMLAMATGKISIGSPT FPLTKAYITSATAEVPPTSDNVPRTVDALLGLQQPDGRWKLDAAFLHTMHHLVPPCPS GVGAAMWATACAVAALRRQHEEFDKLEHPCERGLTQVDSQAPPSEDASDDDLAPNNMP STSLISTKKRRSIFELDVASAQMVRQLDHASATAVAHGLQREFSPKIDTSKRPFQVGD TVECCWRRPLPSSNTPARLDTWHPARIAHVYTDNVHGMADVVYQDKRKEKQRRVPKNC IRRPNSVAPSRSQVLGDLHKRWVLEPLPLNAELDRLAEIMSHDTVYLPAWRDVTAQAA PTSPHHAANHPLHLRTSSSLNQIRASSSPVKSPVPLSPAAQVHAVDSASSMTSMPLPH DAVIEAILAYEKYIAKLPKVLRPCRHLYKTARLFGDRIHAFDAWMPVAIELVAATATV VELVYALEQSIQAAPMTTDGPIRPVFTPFLWLGRSFLTSVANALNALGDCAELQDWYG RDFHFKMNPFLVTVPLHLKTHAIQCAVTPNTWWPELHFPPALRHRVERG H257_10123 MSDKPAVVIDNGTGFTKMGYAGNAEPSYIIPSTIAVGADNNASG GRTGGGRVGQSQGIDDLDFHIGNAAFENSSTHQLNYPIKQGIIDNWDNMEKLWQRCLF QHLRCEPEEHYMLLTEPPLNPPENREATAEIMFETFNVPGLYIAVQAVLALYASWPKV KDPSLRSLTGTVIDSGDGVTHVIPVADGYVIGSCISHVPLAGRDITSFIQRMMRDRGE VVPPEEALEVARKVKEMHSYVCPDIVKEFKKYDANPAKYIVPYTDIHPRTKQPWTVDI GYERFLAPEIFFNPEIFSTDFTTPLPNVVDQAILKCPIDCRRGLYKNIVLSGGSTMFK DFGRRLQRDVKRLVDDRQQANLNLHSKFAKQHAEELDVNVISHRMQRFAVWFGGSMVA STPEFHRVCHTKAQYDEEGPRIARHNPVFAATM H257_10124 MMELKRGILRVHGTFLWREREVVVTQGQVAIYRRSHRTLKSKIN LWDPHVHIGFIANESTLTITAHHHDELILDCHTPADRDVWLAVLYSAQANPPFAASTV CQVADADSDTDSDFESSGTTDDSTTEGTLAAFQNVRSSIIFDVSQLTRDEINRVLLPT TQSLDAALAVASPTLAPSFPSKPQGVAAPFSSSKHLVALASYIEHTENGGRVVLLHWD VITDMCLGVTLPALLVVLADRQSIRVSATSGVLTHRKPSLTHKTNVSEFVRDLLFHPL YCQRLRACEAACLAVIDARFPQCRLRRFSHTDDDLPSTLKSSVTSIPSLVRKHSVPHT LSSSTLLLSSDWSSERKKRNSFPSIVMSGISLAQLQPTTVMNSFATVPPLRTVMATYK LTPLAFAQQCTLFHHGQLTALPLWSFLSPGHFQKDISDSFNRLTTYLVWSILVEESPT DRADAIDAVTAVAMASSAKFVNNFHLVMACIGCLGDTPLMPSRLPLTWKRVKSKTKAH LYELRSLCDYAGGFDTLRRKQSLISATCPSLPFLGIVGAALERLKSSPYVVPSTTTST TTTASSTATATTSSGDHHLDMERMERQYQTLHVVENAMTTAYPLVAQSDVQHLLGTLP KQLQFATPKLLHMRSLQLQHSETQSLRSSAAAALFSSNSSNYESTPASSNGAPQATTT GSAMRRVSLTSESGRVLSFRASCVLWHCVAKPEDRLKVLVEALFADDKSSPARLAATL HRDVKTCAFSSSSAAMCLQVTQGLDAIFRTVVDTSGGECVEVTGWEDPNEWQPKLYES IVDVVFSPMAQIVQVKLQAEYKEQDGHVRRRLQGQATDTLVHYTMFNPLNQLVLQGQT PVALLRMLASVVQELNATEAIARKQFRTLLANSTAITPQSAMEFISSTIDLTKCSLDL VRGIDLLKKAVQGSSQ H257_10124 MCLGVTLPALLVVLADRQSIRVSATSGVLTHRKPSLTHKTNVSE FVRDLLFHPLYCQRLRACEAACLAVIDARFPQCRLRRFSHTDDDLPSTLKSSVTSIPS LVRKHSVPHTLSSSTLLLSSDWSSERKKRNSFPSIVMSGISLAQLQPTTVMNSFATVP PLRTVMATYKLTPLAFAQQCTLFHHGQLTALPLWSFLSPGHFQKDISDSFNRLTTYLV WSILVEESPTDRADAIDAVTAVAMASSAKFVNNFHLVMACIGCLGDTPLMPSRLPLTW KRVKSKTKAHLYELRSLCDYAGGFDTLRRKQSLISATCPSLPFLGIVGAALERLKSSP YVVPSTTTSTTTTASSTATATTSSGDHHLDMERMERQYQTLHVVENAMTTAYPLVAQS DVQHLLGTLPKQLQFATPKLLHMRSLQLQHSETQSLRSSAAAALFSSNSSNYESTPAS SNGAPQATTTGSAMRRVSLTSESGRVLSFRASCVLWHCVAKPEDRLKVLVEALFADDK SSPARLAATLHRDVKTCAFSSSSAAMCLQVTQGLDAIFRTVVDTSGGECVEVTGWEDP NEWQPKLYESIVDVVFSPMAQIVQVKLQAEYKEQDGHVRRRLQGQATDTLVHYTMFNP LNQLVLQGQTPVALLRMLASVVQELNATEAIARKQFRTLLANSTAITPQSAMEFISST IDLTKCSLDLVRGIDLLKKAVQGSSQ H257_10125 MASTAAYIGRQHVDQEHEYLRTQVDPLLMPLIEALLLHQPPSMY DFIAKFLDGAALGSMKHVGDATTKSAKARRHRMVEFMSSSVLPVMDDLTQRILQDKPS NAKEFLRDIVQTRLLNKQHHDPSATSFDLGTPKFRVGDRVDCRFKGRPKYLPGVVDSI DDGGLCTIRYDNGKVEEHIHPILLRRPQDQPEAEDNTEQSKIPRREIKPVLLMLGLDG AGKSTFLSTLQGDLDKDIPPTAGFSSVTFQLANGSATFYDLGGGPTIRALWKEYFADA HGVIYMVDASDADRIQEASKHLEVAMAHPMLRGKPLLIYANKMDCDQALSEAEFGQKL QVASYVNTKVLQSVTKAKANGNLVDDRLEGGLRWILGRIEGDYDALGVRVANDRATTK KEAAAAWQAQKERVWAYKEERERSAMLSEDSAANQAAFAPPKPVVKQSSDVPMCSTCE SQPAVTKCAASKWMPVCSECADALKKK H257_10126 MVFLRRALHTARRFSTATVPKAKASDYTGGFDVFDQGRRILVTG GTGQIGMELVPYLRNLHGRDAVINSDIKMASKAEQKSGPFVYCDVLNADGLARIVLEN GIDTIIHNASLLSAIGEKNPQLALKVNTRGLENVLELARLNNLRVFAPSTIAVYGPST PQDNTPDITVMRPTTMYGITKVHLELLGEYYHDKFGVDFRSIRYPGIISSEAWPGGGT TDYAVEIFYEALKKGSYKCFLGPKTCLPMMYMPDCLRATNELLEAPSELLKQRVYNVT AQAFTPEQLAESIRKVIPSFEITYEPDFRQAIADTWPRSLDDSLAREHWGWKEQYDLD SMVAHMLEALDAKLKKLGHL H257_10127 MQRLQKRLFSTPTVRPLWMLRYTYVDGMLDKRGPFRGDHLHHVE TYSADTHYPKVILGGAFADPVDGAAFVIDAADAAQVEAFAKSDPYVINKLVTKFDVRP YNVVTFTK H257_10128 MDQPPRVEMILRLDIIVNSFRFIGKTPEFQLGCMAHCATARSAS ELDQVEWVVYKSFEECQVFDTRMRSGALSSCMAAIPFVPLYKTKTFFGQSMKPSFLAT RQRDLQEYFSRVTGIPGVTHFQTPEGSTALAEFVLVHANVAFDRPPFHAPSSSSLRSS LISHRPSSFSNAVLHSQMTTMTPIDDPPTTTIGTPRTSDESSASSSDDELHLTEAQQA ELQALIFQRITDHAGADAVKVFQKRARRFGKAVDGAVPAAGADFYTFMVDTFGIEFCQ WLVPSLAALLPDKQKRHALTLAMTPSPAPTVRLLPATHSTWPSWSHVDEDPPAVPPPP PHRPTTRTRPASDAAIPSSRGTRTPSRSRPQSLNAKQVLAKVRDLVDGDERRVEEFRY MTKELRAHRTSPAQYAQFVVYSFGADASKDVLVSVADAMADNQIQEDLHAAAAAASLG APTLGASFHQKRDQLARRKSMDRPASFTRRSSSISSFGAFEDEYMVRTTNGPRGYDPQ DNSDDHDDDDDEAKDVTSDKKILNRLRHQGAVNLMSFKA H257_10129 MSEPLQLTCPLLNETRHLVDCLGYVDTNYASGDVAMQKLVKLQI EQQLAQMPPCDDAHYLAYLPPLNLKLDSREMKRVAAKVKLTSIDTNKYRVVPPAPSQL KKQSQEVQLEAWQQATDHAKVAIEYQQTKILNLEMQNKYGANRWKLQVGVLHGINERC KSELDDVRKQTDQVNMERKEEQLLNADKLQGLERKRNDLTLKTQWIQQACHSLERDLK RVKPNPIE H257_10130 MPRPPSPMLALGASADSNESFLARGGSSFDDPNVWRDAAMEHSF NTYIVGGTAAVPDPSVAGPSGMSPSSQLPRGSITERRSFVEVRQSLAGRPDIRIGKVE DGDRLTKTSGRKRVWPGWLLLFLMVAGSLFGITWFSIKLYKAAQKQQDKAEFILNRTP RPITPAKICEQPDFINDKGVIYATYKSAPPQKIVITGINWSGMENSEGVPHGLAFGQA ALDDIVERMAKQGMTAVRLPLNVLMINSNAAPNVKDFVDPVVNPELVVSDYMTMIKKI VQGLAKKGVSVLLDIHKLDPAVEGKTEGLWYSATVPASAINQAIQTLATDLCNSNYYN VLGVDLKNEPHDGCWPGGAADVSCSDAKNWPKAAAKLADTMLAACPKWMAFVEGLASA TLTNHGFVTKAGPNTTLYYQEWWGAALQNVTKYPVPVAPAHKNKLVYVPHFYSPSVYP APYYFASFASAGGGAVVEEWPTTADGNASLKANVYRALDLAFGNAVKNIESPVMFGEF GGIYGAKDLFPLKTSSRVIELFVQYSADRNMSGGFAWSLNPDSVYQFNGDTSKAGEFN YGLYENDPVRPWSAYNQDYSDALLKFKGTGTIDCVKIDAPGNDTTTTTTAAPSVVVPT STTAPVSTAALTTKKP H257_10131 MYRTRELNKNIAKSYLSVGDPYRDPHIIEGKSDRHKSKQFQTVP PKDTSDGGGYFDKKTYSSDPLQDGVAYLKTQPAADRKAGFGTKDASRRDEFMSHVRTE QYRETLAREEHISQKGIQGHPDEDDGGEHGEGEGDKHQFPEGLKETKFLYDIGRTQAT EFNQKSHRDTFYTLRTGNSQFRRNNGHFVLSSESVGVGAHKVQNNGTHARNACTKQFY DHSHLHS H257_10132 MDDNKTDVVVQNLLLEHEEHTKVMALRSVGFIVCVLAGLLLLVT WQRRRIDKATSHKHAVAMRLAHFKRVSYVLNKHREVEMTNVEMSPLMDDDEELKEDDD SGMHV H257_10133 MASVKDIKTKPSASEFTFGRELGQGNFSRVFLATHNATKEVFAV KLIEKARIMRMKIRHPNIFNEVNMEKTVLNMLRHPNIVRMYHTFQDAANLYFLMEYVS NGELWDVLQLQGKQVGCTEGLARFYAADIVNALEYMASRHIVHRDLKPENMIVAKEDG HIRIVDFGTAKNLSDISLNGPNFVGTPEYMSPETIDNKSVDCKSDLWSLGCILFQLFA GETPFHGGSAYLTFLRVKAGTFHLPAFFSDDAKDLITQLLHPQPHARPSFEAIKAHPF FYGVDFAHHMTASPPEPTSEDQFVAKSAADIAAYVAAPSTPPPQVLATASGLPPVTKA RLMHVLHRMQLLAHHLVYPAFCVDGPAAGRCRYATRRGYIGYDHTVHNQWVKPYRFVH MSGPALNLQGHDGAQRELDHFERVLATVNDLRPTFVVFSGNMTSPVTTNDVAAAGDDD QLDHKTRSTRIMTDLFQAAVHRTLDPTIRVVFVPGELSSETATSSHGAFGDDFYSFWV GGVKYIVLNSALFQQTNEPTSLERSLAQDQWLHDELEHGSLCATHVCVLTHDPFFVDT IDEPTMGVGGVDGSANNESPVSWNVPFETRMPYVNMLAEAKVRGLFSSHYPSTNVTSV TWPPKEPTDDDVAGDDDGGRGSPPPSCMVVVTNTFASPPPPDSDPSTVKRVFHLVQVD QTGFHVQTHPVDV H257_10133 MASVKDIKTKPSASEFTFGRELGQGNFSRVFLATHNATKEVFAV KLIEKARIMRMKIRHPNIFNEVNMEKTVLNMLRHPNIVRMYHTFQDAANLYFLMEYVS NGELWDVLQLQGKQVGCTEGLARFYAADIVNALEYMASRHIVHRDLKPENMIVAKEDG HIRIVDFGTAKNLSDISLNGPNFVGTPEYMSPETIDNKSVDCKSDLWSLGCILFQLFA GETPFHGGSAYLTFLRVKAGTFHLPAFFSDDAKDLITQLLHPQPHARPSFEAIKAHPF FYGVDFAHHMTASPPEPTSEDQFVAKSAADIAAYVAAPSTPPPQVLATASGLPPVTKA RLMHVLHRMQLLAHHLVYPAFCVDGPAAGRCRYATRRGYIGYDHTVHNQWVKPYRFVH MSGPALNLQGHDGAQRELDHFERVLATVNDLRPTFVVFSGNMTSPVTTNDVAAAGDDD QLDHKTRSTRIMTDLFQAAVHRTLDPTIRVVFVPGELSSETATSSHGAFGDDFYSFWV GGVKYIVLNSALFQQTNEPTSLERSLAQDRKKYRLFVIYQKCRNIYIYHRCRNN H257_10134 MFKFFGSKQPAKEAVVAPASKDDNDGTAASPATTDATLADDATD VDSPSELELMDFEVNVDNIDMHLEVDVAATQGVYASEWNGSGGCSHADVTSSADFVPP RFLHGCSKNASERWVDTLAWRASHGIDNLLSLPRPHFHLIKQHWQHFYCGRTPSDNRL VVYEHVGGLKHALHVLECQHNISFQDVMTHYLYVTEYQWSILDTHAYNVDDTTAGQML KVLDFQGIQLADVSNSNLRTFVTWTLRTIGQHYPERCGVVYLINTPVWFNAFWNVVQR LVSDVTRHKIRICPTRKEYAPKLKAWMGGSKYLPACMGGPIVVNGTTDLCADEAKLHG HVDTRL H257_10135 MALDDAIQCPTCLAQFPLRDDAFPVHMSTCAPGGTIDAEYKSCL ICFKVFGPNVPSYEILFHRDECERVNGPPPKRAGAQKRRRGSDADKSLSVLSNSSSLS SRAPSSHHPTPPSSLTSDLTPDSQTLNCTPTLCFLCGQGGRQLVVCTSKCSRAFHVGC IGETAALTQRQGLGARRVWQCAECSRNVHTCFSCGFLGDDGIDMFPCSMAGCGLYCHD RCMPQPVVDAAAFECRRHRCQECSMPSAAPALPSDCIQCYKCPTMVHKSCENGKPLPS FSNVAGVYGDCGRHAAAFPPPAHFKAKLALQDIVLVLELANYVLSVGAKGFKGNQWGR VVRVESVDGGAQLLSVLLFACGSVICVPGHCLLRLGRQYALTAACLTECLTSHVHAEL NIRQILTVGALSATQQVDATMDTCLAFQDFGKQLKIMSSQLVAIAKGASHTWTSATAF QPFQKLDTRRRRPS H257_10135 MALDDAIQCPTCLAQFPLRDDAFPVHMSTCAPGGTIDAEYKSCL ICFKVFGPNVPSYEILFHRDECERVNGPPPKRAGAQKRRRGSDADKSLSVLSNSSSLS SRAPSSHHPTPPSSLTSDLTPDSQTLNCTPTLCFLCGQGGRQLVVCTSKCSRAFHVGC IGETAALTQRQGLGARRVWQCAECSRNVHTCFSCGFLGDDGIDMFPCSMAGCGLYCHD RCMPQPVVDAAAFECRRHRCQECSMPSAAPALPSDCIQCYKCPTMVHKSCENGKPLPS FSNVAGVYGDCGRHAAAFPPPAHFKAKLALQDIVLVLELANYVLSVGAKGFKGNQWGR VVRVESVDGGAQLLSVLLFACGSVICVPGHCLLRLGRQYALTAACLTECLTSHVHAEL NIRQILTVGALSATQVSKRSLESQDPTIIFYPKFGLFLC H257_10136 MAAFTALYIMCTLTTLTTFLHTPSPLVHTPEPNQVQSLWNYRDG IQLADGRCAAAPWVRSTLVDPHHNDAVQRFDCQMIGQDSTTLTRRCKSNSTHMVPSLV AFARSSTYAMDASRTTRVHTESYAATLLVLFIK H257_10137 MDDGSLTKVLNNARSVNSQLMKANLGLLMSIRETDCDIQRAKEQ LQKYHTQLEGRGLGIGSACSVQPNNTSESGAKPIASNPGGGNEASVNAEDDDDDDQNT DSSSDSSGNE H257_10138 MSRPQYYTQDTHDSVAAHDDPMENVEVWQDTTAERGYNTYLVQQ SSVMDSSHSNESNLQSGGARPTFGDRQTMADRGTIAAARPDIRIGKVEDGDRPTKTSG RKRVWPGWLLLFLMVAGSLFGITWFSIKLYKAAQKQQEKAEFILNRTPRPITPAKICE QPDFINDKGVIYATYKSAPPQKIVITGINWSGMENSEGVPHGLAFGQAALDDIVERMA KQGMTAVRLPLNVLMINSNAAPNVKDFVDPVVNPELVVSDYMTMIKKIVQGLAKKGVS VLLDIHKLDPAVEGKTEGLWYSATVPASAINQAIQTLATDLCNSNYYNVLGVDLKNEP HDGCWPGGAADVSCPDAKNWPKAAAKLADTMLAACPKWMAFVEGLASATLTNHGFVTK AGPNTTLYYQEWWGAALQNVTKYPVPVAPAHKNKLVYVPHFYSPSVYPAPYYFASFAS AGGGAVVEEWPTTADGNASLKANVYRALDLAFGNAVKNIESPVMFGEFGGIYGAKDLF PLKTSSRVIELFVQYSADRNMSGGFAWSLNPDSVYQFNGDTSKAGEFNYGLYENDPVR PWSAYNQDYSDALLKFKGTGTIDCVKIDAAGNDTTTTTTTTAGPAVVVPTSTTAPVST AVLTTKKP H257_10140 MVFIMINGNMPPAVVFAMIALQVAPMILQGTSFTIRSDLLMLFV GLGYLAYTVYSKRVILRERGISGFLSYLFAGLQSSARGTAVQDEPMYQEHNEQYNRML KTISRLPTEEFKAPSTCSVHEIKQRLVLRGVAHAQVIEKEELVRLLHNFRGGPTASCC ICCEDYAAGDVLRILSKCKHDFHLECLDKWALTLANSTRAPSCPLCNQEL H257_10139 MAVRQVFRAAGAGAGVAVAIGTAALYIDAPIADNDDETFREHRI SHPRDRFPAFVSAAARLVLFYPTTLVAKVYLGKLNNFTCRNEETLEKWVLSRPDHRAL ITVTNHSATVDDPAVLAAMLPWSCARPSLSRWSICSQEYCYAKGPLLSTIFFGSKTLP IKRGAGIDHPFLQDLFQRVQDGDWVHIFPEGKIVQGGGLGGRDGPDAATIGRLKWGVG KLIARAEITPVVLPIYHVGMDKVMPQTPDHKLKSIVPRLGQRVHVLVGSPIYFDDLFK KYEADRRQGVQVRRPPNFYSHRPIYFLRHGLGRRVHVGKRRGREEAVLGHHAAHRAGA FGAGEEVLCRLGQCPNNLCIDDIKIDMC H257_10139 MAVRQVFRAAGAGAGVAVAIGTAALYIDAPIADNDDETFREHRI SHPRDRFPAFVSAAARLVLFYPTTLVAKVYLGKLNNFTCRNEETLEKWVLSRPDHRAL ITVTNHSATVDDPAVLAAMLPWSCARPSLSRWSICSQEYCYAKGPLLSTIFFGSKTLP IKRGAGIDHPFLQDLFQRVQDGDWVHIFPEGKIVQGGGLGGRDGPDAATIGRLKWGVG KLIARAEITPVVLPIYHVGMDKVMPQTPDHKLKSIVPRLGQRVHVLVGSPIYFDDLFK KYEADRRQGVQGDGFTWESDAAEKKLYSAITRRIELALLALEKKCYADLDSARTTSAL TT H257_10141 GCGSFSFLPPRDRMPTSVEDATKQFDEALKQAFGAAADNVSVAA EKVGELVHSNKKINLNHVHRLINEGLVSSDASHREVSARVVASIALKKDRRVEAYTAG WLPNLLDAYADKKLNVRGPAQEAAVALVQSFNENALSFVLPLIFQGLDRIKKWQTKEG ALNLILDFCALHPVQVSRNLPDIIPKAIEQIWDTRPEVKKAANAVMIKACSTASNADI EPFIPALVSAMADPTQVAECVHKLASTTFVKTVESPALAIMEPLLVRGLNENKTSVKR QTAVIIDNMCKLVEDPAEALLFTPKVLPTLKRIIESVADPECRDVVKRAHSTLLMAAG NVELSEDEGKVEFSAVLAALKVIIAKIPAAKNAHIDEATLNYVAGNGFYLTLARSFIP EKWSQSVKPYLLAFLDEHEIPHVTKDFREKCFKDNKLKIADDVIEEDVGEDLCDCEFS LAYGGMILLNNARLQLKKGHRYGLCGPNGAGKSTLMRAIANGQLEGFPSKDEVRTVYV EHNLQAEEADLSVLDFISKDPNFEGVLSRKEVSDTLSSVGFTDPMQAQAVGSLSGGWK MKLELARAMLMKADILLLDEPTNHLDVANVAWLENYLNNAGDVTSIVVSHDSGFLDNV CTNIIHYEKNRKLKTYVGNMSHFVELRPEAKAYYNLEAATFAFKFPEPGFLADIKNKG KPIIRMNNCSYQYPGTTKPSINNITITCALSSRIAVIGPNGAGKSTMIKMLTGEVEPT TGTMWKHPSMRFAYVAQHAFHHIEEHLDLTANQYIQWRFQSGEDKELMAKETRKISPE EKARLEQPVNWEGEKRVLETIENRRKLKKSFEYEIKWVKLPDTENSWVPREKLEKWGF EKLLQIADDREAARANLQARPVTAIAVQKHLDNFGLGPEFGTHSRMRGLSGGQKVKVV LGAAMWLNPHILVLDEPTNYLDRDSLGALATAIKEFGGGVVMISHNREFTDHLAIETW NVEAGHCSIEGQVMEDKTKIEQIDSQETVDAFGNKQVTKVKRKLTRKELKAKLKLKKE AEKRGEIYEDSDLDDYEDE H257_10142 PSLSNPSVQRVPTFEAESLAAFSDELIWELVSHTGAVMFAIPRV EDSSLVDIHAWVLH H257_10143 MNAQWKVFATKSDQGDLNDVYDTSVLRWTCTCPSYAYGEFLLCK HLVPPRYKVRQLTPPMWVFPTTKDEMLRQECTKKSSDKEVNALSARPELNHDTVSILD ESQLLVSAHVAQTIHELSSWLIQHASDIQHAPRQLAKVQKLLHPIQAYRKSGMVHKEL TDIPTTLLDKGVQHENDSNIIRDIMFTHQVKTEDCVERSKCGDEWSTMPVRRVMGSGP RLTIEEQVAARTFRLQNSYRAIAKHLGRSKETVRAYLIAPDSYDTSQKGRKATKIVHQ ETCHRRLLASGFFKYKRRKHMPLLKEAHKVARVKYATDHLVNPPYWTEVIWSDEKNFN LDGLDDFQYYWEDLRKKPVTFFVRASGGGGVMFYAFSGAGVADLAILEGRQVSEKYID TSANYLFPCAHSHYGLNLFFCKTMRPSTAPRQLRNFWIATT H257_10144 MQVQQIRTLLSKVLPNQLVQQMKEPYSETEPIHRLWAEIEKKFG VTNVTTMKTATRKLMRVADGDFQSVEALLGGLRALKYSINSHSQKYLKRDVVSDDLLI LMVLGVLPSDRFWGANRPAHGVVSDCRCRG H257_10146 MTTKEISPRSEELHVRKAIQEITDLLIHRNDQIELLIEGSLNRK IQVYHKARKIKAEARVDRTVETLTRTQAAQMEASERMASQHMERATR H257_10147 MPSPQATHPAAATTGGSSTTTSGVRSTKLCSASVSAEIFLTAHT GRSDECTTAIGRPLRASGNEVWPHFVAAARSPSSTPNLKVISTENELPRKLAVRAVPY MARQTLRSLAAHSRIPKTTLVRHMKEVKTLNAKSSYSKPLLTEDTQRLRVEHALSFLS QSLQHQKHSRTINDLIENTENSYDELPMETLSKTFITLQKVMEKTLETFGTNDYKIPH MNKNAIKDVTLYNVRCDPSVHKNAVAFLSQRPLNSQKGSTQRQTNLPMPVLHPSRRRP WQPMPSLPVALVYTCNLCKDNVQCSYNQVDTHFKRQHAEYTAAVHKDHCTRYRALERT RGTTKAAMN H257_10148 MLTAREDRQADELLNSLTDLDSVTLLLQRENLYLDEVRSVFDVV LDEHPAMANRIAPNARTVQDLDFENCIVKILEGREFDLTPQERASAVSLLKRSATREA TTAPASTLAERAAIRMANAPAASDYMDLRFLRPTSNICERFFSVVKHALSDNRSRILP KNIEAQMFLHFNAEFWTAEDIRDLTDAEVED H257_10149 MNDVRWEEHILTSLHMLTFMKQHYKDSLQTYTAAKLDTRFFCGC AKDSENDTTSASAYPLTRSSPNSK H257_10150 MSVESAVSAAIAIFVVGGTLFDVELTQVSKRLHPRAFRWRRMAN WLPLGIAYAAFYMARYNIAAGNIESVRQELNMTATDMGWIISSGSWAYALTAPLTGQW TDRMGGHTGMLVACVGAGVCNLILGCLFATKSSSQLPFMLLFASNVALQGFGTSAVVK INAMWYAPSERGIFSGVFNIFVASGYYLALGSGHSIITSFGWSYLFFIPAVLLLVISV VVFTCVANVPPPTNALSSSRLKAPVLPPNRRRPSIWALLQNRTLLGYLAAVFCLSWAR DGLLNWMYSFFDSVRPVPLTADDHAILGGAWTLGGFVGGLLCGYISDTMFESKRMPPI VLFSTFQAGAFLLLYALAPSISTPFLGVLVFLVSVFLLGNYTLLSYTVPADLPEDISA SAVGLFTAVGYISTGLAGIVMGSCIQRWGYAFWVTSLTATSLATALCTMLGSYFSELD DAQDEAVVPLQLPRQRRKSRASIVGSDVMVIAPDEDLLTNQPRRAVV H257_10150 MSVESAVSAAIAIFVVGGTLFDVELTQVSKRLHPRAFRWRRMAN WLPLGIAYAAFYMARYNIAAGNIESVRQELNMTATDMGWIISSGSWAYALTAPLTGQW TDRMGGHTGMLVACVGAGVCNLILGCLFATKSSSQLPFMLLFASNVALQGFGTSAVVK INAMWYAPSERGIFSGVFNIFVASGYYLALGSGHSIITSFGWSYLFFIPAVLLLVISV VVFTCVANVPPPTNALSSSRLKAPVLPPNRRRPSIWALLQNRTLLGYLAAVFCLSWAR DGLLNWMYSFFDSVRPVPLTADDHAILGGAWTLGGFVGGLLCGYISDTMFESKRMPPI VLFSTFQAGAFLLLYALAPSISTPFLGVLVFLVSVFLLGNYTLLSYTVPADLPEDISA SAVGLFTAVGYISTGLAGIVMGSCIQRWGYAFWVTSLTATSLATALCTMLGSYFSELD DAQDEAVVPLQLPRQRRKSRASIVGSDVMVIAPDEDLLTNQPRRAVV H257_10151 MAAMLATRSSTVQVHRSSQLEDDDLALIDEVMAERCILLRRTSP SAMWSPRSGSMNNADNNVPATAAEGTEASRPMSFAANSKHSHDDTEHSSSLDSIDDAD DVAIHRSHLTHPTLSMLQSSDSLTSFDDTSASSCDLTAQPKPTDVLGDPSVIVEEEAS GRRSSVSTKFVVRKGWIEKCGQRFKTWKWRYFELTRDGCLRYYTAEDKAVCKGSIQVE KTTKNDIVIQTHVSSRDFFFVLSTPLRNYLLSTASERAMTRWIRALESIGAQAGPGRW DPLRNTVHLTVDENHQAHKWRGYDDPHDGKTPMAGFLLKRGHVRTNWVQRYFKLEKLD HHPVLRYFLHDDSSRHQTAKGAISLVNAYLSPGIPCCSDGRRNYFMLHSGSQELHLNA LTEGDMRRWIHALANAIQVRPTSPPRPMHVVSAQAVHVTKQLPRVHVTFESKPAFESL LLESRGDALVVSRTSGLCPTVPLGAQLLRVDGVSVGLTVDAALLRLARATYPLPCEFI GAPVKRGDMVKRSRSSRTLTSWKTREVVVEHGFLTYLAWHEVRDSFSLEGCYLQLPDV PGRPHCVAVGRSPADKLVLQAASEDEQISWAATLHCSIVMASQGLSVAGLDTNEPFSH H257_10152 MLHAVSYLLLDLAALNGLMHVVEFLHVVMGHYRPLAMAIPRSSN GNKPIGPNVARAMDGNTLIA H257_10153 MFKFLKKNKGLENAPSEVEMLEHVNEACSHRPVATFDVMCKSET DLRITVERRGPVTSTAYAWMVTKSDQPEVPVGCQVALVNGVTIPDSSSAFFALDCFLG WPNWLTFVLPPYKKGAVLKKSKVGWEKWNDRILEVRGGRLRYWDASEPGRRKGHFEMH NAKMSWANTKDRPYCLALSFADELIVVSLSSELERFEWAVALTAAIQMDTSGLAPSHQ DQVRVSADSASQRTTHGGAALLGDRFKSEIPF H257_10154 MDDDTSSSKSIRMNARKEVTRHEAASATAAEFASSSRWIDAEEY ERVATIMAREKDDEYRKSQPTLQLYKPKIKSWHPPVKVPLHKRKQPDLAVPESLRTIA APVIPVQQQQPSKPIAPSPRMMGHRTLKLRESIQQREKQDTMDSRRTANKDLYAALVD RFRHSAKGLMRNSVKTIDCDITNVHTIEDAILYFLCEKHRRNILYFQPEKHRPEETIY RPYDLTHIAPLTQNNKEEHYVMGATNLVHYKANENVECIPVSEWVYHSHMFDHLLKGI PLFQQLLRRRMFSNWNLNVQMRLYCETRLRLGRRLHCARPNFMLALRVIHNVSSTIRK ICALDVTAAASAKAIPLAEWTHLQEVRIGAIESQLNDAKATVHGALYTLVQSIRDDRS PDLLLDQLDNTDMYKMRQAYPEWKSIPMAAMKQFKADNQTQVAQAKRDLMLLPSLFRL LQYLFTESVYFMVLASIHHLHMQFSAADGCAITVAVSFLEKDANGMTLEPSEQDMLHH SMEALSRLVALSNSYSSEYRLIEYLTPPEVADAVPDGKFVSGMRLVDLLKSDDGFHAK VRSIRTAIKVAFTKVATNVKSFETLRPIYSAIQNPSDELPTMDKVDQYMENVPILLKT IQTKIRRLDTWQHQCHKTQASWSIGFLEVHCRHIISELLERINTQRSMAHQLLTDLTT QGILLCVTALKDAVTIMDERPQTTEAFCEQRRCIRTLSENEKLLLQEIRMVEDAYKAL RSNCPAAASDCVGQFNLIHALQAKYNLSFQANVKFSKKMLPVIGQQVAQALQKFTAQC KRILNALETNVTVRNSQTFITGKADFESKLNPLLEIKSELDAISDACAMYHDYQKIMG IKVTPIVLLESARCLWLEVHDVWTLCQQWRMSHAMMHAHKFIMQSWTKNQAATAEFLV RSESIKCRFENKIFVAIKAELHAFLKQLDLTVELGAPYIKPMHWEQVFKILGGDLSLQ TFCLKQLNDLDMWSHSDKIRTITYHARIDSETEAKLQVMKARWAATELVCQGLQLDPV VVDDLLAALDDDLMQVQCLMQLTSQPLLYQALATWSNEINYIQDTLELWVAAQHDWVK LDRIFQLPDIQQSVRHANVEFQVLSRKWKAMMKGVRTNTLIQHCVREVTNRTFLGDAK ALFERLWKQLVLFLHEKRREFPRFNFVSDRELLAIMAGTTLSLSQPCHDNAGALSVVV AKCFEHVCRISVETIQHVVKSQPTSPQSKPTTTLPSPTKPTAASTRQHPHTMGSPEES SFGDAPTYSIEVFAVHGQHDETISLNNSVKITQRPEGWMKELAKVLRRAMKENLRHLM AEYSDLLQYYLHDKSQDAQATEWLHTLLDNWPLQLLVLTIRVFFTGECTQWISQQRSS HVMRDRLQKRTKECIVALRQQSTTKHIHGLTTVTTTLLNALNVFQRLTQSNQPSFDWS QHLQYHWNGDTQTCIVSHGVKTYDYGYEYLGPQSVIAMTPLTERMMWSMSMAFRLHSG SLLYGETGVSKQASIRELVNCIGALCVVYDCSIQFNMHQLGRILGGIVQCQAYVSVVG LEHVECDRFGLFVHQVKRLQHALKTHKEKICLDSTVITLQAHYPSIHPNYGVFCKLTT PSQAHTTAMVRQCASAFVSFACKFSLPDVAVLIELYFTVVGFRNVDRLTKTLHSFLLL LGTTYCPSPGEFLSVRIVRKIVDLSSTYLDMFGDEQQVVAYAVWNSIGSRISPERKLA FLALLHRHFPSFRHIHLDVTKTKSHIHDQMAVRRLVPTPISTRKVAELHDLCSMYAIN VVTGEIASGKSTTIALLSGLRKYAQGEQRVKCFRIATATLAVAEFYGQFCNQQHEWVD GIITRYIRQRASETSRKQHGYIPWLVFDGSMSHACVEPLYAMTDDMPLVHLPNGDTLD TSHVKIFFETCSLENWSPSALSRFGIMYLPADGLPYTVFIKAWILRLEKADPPLDERI LSVARQCSQLMRSHLSSLIDVSRSNGHPNIAFSPPTKVNKLVCTLHQFLEKLSANSPT LKADAILMYICACTWTIGAVVKYSARPIFHEALLKVAPELKCHKLFTHGRTCYDVFWH HEGPRIGLASWASRVHETDWSRLANHHNILVANETSVCVEYLVSHFATDRRNVLLVGA SGVGKSSFTRRALHSLSDRNMIRTIRLGKHTSAISFQEQVLSDLERRMKGLYGPCTGK DAAMYHVDDMHLCQTECQEQIRQIVDVKGVYHRTSFEYVELSSLVFVGLASLNPSSVM ELPLRLLRHFHMIWTPELPPDSIFEMFKSLPAFVVERSPMSLDLETCWKVLQFPLFVL QAMWGHSFQSPHAIFTLGDVLDVYRSLLQSAPFNFESKSKLQGVMLNLTATCFRGRSN FCDQDEWAYDYLTSPIRLALDFDTHDERTLYRFATHTTDGISGYASLTSQAAVNVFTM GVEKFHWHHPSFNESAVRHLVPFPTAVDHVLRVLVSLSDIKSHVLLKGPRGCGKRVTM IIVSGILSYKYVEIRQTAFHVLKQTLMIVGTTATNHIIYVAVDELSTDMRHVIMHVVC DGDIPWSLYEAHELDDIADAMKKLPSLQHLNPSKAQCYSLYRDNLKKYVHLALSIRNN PVVVDACVDDNTSLLHTCSVHIFSPWSVDCFEAILTATSVPPLLHPVMLHIHASVLAA QPTLPRLNRLNSTAAFKMFVKTYETLHLQCLKRMESIQHACTSGLKHMKELIREIDQL NARERKLAKAVEVVGAKSDELHRRYEKQRVIEVKLHEAFAEEDRAYQVMKRAIDDEKR SLQDELDQTVPEIQAAIISLNKINKLHITEMKSFTSPPDLVRLVMQAVCVLLGLGATP TWDDALFVLCDMRFLDRLKYFDKDNIQDSVMRKLDKFIKHPKFNEDEMKRASIASTSL CRWVLAMVRYHTVMTYVRPKQAKLETAHGHVDRLECAVRAATDAWKVCETKTKALHAA WTESEQKKGHMQTELLHVRERTTSVDRISIVFENLKPLLRKQLHDVKCADDTLIGDCL VLAATAAYLKTVVPSQRVPLVDMWTEQCAAAGFRTSPNMLESTLGMEGVQELRAACTL SDMQILINLSHLNRWRHTPPYKKFPLLWDPAGVATTWIKATERMALEVIPANDPMIMS RFEMTLPNSNVVILVDQVGQISEPVMWELIQAVTDHNSKRPVYFVSEFECTQQWSIQL VEVFDMFSFELEATDVQEHVMNMFYMHFSATQESEFRTLNERLLDDMRHQRTHVDHLL KQVALSRANLLTCDEDMIALVTQMSALTQALGNIQIKSNAIAHIQTTRVPFLEIGRRG LTLVDAATTFDPRPRHKCMDMLQDSITKLPMDVVHEQTIAQISNHVTAAFVREALHSL PSELHLGFCCYVALGICAYNEREIVAICKAQLTAFRNSSFPGVHVMADQSSPTVTHAV ASRVITSAIRTCVDRIVVKKAASTTHAIHMANILDGSARVYIARDGNKRRLCTVQLLS KWQLVFQHTMGITFADLVDDVDSFPQVYERYTQSTPESIYDVPATEFVSRLPALVKFA MVTLSHDRITQAMLHELVLAVLPGVLLFPSTSIVDIAGQTDSHRPIALRYSADMVVHP LWCLVESAQSMGIREDEVWYFSLASSEDAVSSALKTIKDAAFHGGWVILQDLHHINAS EKAELGRQFQAIAHGDITVNANFRLWVIHDAVDALFPACNVKTYFFNTTTMMMPRSAK SQANGSTFHSKYRLGLVLFHLLVANGLHAGSPTPSTQFSMYELEQADYLLCTLCDEKD VAPGIQAEDWTPAQVASILAPLYKSKTADVALGNQYDVLYRWCLELASNDTARSSRAT LPPQWQRSLLHTMTALNDFHTKSVAVASNDSSPRRPLADIVPPPPPGTWLNAAALGLP QSTRGYFDWQVAESILSHLTALEPNHRPVSAIPPSTLVDALMFQLPKATVFQHAARKA WHAASNTVEEVLWFEMLAFEKYLGHVWTHLRTASSTADPMLHRGFVAEWMLAKYAAPF RLRDWVAWVQASVSMYQEWLWSLRFPLIRLQACQNPKALLMATLEQYAMAFDVPVCDV CFAALSHPPECSQTSTPSIQLGHLYFRNAKWDGSQLNLLTDDDNDHGGTALIHRSKPI EVFAWVRKDLHPDTTYKCPVYTYYFTHLAAGISWDTPIASAHVTSIYLPIPTHSDPQY HAKGVVCVLNEAFQPTHPVI H257_10154 MSCGGLMRIVCDSIGAIESQLNDAKATVHGALYTLVQSIRDDRS PDLLLDQLDNTDMYKMRQAYPEWKSIPMAAMKQFKADNQTQVAQAKRDLMLLPSLFRL LQYLFTESVYFMVLASIHHLHMQFSAADGCAITVAVSFLEKDANGMTLEPSEQDMLHH SMEALSRLVALSNSYSSEYRLIEYLTPPEVADAVPDGKFVSGMRLVDLLKSDDGFHAK VRSIRTAIKVAFTKVATNVKSFETLRPIYSAIQNPSDELPTMDKVDQYMENVPILLKT IQTKIRRLDTWQHQCHKTQASWSIGFLEVHCRHIISELLERINTQRSMAHQLLTDLTT QGILLCVTALKDAVTIMDERPQTTEAFCEQRRCIRTLSENEKLLLQEIRMVEDAYKAL RSNCPAAASDCVGQFNLIHALQAKYNLSFQANVKFSKKMLPVIGQQVAQALQKFTAQC KRILNALETNVTVRNSQTFITGKADFESKLNPLLEIKSELDAISDACAMYHDYQKIMG IKVTPIVLLESARCLWLEVHDVWTLCQQWRMSHAMMHAHKFIMQSWTKNQAATAEFLV RSESIKCRFENKIFVAIKAELHAFLKQLDLTVELGAPYIKPMHWEQVFKILGGDLSLQ TFCLKQLNDLDMWSHSDKIRTITYHARIDSETEAKLQVMKARWAATELVCQGLQLDPV VVDDLLAALDDDLMQVQCLMQLTSQPLLYQALATWSNEINYIQDTLELWVAAQHDWVK LDRIFQLPDIQQSVRHANVEFQVLSRKWKAMMKGVRTNTLIQHCVREVTNRTFLGDAK ALFERLWKQLVLFLHEKRREFPRFNFVSDRELLAIMAGTTLSLSQPCHDNAGALSVVV AKCFEHVCRISVETIQHVVKSQPTSPQSKPTTTLPSPTKPTAASTRQHPHTMGSPEES SFGDAPTYSIEVFAVHGQHDETISLNNSVKITQRPEGWMKELAKVLRRAMKENLRHLM AEYSDLLQYYLHDKSQDAQATEWLHTLLDNWPLQLLVLTIRVFFTGECTQWISQQRSS HVMRDRLQKRTKECIVALRQQSTTKHIHGLTTVTTTLLNALNVFQRLTQSNQPSFDWS QHLQYHWNGDTQTCIVSHGVKTYDYGYEYLGPQSVIAMTPLTERMMWSMSMAFRLHSG SLLYGETGVSKQASIRELVNCIGALCVVYDCSIQFNMHQLGRILGGIVQCQAYVSVVG LEHVECDRFGLFVHQVKRLQHALKTHKEKICLDSTVITLQAHYPSIHPNYGVFCKLTT PSQAHTTAMVRQCASAFVSFACKFSLPDVAVLIELYFTVVGFRNVDRLTKTLHSFLLL LGTTYCPSPGEFLSVRIVRKIVDLSSTYLDMFGDEQQVVAYAVWNSIGSRISPERKLA FLALLHRHFPSFRHIHLDVTKTKSHIHDQMAVRRLVPTPISTRKVAELHDLCSMYAIN VVTGEIASGKSTTIALLSGLRKYAQGEQRVKCFRIATATLAVAEFYGQFCNQQHEWVD GIITRYIRQRASETSRKQHGYIPWLVFDGSMSHACVEPLYAMTDDMPLVHLPNGDTLD TSHVKIFFETCSLENWSPSALSRFGIMYLPADGLPYTVFIKAWILRLEKADPPLDERI LSVARQCSQLMRSHLSSLIDVSRSNGHPNIAFSPPTKVNKLVCTLHQFLEKLSANSPT LKADAILMYICACTWTIGAVVKYSARPIFHEALLKVAPELKCHKLFTHGRTCYDVFWH HEGPRIGLASWASRVHETDWSRLANHHNILVANETSVCVEYLVSHFATDRRNVLLVGA SGVGKSSFTRRALHSLSDRNMIRTIRLGKHTSAISFQEQVLSDLERRMKGLYGPCTGK DAAMYHVDDMHLCQTECQEQIRQIVDVKGVYHRTSFEYVELSSLVFVGLASLNPSSVM ELPLRLLRHFHMIWTPELPPDSIFEMFKSLPAFVVERSPMSLDLETCWKVLQFPLFVL QAMWGHSFQSPHAIFTLGDVLDVYRSLLQSAPFNFESKSKLQGVMLNLTATCFRGRSN FCDQDEWAYDYLTSPIRLALDFDTHDERTLYRFATHTTDGISGYASLTSQAAVNVFTM GVEKFHWHHPSFNESAVRHLVPFPTAVDHVLRVLVSLSDIKSHVLLKGPRGCGKRVTM IIVSGILSYKYVEIRQTAFHVLKQTLMIVGTTATNHIIYVAVDELSTDMRHVIMHVVC DGDIPWSLYEAHELDDIADAMKKLPSLQHLNPSKAQCYSLYRDNLKKYVHLALSIRNN PVVVDACVDDNTSLLHTCSVHIFSPWSVDCFEAILTATSVPPLLHPVMLHIHASVLAA QPTLPRLNRLNSTAAFKMFVKTYETLHLQCLKRMESIQHACTSGLKHMKELIREIDQL NARERKLAKAVEVVGAKSDELHRRYEKQRVIEVKLHEAFAEEDRAYQVMKRAIDDEKR SLQDELDQTVPEIQAAIISLNKINKLHITEMKSFTSPPDLVRLVMQAVCVLLGLGATP TWDDALFVLCDMRFLDRLKYFDKDNIQDSVMRKLDKFIKHPKFNEDEMKRASIASTSL CRWVLAMVRYHTVMTYVRPKQAKLETAHGHVDRLECAVRAATDAWKVCETKTKALHAA WTESEQKKGHMQTELLHVRERTTSVDRISIVFENLKPLLRKQLHDVKCADDTLIGDCL VLAATAAYLKTVVPSQRVPLVDMWTEQCAAAGFRTSPNMLESTLGMEGVQELRAACTL SDMQILINLSHLNRWRHTPPYKKFPLLWDPAGVATTWIKATERMALEVIPANDPMIMS RFEMTLPNSNVVILVDQVGQISEPVMWELIQAVTDHNSKRPVYFVSEFECTQQWSIQL VEVFDMFSFELEATDVQEHVMNMFYMHFSATQESEFRTLNERLLDDMRHQRTHVDHLL KQVALSRANLLTCDEDMIALVTQMSALTQALGNIQIKSNAIAHIQTTRVPFLEIGRRG LTLVDAATTFDPRPRHKCMDMLQDSITKLPMDVVHEQTIAQISNHVTAAFVREALHSL PSELHLGFCCYVALGICAYNEREIVAICKAQLTAFRNSSFPGVHVMADQSSPTVTHAV ASRVITSAIRTCVDRIVVKKAASTTHAIHMANILDGSARVYIARDGNKRRLCTVQLLS KWQLVFQHTMGITFADLVDDVDSFPQVYERYTQSTPESIYDVPATEFVSRLPALVKFA MVTLSHDRITQAMLHELVLAVLPGVLLFPSTSIVDIAGQTDSHRPIALRYSADMVVHP LWCLVESAQSMGIREDEVWYFSLASSEDAVSSALKTIKDAAFHGGWVILQDLHHINAS EKAELGRQFQAIAHGDITVNANFRLWVIHDAVDALFPACNVKTYFFNTTTMMMPRSAK SQANGSTFHSKYRLGLVLFHLLVANGLHAGSPTPSTQFSMYELEQADYLLCTLCDEKD VAPGIQAEDWTPAQVASILAPLYKSKTADVALGNQYDVLYRWCLELASNDTARSSRAT LPPQWQRSLLHTMTALNDFHTKSVAVASNDSSPRRPLADIVPPPPPGTWLNAAALGLP QSTRGYFDWQVAESILSHLTALEPNHRPVSAIPPSTLVDALMFQLPKATVFQHAARKA WHAASNTVEEVLWFEMLAFEKYLGHVWTHLRTASSTADPMLHRGFVAEWMLAKYAAPF RLRDWVAWVQASVSMYQEWLWSLRFPLIRLQACQNPKALLMATLEQYAMAFDVPVCDV CFAALSHPPECSQTSTPSIQLGHLYFRNAKWDGSQLNLLTDDDNDHGGTALIHRSKPI EVFAWVRKDLHPDTTYKCPVYTYYFTHLAAGISWDTPIASAHVTSIYLPIPTHSDPQY HAKGVVCVLNEAFQPTHPVI H257_10155 MVAVMSEAAAKAKKREYNREKQRIFQAKLVQQVISLKDEVSVLE AQLAQLHLDKPSNIVDDVGLSANALSWKDVAAGLQDDTNRIVDINRRLRRERHSQKEL LKTLQAWVNVQIRHSTTLCAPTHTWRNVTLLASPESRAVGYDWITAHLFYNTEAIFQR HAFPSVAPEEISGDFSIDITDPDAMQYVWRYQKEMDMPLAFVATCFRDNVWRSMMLGG FVILHTEVLDNMPDQMIYRHTITNPDETVNYLGREYNDGPDRVVFVGQNIHDDAIVPC GSRQRNRMAWVVLDRLTPCHTRVRILHLNSHFFTKHGYVSLEDEARYWGGDVGTGDEA SKLVKFQKHVTVMGDDVARLCRNKFENACASQLQQIDDSPRAPTVLDDSMCQPCIPHL VM H257_10155 MVAVMSEAAAKAKKREYNREKQRIFQAKLVQQVISLKDEVSVLE AQLAQLHLDKPSNIVDDVGLSANALSWKDVAAGLQDDTNRIVDINRRLRRERHSQKEL LKTLQAWVNVQIRHSTTLCAPTHTWRNVTLLASPESRAVGYDWITAHLFYNTEAIFQR HAFPSVAPEEISGDFSIDITDPDAMQYVWRYQKEMDMPLAFVATCFRDNVWRSMMLGG FVILHTEVLDNMPDQMIYRHTITNPDETVNYLGREYNDGPDRVVFVGQNIHDDAIVPC GSRQRNRMAWYT H257_10156 MPSTQTAPPPTTTSLPAEVEHGNVEYKLQLLNPTAVRFQHLVTQ LNWRLNEGHGYAFYELGVSDDGLVIGMPPEDLETSLNTLFRMCQVLSAQMHVHTLREG MQHTYKAARVAVSCIAETHAKKQVRVSVIGDVDSGKSTLIGVLTRGCLDDGNGLARMQ VFRHLHEIENGRTSSISEQILGVTKEGKICKFNTLESRNASSIDAQSTKLIMFSDLAG HQRYLKITASGLTSQFPDYAMLVVDATAGVQSMTREHLRIVLGLGIGVFVVVTKVDVA EAPRISQTIDEITALLSLLHSTPPSVIATSDDLAAFQLLQHPTMIPIFQVSNVTGASM TLLQQFLASVHPTRAWTSVRHCSSEFHINGHFQSDEVGTIVTGLVQRGTVHVGESLLL GPTISGAFYGVHVKSIQVQRTPAKCVFAGQTAALLVTSLDHADIDMASMRRGMMLVHP NLSPIATKQFDAQVHLLNASMLLKDNVQGVIHAGPIQQLAKVVAVLKSDDNGQVQLRF EFVHSPEYMRPDWPLVFREANTHAVGKVLHAVHKQGTVPPDVVVVAGLA H257_10156 MPSTQTAPPPTTTSLPAEVEHGNVEYKLQLLNPTAVRFQHLVTQ LNWRLNEGHGYAFYELGVSDDGLVIGMPPEDLETSLNTLFRMCQVLSAQMHVHTLREG MQHTYKAARVAVSCIAETHAKKQVRVSVIGDVDSGKSTLIGVLTRGCLDDGNGLARMQ VFRHLHEIENGRTSSISEQILGVTKEGKICKFNTLESRNASSIDAQSTKLIMFSDLAG HQRYLKITASGLTSQFPDYAMLVVDATAGVQSMTREHLRIVLGLGIGVFVVVTKVDVA EAPRISQTIDEITALLSLLHSTPPSVIATSDDLAAFQLLQHPTMIPIFQVSNVTGASM TLLQQFLASVHPTRVRLLYSLLWYKFHLVFVWIRCTGMDIGSALQLRIPHQRPLSIGR SGHDRHGAGAAGDGARGRIVVAWSDDLWGVLRCACKKHPSAAHTSQMCVCWPDGRASS HVPGPRRY H257_10157 MDGRVSYKYISWFMTSEAVAYAQGGSHASGVTASTSTASSSSDI AAVSPSSKKKKKKRAAKLRPQTVEEVFSTLSTLKANGINPPPEKIVLTPRSADACLRT GVNPETLKIRDLESFGDNGVSPAVQKMRHEAYSMRRHDQMKLVRAEKKKILAEEDLAT EEVHGFRSYRGPNHRSPSTSPKKDSPDKAALSMIEVEQRRLEKVQFRQQREIEQMLEF EMKRNRMAEEASAKLVREKELHDAMEAEKVQRLKELAELKRMKEIQKKAQEDAAEERR RQVAAQMFQRDKELSDQKARQDRLRKIEMKMREEERKKKAEEHRVKTEMIMARQQAEI QARLQELTVAEETRNRMMEEQREQRTLEMEERREVVTKRINKNLKQARRVEIERKREI RHKQRQSELLRAQMKEEQDRQRELAHQEMELMERKRQMVLEEARREDERKKHMLLEKQ REVDENVQSVQEAHSRELYLRREQRSIHKQLKLSNVDRMKRIQEYKRLETLRKIREAE ERTESMLQQKVDLVRQRKQASVRSKIQRDAIVETMELVKITKKWKKASKRIDQVLGCS KPKKKKRPVSSDGGSMARGGVGDVLPTLQHHRAQTPQESSFRPASPPPTKSAFKHVKD EGGKVTVEPSPFRSPYDEVPSLIQKKSSIKPSKHTTSAVF H257_10158 MMVRRRCIVLHLAALVLMYMATADATDSALALHAMEDEDQDGVM NPKELTVLREKLHHAFDTDASGRIEKDEMRSVLQVDHVSKEFNSMDKDDDSYVSTDEL DSRYDQLGAEMTVDEVADWVSYSVHLPQYAQMFRDHFVSGYTFPLLMEKNGERLAELG IASSLHRQQLALMMKRKIAQVGKAPVEVKDKSCTVLPAKASRPPKVKLQWTPPPDQLT ASSQYQLQVQRHNDWHTLSFGKETSHSHVLTPSDVDDDATSQHFRVTTWNTFGRSGRV HVECRDVAISPASSAIAIAAPVSQDHANKSEPSSSSSLLAFYATYLFWLDEVLVLTVI VLAPLRMFIYGDANFFLRLCRRLPPNAPTRVEVTLDTSTPSPSPSAAATARLRVKWDR PLDNHVDIVCYCVRYVDEAGLTHYLKLTERPLPTLCYIPGLKFGLTYKFTVEATNSFG LVSKSAQSTYMATNPVQVPAVAPSAPLVPRDQCYICLDPTETRSGGWNVGLHYCSVCD RQFCNNHKTYTSHNFIMHCPAINGKCVCSRCLRPAARPRLNGTASL H257_10159 MYRLVSPLQRRVVASAVLLAAAGTYSTTSNKSPVFHPDSHNKDK NGVFKYVKPIECDDGHVIVPWEVPDRALQLQKLKSKDVFDVVVIGGGATGAGCALDAA TRGMKVAMVERSDFGAGTSGRSTKLIHGGIRYLETAFMKLDIAAYKLVLEALEERKHM LAAAPYMNRALPIMIPIYTWWEIPYMWVGAKMYDLVAGHDRSVPGSYFIDSDEALYQY PNLKKDGLKGAIVYYDGQMNDTRMNLSLVLTAVQSGATVSNYVQVLSILKDEHGRASG VHVKDANTGDEWDIHAKAVINATGPFTDGIREMDDPAADKICVPAAGVHVVLPDHFSP NRMGLIVPKTTDGRVLFYLPWENGTLAGTTDSESNITMLPSPTKAEIQFILNESNRYL NATVTEADIRSSWSGIRPLVKDPRHSNTAQISREHVLDVSASNMVTIAGGKWTTYRRM AQDTIDKVQQLRPDLSQAACRTRMMQLVGADRIGEVCNQKFDRITITLREKYHMDKDI AEHLTKNYGTRALQLGELESTGFLHRKAGDHPKRLHPKYPYVESEVIFAVQQEYAVRA VDVLARRTRLAFVDAVASDQVMDKVIGMMAQLLQWDKKRCAAEKQEATEFLATMHIK H257_10160 MAHQDKDAWRDRLRRYLDDPAEHADVITYDDEFVVVQDKYPKAT VHFLVIPRQVLSMGVGDLDREHLPLLRRMADLVAPLTASFPDLQVGFHAVPSMRQLHL HVVSTDFSSPSLKHKKHWHSFTTTFFKPLADVLSTIDSTGQMAVRCVRERELLEATMI VCNQCATTFKTLPQLKRHLISVHPATVSLDAVKQLLSTIFSKKRTATNAPVEVPSPKR QAHASPTATSVTQ H257_10161 MVCPCSRQAGSNMQQSRPHLSCSEYAMVVASRLYFSAFYRTVYL IMIASSVACVAWTVANHWRTPTSEVFISLEILLCCMLVVEVVIRMLALKRKFWTRWTN LFDVAASVLSIVSVALYFHQEGVMEELEEVAADFMMMFRNANQYMRLAVFLKNRKMLS SQKSADSNGIDFDDLDEEEQTSMLQETREEEVDHKDVEAAVVVKDDDHKATAAPTEAA AVSDYDEVALTT H257_10162 MMEPSSPDVAAAALAMNDRSHGLHFEVSVEDGGDLEGGTMSPKA EDGLLKGKSSRPAGGLCSCFTLAFYQPYFNVDTIDIQVRLTRALLPFKQDPSFKDMAL NAPDAYGPFWISATLVFCLASCSNIASWLDHTGDPTLWSYDFSRVATAMTIVGLYLLG LPVVLWGVGKYWAVPLPLSFLICLYGYSLTVFLPVMFICTAPADAVDWVAMLISMAWS CYFLLINVWGYAAEYLSKEKLLPFLSFIGATNLLWVILVKLLFF H257_10163 MAHRSLSLWIYAAAIFVATTADAGGSGICYDSFQAIGNVDAHFT FLRGKFGAVRTYQTSVANQVNLIDAAAKAGLQVAAGVWLRGPIAFEDDLKAAVDGVKR HPTNVMAVYVGNEDLDHGWSAEQVVDKIRVVRAAFAAQGLGNVPIGTVQTDGQFLANP DLAAACDVVGVNIYPFFDSSAFSMLFPVDNLDKRYAAMVRTFGQKVRLTETGWPSAGI SQGNYVSSRANARQYFKDYEVWVAGLTGASSQWPFYFQFADVPAKGGFEAHFGLSVDG ASWKFDVVPTTTPAPTTTTPPPTTTTTTPKPTTTTPKPTTTTLAPTTAPITGGAAGNS SRAPTAAAAEFPSGGNGSSAATTTLEPTNSPLSNANGVQDSSDNVMLANTAESKTTSG SSGAAAGWSILGIVGGLVVGFVAIRKYRQYLDKKDRDVGRDASGRTFMTYQRGEVAIL H257_10164 MQKPRSPQPSVQQNSQYTTALDFAAVKMSERSDSTVVAIDEKAP LLAHHTSESCGPQTCIDLDVATDTQEVSNSWTRVVNDVVVNCLSGVIAFMLTSTIAVS TASVLVGTGTPLSAYVANAIDMHLLGTALMCLFLSWQSTVPYAMGAIDASVVPILAEM AQRISGKLHHDMVTVVPTVLVAAAMSSVFIGCILFTLGYFRLTTIVNYLPYPVIAGFL SGLGAVLIKNGIEVSTNVGFPTSVETLTLVCPTVLFVLIACVAKQYRVSPTVTFPILI LGSIAGFHLVASVAAAAMDPVPWLFDWSPHMLATTPRWYSWAELSWGSVQWTVMFASL VEIVPTLLLLVSLKYSVLIGSLSTIFQRNVSVDVEIQTIGKVNIIAGLVGCCGGTHYV SAMALLANFKAHPRLPVVICAGLQLTLWGVGLTPLLYIPKFVFGGLLMYIGVHFLENY MVAPAAFLSRLELLTILATIGSFITLGVLPSVGVGVVLSMLNAVLNLHVSGCIHHDVA LPQHATYVVHLQGNLSFANAMHVYTLVDSQWQRQPFNTLVLDFERVVLVDGTFVQILR RLQTVADRCHFDVHLCHVPTSIEARFSTLSLAQHETLGHFMQRHHEPCHHSADSYGPV HAAWTAFVAEGGSAVSGAAVVTNYLDGVDTLAPQTLYDPPHEASWGFLCRGHMDIFDA NMRERRGHVRSGQVIPSNHDHTMLTVTECVVLRMHATSWRHLQVDHPPVAITLLELLN RQMTQWNDANASSPSNDMAKY H257_10164 MDPVPWLFDWSPHMLATTPRWYSWAELSWGSVQWTVMFASLVEI VPTLLLLVSLKYSVLIGSLSTIFQRNVSVDVEIQTIGKVNIIAGLVGCCGGTHYVSAM ALLANFKAHPRLPVVICAGLQLTLWGVGLTPLLYIPKFVFGGLLMYIGVHFLENYMVA PAAFLSRLELLTILATIGSFITLGVLPSVGVGVVLSMLNAVLNLHVSGCIHHDVALPQ HATYVVHLQGNLSFANAMHVYTLVDSQWQRQPFNTLVLDFERVVLVDGTFVQILRRLQ TVADRCHFDVHLCHVPTSIEARFSTLSLAQHETLGHFMQRHHEPCHHSADSYGPVHAA WTAFVAEGGSAVSGAAVVTNYLDGVDTLAPQTLYDPPHEASWGFLCRGHMDIFDANMR ERRGHVRSGQVIPSNHDHTMLTVTECVVLRMHATSWRHLQVDHPPVAITLLELLNRQM TQWNDANASSPSNDMAKY H257_10165 MVRKAGTDWLSITLQANAHTPLHPDGLLYLWRDPRDILPPLLSI TSFQEPSTTMLDFGYDDSSSPTSPRRRAHTAARGDDWGDLLYNHHEIAHNCAHHLFNS RVETPRSVYVCVTTEDHVPPVIPMY H257_10166 MVAADYTIDNGATAALDVVLWVPKRFRSIDHVTVVSTIDQVRYA CLCGDAGKLDNGDSIARYRSRPGAANVAPLQTPLPLPLSTTGDCISIVC H257_10167 MKLTSSLTILPLLATVHGYIRTHKPVIDEETKTLAQLYQEAIQE GGNLVVYHGGDTPDQQNYTADAFKAKFPDINLTMIVDYSKYHNARVDNQLETNSLVAD VIALQTLQDYPRWKKEDKLLAYKPKGFSGIYDGFKDADGTWYSHAVFTFSYFYDTAIL EGKGIDVPKTAKDLADPKYKGLIASSWPHDDDASLFVYDRYVKEYGWDWVKKLAENNV QFNRGSHTAGEAVANKTHAIGVAGSVPEVNTPTVVEPTGDGAGSDYLSWGQRIAVLKK APHPAAAKLFVNWIVSKEVQNTVMKGFSSRTDIEGASKAWDVKRANSLAFQKWMENRA YIEELKATFALYFKEVTGEPSPGQLGLHPGKKSC H257_10168 MVSNIHTFVAERKRQTPESRVEWIRGLEQIQALKPSIIIPGHAL PGDLTEDEAPAFTAAYFREFEAQIPLARNSTDLIAAMKVSKTSPASNSVPRSSRAKGS GS H257_10169 MASVIRYVKDTVDAKLEAWKDQLGADAPSSTIVPSVLKSKALKL EGSSLEIRGPVDRTFVWIP H257_10170 MKLFRAIVLLSLLDLLVNQTTASHHHHKTAKPVKAYAAEDSSVD DYVAQVRGSMCLPNVTCGSDGKSCKTDCEFRVAQCKDTDLKEVESDKCGDSDMLKLTP YNPRTLDWTRS H257_10171 MEDIMKTEGSHDNQRMTTGGPLVDEDEARILHKRRLTREKMQRY RKGIVRKSSALKHQAVDLQREIERLLARQTTDKSPWLLSWADVARALRDDSDATRNTN GILRQLVLQQDREIRTIRSWVHGCQVLPNSSWRNVSLLEHPQARKHGIDWITQHLYHN TDRVLEQYAFAAVGSGVQIDDDTIIDTSSPDCFQYVWRDQRDMDVPFDVAARALTLDF FHSLLAHGTPLAAIPTDVETLNELGGTCRYKTSVGKFEQMNHLYRVFQSATRIVYVGQ NIHHDPLYMDHARDNSSNRMTWVVLDKASATTTTLRKLTITSQGFRRHDGRMIPLDEE ASLWGVGLSAIASPDAQLDWFAHKVTQLCNMYANMNGGMTTRLDKYLANVPH H257_10172 MTEGGRPKTDPSLKKAREKAKMQRYRKRQADLYTQLNVEAFHLE SQVMHHANAVAQRRVLRLSAVSSLLPWKEVLAAIRDDMDELTTANDALRARSQAVERL AKAMQRWVHNAQYFPSPSSLSSSLHASGTLCRTPWRHVTLDAAPATRTLGFDWITKNL CHNHELVFQQCHFPPITSPDRVADFGVDTTDGMERLQYIWRIQDDVAVPLSTVRDAFA RPHFLSQVLGKHLTNTSSVSPHISAAMQADEHLLSDFGGHACYFHSQWGATSWVHFLT REFHLSDDRCLFVAQCIHEDPLMPASPYSRHRMIWFALDEITPDTTKIRMLCVNGRCF NMNSGPVPLEDEAARWGVDVGTRAGGMATLPAEDDVGDKEAWILQRITQVALKNVTSF QRDLCAMLATHSALS H257_10174 MPTSMVHCDAARPAAAAAATTAPPFDPKAERRMKERQKMQRYRQ RLAAKVVYLKDLTKKMESQIRVYTLQRRSSRTLLAWEHIACALREESDATTTTNAALR DQCTQHATLIRSMKQWVMQVAGTSADSVPVSPTCMSRRPLQNLTLSADPYARRLGFDW ITRHLYHNCDSAFQRMGFPASANETLASFSVDMTDPDCCMYTWRSQREFPASVEYVRD VFARPNLVGQMHGTFRRQSTLLQLPETLDSLVISQDDEILRDFQGMYTHSQWTPDCFV HFLAREFNQPGRCVFVAQNINDDAALVNGLLQRNRMMWIALDRVAPDRTCVRAMCINS HGFIQGGGYSSFEEEALRWGCDVSALPTDDQRHQHFERHVLNVGGRNGNSFVEDFDCR VNTMRYGDSRVSTHGLGLCNHPHPKTE H257_10175 MARQSTGRELSHAKKMEVIRCLHTLSTKGKLARGAILTTASEHE IHRTTISRIWKAFQRNELLPSLKAGRVGHSPVYTAHLVASTVRELPQSLRSTMRDISE ATGIPLGSLHRALKNFAETPTFDGMWDVVHLDEKWFNADKNVRKVCLAEGEEPEQRAW SSKRFIPKVMFLAAVARPRHDLERGVDFDGKIGIWPFVQYQHAQRSSRNRRAGTLVAT WVNVDAPMYRDYVLTRVIPAIKATFATINKRVVLQHDNATPHGGITDADLVPVSTDGC AFVVRCQPPNSPDLNVLDLGFFASIQALQYKLSCGEALDKVFLTLQAVMRLVLENNGG NHFRLPHLRKDALRRAKALMPNVSCLASLLG H257_10176 MPPVDTPAKPAIEDDYGIMEACKQVFRVPSFTLESGVTLDDVHV NYKTFGVLNAAKDNVLFVCHALTGNAALDTWWGGLLGDGKPFDTSKYLVVCANILGSC YGTTGPTSINPHTGKRYGGSFPDVTVRDTVRLHIRLVVDEIGASEVACVVGGSLGGMQ TLEWGFLGQGLVQKIAVIACGAQHSGWQIGISEVQRQAIYRDPNYRHGDYDDAAPPHS GLALARQIAMISYRTHDVYTDKFGRHTQVDDDAAGVFTVQSYLDYQGRKFLTRFDANA YIAITKMMDTHDIGRGRGGVEAACRQLEALPILIAGIESDLVYPLSEQAQLHAYLPHS VFHSIQSPHGHDGFLLEQDIVGKALTAFLE H257_10176 MPPVDTPAKPAIEDDYGIMEACKQVFRVPSFTLESGVTLDDVHV NYKTFGVLNAAKDNVLFVCHALTGNAALDTWWGGLLGDGKPFDTSKYLVVCANILGSC YGTTGPTSINPHTGKRYGGSFPDVTVRDTVRLHIRLVVDEIGASEVACVVGGSLGGMQ TLEWGFLGQGLVQKIAVIACGAQHSGWQIGISEVQRQAIYRVHLEKMSHRCYIVLLLN IWTFVLSFQIYQSIFCAEFCMEKGRTCRAWNHRIRPHTNSMFPAI H257_10177 MPVDIFDAPSEPSYAKHPSHSFAFCDGKASSSRKSSRQSRRQKQ SFAVDTTVPSSGLDFDDDRVELYTGPRPNASIRQKAGVGGGQQQHHAPPRQQPRHRYN SASFSQWDDGGVASDNSILASFPFHASSFHKDNNDDTTDVYDYDINEIDLNKYVTPPP RQPIPTKSSRANSNNHRGNLSAGSCRGSRTAVDDDDIFDRPPSRQCHAFPTHLVDQVS DDDNDDFDHPPEKGRRPAPLKRRPPSRHKHLSASQKHIHDTFPGSCEINEQSLEDTIH RFRTRRDMRRIQSHDPTRDGFDPVDETVPMPFRIEVTTNNNPRNNSNQSNASSHSRRY RTPSAAAPPSAAYDEMRRTLQSRAEDPVVVKTSALDRRHSSMLQRGGSIHGPPQWLKD EPHPFFENTLAAQLPEWLVATTSSAGGDGGPETNSEGVNTATTDDLKLDDFNFSATTT PPAPPESSSLRTSLGVDFLSLFAQS H257_10178 MSRASTTAAAAPPSIRLYEAEDIPEGTKPPNTLRITVIRARGVA MAALRSTTSLYCKLSCAGIEHKTKLKAKTLDPLWNETFSFRSPDFLTACTITVADKVN IKKRFVGQIRIVASDIATEPMMRCTRLFPLLDKSWEAREKPLGELELKVCLVYEREHD AVVLHSMKAAERSADDSSDAIVVWDTGDGEGVAVQQDETEEEALLRKQELEWQEKQRQ DAIMSNVPKGDYQIQAHIIEARDIKGEFFDGTSDPICYVEVLDKRQKTHAKFKSLSCV FDEIMFFHFHGIGRDELETATINVSLYDRNVVRPNTIIGTYQFDVMSIYCRPKREIYR QWVALVDYKSKQDSGIQGFLLLSLAMAGPGESFPVHDLTSLSTAVESPAMLLLPPTVE QHVHFLVVTVYVAEDVPPMDVHTLSPAGIGIDAFVRVDFAGNRKCKSSVVTVKGTSNL SVPFFEELWIPVMMPTMSRRITISLRDREFGRSSDVVGTFAHDFQAVPLVTHDLADPT NLVYLREVPLRYINLYGPPLKHADAKAGDMMKRYPDHASTYRGRLLVSFAHVSQPNPD DSDRFVVKEVEDEDWEALKPPLTRYVLRLSLLAGQDLPSVRAKTGLPARLFVVVAIGP HELRFEANAAKNGSIVWGLTQEMKNIVLASDLTQVPDIIVTLCKETSDTDDPVGVSFA RLRAGDVVARGMEPAVTWLHLRQEICRKGSIPVGQHPGSLLLRMAFAREEVAARQVWA NDASLVTPTIPALVRVHIFQCKGLVVDDLKSKGTLPDPLVQVHFNGVTRKTKPRSRTL DPLYYESLQFDTTIPAKAEYAGEVWIQVVNKIGFNTVKYMGEYRVPLAKCTKASTVPY PSWVPLTKSDLMDDAVAAGQLLVSVQIIEHPTAEDRTAALPSIVPECREAYVDIIALG VRNLKNNNLLHIQNPFVEFELTGLNSTSGENNIQRRTKASHEPTSKNANFLERLVIPT RLPIDILFAPQLVLKVYDSTLAGLHQPLIASCVIDLTLKLPWSPSYEPPQQQAFDYHI DAAKKRSKQRRVVQPKAETPAIEVASSNGDSKHEKHATADAEPTGNNDLDDDDDNGDS HPSRHRVADSDDEDGNLVDEILPPETHDDDGTGIGVLALPAVSFEATDESKQDPAVLY QVQQAHDRRLFASVQDAKKKGQVYFVSDPNAMVASSFTSPDDEAHALDSPAYYAGRDW WLKLEGEELEDFLKTAPFESYALFRAHTMIPSFFRKKKTKVQVQTGIFKGLVVVTLKP QKTNPLIDFVSLTDPQPYEVRVYVLKAANLQPKDRNGLSDPYLRLTLGKTKVNGRAAY KKKTLHPDFYKCYVFDTTIPGPSQLAIQVWDYDRMGTDDFIGETVIDLEDRWYHSMWQ DIGFKHPQLDGSGILKPIEHRHLWTGKESTSQGSLQLWVDILTPAQAALYEPVNIEPP PPQKFEVRVVIWRSEGVTDRDMNTMNDLFVKAWMEGTKPQTTDTHWRCSTGKGSWNYR LKFTVQMPMKPEYGRLTIQLWDKDLAKWNDLIGESQLDLYKWIHKAFHEKRTVRPFKE QNTGKKGGFLAQNEEDESSDDEDDDNEGDHGPDLENNNSEQHKPLLDSKKKKLHSKLK KKITNVLKKVKRRKQTLTPDERQRRKAEKESNDKDEAFQSILDMLGMGRLSDDSDWLE MRYTNREAGISESMGKLGVCIHIVPEAEYLATPVGSGRDEPNINPYLPPTVGRIRFSA NPFSMLKELLGPELCGKLACFVCCAGCLSFMALFGASIMSTLTFYQQLQNQKDKL H257_10179 MFRSFTLAILVATVASSAAASVGLCSTNKPYSYSVAKSQYPHLK SAIEVVEGQPIATWYTDRSQDAKTQVQLTVSKCPAGDRPTVVVYGLPNKDCEGHQSAD GSNKTPQDYVAFLESLVTAVGNNDVIYILEPDAIGSLIGGATCAVTYGYEANLIAALG ILGKNPRADIYVDVGYWVLGAQNDAKIAAMLGKLDPTGKRLKGISINTSNFRSTEELA RLCSSFAATAKKTTGLSATCVLDISRNFQGPDPSSQWCNPKGRGIGYPPAVRPVAFPL IDYFLWIKPPGESDGFCNGGPNAGAFYIDGFVELWNNGYFVNVQGQARINATA H257_10180 MPQGVLFTLEEQGSILAFHKAGWSIRRISKEVFASKGAIWRHAS IHPSAETKAFLAEQNVPLLSWPSLSPDLNPIENVWGYLVRKVYANGRQFSTVTELKSE ILRPWDAIDQGFDCVKLPMLFIIKGQSGEPLKKKELLTFDPASQVK H257_10181 MVAPDRTRIVEWINRTWNALPAATIIQGFRRTKLLYDDLDVPEV EQAEYSDDESVNTFSRAFNMVLS H257_10183 MSCYFKGCARPVLQGAAKCDFHRQRSMCVVADCRNQVFARNLCV RHGGKKSCVHEGCRENVRVGDLCGKHGASAHRKMCIEDGCNKFAQTKQRCSAHGGGQR CKRSGCFAHARRGGFCTRHSSLSRLNKADRSEELVEVCDRVQCPPFTLFPKVAAPAVN KLSLSLILNPHESSRRSSVEWT H257_10184 MSCYFKGCARPVLQGAAKCDFHRQRSMCVVADCRNQVFARNLCV RHGGKKSCVHEGCRENVRVGDLCGKHGASAHRKMCIEDGCNKFAQTKQRCSAHGGGQR CKRSGCFAHARRGGFCTRHSSLSRLNKADRSEELVEVCDRVQCPPFTLFPKVAAPAVN KLSLSLILNPHESSRRSSVEWT H257_10185 MTSFHVPTCYFKGCARLTTGDAVKCEFHKQRSICLVQDCRNQVF ARNLCVRHGGKKTCVYDGCHENVRLGDLCGKHGAGSTRKMCIEDGCNKFAQTKQRCSA HGGGQRCKLPGCTTHARRGGFCTRHTNQVTRSTILVEDTVKRVPLSKMSLLAILNHDS SEL H257_10186 MPPILLDFDASTVTIFWRNTGATKYDVQWKKAQDDGWTSLSLSG SLMKKKNIEAGTTYHFRVKEEGASSFGDPLEWVHPNVGSNQQPVAPQVIMEIMPNDVN LLSATVKWNDTTATPPFEVQYLLMEGISDWITATSTASSTAIKKKNLPAKGVPYAFRY RAVTSTNPLWSRAAGPVLPPAPASALTRAIAPTLLTPSGSSVSSPSLGGKVIGLYFSA HWCGPCRQFTPMLAQFYHSMQQLGKPFEVVFVSSDRSQRDFDGYFGKMPWLAVPYESD EREALEARHEIRGIPTLKIINTQGAVVDADARQRPLTAATFDRWYAQSYSS H257_10187 MSKFPLPPNFFRCPALNVDETVRLLTNADDISVDLVRYSRVTGG PVKWTLKSDESSIQIYKGEDPDAPPGVLSWLGVTEVMATIEEVVSLFRSESNDEFKEY CRMFMKDVLDGQVLYNLRRRTAENPHHSVAIRWFALESPIPGIAKSRDWCFLETQHDF ELDGKQGWTRAFKSVSLSCCPDLQNSLGLVRGIHHRSGYCFLQSNRPGYLQVTQLIQA DLRGKLPDVWVDLGMKRRCRTMKGMDSFLRQKRLSQGTFLHDAELVPKDSRSKCFVCQ RKFGPLSKKGQCRKCGEVVCRRCSQIWDVRIANNAVKRRVCTACSCDTVDPPADANTI VDDPDDPDDSQQDETPRQVLRQHPVAASSPVYSPSYKPDDTALTRQLSGLSITGESTP TSSQPHAAKVGASVHVLNQTTPTHHADPFGGHQTPVALQRHPQHHRLPPASTMITLRK EDLVRPPPPRPDSYAGLPEPVVPRPYMDHHHPSRGGRGGGGPAGGWDDDLQSVFKDDM SNYSESLVSFHQSSVHAKRGLASKLPHHHSQHQQQQQQSPYHHQQQQSPYQSPYHHHQ QQSPYQQQGYDPSLAYQPSPTIDRYGGGPQLVNPYEYIDPYDRDPRMYSPGSALHASR GDKYANSYGGTTSSQQYPMYAHDRRPPYQHQHQPPPRHHHHHIYHPNHQHPHQYAPAP LLDPRRAPPSSSSHESPPYTYPPQASQSYLDDPRVDHPQYGYMQRQHQFLQDADGSSV YSHDDGATNLHHNSNNLYSTLYSYQPREEPPPAPRQASRTSERNDLILAQSSTSSSSP SVVLQTVFNRQD H257_10187 MKRRCRTMKGMDSFLRQKRLSQGTFLHDAELVPKDSRSKCFVCQ RKFGPLSKKGQCRKCGEVVCRRCSQIWDVRIANNAVKRRVCTACSCDTVDPPADANTI VDDPDDPDDSQQDETPRQVLRQHPVAASSPVYSPSYKPDDTALTRQLSGLSITGESTP TSSQPHAAKVGASVHVLNQTTPTHHADPFGGHQTPVALQRHPQHHRLPPASTMITLRK EDLVRPPPPRPDSYAGLPEPVVPRPYMDHHHPSRGGRGGGGPAGGWDDDLQSVFKDDM SNYSESLVSFHQSSVHAKRGLASKLPHHHSQHQQQQQQSPYHHQQQQSPYQSPYHHHQ QQSPYQQQGYDPSLAYQPSPTIDRYGGGPQLVNPYEYIDPYDRDPRMYSPGSALHASR GDKYANSYGGTTSSQQYPMYAHDRRPPYQHQHQPPPRHHHHHIYHPNHQHPHQYAPAP LLDPRRAPPSSSSHESPPYTYPPQASQSYLDDPRVDHPQYGYMQRQHQFLQDADGSSV YSHDDGATNLHHNSNNLYSTLYSYQPREEPPPAPRQASRTSERNDLILAQSSTSSSSP SVVLQTVFNRQD H257_10188 MPRGLPLPPGYFRCPPLSPEDIRPCTDLTETMSIDLIQHAQLSG GPIAWTLDARNSDPDMQVYAGDDPLAPPGVVSFCAVTDVKATVDDVAGLFYTPTTADY RAYCQQSAKGSLDAAVLYTLAAPSSSFPRHSIAIKWVSMTLMAGLPARDVVFLECHHD FDMQGGRRGWVRCCKSIEISCCPDLRDSHGLVRGSFHRAGFVCVETDVPGTLRVTYVV QMDVRTRLPRILAAAAVRKWTRRLANMQLCVWGRKLSTETFLPLEKLIPQDTRGRCIL CQRKFGAFGAKESCRKCGHVVCRSCSKDWHIVTQGSLHWMRLCFVCTKRKVALSSASL WDRHHNAHMPATLSSRRAISLNHEPGRVYYEQQQPWQSEASQSSGSRRRRTRPGENQG DDRGSGMEWTGERRRGLSLPPPTGTWQLDVGHVPVNRVMEAIAPPDDMTLVRVSSWSE LPASRRQWR H257_10189 MATSSQVAVVSKVASPLTTTGLDGTTSPQLPPSEKHFKNPSRET RDIFLAIQGGKDMNAVWDNNLTFLNSSS H257_10190 MCGIIAVLLANKHEHCNQMLYDGLTVLQHRGQDAAGIMTAHKGR LHLRKDNGLVRDVFKQNHMLSLLGHMGIGHCRYPTAGSSSSCESQPFYTNSPYGLALA HNGNLTNSHELASDLKNSNFRHVNTDSDSEMLLNILADELLKQNSHPLNVDQIFDAVA QLYKRCRGGYSVVCLINGHGILAFRDPFGIRPLVFGSRKSVYGTDYSIASESVAIDAL SFSLVRDVAPGEAIFVRPDGELFTRQCAPSARLSPCIFEHVYFARPDSVMDGISVYQA RRNMGTKLAEKILRLKPDHAIDVIIPIPDTSRTSALEMSHRMNIPYREGFVKNRYIAR TFIMPGQVARKKTVRMKLNAIKSEFEGKVVLLVDDSIVRGTTGRQIVQIARESGAKAV YFASAAPCIRHPNVYGIDMPTREELIAHNRTEVQIAEELTADWVIFQDLNDLKASCNQ ENPTIAEWDASCFDGHYVTGDINEAYFKRLHDERNDARMELKNVGGTVPLYRTFSDPA SDDVIDIHNNSQ H257_10191 MAMNMGSPAELSALVQVLQHTLSPHAAPRRAAELQLKEITKQPN GPLLLLNVLRTPDVELGVRLAASIAFKNLVKKEWDPESEGCIVPECKALVKTHIVSLM CDMPDTLMKQLSAALFTIGEYDFPDQWPELLPQIVEKLGDPTSDLRTVNGMLETSNAI FKRFRHAFKSDALYKELLYCLMQFQAPLLHLFTAMTHQLQHPTPSTDLRGLATALRTM CRIFFSLNWQDLPEFFEDHIAAWMQAFEFLLRLDLAQLVDADNDDEADVMTLLHSAVL ENVLIYAEKYEEEFAPFVSGFTHVIWQKVTTLSQMPKHDHVAAKSMKFLRSIAMQQGT TALFHQNDVLSELCNNIVVRNLQLRESDVELFEDNPLEYIRRDIEGNDGDSRRSAAIE LLRGLRQKYDDAVSRICLSTITSLLQEYSASPQTKWMQKDVAINLVTALAAVKQTRAR GVSEVHPKVPLLDIFNSHILPQLQQRQDTSPTAHLLTAGALKFVATFRNQLPVAVLST LFPLLVHCLDPRQFVVHTYAAFCIDRVLTVKDDHHPPHGGTTATVRRFNKDLLNPYVS PLLTQVFGILCEPSYPENDYLIRMVLRILIVAQDYVLPIADTLVHKLTVLLEKVCKNP SNPAFSHCLFESLSMLIANVCTLNPALTDTFEGLLFPPFQQVLISDVEPLCPYVYQVL AQLLDMNPSAQLSAAYQNLFPVLLTPALWDRISTVPAIVKLLESYLRKAPATMQTHTT GVLGVFQKLLSNRTTETQAFALLRPFLIYVPLAAYQPLLPELVKILMMRLQSRLSGRN ASIYSKEMIVTLSIFVAKHGAATLVNAVESVQPGMMKMLLNPIWVDNAVKAKGPHERK AALVGLTLLVTDTFVGKDAELLDKIFPAISKLLDVKEDTSTTVHKTEDEILIDLEETG YDAGYTSLHFASSAGVDYAAHIGNGRQMCLESISRQSHATPHFFQQVYAQSTNQAGWD TLLKALEAAKLPLA H257_10191 MAMNMGSPAELSALVQVLQHTLSPHAAPRRAAELQLKEITKQPN GPLLLLNVLRTPDVELGVRLAASIAFKNLVKKEWDPESEGCIVPECKALVKTHIVSLM CDMPDTLMKQLSAALFTIGEYDFPDQWPELLPQIVEKLGDPTSDLRTVNGMLETSNAI FKRFRHAFKSDALYKELLYCLMQFQAPLLHLFTAMTHQLQHPTPSTDLRGLATALRTM CRIFFSLNWQDLPEFFEDHIAAWMQAFEFLLRLDLAQLVDADNDDEADVMTLLHSAVL ENVLIYAEKYEEEFAPFVSGFTHVIWQKVTTLSQMPKHDHVAAKSMKFLRSIAMQQGT TALFHQNDVLSELCNNIVVRNLQLRESDVELFEDNPLEYIRRDIEGNDGDSRRSAAIE LLRGLRQKYDDAVSRICLSTITSLLQEYSASPQTKWMQKDVAINLVTALAAVKQTRAR GVSEVHPKVPLLDIFNSHILPQLQQRQDTSPTAHLLTAGALKFVATFRNQLPVAVLST LFPLLVHCLDPRQFVVHTYAAFCIDRVLTVKDDHHPPHGGTTATVRRFNKDLLNPYVS PLLTQVFGILCEPSYPENDYLIRMVLRILIVAQDYVLPIADTLVHKLTVLLEKVCKNP SNPAFSHCLFESLSMLIANVCTLNPALTDTFEGLLFPPFQQVLISDVEPLCPYVYQVL AQLLDMNPSAQLSAAYQNLFPVLLTPALWDRISTVPAIVKLLESYLRKAPATMQTHTT GVLGVFQKLLSNRTTETQAFALLRPFLIYVPLAAYQPLLPELVKILMMRLQSRLSGRN ASIYSKEMIVTLSIFVAKHGAATLVNAVESVQPGMMKMLLNPIWVDNAVKAKGPHERK AALVGLTLLVTDTFVGKDAELLDKIFPAISKLLDVKEDTSTTVHKTEDEILIDLEETG YDAGYTSLHFASSAGVDYAAHIGNGRQMCLESISRQSHATPHFVRGIQ H257_10192 MPQTPAFVDSTHLASSMQWHPQHHHSQSSSDPSCCKLPVHHPPA RAADDAVDLEDMARRIDIRRATSMRDLRVRTFATERSAFGFAKLYEVEIENACNYNTL HWKLSVWFGDFRAFYSRVKRIKAPSLKAFRKQASGIMLRGLFGDKALCITRFLRLLFL TLGDVAPTLSVSEAARTLLHLTDDFCQMEYPHDHRALLTIRQMKSVDFASCGKDASDD DVVAACCICLVDDDSRDVVIPRVILRCGHEFHESCICMWYYSRLNCPVCRQ H257_10193 MYQGGDSVELLAAGGKDPAAPWKLTGKVRREYDKPSKVFLFTME GSALATKMTLPKDSTKSLGLTQRYLVLQVSIPAAAAISVEVGVLDTNGTRRRVVMSSA FRGAVVHQLHAQIPLNQVTRDVWLNWCFDVAALVDASFATTFRTIDSICLSGTCKLRR VFTMKEPPIPSDHPFDFVGGVDIPRTFFISGAVTEYFAAKAVAVPLTKPEGKPRAAPD NATSRDKPKATPRPSSSTKAGGATLGNKPSLAGPRKHPSTSSLSRSKSSRGGKLVAID DEVAKPVSPLLRPGSSHSKHIQARKQITLPSSSMFRFAFTERPSATETPTVVTRPNTG QRQLESKFQSWEDDDASPVKPSPLHAVLNVAVSNSNTNHVNTNDELPNETLSRRIEYT ANELAKELSLDESPFFREAGSPAEASKGSLGGKPSWWDDDNNDDRPQISSDVDQPSTN SQPQDNQHDSNAVSIGAPALVNQSSSEESGLTNSSHPPSLFQWAPQCPLNNPNDPSRT TAAASLECSTIHPPPKGDKHHQKCNMTSDNEEPQIPDRSQNTPIFHQRHVGGDNPPKS VDDRFLNEQNRLDDNDDLDFDMTNDLQADQSFDFTDVLEESLPSMVQIHSPVKRPPVS QVHHAPALVPTARSIVVDPRIQSLLESTDWSQPPAMDLVYDPILDCYHDPQSNKYYQL K H257_10193 MYQGGDSVELLAAGGKDPAAPWKLTGKVRREYDKPSKVFLFTME GSALATKMTLPKDSTKSLGLTQRYLVLQVSIPAAAAISVEVGVLDTNGTRRRVVMSSA FRGAVVHQLHAQIPLNQVTRDVWLNWCFDVAALVDASFATTFRTIDSICLSGTCKLRR VFTMKEPPIPSDHPFDFVGGVDIPRTFFISGAVTEYFAAKAVAVPLTKPEGKPRAAPD NATSRDKPKATPRPSSSTKAGGATLGNKPSLAGPRKHPSTSSLSRSKSSRGGKLVAID DEVAKPVSPLLRPGSSHSKHIQARKQITLPSSSMFRFAFTERPSATETPTVVTRPNTG QRQLESKFQSWEDDDASPVKPSPLHAVLNVAVSNSNTNHVNTNDELPNETLSRRIEYT ANELAKELSLDESPFFREAGSPAEASKGSLGGKPSWWDDDNNDDRPQISSDVDQPSTN SQPQDNQHDSNAVSIGAPALVNQSSSEESGLTNSSHPPSLFQWAPQCPLNNPNDPSRT TAAASLECSTIHPPPKGDKHHQKCNMTSDNEEPQIPDRSQNTPIFHQRHVGGDNPPKS VDDRFLNEQNRLDDNDDLDFDMTNDLQADQSFDFTDVLEESLPSMVQIHSPVKRPPVS QVHHAPALVPTARSIVVDPRIQSLLESTGMYTYKCWSKSAK H257_10194 MPAKKVTKGSAQAAPVKTAAKAGAKPTGSTASKKKGADPLYPSA PRNFRLGGDIQPKRDLSRFVRWPKNVRLQRQAKILQTRLKVPPSVNQFTNTLDKNHAS DLLKLLLKYQPETKAAKNQRLKDIAAGKEQAATPPAVIKYGLNHVTSLIENKKAKLVV IAHDVSPLELVVFLPALCRKMDIPYVIFKGKARLGQLVHKKNSAVVALTQVNKEDKAK FDSLNTAFRAQFNDESTHRRKWGGGIMGLKTQKKLELRAKAIAAEAAKKAQY H257_10194 MYVSILLLPGLRTMPKRDLSRFVRWPKNVRLQRQAKILQTRLKV PPSVNQFTNTLDKNHASDLLKLLLKYQPETKAAKNQRLKDIAAGKEQAATPPAVIKYG LNHVTSLIENKKAKLVVIAHDVSPLELVVFLPALCRKMDIPYVIFKGKARLGQLVHKK NSAVVALTQVNKEDKAKFDSLNTAFRAQFNDESTHRRKWGGGIMGLKTQKKLELRAKA IAAEAAKKAQY H257_10195 MRSHLTEALAAMEAPFEPDEVEPPSSPHKPTKEYVLDMVKRRLC LDSPGWTVPMIHFLNEDEHCAAFLSFITLLEPSLDDDIESFLLDGPSHSHMKGPRVPR DDTDVTDALKRSYRATMLMAGEDTSDAVLLLLQSKARLMTKCLFPIFQANSSGNLRHG CKILDKLTRSHLNDVQQVVGANGRTCGRYMQSLLEHIEHPHVSELFLTMICKPHNAAL LRVYLSPPATKVMFYKALADIKIILLIAHHICDTQYDEVHAIAAADVLLELLDRLAAD DFGAIVLEPLSHSTQLLESLVTTALTPEGGKSPASYLAPPCGRRTAAIRCLLGLLEKA AQDQVVGPPTSPYQSFGSTVVNLVPNQLHVAKSFVFGRVQAHLPEILTYLQKQFTSQQ QQQHVAGCDHGVRHTAYTVSHPFTEFRLLLVQMLVALVEHDAAHLAMFFSVDVWRILV AWFVQYPHTNLYHHAFYRLVFLALRTNDSVVLKPLLQHAKLVTTLIDVYRKDPTASSR GYILQACNAIRLQAATLPPDAFLRSFLQSHATWRSFESQLCATTQASVVKGLGIPVPT NMMRMMSPPPIDSTSHTSTTTTPTSGDDNDDDDGRHSIELGSEFAWKLGFVDDEEYEV PETSSGEKKKKKKKSAKKKATSSHPPSNASVIVRDISADEDEDVDQPPHSPDQSNNGN ADSLSPEGKKKKRKKHKK H257_10195 MRSHLTEALAAMEAPFEPDEVEPPSSPHKPTKEYVLDMVKRRLC LDSPGWTVPMIHFLNEDEHCAAFLSFITLLEPSLDDDIESFLLDGPSHSHMKGPRVPR DDTDVTDALKRSYRATMLMAGEDTSDAVLLLLQSKARLMTKCLFPIFQANSSGNLRHG CKILDKLTRSHLNDVQQVVGANGRTCGRYMQSLLEHIEHPHVSELFLTMICKPHNAAL LRVYLSPPATKVMFYKALADIKIILLIAHHICDTQYDEVHAIAAADVLLELLDRLAAD DFGAIVLEPLSHSTQLLESLVTTALTPEGGKSPASYLAPPCGRRTAAIRCLLGLLEKA AQDQVVGPPTSPYQSFGSTVVNLVPNQLHVAKSFVFGRVQAHLPEILTYLQKQFTSQQ QQQHVAGCDHGVRHTAYTVSHPFTEFRLLLVQMLVALVEHDAAHLAMFFSVDVWRILV AWFVQYPHTNLYHHAFYRLVFLALRTNDSVVLKPLLQHAKLVTTLIDVYRKDPTASSR GYILQACNAIRYIYILSFY H257_10196 MGFRGIFLHRCPGTEEGSAAKFTVLCTEAELCLLVERHEASDID PSGVVDTKVLVKNSSTTDLLFVARMADVTMEVGSMFWVDGTTVYCFRFSSDNSFAEVQ RAVHALRRTEQLALMRSVLSFHPLGNTANDGKDKGAKWKHYSECANLDSSQGAEELPV DNNHVVAIPKPSKPRKLVVDKVLPPSSSKKKAKPMPPAHAPAKLAELDQGPPPLKRSK STNDTTALDDKRRIAEVKAFNRQWLITIGARNPSSGPSCHLCHRRQGKMFQCPNGVLD HIYCGRCLHYKFNENLNDFMDRGLQYSCRLCAGTCDCSVCSGRATSFARRHPSIPSNA CLVCGTTSSQLTSHPHLPPAQLCAICVDSVAKMPGTGCLVCGSSDENDPIRPCAHCNK ACCVACVLKLGTGGCPFCIAPTSSEALSLPTSVELEVPSWPPVDPQDSLTYFTSYAQA VIHRETRRTPPKLSEDSCFCCKDGGDLIECDFKAKIKCPKVYHVDCLGFDVPDDVTWI CPRHYCAFPKCTQVSKYSCRFCPAAHCEKHLPASSVLLFPTPPDTHPSTSYVLCESCD VQIVQAVHRRLLPPMLHATPVKTHV H257_10196 MGFRGIFLHRCPGTEEGSAAKFTVLCTEAELCLLVERHEASDID PSGVVDTKVLVKNSSTTDLLFVARMADVTMEVGSMFWVDGTTVYCFRFSSDNSFAEVQ RAVHALRRTEQLALMRSVLSFHPLGNTANDGKDKGAKWKHYSECANLDSSQGAEELPV DNNHVVAIPKPSKPRKLVVDKVLPPSSSKKKAKPMPPAHAPAKLAELDQGPPPLKRSK STNDTTALDDKRRIAEVKAFNRQWLITIGARNPSSGPSCHLCHRRQGKMFQCPNGVLD HIYCGRCLHYKFNENLNDFMDRGLQYSCRLCAGTCDCSVCSGRATSFARRHPSIPSNA CLVCGTTSSQLTSHPHLPPAQLCAICVDSVAKMPGTGCLVCGSSDENDPIRPCAHCNK ACCVACVLKLGTGGCPFCIAPTSSEALSLPTSVELEVPSWPPVDPQDSLTYFTSYAQA VIHRETRRTPPKLSEDSCFCCKDGGDLIECDFKAKIKCPKVYHVGTFDTYLVLEPKFT FFVCIPQLN H257_10197 MVDIVDNTERVWSDVDPATLNANFLTLQGCMMEVIRCASGNNFN IPHMKKAVLTAKGRLPLSIEADADVVNAKLASEVAKNLEMSDVCTELERLDVVEDSDD EEFDIPSVLGLNI H257_10198 MGSVVESGAPLKNGWMLAPTMSTRSASPGLASRSPSVCTMPTGT RTPAAANLAFASCTTDVNLAAFHPWCNSSLPIKMTCTAFGLALAPAMAASRSSWYLLP NNHTPAAIFKPALAATVMAFWLPMDVWKVRTDSNRCLMVRKWAGMLAESYES H257_10198 MGSVVESGAPLKNGWMLAPTMSTRSASPGLASRSPSVCTMPTGT RTPAAANLAFASCTTDVNLAAFHPWCNSSLPIKMTCTAFGLALAPAMAASRSSWYLLP NNHTPAAIFKPALAATVMAFWLPMDVWKVRTDSNRCLMVRKWAGMLAESYES H257_10198 MGSVVESGAPLKNGWMLAPTMSTRSASPGLASRSPSVCTMPTGT RTPAAANLAFASCTTDVNLAAFHPWCNSSLPIKMTCTAFGLALAPAMAASRSSWYLLP NNHTPAAIFKPALAATVMAFWLPMDVWKVRTDSNRCLMVRKWAGMLAESYES H257_10199 MGLTRAFNRDVFVSAERDHGASFDKYTFDGHYLRRIMLTTHQWE DLVIRIDEVTTTKKRKQVKEIARNEALESKGDKLCRDAETSVMKRTHDSVGSVSEDDG ESPLSELREFEKDSTRTSMNTA H257_10200 MLVLLTVVLAFLIPASGQRPTIEECARAGGTCSREFNPICGSDG VTYSNPCLFRFAQCKKPSLTLHARGQCDATHLKQVECAGPRVCTRELDFVCGSDGVSY TNPCFFRFAQCDNPKLTLHAGGKCVTKEECAGPRVCTRELDYVCGSDGVSYINRCFFR FAQCDNPKLTLHSSWRCDAPRLANIITKVNAWGRLKSQGVSKTDTPDCAVY H257_10201 MKRPDKPNRWNRTDYGSGQREGHVPRLESQVSTDMEVDNVKMQG SRRKDSSNIRCYNCQKLGHIATECRKPKKKISRQAPPSHQNNLEVQEQVSNDDVERIS FGVVKEVNDDMRTVGTVVQVEPEAGKKSPALMIKSGRQVLI H257_10203 MSASRVDLGDLIAVVHDKWATSRGLRKFRRYFFSQWLPYNRAYG GTDDVRFWKWHVYHSPPGSSYTNNPKVRYNCELKDPCAGRIQRSRDQTSRDEYTATAS DKPYETCRC H257_10204 MNTAAVPAVSSCSTTLRDSMDRRRTAMSRPSSPKPHTLASVSSP TPATTAVANSTDLLDAYKQFHKEKRSRSKEHQRQTILAAKPPPIIASLPPSQDPGLST ARHRLSLKSLSPSVSERIAVTKESQNVMDEYNFTKDEIQAIIKVQSAIRRHLAKKRVL AMAKPAEYVRFVCERLFDECCRTELLPDGMSTHDDGYLVLGGPNLPRILRILEDPTNI NLDLLQKHTIDRITVAHAACQRGYLELLSLLVAFWTTQPQANDLADESSHTTLLYKLL EQVNQAKREGKLLRECGFEVIETMKALGLAPDYVFNAIDQFHFKDVTVQDMFKICGHP IVRARDIHGNTPLHYAAEGGYVNVCEFLLEHGAYINEQNIRGETPLHFAISSMHEPVC VLLVRRKADVTIARYRAVELDTGQRLRGVIVTAPGGEEQCQMLAEGAAAKARKPNTDV KPSTPATKRVTPAGKLGARTTRFVQATVGVCMRQFDHDDSRVGEMVFFRLAPSMQGLR SRNSPLVIFYIGTHISMPRYKIALARQSQTKILHLLIVNMPKVAYMAIDHFRTPLFRC QVIPGIRAVGQSWHTEGWLSNDDRRKKLVHKMKQQGPPVRKAPRRRKWLFYLHQAILK GWAYLKGHRLRRRYRKTESVRLDSVLKAVGKSTGIVYEYRYESETCSGSSPTFELIIK SECKELMAHPWVQKLRDHKWNIFGRKHYIRQLRVYTYFFCSYFLSIILLVGDTSLGLK EGGYQAPLFGPNAHGLDYLRVFASVVCLIFTAQYTWRHIQEIYRGGWLLFFSYCTNIW NIFDIVLLSGIWFTTLLDFIVYFRITPISWDQWRASRMIYEEHFRLITYQESTFNVVM YREYDWHTTIMCITAPQIFIRWLQFCRGNQTLGPFVRMIYQMMGDIAVFLFVFVLFLF GFAFAFYVLQLDGFRTLVSSTNSVYQMSLGQWDWGSIAAGGPLAVMLYILYTCFGTIM MLNLLVAMLGRTYEDIWDDRLLFFHLERSQTILTVQHQIEPDKYRRKYWCQTLYALEG DAAIQGIPYQNFDD H257_10204 MNTAAVPAVSSCSTTLRDSMDRRRTAMSRPSSPKPHTLASVSSP TPATTAVANSTDLLDAYKQFHKEKRSRSKEHQRQTILAAKPPPIIASLPPSQDPGLST ARHRLSLKSLSPSVSERIAVTKESQNVMDEYNFTKDEIQAIIKVQSAIRRHLAKKRVL AMAKPAEYVRFVCERLFDECCRTELLPDGMSTHDDGYLVLGGPNLPRILRILEDPTNI NLDLLQKHTIDRITVAHAACQRGYLELLSLLVAFWTTQPQANDLADESSHTTLLYKLL EQVNQAKREGKLLRECGFEVIETMKALGLAPDYVFNAIDQFHFKDVTVQDMFKICGHP IVRARDIHGNTPLHYAAEGGYVNVCEFLLEHGAYINEQNIRGETPLHFAISSMHEPVC VLLVRRKADVTIARYRAVELDTGQRLRGVIVTAPGGEEQCQMLAEGAAAKARKPNTDV KPSTPATKRVTPAGKLGARTTRFVQATVGVCMRQFDHDDSRVGEMVFFRLAPSMQGLR SRNSPLVIFYIGTHISMPRYKIALARQSQTKILHLLIVNMPKVAYMAIDHFRTPLFRC QVIPGIRAVGQSWHTEGWLSNDDRRKKLVHKMKQQGPPVRKAPRRRKWLFYLHQAILK GWAYLKGHRLRRRYRKTESVRLDSVLKAVGKSTGIVYEYRYESETCSGSSPTFELIIK SECKELMAHPWVQKLRDHKWNIFGRKHYIRQLRVYTYFFCSYFLSIILLVGDTSLGLK EGGYQAPLFGPNAHGLDYLRVFASVVCLIFTAQYTWRHIQEIYRGGWLLFFSYCTNIW NIFDIVLLSGIWFTTLLDFIVYFRITPISWDQWRASRMIYEEHFRLITYQESTFNVVM YREYDWHTTIMCITAPQIFIRWLQFCRGNQTLGPFVRMIYQMMGDIAVFLFVFVLFLF GFAFAFYVLQLDGFRTLVSSTNSVYQMSLGQWDWGSIAAGGPLAVMLYILYTCFGTIM MLNLLVAMLGRTYEDIWDDRLLFFHLERVGPLTIPLYNTINYVSSHKRY H257_10204 MNTAAVPAVSSCSTTLRDSMDRRRTAMSRPSSPKPHTLASVSSP TPATTAVANSTDLLDAYKQFHKEKRSRSKEHQRQTILAAKPPPIIASLPPSQDPGLST ARHRLSLKSLSPSVSERIAVTKESQNVMDEYNFTKDEIQAIIKVQSAIRRHLAKKRVL AMAKPAEYVRFVCERLFDECCRTELLPDGMSTHDDGYLVLGGPNLPRILRILEDPTNI NLDLLQKHTIDRITVAHAACQRGYLELLSLLVAFWTTQPQANDLADESSHTTLLYKLL EQVNQAKREGKLLRECGFEVIETMKALGLAPDYVFNAIDQFHFKDVTVQDMFKICGHP IVRARDIHGNTPLHYAAEGGYVNVCEFLLEHGAYINEQNIRGETPLHFAISSMHEPVC VLLVRRKADVTIARYRAVELDTGQRLRGVIVTAPGGEEQCQMLAEGAAAKARKPNTDV KPSTPATKRVTPAGKLGARTTRFVQATVGVCMRQFDHDDSRVGEMVFFRLAPSMQGLR SRNSPLVIFYIGTHISMPRYKIALARQSQTKILHLLIVNMPKVAYMAIDHFRTPLFRC QVIPGIRAVGQSWHTEGWLSNDDRRKKLVHKMKQQGPPVRKAPRRRKWLFYLHQAILK GWAYLKGHRLRRRYRKTESVRLDSVLKAVGKSTGIVYEYRYESETCSGSSPTFELIIK SECKELMAHPWVQKLRDHKWNIFGRKHYIRQLRVYTYFFCSYFLSIILLVGDTSLGLK EGGYQAPLFGPNAHGLDYLRVFASVVCLIFTAQYTWRHIQEIYRGGWLLFFSYCTNIW NIFDIVLLSGIWFTTLLDFIVYFRITPISWDQWRASRMIYEEHFRLITYQESTFNVVM YREYDWHTTIMCITAPQIFIRWLQFCRGNQTLGPFVRMIYQMMGDIAVFLFVFVLFLF GFAFAFYVLQLDGFRTLVSSTNSVYQMSLGQWDWGSIAAGGPLAVMLYILYTCFGTIM MLNLLVAVRSYCSIVLLAKVYWIFGLMHQIQWSIVCTEFCKKNM H257_10205 MAGEVVVPTLHEEGGKSPPLKKAKGDVTLPSSHQESRLSYQLSL AVYIVDTMISTKKGQTIGLLLFWVFLDMLLIPYEAFAYQAQGIALPRCIYEAVMDTLD PKSDDDGQGTMSFLWTLRSLSVMVCLVCTMYVNVIFGVIVDGIVSKMETLKEGKGAVV ETNHTLMLGWNDNSLSFIREICAANESEGGGVIVVLSMRDKVDLEAEIYHVITDWKGT RLVCCCGNPLLTTDLLRVSAPQARSITIMSSDEQADVSDAALLRTLLVIKSMHGLQGH VVADVGDVDNNTLLQVVAGDILETVDSHNIIGRLVIMCSRSPHLSDVYNAVLGFDGNE FYFKAWPDLVGLPFGQLASRFDHAIPIGIKTAAGKVWIRPYFARVIEPGDEIIVLAED DNTYTAATEPVDSTPVSAMTKRPLPTPPKRILLCGWHRDIRDILRLLNHLSVPNTEVD LVNEVPEDIRIDRLRNDGLDVRSLENLNVRHVEANVAIRRQAQHLRVPQYDCILVMSD SSNQGLASDSLVLASVVMLRAIEVAQRSHKSLADLSDASASLQSGRHVHCVSEILDPR TEMTINSSHTIRCSSDYVMSNDLVSRMLAMVSENRNVNAILDELLGDKGSTFDVLPAS RYCEMNERLSFWQLAKRASTMYEEVLCGYVNSKQPGSPVVLNPTDKALVRTWGDYSMI VIREAMSKSKLSRFQSHRLMVKVLRAFRSVSNLVTRPTTSELMSRPQGRGPPRGFVSD GLDLGAGVTNVNETDSDDENHEDETRLPRLMSPAANGRKPSIGLRQCSTSDSLMDEVV RDRLTVKMQRNSFNSSGYHLRVEAEKLAKLPPSKVNYRRLSSSEKDNTAVQPFLEESP SSPHVHLPHNHYPLRNLHHELAAIDHLVATLRVFKT H257_10206 MVASADEILSRGTTSVGNALVPILEGDATPPDELDQPHMVPLMR WHDRWWYLVDTFISTNQGQAILLVVVCVVMAFSLGPIVQVAGHNPYGDSVWRVWTYMT DTGTQNHAETHEQKAVAFFLTIIGFVYFAVVVAFVVDSIREKMDQLKHGRTTVVEINH TLLLGWSDKAIPFIQEICHANESEGGGVVVVLADMSNERMAATCDSQLPTRLGTRVIY RTGNPLLVTDLNMVSAHTARSICILGTDHDSGKSDANLLRVILAIDSLPEVKGHVVAD TSDIDNDALIQLVGGANLETLVSNDIMGRLIVLCSRSPGLAKVYATLLGFSGNEFYFE VWPECVGVPFALLTHWFRDAIPIGYRDVKGHVHLLPAMGHRMQPGEALLVLAEDNDSY KPKAPDTASPTTTPTSMPTPKTRQKILVCGWRRDLRDMLRLLDTLSAPGTVVYLLNEV SVEARVAMLLDDGMDVSSLSNISLVHLEGNAAVRRHVSPIQLHDFDSYIVVCDASRES DILASDSHVLATVLVLRSVEIEQQSYRTKQLFVHSEVAELQQRQRKIPCIAEVLDPRT QKTILQNKQIRDSSEFVQTNELISRMLAMVCENRHVKGILDELLSGKGATLDVVPASR YLLDKHERISFHDLVLRAQQMDEIVCGFQPHMSSSYADEPTQLNPSDKTGVYSYWDKM DLVILCEGRREKNVKRMQLACTALWQVLAHKHGRDAINEQGGGDTPAQDDEVQVIPRL EDLAMHSSFRHLKQSSHTATSSFCGRWGSMPPDTQLLQGSSDTIRRQQQRYNAVTPWL STQAPDRRMFRRPIKARLTELWGMTEEIEDVVAGLGLPGRQVSYL H257_10207 MKTILDSIWAWTGLFSYRFDELISTRRGQIAALILVGGAIIVGV SPVVVFSNHTTFFGSLYETAVDILDPKIEMPRSDKGDTMTFLWISRGCTFSVFVITSL YANVMVGFVVDWIVVKMEAVDKGTHAIVETNHSVMLGWGDKSMGFIRELCLANESEGG GVVVILSHRPKDELDMEIRTMVLLRGTKVICCTGNPLFAADLLKVSVHRARSITIMST HPETSMSDDALVRVLLTLKSLSHPLQGHIVADVGQLDNKQFMRMIGGDILEALVSRHI VGRLVVLCSRSPHLGRVYNALLGFGGHEFYLNEWPECVGVPFGDLYTHFDSAIPIGLR TKSGHVLVKPRHSRLVERGDAIIVLAEDNDSYTALLHPVQIPWSDYHRSFQKQPLPPP PRRILLCGWRRDLHTILHLLQHLSQPGTVVDLVNPTDIDERLDTFRADGLDLDSLTNL NVAHIVGNSASKRQLTNVHVASYDCIMVVTDKDHEDEPMGSDSHILKSVMLLRSLELK QSRRVFHQVPCVAEVLDTRTQKTIAHNPLIDGTAEWINSNDLVSRMLAMISENRFVNA VFDDVLGDHGSTFDVLPASRYAESGEKINFWQLAQRASVQYDEIVCGYVYMTKAGHDV APVVLNPRDKVTPRYWDKFCVVVLRDSAQRTTFTEKQALRWKVHVV H257_10208 MLPSAPLGTDRRQSCRSWLRGHAHTCVGALLVFLLIRSFQQYRM TFAILEESRRTIVLIANYRDSTRCAQTLQSLFTNAARPDLLSLSLFDQIYSHERTCMD VYCADVGEAHCRRHAVRRNDTLDAALATGPTKARYETEKGIDVHMDTFALAVDSHIVF VHHWDMELKSQFDSLANPKAVLTVYPKSTTRTLFDQWTMRWLGLSSTSVMCYARIETI DDDAMVQYSAPAQQFMPYRFSPVLVSQFAGGFNFGSAAGALQVRNDPFTPFLFHGEEY SKAARLFTHGYDMYAPIRDVVYHYYEERPVVWERDWPQRYVIQQQSKRRIRALLGLPV TSNDYDTTNMSQFGLGHLRSMASFIEFSRIDPLAPKDGLNSQQFQNCGTLTYVEPTV H257_10209 MLVHVLSFVAAASAAASTTSASSHTFATLVECTSAGGCLWQGKQ NELVNDQRILHDMLVTYHRGDNYDVAKRNLKAHIEYIEAVYTHANDMNHDMTIQLGLN PRHLYEDTAGLGDPLDAVLVNMQGKVIDRRLQASTTTTSTASGGGTLPATLNWCTDNN PQKRSVCSEVKSQKLCGSCWAFAATDLIETAVSYTTKNPPVSLSSQQLLSCSTTAEVR TYTYCFARSGNIPTWLEPSMKWDATNKGCAGGMTHIALSDAANKIKNLATRIDWPYND AYTASSVGAPVQPTSSSFSTKSTNTSNTSNPLNSCDSTRPAANTAAHISGWAPAVDGS SCTDTKDPVVLLKRALQQGPVGVALNAKGTFKQYKAGVFVCGAITSSDQIDHAILLVG YGTAADGSGYWILKNSYDVDWGLSGYMWLKMDDGLNCGLNVFPIRVQGASAGPAANVT VDGGGSLTFAGASMTTWVVVGVATGIATLVLTIVGVCVARSRMQSMHL H257_10210 MRIRKRSLDLADGSIFQRHHESVNVEGRRGLFNDIYISFIPHAT ENVVFFPGDVQHFDHVMRRGAFKSFAAYSYESMCAHYVRRFPHANVWIVKPRTHAKGV SCYDNFVDNTDGEPSTYSTDGSAFLHLQLLLEHTTALFLDAGRQLAWDLPLHLLGFSR GAIVLNQLITELGSLLHLSPSPTHVDATLTDVKSGSISAFFERVDTIEWLDGGCNVEG LTFPTDESALVLLNAFQHIQLRVFATPYHVKASGRPWYANDVAAFQATCPHVHLILCF MDDPPSLENHFDVLFVP H257_10210 MRIRKRSLDLADGSIFQRHHESVNVEGRRGLFNDIYISFIPHAT ENVVFFPGDVQHFDHVMRRGAFKSFAAYSYESMCAHYVRRFPHANVWIVKPRTHAKGV SCYDNFVDNTDGEPSTYSTDGSAFLHLQLLLEHTTALFLDAGRQLAWDLPLHLLGFSR GAIVLNQLITELGSLLHLSPSPTHVDATLTDVKSGSISAFFERVDTIEWLDGGCNVEG LTFPTDESALVLLNAFQHIQLRVFATPYHVKASGRPWYANDVAAFQATCPHVHLILYD PLTRLRIRWGDKLSLSRCFMDDPPSLENHFDVLFVP H257_10211 MSHFFQKPENALKRANELLNTPNADAGVVKRQKRNALEILHEAL IAKKNRTWQPTHEVLMVTYLNITIDLQLGRIAKDGLHQYRNLVLAQNPASLEKVLLFF VSESEKRVAEARKHSTELSILASVDLESSQSPEAVMMSTTTAEDSADRTDRALVLPWL RFMWETYRTVLDILRCNTKLEGLYKEVALSAFAFCRTYVRKIEFRRLCEILRTHLYTM QRHEAAPTTQSIRQMRGWEGWSAESVELHLTIRFDQLDAATHLELFTEGFRTVDDIHA IMQFWATPPKAAIMATFYKNLAHIFYVSKNHLLHAFALFKYYTLLQREGTVLPDPEQR QLASAVVLASLSIPIRNHHSILLENAETAAGLLEEKDEHMAALLGYTSLQPPTRRQLL DDMTSLRIVNTALPEVAALYDQLDRSSAIDPLRIVSQIQPSLAVLTSHSSLHAYVPFL EELTIHLVLEQLTHVYSSVTLSHFYNMTNGLSSASPREIEQLIVRSRKDPTASVRVRI DHASQCMRFSPVLAFESQAKHLTRLGKSLQTVLDKVTPPPSSSVTLTAKTKLPATRAA MLHRRVRIEQHKEAFEKLQVEKAKADARKKAEAEAHRAKLEADRLEHEAKKRELEKVQ KIKEDIARKDAKSILAKLGKDTADVDFDTMDKNKLLQEAKDKALKAKEDAQRKLKDAA RTLDHIVRATREAEFPILHAQFQAKQAQDKLAFEQTWAATLVAAEHAHTYAVSQKALY DQCQPLSTAFRAAHEARQRVKVQEKLKQEALRRQVEALEAKVDRAQTRKADHEAEIRR QQEAERKRVELEARAERLREEAAARDAEDARRRQEEEEEAERVRQEEASKPKKYTPPI ARDQTDQGDKWSTVPRAGSRRFDEAFKGRDAPREGFGTRGGVGIRSFRGDDDGPPPSS RFGGDRDGPSRFGSRDGPSRDFGSRDGPSRDFGSRDGPSRDFAEMGLAETLVAEMGLA DLVETVKVAAAASATATVARLFVGLATRRTHLVALGSAATATALGTTDRLAAKVHSDG MRLRRAHPKVADGNAPIVGPSYIHI H257_10212 MDAADEAKTRGNRFFQEGQYQQAIDAFTEAISIDPSNAVYYSNR SGAYLKVNKAAQAVTDARKVVELRPEWPKGYSRLGTSLFYQKKYADAKAAYEKGLAKD ANDANLKDGLKNATAALSGVGAPQTLRQLCWDTTHAKFRTYQFALRALMIVSFVLYWT AGWGSPSLAAFSFANFFKLAAINYVSFLAYNHGTPKLNQAYAQRLIMDPATQSLLFAL LFWFSTPYGLALFPVVANELVHFASFAGSLLLAVNSSLGSTLETHVFDRVMPFVVGAQ TQWHILNTHAKWAAVYHRVPSLVATLEVAIGLSLILELLTPNRNFMLLLVYWQLLRIR YMISPQLKNAFADLDRVVTTAAFHPRSPPIVGTAYSKLKDMLAKMVAVPEPGQQQAAP SSMPKCCIM H257_10213 MTASSLFQEFLSNFRVERDSNGGQFLAVELRVPFSLGPSNSTSN NNRPPNHDRRSVHPSQRGPQRNQRAPSRHPSTRGPSQHPGNRCDNNRGYGGASSQVCR PKTGRREPSQHPTRGKSTHRASAPYNPRTRSKSHYGNNDAPKATTSPSATPSNTWNTS RQPPLDRRWALTPTSPDRL H257_10214 MRRAGTSLAVLAVAEADANSNGIPVDSPPLSKCTANTSPSPSPT VPSVWLSKVARARAVFAYRFDTVLCTVRGQVALLVLTGLCLDVAMAPIAIYMSPQSYS SAIYQTILDILDPKSSSDDFAVWPSEVVVWTTRFLGLVEFMSGFIYLNVVVGFVVDLI LSKMDALKQGKMGIVECNHTLVLGWNDMCLSFLHQICLANASEGGGVIVVLCDRPRHD VERQIQDALPDTIKSTIVVSHGNPLMAADLNRVSASLARSITIMATDTRTDVSDAAVL RTLLTIQSLRDGVRGHVVADVGDTDNNMLMKVVSAMSDVVVETIMTHQVLGRLIVMCS RSPSLANVYGELLGFDGNEFYAQAWPDLEGLTFGQLADRFADAIPIGVKSSDGKVHLR PPRSRKVKHGDEIIVLAEDNDTYKPSNASLAKYNPVVTLVKAPTKASPPSRMLLCGWR RELRDILRLLDGISSPNTEVHLVNATPVAKRLADLQDEGLDVAEFRRIKLTHTVANTA SKRHIEELVMRSFHCMLVLSDGDREDDSLCSDSHVLATVLRLRAVELSQYAQMPLHRL SLTSTHGKASAKRTATSVPLNRRTHCVAEILDTRTQKTLRHNKSLTHNADFIPSNDFI SRMLAMVSESRWVASILNALLGDHGLSLDVVPASRYATFAEAASFAELSHRASSVYGD IVCGFVHKGTLGVTLNPPDKQAVQTWDDNRDLIVLRESIQQPKVLDRVAKSRLQRQAV RAFKAANGATLTDPYLVEVARQRTALMQAQQVLKPDGDDDDDDEHDDNMVESIEFNKP RGKSPKSPKHPHWTWDAHQQPSTLTKYVHGGPTNGDDQVQSFSPSIRAALRAGLDDVG GLLESLALVHTHPAKATHVVL H257_10215 MDLNEPLPFITLTDDDCFAISPKASEYLRSLEGEVSIVAIAGLY RTGKSYLLNQLLGRTTEHTMFGVGGTVNAMTKGIWIWGQPVGDAHGKKTIVFMDTEGL GSAQRSQTQDTRIFALALLLSSFFIYNSRGVIDANAIDDLSLVVNLTKYIQVSANPSS SSSSLADFFPSFLWVVRDFTLQLEESGRAISSKEYLENALKPAQGTSDDTIHKNQVRN LLTNFFPARDCITMVRPLNDEALLRDLPKQPFESLRSEFRTQLAALKTRVFTELQPKT LMSKPLNGAMLVTLAQNYVDAFNSGAAPVISSAWDRVVQAQSEELLDTAKRAFDTSLP MPWTSVLTDDQLAEVFRAAETKAIDALHATAVAPDTVPLNLPLLHDWLEAKRRAAWEA NDKLAKQHLIKELQELYAPITAQVWTPMDASSYDVVIDALRAKLDGFDHLLKRFIAEY LDRTHGLPSQHLMLCSFLAEKVMDGVVNWGTLVTVLFRQQDSNMQKSISSSRQKVKAV EGRAKAAQEMLQQQKDTFERALQGVTDRLAEDKLNLRADMDHKDGEIKRTLMQIDRIG ALHTEVLDGLMDELKTAKEELKAADAMLDAARREQDTVAQDSAKQRLENERRQHAKEQ ALLEGHHQLLQNVVTLERALGDQQAEHMTAVFKMEQTCHATVRREIDACDQAAAELKA HTIADIRHLKTKQEGELRALTTELDDRQAVLSAMQERLEMQRRVGMTPAAKRGGGKDD CIVS H257_10216 MIDIEQAATVSILYDALLHKKSLYCHSKMLDESKKLMACKKDIE ECRERIEEIEDQLGDIHVECCDKGPEAYASNPEVKTLLAEKEEEESLLKQMNSVLDSR KKAMRIFLKHKAMLDTSRKSLKNRQRRIVEKAYRTGLLVCQS H257_10217 MAMALPDEGGATVGAPPLSPPGTVVVDDTSKVIPWAYMRKGEWF IFSKEDCENLEACRNVMPVVVDQGRLEVSVHERTVSAVFWKEGPYPVIRTEWLFESSG GKVYPFPEDEATEINRYWSSLPRDTAHSFSLPVEDHLTSQEIKLGPSKSPTEQKISDL FLKCSHTRTRRCKAIHHGYHRWTSPPSSSSPPSPSSATSRRRDVTHVVFVVHGIGETY CKKRQADGTIVDYCYAMRHSTNSILNSHFPTVDTGIEYLPVDWSDVLGDMGDNLHATL HRISFDAMPFVREICNELLSDILMYMERKQQVLSFVANAINTIFALYKLRHPTFQGQV SIMGHSLGSVITYDLLSLQEDPLFRLAFDPDAYIAFGAPVGMFLALRGRGGGDTLGLP TVTRMYNVNHPSDPISYRLEPLVYPQAKVLPPVRLNTYSGWKRIHHAVQDIVESFESS QKQFAKDIGTAFNSFGKMFTPKKSNPETDADEEVAGVDVVAAKDMTDVNVQLEAMLAR LNPRAKRLDFVLPESEIEALTPLAALTAHTGYWNSMDFVLFVVTQLVDEGSSISAT H257_10217 MAMALPDEGGATVGAPPLSPPGTVVVDDTSKVIPWAYMRKGEWF IFSKEDCENLEACRNVMPVVVDQGRLEVSVHERTVSAVFWKEGPYPVIRTEWLFESSG GKVYPFPEDEATEINRYWSSLPRDTAHSFSLPVEDHLTSQEIKLGPSKSPTEQKISDL FLKCSHTRTRRCKAIHHGYHRWTSPPSSSSPPSPSSATSRRRDVTHVVFVVHGIGETY CKKRQADGTIVDYCYAMRHSTNSILNSHFPTVDTGIEYLPVDWSDVLGDMGDNLHATL HRISFDAMPFVREICNELLSDILMYMERKQQVLSFVANAINTIFALYKLRHPTFQGQV SIMGHSLGSVITYDLLSLQEDPLFRLAFDPDAYIAFGAPVGMFLALRGRGGGDTLGLP TVTRMYNVNHPSDPISYRLEPLVYPQAKVLPPVRLNTYSGWKRIHHAVQDIVESFESS QKQFAKDIGTAFNSFGKMFTPKKSNPETDADEEVAGVDVVAAKDMTDVNVQLEAMLAR LNPRAKRLDFVLPVR H257_10217 MAMALPDEGGATVGAPPLSPPGTVVVDDTSKVIPWAYMRKGEWF IFSKEDCENLEACRNVMPVVVDQGRLEVSVHERTVSAVFWKEGPYPVIRTEWLFESSG GKVYPFPEDEATEINRYWSSLPRDTAHSFSLPVEDHLTSQEIKLGPSKSPTEQKISDL FLKCSHTRTRRCKAIHHGYHRWTSPPSSSSPPSPSSATSRRRDVTHVVFVVHGIGETY CKKRQADGTIVDYCYAMRHSTNSILNSHFPTVDTGIEYLPVDWSDVLGDMGDNLHATL HRISFDAMPFVREICNELLSDILMYMERKQQVLSFVANAINTIFALYKLRHPTFQGQV SIMGHSLGSVITYDLLSLQEDPLFRLAFDPDAYIAFGAPVGMFLALRGRGGGDTLGLP TVTRMYNVNHPSDPISYRLEPLVYPQAKVLPPVRLNTYSGWKRIHHVR H257_10217 MAMALPDEGGATVGAPPLSPPGTVVVDDTSKVIPWAYMRKGEWF IFSKEDCENLEACRNVMPVVVDQGRLEVSVHERTVSAVFWKEGPYPVIRTEWLFESSG GKVYPFPEDEATEINRYWSSLPRDTAHSFSLPVEDHLTSQEIKLGPSKSPTEQKISDL FLKCSHTRTRRCKAIHHGYHRWTSPPSSSSPPSPSSATSRRRDVTHVVFVVHGIGETY CKKRQADGTIVDYCYAMRHSTNSILNSHFPTVDTGIEYLPVDWSDVLGDMGDNLHATL HRISFDAMPFVREICNELLSDILMYMERKQQVLSFVANAINTIFALYKLRHPTFQGQV SIMGHSLGSVITYDLLSLQEDPLFRLAFDPDAYIAFGAPVGMFLALRGRGGGDTLGLP TVTRMYNVNHPSDPISYRLEPLVYPQAKVLPPVRLNTYSGWKRIHHVR H257_10217 MAMALPDEGGATVGAPPLSPPGTVVVDDTSKVIPWAYMRKGEWF IFSKEDCENLEACRNVMPVVVDQGRLEVSVHERTVSAVFWKEGPYPVIRTEWLFESSG GKVYPFPEDEATEINRYWSSLPRDTAHSFSLPVEDHLTSQEIKLGPSKSPTEQKISDL FLKCSHTRTRRCKAIHHGYHRWTSPPSSSSPPSPSSATSRRRDVTHVVFVVHGIGETY CKKRQADGTIVDYCYAMRHSTNSILNSHFPTVDTGIEYLPVDWSDVLGDMGDNLHATL HRISFDAMPFVREICNELLSDILMYMERKQQVLSFVANAINTIFALYKLRHPTFQGQV SIMGHSLGSVITYDLLSLQEDPLFRLAFDPDAYIAFGAPVGMFLALRGRGGGDTLGLP TVTRMYNVNHVRNLSASW H257_10217 MAMALPDEGGATVGAPPLSPPGTVVVDDTSKVIPWAYMRKGEWF IFSKEDCENLEACRNVMPVVVDQGRLEVSVHERTVSAVFWKEGPYPVIRTEWLFESSG GKVYPFPEDEATEINRYWSSLPRDTAHSFSLPVEDHLTSQEIKLGPSKSPTEQKISDL FLKCSHTRTRRCKAIHHGYHRWTSPPSSSSPPSPSSATSRRRDVTHVVFVVHGIGETY CKKRQADGTIVDYCYAMRHSTNSILNSHFPTVDTGIEYLPVDWSDVLGDMGDNLHATL HRISFDAMPFVREICNELLSDILMYMERKQQVLSFVANAINTIFALYKLRHPTFQGQV SIMGHSLGSVITYDLLSLQEDPLFRLAFDPDAYIAFGAPVGMFLALRGRGGGDTLGLP TVTRMYNVNHVRNLSASW H257_10217 MAMALPDEGGATVGAPPLSPPGTVVVDDTSKVIPWAYMRKGEWF IFSKEDCENLEACRNVMPVVVDQGRLEVSVHERTVSAVFWKEGPYPVIRTEWLFESSG GKVYPFPEDEATEINRYWSSLPRDTAHSFSLPVEDHLTSQEIKLGPSKSPTEQKISDL FLKCSHTRTRRCKAIHHGYHRWTSPPSSSSPPSPSSATSRRRDVTHVVFVVHGIGETY CKKRQADGTIVDYCYAMRHSTNSILNSHFPTVDTGIEYLPVDWSDVLGDMGDNLHATL HRISFDAMPFVREICNELLSDILMYMERKQQVLSFVANAINTIFALYKLRHPTFQGQV SIMGHSLGSVITYDLLSLQEDPLFRLAFDPDAYIAFGAPVGMFLALRGRGGGDTLGLP TVTRMYNVNHVRNLSASW H257_10218 MELAYQYTKPRRTFGRYCDFKHVDAKVIESIPSTDQFDHDYVKR RPMIGRLDTTSDMSEHEVNTERLVTKNSSMRHVEGGWPKDVDSAEQNDVQRFRKKVEK DDEYKQAVKFLGPVAERGLKQNNTINIYQDYFAHMGEPATTEQPSAKGLAVFRDPESV RRTVSKIDWHPESPQRMAACYCILNFQDPKFVNSRMPSNSYIWDVMNPNTPEVVLKPL SPLCCLRYNPKSVDQLVGGSYNGLISFFDIRKSGSSPVETSMIEHSHHDPVYDVFWIS SKTGNQCCSVSTDGSMLWWDIRHLAQPTHRILLSDKDGQVLGGSSMEYNTEAGPTKYL VGTEQGVVLSVNLRNIKQNNGIVVYDTGAGKHHGPIYTIQRNPSHNKFFMTIGDWTAR VWCEDLKTPIMSTTYHESYVTSGCWSPTRAGVFFVTRMDGVVDVWDFFYRQNVAAYSH KVGDVPLSAISVQGNSQSGGKLVAVGDSNGMISLLEVSDGLAIPQSNEKAAINGMFER ETKREKNLEAREKEQKRKKATMDEGKVDELPSTKDEKMEELLRTVDANFLNMIKEAED TENKNMEHKTDSMKPGDEDN H257_10219 MFLRHIAIVTLCAAVATGATNNAAKECWSRVSATLKPIFSSDAL DLCTKDLAVAAPSWWSAFSDDFKQLFNASSSFFTTGKFTTPALNAWNNSKVCQQWYVG VEDAIKKVDPPCSIAVTNATQVVTSKWNASISEFMQALDQWAAEVPQSNGVTTKAPST TANAPPLTSSAPVTSSAPVTSSAPEKSSAPVATTAAPLQTRTVASAAAAIA H257_10220 MKTPATTHVIALVATACSHVVYGDTTTLHNATHPDGRIGPVFQF DFDHVGGLPSQEQLIVLSKWSPSELSSFADSFLSPRSPRHNKRSFSFRRPSSMNLNFT ACDADD H257_10221 MTTTFHVNLFDSLLETRGAPGGNKAGVVHVTDGFPPDDVMQAVA KEVGYSETAFVWRQYLHDDNCIHVRFFTPEGEVDLCGHATIAAFSNMSPNTTYLMHTT KSTLCVSTDAASRVTMDQDLPVFHAPSVDSTASILASLGLHADDIDTQHHPMMVVSTG LPDLFVRVRTRTILMDKLRPNMESIAAVSKTLGTIGYHVYTLDVDPIGTRLNEVDRRS HRDSLDRITAECRNFAPLYGIDEEAATGTSSGALGAFLCHMNIPHYEEAHGNMGRHRM VFSQGRGMGLPSRIEAIVTVDGDTSRISRVSIAGIAESCGMQMVSVLRP H257_10221 MTTTFHVNLFDSLLETRGAPGGNKAGVVHVTDGFPPDDVMQAVA KEVGYSETAFVWRQYLHDDNCIHVRFFTPEGEVDLCGHATIAAFSNMSPNTTYLMHTT KSTLCVSTDAASRVTMDQDLPVFHAPSVDSTASILASLGLHADDIDTQHHPMMVVSTG LPDLFVRVRTRTILMDKLRPNMESIAAVSKTLGTIGYHVYTLDVDPIDRITAECRNFA PLYGIDEEAATGTSSGALGAFLCHMNIPHYEEAHGNMGRHRMVFSQGRGMGLPSRIEA IVTVDGDTSRISRVSIAGIAESCGMQMVSVLRP H257_10222 MRPAGSFHAHDFEWEELAVTCRAQLAAAGNASAKNEDESDVASG AATVEEEVHTGDELGVLAQGYWDVFHAKNNGKVYKPRNYLSKEFPDLLTARNVLEVGC GYGSAIFPLLAECPSMHAHVFDFSPHAISILKANPLYDAARCCAYVCDLVADADLGVP DASMDVVLMVFVLSAIPPTSFANVMQKVHRALKPGGVVCFRDYGLYDLAMMRSTKKVH ATTRHVDHVDGKRGDGGDKHVYYRGDGTLATFFSIQDLSSLFEGGGFGVVENEYCTVR LRNRRTQTNMDRVWLQHSAFSTREMYDVDMDCYEDDGRDVDRKRTLSEHEAVVEHQLK RLKINHHHAMNSSNPPSMELHLANTHGDAPNTQHDVNYAHVNHLLREMHYLREMRRQQ AVAPRLY H257_10222 MRPAGSFHAHDFEWEELAVTCRAQLAAAGNASAKNEDESDVASG AATVEEEVHTGDELGVLAQGYWDVFHAKNNGKVYKPRNYLSKEFPDLLTARNVLEVGC GYGSAIFPLLAECPSMHAHVFDFSPHAISILKANPLYDAARCCAYVCDLVADADLGVP DASMDVVLMVFVLSAIPPTSFANVMQKVHRALKPGGVVCFRDYGLYDLAMMRSTKKVH ATTRHVDHVDGKRGDGGDKHVYYRGDGTLATFFSIQDLSSLFEGGGFGVVENEYCTVR LRNRRTQTNMDRVWVHGKFVKR H257_10223 MPPPPSAADGPSASQMQSLGGEFAIRGDDLLAWNPVLVDNMAKK GKSFKSGWVEYIVCLHQNMLYYYRDMREVPSGCLCLDGCVIEAVDRIFKNMSPGDSHI LAEECGPCFKVTSRMGRSLLFRTRNKESRVQWIHMLQDMSYDALFKRMQHATKEKREL LQQVHDTNAAVTALHSELAALREQYDALLAQETSSSRRGVTKSVVSSPPIIVLDSPDD VLPIVVAPPPDADSNIRSNHPSTSALSKAEPTPTSTLASITTVNPPRPVPPSTIDTDA LTKMTSELAGIARNLQLSLFSQPSNMPATTSATTADLPTAATEERHHPSHRMMMSAME KIIFDMELEDELQRTRNMLTGGKPSSTFSPNPPLYQSFLATSSATAAVHRDPLTTPIV LLAESPSAFRQIKGDDADVGWSTPRPHQAAKHDYCVDEHDARSDVSSFYLGCTDRRKN SILSDVEVQQRGDSLGSLLVRPDMVVVTALLNTCERSDRMALLFPLLRIFGSRHALFM LMQWSIDTEVESALSLATLFRSDDYSSRLLSTYAKAVGLRFIHTALADHIRALCTDKA TYHSSDFELNVVKDPTLTDPAKLERNAANLMAVCQTIVDSILTHMDDLPLSFVHVCRY LKDKLIERFLDTDDDYDGSSTPIKAIMGGFLFLRFICPAITTPHSYGLLDQVPDASSR RILVLVTKLLFNCSTDVEFGVKEAYMRVVNGFIVENAPRMAFLYTRLTAKRDGEVEQC FTADSDGIFARVAPDQLAQDLHDVVTALGKHVDDVQAKVAVASAPLAAQLHALVCPSS SALEDEVVLPVPEEKLKPKGAGMILNFFKKSSGGGGSFTASQQPPPPTN H257_10224 MGVQSMPTLLRAMQTRRPPLCVDNACDQRLVLDAEATTAGLHLR NVARCDIECVGRRVAQITMENCEDVRVVFVSVVAAVDVMRCCRVQLTYTGTCGTITID GSSHIAVHIPAATVHSTWVVCTRVSHLSVLTHNHEHNDKGDVSSPSAVCSHRLESTPD TLELESVDEGSTITWQDSAFICTRVQRQTLFAL H257_10225 MARGRGQGGITPPQRSSVLLLLATLALGQDDLSKWIDPDTPLED HHFDVPDGPYRMGLTSPANNSYHLIFSDEFNTTKRLFEAGNDPKWTALENLDLTNMGQ HYFSPQAVQTDGGNLIITTSKPKKEYKGAKYVSGSVQTWNKFCFTGGFVIMRAILPGK WGIPGTWPAFWLMGNIGRATYLGSQDGMWPWSMDTCAPWVNDYLDQPQKVNACGNLTD EDDPTSLPERFGLHPRQGRGATEIDVFEVQIKKKDDPAFISTSLQIAPSLHDNMRPDA STLPRPGMWYTNLTYGNYTKINSDYYGDANLDSISALTQLDSNSFKNYHLYALEWLPG NEGYIRWWLDGNFLYEIQGAALNKWVDGIPPRKIPVEPSYLIISTAVSEKFSPPCDGQ MCDSLWPANFTIDYVRVYQGPSNNYTSIGCDPPAFPTKEWIYQHPVAYGLPYYTPLPV AKYVLNVVAVVGVVFSAVMAGFGTRNHHLAACVGSTLAATCVFHTLFNVGFTTWTIFP MAVGSLCGAVFGLLSCLLPVHSLAGTTALLLMLVLHPFVDLLLHQVLTLAATVAAFMA LFVLPVVYHDKVTAVATSILGAWGVVASGSRFIHDGQFLLGLWHTLSLLVSGPSTPPA LLCNLYCGELYSTWGVLAALAMALQLSRISLHHHASKGRMPPSNAFVAGTDGRAWPPS ESHTNHFHLQDLPTNMQPFAAMSSVASHVARTFGFQPANVKNQTEHLLVLLANQSRGG HNPFVALHATMFENYHHWCRKLQITPMTSSAVSSSLESLAADLCLLFFVWGEASNLRH APEFLNFLFHKMKQEFGRPLSRDPGFFLDAVITPVYALLKVEMHKALDHEGRRNYDDF NEFFWTRACLQYEYKSPEFDSVDNHHSSDAAASVSPPCIAQAWAATSKTYFEKRHWIT PLRAFRRVFEFHLVTFHLLVTVAFADQLALDSVTAIHLVSSVLLTPLVLNIVWAGIDL MLMYSPNTMPFPTLIRMVIRVIFHLGTTTICALLYWYALASPPQQSAFYWTQFYTLTL LVHIPFAFNSILQVCPGLTRWIRQTTIAPVQTFRDLISPINRLYVGDNVLDSACDSVG YQLFWLSLLTWKMLFSYKFEIAPLVKPTLLLWADHVENQVDIVLTLALVYVQWFPFFM IFCIDVTIWNALWVAFTGTFVGFGLKIGEVRNFERTRQAFVSAALQFNAKLIAATSKT GVELAAHQHDTSASATYGAATTTRSKSMHEVLNVVESPVHTTNKKHDKQELTPLLSFT RRIPSRREKQDARRRVWTSFGTAWDTVIDSLRADDLISNAEMGLLKFQRIPSYERQLF VPLFQLAGCFEQFCLHLNQNQGNVNSMIVHDLLGANPMMEESIGEVWELTVYILTNLL GPCHSNDVRFICAILTSWLERGMYHGIKWDKLSAAADAWSDVLHLLKTNMPAWKAQAK HVPTRKQPSDYTQYTQQHQQQPSPNPIKPMHKSASTTGLNTLSATNVPRRSRGSGVAR IAAMQQIQPPPPLPHLKTSIPAVHIMQVRDKLRTFLNVVKTMLSGGLDDPTDVLVGET KAMNDRLTWMLTQERGFMWDDEYTGEQLTLFVFDLYAGTAVRHVRGLLTLQKTDAEPR SYDARRRLLFFVNSLFMDMPAAPMLEDMQSYSVMTPFYAEDIMYSKADLESKQDGLDV HTLLYLQTLYPTDWQNFLERVQPKKNSNLWKDPNTVQELRLWASMRGQTLARTVQGLM YGEAAIRLLAELENVPRHGIEDLVKAKFTYVVACQVYGRQKRNNDAKAKDIEFLLHRF PNLRVSYIDEVRVNYQKELSYFSVLIKGTETPSEVVECYRIRLPGNPILGEGKPENQN SAVIFTRGEHLQTIDMNQDGYLEEALKMRNLLEEFSAGNRPCTIVGLPEHIFTGSISS LANYMALQETSFVTLGQRTLTRPLRVRMHYGHPDVFNKLFFMTRGGFSKASKGINLSE DIFAGYNNCLRGGTVAFPEYVKCGKGRDVGMQQIYKFEAKLAQGAAEQSLSRDVYRLA HRLDFFKLLSFYYNHVGFYLSMSFVIWTVHVLVYINVLRALLGVEGTGGREAVILSEL QVMLGSVAFLTTAPMLATISVERGFKAALSEVFMVVVTGGPMYFLFHIGTKWFYFGQT ILAGGAKYRATGRGFVTKHSRFDDLFRFYASSHLYAGFEIAAALALYYVYTQTTQYVA LTWSLWLVVFSWTCSPFWFNPLAFEWSDVLEDIKIWVQWMRGQGGNANQSWLAWFKDE NSYFLKLRPWAKAFVFLKGFLHFITGLALLTSDDAYHSITAATSYTPLLVMLAMVVVN LVLFFLLFAPRHHGGGGGGNESGTVRFLKLVFVLGNTAALVAGWSLLPGMVPCSVSFY FFSAAVATWMLLFFGSDNKLAIQLFFLHDCVLGALCLSVIVVLSAVVVPGKVQTWLLY NNALSRGVVIEDILRSNSSANDPDDDLTLTHMKKIILEQQRVIAALTTPGGGGGSDSG DTADKMATAKDNFSRAHVSDSDLLDLKDASFKLTQMLHGDYHQQNHQHQQQPPKDTTV QVPFQRVRRANSTDFHAAKLVPQHQQPLTHPPKH H257_10226 MSFVTKANHKRCLWVGGLDKTVTEDILRAAFVPFGPLKDVQIPM DFTGTNQQNKGFGFVEYDLEEDAKEALDNMDDSELYGKVLKVNIAKSQNNERTQGNRA VWDQNLDDEQPEDSSNQQPDVPANAAATKPPAA H257_10227 MIIKKHPFLCLLPSPTMQALGRCRPRAFFALAAMTSPAVPLVNG VSRHVVAALVANTATTVAEITNLLCARGYNVDSIVVGRTSVPDLIRLSVVVHGSDHNV SMMQQQLHDVVQVAAVKILTTPDRAHALVERDLLLAKVSLQAYPQALLTVDRLVAQFN ATVVDTSTTQTMVQLTASPTDVDAFLHALGPLGVLEVHRSGVVAMDKEQAVTDRTLNF AFDRHHPGDMDAVDSTMLPPG H257_10228 MIQRLVLSASRAVKTTGGRRLFNSDVASTRIHRHVIAALVVNQA GTLAEIANLFAARGYNIDSLVVGRTDVPELSRMSVVVHATDANVWNMKKQLDDVVQVA AVKILSSQDDAGENLIERDLMLAKVSTRQPGSRAEIAELASLFDAKVLDVTPTQVMVQ LAGTPARIESFLELLKPMGIVEIHRSGVIAIDRTLCVTDPTVEIAFDRHPVENPDVDS TMLPPG H257_10229 MEVCGHPLCVEAGTKTCSRCHVRRYCSRECQASDWKAHKPVCAA RQPRWHERIPRTRVYERFVVSFQLRVEDEYVFGGEMVGTYGEQTGGEPCAPQFMAYVQ LAKAKSVLPSDWTDEDDRQLMQLASGAIHSAIEQSDVVTRFGYGEQLVLRALAETIVG PLGQWVDKY H257_10230 MHFTTVFGTLAALAASASAHVSLNPAVAAPNAYFVTIVRVPHSF PGAVTKNVSVDIPVGVASVKPQQVGGWKVATTTKTVNGTDVVSKVTWYDGSLPDELYQ DFGLQFKVGDLPLNTTLYFPVTQETTPNGTLAWTSVPDDAGKLADAAHPAPKLTIQNA TATTAAGAPGAASNSSNATPAPGKSSTITAAMSASVVALSVVSMYL H257_10231 MHLYHAVVALAVFAASSVSGHATLNPPVGAPNAYLVTSVRIGHS YPGASTKNVTVGIPTGITTVKPKQIDTWKVDFEYAEVNGTKAVTQVTWYGGDLPDDLF QDFGLQLKLADLPNGTVLYFPVTQFTVPNGTLAWTSIPDAAGKLADAAHPAPKLTVIK A H257_10232 MRAVFALAATLLTVFVSAHVSIQPPTAVGNKNAVTAVRVPHAYK GHNTTNVTVLIPKGILSVKPQQMAGWQVKLQSKLNTTTNASVVEAVTWYGGNLPDELY QDFGLQVRVGDLPVGTVLYFPVVQETTNGTLPWTSVPDASGKIPNAGYPAAKLTIVNA TTTAPSATNASSNQANTPAAAAKSSSSERHAVASGCLVAAFASLALAVSGP H257_10233 MVKTSFLAIFASVAVAQHKIINGTEAPIGKYLYVTGLREKPTSN NRCGGILIAPKYVLTGTFCSDALAAYTSVGSHYLSGDRDGERLKVVKRIVHPHFNRTT NEYDFAILELESASKVTPVNVAFSDNDVVNATQGTVRGWGKFSNSGQLSPVLREGNVK IWSNIDCEKAVKHIGPVFSSMICAGGGDKDWCNGDHGAPLTVSKGGDEYVVGVASWGG FCASKNVPSVYARVTSARDFIQPYLPTQPTTTKPTC H257_10234 MPIGYGAEPEMSWASPKCSGEVPNKRSGHTLTLRGSENALYLFG GCDHKIPPGPSNDLLKLEMNGGFNWARIVPVSSAPEDTPPARWRHSALLYSDKKLIIF GGFAAEKRMNDLWVFDCDTKLWEQQHPQNFWEGLPQCRGSHSATLVDHKMYIFGGYGG NGYGRTDFNDVHALDLRTWKWEEILTEGEKPEARSSHQTCLILNKLFVIGGWNSVKQF QDLFVLDLTTNTWSAPDAKLPVPTWNHSCVGVQAVPNWKIFMFGGNSGDLNESGNSQG TYLNNVSVLDTGLMEWRQPPLKGDSPLPRADTALVYDPVSYKVLLFGGWANRWFGDLH CLHVSEIVGPPYSVSSIVPASGPITGSTKVKVEGYNFTGGSANVRFAVSKGYLDVQGQ VLSPTTIQVTTPNFDKYGPLQTEVRVALPGESFTNISTSYKFHSVTNASKSLGFGPCL MLSLAHLVMAQEPTSFVIQAVDKEGVQRDCGGDVFTIRLTEVTDAPDGGIQMDISTIN DKGDGRYIVTFVPPAAGKFILTITFEGTFDGIAGPIRGSPFACTFQPPSDEMTIRCVP SIAREDDFNSSDLIRKLYTDTTKRAGDFKRVLKELKADIPSNDVDGLEALKKIKDLMR KLDNDRAANQLLQEQTSNLFHYMKKIGAHVDKETVDVENLAKLFHDVQVQCPDTEARI TEPTRVFSEKTEATIVEYEKKIKKWGDTIKTLDFWDSKLEPDKALEKIEMQLVEWDNE KKRCAEKSDLSLIFGFPHLMTDTHKMMTALRTDIEGSKTVWAIIKRTKAFFVATHEIP WLSIDCNALAMEISATLKELKKIPKEIQWCDAYKWILKECQAFDKTHPLLRCLSSLYM RSRHWKRIQALTGEFTPPDVNPDQKLGFLLSKRLHEYAGEIGEICYEAEKEQELETKL VELEEIWAQVEWEMVPYNPTAPEDDQVPLLKISEDNFELLETNQIDVQTMTSSPYQSE FEGRVTEMHEGLASVNEVVVIFGEIQRSWSYLEPLFIQSEEVKNQLPALTADFEDIDV EVRKNLREAWITKNVKKACTKPGLFKFLEGIVEKLELCKHRLKEFLDGRRRQFPRFYF MSEADLLDILSNGSNPAKIMPHASKIYLACKTMSLVTNPGGRPTASAFVSGVGQEVVD FVEPVLLEGEAEIYLEALLKAMKFTLFKHIERSLVLYTQEPRVEWINHQDASGKPMDA AQIILLAAGVHYVAEVEASFAAMAGGDKESLMRYNKKQEIQLEDLIKLTQSKISNAER QRVMCLITMDAHGRDIVANMVRAGVDVASHFMWQSQLKHYFSPTQGSFLNRDQNFRGA NNSRAQILICDAGIPYDYEYLGNGPRLVITPLTDRIYVTATQALNLKMGCAPAGPAGT GKTETTKDLANALGKACYVFNCSPEMDYKSLGNIFKGLASSGSWGCFDEFNRLVPEVL SVCSVQFKAVCDACKADDERFILENEPVMLDPTVGAFITMNPGYLGRSELPEGLKALF RPMTVMVPDLVLICENMLMAEGFTQAKILASKFYGLYSLLGQLLSKQLHYDWGLRAVK SVLCVAGAFKRAEPDIPEPDLLMRALRDFNIPKIVVEDNVIFFGLLGDLFPRNDPNID VRNDPPRKRDQELESMVQGACEAINNSPREEFMLKVVQLSELLAIRHCVFVMGPPASG KTETWKTLRKAREIMGISMEVQDLNPKSVSTNELYGYIVLKTREWKDGLLSKIMRDLG SRTKDNGEDDTSPKWILLDGDLDANWIESMNSVMDDNRMLTLASNERVPLKSHMRMIF EIRDLVYATPATVSRAGILYISATEGYQWRCLIDSWLLRHCTPDPTKKANQQTMLFTN EVRDNFQGLFNQYCEPTLKFFKKRLQPVIPVEEVTLIGNLLNMLDCLLTPTILADYDV MQNNFCFCCVWSFGSILTISDDGTDYAAEFSTWWKTTWKDVKIVASANNTVFDFWLDP ETSKFNPWSKSPYFYTATYKSPDPINQITVPTTETCSISFWLENLINKSHPILVCGPA GTGKTQNVNGVLKKLSKEDGTSIFRYATINFNFYTTSTILQSTMFSHLEKKTGSNFGP PGKYRLIYFLDDLNLPEVDPYNTQSAISLLRQQMEYKHWFDRAKLQVQNILNTQIVAG MNPTAGSFLVDPRLQRHFTTFAMGMPEAPSLVTIYETFLGGHLSTFSGELSASAFWNS LIKAALTLHTSVVQTFRKTAANFHYEFNVRHLSNVFQGLIASKKDRFSSAEKFVLLWL HESERVYGDRLVCKADIEKYNQLVQLQVKRSFPTCNTSRYYALENAWPLIFCHFTKDG DPEYDQVMGTNLEDLKKNLEIQLRDFNNNENNTAMFLDLFDDAIKHVARIVRILRNES GHALLVGVGGSGKRSLARLASHICEYTVKQISISSKYGENEFKEDLRKMYFVIVDQLS RSEDKGGVVFLLTDSQITNEKFLIYLNDLLASGDIPDLFAMEDMDNIVNMVCVMAGSK DRKEIIKFFQGEIRKRLHLCLCFSPVGDDFRSRARKFPALVNCTVIDWFQPWPKEALL SVGKEKLKEISDLLGSDDSRTGIENFMPFSFVSVNQCAERFFQVERRYVYTTPKSYLE LLQLYKNILKKKVKEYAGAIERLEKGLQKLKDTGDTVARLEVELKVKLEQAEDKKAVA SGIAETVNKEKAKVEVESKKASEEAAKCAVIQAEVTEKQRSTLEDLAKAEPAVQQAME ALDSLNKKDLGECKTMSKPPTGVDDVFGATMVLLAGVHPNIVITKQGKVKDFKWDACK KQVLGNIPEYIEYLQKFKDIVDAGQVPTTNWKEVREFLEKEHFKPDIIATKNKAAAGL CSWVVNIVMYYDILITVEPKRMALAAANLELESANKRLGEVTSLVADLQSKLDKLMEE AAAAEKEKEDAVNSVEQGNRKMKLAGTLTKDLGSENVRWGINVLQLQKEKDLLVGDCL LASAFISYIGPFTKPFRDELINKHWVPYLRKAANGNSIAMSEESNPVYILTNDAEIAE WNTQKLPADRVSTENGAIVVNTVAMGRRPLIIDPQLQAIAWIREKEAPFNLQIVRMGQ KFWIEKLKSAIGTKTAFLIENLGEKIDAILAPVIQRSTSKRGNRVEIVIGDASVPYCE EFRLYLHTKLGNPHYPPEIQAECTMVNFTVTNLGLEDQLLNLVVSKERSDLAIKREKL IQQQNQGKIELKKLEDIILQYLAEADDDITSNQPLIAILSDTKYKAQMTQTNMEAAKK TQDSVNVTSEKYRSIAARGSLLFFLMNDLSKVHSYYIYSLAAFQKVFLQGIYNLPVVK DVDDAPPADGEVPAEAPAPEGGAPPPSDDPSNDLTDEDITNRCKALIISITTCVFDYI RRGLFERDKLTVATMLCLKILVRDQVLTDVEIETFLLGKAVADVGNMGVLAEWLPVAC YAKLKALESMKVFHNLGDIMQNEPDEWRKWFAAEDAEIAKLPGDFSKLSSFSKIILLR ALRPDRVTNALRTFILESLGEQYVSQPPFDMVKTFEETNPAIPIFFVLFPGVDPTPWV ENLGRTKGVSVENDNFINISMGQGQEQHAGDCLKKLAIKGGWIILQNVHLMQSWLPQL ERQLEEIVGEGPHEMFRCFISAEPPPILLPLELNVPESLMQSCIKVANEAPSDIQSNL RRAWATFGDDKVQASTKPTEFKACLFSLCWFHAIVLGRRRFGQQGWSRAYSFNTGDLT ICGNVLMSYLDNNDQVPWDDLRYIFGEIMYGGHITDSWDRRTNNTYLTVLLNPGLLSG MELGPGFKSPNPAEFSFQDYAQYIEKNMVAENPMLFGLHPNAEIGYLTSTCETLCYAI VSIGGGGGGSGGGGMDKTSMLKASIDDFEARTPEFFSMLDLQDIAAPRLTADHGPFVV VAMQECDRMNVLLDELRKSLADLKKGLNGQLNMSQSMEDLATAIGLNEVPGRNPFSQC KWERKAWPSKKTLSGWFVDMIKRHQQLQTWSVDFVTPFALWLPGLFNPTAYTTACLQV TSRRKMMPLNKMTVETHMTTFAGVEAANYYPDDGVFVYGLFIEGARWSTLDEITNRYK VGASPTTECGGVIMDSNPKELLWMMPVVYVKAVETKPLWEPTSVGYLRHDPLMYECPV YLTRFRGPTYVLLATLPTDCGREKWVLRGVAVLFQDDN H257_10235 MQCPALTRAPQTAITCFDDLVLFLEPDHEPSSHPLDRPPFPALQ HAIHGYQDHFHADFYTTLWPRILEWAWTVPGTYAPTGLSFTSPQVSSCGTYTSAKCNY DTDVARYILANMFVLNKPQPAPECGNLDLYRLMNSQARCREEDVGAARLECLLAYFHT IAEEEQGRTITIERRQWLGQAHELDASGHTLAGVNLMETSMESAADATRFVNFANQDL HIHRIIPSGTQEEVLFSCAPEAFVAIGLCPRMTSDQVIMIRNVRRFVEYTGYLSSFKF LRLVGTRRVFDILSIDAVTRSHYTVASVDRDVRKATLAMLPTDEKHGRGGVVTGHWGC GVFGGNKTHKFIQQWVAASRANVPMLHYSVFGDVQLMNFLRQVEAAIRAREWTIDDVA TRLLSNYSAMCAAADKAKADGYIWTLLSTMSTPSRKVSYEEFIATEFGLEAVGCSNLL LW H257_10236 MPTPHHKGQPESLGLPKLRPPSPKTDTADVPHDDDADMQTVRVS ESHPRDDTDTSTSNESESAANLPCLANVTPMDTSPREGLGSGEIQYQTLPRRQSSSLK VLRPALPSTPMVMLQRTKTLGSLHADKMLTRLGVTFETMRLEKGMKKLGICDHDIEAS IEIRRHCGVVLNDLMSKEELLLGFSGKQLKRIKAVKTMGTSENEILDVYCKQISNLGF PQEAAALLK H257_10236 MPTPHHKGQPESLGLPKLRPPSPKTDTADVPHDDDADMQTVRVS ESHPRDDTDTSTSNESESAANLPCLANVTPMDTSPREGLGSGEIQYQTLPRRQSSSLK VLRPALPSTPMVMLQRTKTLGSLHADKMLTRLGVTFETMRLEKGMKKLGICDHDIEAS IESTIYAPAWLTCDCIFQMWCFVMMYTYVYMLQFADTAAWF H257_10237 MDDSSRHGVEILLSSSSSPELVCWFVFVTQDVLTLWSHQFTYVY ASNASVLTRRAGVYDATVVHYQLPCPSGVLAINSFNRAITLMGIVVGSICLSYFLQTF HRGRVEPATTSRLLSSSANHVFTRKAKWTLNGVQFVDRASALLSGLVVFGSRKDNDHI LDVKTWRRHVIPRPTFVDEQLKLPVPARFAHAVPLLE H257_10238 MAEFQRGLVETFEITTPFQMSYSFTLKSSTGAIHHRRAQFASTK PHFAFQNISMATVLTKRRVVGSPRSHWFATVKIALGPFGSIDAYHVPFSLPLVTLLWK VQTILTANALTISDKPLVELIHSVQSAEFMSTWSNSSRHFSAGNIICDYTSSPGSTDR TVKGSFTSDGDCVGVKSNVIYASRMQILFAALAWHIQWPHEALDIQFICALNANACVD DLTNTLLWATAETGNVSMIQFEAKSRQLLLLTLFGSKSIANTGWMLLYEWVVGVREVV AFAGDANVKWQVMSEYAIAYSSGVLALIGFSTWAMVAANRYAVDTGNLFNSTESRAWC G H257_10239 MRDIHNAAVYLESILRNVIWTEFALAYESTLATHESLQNIPDEA TYWRSFDLRMFTLQWAAYLGSFNETDVGSVSSALYPAKIKYEVVTTLVATIDGLRRMD GCDAEWMFSAYCWLDFSKHWSMAPAKPAAMPLKDPTGQCI H257_10240 MSHFAQRAMLLAQNTAKQAANLAANPSPSIAAPSDDADEFKWIG LAIVVGSAILSNLGVNVQKLSHVKEEKRSLFLRRPYYVRPLWIIGMTFVVLGSIGDFE ALAFAPQALVASVGGGCTVLANMGFAHLWLGQRLTWYDVFGTFFILVGVVLSTLANTP DAQLDLNELELHFRHLEFLVYFSVMCLILFILFGEIRSIARHSRPTHDHLHRRLAYLY ATASGIFGSFSVLLAKCTSMLLLLTFQGDNQFVYPITYLFVGGMVATLVLQTDLLNRA IMSGDTLSVFPVFQCFWIGSSVVGGVVFYQKFNTFTLFEWISLPVALASIILGIYLLT QVGNDDVAYTASVAATGRFGSLMPLSPPPLSSALRTPIFRHGSFRSNDSYGSVKAKET TSAKAPPAEV H257_10241 MQYRYIIDFERISVSPDFERSHVGSPLMRKPCEPILLEEGWTEL QDNGPFPLSERDPAYDAIVMHQLSSVERARRRLLSLVITFTIEMMVAFVISRYTATLR TYPLLMSFMPVISAISGNVGLQSSSIMTRALAVGLVSTKDSSRAIGRDLASALVLGLA LGLLTGIVAGMWQNWLVFGLVVGIAQFLSILTAALTGSAAPLIGKWLHFDPATFAGPM ETAIQDVVGNSFFLILASVLLDYFATT H257_10242 MVKHARDAAAVPGQSKAKKGKSALKQQDIGTAFKFKSYVNLFGG ISSEHRELLIQDCKSCFSCREVEDGDNYSLGSTFFIKANEASVCGMEVLAKKIFDLHT QGMTYDPATSGAEWWTQHIDHRDNIGFHWDRDYGKEEDDQVHVHPFLGSVTYLCVNAG PTVILDKRGTFQYGADISGPLRQCIVSRPTPGKHITFDGELLHGAPSDLAFPHVNEDD DTSVRVTFLVNIWLNHVPIQSQRLEPTVASTLKLEAKHVESLALITTDAEPTPDVEVY DAKSVKHVTHRFAINSGGDDYIIQLTLPEQLKGCATETTVDCVHLHKDSAIVAGELTE SSDDEEDDEDDEEDE H257_10243 MHSTSSWMKFTRTTTSVTTDAVPNAAWKCFQTFVLAVGVIVVLG ASGLLLVIASGVVNFLPSTDRALYIELCLQSVNLVLTVAAVATQVPRIRTFVHVSRYL ATSTFDQEQGDGDQQRAEAIRAAFPALVVEFHDQTNPSGVNIPLRKLWFLLALLNAQC MFQYPTAIVLWFVASPNRPYVLVATCVALSAFCSIVAFVWESRLVRHCTRYRVQRAES AYEKYLVEDTAV H257_10244 MSSSDSAVWHTMYPSELANTTSNPIRKIVDNIKMPQNPVKPIIR LSLGDPTVFGNLSCPDVLTDAIIRNVKSHNHNGYIHSAGTEAARAAIAARYGSVDAPL TKDDVIIASGGSGALDMAITGICNPGDNILIPRPAFSLYQVIADAHNIHVRYYDLLPE KNWEADLVGMASQIDSRTQAIVINNPSNPCGSVFSKEHLRGILKVAETHRVPIIADEI YGDMTYGGTTFFPIASLTTTVPVVSVSGLAKQFCIPGWRVGWIAVHDRHDILKDIRTA YFKLSTIVLGANSLVQSAIPDILTPAPGSEEEKSLAAFKTKYYSTLEANAQFTLDTLQ TIPGLHVVVPQGAMYVMCGIDRTLLADIADDLDFTQKLLLEEGVFVLPGQCFNIPNFF RVVFSAPQDKLAEAYERIRVFCQRHSK H257_10245 MMYQLALLSQDDVMSWDVTNVGVCHWHTTTPALKLRCHREPARE NPHEALLARCQGTDVDNGAVYLKAILRLVDWARWQVQMGPLFGAVIVPGLQPLDMCGR QPS H257_10246 MVLVSEQRFGPVAQEQRRCVRWHCIRLFFGAQHIVAPHRGRHCR QQSARAVPNARSRRWQWYRCGISIEGPRLRTLSLARQSLWVGPGTRSSTRPTQPTREC WLDWHSLGFGLL H257_10247 MPKFAVLSESPQFHQAKTTKRACQSPISSEDEFDFEPRKRSKNA QQKPRVIKKVITAKVAKSKSKRLTSSSTGANTRRADAQPSSSPKFVWYLMHCLKESVQ STMSFEYGFGQGSIVPLPTSSEWTSEQTSQFREWLEALGFVSRPTVNSTVFRIANKDA LSIVQRFSSQISSNPSNTQALLAQMKAPIEDDNLTFATATETPKKQRSGFDNYTRRAP PSSSIITTLHPISRRPPPPSSLMWGSPIPTVSSRPTYNHSRLSSSSIDSIDLNNLPSS TRRLSICSIAEEELSQSSSHGSHNRSTHHDPKQSAGIDFSNDDSDMVRRSSIDSLDLD AIHPNSASAKRLSFGDFFQVPPQLSASRLSVHEESSSMDLLNVSRENVVVQSKKTRNH LRRLSRFDVVRSTDRRLSLFVAPKVAATMPQADKADHVVMPRHVASIVLASQLVEMVP AVSLVCKQWHQLFHEVLAWNQADYHRQPVDENAATLYKNLPWGQYLSDGAYKQVFKVY SKPDDRYEAVSVMDVHHIESTGNEHIVRQEIAHSLLFSHLATTHPNFLRIYSVFLMST PPDEAIWGSSATPTPQGLTFDAGVAPPSCLLGTKNHKKTRGLYQYIRMELCDGGNVED YLRLDGTTNLVHGWSSLFFQMVYALYAGRVHHQLRHYDVKLLNFFLQSTTGRRTQDDP PHTIAYALEHKLVKLTSPYWVKLADFGTADTDATTFGLPIGVEHFTTLENTPMDFFLR GDAAVQGYAADAFSLGLALLHLVTGRAPYEELLEDVVCPPGLKRSLLAVWKKKHGRGT TESSFLVLQQQLSVSKENAAVLCDTVYRLVVLFGLDQLDSASSVVSALLLQQLAPSPK KRHATPAQRQFEVDVSMYSVASGASGPIALARRRLQATPGAMDVFRSLVHFDPAQRPT MSSVLQSRMFDALCEDNSDGRAVATVAAYGGARLLDI H257_10248 MLGRVTAACRRWPRRSVAAMSSTSMEQLPFVEMSALMDPSSSKA SRQPALDAMRTACRDFGFFTIPASVLPPGLLNKVYARADDFNALPLSTKQKYHVRNVS NARGWTPLHEEPSYEPGVVSHVEGFDLARDLPASYLHDDQGLGPNVWPAEVPQFRDHV MALYDATTDVSNALFEGFAEMLDLPRHTFRVYNTAEAQAFMRLLTYPPQQSHKSEGVV DHGTKHVGIAAHTDFECFTIIHQNNVGLQLQGRDGHWIDTPVASDRLFVLVGDVLEMW TNGELVATPHRVLSAHTKRQSIVRFNGTEGNAWIEPLAPFVTPDAPAKFQRVTQRQHI QSEMHAAEARLKDTQDKAAATIGRNSIA H257_10249 MSVHRADEFSAEDANRLHIYISTFTVAGLAMGILLVVGAMRLRK RNIRARHMRLSSVSSNNEGPDQATPVAAPSMEADDDHTEVAENNPGALAICVRTASGS IDDGSALL H257_10250 MSSEVDGTSDVTLLSCVHGRDRRSQRAIAKRDLQAAIKYGTKEA SIKDRWKYTFANIVYITDKTSRREITSFVQRVKVSKVTLEADALVQHEQLKASLQLQP NLSTSHTVVVTDMSGSMREADVESFRSRSDAVFATVALDFVGKHLDDGSSINGTDVLT LIEMRDTATTVLFREPITNVLFNKLVSLKNKARPYFHGNYLPALAAVTDALVLDEDTS SAIAVVFLSDGQPSDATSPYGLAFAIRECVRSWGEKFGQRLHVSTIGFGARYVDFCVL KDMAVVATDAGAHGSFTNTQLSAHGLSSTLTDLRSSLSATRTSLITTGLDSLSSGNQV LRQVARKVQFMTLTNDVLEDGKWDIYTTSVKKFQLNPTLTRRHGMHNWTPVAMAEGIT GRAMRQSVFGEGAERIVYQFCQVQRSSTGVATVVPPMMVAKYSRYVMSNSKEEMHFHE VFCRTQRTAGKYAHRFNADVAKKANNVATITFLECSVYVLDGMSVLVETMLPQAQYKK WNGNNGYVQGQSQVKAPNVHKLLQSLGISQQLEPPPLARATTQSINKPTLDRIEEEDE SSDSDCDDDVCPPNLTAPGAGQPRNNNVTIAVEDVPQAFSHFTYFKSRRKALVCDLQG VLNTKSTPPEFHLTDPVIHHRGHGKVRKYGRTDHGIGGMHRFFQTHECNRLCELLNLP KCTRDQHVS H257_10251 MTTILFRNQLIAIHAWKLQKDSPSGGLLDVTPSSTLDGTTTSAL LSFWLRDNPPSSGQFLRRSLSADHQSIDHLATWLRGLYCEFFGSPPICRHKPDDEGAL QWSSNVGTTLLYEDDLVKVWDFHVAPQARCHYHVHRHTYMFINLMAGITQPVDEHGHI LVDKPVREHAQGEITFVDVPSLPTLPHHAFKNVSTTTPFCQYIIEFKESNGSS H257_10252 MSDEEGESSIDKWTVELRGNPASVPALQSRGATYMQLGQPVNAE RDARAWLALQPKSARAFGLLGEALLRQSRNDEAVKAYKQGVALDANDVALQDGLHRAR VAVLDELVADESVPAFVPMVVPVTMVGAQPPATVVPLHTSSTSATAVSPSDHSTFTHR QNAAQVVTTSHNDLPSTMSPADLMATLLLEIFNNLHMSSPQLSYSAILVALGVVTWCL QRIRTLSVVAAVALLLTALRPCRHYLLHICTRPFKWWLAKSSDKLYHMTLLPCVLATL PMLLRLIGLLNLFAFIHQDQWLACGVTLYLATTLFVTQDHPKLVKAGLHIVLVLYWVV HLGHVHDIFRFVPPIAFELAGYLLGSIPPRAIQDAIKAALASQLKGVASSQLHLWGVV ALGHWAIDFWNQPSTLSVDDILTTFQSLQGSAVQLFQAEIRAHRRRQRQVGGSFVDLD DEYAVLVAYVAKTVRNLPPSWPVATVVMLVQRCVHMVACAMLFMLFGGTFGFALIPLM VLEVNAFSQICQVVWEEGRTTEHPSRDSLDVICMTSPPVLAVWSNLKAGVVGLECSVV ASKVATATTSAALLASRLGGLAAAVAAVRREGLTPHVGSLVDGAMSLYESRHLVRPML HAWTGVLEFWQRRR H257_10252 MSDEEGESSIDKWTVELRGNPASVPALQSRGATYMQLGQPVNAE RDARAWLALQPKSARAFGLLGEALLRQSRNDEAVKAYKQGVALDANDVALQDGLHRAR VAVLDELVADESVPAFVPMVVPVTMVGAQPPATVVPLHTSSTSATAVSPSDHSTFTHR QNAAQVVTTSHNDLPSTMSPADLMATLLLEIFNNLHMSSPQLSYSAILVALGVVTWCL QRIRTLSVVAAVALLLTALRPCRHYLLHICTRPFKWWLAKSSDKLYHMTLLPCVLATL PMLLRLIGLLNLFAFIHQDQWLACGVTLYLATTLFVTQDHPKLVKAGLHIVLVLYWVV HLGHVHDIFRFVPPIAFELAGYLLGSIPPRAIQDAIKAALASQLKGVASSQLHLWGVV ALGHWAIDFWNQPSTLSVDDILTTFQSLQGSAVQLFQAEIRAHRRRQRQVGGSFVDLD DEYAVLVAYVAKTVRNLPPSWPVATVVMLVQRCVHMVACAMLFMLFGGTFGFALIPLM VLEVNAFSQICQVVWEEGRTTEHPSRDSLDVICMTSPPVLAVWSNLKAGVVGLECSVV ASKVATATTSAALLASRLGHVLNVPWEEDRIVLYLCGSLKLLAWSCG H257_10253 MEAPQRRHDTKPTRARHRNEYQRHAQKRYRKVRSGERQQLRQLV VELEAAKATAVAAASGRKNRPPCSTGMLSWADIALALQDAAQVSRSDAWELQVQVVNQ ARLGRAMWTYATNLLAARTCLRQGIIETWQHVSLPRSPPARREGLDWITTQLYHNADA VVAGLGFPATGELFFDIQVAEERDGGHTVTIRHQREVDESWDSVTARYHSFFIASNGF GYDAVETLHDDNDDENDSSVVRSDKVDNTWWTDRRLVYRRRNIGNQVVGDMMQNYVHR QFIDERRSVLVARNILSDDKYQLGSLKRDASGWIVATRLSPEKTLVTECWTVYALRRG DSNASWADEASFYKVNLAGNMDSSINDDDSPTEAQRALLRNAVYRQGQTVLAKRNAFF HGR H257_10254 MATSSASIADAFRGLNSGEFLCRVMSRTSSSAITVLDGLDKDMA TFLHMPLPSPSEHAMKRAFLRTRRAQCRRKAQLEVDSIRATATALASSATTAVHVETL RCASEGYSTEFASCRRSVDQALMTYLLRFYASIEVERRHLLHVQSMERAVCDAALARA KRNLHLDTFALYLESESAGQVQFRRLDATHPQGVRCRRAALDNVKPHGPRMQVVDVYK IENHVVLERFQSVVASLPSPKVKGLFCSVPVAAIERCVAFGMTGDSNPPVFRASWYSN TSKYAQPVATAAAPIQFPKRFSRYSSFDTANSHRCHHETTTQFLALCRVVMQQVAIAP AATSSPFPSPSIDTMYFNAEEEYLVRHGHYVVPEFLIQLEIDEPVSTQVVPLKGTATS TSGLQGENDLAPVLELALLGPHDQLPRPTAFPFESVVAGINNVPAAAPVGPSKGLRKM KPELFGTTAASDCPPTTPDFHVKKQWLLVRTSVVHATVGALHQFWDRMGQAWHLRYRN HNTSSMIRHSNNQLESGDTTVPLSDTKAWSGKAKSVKAKLKAAIIATSGSPYCVGR H257_10254 MRVRRLLDRVCLVSPQRRPSPHDVLVAILRLHRSRTAASFACPI HGTSRLRRGVGSCKAQFALGVRHRSCTFALYLESESAGQVQFRRLDATHPQGVRCRRA ALDNVKPHGPRMQVVDVYKIENHVVLERFQSVVASLPSPKVKGLFCSVPVAAIERCVA FGMTGDSNPPVFRASWYSNTSKYAQPVATAAAPIQFPKRFSRYSSFDTANSHRCHHET TTQFLALCRVVMQQVAIAPAATSSPFPSPSIDTMYFNAEEEYLVRHGHYVVPEFLIQL EIDEPVSTQVVPLKGTATSTSGLQGENDLAPVLELALLGPHDQLPRPTAFPFESVVAG INNVPAAAPVGPSKGLRKMKPELFGTTAASDCPPTTPDFHVKKQWLLVRTSVVHATVG ALHQFWDRMGQAWHLRYRNHNTSSMIRHSNNQLESGDTTVPLSDTKAWSGKAKSVKAK LKAAIIATSGSPYCVGR H257_10254 MTYLLRFYASIEVERRHLLHVQSMERAVCDAALARAKRNLHLDT FALYLESESAGQVQFRRLDATHPQGVRCRRAALDNVKPHGPRMQVVDVYKIENHVVLE RFQSVVASLPSPKVKGLFCSVPVAAIERCVAFGMTGDSNPPVFRASWYSNTSKYAQPV ATAAAPIQFPKRFSRYSSFDTANSHRCHHETTTQFLALCRVVMQQVAIAPAATSSPFP SPSIDTMYFNAEEEYLVRHGHYVVPEFLIQLEIDEPVSTQVVPLKGTATSTSGLQGEN DLAPVLELALLGPHDQLPRPTAFPFESVVAGINNVPAAAPVGPSKGLRKMKPELFGTT AASDCPPTTPDFHVKKQWLLVRTSVVHATVGALHQFWDRMGQAWHLRYRNHNTSSMIR HSNNQLESGDTTVPLSDTKAWSGKAKSVKAKLKAAIIATSGSPYCVGR H257_10254 MATSSASIADAFRGLNSGEFLCRVMSRTSSSAITVLDGLDKDMA TFLHMPLPSPSEHAMKRAFLRTRRAQCRRKAQLEVDSIRATATALASSATTAVHVETL RCASEGYSTEFASCRRSVDQALMTYLLRFYASIEVERRHLLHVQSMERAVCDAALARA KRNLHLDTFALYLESESAGQVQFRRLDATHPQGVRCRRAALDNVKPHGPRMQVVDVYK IENHVVLERFQSVVASLPSPKVKGLFCSVPVAAIERCVAFGMTGDSNPPVFRASWYSN TSKYAQPVATAAAPIQFPKRFSRYSSFDTANSHRCHHETTTQFLALCRVVMQQVAIAP AATSSPFPSPSIDTMYFNAEEEYLVRHGHYVVPEFLIQVTNYRLLCISPQFSHFSPYY NDTRLVYLWFCPMRCIKSQ H257_10255 MHTASSVLSSHDLVLSICQFQPGVFFLDSPITQLTHVAPDLRAV PAVADFFQHAFHDKIERWLGIHGVPGVTRLLSTTSATPRLVIVAYAAWVGSLPLLRFL LAKLSTSMISSPQNSTTLLDLAATQGHMHVVTFLHARPGQRASTAAMNGAAANGHLDV IQFLHTHRSEGCTTEAMDGASERGHLEVIEWLSRVREARCTVRALDAAATGGHLDVVR FLVTEFGVLCSVSALLAAACAGHDGVVRLLWSHLLDKESARHTVLVQARLAGHEQHVT QLLAAM H257_10256 MEQRNVRPAPLPPSDVPVSAPLPFTTSTSFASDFGTPRSDNPNT IMDSCDYSRVKDDVKPKRQSLHRGGQSYRNLHRTTSRGVLTRLESFIHPEHAKALLPT RVLYISVAIALIASFQSGWLLSQLNYLPFNAGCAVVPVVVPGTCIMFPGHSKREWTMT VTAWIVGAAIGAGLSSFPADKIGRKHTLRFNAFVMAAGASVQLVSHDIYTFAGGRLLS GIASGTAINVSNVLISEISPCEMRGLFSTGIQAAVSIGSLCVTTAHYAVGTTHEVAWR ALVAVPIGLAAAQLVLMPWMAQSPMWLVGQGKLDDATAAMKVLYQPTNFHAIVDSLVA GHADEAKELAGVSPWRILFSKKFRLQLVIAVVLCMAQQLGGINAIMFYSASIFYKAGL SDPRVANTIVNVIRTTANLTAARIMDKFQRKTMLVTGMTVMAVSAGGLVLGLVHANAA VAVTCVCVYIIAYCVSIGSMAWMVSAELFPDFLKADAGAVGTFSTWVSNFGVGVFYPM LADDDALGNYAFCIFIGCLVAVTTFVAVVVPETANKTYVEIQAAFGMSPPVEDEETAM APEMILPADHNPWRTACCDDVAEPMSENTPQIPIVIEEVMAKERRDSSDHSSNSNSIG LPLEEERGSRKREA H257_10257 MMHCYCLIMLCHSHSAIENMAKVNDELVKAITEGDLLQVLLSEL SGECNMNSLYVFKDKKGYDWKATPLIAAAALGHTELVQGFIDRADIDVDGVDENENSA FIVAAERGQVAVVRQLLASNKVDINFRGEYKETALYKAAEKGQVAVVRQLLEHPDINV NLPNDSNVTPLVAAAESGHVVTTKLFLERIEVDDAIRHPALLAACVAGKDKVVAMLLA RTDMDVNVMDKYGDTPLMAAAEDGSVANVTLLLANPATLVNAQGSRNQTALGKAAQYG NIGVIQLLLDHGADPSILDKDGDTCLELALKEDQYEAAVVLLASGMPVHHIHFKDKLV LEWVAPYLTHDVAIRLLQLDFPVERTANGNIAARDSHSFSWSTFLDSHVPVDTSVRVA VVATLLGTEKDGDDWVRELATAKDQHGREALHTTDAATRDLLNGLRFFCGRYELFDGP PIHVSATAVVVNAYDHGVFRQVFEQFANDCGELDKKGFQACGRLLGQQPTDVKKKVDA AVEFDLWDKDKSGYLSASEYIRYCDQTYGGKLKVAMKFMRNADEHAREVDTRADLDIH FVLGLLPTLPQATFHANVASLTLHGRGVAMANYPHVLVMPAADRSLEDVFLKERPNDN QIRSMLHQVAEALAHLHDHGVVHGDLKKLNVLRVNHRMRLIDMDAATPFGAPVGAKFS SGSLPPEMFYKLQNDDEFAAIAIHWQRTTTPHTLDDVWAKVQPRDQFCIKTFHESAST LPFQPVVASPALDVWAFGVLMYQMYSGVELVPTDINQDVDDSGIARAATWTDTDLSKR LQNKVSNALARDLMAKLLVVDPSDRISVHAMLSHPYFEVKYDDATGGQRLLVERLDAL AVQVTTGFHVMASRLDEVVDLTKETLNAVGAAKQDLMRGIFEATEVAFPTSFVILPFD LTLPQCNDDDNDPEDMLRDVTSFIQKGIDMGHNFMAAVHQNKAISRALRLVGPGEPLF LYLIDEVHGLPVVPTSPGSHYPIRIETKSDEYTQFMSAAMPYIQTGFRLLKGASTIAS LVSCLGVLPSLDPNVLDEMGEHIENAQKTSSVFDFDVLQTAVEDQGGVPVQHIRGAAL RELERFFKKNDRDKDYAGLCRTYASNGQALWTTKATVASMELARPTSTASLKSAQANQ TRKAGGGKTAQQVYMDLLALHDLAQEDAVVERMVPPMLLPQGKMRSNGDRPPNCCGMM H257_10258 MHPHKQQPASAATLLVGPAATVPDGVALPSEASVAPVARRCAIC LDDLDGAMAGGNNQTSETYTCGFCSTTVCDDCMLQYMHMTIVNEGRRRRWTGAKFTCP GPHCTATLSPDNVLQHTTADDYQLFVDLVQPPATKNHQSRIECPRCHSQDSVVSRRKV FCSDCNLTFCKTCGDVYHHFGCHKDKSFQAWTQHHDVRSCPQCHAAIEKTGGCTHMTC IYCEFDFCWLCRVEWQHHTDVMCTPRAFLTSSSTALGPTAPVRAVTKAVVVVAALAVV AVGVGVAAILVAPPMLFADSAKTWWRRKKQGKSLKTLKERHLKQDQPPP H257_10259 MLRKYTVQFGAAAAAAAGMVASQSSPDSPARCDKIYESGQVLGR GVKLELWKAKHKNVDVLDLESETIQTGLTRVRDFNATGAKFVHVANKLLRNVLESALT QLPNDEDTVVTTPLGHKVKGVDYEEGVTVCGLALVERSLVSEQLQLLLKTTLPFDSAI GQLQLSPPGDSPGALAAVDLPDGIEDMHVILLHPEFASFDVIQPAIQLLLKKGVSPDH IQVVTLVTCPAAADKFCATFGDVNLVTAAFDAASDSQHRIVPGLGNFEKRYVGDDSGV VPDAAAGEDDDQASAGWSSWWPFK H257_10259 MLRKYTVQFGAAAAAAAGMVASQSSPDSPARCDKIYESGQVLGR GVKLELWKAKHKNVDVLDLESETIQTGLTRVRDFNATGAKFVHVANKLLRNVLESALT QLPNDEDTVVTTPLGHKVKGVDYEEGVTVCGLALVERSLVSEQLQLLLKTTLPFDSAI GQLQLSPPGDSPGALAAVDLPDGIEDMHVILLHPEFASFDVIQPAIQVKWTRRYICC H257_10260 MSWQEQLATISSLEERELFAVLRRYGASRVFSPSAVQRPCTLVL RVVPSDPEFPFRLENGLVVHVHVPLAYPQEPAAFSIECDSVPGFQAKFAHTIAAHLHD RQALFPGQLVLRKTLTWLDNNLRLIIQPPRPIKQPPPVDDVVDDNIPIKAVPSSSSAA TSTIGPTSPEEPRVDVEPATSSLTSAMTTTPLRRCRYFAAGTCTQGSACLFAHTLPVN PRVKPIATKGTPLKNSYTATPTATATPTATATPTATRTKKRGKAAAATNSTATPVTAL ATTMSPHNQVYGPATTSPTDPFQAQLDNASQVVVAADSLGQPVDSTAKSVRRRRARRK QNDDVSPPPSILVDKAKSIQPPPLDKSAKASSKKPTQLCRFFGRGDCNQGDACRFTHA PSTPDKATTSLVAGEAERVVAEDDHDISPPFSDENPPEDKNVLSHNSPRAVGDAATLS QKGPWTSSQQAQLDMALKAFPPGSFDKARDRWHAIAECVDGRSLKECVGRFKVLSEYV KSSSSPTTISPPPYPVAVVKSDIHAPKVIGIAAARRLLHVPDSPHIPPGKVPAAAAAS SAPSSSTLETQTDDNDSNSERDDEARDARLIPASLRVKLDLEVDPKAIHLHLNGLFLH QVDTLQLYEIKCAFQCAQCPLAFDAVLSLTKHSIRQWCRRCSVLQTVDVRPVLMHAGN QMAMNLHLINCTLVDVMPPSVFLAVCSSCAEEALMAIIPRIRSEVACRQCHVKLAVEC KAFSIVNQSTDRYVDHSQPKAKKKLVLDDGIVVGSPLPNQGRCAHYAKSFRWFRFQCC GKAYPCDKCHAASATCPDADKGIFASRFICGLCSREHPSQLKECPCGNVVGAANTSTH WEGGKGCRDLARMSSADPKKFSGVSKTKSMKFKRVGAEAKKRREQRQQQQHSDK H257_10260 MSWQEQLATISSLEERELFAVLRRYGASRVFSPSAVQRPCTLVL RVVPSDPEFPFRLENGLVVHVHVPLAYPQEPAAFSIECDSVPGFQAKFAHTIAAHLHD RQALFPGQLVLRKTLTWLDNNLRLIIQPPRPIKQPPPVDDVVDDNIPIKAVPSSSSAA TSTIGPTSPEEPRVDVEPATSSLTSAMTTTPLRRCRYFAAGTCTQGSACLFAHTLPVN PRVKPIATKGTPLKNSYTATPTATATPTATATPTATRTKKRGKAAAATNSTATPVTAL ATTMSPHNQVYGPATTSPTDPFQAQLDNASQVVVAADSLGQPVDSTAKSVRRRRARRK QNDDVSPPPSILVDKAKSIQPPPLDKSAKASSKKPTQLCRFFGRGDCNQGDACRFTHA PSTPDKATTSLVAGEAERVVAEDDHDISPPFSDENPPEDKNVLSHNSPRAVGDAATLS QKGPWTSSQQAQLDMALKAFPPGSFDKARDRWHAIAECVDGRSLKECVGRFKVLSEYV KSSSSPTTISPPPYPVAVVKSDIHAPKVIGIAAARRLLHVPDSPHIPPGKVPAAAAAS SAPSSSTLETQTDDNDSNSERDDEARDARLIPASLRVKLDLEVDPKAIHLHLNGLFLH QVDTLQLYEIKCAFQCAQCPLAFDAVLSLTKHSIRQWCRRCSVLQTVDVRPVLMHAGN QMAMNLHLINCTLVDVMPPSVFLAVCSSCAEEALMAIIPRIRSEVACRQCHVKLAVEC KAFSIVNQSTDRYVDHSQPKAKKKLVLDDGIVVGSPLPNQGRCAHYAKSFRWFRFQCC GKAYPCDKCHAASATCPDADKGVLRQKNQNRNETLALKYASVD H257_10261 MHDHHCHQTWSTSTSSTTTTTSTQSISRPRQFSLFVEAATILHA MNKDSSSMTCFPKLYTIPRQAPWPLHLQGVKLNTAQVRMHYKNNNLHPDTKRALEAIN FVFDVNQVKWEQKIAALRLYKQLHGGDLCVPQDFRIPTDDSRWPRDLWGMRLGLAVRS IRQKTPPTSDRFRQLSAMGFVWNVLDMGWETKRVALATYKHLYGDLLVAYNFTVPSQD NQWPRDTWNLKLGHAVHNIRQNGRDMSSDRLDQLAALGFVWDHLESTWDVKVTALQFY KLVHGHTAVPYGFIVPGQGAEPSWPRETWGMKLGHAVHNMRQSVDDMPAARRMMLNSL GFHWQSAPLTWDVKLRALQVYRDLHGHLNIPPDFRVPATSDAQPWPNKCWHVRLADVS DELRLRADAMHETQVAALNDLGFQWQKPTAVVDVVVEQHDEDVCGVESISICDGFPLL KRRRVEARAQVVMSVVSL H257_10262 MSSLLNGHLRQFPVFVEAATVLHEMQRDTTSITSFPKVYTVPRQ PPWPAHLQGVKLNTSQVRMHYKNGNLHPDTVAGLQAVNFVFDVNQVKWSQKLLALSTY KAIYGDLCVPQEFSVPAHDPRWPKDLWDMRLGLAVRSLRQKTKPSSDRYLFLSDMGFV WNILDLSWDTKVAALVTYKQLYGDLLVAYSFKVPTQDGNWPKNTWGLKLGHAVHNIRQ NGLDMSTERRRQLLALGFVWDHLEMSWDVKILALQTYRSLFTSLNVPYGFIVPGESPL WPRATWHMKLGHAVHNIRQNVQEMPTPRKAQLNDMGFVWYSLPLPWEMKLLALQRYHQ VYGDLNVPVTFRVPDGDDSAWPKKTWYIRLADVVHDLRCHRENLNPAQVGQLDTLGFP FHDDDPGPAQVELVSICDGFPLMKRIKIEGPMS H257_10263 MWPAKVVARRGLATLKLTYFNLAGRAELTRLALYIGDIYFEDDR ISMQRLKNLKQSLPYGQLPVLMVDDLVMAQSHPIAKYAGTLAGLYPHNEPLDAFKVDE VLAHLMSMSNAIYAVFGELDKTERAVRAKELVDVKLPTMFQLLERRLVATSTGNPYLL DSLSLADLEIYLTVHMLKTGLLHDVHTHAVSDAYPHVMSIYDAIKQHPKVVEWNHRKN H257_10264 MSFDAPFLRQSLLDAAVEGDLDAVVHTLDEGGASIANLIDSVDA ATGLTALHHSCMRGFVEIVCYLVRHGAAVGICDHFRKTPLHHACHFGFTEVVYVLLDC VRVNVEKLYYMNEAKLTCLQTAAARGHVTIVRLLLLHGDVVGGVNTEGETALHLAAQY DQVEAAKLLVSCGANIQHATFATGDTPLHYACRTGAIQVAVFLVSLGQSVHDLNTDVV AQSALQLARQQGHSVLANAILEESKNVRETRSRRDTAIHNESLACFMSAKKAYLDSKA SVKAMQVQVVRQRKLEEMEAIRAYLKAIRNGEADEHASTALAAFPRLQ H257_10265 MVHYAVAGCVDHSDFTICERLLDIMSTALPDITVEKEPVSHERW QNRVLELAQLHGFSPIGKRDLKIAQVLVWRVGRLVAHRAEEFELYVVETYGLALDLDK VQVEAYTQANARALAGDGTDKDSKQSITEKTIID H257_10266 MSATMMGSRRPVVTVKAIRNALTVFAIVYVVIRGLASSPSSSNS FVLGAINPPLLSPQDQAFVVQCRTFYEQSALRRSHCDDPPASLCQNVCSSLSSNLTYY STQLWPSSLLAACDATCATHQSQLCNGGITYMELCDATARSRLQAAVEDNVHVTIQDD RSGPSFFALLVLIFVAASDILVSVAEYKDKYLKAPPADEEAELYDASQLDSSAALLTQ ALRAAEQPEHLVTVAKTCFEVRCLLFDNSCDQALQIIVVFQLVSFLWNARGRTVQWLP SIIRMSTSPGQHGQTVSTTSSLPPETEGEFQQAPLPRTASSTSQEFSKAQSLAAFTQN DAAAAAKSTTRTGSREGGQQPATSVAVAATAPSVAMTTNQPPPSAFGKLTRLMGK H257_10267 MSPRKPCHFPHQAPFKNPVESKPFVVPAASAYMESPSSEFYRVL LHDEILSNKRPLKSPHKLKHKACKLCPITRSDPSPLAPLDPTPRDDDDDNMRRPICTR QPLLLPHLETRVLQRRRVILHVDGPAEIGLEEIVQYNEVDTLRSVNPLALFLPKKCST TDEVPLMPCFAKAHRSRLFDVERWKEPIRDRDKAWGRDELSVIQAVRPGRYPMTDGRY HSTTKWRASRKDNEQRLNRRGVIIDLSYVIVTAIGVNIFGRVYGAGLQSKRFIVLNVY DPALALNHTMQLSMDELENLFQDHMDLLVAGRKEDLVTGIVAMLYFTYPPDGATPSET PTLHINKHMKISASKLRRQQRERQRQLEAEQKRLDALKFLTMARRQRYRIVARSLKMG GLWFTVTVHQYPNQIRNFAIVAYHPMSGTQYALPVGLHHAGALARLLAPPHQWSKQDK VVVASTVIKHLRLVHNSLGETALAVDGRVGFFNKTLALECAETADSVAEREYRQEVAN AVTLVQTACYKQVRELQQVVTDIDGQFQVELKELEVARDVLRAKEIAVKDQLDDVESK GLADVVNASADKETKAQQKQELRDRRQRLKRERADTQTQIKATTQDITTVLAKQQEAS AHYQADIKRHMLECEQEVAYMSAAASQPFQFHDKTSGDRRKCKVGYTDGRRHKLEMGC THKLMAGAATMRDGQRVRYSVWTLPGNQVVFHLYNPTTSGTVHFTCSKLAWCIWSKQT KARDVDLWAIQTQIPAFVVYPQGGAAPNALETQLDQLTRQMEVQSAAYATMATSYSRE SAKCFEQLKALTLDKRVLHGRVRQLYHPVASALISRLSVTSGDQVDVNTLLLVMPQVD VVTSKGDSKSCACEIHLDGTQIVYEIDHGWKTQVHPYTDELMAEFATESAAEMKVHME LTLATMQLTYPEATTEAGSSGVVLEFLD H257_10267 MSPRKPCHFPHQAPFKNPVESKPFVVPAASAYMESPSSEFYRVL LHDEILSNKRPLKSPHKLKHKACKLCPITRSDPSPLAPLDPTPRDDDDDNMRRPICTR QPLLLPHLETRVLQRRRVILHVDGPAEIGLEEIVQYNEVDTLRSVNPLALFLPKKCST TDEVPLMPCFAKAHRSRLFDVERWKEPIRDRDKAWGRDELSVIQAVRPGRYPMTDGRY HSTTKWRASRKDNEQRLNRRGVIIDLSYVIVTAIGVNIFGRVYGAGLQSKRFIVLNVY DPALALNHTMQLSMDELENLFQDHMDLLVAGRKEDLVTGIVAMLYFTYPPDGATPSET PTLHINKHMKISASKLRRQQRERQRQLEAEQKRLDALKFLTMARRQRYRIVARSLKMG GLWFTVTVHQYPNQIRNFAIVAYHPMSGTQYALPVGLHHAGALARLLAPPHQWSKQDK VVVASTVIKHLRLVHNSLGETALAVDGRVGFFNKTLALECAETADSVAEREYRQEVAN AVTLVQTACYKQVRELQQVVTDIDGQFQVELKELEVARDVLRAKEIAVKDQLDDVESK GLADVVNASADKETKAQQKQELRDRRQRLKRERADTQTQIKATTQDITTVLAKQQEEV AYMSAAASQPFQFHDKTSGDRRKCKVGYTDGRRHKLEMGCTHKLMAGAATMRDGQRVR YSVWTLPGNQVVFHLYNPTTSGTVHFTCSKLAWCIWSKQTKARDVDLWAIQTQIPAFV VYPQGGAAPNALETQLDQLTRQMEVQSAAYATMATSYSRESAKCFEQLKALTLDKRVL HGRVRQLYHPVASALISRLSVTSGDQVDVNTLLLVMPQVDVVTSKGDSKSCACEIHLD GTQIVYEIDHGWKTQVHPYTDELMAEFATESAAEMKVHMELTLATMQLTYPEATTEAG SSGVVLEFLD H257_10267 MTDGRYHSTTKWRASRKDNEQRLNRRGVIIDLSYVIVTAIGVNI FGRVYGAGLQSKRFIVLNVYDPALALNHTMQLSMDELENLFQDHMDLLVAGRKEDLVT GIVAMLYFTYPPDGATPSETPTLHINKHMKISASKLRRQQRERQRQLEAEQKRLDALK FLTMARRQRYRIVARSLKMGGLWFTVTVHQYPNQIRNFAIVAYHPMSGTQYALPVGLH HAGALARLLAPPHQWSKQDKVVVASTVIKHLRLVHNSLGETALAVDGRVGFFNKTLAL ECAETADSVAEREYRQEVANAVTLVQTACYKQVRELQQVVTDIDGQFQVELKELEVAR DVLRAKEIAVKDQLDDVESKGLADVVNASADKETKAQQKQELRDRRQRLKRERADTQT QIKATTQDITTVLAKQQEASAHYQADIKRHMLECEQEVAYMSAAASQPFQFHDKTSGD RRKCKVGYTDGRRHKLEMGCTHKLMAGAATMRDGQRVRYSVWTLPGNQVVFHLYNPTT SGTVHFTCSKLAWCIWSKQTKARDVDLWAIQTQIPAFVVYPQGGAAPNALETQLDQLT RQMEVQSAAYATMATSYSRESAKCFEQLKALTLDKRVLHGRVRQLYHPVASALISRLS VTSGDQVDVNTLLLVMPQVDVVTSKGDSKSCACEIHLDGTQIVYEIDHGWKTQVHPYT DELMAEFATESAAEMKVHMELTLATMQLTYPEATTEAGSSGVVLEFLD H257_10267 MTDGRYHSTTKWRASRKDNEQRLNRRGVIIDLSYVIVTAIGVNI FGRVYGAGLQSKRFIVLNVYDPALALNHTMQLSMDELENLFQDHMDLLVAGRKEDLVT GIVAMLYFTYPPDGATPSETPTLHINKHMKISASKLRRQQRERQRQLEAEQKRLDALK FLTMARRQRYRIVARSLKMGGLWFTVTVHQYPNQIRNFAIVAYHPMSGTQYALPVGLH HAGALARLLAPPHQWSKQDKVVVASTVIKHLRLVHNSLGETALAVDGRVGFFNKTLAL ECAETADSVAEREYRQEVANAVTLVQTACYKQVRELQQVVTDIDGQFQVELKELEVAR DVLRAKEIAVKDQLDDVESKGLADVVNASADKETKAQQKQELRDRRQRLKRERADTQT QIKATTQDITTVLAKQQEEVAYMSAAASQPFQFHDKTSGDRRKCKVGYTDGRRHKLEM GCTHKLMAGAATMRDGQRVRYSVWTLPGNQVVFHLYNPTTSGTVHFTCSKLAWCIWSK QTKARDVDLWAIQTQIPAFVVYPQGGAAPNALETQLDQLTRQMEVQSAAYATMATSYS RESAKCFEQLKALTLDKRVLHGRVRQLYHPVASALISRLSVTSGDQVDVNTLLLVMPQ VDVVTSKGDSKSCACEIHLDGTQIVYEIDHGWKTQVHPYTDELMAEFATESAAEMKVH MELTLATMQLTYPEATTEAGSSGVVLEFLD H257_10268 MTGAAAVTITDELSSAITFVIYGVSSFCILTAYSTVVQNFHAAG CRHGQLHRRHSKEHAQGGAVLTTPATETALASQLLPAKSDDDGDDGDDQQEATAPVPY WDLMPKHSALQQHHMGASTTMPLYTCVCQLPVAIPVPTDGDAMRYPKAYSVSVSRGIG PTDCMTSTTRMGYDDTGNDEGSRCRSASCPAARNPHFRPINPLPCLTPSLSENKSSHH NAPLPAPAATTKSPPRPPPSVLLALTAQANTRERRHRSLFRANIHVGLAVASVSLEDV YSVRVELKCPDNAESHAVAAVLWGFTCTMAEMKTTRDLMQCEVDGAKVLGGLEIASSR DMQTFFDTVTNEPRWADAPSLRKLCQMW H257_10269 MLKQGSTVRDFASAFEHERQALVKDLESMRLQLLRKDDQCKKSA AVASSQLIALKKELGELHSQRVLVEKESDLYQQKCKDLTTLLETSYDQHEEDQTKIVK LSENLKRLKKKYDDGLNMARKEATISVMNTHEERKSLKVNAERLRCKLNTERKEWMDT KQSLLETVEEHRSRTDCLERELREAKLSEKSYRMCQDQLQAEIRSLKHDLSVAVTDHS VAKLAIEKYKNEICRVVEETRMQRDSDQTLLERLHMAQEDATMSWRSRTALFHENCTL KQNLYAAQQKYDGVVSKRKQDKAASSMLTEELSEVRRDTKRMSMAWEAQSVEQTQRMK RSHIEAIDLLKERHAAELLQLTVENERKLAKSDASHRVMLEELGAAHRDQVQAARDVV EKQMHMAVNQVQVLCESTQHKLLSVTKEKEGAEMQVRELQQELDAWRDKEKKLIQIME HAQRMNANVEERHLSLECKHLDAQKQLEELALSVQSLQATIANQRDLIDELERSRQVQ ADEYVRELGGVETEKLECRREIAHLQEQHSRDVLALEVMKRNQDHTIKQITQAQFSAN RLIEEQAKTIEDLLESRMNQPNEANNASCRRPQCHAVAEALHDMQSNSPSQHQLDDLQ TLLKQTNHQVSVLEREKQKLARVVEEQAVTIHELTMQDEHGVNGINDGSDASSNSGNS PETHKWDDLFEEVQMS H257_10270 MSSSSTYPTLKLSYFDVPARAHVIRLALAIGGIPFEDHIVSRDE WPSVKPSLPFEQMPVLTVNGEVFAQSHAIERYVGRLAGLYPLENALDALKVDELVDFI EDINQLFVPSRNEQDPAKKKAMREALGTHEVPKHFALLEARLRLSSSAAGPWYLDKLS LADVAVYAAVVMIKTGFTMHIPTTLCDEHPRMLAIYEAVGAHPNVVARYGH H257_10271 MATTTAPVYPTLKLTYFEMPGRAHVSRLALVIAGIPFEDERISQ EAWPALKPTLPYQQMPTLTVDGQVFAQAHAIERYVGSLSGMYPTSNRLHALLVDEIVD FLDDITKLLMPSFFEQDPDKKKVLREELAATSFPRMFGFLEARLVALPSKGPYVLDSI SIADLAIHGIMLMMTSGFLDHIPQDICDKYTRTMGVFKAVQAHPKVIEWFAKCD H257_10272 MNHHLKVTYFDIAGRAETTRLALTIAGVPFEDERVSRGQWQALK ASFPFRQLPVLTVDGQVLCQSHAIARYVGCLTGLYPTENRLLACRVDEITDYVEDIMH KVYPTVHESDPGRTKAMRMKLATVTFPEMFGLLEARLTSSGAAGPWFLSGITLADLDV YNLVRMMKSGVLDHIPVNICSDYPKMMTIFNAVASHPAVAAWNKAHTKVV H257_10273 MPTLKLTYFDLPSRSEITWLAFAIGGIAFDDCRIPCNQWPVLKP ATPYNQLSILTVDGHVFSQSHAIARYAGALSDLYPTSNPVAALLVD H257_10274 MTLPTVRLALTIGGVPFEDQRLQREQWAALKPSLPFRKLPALTV DGHVLCQSHAIARYTGSLAGLYSTENRLDACRVDEIPDFCEDFMQKVIPSFREADPAK KAMSVELASTTFPEMFALLEARVASSGSKGPWFLDAISIADLDVYCMVSMMKSGFMDD IPTTICDRYTKNITIHNAVAAHPKVAAWDEAHKK H257_10275 MSRLMAELEEQCRRQDVLVEQLHAPNPVVQRHHQGVDAQVHAPV RGVRREVNITNAQRLGGAQIQRAPLSACIDPLSVERIVYWGIGKASHEVTEED H257_10276 MSKLDSAMAKLKMDTTVQSTESRVSKLFSEFVAALVRHLMEGFA EAEPNLRAEPNLMVDYLVAAVQPLAARARVKELLKLNENRSLKKDVDDF H257_10277 MMAAGIAAAKPDKLLTATKAPKAGKAPKVVAVMNVDKVPKSNFT QESAPRDAGQSIKARPHVLVTKLKTPIKVKGFIGPAHTVTEEATMDQRFETDAGPLML TNLKCWVSTGDCPPTSATSF H257_10278 MPPLKVHLADEPRPIRCKARQYSLPQREFMQQHVKALEAAGFVY RNPASWWASAPLIVRKPQAKDEFRMTMDLRPINSQTEQIAWSMPMLEVDHLSGAFALD PSYQEMSVLLEDVSWSVVHDDDCLAKTNAVLGNLVELFHPDPQQRLFVFADASEGHWG SVITQVPPDQLDRAIDTQNTSPFASIAEAQVVTPEDVLSGMTKNQNAVHQATFDSLES FCQWTGMKGDVECFVRRCLHCASASGGAPRPLALHSTTPPN H257_10279 MGASTTDDKYLLVVKCEDGLVVPGSWGSSDVREGLPAAVIRRSA WAQFQEPSDRGAPHVLKAHHHFTTACCPWANGTVEVVMRQLLRLFRACLSEWRMAPDL WPEAHLVIMLVLNSLPSPSFGDTAPVTDMSGRPAISPADTTALLVQIMSATLADVGEG QRENIAATQLVLEEDRMHKHMSVENARKHDHSRQYHDEKQGVKMAQKYGALAPAFADQ GVHPTVVIEVTSNWIEVENLLTNDIRPVHASRLKFCAGCDLDVTSELLTHNLEGFEVE AMVDARYVATIKDHELFIKWCGPQDVDNSWEPADYIFADVPVMFKAFCKAAKSAVL H257_10280 MARWKLTTPFEPNYIVHLRFYHRVMPTESVGAHSGASCASHSPR KRNKHMTLDERRGVYEMLLGAAVNGDIPLGVLTKTAQRFGCHPRTISRLWRRAKLSVH GDGAGPPDTSIFGHCQWCPEDITFSAQEKYTKIQGQKLVCSTQCPSFDPC H257_10281 MDLDWISTASWHSLWHRWLHGSWMNLKTSVLLSTESLSSNPHHG TKGCIRLSPLIHVDHTPTSGASFIAHFPGHIQGTIKQGTLRIRKKASQGQARGRAAHE VIPIVEWSFWSTMI H257_10282 MSTSDHPESDGQTERANVASSKISFGATPFLPVHRGLLRYSMRF SLTTPRYKRSLAFPPIMQSISNTPTSLRCSTVRSQVGVESLRGSFLLVYTPTPLAALS KPSRASSPVESRSCNISMTASLPLSSAHGRSNLASFAVGEQVSSTSPVGMSSNVELGT HGPFTVHRVVSPTNYKLDLPNSWQIHPTFYLGKLKRYLPSLSTSTTDEVTANDPLDPA AALSLPPPLPSPPPLAPQALSSATSAPALDAVPPLPALPPDNPRLLATTIALPPAQPL SAPAHLRVPTSRNSSSAKPTRSTRLAGSTENPQDVNRAPCTCAAVAIAACPRGGDRSD TAIVGSRWTATGRQFAVRVGSTTTWHLDDYIKQHLPQVRAAYLRGRQSARQTERPNAH ASVAAARRN H257_10283 MVEKEASAPLSVSRTGTAITYFDARSTQTIMPVVPQASRGRPNM KSKLTDDQNSSGIGKGPRAFVAAKMAIGEGEAMYGRRSAAGFGGNGVGEHRQARRAAS VKGIVWFKQGYDVNPQALDTLKKRVQSAVVFHILVPDADSRIGRMLDGLAVAIRRDRQ ESVIREVSQTIVKIITDAVVPASLHRAITEQMALTRNTPLKKDVYRFVRWLRQYAIPH ERFVGYDEELEPPQKPDLLKPPGSKDLGVRRIPRGDAKPLAPAPNASAIGAPNNGCLK CESTSHRVRECPGVTP H257_10284 MPLNNHGPAAPDDASSASSIVNISANVTMASFLFSTSCSDYVRA ARQQPIFRGV H257_10285 MTFPALKVTYFDMAGRAETVRLALTIGGVPFEDQRLQREQWAAL KPSLPFRQLPALTVDGHVLCQSHAIARYAGSLAGLYPTENRLDACRVDEITDFCEDFM QKATPSFREADPAKKKAMRVELASTTFPEMFALLEARVASSGSKGPWFLDAISIADLD VYCMVSMMKSGFMDDIPTTICDHYTKIMTIHNAVAAHPKVAAWNEAHKK H257_10286 MSFVDGYRRYTAAPIRLALVLGDIAFEDERITSAQLSELKSTLP YRQLPVLTINGTAAYAQSHAIARFVALSGLNSTDDLQLALAVDEIDDFASEILELMLS THSEADKDKKKAVCEALVNSKLADMLGLLEARLVQLKQSNAEGGKGEAWVLNGRLSTA DVAVHGLVSMAKLGWLEFVPTTLCEGFPTLVSIHHAVDTHPKVVAWKQSRA H257_10287 MATPAPAAAPGNNAMTSPLCVVLRGCRNLLAVDGMQTNNNVHCE VYLIEKDGRLRAPKFKTKSIKATANPMYNLRCDFGSPSFDAVGGLVVSIRHTGGLGFK GCDLGEVVLTPADLLDMKLQTNVDGWFDVVATPDMATKGLANHPLGQVRLGLDDAAAE RPTATSIDDAPALAPLPPPATFTSVATMAKLSSRAFSTCDVHHDPRLELSMLRKFAKL YPQRGETWFVISSSWVKQWLSFVTDASNDALFPGELSNMALVDDALEAGLLQIRPDVA LISDFRLVDGETWALYKAWYGGGPTISVRIPEEIASVSGWMKTMVLKDEAQIEAT H257_10289 MFRSLVLKPAAAAARFVHTEAHIKELGYVLPAVATPKGNYRNSV RSGNLIFTAGHLPQPAGGELIKGKIGVDLTAEQGYEAAHHVALALVATLKQELGDLDR VKRIVKVVGFVNCVDGFTAQPSVINGTSDTLVKIFGDRGIHARSAVGTNALPLGIPVE VEIIVEIQD H257_10288 MADQHTRVYIADSKVSWIAAEILRSNGNTIDVQTFPDPSEENLD DHPQTPTQRTVAKDSVCLQNALPDEGCEDMVNLNYLHEAAILYNLKARFHGGLPYTYT GPICIAVNPYTWLDIYNPTVQDQYLDTSKLTELPPHVYAVSARAFHHMKTTGHNQSIL VSGESGAGKTETTKILMSHLATAGDRDAHNKELTLNIVERVLQSNPLMESFGNATTSR NDNSSRFGKFSELQFNADYELVGARCNTYLLEKSRVSTHGKGERNYHIFYQLLAAPQE FRDRVELPDADPTAFPFLRGVAGDDGNTLNESDADFFERTLKGLTTLGISESDQMSVF GVVAAILHLGRVDFKGGDQAAMVAEAETVAAISKLLGLDAQDFEQSLCTRQMSAGLDT YTVPHTMDQATNARTALGVALYSKLFEWLVTHVNASTASKMHVAQRICILDIFGFEIF DANSFEQLCINYANEKLQQKFTQDVFKSIQVEYEEEGIPWTKIEFADNMNVLELIEGR FGLLALLNEECMRPKGSDVAFTNKLSAHYNDNDRFELPRLKRNCFTILHYAGQVVYET SGFLVKNTDMLQRDTVLLLNRSTSPFVLSLFPQAEKPVTNRLKRSNSILGDSVGTQFK SQLNALMEDIRRTHVQYVRCIKPNATKSDTLFSKSSVTSQLQCAGVVEAVKISRSAFP NRLTQDHCLDRFQMLAPSHSVDACDVFLGQMLPPTDYQLGKTRVFFRAGALERLEELR TTKRNTCAILLQRMLRGWLAQSQFQSLRAATVRLQAYSRGFVVRQRYLAMRQASITLQ CAVRCYVATQIVHGKRQTKRATQIQSVVKMYRARTAYARIRQAVLKMQCLGRGFIAKK GYARLLVQAKEEAKLENQIQRLKDRLREEKARNEQLQRRSSMMLDAPGLDQELEGASG MIDQLRSEMALLKESNAALKAQNATLKKEKDHMERGAYVNGASFTAANHRAIKLQEEV EFLKLAHVRIKDTHKTLRLQSAQGVDTIRHLQHELHQVVCERNALQLGLVNLQNHVQT LDADNHELTTVNARLRVILRQDPVLNRKSRDEVAGLMKTVRHAQEARKPPSSSVPHSL ASSSSSSSAAALSMPQLLPEVASILLPKVPRTKNPAQRVIHVVAAPEGPVSGIRQPKG ADGALAFPGKVMGMEPNTDDDDVKFRITLLDEEKEVQPPLPTQPSAMKLPLPPPTNVA PFVPGSPWTKQQQPNNSPHIKQPSQRTNNHHPRKPTDQDRPRSHSNSSSKSTSSTSSQ VSQFRRHNSTNHNHSPPPPSQQKYGNNSNARMTPRQNYSNASTYNNSGGYNIQQRTNH QVPPASSVQYVGGGQQNGHNPYAAPFQATTGVLQQSRGERTGSPPPLPQQPPTPHVNV GRGGRHVEI H257_10288 MVNLNYLHEAAILYNLKARFHGGLPYTYTGPICIAVNPYTWLDI YNPTVQDQYLDTSKLTELPPHVYAVSARAFHHMKTTGHNQSILVSGESGAGKTETTKI LMSHLATAGDRDAHNKELTLNIVERVLQSNPLMESFGNATTSRNDNSSRFGKFSELQF NADYELVGARCNTYLLEKSRVSTHGKGERNYHIFYQLLAAPQEFRDRVELPDADPTAF PFLRGVAGDDGNTLNESDADFFERTLKGLTTLGISESDQMSVFGVVAAILHLGRVDFK GGDQAAMVAEAETVAAISKLLGLDAQDFEQSLCTRQMSAGLDTYTVPHTMDQATNART ALGVALYSKLFEWLVTHVNASTASKMHVAQRICILDIFGFEIFDANSFEQLCINYANE KLQQKFTQDVFKSIQVEYEEEGIPWTKIEFADNMNVLELIEGRFGLLALLNEECMRPK GSDVAFTNKLSAHYNDNDRFELPRLKRNCFTILHYAGQVVYETSGFLVKNTDMLQRDT VLLLNRSTSPFVLSLFPQAEKPVTNRLKRSNSILGDSVGTQFKSQLNALMEDIRRTHV QYVRCIKPNATKSDTLFSKSSVTSQLQCAGVVEAVKISRSAFPNRLTQDHCLDRFQML APSHSVDACDVFLGQMLPPTDYQLGKTRVFFRAGALERLEELRTTKRNTCAILLQRML RGWLAQSQFQSLRAATVRLQAYSRGFVVRQRYLAMRQASITLQCAVRCYVATQIVHGK RQTKRATQIQSVVKMYRARTAYARIRQAVLKMQCLGRGFIAKKGYARLLVQAKEEAKL ENQIQRLKDRLREEKARNEQLQRRSSMMLDAPGLDQELEGASGMIDQLRSEMALLKES NAALKAQNATLKKEKDHMERGAYVNGASFTAANHRAIKLQEEVEFLKLAHVRIKDTHK TLRLQSAQGVDTIRHLQHELHQVVCERNALQLGLVNLQNHVQTLDADNHELTTVNARL RVILRQDPVLNRKSRDEVAGLMKTVRHAQEARKPPSSSVPHSLASSSSSSSAAALSMP QLLPEVASILLPKVPRTKNPAQRVIHVVAAPEGPVSGIRQPKGADGALAFPGKVMGME PNTDDDDVKFRITLLDEEKEVQPPLPTQPSAMKLPLPPPTNVAPFVPGSPWTKQQQPN NSPHIKQPSQRTNNHHPRKPTDQDRPRSHSNSSSKSTSSTSSQVSQFRRHNSTNHNHS PPPPSQQKYGNNSNARMTPRQNYSNASTYNNSGGYNIQQRTNHQVPPASSVQYVGGGQ QNGHNPYAAPFQATTGVLQQSRGERTGSPPPLPQQPPTPHVNVGRGGRHVEI H257_10290 MARTLRLVQVLHRHGDRSPLHNVFRGGTSTQFQAEDALWTTKLQ EPVTTRGIYGELTTVGVAQMQARGLKLRDRYLALGWTLDDKVDIHVRSTHYVRTQRSV QALLSRFVPHVHDQLPLEILPPSVDYINAYNANAASIMPLKTHLVEAHAALAAREAHM APVQTELRRLLPMFASSAESFSWMKAADYFVCRQAHNIPLLPHTEELADATTQHLAYR FHEFYTYAPILTRVSGPLVVQLVTEMQRVMPSRDVPNATDLSVERVVVYSGHDVSLLA LLNALHSSTQPTTKTVVDWPDYGAAVTIELYDEQGNSDQWMVQVTLDGSPVAPPVDAQ RFCHDLLQTVLLPNDLDENDVVVPK H257_10291 MQIAFAVAGLVASAAYTTSHVHPRHAAVASTFCTNVSVVDDATG DVAVVHCLHILLSFVRATSKCVEWDMRKDPDAVSEDEYIVWFKQGYDVNPQALDTLKK RVQSAVVFHILVPDADSRIGRMLDGLAVAIRRDRQESVIREVSQTIVKIITDAVVPAS LHRAITEQMALTRNTPLKKDVYRFVRWLRQYAIPHERFVGYDEELEPPQKPDLLKPPG SKDLGVRRIPRGDAKPLAPAPNASAIEAKQLLRAHRSTFKRGRSSEKGASGAPGGRVA TVKTDVPDGKRLELPAIVDGVLPVQASLLDSGADLSVTTGGLVSALLTAGASPEITVM VRWNSDPPVELTLGYNQQTLLENARRQEAVWDFEVSDGMDDDEGMACATPELGNTPDH DGPVRIVLESKVAEAATAGMPTAAVEQLQDLLMEFREVFRLKFGRDPPVKVESLKVRL EEGAMPVKSGLRRYPLPHMAFLEKHVRELDKAVLVYRNTRSRWVSAPRIVPKKIRETS H257_10292 MLKPYRRTTLLPKRKQQQYCIGTIQTLLRKHFDLGPCCSDRQFA RQEHIPIGTLRGWLKRKDEYLEAVKRGSNTTLDAHGQLETFSFGADLVQFMDSVRDIE KFLTTAHVVTWLKTHQKPWLDAFLEGKPDQDRAYKGLLGWCQAFAHRHGFSQRVPCAS KKTQAELQATRAEYAKVFWPKHAAYDPANIINIDETGVHYDMPPRRTWARIGKSSKVD KQQKHSNRVTAVLAVRADERKLPPMVIVHGQPDGGPVDQKELPTYPRGAVYAVQENAW MDERVWEIYLRELLQFEIEAPSVVVVDNLAAHVTPGAIQIVQEELFSVVEELPANSTC TCQPLDVGVMGQFKVKCRTEWIHETKVTSAAEKTLVMVQRILKVWEAIPSAMITRSFD KAIPREEL H257_10293 MRLLLRSPTETDNMWACLAHEMFDAALEPCNRPGRFDFLHFRPN PSSSAARIAKVSPLWNDYLQLFHRVVAVATHLQADVWNRAQYRVLPVGYCFYHMQLVP PMLVVLWTITAGVMRPLWIYLNKVKYEGTAHTSVPAMLELVFL H257_10294 MKLNADELPHVLPLVQGALNQQPTDRLGRLAHVTALTSLPAKTP LEVYVADWLGDTGQKHVTDFMRRLKKCTATLRWEAAPSDRKSQVKFAGFSVSYFVLLG TVVDRPTKLALH H257_10295 MEIQQLVPPYTVTVHHACRLKLYDKCDREVTEDLDAQIALDDCG FHVERLDEALCVDSKHQFGEVAGWRPTKKSLLWLPSSRQWNFDRRGNVLRCAEQLGRA VESSVHCRGVGASSRCWWPVHATCQKILSGVRGCVFGVVPPSPTTTASIPSTTTTTTA LPKTTTSHAFTTTPTTKPTNSSNATASSTTIVTPSSPPVPLSCAKWSQCNGQNGSFGV GCNDPTFQYNRKNEYLSLCEPKQPKTNHAHTTTGSVAVWQQCGGKVYNGDSLCIVGNV CERISAWYSQCQLDGTADGLPTYVQCGGKTFQAKTKSTVCRKEGVCVKYNDHYSQCVA WHHQCPPDGKEEEEGEEEGGDKGKYANKPPLEAGPYEKNRGTQRSQGRCAGKTNGDCC DWDDQCEFLGGDFYCMEVGLCETDYDTAFDCGIDTNCNPLGGKSKRYAQCVPEAIGDQ YSEPPMHEDESK H257_10296 MKLYAAFVVASAAATNQATNQYVTTLSADELSQLSAELAQWRQD FGAAATAQGLLPPAVESQSPLEAETDALQRFFDNKVAIEIARRTNPNATFDNDHPFAL MTDAEFKNHVGLSFEEGYSAFRSLRTADLDVFAPRATSMDWTTSKCVNPVRNQGKCAG CWLFSAVGTAESAHCLATGELIDLSEQQVTSCSTNGGGQGCQGGWPHAALDYLSSGGG CLEQDYPYTSGSSGQSGTCQNSCSKKKLSFGATVRVSGEAALVTALNTQPVSVLVEGG NSVWRNYRGGVITQCPGARSDHAVVAVGYDSSSYKIRNSWGASWGEAGYVRLQRGGGG KGTCNVVEGVSFPTIIAPKPTVSPPTKTTTKPTKPTVSPSSKTTNMPTPQAGCATCSR CYYPAANSCLDSVFTKGYCEYFNHGFGTVWCGS H257_10297 MSQVYREYTHQVEDTNDSPTPVMDRFYLQGDNTSLTTMKNLTLS EFESIWAVVDKHVLDFEMKAPMFEKMTHRVIGLVEPVVFPRTVPADLMKRSTSSMDSS SNALLHTTVSQSTCLNIALVMLKKSDSDLDMPDNDMGVDRWPTRWVFLSTWDIKVSSM SSARSNQSAGLKVAV H257_10298 MSLPSTLPAGALPYAAYLPEIAAVQRLGQHLGNQVQAAVHEQSA RLDDVQNAQQMEMNNRLMAELEDKKSSSSSCTHRRSRLSLTSKVCTKLPPSPTTAPAV AGVQVICGFQEVPKAPTFNGSTKIQRAPLSACIDPFSFERIAYWEIWKVSHELTFDDW KELSFTVSDLRALEHIVLGAQALQTRTFSMSTFTTATTSGAFPDLGDQLVGLGGGGNR RGHQRLELAVATHVVRQPALEIAHVRSTVSFGSASANPSIERRMRTASKSETNFDTRD SADCTVVSILSLAIAAIAVPGTPIKVKGFVGPAHTVTEEATMDLRFKTDAGPLMLTNV KCWVSTGDLPANAESFTDAIDMADAVSHSGVVQAVLAVSHKLVDDLAEEEEEVLPLEM VSCFPDMVAGETAAETTKVKEGLDAKLYVDVFRLSLGGDPPVKMPLLKVHLANGARPI RCKARRYSLPQREFMQQHVKELEAAGFVYRNPASRWASVPLIVRKPHTKDEFRMTVDL DQQPD H257_10299 MLMSTRVAAAGKSGSEPSPVKKTANTFYLLLLAHRRFQHSSKYL SEVEQDETGKIVFLIELVQLFDKATDQCNADRAAIHATVTEKEAAALFI H257_10300 MSSRDHHRRRSRSRSPRRSSSHRRDSKEDKYGSSRASSSRDTPR RESSREYSDHHRSSRRTSPTSSRRESSSRSTPSTLSNVSTPSTWIEKPSPAPSSTRSG LGLNESDWEEPERLHSSGQPSKSDWDAPTPSPMRSTSSTPSRNTPSSTTVRATDLMAK ETRHHLGRGDLAKKSYDDDDEERFDRQFYMDDELPHDAFVGNEAKFQQMEDDMVRKRQ RGELKTRGKSARASALNQDQDAWETNRLMTSGVMATTEVDTEFDDELDARVQIMVHNT KPPFLDGRVSFTTQVEMVATVKDATSDLAVCARKGSELLREVREQRERSKMRKRFWEL GGSRMGDVMGIAAPDTNVDEDGNALPPAADSTNEEDVDYKNESQFSTHMKKKIEAVSE FAKSHTIAEQRRYLPIFQCRSELMQVIRDNQIVVIVGETGSGKTTQLTQYLHEDGYSQ YGTIGCTQPRRVAAMSVAQRVSEEMAVNLGDECGYAIRFEDLTCDKTIIKYMTEGVLL RESLREADLDTYSAVIMDEAHERALNTDVLFGILRKVVQRRRDFKLIVTSATLDAEKF ASFFGGVPLFTIPGRTFKVDTMYAKSPAEDYVDAAVKQVMTIHLSHPKGDILVFMTGQ EDIEATCYVLAERMGRVDGAPPLMVLPMYSQLPADLQAKIFDASDIRKCIVSTNIAET SLTVDGIRYVIDAGFCKIKVYNPKIGMDALQITPVSQQNANQRAGRAGRTGPGVCYRL YTERQFCNEMMDAQVPEIQRTNLGYIVLLLKSLGVKNLLEFDFMDPPPQDNITNSMYQ LWVLGALDNTGELTDMGKRMITFPLDPPLAKMLLFSESLKCTAEVLIVVSMLSVPSVF FRPKDREEESDAAREKFFVPESDHLTLLNVYKMWEANNYSQAWANDHYIHSKGMRKAR EVREQLVDIMKQQKMPLTTCGTHWDVVRKAICSAYFYNSAQIKGIGEYVNMLTGMPCN LHPSSSLFGLGYTPDFVVYHELIYTTKEYMQCATSVEGEWLAELGPMFFSVKESYKSR LLKRAKERHERADMEHEMAMQSEKDQFAIEQEQRQAKKAKLALKNSMVTPGRSNKHAK TPLRTPLRYGF H257_10300 MSSRDHHRRRSRSRSPRRSSSHRRDSKEDKYGSSRASSSRDTPR RESSREYSDHHRSSRRTSPTSSSRRESSSRSTPSTLSNVSTPSTWIEKPSPAPSSTRS GLGLNESDWEEPERLHSSGQPSKSDWDAPTPSPMRSTSSTPSRNTPSSTTVRATDLMA KETRHHLGRGDLAKKSYDDDDEERFDRQFYMDDELPHDAFVGNEAKFQQMEDDMVRKR QRGELKTRGKSARASALNQDQDAWETNRLMTSGVMATTEVDTEFDDELDARVQIMVHN TKPPFLDGRVSFTTQVEMVATVKDATSDLAVCARKGSELLREVREQRERSKMRKRFWE LGGSRMGDVMGIAAPDTNVDEDGNALPPAADSTNEEDVDYKNESQFSTHMKKKIEAVS EFAKSHTIAEQRRYLPIFQCRSELMQVIRDNQIVVIVGETGSGKTTQLTQYLHEDGYS QYGTIGCTQPRRVAAMSVAQRVSEEMAVNLGDECGYAIRFEDLTCDKTIIKYMTEGVL LRESLREADLDTYSAVIMDEAHERALNTDVLFGILRKVVQRRRDFKLIVTSATLDAEK FASFFGGVPLFTIPGRTFKVDTMYAKSPAEDYVDAAVKQVMTIHLSHPKGDILVFMTG QEDIEATCYVLAERMGRVDGAPPLMVLPMYSQLPADLQAKIFDASDIRKCIVSTNIAE TSLTVDGIRYVIDAGFCKIKVYNPKIGMDALQITPVSQQNANQRAGRAGRTGPGVCYR LYTERQFCNEMMDAQVPEIQRTNLGYIVLLLKSLGVKNLLEFDFMDPPPQDNITNSMY QLWVLGALDNTGELTDMGKRMITFPLDPPLAKMLLFSESLKCTAEVLIVVSMLSVPSV FFRPKDREEESDAAREKFFVPESDHLTLLNVYKMWEANNYSQAWANDHYIHSKGMRKA REVREQLVDIMKQQKMPLTTCGTHWDVVRKAICSAYFYNSAQIKGIGEYVNMLTGMPC NLHPSSSLFGLGYTPDFVVYHELIYTTKEYMQCATSVEGEWLAELGPMFFSVKESYKS RLLKRAKERHERADMEHEMAMQSEKDQFAIEQEQRQAKKAKLALKNSMVTPGRSNKHA KTPLRTPLRYGF H257_10300 MCACSSSRRESSSRSTPSTLSNVSTPSTWIEKPSPAPSSTRSGL GLNESDWEEPERLHSSGQPSKSDWDAPTPSPMRSTSSTPSRNTPSSTTVRATDLMAKE TRHHLGRGDLAKKSYDDDDEERFDRQFYMDDELPHDAFVGNEAKFQQMEDDMVRKRQR GELKTRGKSARASALNQDQDAWETNRLMTSGVMATTEVDTEFDDELDARVQIMVHNTK PPFLDGRVSFTTQVEMVATVKDATSDLAVCARKGSELLREVREQRERSKMRKRFWELG GSRMGDVMGIAAPDTNVDEDGNALPPAADSTNEEDVDYKNESQFSTHMKKKIEAVSEF AKSHTIAEQRRYLPIFQCRSELMQVIRDNQIVVIVGETGSGKTTQLTQYLHEDGYSQY GTIGCTQPRRVAAMSVAQRVSEEMAVNLGDECGYAIRFEDLTCDKTIIKYMTEGVLLR ESLREADLDTYSAVIMDEAHERALNTDVLFGILRKVVQRRRDFKLIVTSATLDAEKFA SFFGGVPLFTIPGRTFKVDTMYAKSPAEDYVDAAVKQVMTIHLSHPKGDILVFMTGQE DIEATCYVLAERMGRVDGAPPLMVLPMYSQLPADLQAKIFDASDIRKCIVSTNIAETS LTVDGIRYVIDAGFCKIKVYNPKIGMDALQITPVSQQNANQRAGRAGRTGPGVCYRLY TERQFCNEMMDAQVPEIQRTNLGYIVLLLKSLGVKNLLEFDFMDPPPQDNITNSMYQL WVLGALDNTGELTDMGKRMITFPLDPPLAKMLLFSESLKCTAEVLIVVSMLSVPSVFF RPKDREEESDAAREKFFVPESDHLTLLNVYKMWEANNYSQAWANDHYIHSKGMRKARE VREQLVDIMKQQKMPLTTCGTHWDVVRKAICSAYFYNSAQIKGIGEYVNMLTGMPCNL HPSSSLFGLGYTPDFVVYHELIYTTKEYMQCATSVEGEWLAELGPMFFSVKESYKSRL LKRAKERHERADMEHEMAMQSEKDQFAIEQEQRQAKKAKLALKNSMVTPGRSNKHAKT PLRTPLRYGF H257_10300 MSSRDHHRRRSRSRSPRRSSSHRRDSKEDKYGSSRASSSRDTPR RESSREYSDHHRSSRRTSPTSSSRRESSSRSTPSTLSNVSTPSTWIEKPSPAPSSTRS GLGLNESDWEEPERLHSSGQPSKSDWDAPTPSPMRSTSSTPSRNTPSSTTVRATDLMA KETRHHLGRGDLAKKSYDDDDEERFDRQFYMDDELPHDAFVGNEAKFQQMEDDMVRKR QRGELKTRGKSARASALNQDQDAWETNRLMTSGVMATTEVDTEFDDELDARVQIMVHN TKPPFLDGRVSFTTQVEMVATVKDATSDLAVCARKGSELLREVREQRERSKMRKRFWE LGGSRMGDVMGIAAPDTNVDEDGNALPPAADSTNEEDVDYKNESQFSTHMKKKIEAVS EFAKSHTIAEQRRYLPIFQCRSELMQVIRDNQIVVIVGETGSGKTTQLTQYLHEDGYS QYGTIGCTQPRRVAAMSVAQRVSEEMAVNLGDECGYAIRFEDLTCDKTIIKYMTEGVL LRESLREADLDTYSAVIMDEAHERALNTDVLFGILRKVVQRRRDFKLIVTSATLDAEK FASFFGGVPLFTIPGRTFKVDTMYAKSPAEDYVDAAVKQVMTIHLSHPKGDILVFMTG QEDIEATCYVLAERMGRVDGAPPLMVLPMYSQLPADLQAKIFDASDIRKCIVSTNIAE TSLTVDGIRYVIDAGFCKIKVYNPKIGMDALQITPVSQQNANQRAGRAGRTGPGVCYR LYTERQFCNEMMDAQVPEIQRTNLGYIVLLLKSLGVKNLLEFDFMDPPPQDNITNSMY QLWVLGALDNTGELTDMGKRMITFPLDPPLAKMLLFSESLKCTAEVLIVVSMLSVPSV FFRPKDREEESDAAREKFFVPESDHLTLLNVYKMWEVLFNGIDRIFIYGEAGSIHLF H257_10300 MCACSSSRRESSSRSTPSTLSNVSTPSTWIEKPSPAPSSTRSGL GLNESDWEEPERLHSSGQPSKSDWDAPTPSPMRSTSSTPSRNTPSSTTVRATDLMAKE TRHHLGRGDLAKKSYDDDDEERFDRQFYMDDELPHDAFVGNEAKFQQMEDDMVRKRQR GELKTRGKSARASALNQDQDAWETNRLMTSGVMATTEVDTEFDDELDARVQIMVHNTK PPFLDGRVSFTTQVEMVATVKDATSDLAVCARKGSELLREVREQRERSKMRKRFWELG GSRMGDVMGIAAPDTNVDEDGNALPPAADSTNEEDVDYKNESQFSTHMKKKIEAVSEF AKSHTIAEQRRYLPIFQCRSELMQVIRDNQIVVIVGETGSGKTTQLTQYLHEDGYSQY GTIGCTQPRRVAAMSVAQRVSEEMAVNLGDECGYAIRFEDLTCDKTIIKYMTEGVLLR ESLREADLDTYSAVIMDEAHERALNTDVLFGILRKVVQRRRDFKLIVTSATLDAEKFA SFFGGVPLFTIPGRTFKVDTMYAKSPAEDYVDAAVKQVMTIHLSHPKGDILVFMTGQE DIEATCYVLAERMGRVDGAPPLMVLPMYSQLPADLQAKIFDASDIRKCIVSTNIAETS LTVDGIRYVIDAGFCKIKVYNPKIGMDALQITPVSQQNANQRAGRAGRTGPGVCYRLY TERQFCNEMMDAQVPEIQRTNLGYIVLLLKSLGVKNLLEFDFMDPPPQDNITNSMYQL WVLGALDNTGELTDMGKRMITFPLDPPLAKMLLFSESLKCTAEVLIVVSMLSVPSVFF RPKDREEESDAAREKFFVPESDHLTLLNVYKMWEVLFNGIDRIFIYGEAGSIHLF H257_10301 MQIALIVSSIVVSTAASDCVAEYGLCDTGAPCCNATSTCHVFKD NVAQCLPSSSTHAVHVRDAASGQNNGACATTWSQCNGQNWPVNSVCCANAGDECIKHN NYYSQCIPKAAKTSVTTTSPTASLSSSNVQCSENWSQCNGQNWSTGVCCKDPSFQCHR KSEYVSVCEPKDPKKAAEASCTNVSVVGDATYCVQGAICGDQGDVCPKKGEYAVDRCI QTLASYVGATKCVAPVDATCQKLPSGARGCVFGAVPAATTTTTTDIPATTTGSPATTG TAIPATAAVNNGGKCSTNWSQCNGQNWPYSVCCENADFQCNRKNEYLSLCEPKQPKKD ASASCTNVSVVGDATYCVQGNICGDQGDVCPKKDDLAVGDCIQTLKSFVGATSKCVAP ADAVCQKLPSGARGCVFSSGAPATTTGTPANTTSAPATTSVNTNTGKCSTKWSQCNGQ NWPYGVCCDDAAFSCNYKNQYLSLCEPNDPKKAAGAASGVVAVWQQCGGKTYQGPTQC SAGNTCEIINDWYAQCKPSPTKEGVLATWARCGGIGYTGLTKCRDENKCLKYNDYYSQ CVPL H257_10302 MRVRTTCLAVVSLLLAATVSSVAKKGTFPALDKKNPVCTFAVDK IRIKGDREIGAAKFYKKSKACKGPQGYAIQDCQLKKCQCRKFHTHVDVSTNVVTTWAE CLPKIKDATECVGADYRYCQNLLRGKDPLSKSAAGSTKYGRNASDAASSSSSSTLNSK ASSAESKSLTSGSTASTINSTDKKANDAASFPVAAVVLVSVGVIGAVLGGTWIVVSKK KAPKSTTTMGVHGGGGGGNNKAANDLEFDDFEDEDHKDLYTDAQSTSAAVHTVAPPAR SHSTGSISSVSSSDESDDDDDATEDAAHLDSWTSYSKK H257_10303 MVLGKLFSGLFKKKESLSEPAAPIPAPRVIAVATPASSLPPRPS ASNNAVANAGVFDDADLSPQQQGLGFSGEYEFIKELGKGATAVVKLCRRLHSQDSDNQ TDDEYENLVALKEFKTSLLKKIKEFKREGRRMIVSTALDKVQVEIAIMKKLSHPNLVS LDAVLDDGSELLVLVLEYAPFGQVMVWDGDEQTYRPMLDPKILRHFPAGPAHPVQYAP NGFPEHLARMFFRELVDGLEYLHTNSICHRDLKPENILVGKHGVVKIADFGVAHFFDE SAAAMPSPPAANNNSPPSKGFVTNSAGTYAYMAPESLVSAPYSAFVADIWALGVTLYA LLFGKLPYFAPDVTDLFDMIQSPQPVDVPESASVELRELLRGLLEKDPTKRMTFAEIR HHPWVVTGLAIDAVAAFQTRCHDAVEITEHDVEHALTKISSVSTITTMKVRGKKWAMK ARQKTLDRNASSVSAKSEDDDVSPTPHDNIQAA H257_10304 MSGQVARLIANIVVAGAGVVSKAFVQAYQQAVVNAKHGKTVQTA AVTLKNKMQKDQALDVLNLQGTPTIAEIEKQFERHFKANEPSKDGGGSYYLQSKIYRA KEALLKDHPETTPPAPGKASEEKQQ H257_10305 METEAFSMFLMNTTSLGDDDVGPFHGHALTSFDDLLLPVGFDVP TSILDFLGEDPIPLLNLSHVLLASSRPARARKLCAEQGCVKFARCHGKCTQHGGRRYC ETPECHRVAQFAGKCTTHGGIKPCDVPGCLKSMQSRGKCKTHGGGVRCQARDCTKGSI SNGFCRGHGGGLRCVVTGCTKWAQRERMCVRHHHDCSAADNTTAGGTATPLNATEWLS FLATAQPTEEPSPVTSLAASSPCRSGESARPVAVAEFVAKRLPLRKRRFRSAFADDMD GIAMCPDEVHQVGPARKIAREPPPRL H257_10306 MGESKEKVTCMHCTRDTTRMIRITCAECPSSGADRGPVVLCIEC FSTGVEDVPGAPGHRKNHAYMVSDCLSFSILDSEWSADEELLLLDGIEMFGMGNWKDI AEHVGSKTDKKCETHYMVNYLKLPAMPESPEKPSSGRAAATPAATPTADDDKEKMGSA LAGYMPLRGDFDVEHDNDAEVILADMEFADDDHPTERELKLKVIAIYNAKLDERERRK KFVVEHQLLDYKKYQQNERRRPKDERELVMQLRPFARFHSKDEHDALVEGLVAAMRLR KQIALLQEYRRHGVRTLAEAELYEQDKKKREVEQALQKSRESVSYLYESKGNSAKRHS RWLSRGQDESTSKTDGATLQSDKAGLALDGAPGAHLLTPTEKELCLKLQLLPKQYLVI KEALVRESFRLGHLNPTAAQKIVKIDATKTGVIYDFFVHAGWVKKDAAATLAAVGPPL STAAVDPPVAKKPKITEV H257_10307 MASLIVRRWTGRRALSEWTHTQLFLHISPCGDWWTGSNMYAAKH LSSDYVKSIALPDGFDEVTLETLPNASLQRMYDTGVLDATLVRSRLKPLGDGANERHE S H257_10308 MEVGSRVLVVGGKNGTVRFLGTTDFAQGEWVGVELDVPEGKNDG EINGVRYFTCEPLYGLFAKKSQVRLARVSLGYGAANPVAAPSSSTSRLQQMREKRTSS TSNLLPPSSAVPVGGKKTIPKVALSPKLVSTSTPHKAAASRSTSVSSPKPSSASTSPA VATGTNEMDAISMELQDATAKLEALQAELVAKNIRITELEAKVTDVTSALAAAAPSPA PPSINDDNSTVPPSVPVHEADLPSSPRINYEEKLRSLRDEGIALAAKMRRDMDISMTK MEKEWEDKEAHYVAAVESAEARLAALDTEVLGLRTRNAALVAAELARADDVVQWTKKL GSARRKVETQAATIADLQDMVELLTLDKETLAMDKEIADERIDELEAQIATAALMVHQ GSSALYHPSTDNSSSSAATAIHDENAKLRQALLGMHERHALETATLTKQVKEAAKVAL ELEQHRDEIERVTAKLNQSNAAMEELKEMLDAAGAYESMVETLTETNLMLGDKVADLT MSVASLESLKDMSDEMEHQHDMYAKALQDELGAANQKIAELMAAAAAAATAIQDKDRT ISRFRDVVGRHRDEVAALREKLRVEAGELESMKDTTQSVMCQSLQLRQALLAAQASNL AARRQKLSTTEMAVEYKWWQSIVPATAVLQESDHRQLLVRKMALRVTAKSRWLLQVLV PAVLEPPTRPTSSFSPTTSITDDDNEPSSTSTPVDDLHHQAAVALALWQFRQGVCHVM TQLHGTTVDTKWQELLALLDNSWTQVDSLLDAALDEWSAGGGAGTDLQPGVGARLVHA VKDWLRLIGPKLEPLPTPTIGSVKLVVLSQAFQLALQSKSLGDAELALATWQLYARVA AELVDDESTTDSNDDVPAAGTHAQILDQLNVLVAYSKSVTSIAPFTERVKAWTKLVAK GALVDAVTPESAEDKQVVVPLHELRAELIRTDLAHAASLLTAVEETTELCHTLQARLK EAEKSDGHARMLIAKHETEIARLEADRVAQVGMVGKLSEQLETERRQCDALLTEQHKD RAALEATNRTLRKSLRRASELHVKAADGASNGGQQSFMSAGGAAKALLVLQEQLLAVR TELALARLPLSPSPKPPRSTSSSGLASVSRDVAALTSKILTRSAMPELVDLTKTHVQN DVHVFQLLQECDVVKATVSQALDGARAAAVAAGEVWFTGRSCAPLELGRTCPPKKPVG RLIFPGPSSNDDDGTKKKKRVLSVVLNSTDMHVLSKAISLA H257_10309 MSSSAPAPENAERAAVLQAYRSKVLEHREVEGRVKSMRENVKTL VKEYHKTEDDLKALQSVGMIIGEVLRQLDEDRFIVKASSGPRYVVGCRTKVEKDKLKS GTRVALDMTTLTIMRYLPREVDPTVYHMLNEDAGNVSFSSIGGLNEQIRELREVIELP LTNPELFLRVGIKPPKGVLLYGPPGTGKTLLARALACNINATFLKVVASAIVDKYIGE SARVIREMFGYARDHQPCVIFMDEIDAIGGSRFSEGTSADREIQRTLMELLNQLDGFD SLGQVKMVMATNRPDILDPALLRPGRLDRKIEIPLPNEASRMDILKIHSGPITKKGDI DYESIVKLTDGFNGADIRNVCTEAGVFAIRADRDFVFEEDFMKAARKLAETKKLESKM DYSKV H257_10310 MQIKRHDDDNSEEVVAVPCVKRKCDQQQQPARRSKVRRKVLPFT SPRTKLACPRSMVGVRRSDGVVNNVAPTHSVMKDDTKKVILIWDLDETLLLFSSLCTG QFAHLTGKSSAASVALGESMMCFVFTILEKYLFFDDVEKDTVGHVSHMAHYDDGRSLQ QYDFAGDAIAHEPDGSLSKLRKQAYRYRRIRQIYERTEQVPFLTDGTTEASFCVELRD SIDAFGDGWGHAAQRALQAATTAGCINILVTNSQLVPALCKCLIYDLDPWFSPDAIYS SSHIRKKQCFDTIRNTYGHNHTYIGLGDGPEEQRASVDCGMSFVQIKRRDDLVRVAER LEQATATGLSLHTLVL H257_10311 MKASLVLSTVLATTASPARQSFKSLSASDRTSLEQQLDKWKASY GPIAEANGFFPQKDTKSARVNGHTIDELERFHHTVQEVENATAANPGAKFSPFNQFAL LTDEEFKGMLMKSFPEQNFTSGSPLPELANTRASEVDWSTSKCNSPIANQGQCGSCWA FAAIGAVETAHCIATGELLDLSEQQVVSCSNRNNGCNGGNPSIALDWMQQGVCTEDSY PYTSGKGGQTGTCGNSCTKKKLSIGKIKKTSGEKSLMTVLESQPATVFVESNNAVWRN YKGGIVSQCPGAQVDHLVIAVGYGTSTGDYFKIKNSWGTEWGENGYMYLKRGMNNQGD QLMAQTNKIRAAHGISPVTWDDKLEAEMQKWARSCPGFKHGGPKGSQNLATNIKCSGA ACMKVLGASWMWYDEEEKSWNYESNKCNGNWAKCGHFTNMMSPEAKSMACGWSECGRD NNVWCNYNNPGMNPKVGKITGMTKDELKASLTQYR H257_10312 MAQTNKIRAAHGISPLTWDDKLEPEMQKWAKSCPGFKHGGPKGS QNLATNKACSGAACMKVVGAAWMWYDEEEKSWNYESNKCNGNWAKCGHFTNMMSPEAK SMACGWSECGRDNNVWCNYNNPGMNPKVGKITGMTKDELKASLTQ H257_10313 MKASLALSTALATTASAARQSFKSLSASDRTLLDEQLDKWKASY GPIAEANGFFPQTDTASARVNGHTIDELERFHHTVQDVELASKANPGAEFSPFNQFAL LTDEEFKGMLVKSFAGQNFTKAAPLPELKNERASKKDWSTSKCNSPITNQGQCGSCWA FATIGTAEYAHCIATGELLDLSEQQLVSCFTNGVNRGCDGGSTEEGLDWVQQGVCTEQ SYPYTSGKGQTGACQASCTKKKLSIGQTKTTSGESSLLTVLESQPAAVFVESANAVWR NYKGGIVSQCPGAESNHAVVVVGYSDNYFKIKNSWGSQWGDKGYIYLKRGLSGKGVCN MAETISYPELIGSSLTPSSNPTPSSSQQPTQSTRKPPSSASKPSTGAPTNPSSRKSTQ STHRQFATSFALSRPQLAPL H257_10314 MESATAEVGTSPAVTGSRQRFGQSLRPTSNNGSRMHTESATAEV GTAPAVTGSRQRFGQSLRPTSNNGSRMHTESAMAEVGTAGKSRVGGVGRRRSRDGGCS QGPPTARARINSLNAFKRWVASENVPFEYLLTRIAQDATASVFEAAMDKYGIHLAFNE GSRGRKLTKSTVIQYYRQDKNWLQEKNPSLRVSLEERLQKMARTLERNCLKREHGGVV KKAEACTKQALCVLVQWL H257_10315 MSDKVVYEVAGVLTDPNFQRMRHLALDLRDQVSSVSIILRSMVE ADFGPYLTSRGLARRFPKHLKTSPIAFVPVSDDESSNNAGGDGVGTYIGDTEAFLDLC AQKYGVTDRLTSRDCLQKATEAWDNYRAHSRNLFCFLKFATDGQVYHDRVILELFDDI CPKACENFAALCRPETQSGYAGLPIHRIVPGGWIQGGDVATGGRGDGVGGSMLHEDGV FEDEAFSVSHDKPGILSMANNGPHTNGAQFFITLAPLPWLDKSKVAFGRVVSGMKTID AIGKLPTLFERPTLTCSIAACGQL H257_10315 MSDKVVYEVAGVLTDPNFQRMRHLALDLRDQVSSVSIILRSMVE ADFGPYLTSRGLARRFPKHLKTSPIAFVPVSDDESSNNAGGDGVGTYIGDTEAFLDLC AQKYGVTDRLTSRDCLQKATEAWDNYRAHSRNLFCFLKFATDGQVYHDRVILELFDDI CPKACENFAALCRPETQSGYAGLPIHRIVPGGWIQGGDVATGGRGDGVGGSMLHEDGV FEDEAFSVSHDKPGILSMANNGPHTNGAQFFITLAPLPWLDKSKGTRSPTN H257_10316 MSFLQEAITKAILGSLSAYIAHFKEDQVKVGLWAGDLVLEDVEL KCSAFNTYDSAVHIAHGCIQRIRIQVPWTSFWRNPIQVDISGVDCELHLRGTYDVLDE LQQKNLLVQCLLANARASIAKSSEGWSLFSGLSGSILHRLVRNIAVHLDDVNVYHAMA QTTVGVTLQSLTFCDDVTNTTDNVTKTTAKVLDITDLGMYATVGDASLELLAPFQLHV RLTQEEATDDSMQCALTARATFIDMRIPLALAPYLEKMSQTCAMFASGRKLKCLRWLA LYGRTPRNPWRYAKQALFHSSDASASSVVKGCYTTLYTKWLDSSQSSVAFMHQLNWLE LQLGVQDILEAQVEATGLATSTKGKGRPVRMITSPKQSHQPFVLRWGTLNGTWDVAGM TLAVATCPFDGSIALQQLHGQFLVDSSNELVHHRVEIDSIDVTWQRDSTCVFRLHQPQ PLTDGDNNMTPTTGISVQWRRGCSEDCSTDETSAMDIALSSVHIECNVVLPWAELWPQ PHHNFILLISSACKVRSTNTQLSNRLVSCSIQNTTMQLQLDDTWAIIATSTDLYVKLH PHHLYQLRTSSFALATTQNQTTHHMPIAGVEAFALCATDAAESKSMQVDVASIKLHVS LQLIHVALRFAAFVLSVPAVSPESVSPRLSSWGDGCIVAATMRCSLVEVWILPPTEKI SGVVAGVASNNPPTAFALATLNQATMEGLWQHQAVQHARAAVSQVFLSIDQTTVVQIA PTPTTPQGVTLLLRQSASLDSAFSVHTICGVLDIVYPPEALCQGITHAKTYVDLLRRN TSSLPFTSISNRTPSEGTPQLQAPHPPTTAPPSLPWRLTLDWQMDLVTVLLCQHKKPF VKYHFYNMAVQAKMLQASTSPCLSSSTFVDLTVYRMYLQDLTDTGVALHRDVVVPTQC TCCRRDTSTSDLSTGCHVISFTNHPKNQTGNDISKQQPTWTLHGLQVTCLWRLYIELW NYMYHPSGGVELVTTHYRRTFSRTYDNVERSSIRVVFDHVAFCFPRNGTSIDMLAMVM NECVFTKSFGTDTWTYDQAMGTTTEATLSVDNIDQPLPTDGDRQKNCLAMTQVSLYCA DTESVVQRHWSFRRGTHSPEVPPHSPPTNMESLLPPLPPPLASIIEGGPMYLDPNIAW KRVTVAPFDFTFVRDFGLDECSIMQTRDLFVIQPSLRLNLELTELSILLSLWYDNMGE YPQFPSPFEPPTNYCDAYTAGPHPHFAPFTSPSQVPADLLTSPHWEVGFVLHAMEIRL PLHDHEFLAMQLRPVVVKVYGCVDSTFMRCAVVTDRASLVKRGAPGVPPAVLADLGPG DRRPFVHDNINVFDPFDRGVKTPAFGMQFSMVRFPYGYTMMGLRLDQLTVGLFSDPDV LAFVVEFFSTYFYDSEYGYPWPLPDAIAPHVGVSGEYGLVDGVDDDDDAGVAKEMLLL ELVYSEVIVVHTPCLVLTANDRVFQIQSAGSSAGFGWQLFYEWTATDASLWVHLPGVE AHFIDSNKTTTAPSLLSTSKPATTGTPRTVLTPVTIDSTYAFNMLTWQQSSTFNVTRY DVAFPHEDDLTVFVYCVPSDIAFFAALVAAYETSLGDSSPSAPAPPASTPDGSPDDIE IDGEQVGDDEDETAGASCQEAWTSWSVHLPRKVGIVLLDDMLLFQKPVLQLVLCEVGL HVLQCHDDVDTLKDGDSYHHANDPQTHEGDIDNDPSSLAIASTLAVFAKLSSSAQVDV FNNIVRAWEPLVEPFHVKVLFEHNGSRIGVSITTPDSVQINITESFVNAVLESWGPQP RASVGLVNWTGKSVRYFQPQPLPHPYDLPLPASADPADGAPTPHRPLMVTYVRHPPLN PARGGSKADGTPTALACPPILSVLVGRHKVETTVLNQNDLDVLFASVHQHSHHTDAFR LALCGDATAELTYDMSVQLFGFQWLHHLDLNQSGYFFFDLVPEIPHVTMTSPPLALSS SPRGGQRALPSDAARTPMNESSAAVPLSHLLEPTAAVRAALRCLVHVDKTRWGHCVTL QSLFQVKNLTSFALSIALHATPKLRQDAKAPSNASSATIQLPPGEIHHVPLQPLYEHA AKSRGKHVGFLNVSLPSSTSMDGIHLLDLIRPASSDAHRNFIHTCQPNTYLCVQVTSS FGHATKKGRWSRLFRPTFSSPHIDDVKQGPALLDASQLTTLTLHPPLTLQNLLCVNIV CCVFRHLSGVRDVVWEGTIKAGGLASIYASNLADNLYCSVLLPALQCETVKPALLHVP SGSKIKVDSVIAFADKTNNTQPLKLKVENSVGCGGQRGVVLYAAYWLVNLTPFALQYK QDTRHFEVAGSAAALARRHAIDDDDHNKQKSMWMALAAELELQAYLQPPTADKEKPPP SATSPFCMDGRCSFATDSHDVCYCAAPTCAARRLGRFATMFSFGGGGNTVGFEDTVTA AFTNSLCIKCPKYTWSKGMSLEVLGVDQAVELKNPSHVLDLGVKIVPGPEQFYRTKCV IFTPRYLVLNQLPTALALYDGFHRLNTVLPPHDMQPFVGNRKIPSRRRCRARVQYLST HRIHESSGKFSLDVVGPTDLSLVANTTKPPSPPSSPPTAGKSGATAPVATPKVPPTSL MADHTMAPLNLVRVHTRMCGPTYVTLLRQVTRIEDVSYRIDNQTASHVLYYRQVGVES PTNGWKQLAPGKSTVYVWAEPLALHQLAVCLRHEDAATRPSPRHIWTTRATKLNALLL SARKQQPHNETTMLHLGGGYVPTNAQSRQKHYYYQQAKQFGYASALVSERKGLGLDDV ESIHLDVIGLQMALNRPSPTTTASSIGTNLHSFPPTSTDAPPSDVVSKPFLPKLPKRT TSCARIDNDGVTRVLRVRDTTSLDDEKRTFVHEEARVQRLLLQLLALQSGAAFATVHT SSRRARRDMSPSWEESSPRSSTVRGGGGQHCRRTATDCVSLRAFASSLHNPPPPMNTI PTSSDRNTRHTISRSLRTSSCVVDTNRSNPSAKRGGPVGPDSSSNSSRSRSGEGRVGL TLFQSMDHPTETTTTNNAEQALYEQMVSFMTSPVLEDVQQVLVQVVCASGLKAPASEG GGWSNPYGIVTLLPSTTSSPPHGQPSAHTTEGRGRFAAMASSKLSSSMLKRMEQKTYY IERTLDPVWRDQAFLFEKASAESACSIQIDIRSHSPLGKHVFLGRAVVEVGGDDDDNI TKHVTVKLAGAKSHHVVTGTVGVVVQMARTRTQLLEYWYQRLRERMQVLSEQLVYIRS RLRQIDKERALEQKRKLNVAVEHHHAKQQAGRAKAKALLLENKAKLVAQKNKLKDKWT QQLQQLQHPSVHMNEKFAHFRDAAEKRWMSEVKKLHKANVRTSILHVKRLFAKESHAS SATATSSTGTATKDHGGLSYKASLHRLRSNHVNHAKPPVATSHVDHVTDIKRCYHRLI RSGGHVVVGVLEGRHFGGLGFDKVHCVLVCQDTTIITPKAKADDIIVWPATTAISSAI PKIPLRRHRGDLLIHVVSTTKRSSSGRIIGQVRVPMDVALDYCGSHGGTFCAWFPVTP LADTSPVELDLVKLLQPVEMKLGYASPPSSSPCVQVTMTYEPEQSSFVLGRLQSYVSV FVGELAVSLSAPVPVLDTSSPPNHSNSVVEAHEILRISCSGIEVKCLTSSKQCQWTID MDSFQVDNQRNEALNQTELPVMVSRTISSCSTSSTHDMTSAKALKPVLQAVVVTRRLE QTTSDSHGPGSPGGRRIGEAARHMTHIELVALSIEETDWTFDEVILRTLYDVCERLYE KHQPLFGGGGEGATSTPLSVAPSSPPLSTGFSTTSTFPTSSVYIACLEVRPFKCNITF RKSTTYALNTSSTSSSSSSLAMPNLKSKFLHSFLAAVLNIANTIENAPLEFNALRLDH EITDLHHLQDLVFQHYSTNILRQLYKLIGSMNFMGNPVGLAANVAGGVRDFVVQPYAG LTSGGGTGFVRGLTKGTASLLGHTTFGLFDTTSRMTGAMGNSVAAFSGDRVFKAKRNV YALARPTSRRDQLRLDVKKLKHDVFSGIVGGLTGLVMDPIRGGRRGGWRGLVGGVAQG FTGVVVKPVVGAMDFATHMVEGVRDVAGLAFETKQKAFDKHRKRMSHVFGADGRLLPH DAAGIWSTAVVGYLQGMTGGLRHRHSTTGPRKVTDVEPPMQIVYATAFKTAVGQVRLV VVRKCEVLCAEVSTAHWQQPRLVWRVLSCNIAFVERTTATKVIVHFRARIEDHAKPYH PAPHRKQHGTKHHQLSKHDDGADNKDELDTVEIATTTWEDVRHFERDEAKMNQLVAVL RQQYSFVDACDDNVIEDVADAGTRVVVPPRVPGTSLLQQFSFERLHKTQETLKTQYCI NDQDECELEQSAWTDLDGHDAAMLWAGCMELTSSPTTMAEQTKKAKTRPVSFVAGTSR H257_10316 MSFLQEAITKAILGSLSAYIAHFKEDQVKVGLWAGDLVLEDVEL KCSAFNTYDSAVHIAHGCIQRIRIQVPWTSFWRNPIQVDISGVDCELHLRGTYDVLDE LQQKNLLVQCLLANARASIAKSSEGWSLFSGLSGSILHRLVRNIAVHLDDVNVYHAMA QTTVGVTLQSLTFCDDVTNTTDNVTKTTAKVLDITDLGMYATVGDASLELLAPFQLHV RLTQEEATDDSMQCALTARATFIDMRIPLALAPYLEKMSQTCAMFASGRKLKCLRWLA LYGRTPRNPWRYAKQALFHSSDASASSVVKGCYTTLYTKWLDSSQSSVAFMHQLNWLE LQLGVQDILEAQVEATGLATSTKGKGRPVRMITSPKQSHQPFVLRWGTLNGTWDVAGM TLAVATCPFDGSIALQQLHGQFLVDSSNELVHHRVEIDSIDVTWQRDSTCVFRLHQPQ PLTDGDNNMTPTTGISVQWRRGCSEDCSTDETSAMDIALSSVHIECNVVLPWAELWPQ PHHNFILLISSACKVRSTNTQLSNRLVSCSIQNTTMQLQLDDTWAIIATSTDLYVKLH PHHLYQLRTSSFALATTQNQTTHHMPIAGVEAFALCATDAAESKSMQVDVASIKLHVS LQLIHVALRFAAFVLSVPAVSPESVSPRLSSWGDGCIVAATMRCSLVEVWILPPTEKI SGVVAGVASNNPPTAFALATLNQATMEGLWQHQAVQHARAAVSQVFLSIDQTTVVQIA PTPTTPQGVTLLLRQSASLDSAFSVHTICGVLDIVYPPEALCQGITHAKTYVDLLRRN TSSLPFTSISNRTPSEGTPQLQAPHPPTTAPPSLPWRLTLDWQMDLVTVLLCQHKKPF VKYHFYNMAVQAKMLQASTSPCLSSSTFVDLTVYRMYLQDLTDTGVALHRDVVVPTQC TCCRRDTSTSDLSTGCHVISFTNHPKNQTGNDISKQQPTWTLHGLQVTCLWRLYIELW NYMYHPSGGVELVTTHYRRTFSRTYDNVERSSIRVVFDHVAFCFPRNGTSIDMLAMVM NECVFTKSFGTDTWTYDQAMGTTTEATLSVDNIDQPLPTDGDRQKNCLAMTQVSLYCA DTESVVQRHWSFRRGTHSPEVPPHSPPTNMESLLPPLPPPLASIIEGGPMYLDPNIAW KRVTVAPFDFTFVRDFGLDECSIMQTRDLFVIQPSLRLNLELTELSILLSLWYDNMGE YPQFPSPFEPPTNYCDAYTAGPHPHFAPFTSPSQVPADLLTSPHWEVGFVLHAMEIRL PLHDHEFLAMQLRPVVVKVYGCVDSTFMRCAVVTDRASLVKRGAPGVPPAVLADLGPG DRRPFVHDNINVFDPFDRGVKTPAFGMQFSMVRFPYGYTMMGLRLDQLTVGLFSDPDV LAFVVEFFSTYFYDSEYGYPWPLPDAIAPHVGVSGEYGLVDGVDDDDDAGVAKEMLLL ELVYSEVIVVHTPCLVLTANDRVFQIQSAGSSAGFGWQLFYEWTATDASLWVHLPGVE AHFIDSNKTTTAPSLLSTSKPATTGTPRTVLTPVTIDSTYAFNMLTWQQSSTFNVTRY DVAFPHEDDLTVFVYCVPSDIAFFAALVAAYETSLGDSSPSAPAPPASTPDGSPDDIE IDGEQVGDDEDETAGASCQEAWTSWSVHLPRKVGIVLLDDMLLFQKPVLQLVLCEVGL HVLQCHDDVDTLKDGDSYHHANDPQTHEGDIDNDPSSLAIASTLAVFAKLSSSAQVDV FNNIVRAWEPLVEPFHVKVLFEHNGSRIGVSITTPDSVQINITESFVNAVLESWGPQP RASVGLVNWTGKSVRYFQPQPLPHPYDLPLPASADPADGAPTPHRPLMVTYVRHPPLN PARGGSKADGTPTALACPPILSVLVGRHKVETTVLNQNDLDVLFASVHQHSHHTDAFR LALCGDATAELTYDMSVQLFGFQWLHHLDLNQSGYFFFDLVPEIPHVTMTSPPLALSS SPRGGQRALPSDAARTPMNESSAAVPLSHLLEPTAAVRAALRCLVHVDKTRWGHCVTL QSLFQVKNLTSFALSIALHATPKLRQDAKAPSNASSATIQLPPGEIHHVPLQPLYEHA AKSRGKHVGFLNVSLPSSTSMDGIHLLDLIRPASSDAHRNFIHTCQPNTYLCVQVTSS FGHATKKGRWSRLFRPTFSSPHIDDVKQGPALLDASQLTTLTLHPPLTLQNLLCVNIV CCVFRHLSGVRDVVWEGTIKAGGLASIYASNLADNLYCSVLLPALQCETVKPALLHVP SGSKIKVDSVIAFADKTNNTQPLKLKVENSVGCGGQRGVVLYAAYWLVNLTPFALQYK QDTRHFEVAGSAAALARRHAIDDDDHNKQKSMWMALAAELELQAYLQPPTADKEKPPP SATSPFCMDGRCSFATDSHDVCYCAAPTCAARRLGRFATMFSFGGGGNTVGFEDTVTA AFTNSLCIKCPKYTWSKGMSLEVLGVDQAVELKNPSHVLDLGVKIVPGPEQFYRTKCV IFTPRYLVLNQLPTALALYDGFHRLNTVLPPHDMQPFVGNRKIPSRRRCRARVQYLST HRIHESSGKFSLDVVGPTDLSLVANTTKPPSPPSSPPTAGKSGATAPVATPKVPPTSL MADHTMAPLNLVRVHTRMCGPTYVTLLRQVTRIEDVSYRIDNQTASHVLYYRQVGVES PTNGWKQLAPGKSTVYVWAEPLALHQLAVCLRHEDAATRPSPRHIWTTRATKLNALLL SARKQQPHNETTMLHLGGGYVPTNAQSRQKHYYYQQAKQFGYASALVSERKGLGLDDV ESIHLDVIGLQMALNRPSPTTTASSIGTNLHSFPPTSTDAPPSDVVSKPFLPKLPKRT TSCARIDNDGVTRVLRVRDTTSLDDEKRTFVHEEARVQRLLLQLLALQSGAAFATVHT SSRRARRDMSPSWEESSPRSSTVRGGGGQHCRRTATDCVSLRAFASSLHNPPPPMNTI PTSSDRNTRHTISRSLRTSSCVVDTNRSNPSAKRGGPVGPDSSSNSSRSRSGEGRVGL TLFQSMDHPTETTTTNNAEQALYEQMVSFMTSPVLEDVQQVLVQVVCASGLKAPASEG GGWSNPYGIVTLLPSTTSSPPHGQPSAHTTEGRGRFAAMASSKLSSSMLKRMEQKTYY IERTLDPVWRDQAFLFEKASAESACSIQIDIRSHSPLGKHVFLGRAVVEVGGDDDDNI TKHVTVKLAGAKSHHVVTGTVGVVVQMARTRTQLLEYWYQRLRERMQVLSEQLVYIRS RLRQIDKERALEQKRKLNVAVEHHHAKQQAGRAKAKALLLENKAKLVAQKNKLKDKWT QQLQQLQHPSVHMNEKFAHFRDAAEKRWMSEVKKLHKANVRTSILHVKRLFAKESHAS SATATSSTGTATKDHGGLSYKASLHRLRSNHVNHAKPPVATSHVDHVTDIKRCYHRLI RSGGHVVVGVLEGRHFGGLGFDKVHCVLVCQDTTIITPKAKADDIIVWPATTAISSAI PKIPLRRHRGDLLIHVVSTTKRSSSGRIIGQVRVPMDVALDYCGSHGGTFCAWFPVTP LADTSPVELDLVKLLQPVEMKLGYASPPSSSPCVQVTMTYEPEQSSFVLGRLQSYVSV FVGELAVSLSAPVPVLDTSSPPNHSNSVVEAHEILRISCSGIEVKCLTSSKQCQWTID MDSFQVDNQRNEALNQTELPVMVSRTISSCSTSSTHDMTSAKALKPVLQAVVVTRRLE QTTSDSHGPGSPGGRRIGEAARHMTHIELVALSIEETDWTFDEVILRTLYDVCERLYE KHQPLFGGGGEGATSTPLSVAPSSPPLSTGFSTTSTFPTSSVYIACLEVRPFKCNITF RKSTTYALNTSSTSSSSSSLAMPNLKSKFLHSFLAAVLNIANTIENAPLEFNALRLDH EITDLHHLQDLVFQHYSTNILRQLYKLIGSMNFMGNPVGLAANVAGGVRDFVVQPYAG LTSGGGTGFVRGLTKGTASLLGHTTFGLFDTTSRMTGAMGNSVAAFSGDRVFKAKRNV YALARPTSRRDQLRLDVKKLKHDVFSGIVGGLTGLVMDPIRGGRRGGWRGLVGGVAQG FTGVVVKPVVGAMDFATHMVEGVRDVAGLAFETKQKAFDKHRKRMSHVFGADGRLLPH DAAGIWSTAVVGYLQGMTGGLRHRHSTTGPRKVTDVEPPMQIVYATAFKTAVGQRSWS ARQRPR H257_10316 MSFLQEAITKAILGSLSAYIAHFKEDQVKVGLWAGDLVLEDVEL KCSAFNTYDSAVHIAHGCIQRIRIQVPWTSFWRNPIQVDISGVDCELHLRGTYDVLDE LQQKNLLVQCLLANARASIAKSSEGWSLFSGLSGSILHRLVRNIAVHLDDVNVYHAMA QTTVGVTLQSLTFCDDVTNTTDNVTKTTAKVLDITDLGMYATVGDASLELLAPFQLHV RLTQEEATDDSMQCALTARATFIDMRIPLALAPYLEKMSQTCAMFASGRKLKCLRWLA LYGRTPRNPWRYAKQALFHSSDASASSVVKGCYTTLYTKWLDSSQSSVAFMHQLNWLE LQLGVQDILEAQVEATGLATSTKGKGRPVRMITSPKQSHQPFVLRWGTLNGTWDVAGM TLAVATCPFDGSIALQQLHGQFLVDSSNELVHHRVEIDSIDVTWQRDSTCVFRLHQPQ PLTDGDNNMTPTTGISVQWRRGCSEDCSTDETSAMDIALSSVHIECNVVLPWAELWPQ PHHNFILLISSACKVRSTNTQLSNRLVSCSIQNTTMQLQLDDTWAIIATSTDLYVKLH PHHLYQLRTSSFALATTQNQTTHHMPIAGVEAFALCATDAAESKSMQVDVASIKLHVS LQLIHVALRFAAFVLSVPAVSPESVSPRLSSWGDGCIVAATMRCSLVEVWILPPTEKI SGVVAGVASNNPPTAFALATLNQATMEGLWQHQAVQHARAAVSQVFLSIDQTTVVQIA PTPTTPQGVTLLLRQSASLDSAFSVHTICGVLDIVYPPEALCQGITHAKTYVDLLRRN TSSLPFTSISNRTPSEGTPQLQAPHPPTTAPPSLPWRLTLDWQMDLVTVLLCQHKKPF VKYHFYNMAVQAKMLQASTSPCLSSSTFVDLTVYRMYLQDLTDTGVALHRDVVVPTQC TCCRRDTSTSDLSTGCHVISFTNHPKNQTGNDISKQQPTWTLHGLQVTCLWRLYIELW NYMYHPSGGVELVTTHYRRTFSRTYDNVERSSIRVVFDHVAFCFPRNGTSIDMLAMVM NECVFTKSFGTDTWTYDQAMGTTTEATLSVDNIDQPLPTDGDRQKNCLAMTQVSLYCA DTESVVQRHWSFRRGTHSPEVPPHSPPTNMESLLPPLPPPLASIIEGGPMYLDPNIAW KRVTVAPFDFTFVRDFGLDECSIMQTRDLFVIQPSLRLNLELTELSILLSLWYDNMGE YPQFPSPFEPPTNYCDAYTAGPHPHFAPFTSPSQVPADLLTSPHWEVGFVLHAMEIRL PLHDHEFLAMQLRPVVVKVYGCVDSTFMRCAVVTDRASLVKRGAPGVPPAVLADLGPG DRRPFVHDNINVFDPFDRGVKTPAFGMQFSMVRFPYGYTMMGLRLDQLTVGLFSDPDV LAFVVEFFSTYFYDSEYGYPWPLPDAIAPHVGVSGEYGLVDGVDDDDDAGVAKEMLLL ELVYSEVIVVHTPCLVLTANDRVFQIQSAGSSAGFGWQLFYEWTATDASLWVHLPGVE AHFIDSNKTTTAPSLLSTSKPATTGTPRTVLTPVTIDSTYAFNMLTWQQSSTFNVTRY DVAFPHEDDLTVFVYCVPSDIAFFAALVAAYETSLGDSSPSAPAPPASTPDGSPDDIE IDGEQVGDDEDETAGASCQEAWTSWSVHLPRKVGIVLLDDMLLFQKPVLQLVLCEVGL HVLQCHDDVDTLKDGDSYHHANDPQTHEGDIDNDPSSLAIASTLAVFAKLSSSAQVDV FNNIVRAWEPLVEPFHVKVLFEHNGSRIGVSITTPDSVQINITESFVNAVLESWGPQP RASVGLVNWTGKSVRYFQPQPLPHPYDLPLPASADPADGAPTPHRPLMVTYVRHPPLN PARGGSKADGTPTALACPPILSVLVGRHKVETTVLNQNDLDVLFASVHQHSHHTDAFR LALCGDATAELTYDMSVQLFGFQWLHHLDLNQSGYFFFDLVPEIPHVTMTSPPLALSS SPRGGQRALPSDAARTPMNESSAAVPLSHLLEPTAAVRAALRCLVHVDKTRWGHCVTL QSLFQVKNLTSFALSIALHATPKLRQDAKAPSNASSATIQLPPGEIHHVPLQPLYEHA AKSRGKHVGFLNVSLPSSTSMDGIHLLDLIRPASSDAHRNFIHTCQPNTYLCVQVTSS FGHATKKGRWSRLFRPTFSSPHIDDVKQGPALLDASQLTTLTLHPPLTLQNLLCVNIV CCVFRHLSGVRDVVWEGTIKAGGLASIYASNLADNLYCSVLLPALQCETVKPALLHVP SGSKIKVDSVIAFADKTNNTQPLKLKVENSVGCGGQRGVVLYAAYWLVNLTPFALQYK QDTRHFEVAGSAAALARRHAIDDDDHNKQKSMWMALAAELELQAYLQPPTADKEKPPP SATSPFCMDGRCSFATDSHDVCYCAAPTCAARRLGRFATMFSFGGGGNTVGFEDTVTA AFTNSLCIKCPKYTWSKGMSLEVLGVDQAVELKNPSHVLDLGVKIVPGPEQFYRTKCV IFTPRYLVLNQLPTALALYDGFHRLNTVLPPHDMQPFVGNRKIPSRRRCRARVQYLST HRIHESSGKFSLDVVGPTDLSLVANTTKPPSPPSSPPTAGKSGATAPVATPKVPPTSL MADHTMAPLNLVRVHTRMCGPTYVTLLRQVTRIEDVSYRIDNQTASHVLYYRQVGVES PTNGWKQLAPGKSTVYVWAEPLALHQLAVCLRHEDAATRPSPRHIWTTRATKLNALLL SARKQQPHNETTMLHLGGGYVPTNAQSRQKHYYYQQAKQFGYASALVSERKGLGLDDV ESIHLDVIGLQMALNRPSPTTTASSIGTNLHSFPPTSTDAPPSDVVSKPFLPKLPKRT TSCARIDNDGVTRVLRVRDTTSLDDEKRTFVHEEARVQRLLLQLLALQSGAAFATVHT SSRRARRDMSPSWEESSPRSSTVRGGGGQHCRRTATDCVSLRAFASSLHNPPPPMNTI PTSSDRNTRHTISRSLRTSSCVVDTNRSNPSAKRGGPVGPDSSSNSSRSRSGEGRVGL TLFQSMDHPTETTTTNNAEQALYEQMVSFMTSPVLEDVQQVLVQVVCASGLKAPASEG GGWSNPYGIVTLLPSTTSSPPHGQPSAHTTEGRGRFAAMASSKLSSSMLKRMEQKTYY IERTLDPVWRDQAFLFEKASAESACSIQIDIRSHSPLGKHVFLGRAVVEVGGDDDDNI TKHVTVKLAGAKSHHVVTGTVGVVVQMARTRTQLLEYWYQRLRERMQVLSEQLVYIRS RLRQIDKERALEQKRKLNVAVEHHHAKQQAGRAKAKALLLENKAKLVAQKNKLKDKWT QQLQQLQHPSVHMNEKFAHFRDAAEKRWMSEVKKLHKANVRTSILHVKRLFAKESHAS SATATSSTGTATKDHGGLSYKASLHRLRSNHVNHAKPPVATSHVDHVTDIKRFVVCST LVIRRPHIYIQTSLDILTVRYVDVTIALFEVGGMWLWGCWKGVTLADWVLIKCTVS H257_10317 MNATFGNLDLLRQITSFQRGMPLDLQPFHAFVQCHYINRGRSPR HSSVCPNFLLRRDPEFLHLQLQAFGAIFTPWFAGREAAADIPRLLCVSEKLNLVLLHW TIQYNHIELLHYIHATYHIETYVHPTAIAASRGSLPVIKYLHEANSGEFFPYTMDWAA QGGHLDLVEFLHFHRSEGCTTSAMDMAARFGHFNVVKFLHAHRTEGCTTVAMDQAAAF GHVEIVKFLHTYRTEGCTTNALDSACSGGHLDMVKFLHFHRHEGCTTSAFNSACCGGH LEIVKFLHAHRTEGCTHRAMESAAECKRYDVCLYLSEHLPHLVNRSNITVVMAVGEAS WRQSEALKKEAAARLIKQKEQEAVPGPRLLTSPWSQLLSTSVQRGVVALMQSLVK H257_10318 MDIPIVDCYSADAAAQLRKACMEVGFFYLTDHRVPQELVESVYH EMRLFFSKPESEKREVLADENMRGYTPMNEETLDPAVQTQGDTKEGYYICREALPDEV HLPLHGSNVFPKDNPTFRRVMEQYFDCMCELGYHVAQLFADAAGAPGAFQAAGMFDRP MAAVRLLHYNDQLSNVADGVFGAGAHTDYGLLTLLSTDGNPGLEIYHNQEWIPISPKP NTFVVNIGDLGERWTNGLFKSTRHRVVNRNGQERYSVPFFYEPNFTCQVTCLSSCVDA AHPPQYPPITSGQHLLNMYRQTHDSFTEFTTQITSD H257_10318 MDIPIVDCYSADAAAQLRKACMEVGFFYLTDHRVPQELVESVYH EMRLFFSKPESEKREVLADENMRGYTPMNEETLDPAVQTQGDTKEGYYICREALPDEV HLPLHGSNVFPKDNPTFRRVMEQYFDCMCELGYHVAQLFADAAGAPGAFQAAGMFDRP MAAVRLLHYNDQLSNVADGVFGAGAHTDYGLLTLLSTDGNPGLEIYHNQEWIPISPKP NTFVVNIGDLGGTYLYNVNIYILERALERWTNGLFKSTRHRVVNRNGQERYSVPFFYE PNFTCQVTCLSSCVDAAHPPQYPPITSGQHLLNMYRQTHDSFTEFTTQITSD H257_10319 MSATCGICSVGPRKYKCPLCRLPYCSTVCYKVHKEVPCAAPAPA EPTEPSAPPTTVATTSSTGQPSTEEDEVPLLTNEQLAVLSMSANLKKALHDPATRSKI TAIDAHPDRLQELQKALTDPVFARFVYNMMDEVNSGAISTN H257_10320 MDLDALAYIPYNHHSLPAMYTGLQSQSTYSTYASLYNAFELYWL CAVPLLMLGIPLCLSFIPVDAALRTVLKPSLASVILPPPSPSTSSSASPTSSINPTWI IPRHMAVVMDGNRRYGRSKYGVPMRGHHDGSQRLVDFLSWAMSAGVQILTVYAFSTEN WKRDAAEVNALMGIFDSFMMDIIPEALARNIRVRVLVSDATHLPQHVQVSIQEIEAAT QHCSAFTLNICASYGSRNEITMACRQIAKKVASGELNVDDVTEDIVSQHLLTRNLPDP EVLVRTSGELRVSNFLMYQIAYAELIFVDKLWPALTHDDFIGILAEYNRRQRRFGK H257_10320 MDLDALAYIPYNHHSLPAMYTGLQSQSTYSTYASLYNAFELYWL CAVPLLMLGIPLCLSFIPVDAALRTVLKPSLASVILPPPSPSTSSSASPTSSINPTWI IPRHMAVVMDGNRRYGRSKYGVPMRGHHDGSQRLVDFLSWAMSAGVQILTVYAFSTEN WKRDAAEVNALMGIFDSFMMDIIPEALARNIRVRVLVSDATHLPQHVQVSIQEIEAAT QHCSAFTLNICARLRKKLRLGSSTWTT H257_10321 MSTPTLDACASIAVTGVVLFSYTPTPTWPMSINRGTSIPMSVSM VGDTDLASSHLECPYAPTFIQGMHAIKWLRGIGLPPQPTSVQRLVVVTSAHQASRPTL TTD H257_10322 MVAEPKSFIQPLAHWWGMHGTSKNVLGHRDGRKHQRFVLNCIAL VYTIMLFEIHRQRAHPVDPAIGAVCTPPPPSSPCSAIKLNVDFPGYDLTNIPSSTPPR SL H257_10323 MTLHLGVASATNAGLPGAFSETVKVAVLGAGNFGTAMAQIAARQ GHQVSLYARNQDQVNAINTTRRNPLFFPEFELAPSIIATTSVEESCANATLVVICIPA QGTPDFLAQHKHSIPSDAILVVTSKGLYLKTKQLLSVPILEALGRDQPLSFLSGPSFA LELMKNAPSAVVVAARELYHAVRVQRLLSTVDFRIYSSQDIIGVQLGGALKNPLAIGA GMIEGSGMGINTLAAYVTRSSLELQKLCIAMGGLPHTISGLSGIGDLMLTAFGSLSRN RTCGIRLIKGESMQDIMKDATVEGVPTAEVAVYFADQCGLDLPIFRTVNDMIQGRVNA EDLQSILMNRPLKSE H257_10324 MHHCDSDPNFAVPPPSPTSPPMSPRVHVHSGEQGIAQLLDRNRA WAEKMLARDPDFFTRLAIQQSPEILWIGCSDSRVPANEILNLSPGEVFVHRNIANQVI STDMNCLSVIEYAVKYLKVRHIIVCGHYGCGGVNAALSQEEFGLVDNWLRSIKDLYIE NARKFEHMTDTSTKADLLTEENVARSVYNVCHSRIVQNAWENGHTLSVHGLCYRLQDG IIRDLQICISGEDQVEAIYRRMMTKSTPEV H257_10324 MHHCDSDPNFAVPPPSPTSPPMSPRVHVHSGEQGIAQLLDRNRA WAEKMLARDPDFFTRLAIQQSPEILWIGCSDSRVPANEILNLSPGEVFVHRNIANQVI STDMNCLSVIEYAVKYLKVRHIIVCGHYGCGGVNAALSQEEFGLVDNWLRSIKDLYIE NARKFEHMTDTSTKADLLTEENVARSVYNVCHSRIVQNAWENGHTLSVHGLCYRYEAS DGAT H257_10324 MHHCDSDPNFAVPPPSPTSPPMSPRVHVHSGEQGIAQLLDRNRA WAEKMLARDPDFFTRLAIQQSPEILWIGCSDSRVPANEILNLSPGEVFVHRNIANQVI STDMNCLSVIEYAVKYLKVRHIIVCGHYGCGGVNAALSQEEFGLVDNWLRSIKDLYIE NARKFERAFNFTKSIGVYYNIYIYIPWL H257_10324 MHHCDSDPNFAVPPPSPTSPPMSPRVHVHSGEQGIAQLLDRNRA WAEKMLARDPDFFTRLAIQQSPEILWIGCSDSRVPANEILNLSPGEVFVHRNIANQVI STDMNCLSVIEYAVKYLKVRHIIVCGHYGCGGVNAALSQEEFGLVDNWLRSIKDLYIE NARKFERAFNFTKSIGVYYNIYIYIPWL H257_10325 MSVYEARPPSNNSCMKKQDMFDLSMLGTPSASSMSQPQPEDDDG SIGMNNFAIGSRIQRSMSAPPIAENVGRLPPPMYAQSEYDESQLDPNMSPPEYYYYYA PKSHNPRFPISYNNWEAMQRQHHARQPTTSTLHRVQISERRRGDSEESTDSQASVSSN RDFEAAVAAAGGHSVHQFANNQMPDQRLPYCLSPTESSDSNSSTSYRPLNKTLIDRIQ EDFPRTPSPVFDYPPTSNKMMDDAHAMRYPDLVGRHDSMELPSDMLQRMNMLDVANSG NHDGRFRSKSRGNKLFFPPGTGVFPPPGMIPNQHHHGRYPMYDRYARGGVPVMQCPPS YMHHQYQDSYDHNSVRGPSHPDIRLLARCADYGHDHSSSSSYNDRRHYDLGQVEYPSS RMNPPRGAASWEVHVSDHHRGDQLGNQASHHSPRSHIHAAPPPSVLLRAKAKPATPVA PQPKRSALMDDFECRSKATAKPSKWELVEARGHLVEFAKDQDGSRFIQLKLESATPDI KDIVFSEIAPVALQLMTDVFGNYVIQKFFDHGNAHHITQILRVIQGNMLDLAMHMYGC RVIQKALEATDDLPLKLDLICELQGHVLHCIKDQNGNHVVQKVIEILPWKAVPSSVAM MPRAAFLLESFVNNVYTMSTHPYGCRVIQRVLEKCSEMQMAPMLCEIQDAYGLLVNDQ YGNYVIQHVLKHGPPSERAALINRIYPDIVRLSHHKYASNVIEKCLLYASVGQLRVIM QHILSTDHGECPLQVMMKDQYANYVVQKLIDVADANERDKMAAIIKTHAAHLKRYTHG KHILNRLENLTGHKIT H257_10326 MLGNSVTWEKVSHLSVVDELHMQLLEAQMELSAAKKAASRNDQG IDTDTNAKAVGVWSVTILGAEELNLDGILDLNLTGMTTVVGSVAIAASSVEKGKAYVR LAKTDATTVDSVTKRCFWNKATTTAVFTGVKSKTATATLTLHHPSPVVADVFIGTCKL DVSSLLDQEPVTLWVPLTGPPGQPATDATSYGQVHVTMSFEYDVVTRLERSVAALIAQ KEHLQAQARLYDLTAPLVNASARHPAAFGAAATGAGLYIPGHVFTPEVFHPAAVARTP LADGTKVVTPFGQGTVVTFRESTRMYVVLLAAAPGQVKRSTIAYLREDSVADAPVEPR LRYGVHVTTPYGPGTVDSVRASDGVVRVTSTFGTLFLQPQDVVTVDDAASAASMTNKE RIDAAVAKSTDGNAAFKADALDDAVTCYLASLSFLKHVDQDAATHKEKAVVLQTMIRC HLNLAACKLKRNQHADAWTACSNAISILQALVENRDGKVAAWMGRLGMTEAQIFHEWP SKARFRRATALVAMDNDVEARQDLMVAVKLMPKDKACRQLLEAVTKRMALEKEKDKLR WGGFLVKDESSPSSQPDNSTPPSTTSTTISPKKDKATKNTGVDGKDEKGTVPWYHNTT VVAAASVAVAGAAVLLLSGLSKRNK H257_10327 MSSKASAAAIVAAAASSSVWWKVGAVSGAAAVAFGAFGAHALQS RVHDPKRIKTWETAAHYQLVHSVALLAAPFARRPNVVGGLLTAGVVLFSGSLYTLVLT DQPKFGMITPVGGLAFVAGWLAFLL H257_10328 MIYRRTHFAPLSVHGLDVSEGATIETARAALFPSSRTSMRSHAS ADCHDLFQVWTSNDDSAGSSTMLLGYSVRTCRRSGRTLTRSRRLVQTSRHKTWSKRRP GWTDSIDSTTKPTRPYV H257_10328 MYTTRMHSAGLRILLTHGKDEKGATIETARAALFPSSRTSMRSH ASADCHDLFQVWTSNDDSAGSSTMLLGYSVRTCRRSGRTLTRSRRLVQTSRHKTWSKR RPGWTDSIDSTTKPTRPYV H257_10329 MGNQAGSPRKKKAEHGGNFEGGGSEDACSSKLVRGMFDLHLIGH HGLPSQPSRICYVPMLGLLVVATGYQQLKVYGEDGLEVYLPLREDKGDGTSSFSAGAT PTFLDYTNSGKLVLVMSDSAVQVIDLANLQEGKDVVVAALPSSWTTSRITALETIRHH KDTPFFYVALDDGSVQVVQESTCHFASYAIYPDDVGLAPSADSCFVSAMASSPADPNH LLLAYEGSDVVYVWDLVKQRVAFQTSHILNQTQPASTVQSLAWHASGKRFAVGFASGS VAVCRSDKHQLAVYPTLVSSTTSSPTSSTGGIQRLHWLTTHASSPGALVCSVGSHVVV WFPPRDAQKGPKEALTELSSSSCFPWQTCVLPSHNHANVMDMAVASTVVPASGSSAAP FTAIVLAGNPLDGIKPSVAMHVLPCLVAHAHTPNELWQWIVPPSPTTSTTSTASSFPV STTTHQLQASDVVAMQVLDLHTADAGAFRDELFAAQPILLEESNDMDDHTRVENPPLH DERSTTAMAAAWVPPLTGGSVTVSHPPPLPSTMLPAADCKRLLSITSMDDNDDNDIIN GEDSSIYLHRHTKRTTWVVTAHADSRVKIWESHPPVDGASRGQMGLLHVLRVKPVLQD PISYVWFGPSRRLLVVGTSTGEVGFFTFDSDSGFSFVFSLHVHSGAITLLAVPKSDYP DDGQLDVAVADAFGVVCIVHVASQTYKLVIFDLALDTDDDEETGGPVESLVMDRSLLF VGRGDGHVQVYDVATAALVLTCRGATTGDGNGDHVTKMLLMDQGGRERGPVEVLSAVQ MASQPKCRAGDERGDGGKDDEAAAAADVVVVDTVTQALVDDLLGKFPSPPPTTNSHKH VTVCVEAGPLGIFLVDDVTDRAVLRGFVPDDPNAQLQQAAGIVPGSTLVRVNGVDVRR LNKADVVAVVSSLSGVAKTVSYAPDHTSTDAHARPYIVCAVGRSIKVFAVPTDRWSHE SQPKPDNSVVQVDVEADVGLRAPVVSMAISAIPVEGRMEQALVVVDQSGVVYVLALPT LHFIWSAPCPSDFSAGYAFDHAHVHVNASGSVLLGTPFGDLAEYSILAPSMWAEVNVL QWTTVKTALVSARRASVEDESKENIDRVVEKKGSSRFFKQLFAPKEVDLNTVFVVPSV EEDQRKQLMGDRRQVKDPTKTEDGQAKVSGTMDALQQASQNLHLRGDKLSGLEEKTER LKNRADEFYATMKAFNDKEAKKKWYQL H257_10329 MGNQAGSPRKKKAEHGGNFEGGGSEDACSSKLVRGMFDLHLIGH HGLPSQPSRICYVPMLGLLVVATGYQQLKVYGEDGLEVYLPLREDKGDGTSSFSAGAT PTFLDYTNSGKLVLVMSDSAVQVIDLANLQEGKDVVVAALPSSWTTSRITALETIRHH KDTPFFYVALDDGSVQVVQESTCHFASYAIYPDDVGLAPSADSCFVSAMASSPADPNH LLLAYEGSDVVYVWDLVKQRVAFQTSHILNQTQPASTVQSLAWHASGKRFAVGFASGS VAVCRSDKHQLAVYPTLVSSTTSSPTSSTGGIQRLHWLTTHASSPGALVCSVGSHVVV WFPPRDAQKGPKEALTELSSSSCFPWQTCVLPSHNHANVMDMAVASTVVPASGSSAAP FTAIVLAGNPLDGIKPSVAMHVLPCLVAHAHTPNELWQWIVPPSPTTSTTSTASSFPV STTTHQLQASDVVAMQVLDLHTADAGAFRDELFAAQPILLEESNDMDDHTRVENPPLH DERSTTAMAAAWVPPLTGGSVTVSHPPPLPSTMLPAADCKRLLSITSMDDNDDNDIIN GEDSSIYLHRHTKRTTWVVTAHADSRVKIWESHPPVDGASRGQMGLLHVLRVKPVLQD PISYVWFGPSRRLLVVGTSTGEVGFFTFDSDSGFSFVFSLHVHSGAITLLAVPKSDYP DDGQLDVAVADAFGVVCIVHVASQTYKLVIFDLALDTDDDEETGGPVESLVMDRSLLF VGRGDGHVQVYDVATAALVLTCRGATTGDGNGDHVTKMLLMDQGGRERGPVEVLSAVQ MASQPKCRAGDERGDGGKDDEAAAAADVVVVDTVTQALVDDLLGKFPSPPPTTNSHKH VTVCVEAGPLGIFLVDDVTDRAVLRGFVPDDPNAQLQQAAGIVPGSTLVRVNGVDVRR LNKADVVAVVSSLSGVAKTVSYAPDHTSTDAHARPYIVCAVGRSIKVFAVPTDRWSHE SQPKPDNSVVQVDVEADVGLRAPVVSMAISAIPVEGRMEQALVVVDQSGVVYVLALPT LHFIWSAPCPSDFSAGYAFDHAHVHVNASGSVLLGTPFGDLAEYSILAPSMWAEVNVL QWVRPNDLYIHITRHIFYFKYLL H257_10330 MEFVLSTDVLLAAMAVAAVYVVHSYLTTPAVPTQSPASNLPQTK KAVDKPSAPQQQYFTLDKLRAFNGEGDKPIYIAIKGVVYDVSRKRDFYGPGEGYHLFA GREAARALAKMSFEPADLENTDISDLNFMEKEILKDWIDKFTDYNSYPIVGRVLQQTD LTRTELSAFTTLPVYVALRGVIYDVTLGGLEHYGPNGGYKLFAGRDATRALALMSFDQ EHLDNPTEDGLTETQIKTLADWEAKFQSKYGVVGKVIVE H257_10331 MHRLGIRNAEVSVISDDMIQQCVLKDAVDPANESATNDMASGTD TVDFAALRVLSLSFKNVFKIDNLVTLKNLVKLQMDNNVIQEIEGISHLTSLTWLDLSF NNIAEIKGLDTLTRLQDLTLYNNNISKLENLDTLKGLQVLSVGNNSLATTDGLLYLKC LDALRVLNLEGNPVCSDPEYRSFVLAHLDKLKYLDYSLVDVGEVVQAREQYQDELEEM KEVKAIEDAALAREEEYKKYNVILKQANINLLETLLSDMFKEDSEISKIEVLPGLRNL IDDFTEKVKTATEDIKVILLEKHAAIQRECDGFALEYAKEKDLTQKKSIAQCEKYRRV AKRLFKASAAGPPATEAEVARVTAESQAACNELNTELMGIESSLVEFAHDAISTLDVR IEAVGNESRGIATEHFRNVEQLENNFFDGVTQLAANLLERLATEDGEDDDFLSDECRA ILNDRDALNNAINGSHDIHIGKLLAQEDLMREQNVAKIHDIIKTTKDGEWARNRKRVA EIINMKEKHLAEILALRDELNRNDDDFY H257_10332 MDSFAIVSRLQQQVDDDVHALDEMSQVYHAHSQHVEEADDSPTP VIDSFFSQGGNASLSTMTNFTLSEFESIWAIVESAMVTTWTMGRGRKSMTSPKDAFFM AMSLLKHCNAWDKHALDYKMKAPTFEKMIHRVFDTVEPILYEHFVKPISMTHQVQHGH TFNNFPSALYCTDVKFQPSYRPTGRFDEAKHYFSGKHKLYGLKLEYSVAYPGVAVDMS EHSPGSVADVTMFMHRRHVHKDMLRKSASEMEEVDHDEGAEEYPDSWSILVDMGYQGI QHEVRSMQPKRRPQGGLLTARELERNARRVGAVPMDQRSTIREMSVSTGIAIGTLSRH LRKGTFRRRSTRIKPLLSDANKLERVQFCRSHALANFAGEAGSCVDFDPLWDVFHLDE KWFNADKDRRTVYLLPDEIPQRRSWMSKRFIPKVMFLAAVARPRYDEGRGSLFDGKVG MWPFISLAPAVRTSRNRPAGTLVTTLVNANALVYRDETMSSTKSYQQSRHHSQARTSA TSFSKTMRLHIVRSRMQCWSLPPTDGWTFEMRRQPPNSPDLNVLDLGFFASIQSLQLN KASPTVDEVIRYTLAAFDELSYEKLECVFLTFQAVMRLVLEHAGENNYALPHLKKAAL RRLCAAPARD H257_10333 MGCTLCTMVGDPAAGVPSATLPERQTDLERVEAQARVQSGVTSV TEGVVELMLRGRRHGIFNDDTEDGTTVLDAGDVTVDVPSTLSMEDNLLIVESLRANEL FSCLEPPHLEVLAKRMTVLRVHGGDIVYTQGDMGDTLYIIKSGHFDVTTNDDRMRALV VGNSFGELGLLYKCIRTETVGLSDEGGNYGDLFCLKGRTFREIVAKVSAGSLNISKDA LRKVPLLQSLTESQFDTVAGAVRALQFREGDIIVRKGEPGNVLYMIQAGTVVCTDIGA LDDIELHDGEYFGERALMKDEPRAATVYAKTGVHVMALDREVFTSVLGPLQELIKHNL MMRSVQSIPLLKDLSELQKQQLIDQTPLIPYTANQTILTEGAFGNDFYIVVSGQVNVT QAIDDRVVHLNTLSSGDYFGEQVGTDSLIPLNADAQAALQEVPARRNASVTAATAVEC LVIDHALFQSIRKPIQTALESNIDARNQMSQDKIFAASISISTLERTKVLGIGSFGLV YIAKHVPTGRFVAVKEMYKARLETSKQMGHVLAEKDLLSSFHHPFILKYLVALQEERK VYIVTESLLGGELFQRIVNPAGVPTPLPMDSARFYAGCVVKALKYLHTRNVAYRDLKP ENILLDSHGYAKIVDFGFAKKLTQKTYTLCGTPEYLAPEIVMGIGHGFMVDNWALGIL IYEMVVGDSPFADIKDDHMTICRSILRGKVEFRKDADPEWRRLVEGLLTREPTKRMSC LAGAVESHPWFNGFAWDDLLAQKMPAPWTPNVVAGDDVRWFSPVDTDELTELKEWDSV SPEKDWSEF H257_10333 MGCTLCTMVGDPAAGVPSATLPERQTDLERVEAQARVQSGVTSV TEGVVELMLRGRRHGIFNDDTEDGTTVLDAGDVTVDVPSTLSMEDNLLIVESLRANEL FSCLEPPHLEVLAKRMTVLRVHGGDIVYTQGDMGDTLYIIKSGHFDVTTNDDRMRALV VGNSFGELGLLYKCIRTETVGLSDEGGNYGDLFCLKGRTFREIVAKVSAGSLNISKDA LRKVPLLQSLTESQFDTVAGAVRALQFREGDIIVRKGEPGNVLYMIQAGTVVCTDIGA LDDIELHDGEYFGERALMKDEPRAATVYAKTGVHVMALDREVFTSVLGPLQELIKHNL MMRSVQSIPLLKDLSELQKQQLIDQTPLIPYTANQTILTEGAFGNDFYIVVSGQVNVT QAIDDRVVHLNTLSSGDYFGEQAALQEVPARRNASVTAATAVECLVIDHALFQSIRKP IQTALESNIDARNQMSQDKIFAASISISTLERTKVLGIGSFGLVYIAKHVPTGRFVAV KEMYKARLETSKQMGHVLAEKDLLSSFHHPFILKYLVALQEERKVYIVTESLLGGELF QRIVNPAGVPTPLPMDSARFYAGCVVKALKYLHTRNVAYRDLKPENILLDSHGYAKIV DFGFAKKLTQKTYTLCGTPEYLAPEIVMGIGHGFMVDNWALGILIYEMVVGDSPFADI KDDHMTICRSILRGKVEFRKDADPEWRRLVEGLLTREPTKRMSCLAGAVESHPWFNGF AWDDLLAQKMPAPWTPNVVAGDDVRWFSPVDTDELTELKEWDSVSPEKDWSEF H257_10333 MGCTLCTMVGDPAAGVPSATLPERQTDLERVEAQARVQSGVTSV TEGVVELMLRGRRHGIFNDDTEDGTTVLDAGDVTVDVPSTLSMEDNLLIVESLRANEL FSCLEPPHLEVLAKRMTVLRVHGGDIVYTQGDMGDTLYIIKSGHFDVTTNDDRMRALV VGNSFGELGLLYKCIRTETVGLSDEGGNYGDLFCLKGRTFREIVAKVSAGSLNISKDA LRKVPLLQSLTESQFDTVAGAVRALQFREGDIIVRKGEPGNVLYMIQAGTVVCTDIGA LDDIELHDGEYFGERALMKDEPRAATVYAKTGVHVMALDREVFTSVLGPLQELIKHNL MMRSVQSIPLLKDLSELQKQQLIDQTPLIPYTANQTILTEGAFGNDFYIVVSGQVNVT QAIDDRVVHLNTLSSGDYFGEQAALQEVPARRNASVTAATAVECLVIDHALFQSIRKP IQTALESNIDARNQMSQDKIFAASISISTLERTKVLGIGSFGLVYIAKHVPTGRFVAV KEMYKARLETSKQMGHVLAEKDLLSSFHHPFILKYLVALQEERKVYIVTESLLGGELF QRIVNPAGVPTPLPMDSARFYAGCVVKALKYLHTRNVAYRDLKPENILLDSHGYAKIV DFGFAKKLTQKTYTLCGTPEYLAPEIVMGIGHGFMVDNWALGILIYEMVRCPCRYSPH LDDPQRACFMMYVLRYGHHRWWEIRRLPTSRTTT H257_10334 MKTRRTGMRPNRAMSSSDSDDDSDDEEIGKHRHTQGHPSSLAPR GTIPDNPLVRPSSSRHPRAPPSKTRRSLYFQIVMALAAIGCFILGVFVKYRNLSSGII WGSVLSALSVVTVLLSYASDPLSRQHPNPLVFWRTIADGFFVVRLLSEQFVRCMKYQC SPLCATFNCGCAYTSDAIMGLLPAGKDTCIFFSGAFQFSLLASECWFLCMTLNLFLSL TNPFTDFKRNTRFFHLFSWGVPLASAILLMSVKNFAGYSDFDTCWTNALRGATFPRLT DTCVNESRTFILKDKTGSLQANYISWGFFYVPMLLFIVVGLVVWVWTYNRLREGLPET YGVRKQSIDRARFLVVAVSTYWTIIFAVYATSLSLPDGDTKQRWKEVVNFLMASKGYV DLVIWFHLNDFRVPKLSALCSKSDQTVDIDLNPQVNAALRREVLFYTTSGIIQAVQQA ETLPDHEHVQHLALRPQGASSSPNDDDSSAKHYTKTFHDYEPHAFRRIRQRFNVDNIR YLKSLSSTAKERLSEGASGAFMFFSGDGSLIVKSTSADECKFLREIADAYATYMCQHP STLLTRFYGCHCLELYGQQFSFVVMANLFATPQVIHTRFDIKGSWVNRKGGLPKRGKK VTCRHCNRKYVYQSTAKDDFNCDVRLGGHEPNVVLKDSDLTQKLKLDTAVAVALYRQL EADSDVLCGLGIMDYSLLLGVHDVEFKVDAAAAAEEEEAMLAMSARPRPRAGKRVANT VVGPAYYHLGVIDILQTWTLQKRMERFFKINLRRVDGDGLSAIAPDKYKKRFQAKMAE ILNVGHLIGTAPQQRDLYTAVQSSQMDVEASEVSGVVTDVHGGSGYLAHTGANPRGEG YTSGHIHL H257_10335 MDASSLPLLRAGPDLMRVGFQRASEDTRPVHEVQRLETHRRLRG FEGKMNSVEQIYGKAAAMRFRTEKVLLEQHTRLPGLPSSRCGLDTLLGNDETLDFADI LNDPQEAPEAQFRVHDIMEVKLAIF H257_10336 MGHLICCGRRPHSNTTVQSTGHEAGDASEDGVERFRRFWDDKLK DVADMLSLGRAPDDDEMSRNPFHVTLQLYAHKDVVDQLYLCYDEHPDEVEFYIPQLCT FLLHGQYDKNPALEFFLLSQCRRSLQFAHRLHWFLESFCGTTYRSEGLSATVHAHHAA EGGHLLQEIARQGGVPAKLFSMGLTESECAASKELMATRLMALQVAEAHMDSPEHLEL FQATPAFVRSLTDLADRLIPLPLAERKLHLHHGLAEIEAMYFPSASTIYLPVGDSMHR VKRVVIDESFPFSTKERVPYLICVEVVDQIIRRPSFSSSSKSYTMKLPFVNHRVTVAI SDDEANGRMTGGQDDLNLQAATTSGGGENTPDGRPSSTTTQDNTNKTFVVEARKKSFT LSFRHDDGESTDGVKHETAAAGKAREENDENNNAIKTQVMGQWAKRPQQPQRKQPDDK LRVDERHDVRSIDEDGGGEDQSPLEWSIGSPLSDNQSFLQPDAAPAAAAPVIAFRERW ADKQDRLRRLSPYGTLPGWRVVPVIVKSHDDLRQEQFAAQIIAQCHHIFVDAQLPLSL RPYAVLATSATSGLIEAVPDTVSLDSLKKNDPDYKSLLDFYERYFGSPTGSRFKRARV NFIQSLSAYSILCFVFQIKDRHNGNILLDALGHIIHIDFGFLFTNSPGGNIGFESAPF KLTDEFVELMDGPRSKLFREFRSLCVKAYWALHKNMDKLLLLVEMMLVNDQAELRLPC FSRGKKATMDGLRQRLNPGLGKVACQEFVNDLIDQSLDNWRTRWYDKYQYCCMGIL H257_10336 MGHLICCGRRPHSNTTVQSTGHEAGDASEDGVERFRRFWDDKLK DVADMLSLGRAPDDDEMSRNPFHVTLQLYAHKDVVDQLYLCYDEHPDEVEFYIPQLCT FLLHGQYDKNPALEFFLLSQCRRSLQFAHRLHWFLESFCGTTYRSEGLSATVHAHHAA EGGHLLQEIARQGGVPAKLFSMGLTESECAASKELMATRLMALQVAEAHMDSPEHLEL FQATPAFVRSLTDLADRLIPLPLAERKLHLHHGLAEIEAMYFPSASTIYLPVGDSMHR VKRVVIDESFPFSTKERVPYLICVEVVDQIIRRPSFSSSSKSYTMKLPFVNHRVTVAI SDDEANGRMTGGQDDLNLQAATTSGGGENTPDGRPSSTTTQDNTNKTFVVEARKKSFT LSFRHDDGESTDGVKHETAAAGKAREENDENNNAIKTQVMGQWAKRPQQPQRKQPDDK LRVDERHDVRSIDEDGGGEDQSPLEWSIGSPLSDNQSFLQPDAAPAAAAPVIAFRERW ADKQDRLRRLSPYGTLPGWRVVPVIVKSHDDLRQEQFAAQIIAQCHHIFVDAQLPLSL RPYAVLATSATSGLIEAVPDTVSLDSLKKNDPDYKSLLDFYERYFGSPTGSRFKRARV NFIQSLSAYSILCFVFQIKDRHNGNILLDALGHIIHIDFGFLFTNSPGGNIGFESAPF KLTDEFVELMDGPRSKLFREFRSLCVKAYWALHKNMDKLLLLVEMMLVNDQAELRLPC FSRGKKATMDGLRQRLNPGLGKVACQVCAYVRTWKDEEGSAYNIYVERERERR H257_10336 MGLTESECAASKELMATRLMALQVAEAHMDSPEHLELFQATPAF VRSLTDLADRLIPLPLAERKLHLHHGLAEIEAMYFPSASTIYLPVGDSMHRVKRVVID ESFPFSTKERVPYLICVEVVDQIIRRPSFSSSSKSYTMKLPFVNHRVTVAISDDEANG RMTGGQDDLNLQAATTSGGGENTPDGRPSSTTTQDNTNKTFVVEARKKSFTLSFRHDD GESTDGVKHETAAAGKAREENDENNNAIKTQVMGQWAKRPQQPQRKQPDDKLRVDERH DVRSIDEDGGGEDQSPLEWSIGSPLSDNQSFLQPDAAPAAAAPVIAFRERWADKQDRL RRLSPYGTLPGWRVVPVIVKSHDDLRQEQFAAQIIAQCHHIFVDAQLPLSLRPYAVLA TSATSGLIEAVPDTVSLDSLKKNDPDYKSLLDFYERYFGSPTGSRFKRARVNFIQSLS AYSILCFVFQIKDRHNGNILLDALGHIIHIDFGFLFTNSPGGNIGFESAPFKLTDEFV ELMDGPRSKLFREFRSLCVKAYWALHKNMDKLLLLVEMMLVNDQAELRLPCFSRGKKA TMDGLRQRLNPGLGKVACQEFVNDLIDQSLDNWRTRWYDKYQYCCMGIL H257_10337 MAAPLHNHNLAAVVNLVNEYAYLFGTNNGSPTQLLNLFTEDASL NDDFVGQSRGHNEIRRSLEELFGLEFLAEASHIPQGHFVSFVSEKEATATSYTVMFWK SNPVLIVKWTDVVVLVDGTWRFQSRTSEAIQKNLEHLGEMQLKGKKQYPQHTE H257_10338 MSSLAAARADNFYFPPEWRPEMGGINKFQKSHPLGKRAKDIKDG ILVVRFEMPFDVWCTHCNTHIGRGVRYNAKKTKVGMYHSTILYEFALTCATCKGIMIV QTDPEARGYKLLDGIKKKTQMDDVEWGTHDDDTLERLNAPEVGIALAADPFFKLEHDE ADKRVAKKRSSGLMDLIDRQDTLFSDPYKTNASLRKTFRGEKREIKRKADDAAARHLS IPLADIHPSDVIASKSVLFHNLTKRSHAPPRGKMSSVQINRKQQLRVSTSSSSFSQFG NLEASSRKPTMVAAVKRRRT H257_10339 MSKGQGSTDDGTPPPRMSSTSPGLWASVKMAYQGLVHTVIRPPR SHYALDDLGPSTCIFAHNLLVGREDFTLRNDKGLAVECSWWKPQHHHRHATTETRMPC IVVLHGNSSSRLGCMETLFHSLAAGFTVCAIDFSGSGLSEGKYVSLGFHEKKDISLVL THLQSTGEVSNIILWGRSMGAVASILCAAEEADDVAGGACITAMVLDSPFSSLKQLAM DLVDDGKLNVPKFAVSIVMRFLRRDIQRRAKFDMFQLTPKAVIHKCAVPAFFAIGSQD ELVSPSHVQLLHDRHRGPKELLMFPGGHNSVRPTEFFARAVNFCRVMCGLLPMSETAA GGGGGLSPVHVRHPLATDLSVEQVRAMSIKELKAVLHRADIDVATVVEKAELVTLVLK MHARHVRMRVNSDVESRRRTTSPMQRRHSTGTDDPATCPEDIIVGGVQVTATAAPPTS H257_10339 MSKGQGSTDDGTPPPRMSSTSPGLWASVKMAYQGLVHTVIRPPR SHYALDDLGPSTCIFAHNLLVGREDFTLRNDKGLAVECSWWKPQHHHRHATTETRMPC IVVLHGNSSSRLGCMETLFHSLAAGFTVCAIDFSGSGLSEGKYVSLGFHEKKDISLVL THLQSTGEVSNIILWGRSMGAVASILCAAEEADDVAGGACITAMVLDSPFSSLKQLAM DLVDDGKLNVPKFAVSIVMRFLRRDIQRRAKFDMFQLTPKAVIHKSVPKYSVVLPYIS VLIFFSIFLFRCAVPAFFAIGSQDELVSPSHVQLLHDRHRGPKELLMFPGGHNSVRPT EFFARAVNFCRVMCGLLPMSETAAGGGGGLSPVHVRHPLATDLSVEQVRAMSIKELKA VLHRADIDVATVVEKAELVTLVLKMHARHVRMRVNSDVESRRRTTSPMQRRHSTGTDD PATCPEDIIVGGVQVTATAAPPTS H257_10339 MSKGKRRRHAFVPPSLERHTVVYAVGQGSTDDGTPPPRMSSTSP GLWASVKMAYQGLVHTVIRPPRSHYALDDLGPSTCIFAHNLLVGREDFTLRNDKGLAV ECSWWKPQHHHRHATTETRMPCIVVLHGNSSSRLGCMETLFHSLAAGFTVCAIDFSGS GLSEGKYVSLGFHEKKDISLVLTHLQSTGEVSNIILWGRSMGAVASILCAAEEADDVA GGACITAMVLDSPFSSLKQLAMDLVDDGKLNVPKFAVSIVMRFLRRDIQRRAKFDMFQ LTPKAVIHKCAVPAFFAIGSQDELVSPSHVQLLHDRHRGPKELLMFPGGHNSVRPTEF FARAVNFCRVMCGLLPMSETAAGGGGGLSPVHVRHPLATDLSVEQVRAMSIKELKAVL HRADIDVATVVEKAELVTLVLKMHARHVRMRVNSDVESRRRTTSPMQRRHSTGTDDPA TCPEDIIVGGVQVTATAAPPTS H257_10339 MSKGKRRRHAFVPPSLERHTVVYAVGQGSTDDGTPPPRMSSTSP GLWASVKMAYQGLVHTVIRPPRSHYALDDLGPSTCIFAHNLLVGREDFTLRNDKGLAV ECSWWKPQHHHRHATTETRMPCIVVLHGNSSSRLGCMETLFHSLAAGFTVCAIDFSGS GLSEGKYVSLGFHEKKDISLVLTHLQSTGEVSNIILWGRSMGAVASILCAAEEADDVA GGACITAMVLDSPFSSLKQLAMDLVDDGKLNVPKFAVSIVMRFLRRDIQRRAKFDMFQ LTPKAVIHKSVPKYSVVLPYISVLIFFSIFLFRCAVPAFFAIGSQDELVSPSHVQLLH DRHRGPKELLMFPGGHNSVRPTEFFARAVNFCRVMCGLLPMSETAAGGGGGLSPVHVR HPLATDLSVEQVRAMSIKELKAVLHRADIDVATVVEKAELVTLVLKMHARHVRMRVNS DVESRRRTTSPMQRRHSTGTDDPATCPEDIIVGGVQVTATAAPPTS H257_10340 MKVALKWGKQSFDVDVDLEHGTVELLRAQIYAVTNVPVDRQKLM SKAWKGMLKDDVALSSLDASKLTQIMLMGSADEVKAPEVKTVFTEDLSSSDLASAGVT YPAGLTNLGNTCYMNSTLQCLRYAPEFREALKEYKGGMTSDLAHNFTSSLRDMFYQLD ANIDSIPPILFVDTLRRAFPQFAQQGPRGGYMQQDSDEFLSSLFGTLARQLKQPTTTI KSLDTTDNMVDALFGLEMEETLQCAETDNEPVISKKEKALKLVCNITKDTNHISEGIT IGLEGTIEKNSDVLGRNATWKKSQKINRLPKYLCVQFMRFYWKLTPESRDHTGVKCKM LRPISFPMVLDSFAFCSDELKAVLKVGRDKNADLILNEFKDKKVDGGAAAVEVADVEM DEDAAALAAAKTMSLTGGRATAGIGLPLDFQGNYELFAVVTHKGRSADSGHYIGWARV HDDDWLCFDDDNVTPCKSEDIQKLKGGGDWHMAYLTFYRAKN H257_10341 MPLLGWNYVGAVGLVVAAGLFRKQVIETILKLLMRALSAQRYKT ANAAIREFEKRVLLPLSWVLFVVSILVAIKFVSLDDVKTLNTFVLMVLGAAVLWTVFQ FCAFLNIVIIRSQGWERSSSKDDSSKAMIVSEGIGMLKYVLGAVVFYYFFFNQLDFST TEVFTTMVLLMEVLFVLSSHTWFRNVMGGLVLLIDEPIKSGNHVQVLGHAGVVEHMYL QYFTLRQYDKGLAFIPNGVVFHHTMDVHAKSQHSCFRITIPLSPATSAAATRAFVRDA DCYLAAAASSARGEAAAPLSSVRGLPPPDKRANPNFTLAVKALTRSSLFSKPTAAASA IDPRGHLDSPINPSRFWIALTDLHTIEVVYFFAPNMKFRHVVEQKQKLVLGVTKLLET NQLHLRDDDDRHHDLPDMSHEPILTSSSCPAAASSIGFNLQYNTQPCSPSPPSQPPCL AANDPKDDMNTPISIQASERPSSVLHRRSAATSQSPSSSVVPTTRNIS H257_10342 MSVLFAPKRKRQAVLDTAPLVPTSKDAAKKEEDVSVSTNIVAAA PTPTTEPTSTTSILTTFADLGLDPWIAAKCKLLGLIKPTPVQANCIPPILAGRDVMGC AQTGSGKTAAFALPILHDLAKEIYGPFALVLTPTRELAYQIAEQFQALGSGLSLRTCV VVGGVDMMTQALTLQQRPHVLVATPGRLRDHMLRANPPNLKLIKYLVLDEADRLLTAT FAKDLAYLLGTSVGTSHQTLLFSATMTADLTSLEKMAMTNPFRFDATPTATTVTTLKQ SYLFVPAQIKPTYLLFLLQTLVHIDDPDESSPSKKPKKHRRQEVVGPVAMPNNSSSQS MIVFVATCRMCQLLCEIAIEFNLPVVALHAVMGQSRRLAALGKFKAGTARILISTDVA SRGLDIPDVSTVVNYDLPRDADDYIHRVGRTARAGRHGSAISLVTQHDIQLLHNIEAK VGKPLANYEDHAPEPQVLKLLNDVTTATRVAKMRLTEHGFDDRVAARKKKWTKK H257_10343 MASAKGSVVSAKIPLGILVNVCGIMESSLVVVRRVLREFFGAMA SLRQSALIVASVNSIGNGTELHRSVCALDALLQQIERIRSVTGKRDIGQHIRHECSCR RDLPIAMRLLQQAEYHIVYITAPTTAPRGDELKNFVRGGNVVVQFILLRSEVEDIQST ADSLMALVDATADMPSVSIVQSEDTLIDVRNTMKPWLRKLQAHMTAVVRWPPSSPDDM STTSLQLVLRSSFSLHETQESQWHLLHAGLAHLTALHVVPLDSVDGSVVIGLPLTSDA ASDPCVDPVAVNMHYFLRTNQSGIVVKCRNKAASALEFYLLVAAGTTYSSQCPFMMYR LAPTDQVLLPPPTAHEPFDLPPIDTSFNPSIPNQRFNPFDYDSGVGDVTTHVVTPVPI DVAPQAVLPPARSLKTKARRFL H257_10344 MQKRRQLPVVHQGDAVLRSKELLRLVFDYQGGIFFEFMPLHLSM APFRRVRRGEAIAQAVEDRPTDDHTLLHDILSAWLHHSSPFTLVKMLQCMHYMRSLVA FHAVCTGNLLLVHQLHDAFGICTFDGPLLDLAARHEHLRMLLFLHKEGHPGCSTAAMD AAAKHGYFDIVTFLHFHRTEGCTTDAMDDAAAAGHEAIVLFLHVHRTEGCTSAAMDRA ATAGHFDTVMTLHNIQAGCTSDAVDGAALGGHLRIVILLLLIRHEIATPRALMHAARR GDLAMVKFVYSHLREGLSYADMKRIIDRTRDADVLSFLGSISLLPFSDEDDDD H257_10345 MNSYHVKYKCLQELEEGTLKPREAAKKYNVNNRTISRWKADAES IRQRVESAPPGAKLTRKPRADFKRKERPLSKDERRFKMFVESRGKTSKLRMADVIAKC QQLLPTSAGKQGHNLYMYVFRWLRRHKLMECVTFNTTGRPKAHTSDEDNDIDDIDDIM DEAADAAYYDAQASSHMGPSSSSSADESSDGGKAARSDEMSVIYALTALAEGNSGKTG NRSKKQKKAKPSAQVQPDVRDGKAAGGQPDKEGSEGSKEQQQQSPTMPTEASTQEQS H257_10346 MAFRDDMSSHNIDSSGATFEDLAIDDTLTDLERVVRYVHSNIAL QRVIHVRMLEETAVAAGFEKTVEAIVPVLEPLVSDAEFVVRQHLAGQFPGLCKHLVES GGDVGYKLVLDKMVPLLNRLVTDVQAEVRHIATDSVVLVAGVIKPEDQGQHILTLVLP LAHEDGDEQMRITALTLLNKLAVFLGPDLCCQFCVPEFISLAGDPVFRVRKAIALNCV QVCTIAGPVVTEDRLLPAFLRLAKDDIWGVRKACAESLSDFAMTLSGPTRARVLAPLF STFATDQSKWVRIAAYQQLGPLIATLSRDGVSDVLVTAFTNMATKPSTLLLGGAEADI KFHCAYNYPAVAATVGPTLWGQLAAGFDALTHDGFWKVRRTLSYSLHEMAKLLGPDLT DAHLVPAFAYFLDDIDDVKLGSITHFGEFLARVPPSSRARFLPELAKFTALPPKFKLK WRIRAVVAEQLPAFCTVFETSATFDTVAPLVFALSQDDVACVRDASIAAMPSLFASVK DSAEWTDALTSQLLDLQTSPSYLTRQTFLRITRAFLLAGHVDVFDARLRAPFVHLAAM DLVLNVRLLAARILVDVAAVYDAPAEVVAALGKETDADIQAVLAQLAHKREARERALP A H257_10347 MVLHQTTEHEYPYSWDVVSRAFWNKYPNATLTHVERVDVLSRYM DADGRLHTARLIKCTQNNMPSWVVSMMGKFSYVYEETICNPVAKTLHLKSTNLSYRSV ATVHESCVYRPHPAGEGGALAHTMYTQDAEISAFVPFVSQKLESFSVSRGAETAARGL SAMEALCKEIFHGQTAFCEPAPSKSNSTN H257_10348 MAGPTTRKKGAHCKKKGYKRAHATKSRSRDIDQIQDDLKKEVAT GAKIAFEADEDLPGLGQFYCTPCGRHFITANARDVHIVSKVHKRRLKDVAQEQYTQNE AERAAGKSIEAYTPAHAPAAAVASS H257_10349 MATADEVEVTLEEVLQEDNELIDTADAVLGNASATHCSFPDGYI RQALFACLTCKTDEPAGLCLACSLTCHADHELVELYTKRHFRCDCGNAKFNQDSPCKL YEGKDSLNSENVYSQNFHGLYCACHRPYPDPDRTTPEVMLQCIVCEDWLHEEHLYEDS TAALGADVEFDEMICRTCMASLPFLYHYVPTTTTTSCTTTISTTESTSKAACPSTTAI TVPSPPTPTFWTHGWRDSLCQCAACMATYASSACEFLLDAADSLMAYEASHESTSGQD ASEQAFQTGLSHEQQVEMAIGYDHMASALKEYLAGFAASGQTVKAEDIQGFFETLRAS KRQRRE H257_10350 MMQGFQPVPLASAAKPHANAGNRITYLNNAALNHAQRFRGNRIH TAKYTVLTFVPKNLFEQFRRVANIYFLILSVLTSMPFSPKNPVSLIGTFLAVLVFSAA KEGYEDYIRHLSDADINTRHVDVLADVASSSSSSAPPPPPLRPSESSQSPSPSITLPL LQFPVKTIKWHQLQVGDLVLLRKNDEVPADCLLLATSDADSGVCSIDSANLDGESSLK TLYAVNGGMPPEALASLRGRVEHESPTASLASFRGTLHVASATPVLLTLNQVLLRGCS IRHSKWAVGVVLYAGHDTKSFLNGSAPPYKSSTVMLTMNRCLYFVFFVQGLLCTVNVI AMLTWSHSSRTPYLYTPNTSSSSSANGGQVYLTFLVAYSNLIPISLYVGIEVVKLIQK YLVEHDTDMALVVATRSSPPRTATPPSPPDQGGPLPPSSSSSPSSYSSFAECRTSNLV EELGQVQLVFTDKTGTLTCNEMVFAACAIVGTGRAFSFDRPRRLDTRVPPAPPCPTTH ARPRLHLPSLVLPRLTTSTGTRVSGTLGNKFTSYNRASESTVVDVQFLNQASLLVPAT TARTNVFPLEGSSDAWASLYDSCGQHPRVRQRQLDFWLCLALCHSVAPETDDDDPTNF MAIRYQASSPDEGAMVAAARQMGIVFKGRSASSVVVWNRLTQTDETYRVLNVLEFHSA RRRMSIVVRGPDGRLRLFAKGADAAILKRLAPSSSSHDPDATSASVAWVTHHLTAYSE KGLRTLCVAVRDLDDSTYNAWSDSFRAANMLHDHDKRDAHVAAARNAIERDLQLLGMT AIEDRLQEGVPDTIRRLLAAGIRVWVLTGDKEETAINVGHACHLLSPTSRVHRLSRFK TEGDMYEYFVELIELLDQPRATKGAPADKLVQDVMVLDGEALALAMLPSTRQTFVSVA LRCRACICCRVSPKQKAQVVQLVREHVSSMVTLAIGDGANDVSMIQAAHLGIGICGHE GTAAVRASDYSIAQFRFVAKLLFVHGAWAYHRVCKFILFYFYKNMVVVFTEYWFAWSS GFSGQIFFPDMLSLAYNALFTSYPCVAGFSLDQHTSTTAVLTFPKLYQIGQLRQSYNE YLFVVHIALAMYHSALCYFIPQWLLAHDVAGSDGVVVGQWGVSIASFASVVLVVTVRM LTQVKCFNYVVVAITVLSVLVDYGAMLILSTPAMARVLQPHAHSVMFVLLVEPRFYLS VVVTTTMSFVTDLAGQYIQRQCFPTPQDIANELHHDGGAVTAASTNLPSTTTTTTLHN IVQPMH H257_10350 MMQGFQPVPLASAAKPHANAGNRITYLNNAALNHAQRFRGNRIH TAKYTVLTFVPKNLFEQFRRVANIYFLILSVLTSMPFSPKNPVSLIGTFLAVLVFSAA KEGYEDYIRHLSDADINTRHVDVLADVASSSSSSAPPPPPLRPSESSQSPSPSITLPL LQFPVKTIKWHQLQVGDLVLLRKNDEVPADCLLLATSDADSGVCSIDSANLDGESSLK TLYAVNGGMPPEALASLRGRVEHESPTASLASFRGTLHVASATPVLLTLNQVLLRGCS IRHSKWAVGVVLYAGHDTKSFLNGSAPPYKSSTVMLTMNRCLYFVFFVQGLLCTVNVI AMLTWSHSSRTPYLYTPNTSSSSSANGGQVYLTFLVAYSNLIPISLYVGIEVVKLIQK YLVEHDTDMALVVATRSSPPRTATPPSPPDQGGPLPPSSSSSPSSYSSFAECRTSNLV EELGQVQLVFTDKTGTLTCNEMVFAACAIVGTGRAFSFDRPRRLDTRVPPAPPCPTTH ARPRLHLPSLVLPRLTTSTGTRVSGTLGNKFTSYNRASESTVVDVQFLNQASLLVPAT TARTNVFPLEGSSDAWASLYDSCGQHPRVRQRQLDFWLCLALCHSVAPETDDDDPTNF MAIRYQASSPDEGAMVAAARQMGIVFKGRSASSVVVWNRLTQTDETYRVLNVLEFHSA RRRMSIVVRGPDGRLRLFAKGADAAILKRLAPSSSSHDPDATSASVAWVTHHLTAYSE KGLRTLCVAVRDLDDSTYNAWSDSFRAANMLHDHDKRDAHVAAARNAIERDLQLLGMT AIEDRLQEGVPDTIRRLLAAGIRVWVLTGDKEETAINVGHACHLLSPTSRVHRLSRFK TEGDMYEYFVELIELLDQPRATKGAPADKLVQDVMVLDGEALALAMLPSTRQTFVSVA LRCRACICCRVSPKQKAQVVQLVREHVSSMVTLAIGDGANDVSMIQAAHLGIGICGHE GTAAVRASDYSIAQFRFVAKLLFVHGAWAYHRVCKFILFYFYKNMVVVFTEYWFAWSS GFSGQIFFPDMLSLAYNALFTSYPCVAGFSLDQIGQLRQSYNEYLFVVHIALAMYHSA LCYFIPQWLLAHDVAGSDGVVVGQWGVSIASFASVVLVVTVRMLTQVKCFNYVVVAIT VLSVLVDYGAMLILSTPAMARVLQPHAHSVMFVLLVEPRFYLSVVVTTTMSFVTDLAG QYIQRQCFPTPQDIANELHHDGGAVTAASTNLPSTTTTTTLHNIVQPMH H257_10350 MMQGFQPVPLASAAKPHANAGNRITYLNNAALNHAQRFRGNRIH TAKYTVLTFVPKNLFEQFRRVANIYFLILSVLTSMPFSPKNPVSLIGTFLAVLVFSAA KEGYEDYIRHLSDADINTRHVDVLADVASSSSSSAPPPPPLRPSESSQSPSPSITLPL LQFPVKTIKWHQLQVGDLVLLRKNDEVPADCLLLATSDADSGVCSIDSANLDGESSLK TLYAVNGGMPPEALASLRGRVEHESPTASLASFRGTLHVASATPVLLTLNQVLLRGCS IRHSKWAVGVVLYAGHDTKSFLNGSAPPYKSSTVMLTMNRCLYFVFFVQGLLCTVNVI AMLTWSHSSRTPYLYTPNTSSSSSANGGQVYLTFLVAYSNLIPISLYVGIEVVKLIQK YLVEHDTDMALVVATRSSPPRTATPPSPPDQGGPLPPSSSSSPSSYSSFAECRTSNLV EELGQVQLVFTDKTGTLTCNEMVFAACAIVGTGRAFSFDRPRRLDTRVPPAPPCPTTH ARPRLHLPSLVLPRLTTSTGTRVSGTLGNKFTSYNRASESTVVDVQFLNQASLLVPAT TARTNVFPLEGSSDAWASLYDSCGQHPRVRQRQLDFWLCLALCHSVAPETDDDDPTNF MAIRYQASSPDEGAMVAAARQMGIVFKGRSASSVVVWNRLTQTDETYRVLNVLEFHSA RRRMSIVVRGPDGRLRLFAKGADAAILKRLAPSSSSHDPDATSASVAWVTHHLTAYSE KGLRTLCVAVRDLDDSTYNAWSDSFRAANMLHDHDKRDAHVAAARNAIERDLQLLGMT AIEDRLQEGVPDTIRRLLAAGIRVWVLTGDKEETAINVGHACHLLSPTSRVHRLSRFK TEGDMYEYFVELIELLDQPRATKGAPADKLVQDVMVLDGEALALAMLPSTRQTFVSVA LRCRACICCRVSPKQKAQVVQLVREHVSSMVTLAIGDGANDVSMIQAAHLGIGICGHE GTAAVRASDYSIAQVFGISSTIKQMIYISFSDDIYIIYCKLICVCVCNHSFGLWPSCC LCTARGRTTACASSFCFTFTKTWLSCLPSTGLPGPVGFLAKSSFPTCCRSRITRCLRR IRAWQGFHWISTRRRRPCSRFRNCTRSASSAKATTNTCLSCTLHWQCTTRRCVTLFRS GFSPMTWQAQTASWWASGV H257_10351 MSVAESLHMWDEINRLKQHVADLQRNERMLIDAANAAADDRDRF VTHPQLQAGLALKASTTAVPTEIGKLRADLLAAIATKADVTLVDAVHLKKLDIATFDA QVRATDKLRFILEQMLRDMFATFALHVERDVRDVQALLDVNEKRILGALTGLESAKHD HSFLCERVRAVERKTGGGQPKNDAGTFFRTDDQTTFQSFEAAVNTLHAESVVANAATA QLSATIATMQAAIDAVHTETSKSEHDIGAAIAAEVRKAHAADAKLFQAIEAKQAELAE NVRRAQETAALAVSAVDDFKSDASTILQNTCDKNVAAAVKAMGTETNHLRDLIKRNQY VASEHTKTVEGMVRCTSQALTALEKKLHALGIVCHSTRRDIVEMKAPFLTEVANLKAE NNAILHEIRRQQDVSRELVLDYKDYVDQHDANPLKPQKPVSARRPHSSVTPVNLTKRF GVVPDCHVMPPSSVACGRVTKPRAKTAGPNRTSGTVPPSMAVAVAPGRATRRLPPPST SDDNGDDGHDHCGDLFAVGCIDPVENAFLLSKSPLGYQSNVLENNNTIE H257_10351 MWDEINRLKQHVADLQRNERMLIDAANAAADDRDRFVTHPQLQA GLALKASTTAVPTEIGKLRADLLAAIATKADVTLVDAVHLKKLDIATFDAQVRATDKL RFILEQMLRDMFATFALHVERDVRDVQALLDVNEKRILGALTGLESAKHDHSFLCERV RAVERKTGGGQPKNDAGTFFRTDDQTTFQSFEAAVNTLHAESVVANAATAQLSATIAT MQAAIDAVHTETSKSEHDIGAAIAAEVRKAHAADAKLFQAIEAKQAELAENVRRAQET AALAVSAVDDFKSDASTILQNTCDKNVAAAVKAMGTETNHLRDLIKRNQYVASEHTKT VEGMVRCTSQALTALEKKLHALGIVCHSTRRDIVEMKAPFLTEVANLKAENNAILHEI RRQQDVSRELVLDYKDYVDQHDANPLKPQKPVSARRPHSSVTPVNLTKRFGVVPDCHV MPPSSVACGRVTKPRAKTAGPNRTSGTVPPSMAVAVAPGRATRRLPPPSTSDDNGDDG HDHCGDLFAVGCIDPVENAFLLSKSPLGYQSNVLENNNTIE H257_10351 MLRDMFATFALHVERDVRDVQALLDVNEKRILGALTGLESAKHD HSFLCERVRAVERKTGGGQPKNDAGTFFRTDDQTTFQSFEAAVNTLHAESVVANAATA QLSATIATMQAAIDAVHTETSKSEHDIGAAIAAEVRKAHAADAKLFQAIEAKQAELAE NVRRAQETAALAVSAVDDFKSDASTILQNTCDKNVAAAVKAMGTETNHLRDLIKRNQY VASEHTKTVEGMVRCTSQALTALEKKLHALGIVCHSTRRDIVEMKAPFLTEVANLKAE NNAILHEIRRQQDVSRELVLDYKDYVDQHDANPLKPQKPVSARRPHSSVTPVNLTKRF GVVPDCHVMPPSSVACGRVTKPRAKTAGPNRTSGTVPPSMAVAVAPGRATRRLPPPST SDDNGDDGHDHCGDLFAVGCIDPVENAFLLSKSPLGYQSNVLENNNTIE H257_10352 MERVLSALRSSESKIRLSGVGAADPYIDTEGWEELLSALVGCLS DNNSKVAQGSLKVLAKLVASPHKSPDALRPCFALVWGPLKEKMGDSKLPAREAATDLL LVFMDKLGMSSMMDRFKLCAGHKNWRTREQILVAILLAMQRFRGDPNRLCLDGLVDMA LKLLEDSAKEVRDASINVLEALYSLRGHALLADLQSKHIRNTHMRMLLSRFGADDLSS LARGSTAQSSSPPSSSRSLPSSPPKALAPSPSPASGNPPPPATSFLGAAPSLQLETTC KYSDRELMMELQQIGHGLATGTDWAKRVEALQQLQRVVASGGAFHAGFAPSLRALREP LCEQVGDLRSTVAREACATITALASALTGDDSWAHLVEFFVAALLKATYVTIQVISTS ADACIKSIIHSGRGGGGYVKALAKFIEGVRARNQVLRLHCVEYVTLALTCWHVTVLDK YVDTLVGLLPAMLLDAQADVRAASRKCFWAFHAQYESRALHVLHHQLDSSTQRRLQDD RQPCDTSTTTSSITTATSSSSTSTSTAAGSVVSFPSRLAQPPPSMHASSHVKSGALRV ENVDAVTSSLSSSSSSSAVASGPARVLSRKSVPLKDPDDASVQRVVQGPLRVLSTTSS SISSTTTGVASSSSTGDHNPTTSSLSAAAAGAKRVEFPSTAAMSTSVVASSHSTKSLP PPSGHNARHGDSATWIKGQYATEVEKDEMAILGLLDKADDALWLTRLAALDHLVQVVQ SSSSSEDGVVFVASVKMVKLVQRRLGDSHYRVVHAALKLTLALLTASTSTTSSTSLPW KSILPKVFAKAVDVKDSVRLAAESVLNAFQTVVDASALAVAVASTMLDGIPTKVKAVV IAFMTPLVPLAVDVFSNTSFVRSLVLKIVLLVEHDGTSSTSSSTTSAAVGGLVHALYA NVAPTMAVVESHLPTAKATLLQRYKAASTTTSRSRPLVVDPPSSPATLLTSEKRRLLG LEGDSNNVMTTRVDEASPYSTHQENCDAVVGDLRKKLGREPRRRNNQTSLEQVLSTLE LIQKSNASTDDILHAVHAVLPEFRAAAADAVDVYLVQTIPALLDVALGCGDADEFKGM QTRIMQTVSGLVHEHRGTVVAQLEPLVVVVLERCRRSNNIVSPSSLLGQYFVDKTLQL CLATVNAVAQVELLVPLLSTLTSTDVQVVLKCLRLALEQLDMESFAASATTSFDATAA AVISCLHHSSSGVRKNAVACLVALYFLGGSAACAVHLDKLPPHHQKLVGLYIDKKARQ HSSNNHTTSSTTTMATPPSR H257_10353 MEPPRRGRFLAKDPAHANSDNIRGIFGTLPLAAMLQEQHERQHD RTDMGGSMTTLATQTIDRLETAMRHLEDMYGYVTNADLQGHARSSMLTELGSVILEAT KAADATIALFHDTLASLPPSPRSRWPLPRVDAISSKASPSSPPGTTHLPCPSNSTADL DQASVVTAESPPRRRKVDDADLPLVHPPPSISKIPPKQRHLNRPSSPPKPTAAALLCP TTRQLLMDLHVEFHAKKAEFKNLRRRVGDAKRHTKMHIQDHTHVLRGLERLQHDMPL H257_10353 MRVRVEQHERQHDRTDMGGSMTTLATQTIDRLETAMRHLEDMYG YVTNADLQGHARSSMLTELGSVILEATKAADATIALFHDTLASLPPSPRSRWPLPRVD AISSKASPSSPPGTTHLPCPSNSTADLDQASVVTAESPPRRRKVDDADLPLVHPPPSI SKIPPKQRHLNRPSSPPKPTAAALLCPTTRQLLMDLHVEFHAKKAEFKNLRRRVGDAK RHTKMHIQDHTHVLRGLERLQHDMPL H257_10354 MKSLKYVVIRDGRIGGVTVEDNQRVWELKKVIKDANRISIDAKD IELYPAKTKDGKWLTMEGDELKMLKNGLLHETIKNIMQHGNAMDPTYPVGDAAFSVVP NDGAVGDIHVLVDLPARRETEFVVNGVRYPVIHHRFSLHPVRHSIDLYPPELVAFWTA LRTNHTAVEANAVVKLPEGIHLLGDPALGSQVYIRPCYPPLLKLCWEIIHDPKSPHLV ILGNPGIGKTFFGFFILLQLARENKTVVYESGLSKSRYLFAGDTVVKGSQDDFVDILD LPTTYYIVDAAQPPKCQAMTILLTKPKLKVWYSFAEDNCDIRYMPVWTWDEISTCHKL LYPDLELNVVTDCFRRWGGVPRYVLQYALRDTQQSLLERAIAVVNCNWVTNAIRMLDA YYCDEAYRLLQSHTSHVLLHYQVSDKFMKLHVDFASQYVQDEVYKRAWRDDPTTLVEF MTAASYTGSDEFAVLRGRMFESYVHSVLPRGGRFQIRRLEPSEGGSGEANADQDDKGG EDEEKADDGAVETKGDVAAQVMAHGTGAGLAAWDIHEDEGIVEVSPQRAVVFNTKAKV ASAASGTYLRPAIKHDLPVDAIVKPDMLVTIAAKHLNKQMDLDDALDLLGNPVAPRLF FVLPPGEFDDFPYQRDFESKGNAKGVFKSVIQQFAMQVTPAVVQREMTQPSRDGPSKV AKRSRHE H257_10355 MHWWSEFISTMVRGGGERLTEVERLSILDELAGTRIRSVRAIAR SYAVDESAIRQLWKKRATVLQRSEGIPANVLATRHRLREAQYKKLEDLLFKWIIQSYL YLHL H257_10356 MLPTKLPSLSKSWPSGDSGDQAAGYHSSLPREQDAPPVLPGHLV GLRPAHFVRDVLDPRRERILRFWSDVDIDQVEENHSQLVAAYYNDPVLRRSLEEHDNS TTFDDALGRRPSSMAASVRVLRWTSDHQSNRTFRSSNGMLQRCQ H257_10357 MKSLVYMVIPDGSIFGVKVKDDQQVYQLEKMIQDDNPYSIGVTA IKLYLAKKDGEWLTTDDDEVKVMRAGQVHQTIKDMTRQGNAMDRARPVGDAAFSFPSY VTTGTIHVLVDVPMLPGTPGATSQGVIESGAIVVFFKRAAQDGVVVVGGDQLAMIFLD LVDVDIRPEAKNALTTRVQHITNEVGRPKSNQMTRTAALSATSKRSKQSRAIKRVNGL KYLTSTRLLRFRDPSSVISTLLRPSKVLKLHRTIGLPSWSLETISRPNSNSA H257_10358 MKALTCMVIRDGSTFGVKIKGDQQVWELKKMIKNDNDFAVDAKD IELYPAKKKDDKWLTMEDDEVKMLTNGLLLQSMKDMMRPGNTIDPTYPIDNVAFGFPS DVTTGEIHVLVDVPTQRETGFIVNGVRYPVTQGMDLYPPALVAFWKALGAVVEANAVV TLPKEIYLFGDPALGSQLFVRPCYPPLWKLCVVTPTRVLMGQTQTDAVAYCQGVVDEL FGDLLMHGRLGLLGYAQTTDELLRLLRKVLEIYHAYGLKLHPGKYGSGSPAVPVHHEL DEGEHPAVHGAGSPIDEAVGYCGQSGRLPEEDGIDSCCAEFFRVVPGPPGVFRPMVPL SHPDPDKMVCLYTDASEGFWGAVATQVPCHTLLYSKLTLDVVTDRFRRWGGVPRYVLR YALVDTLQSLLERAIAVVNCDWVTDAIGKLDTTCPAAHRLLHYQVNNKFIKQHVDFAS QYVQDEVYKRLCHDNQHRLVQFMAASNGVGDLGVLCGYIFERYVHSVLPHGGSFRIRR LIQPDGVCPSLVDNDDHYDEGSDDEEKSDDAMDTEGENVVAEDLDPGEGSAAAPVVWD IDEAQGIVNVPPHETVMFDNDNEVITAPNGVYLRPKNQHFQSVDAIVKPDILLQVTVA AKHPCKQKGLGNALKLLYHPLAPKLIFVVPPSRFADFKYQQYVPSPGKLDTTCPAAHR LLHYQVNNKFIKQHVDFASQYVQDEVYKRLCHDNQHRLVQFMAASNGVGDLGVLCGYI FERYVHSVLPHGGSFRIRRLIQPDGVCPSLVDNDDHYDEGSDDEEKSDDAMDTEGENV VAEDLDPGEGSAAAPVVWDIDEAQGIVNVPPHETVMFDNDNEVITAPNGVYLRPKNQH FQSVDAIVKPDILLQVTVAAKHPCKQKGLGNALKLLYHPLAPKLIFVVPPSRFADFKY QQYVPSPGKVTTNKCVIEQFAMEVTPIVQDPMKQPTSEGPKKRVKRS H257_10359 MDTEGENVVAEDLDPGEGSAAAPVVWDIDGKKGIVHVPRQQPVE FNSDSEVATAAIGVYLRPTIKHDLSVDAIVKPDVVVTIAAKHPCKQKDLNDVLNLLGN PPAPRLFFVVPPNGFADFQYQQYVTQEGTPTTHLSASVRSIHQYVMQVTPSVQAGMTQ HPSSDEGTKKRLRDE H257_10360 MWLQIASVAGVASLATFCGMHSLTLSNYCLKTHLTLRNATGW H257_10361 MSAQRLFKTELNELQDTMEHMCFEAFFDKVRYLRQRMMDAQGSI TKVNAFVLDVENWIFMQNVIEEFQRTQTSGISYIDTEYRSLYHSVTKRELHHPTTDGY GSSIPTLSSSRQLRQPTSDRGMMTSTSAYQDQLSRASPVNSASINTTAQFQERSGAHH PRGRLNDHLSACHPIARQQSTPRALFRRLVKPNTPPTHPDSTAVVGASTSSSNGLVYT DMVLASSPDPMHAREVDYIVAERTVKKTRSSLTLQYQVHFGRPTLAGCKTSWVDVALL SRCPEAVGLYKRWQQYCRRCNCKISFAKYRVRDVLHLELGESPSKGCLTAAFTLAATS LGLAITVSGDALREFISQENLPPNSGLKIDHGVFFRDLLGKRVGCAHHDLYKALKNEG DVISVAGVAELMDESGTYGVGELPPVTFLRALRRIVLANKRKRKRY H257_10362 MKTVSVTRETYKAMLVDKVIPAIRAKWPRGETKAVKIQQDNARP HVPPSDVDVVAACKADGWDMEVVFQPPNSPDLNVLDLGFFRAIQALQAEKHSSSLEEI VAATDAAWDVVSTKTLNKNCLTLQRCLQEVL H257_10363 MLKGQKMKNLTDSECNSMVQHLLLRCTKAGKIPMGAADEVAQLF DCTPSTVRRIWRRASVNLSGIKTICRNVSQRKKRTCGRKRLHKDLPKRIQAIPQSRRY CFRSLAHALGMPKSTLHDYFKRGVFAKYSSVLKPALTESNKVCRLKWALDHICDRDGA KYFDDMYDTVHVDEKWFFMPRVHNKVYGAIGEKIKQLACKSKHHLLKVMFLTAVARPR WDETSGEWFDGKLGTWHFYRNRPSPTPEQSA H257_10364 MKFGKQLELTANVQWRQYYVQYKKLKRLIKRVAFEIERGQRKQE KVDKKSKTQGVADLPRPPSVTSFSSSDKSLSLTSSGKSKARASQGGGDESTPLLSPQV DLNLDNIEDAKREFWDMTNANIADANEFYRSKVTHLAKIVKDFESMLRDEDKESHGHV SSKPRTTSHEVDRGFAGIQDCYDTLIDLKQFVNLNHTGFRKIVKKFDKTTGGDTLDAF VKQLNHEEFYASHDIDALLERLFSMTSKDKLEAGNLEQRMKRQQGGQDSLLRKVKAVP FVISCVLFGLLLAIPIPGEKGVQQRCLAMLVFITSLWVSEALPYFATSLLVPVLVVFL RILNDKQHPNVLLDAKSAAKEVTSLLVNHTTILIMGGFSISAALSKCQIELYIAAFLQ RRFKKSPRLFLLAIMLMGLFLSMWINNHTAPVRQCVSVLLPIIRDFPHHSPYVKTLLI GLAFACNLGGMMTPIASLQNTLAQSYLDKAGYVVSFGQWMMIAVPFCILSTILCWLFL LWAFNPSDVKYIPQIVYDQKQRVTRVHVAVVLLTVSTIVLWALFTLIAPTVGDMSIIS LLLMISLFGTGILSQFDFNSFSWHILFLIGGGSVLGEAVQRSGLLGTLSHSLIQALPS GSVWLTTVLLCMLVLGLTTFISHTVASLILLPIIVQLSIEIGQPQIPVISCALAISAA MGLPFASFPNINSLLVLDDHGEPYLEVQDFLKVGLAFSLFTVALIVSLGYWLIVLVLG DNIASV H257_10365 MDVGQFLNKLRVRVVFSVEQVKGAPNAIFLRRRRRNGGQERLVR QAAQFPDAGDDVVGVLLLEPFRHGIHEMRQLTQRCFLEIHGLLECVVALVHIYVGRDV FQNDETKRVGVRKQVGDDGELVRLTDDG H257_10367 MTTPKVLVVGSINADIFLDVPRIPLLGETLAATGSRCLVGGKGA NQAVAARRSNVDTSFMCQFGYDHAKLMQEYLAGADVTIDASSTVHTQTVSGQAIIFLV PSGDNSIVILPGANAVWPAALSPQMQQSVSDASVVLLQCEIPASVNRLIAEHAKAVGT TVLWDLGGEERDVDDEFLRLVDYVCPNETELRRCVHSPHALDTLDAVLDAARTLQRRG DMAVLVTRGSDGSVLIAKDGSVHVQPSFDVPVRDTTGAGDCFRGAFAAQLAQNATVAQ SLRYAAASSALCVQRDGATMPTHAETLAFLKLSSI H257_10366 MKDMDDGSSSSSSFDSDDEGDVGEESVESSDKHKLVMEAVCKSC ENPYDYNLHLSALSALSGDRVYASQLEQQFERFHEAFPLSLELWLQYIELNPEKLPEA FQDYLLPALYYKYVDDLDDDDAKDAEVRWSVVLRALGSHWTECENVYHLYRAFLTDSI DDAATLNARVRSSYQGQLLNPYFEGSDRVLSEYRAWSQYQPNPADFTGEQDSIQRRIH SASPLLAKMQTFERNLDKADAADVSALQTLWLEYANFVVSRVSTLGCGVVVSVLERSV AAVCLSGELWGRYLTFLQDKRMKCLDVAKRAVRNVPFESAPWVALFVSMEMEGSTSAE AIHQVGADLCGRQPSPLDQEKRLEVLLAFCDAVRRSGSHEVLLQAFTMSKAAMGDWVV GKCALLMYESKCEPIVQRTSGLQPRWVELWDEVLQHRSHEVCAWQSCISECLRVGGTV EETRGYFKRGVAAATDYPVVLAEAWVVFERELGDHVQFWIEAKRHYALLLRQYYVSEV SSSDKSESRKRLLAAEDGSKTGKKEVLDRRQAEYKKQRLSDDTNKTVFLCELDKAITK DDLLDLFASCGHVTDVRMLLRNRESQASRGMAYVEFDSEAGAECALKLDKQPFHGTPL NIKPSHPPTKKPPVVKDGVWKTNGSTIYVSGFGDATSESSLLSAFAKFGNAKSAMILG RKQKKNIYGLVEFTPEDNVDAILQMLGSTNVHVDGAKVLVKRARFSVVEMLEQQAKTK STQKQHKNKPDQPRAALPESDTTMHKKPRLAFSMVPRSLKAPKVNQPFRDDGQSGGTR PVVVAAPRHEVDPSTHMKSNDEFRKLYK H257_10366 MKDMDDGSSSSSSFDSDDEGDVGEESVESSDKHKLVMEAVCKSC ENPYDYNLHLSALSALSGDRVYASQLEQQFERFHEAFPLSLELWLQYIELNPEKLPEA FQDYLLPALYYKYVDDLDDDDAKDAEVRWSVVLRALGSHWTECENVYHLYRAFLTDSI DDAATLNARVRSSYQGQLLNPYFEGSDRVLSEYRAWSQYQPNPADFTGEQDSIQRRIH SASPLLAKMQTFERNLDKADAADVSALQTLWLEYANFVVSRVSTLGCGVVVSVLERSV AAVCLSGELWGRYLTFLQDKRMKCLDVAKRAVRNVPFESAPWVALFVSMEMEGSTSAE AIHQVGADLCGRQPSPLDQEKRLEVLLAFCDAVRRSGSHEVLLQAFTMSKAAMGDWVV GKCALLMYESKCEPIVQRTSGLQPRWVELWDEVLQHRSHEVCAWQSCISECLRVGGTV EETRGYFKRGVAAATDYPVVLAEAWVVFERELGDHVQFWIEAKRHYALLLRQYYVSEV SSSDKSESRKRLLAAEDGSKTGKKEVLDRRQAEYKKQRLSDDTNKTVFLCELDKAITK DDLLDLFASCGHVTDVRMLLRNRESQASRGMAYVEFDSEAGAECALKLDKQPFHGTPL NIKPSHPPTKSGVAYCMMALTSLMQEAAGGQGRCMEDQRVYHLREWIRRCDVGIVAPL CICKVWKCQVGHDLGAKAKEKHIWPRRVYPRGQRGRHIADAWQYQCPCGRRQGASKAG AVQRRGNARAAGQNQIHPETAQEQTGPAQSCPARVRHYYAQETKTGVFNGATKPQSPE SEPTIPR H257_10368 MSTQDEWSSFKLVDKHVTSIAPEATFDAKSEEIKEDILRLIVQY LQNEGYTSASATILDEANVKIMEKHQEREMVRKISKSIKEGDWDLVAKMIHKNSNLRK VKFHSQQGLGFLYAVCKEEYLELIDRQEYQKAFTYLTTHLKPMEKVSGRQEFKDLCYL LTCKSITEVESFRDWEGVVKSREKLAEQLKATFELDAVPTENVNIPDNRLVNLLHQSV AYQMEFSRYHPKTVPKVSTLLRDFECQVLPNAVKTTFVGHSQNVKCVTFVGREGTYIA SGSSDNLIKLWSTEPRHGQPINTVAWDLHGHSSRIWYLSANSAGDHVYSASGDGTVKV WNVRNAISYLGDVDGASNPPPSNNSDCISTLTGHSGDVYTVNIHPNETHLVTGGYDQT VRLFDIQTGAVVKTFVGHSASVCDAQFNRHANLVVSGSKDGTVRFWDILSGLCVHTVR QTLGEVTSVSLSSNGLFVLTGSRNNSNRLWDMRMLTHPRTALSTKDTKNPAPSIATEQ RPLQRFKGHQNTAKNVVRASFGPREAFVLGGSEDGAVYVWDVATGKLLEKLLGHSGVT YSAKVTYTRNSSVWIHMGVVVARKAGADGIVFARWNSQDVVVARAANAGQEEIDWSSL TVSSTTTKDQDY H257_10368 MSTQDEWSSFKLVDKHVTSIAPEATFDAKSEEIKEDILRLIVQY LQNEGYTSASATILDEANVKIMEKHQEREMVRKISKSIKEGDWDLVAKMIHKNSNLRK VKFHSQQGLGFLYAVCKEEYLELIDRQEYQKAFTYLTTHLKPMEKVSGRQEFKDLCYL LTCKSITEVESFRDWEGVVKSREKLAEQLKATFELDAVPTENVNIPDNRLVNLLHQSV AYQMEFSRYHPKTVPKVSTLLRDFECQVLPNAVKTTFVGHSQNVKCVTFVGREGTYIA SGSSDNLIKLWSTEPRHGQPINTVAWDLHGHSSRIWYLSANSAGDHVYSASGDGTVKV WNVRNAISYLGDVDGASNPPPSNNSDCISTLTGHSGDVYTVNIHPNETHLVTGGYDQT VRLFDIQTGAVVKTFVGHSASVCDAQFNRHANLVVSGSKDGTVRFWDILSGLCVHTVR QTLGEVTSVSLSSNGLFVLTGSRNNSNRLWDMRMLTHPRTALSTKDTKNPAPSIATEQ RPLQRFKGHQNTAKNVVRASFGPREAFVLGYVRRRVCLLGGFFFAEIWECSGSEDGAV YVWDVATGKLLEKLLGHSGVTYSAKVTYTRNSSVWIHMGVVVARKAGADGIVFARWNS QDVVVARAANAGQEEIDWSSLTVSSTTTKDQDY H257_10368 MSTQDEWSSFKLVDKHVTSIAPEATFDAKSEEIKEDILRLIVQY LQNEGYTSASATILDEANVKIMEKHQEREMVRKISKSIKEGDWDLVAKMIHKNSNLRK VKFHSQQGLGFLYAVCKEEYLELIDRQEYQKAFTYLTTHLKPMEKVSGRQEFKDLCYL LTCKSITEVESFRDWEGVVKSREKLAEQLKATFELDAVPTENVNIPDNRLVNLLHQSV AYQMEFSRYHPKTVPKVSTLLRDFECQVLPNAVKTTFVGHSQNVKCVTFVGREGTYIA SGSSDNLIKLWSTEPRHGQPINTVAWDLHGHSSRIWYLSANSAGDHVYSASGDGTVKV WNVRNAISYLGDVDGASNPPPSNNSDCISTLTGHSGDVYTVNIHPNETHLVTGGYDQT VRLFDIQTGAVVKTFVGHSASVCDAQFNRHANLVVSGSKDGTVRFWDILSGLCVHTVR QTLGEVTSVSLSSNGLFVLTGSRNNSNRLWDMRMLTHPRTALSTKDTKNPAPSIATEQ RPLQRFKGHQNTAKNVVRASFGPREAFVLGGSEDGAVYVWDVATGKLLEKLLGHSGVT YSAKWQEKQALMASCSHDGTVKTWWWHEQQMLAKKR H257_10368 MSTQDEWSSFKLVDKHVTSIAPEATFDAKSEEIKEDILRLIVQY LQNEGYTSASATILDEANVKIMEKHQEREMVRKISKSIKEGDWDLVAKMIHKNSNLRK VKFHSQQGLGFLYAVCKEEYLELIDRQEYQKAFTYLTTHLKPMEKVSGRQEFKDLCYL LTCKSITEVESFRDWEGVVKSREKLAEQLKATFELDAVPTENVNIPDNRLVNLLHQSV AYQMEFSRYHPKTVPKVSTLLRDFECQVLPNAVKTTFVGHSQNVKCVTFVGREGTYIA SGSSDNLIKLWSTEPRHGQPINTVAWDLHGHSSRIWYLSANSAGDHVYSASGDGTVKV WNVRNAISYLGDVDGASNPPPSNNSDCISTLTGHSGDVYTVNIHPNETHLVTGGYDQT VRLFDIQTGAVVKTFVGHSASVCDAQFNRHANLVVSGSKDGTVRFWDILSGLCVHTVR QTLGEVTSVSLSSNGLFVLTGSRNNSNRLWDMRMLTHPRTALSTKDTKNPAPSIATEQ RPLQRFKGHQNTAKNVVRASFGPREAFVLGYVRRRVCLLGGFFFAEIWECSGSEDGAV YVWDVATGKLLEKLLGHSGVTYSAKWQEKQALMASCSHDGTVKTWWWHEQQMLAKKR H257_10368 MSTQDEWSSFKLVDKHVTSIAPEATFDAKSEEIKEDILRLIVQY LQNEGYTSASATILDEANVKIMEKHQEREMVRKISKSIKEGDWDLVAKMIHKNSNLRK VKFHSQQGLGFLYAVCKEEYLELIDRQEYQKAFTYLTTHLKPMEKVSGRQEFKDLCYL LTCKSITEVESFRDWEGVVKSREKLAEQLKATFELDAVPTENVNIPDNRLVNLLHQSV AYQMEFSRYHPKTVPKVSTLLRDFECQVLPNAVKTTFVGHSQNVKCVTFVGREGTYIA SGSSDNLIKLWSTEPRHGQPINTVAWDLHGHSSRIWYLSANSAGDHVYSASGDGTVKV WNVRNAISYLGDVDGASNPPPSNNSDCISTLTGHSGDVYTVNIHPNETHLVTGGYDQT VRLFDIQTGAVVKTFVGHSASVCDAQFNRHANLVVSGSKDGTVCC H257_10368 MSTQDEWSSFKLVDKHVTSIAPEATFDAKSEEIKEDILRLIVQY LQNEGYTSASATILDEANVKIMEKHQEREMVRKISKSIKEGDWDLVAKMIHKNSNLRK VKFHSQQGLGFLYAVCKEEYLELIDRQEYQKAFTYLTTHLKPMEKVSGRQEFKDLCYL LTCKSITEVESFRDWEGVVKSREKLAEQLKATFELDAVPTENVNIPDNRLVNLLHQSV AYQMEFSRYHPKTVPKVSTLLRDFECQVLPNAVKTTFVGHSQNVKCVTFVGREGTYIA SGSSDNLIKLWSTEPRHGQPINTVAWDLHGHSSRIWYLSANSAGDHVYSASGDGTVKV WNVRNAISYLGDVDGASNPPPSNNSDCISTLTGHSGDVYTVNIHPNETHLVTGGYDQT VRLFDIQTGAVVKTFVGHSASVCDAQFNRHANLVVSGSKDGTVCC H257_10368 MSTQDEWSSFKLVDKHVTSIAPEATFDAKSEEIKEDILRLIVQY LQNEGYTSASATILDEANVKIMEKHQEREMVRKISKSIKEGDWDLVAKMIHKNSNLRK VKFHSQQGLGFLYAVCKEEYLELIDRQEYQKAFTYLTTHLKPMEKVSGRQEFKDLCYL LTCKSITEVESFRDWEGVVKSREKLAEQLKATFELDAVPTENVNIPDNRLVNLLHQSV AYQMEFSRYHPKTVPKVSTLLRDFECQVLPNAVKTTFVGHSQNVKCVTFVGREGTYIA SGSSDNLIKLWSTEPRHGQPINTVAWDLHGHSSRIWYLSANSAGDHVYSASGDGTVKV WNVRNAISYLGDVDGASNPPPSNNSDCISTLTGHSGDVYTVNIHPNETHLVTGGYDQT VRLFDIQTGAVVKTFVGHSASVCDAQFNRHANLVVSGSKDGTVCC H257_10368 MSTQDEWSSFKLVDKHVTSIAPEATFDAKSEEIKEDILRLIVQY LQNEGYTSASATILDEANVKIMEKHQEREMVRKISKSIKEGDWDLVAKMIHKNSNLRK VKFHSQQGLGFLYAVCKEEYLELIDRQEYQKAFTYLTTHLKPMEKVSGRQEFKDLCYL LTCKSITEVESFRDWEGVVKSREKLAEQLKATFELDAVPTENVNIPDNRLVNLLHQSV AYQMEFSRYHPKTVPKVSTLLRDFECQVLPNAVKTTFVGHSQNVKCVTFVGREGTYIA SGSSDNLIKLWSTEPRHGQPINTVAWDLHGHSSRIWYLSANSAGDHVYSASGDGTVKV WNVRNAISYLGDVDGASNPPPSNNSDCISTLTGHSGDVYTVNIHPNETHLVTGGYDQT VRLFDIQTGAVVKTFVGHSASVCDAQFNRHANLVVSGSKDGTVCC H257_10369 MAAIIPPFRFTTVETRLYRGAYPTLPNFRFLRRLKLKTLVSVIP EPPTKDLVDFCAHEGIVHHTFHAEKYSSDSVTVPPSTVVAILHVLLSNENLPIYIHCL DGANVIGIVVMVLRKLQNWTKLATLQEFCRFTRDHSVEKDESEFLSTFSAEITVPLQV PRWLWNGVRIQKHPTMIITHQTTPQLTKDVPVEGDMPSTLPTFATPVGTQNPDTTTSY IPDTEKSDPARPFDDRREDPVLSQEDLDLLYYHQDGADVSIPRSLSALDLAGV H257_10369 MAAIIPPFRFTTVETRLYRGAYPTLPNFRFLRRLKLKTLVSVIP EPPTKDLVDFCAHEGIVHHTFHAEKYSSDSVTVPPSTVVAILHVLLSNENLPIYIHCL DGANVIGIVVMVLRKLQNWTKLATLQEFCRFTRDHSVEKDESEFLSTFSAEITVPLQV PRWLWNGVRIQKHPTMIITHQTTPQLTKDVPVEGDMPSTLPTFATPVGTQNPDTTTYD GIVGHTYNPCRRSYIPDTEKSDPARPFDDRREDPVLSQEDLDLLYYHQDGADVSIPRS LSALDLAGV H257_10370 MATQQRREKSRVSSRKKGSSRRAAKDNYFDIQSFGDDDASHGML QADLRRSVMRLDNGQNGGVFERLQRLLGFQPASVRNQKEHMAVLLANKQFRLAQKGCA DPPGDALKQLHKQVFLNYTKWCRFLSIKPKWVSDLETQAALFFLIWGEAGNLRFMPEC LCFLYHQMATRLEKLDTAHQKPEFAPADNAFLNFVVKPMYTVVAKMNPSLQKKKSKIS PLDHKNVTNYDDVNEFFWKPECLRFDETNVARAFEHREAKTFKERRSLLNPFLAFYRI FFFLLVMLHVLIAISYVAVKSRSEKTKGFEMYNNMFSSSISNLRNQAFLSVGITYAGL SVFKVILQVWIDGVLIFSHKAYAAALYCRIMWHAAFLGVFTCFVTSPNEKVPVLNQTF LQSGAMITGIYALPVVVAALLTMCKSVTAKWSWLNVLQGTRDQYIGRDMGQKLGDFAK YSVFWGLLFGLKFLFNIELMIRPLVIPSIELFDLDVVEKKGLVPGYHNLAFIIALWAP MILVYMYDTQIWLAIFQSIVGGYMGIRMKIGHSSRVNQFMARLKGAPSLFDKKIVSSV ARGILTGGDAKLSSPETQARLRFSVVWNECVSSFRLSDLIDHRESAILQYQISSSGQV DDPIFLLAGKASTACDVIGKAGKWSSKLQKTLEKDGLLDVAANSAQLGMQILQKLLGN DDSALDVLSFVLDNPREALQRANMTHVPQLRENVVDLLACLLDMPEPSDDNGGVEVLR ELVVVVTERVQHLFATLEQVLPIDWVVQKLQTSAFIRSSPDKSYQLHLMAALFADDDA DDGARVSTGSADSTMAFCTRLFFLLTLDIADALPRCAEAQRRMSFFLNSLNMAMAQVD AIQSMQSFSVVTPYYNEPVLYSLEELNGRVDLNPLFRKVEEKATKNKVGRADLSILKY LITLHPEEWENFLERMNATTMDEALVMSPIQVRLWASMRGQTLARTVHGMMLYEDAIK MLRWLEIGSDQAISHDNKIQQMEHIVGMKFSYITSCQMYSEQCQQNDPRAADIDLLMR KYTNWRVSFVEAVDGDRFDCVLVKSDGDEIVEVYRYELPGHPIVGEGKPENQNIALPF TRGEYLQTIDMNQEHYFEEALKMPNFLASGRGAQIIGMKEHIFTAKASSLARFMTLQE LVFVSLTQRVMANPLQTRMHYGHPDVFDKLYIMTNGGVSKASKGINLSEDVFAGYNCA LRGGKVIHLEFMQCGKGRDVTLSQINAFEAKLANGSAESSLARDAHRMGTGMDFFRLN AMYYSHMGFYIANFMTVVCVYVYAYSKLYVALHPEVQLEVIKVSADFDNLAQVLNTQF IFQFGMLMTIPLMATLVVEMGIRQAVLNFLELLITLGPVFYIFETGTKAHFYDVAIQR GGSKYRGTGRGFAITRSTFVSFFKEYAASHYRKALELMALTVLFGVYGTFDFGVGAYK EYCYPNAVCVDNVPLYIENLNSFSDKPQSYGAASFAVWLLVVCWMMAPFLFNTDGFDF SKTRVDVANWFAWLATSPSSDDLSVESDSWLAWWKSETDLYKNISWTSRVSYALREFR HVLVVYYIFTRDFEPKDSWLLLAATGAIAIALWVVGSISTMCFGNKGRMYRGMLYVFV AVGGSIGGIILLGVLFNWGTIGSKHYSFFFSTFAGLYTILQYFVLLNGVLGLPLSKWG LVQQLGYLFDALLGALLLIPLVVLSAIPFMATIQTRMMYNEGFSKALSTGGEYAATIS MFTGIFGGFTHGWLTCAAFSLGYINEHSFNMVNQSFKYFLEADLPKGSSLSAEFLKAN LSMFGGIASLVGVALACLLCFALGRRLSIIVSGVVALGGVAVLAASSTSGMLVVVGLC VASGGVAMLGICVCLYNYEICTQDWKCKSIVMFVFGSAIGYFVESLLLYKMNYAQLDE DWSSKPSNVWRTHFIYGAVPLLPLVLGAFFLPESPVWLYRRKQKKAAEATLVRLRQKH DVLVELDDLKLSIWPKDAPSMPVRVVLTVALQIMYGIAMSNSILRRVSVQVAHNGEGL PASPWQWRFGLMLLLGTMIGLFSIDGLRRKTLLKDILPIVAGMAIAALALDKIKSSVA SSFLDVVLFVLYFTVAFSLATCTWLTAIELFPAGCQLRFVAVSFMAYYGAQTVVFALR PSFLVCNIALAVLALVVTAFLLLFCASNQHGAIQLKREKVSERAAIKAQEDDDAANAR TSRSHSFLRARGGSTRRSSIKTPLGQDEYKAFESPHNSMAPQTKHHQRRHA H257_10371 MQKANAKTKRSERLKKAKEQKESTADASWQIDDLLTMSDLSEEN LLRSLHGRYDHDIIYTFVGPILISINPYRTIEGLYSDSTMDRYHSSNTGNKPHVFETM KSAYEELILSSATSPSDQSIIISGESGAGKTETTKVIMQYLARVTTSGHTNNGVVSLE QKVLDSNPLLESFGNAKTLRNDNSSRFGKFIEIQFDKHGKIAGAEIMNFLLEKTRIVT QSLDERNYHIFYQLLAGADDALKHRLHLTTPQDYEYLKHSGCFSLPAKDDKDEFNITT HCMSTIGISHDMQMHVFEMLAAILHLGNADFDVVAEHCVPSSAASTACLELVASLLQV PNDALQSALCTRQLFVGGKVIVQAQNAAQARDKRDALAKTIYSSLFMWLVTELNRTIS QPAQKWGFIGVLDIYGFEKFDWNTFEQLCINFANEKLQRHFNQHMLEVEQDEYTREGI DWAHIRFQDNQSTLELLEGKPGGKPGVFIALDDVWRIKGDEANRKFVSYLHSTFGVTH HDSYIQPKVDAAFAFGIRHYAGDVIYDASGFNDKNNEDLNDDMKDLIKLSTNSWLPSM VDSNLQAVESISRRASNKPAAPNAVSRRPSENVAAAGGGDKKTRTLREISVGAQFRYQ LQELMSKISRATPRYIRCLKPNEDKRPRTLDGAQCVRQLKYSGMMEAIQIRQKGFGLR EDHDVFFYDYLALAPASEDIRELVSSISEMLNEGKDQWQMGSTKVFLKRSVAEKLKRL KVLRELSAVRTLQRWHKVLQRKSAAIVIQSAVRGWRAKKELGMLRSAAYTVMKMARGY AARSKYKKLVARHRLEVHHAIKLQAVARGYLVRRQDLLHPFAGLGPKELDARIHEIEQ AIEVAASKKQFEVCSSLQKDLDATLDARRLVRTPKEVDAEIDQLVADMERLAAQKKFA ECSVVQSKLAALQEFRLNMPEDLNELESHELDARIRSMNEEIVKAMADRKFELCGQLQ DKLDTLQQVRKTKQTPEELQAEVYTLNTALSQAMQSKEFDKCAQIQAQLDVVQSRLAK VTLHSPPPAPAVTAIVAPPATGGGNVRVGPGGINVTTNDVTTIKAKSSVPAIAVAVHH HHHPPSNTVVVSAPVAALPSQPLVAPPVPHHRVPQDNNNRRPRSNSIESATSAHSQAF SVSSKVSQAFSVSSKMSRAKSSHKAAAQLASQPSVIDEADSSRTVARLRPAKVINIDG HATIAEAAVVMKKNRTAAILIVSPTGRLEGILSDTDVTRRVIGKGLDPTSTTVASVMT PQPSCVGSEDKATDALRKMLQGRFRHLPVTDSATGSVVGLLNVTKCLHAAIRRLEQAH ESTKSLRKDLHVSGAALAILGPMLEKLSSPTLHDIVSSTALPPVVSPDDLLDNVIYQM AESRKAALVVDADGILLGIFTPKDVLVRVIAMGIPISTTAVHRVMTADPEAAVVSTSV IDAFHIMQDGKFLNLPIVDDDRRVVGLTDVLSLACHAFASADGDQYGQFLQASFQADF DDNASVTSTTSNMSKASKASRRISPPDMAKKQQQQQPNALKARPTPVSSLRPDPAQTI ADTASVRDCCHVMRSKQVDAVLVVRGDGSLAGILTDNDICRRVVAANLPSQTIVSQVM TPNIKYVSPDESALDAMVLMHEGHFRHLPVVDQGTVVGILSIGKCLFDAIQRLEQANA ATEALQQSLLHQNNSRQSKHTAGPIMADKLLSTSITLSTILTNDTTVAPLVTRQTTVQ AAATAMAQARKAAIVMEGQHLIGLFSPNELALRVIAQGLDPVTTLVGDVMLLDPEFGD PDMPVLEGMQIMHEFGCLNLPVLLANGQVAGLVDVLSMSYGSFSIIYGDSREKLEEFW NASFQVDQPSSGHKASSVVPKAAKAAAVERTVSSLRPSRAITVPETISIRELSRTMVR EKTDSVLVISAAGSLSGIVTGSDLTNRVVAKNLSPDATLVQAIMTPHPQFVTNEDSAI DALCTMLAGKFRHLPVVDAHSMVVGVLHIAKCLYDAIRKVESTTKSTARELALNPRLK RLGPMVSRLFSPDVQSILDGDTASCPRVLPYTSVFAAAKLMGETKKAALVVDERGHLL GLLTPDQVLANVLAKAKPIHTTAVIDVMLEGPPCVVFGNTSVVDAMHAMHDSKNLHLP VVRSAHDYHAMGLIDVLSLSYGSFAKGTPTDWKSFWESSLEVDDDNDDGTASVASGQS LLSKAKSKGGGMSSSNQRSSGDNRPVSKLLPTPATTVLATISVREAAIAMKSEKADSA LVVSREGGLLGILTDTDVTRRVVALGNDPESITVEEAMTANPKFVQETDSAMDAMFTM LEGKFRHLPVVDADHRVVGMLKIQKCLYDAIRRLDTSGVHNKQGGLMSPSLQSIIDMD DVAKGPPLVSPADSVLHVARQMAYTRKAALVVTGATKQLVGILTPKDVLLRVVGGGLD IAKTTVADVMTKNPESVDPSMTVLDALHVMHENNFLNLPVVQGSRIVGLVDVLSLSYG SFAKGTDEEWRTFWDMTLSAEDAPAPVVAGPVAQPAAVTQRQLTVEALRPTKVILLPD HITVADAATRLRRARVEACIVVDANGSLVGILTPTDVTRRVLAQDINPVDCLVSSVMT KSPTCVRSTDLATDALNLMLQGQFKHLPVLDASGGRVMGLLDISKCLQDAIAVLERSQ AKADAFASELKRGLGHQDSAAKWIEAMRRPTVAMAVEKSMPPPIVGIETPVREAAKMM GSSRTAAIVMDGHVVIGMVTPKDLLKKLIARSLSAETTRVGDVMTSHPITMPPTASIL EGLQVMKETRELFVPIVDPVSKRVVGMADVLCLTFGQFGGTSTDASEWKTFWQSAMAM QEELGDDVDVDDTCSVGTIEDFERTEGRLHHHHNDISVNAISMYSELGDSVSVISHST SAVSVAGTFLFKVKDSQGHVHRIRCRTESLKVLQDEVRAKMNLAGDANILLKYEDDEG DLAVVSSDSSLMEAVHMASESKWKSLTLVVDVGDESGDDRRRRLSKIDEAASSERSPG KKPSPAKRLGGLIDPAAAAAPDNTIVLAGVGALVVLVGVITMVALKK H257_10371 MWLVTELNRTISQPAQKWGFIGVLDIYGFEKFDWNTFEQLCINF ANEKLQRHFNQHMLEVEQDEYTREGIDWAHIRFQDNQSTLELLEGKPGGKPGVFIALD DVWRIKGDEANRKFVSYLHSTFGVTHHDSYIQPKVDAAFAFGIRHYAGDVIYDASGFN DKNNEDLNDDMKDLIKLSTNSWLPSMVDSNLQAVESISRRASNKPAAPNAVSRRPSEN VAAAGGGDKKTRTLREISVGAQFRYQLQELMSKISRATPRYIRCLKPNEDKRPRTLDG AQCVRQLKYSGMMEAIQIRQKGFGLREDHDVFFYDYLALAPASEDIRELVSSISEMLN EGKDQWQMGSTKVFLKRSVAEKLKRLKVLRELSAVRTLQRWHKVLQRKSAAIVIQSAV RGWRAKKELGMLRSAAYTVMKMARGYAARSKYKKLVARHRLEVHHAIKLQAVARGYLV RRQDLLHPFAGLGPKELDARIHEIEQAIEVAASKKQFEVCSSLQKDLDATLDARRLVR TPKEVDAEIDQLVADMERLAAQKKFAECSVVQSKLAALQEFRLNMPEDLNELESHELD ARIRSMNEEIVKAMADRKFELCGQLQDKLDTLQQVRKTKQTPEELQAEVYTLNTALSQ AMQSKEFDKCAQIQAQLDVVQSRLAKVTLHSPPPAPAVTAIVAPPATGGGNVRVGPGG INVTTNDVTTIKAKSSVPAIAVAVHHHHHPPSNTVVVSAPVAALPSQPLVAPPVPHHR VPQDNNNRRPRSNSIESATSAHSQAFSVSSKVSQAFSVSSKMSRAKSSHKAAAQLASQ PSVIDEADSSRTVARLRPAKVINIDGHATIAEAAVVMKKNRTAAILIVSPTGRLEGIL SDTDVTRRVIGKGLDPTSTTVASVMTPQPSCVGSEDKATDALRKMLQGRFRHLPVTDS ATGSVVGLLNVTKCLHAAIRRLEQAHESTKSLRKDLHVSGAALAILGPMLEKLSSPTL HDIVSSTALPPVVSPDDLLDNVIYQMAESRKAALVVDADGILLGIFTPKDVLVRVIAM GIPISTTAVHRVMTADPEAAVVSTSVIDAFHIMQDGKFLNLPIVDDDRRVVGLTDVLS LACHAFASADGDQYGQFLQASFQADFDDNASVTSTTSNMSKASKASRRISPPDMAKKQ QQQQPNALKARPTPVSSLRPDPAQTIADTASVRDCCHVMRSKQVDAVLVVRGDGSLAG ILTDNDICRRVVAANLPSQTIVSQVMTPNIKYVSPDESALDAMVLMHEGHFRHLPVVD QGTVVGILSIGKCLFDAIQRLEQANAATEALQQSLLHQNNSRQSKHTAGPIMADKLLS TSITLSTILTNDTTVAPLVTRQTTVQAAATAMAQARKAAIVMEGQHLIGLFSPNELAL RVIAQGLDPVTTLVGDVMLLDPEFGDPDMPVLEGMQIMHEFGCLNLPVLLANGQVAGL VDVLSMSYGSFSIIYGDSREKLEEFWNASFQVDQPSSGHKASSVVPKAAKAAAVERTV SSLRPSRAITVPETISIRELSRTMVREKTDSVLVISAAGSLSGIVTGSDLTNRVVAKN LSPDATLVQAIMTPHPQFVTNEDSAIDALCTMLAGKFRHLPVVDAHSMVVGVLHIAKC LYDAIRKVESTTKSTARELALNPRLKRLGPMVSRLFSPDVQSILDGDTASCPRVLPYT SVFAAAKLMGETKKAALVVDERGHLLGLLTPDQVLANVLAKAKPIHTTAVIDVMLEGP PCVVFGNTSVVDAMHAMHDSKNLHLPVVRSAHDYHAMGLIDVLSLSYGSFAKGTPTDW KSFWESSLEVDDDNDDGTASVASGQSLLSKAKSKGGGMSSSNQRSSGDNRPVSKLLPT PATTVLATISVREAAIAMKSEKADSALVVSREGGLLGILTDTDVTRRVVALGNDPESI TVEEAMTANPKFVQETDSAMDAMFTMLEGKFRHLPVVDADHRVVGMLKIQKCLYDAIR RLDTSGVHNKQGGLMSPSLQSIIDMDDVAKGPPLVSPADSVLHVARQMAYTRKAALVV TGATKQLVGILTPKDVLLRVVGGGLDIAKTTVADVMTKNPESVDPSMTVLDALHVMHE NNFLNLPVVQGSRIVGLVDVLSLSYGSFAKGTDEEWRTFWDMTLSAEDAPAPVVAGPV AQPAAVTQRQLTVEALRPTKVILLPDHITVADAATRLRRARVEACIVVDANGSLVGIL TPTDVTRRVLAQDINPVDCLVSSVMTKSPTCVRSTDLATDALNLMLQGQFKHLPVLDA SGGRVMGLLDISKCLQDAIAVLERSQAKADAFASELKRGLGHQDSAAKWIEAMRRPTV AMAVEKSMPPPIVGIETPVREAAKMMGSSRTAAIVMDGHVVIGMVTPKDLLKKLIARS LSAETTRVGDVMTSHPITMPPTASILEGLQVMKETRELFVPIVDPVSKRVVGMADVLC LTFGQFGGTSTDASEWKTFWQSAMAMQEELGDDVDVDDTCSVGTIEDFERTEGRLHHH HNDISVNAISMYSELGDSVSVISHSTSAVSVAGTFLFKVKDSQGHVHRIRCRTESLKV LQDEVRAKMNLAGDANILLKYEDDEGDLAVVSSDSSLMEAVHMASESKWKSLTLVVDV GDESGDDRRRRLSKIDEAASSERSPGKKPSPAKRLGGLIDPAAAAAPDNTIVLAGVGA LVVLVGVITMVALKK H257_10372 MLAQLASELCPTSAPFFGFMGVTSALVFANLGAAYGTAKSGVGI ASMGVMRPELVMRNIVPVIMAGVLGIYGLIVAVIIQGSIDVPNGKETVYGSYTGFAHL SAGLCCGLSGLAAGMAIGVVGDAGVRAVGQQEKLFVGLILILIFAEALGLYGLIVALI LATKKSTGC H257_10373 MSTSMATDDDSWTAVETCEAARETIAPESVSLKPADLYSGETIE CKVHAVQISHQNVHERGYLCLTTYRLLFVPHPKESPRFVDVALCNIAEIVLQDTRSSS AIVHTVGFTLGMTAPPEKDADDASNTMEVACKNFEIFRFHVRVTSASMTLHTRLVERL ESTHQPAPIAFAKEPSTRCEDEEKHRHPWSDLEVAESARMGLTAPSRRHNPTSGGFRV TRVNYTFRLCATYPMLLIVPQHISDSDLKSIAHFRARGRVPAITYRHEATDALVARCA QPLVGLRRRRCASDELYVKFLQQYAVGGLYIIDCRHQSSAYGNVALGAGFEIAEYYNN APVLFMNIENIHSMRDSIRRLFDLVKGEIRGVEKANWLSCLEGTRWLEHVRSVLVAAT TCVDKLVEEKASLLVHCSDGWDRTAQLTALVKVCCDPYFRTVDGFALLVQQEWVSFGH RFDSRCGMKAGHRSGAKKHGYWDDEQSSPVFVQFIDAVWQMTQLAPCSFEFNDTYLIA LVDEVYARRTGTFLFDCDEQRMKARTYARCPSVWSDLNLPDMANPFYIVPDDADAKSP RVLRFRWHTSTLSIWPGLYLRSLESREAVCTQNSWAKSVQQTQQALQTQLQTTQHLLN TQVELNAQLQAYVSNLESKTQALNVAFQGQVFSESGVVVHESDMDDASEEEAVLLSVT QTQKKVDMFVAAAILHPFEVLPTYFDEAETRRVVQ H257_10374 MQHRDEGLGATSMLLPRAEKLSQDTDLQNISAVHSSCAAALPAY ATAAESALLFVRGTK H257_10376 MADWKSGPPTRNRQASVGSVSSSMYSCNNDDPLGLQNTFSSTSS DERWATFQSRDTCYQCGEKFSVWKRSHRCRNCGWSFCKSCSNHRVALNGQTHKSRVCD LCFPKVLLVGPAPPANSFFKAFVPRGVFAGMLSYLNFVDLCVVSMCCRHWYKRASLDM LWRPLYAHTFPMAESPDATFQRHIHGIEFDSLPWKKKFELRWIAQRTAQLTAEKKKSF AAMADLLASLNLDKYTPLFEQEEIDIEALCMMNAGHLRDLGIPAGPRMKLMNAVALLA TDGPDDDDWEHDDEYSSAGPVTPVAQYISRRNHMKQYKASLVRRVQQSTVRIAVLTSD GRLLNVGSGIIVHERGLVATALHCLVSDQFEFDCIRNPDEFMILVAPTVSASDPPAWK YRASALPQCCEEELDFALLWIDGEVMSDPPCGLYIGDVTERSIASTWVVRTTVESASL EVKLPAVPIGNSNNVEPGDEMWMFGYPSSGHNTITVHHAICSGTDSQVFNGQEVGKAM LRTAAQLDNGFSGGAAVDRRGLLVGIISFSVLRQDRVRSINMIKGAIELAKSERIGV H257_10375 MAANEPSSRGITLFPDEGIGRPIEYFQPDGTEVATEELSEVQRF GNIVTQLEKRRQKSKSASVHNASSGGSALKRAKREADATATSTTNDDDDATSVGTSGD TEAFDDSEAAIDPRAHYRPMVVELQAAVVELYQLVNTVDLVRPSKAPSKEPRFLEDVH CLRDDSHLKVTTEDLAYLLTSKSSQVNDAADILLNGSKSLQTAIKKERQFFTGVRNLL GKWKICAPMHGTIPKPFRAGEGLAIDCSYVSAGSTFSPLAMPLSSIAFSELSRTSDGM VCVKEPEFYLRRTVKITLENEATSSCGAYVLPRHRAKPTEQSLDEFGEHTSSTLLDDA NSVLLTDIQHSIFCEEAFQTIMTEALLPSARWVDSAHHLANYEANTASSTPHNAPISV VCIKDDQVRVRIDESHILTVSLVESEEEERAEDTSRAGQAAARLLQDACMYGMGLLQH QLRAFHGVCKSHSHRPAAAKQTPRILQALVTVLSHNLLVAQLTDFLDRLSATLAGGPA TNHVVRLLDDCACQPVCDTVRGVFLLARWKICPRQASLSSFDLHIGKNFVTEITVIGT RIQYVDLSLNLRQVCGGVDGFRDLVCSMLSKHIAECLYEDALALGMKKASMDNDHMTV RILAPGEWDGSFVGEGKVPDEATVGCITLQPSVSATGHVNLSCVVQAIDVSAIQAHVI ADTTDAAIDWTSLPGHSDAARLLWLLRSVGVITDSLK H257_10377 MEEVALKQWLAPWPKISAQLSNLLVGLKRRQITGSYETSRKTTE LLRSILGTVRWTHARQLMDNIRLLGRVLVKAIPQELAIGNVIRRVLFIIREEYLNAVK TLSSQTQSQLSLGTILTPGTEADYTTPIKELKQSVMEGVSELMDEIENLHVSIAEQAM EYIHANEVILTYGMSTSVEEFLKAAAKKRQFKVIVVESAPLLHGQASAHRLAESGIDT TLIPDSAVFALMARVNKVVIPAVAVVANGGLIAESGIQNIALAAKKFCVPVVCVAGLF KLCPLFPHDVDVLNELVSPAHIFNYDDTLHNIDVLNPVNDYVPPEFVTIFITNTGAYQ PSYIYRLLTEYYSPQDYQLV H257_10378 MSNPALLPRRIVKETQRLLAEPVPGISATPYEDNLRYFQVVIAG PQSSPYEHGIFKLELFLPADYPMAPPKVRFLTRIYHPNIDKLGRICLDILKDKWSPAL QIRTVLLSIQALLSAPNPDDPLANDVADHWKTDEPGALRTATEWTRRFA H257_10379 MEIEWGDGSPFSDFEEEHNTSHHGDDDNSHVNGAAANNEDASDD DDAWEDVGSMPHNDGGGVLSDNSDHMEDADGSNDMHVEHLPEDWDALNAALNAQAAAE GDGKRTGAKPKVKRLTKVEKSEWMVQRQAHLVCLLAREVHVNRAINDVTVQCLLRSIV PAAVDVNLSTQAHQGVVYAVQTLMRWFRLAFRRRPYAANEGQGAIDFDVTPASLLRAF FHRQGHDHEMVALFTALCRSFNISTRYTVSLDVPYVSKRNASFDHWFGVDVSSSNDTV SQKPSDRTSMRSWCEVFSEASHNATTASKHPTSSWVHVDILRNIVNQPLEVGRVRGRG AVMPHIVSLDDQLRAVDVSRTYAVDWARTQPLRCNEAWWARQLRVGDSNANPIDVAND RLPLPTSVHGFRNHMQYCLEQHLGMYEWVRPRKPVGVFKGLPVFERCNVHTLQSAHRW LRQGRVVLEAEDPCKYVARRQQHLPPPPSQTSMYANPVPFSVATAVVAANKDNDDEEE EGGDKQLPLYGLWQTDKYISPPVVDGRIPKNEHGNVEVWSQAHLPQGSVHIRLPRAKV VAAQLGVDFAPAMVGWELRNGRNVPVFDGIVVCEDTAEMIEDAHAVLEQDIVEKAIAK HRKEIAKRWAIFVKKLLLRKRLRDEYG H257_10380 MSCSHYERGCHLLADCCGEWFPCRLCHDDESDHAMNRHAVKRVR CRKCRCEQQPQKTCEKCKHVLGQYFCSVCNLFDHKGGDKAIFHCDQCGICRVGGRDNF FHCGTCVGCYPISGQSTHKCVSEAMLKECCICLEDMFNSRESPSILRCGHILHMACFK SMAKFARTRFECPLCRGSLLSSDDEVAAHDDERGMDGGSNHADQVDEDGSEGGDDEFD DDSSYELLGDSPMDDEGDDEQIVGDDRTL H257_10380 MSCSHYERGCHLLADCCGEWFPCRLCHDDESDHAMNRHAVKRVR CRKCRCEQQPQKTCEKCKHVLGQYFCSVCNLFDHKGGDKAIFHCDQCGICRVGGRDNF FHCGTCVGCYPISGQSTHKCVSEAMLKVFLSVLRNVRSERFCVGMLHLLGGHVQFTRK PVDPPVRTHSAHGVLQEHGQVCAHEI H257_10380 MSCSHYERGCHLLADCCGEWFPCRLCHDDESDHAMNRHAVKRVR CRKCRCEQQPQKTCEKCKHVLGQYFCSVCNLFDHKGGDKAIFHCDQCGICRVGGRDNF FHCGTCVGCYPISGQSTHKCVSEAMLKECCICLEVVIWPYLSWSLMLSCCILGHVQFT RKPVDPPVRTHSAHGVLQEHGQVCAHEI H257_10381 MGERPQRVFLPLGLRFHGGLGHQLGLKLLQFELDRCRFGFLRIH LAFVQGTRSTQRVFELLLAIQFALEVLFHCVDAVGRRLSFDVAAGGFGLQGRDGPPKV LLDGMESLRILLPPLSMQFAFRFQTSDVRGLSLQAETQPLQVFRARDLELLQLVVHVL LLQRACTKRVGESCDVILMRAKQGVLRLCVLLQRHLDLLERFVHGCEIVDALPQLRVA AVLQLVLELLVGHLQTSRLLLPLLFGVFSFAKSFHEVGQPPEQHLHGIRLFSRSIHLV LLIIISLWPRGLV H257_10382 MEPPPGEILPTNAVVNAIVREDPYVGEDSFVHGAPGGLSRPQRG NRFGVISPGRPTIFGNRPRQPRQYIKKAYTFREKLDMINYQHLHGTTAALNHFYPLLR GVERRRACLNLNKWERQRALIEEYGYSSRSHLKKARGEGIGTSLSAETELNLVQWVKS MRNEGIPVATIMLQLKAREVAVEKDNAKQ H257_10383 MFVNVPTAEDAVVAMLRDVSHARAFIQEEMRGADDSIENEQSYA LHVRDRTKDVDRPSNDLIESDRVLGTACMCWWALQATSSLPAPLALTLTRKRDVLQDD SEMEMFTVGDAKLYPVAPATTVDEFIQATTPPSIESSNNKKAIQVLQASTDDVYFGLS SAAPVVGHLTPSVPLSPLSVLNDDPRSKWFLSPGDLAANLHVDFTSDVYVVSVDLFEA AIVAVALVLAGHPRVHLCSFGLRESWPLLPSHVTCELFAPSSAHVANIDDGSFDISHH HPKPHADDEFVAPMDSKAKEVVMAEYTAYLSSSAQTKEDTESSTAPPQDDYHADFFTM PSPLSKAKFLDVAQVIYQYSSLCLAPFGVLCLNPNVVNTTMLEAKLKGSPILQEVVET VSDLVYDLVDLDESTMAMSSQKWLHDSRDADCVAHMQRIQELPQTLLKPPPVVDVTVA PVDEPAVLAMVATIEASIRRTLAAKVPWAVTPAAAAQPLQVVTPESPQPISELTWYPC VAYQLGYRFTSSRSNHPKKQSSLQVKAKIQAVFEGIQAALKAQECPTLDAIQLQAVIN SVQSDMNAVLASTFSSHHVVNDDVADEQAKPTDDGALAAFGAKLRTGDFSVRRLDDDE AIKRYTEALNCLPVNNTAVVEALTKRAKCYVRTNRLELAAADCKLALDLSPYCADAYA VKGAIDEQHKDFNLALQHHVLAFILGGSRQLDVAEVIERVSKLVGRDQAKSVWANMAK RHELPSSWLVDSYFQSFSRDADAGVSTVHVKSQSKSDDAVSNVLNDDQFDDLLVQAID HKRSKRYAQAQALFATLAAYVSTTTTTTDDEPENLDNVLDGASTTTTTTSTSTAKKVF KTKRDRHVIALNFHATFLYIAGDVESALAVIDEALALDDTHLNSVVKKAGFLCELGEF EAADECFTHAATVDENSGDVYLHRGQMELIQGDYAAAVSSLRRSMTRCESLAVTHISY AMALYKAGSVYQSVDVFKSALEQFPTSHEVHLFYGDVLSDRADYGLAMEHLKKAVELS PACPLPWLNAGRIFVATNDAGHAIAHFEQALQVDPRCSAAHLDLAQVYFAQGKVDRAM EHFDVATTTCRFLPEVEDACACRSVAMMQLKATTILGVELRHLLKSQK H257_10384 MTSKQQHGDGDKIALTSAGKHFDSDIYESASDDKYAGYSRTLDD EDTSSRSLAAGPNKGRASDGLIDDNYDPFADKDYREANGSGLVNSVITERESDYQRRR LHQTLSPTRQDAFERTTTDDGSNASSFRKIMHNQKLDAERDEVIRKIRKQKEEHAAAD VVVAAAASSSTTTKKKKRRWDDAGGNASEGATTEEESSSEWDQDHSTDKKVPSSSQWD ATPVAADMPTPKKNRWDETPAPAGGAEKKWDATPQATSSSSSFDATPTPGRKRSRWDE TPLMDDNRTPTSAALMTPGGSLAAHVMPEVVQRLRWEREIEERNRPLTDDELDSMFPT TGYKVLDPPASYMPIRTPSRKLMATPTPMGQTPGFQMGQTPSASDYGLQPGGETPSGG DMPYIKPEDYQYFGKLMEEVEDESLDPETAKERKIMRMLLKIKNGTPPQRKQSLRQLT EKARELGAGPLFNQILPLLMAPTLEDQERHLLVKVIDRILYKLDDLVRPFVHKILVVI EPLLIDEDYYARVEGREIISNLSKAAGLATMISTMRPDIDNSDEYVRNTTARAFAVVA SALGIPALLPFLKAVCTSRKSWEARHTGIKIVQQVAILMGCAVLPHLKHLVECVGHGL VDEQQKVRTITALSLASLAEAAHPYGIESFDSVIRPLWKGIRQHRGKGLAAFLKAIGY IIPLMDANCANYYTREAMVILIREFQSPDEEMKKIVLKVVKQCAATDGVTPSYVQTHV LPDFFRHFWVRRMALDRRNYKQLVETTVELANSVGAAEIIGRVADDLKDESEPYRRMV MEAIDNIVQNLGVTDINTELEEKLIDGMLYAFQEQTSDDTTALLNGFGVVVNALGLRA NNYLPQICGTIKWRLNNKPAKVRMQAADLIGRIAVVMKTCDQEQLMGHMGVVLYEYLG EEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGR IADRGAELVSAREWMRICFELLEMLKAHKKGIRRAAVNTFGYIAKAIGPQDVLHTLLN NLKVQERQNRVCTTVAIAIVAETCSPYTVIPALMNEYRVPEMNVQNGVLKAFSFMFEY IGEMGKDYIYAVTPLLQDALMDRDLVHRQTAATTVKHLALGVAGLGCEDAVIHLLNFV WPNIFETSPHVIGAVFDAIEGCRVALGAHVILQFCLQGLFHPARRVREVYWKIYNSLY MYSQDALTPAYPVIEDDAANSYGRTYLDLTI H257_10385 MGTTPHSVAGTAGRCVLLSGPFETCVQVALGMIAMSVLVVKRHG EKPRRPLGVWLFDASKQAIGAGVAHAANIAIAIALVGFTDKGATDECAMYFVNFALDT SLGVVFNWVLLTLLTSTAKQCNWTSLQSPGDYGDPVRVRVWLMQLTSWLAIILTAKLI IGCGIVYCQAVLVDFAVWVFAPLEAHPRVELVVVMIACPCLMNALQFWVQDSFLKKKA KYDLLPRTDTKGLV H257_10386 MYIQPAVYWAWYCTIMGVVITTLVVLHVARKQFQRQQRMRVDMI QRNLLGNQSGTPYGHQDLERGVPTEDQWKCEICDFCNNVAKPCCVLCGTERGFSLTAT LLGTSTAHRSSDRDAITSESSRASSHPRRKSVSMPATTSRLSFVDRNKAFKIRRLNAR QDAARKRKEWVRQVDSDGRGYWTRSTMTTAAAAASPTEGFVARVVPSVDGHDVRLTFA STSRADALISFDGNAIHDADLEVLHVVAAMPFTEKYAWFVAQMHGLKNTWKEGRLKIK VRRANVLVESFEQVLGMQKQHMYMPLRIEFMGESGLDAGGLEREWFTILTDELFDDSL GLFQSCHKDVGAFYIDAHSAEVTKDHLLYFKATGRLLGRALLSGHLLAARPCLPLLKH MLGVPISFHDIQYLDPQKYSGLRWLQENDHVDCLALTFSCTEICQRNQIVEVDLKPNG RHISVTDANKAEYLALTLRYLMLDRCASQLHHLLSGLFEVIPQEMLMVFDYQELELVL CGVPDIDVADWRASSQCSPDLARSPVLGWFWDIVSDFSAEDKARLLQFATGSSRTPVQ GFKALVSYDGQLCPFSLQAIPFTDTAYPRAHTCFNRIDLPLYKSKEQLREVLTVVINM EITGFTEE H257_10387 MESNAESSIVPDLSSLSLADAVHVEDSINDTPRALDENDVLGAE ADLSEDESSDDVASEESEEEEEEEEEEELSWIAWFCSLRGNEFFCEIDEDYIVDDFNL TGLNGIVPYYDYAMDMILDVETAHDDALTDVQQELVESAAEMLYGLIHARYILTSKGM AAMLEKYHNVDFGRCHRVYCQGQPVLPVGQSDIPRHTTVNIFCPRCRDIFFPKSQRQG NIDGAYFGTTFPHLFLMTHLTLVPSPPAQVYVPRVFGYKVHKSSAYYVGKKDAHESNG HRRGRRKLMQTTDKQP H257_10388 MWEKSKDEEAFYARMFQLADVDKTGKVEGKRAVEFFTKSGLPAT ILKQVWSLASTNMQPYLNQDEFNVALGLIALAQRGDPLELARLDALGKSHLLPLPVLH TISGVAMSKDFVMAASDEAKYKTLFRDAVGGDITISVAAAMDLFQKSGLPLPELHDIY RLVDHGRSTSTPLPVTSFTIAMHLIVCKTRRGMSALPTSIPMELFPTLELPPIALDHV SSGQSPAAMLELQLGAQKKLVEALARVPIPSSSPQSTLDIHLDAVEALGYVLPSRHTT AIADGAALSELESVLLRYIAQVTQELLELQNSKPTSAVVPVQTLLQTLANLKQQSTRL VEQKEAALRRLHPPTLHIDTNQDGVGRPSCFDSTFDHDAAPTTSSTTFQTTTTTSTPQ VDFFASKPVMAGAAAPTFVVPMDGAGLFSPSLDNHSFAFDGSSGGGASAAGTGGSTSN TQPPNHPSFFGPPTTQTSVAVLDAFASATTTNTISFDALDAMNDMDLSVGDKYYMVGL SEGGLDDDKAAAASSSSSFPPTTTPARSTSDLKQSVVDTSRPISRKASIAAAAVPPPP LVAPPTNSSPGFATEFATEFAAAFPATSTTTTPPSFATLFDDQQQPTSGAPFDPPPAS SSFFAAFGDFVDTTSSSFEAAFDTPTTTIATTPGFGGDSLSGAAAASSGDQPPPRPPS SSPSCSALVGKDTTISSDDTFGQFEASFEAFGINNADATASWNTAVADSDGFSSWFPS DSTTTTSSSSRAPPTDDAFDPSFGHFTTSATSCDDSVADRSKTSNDDPIGGGIGGGGF ENDVTGFAANVTEIVAVVQDTNDPQADCSSWTTGTASHTSQPHKDDHISATTRRISDQ GSSSQGGEAGDTATAFQSDQGDADFAALDWSFQAAALPSPVPDDGTNHHMSNSPIGQA QGGHFPIDQDTALNGAAVTSFHDEAVKTEPTVSQIASPPPLPSHQDADSVAVDGCGCL FGLPHDDPTDDDKMSHPSASPSNDVSTPSDEMPRPDRADAEDSVAFPADMSTPSSPPA TETTPSDLVSETSFNPLLPPQSAAASATTSAIDDIFGDFTFSPAALGDRRVASDPFLT TFASNAAAFPTSYATEPADASSDNMFADFADFQSSVGTSTWDFPATTFPPSTATPPDD FTF H257_10389 MGAAESTSRVFSQEIPFSDAPGYGPIRTAPGNLPLADHTTTLWE NFKVGLSVGADNQYLGTRSRNASGKAGPYTWLTYNEAHDRATRIATGFHHLLKVGRQE VVGLFSKNRAEWILTEIACNRMSYVVVPLYDTLGPKAIPFILNHTNMRVLVCSGDLVA TVLQAKGDCPSLEFVVSMDDSITSRQRSDADSKGVTLLTLHEVETVPDATVPPSPPLP SDVSTICYTSGTTGDPKGAILTHRNYTTATLMLADRIDCRGHMVHISYLPLPHVMERC VTSIIARLGASMGFYQGDVVHLMDDMAELKPHLFVSVPRLFNRVYDKIVQGVASAGGV KKLMFDYAYATKKQGLADGTNVHALWDALVFSKIQAVLGGRVELIVSGSAPLAANVKE FLKIAFSCRVEEGYYKEPTKTAEVLSADGWFSTGDIGAWNADGTLSIIDRKKNIFKLA QGEYVAAEKIENIYAKSPFVGQIFLYGDSYQSYLVAVVVPDPEVVQAWAAERKLPDGT NLAAMAARPDLKAAILASMAEVANDAKLNGFECVKDIHVHPDVFSVEHDLVTPTFKLK RPQLKAYFQRQIDAMYARGLK H257_10389 MGAAESTSRVFSQEIPFSDAPGYGPIRTAPGNLPLADHTTTLWE NFKVGLSVGADNQYLGTRSRNASGKAGPYTWLTYNEAHDRATRIATGFHHLLKVGRQE VVGLFSKNRAEWILTEIACNRMSYVVVPLYDTLGPKAIPFILNHTNMRVLVCSGDLVA TVLQAKGDCPSLEFVVSMDDSITSRQRSDADSKGVTLLTLHEVETVPDATVPPSPPLP SDVSTICYTSGTTGDPKGAILTHRNYTTATLMLADRIDCRGHMVHISYLPLPHVMERC VTSIIARLGASMGFYQGDVVHLMDDMAELKPHLFVSVPRLFNRVYDKIVQGVASAGGV KKLMFDYAYATKKQGLADGTNVHALWDALVFSKIQAVLGGRVELIVSGSAPLAANVKE FLKIAFSCRVEEGYGLTETGAATTLSHIDIPTGAHVGIPVANVQVRLADVPEMNYTSA DVPRPRGEICVRGNNVFVGYYKEPTKTAEVLSADGWFSTGDIGAWNADGTLSIIDRKK NIFKLAQGEYVAAEKIENIYAKSPFVGQIFLYGDSYQSYLVAVVVPDPEVVQAWAAER KLPDGTNLAAMAARPDLKAAILASMAEVANDAKLNGFECVKDIHVHPDVFSVEHDLVT PTFKLKRPQLKAYFQRQIDAMYARGLK H257_10389 MGAAESTSRVFSQEIPFSDAPGYGPIRTAPGNLPLADHTTTLWE NFKVGLSVGADNQYLGTRSRNASGKAGPYTWLTYNEAHDRATRIATGFHHLLKVGRQE VVGLFSKNRAEWILTEIACNRMSYVVVPLYDTLGPKAIPFILNHTNMRVLVCSGDLVA TVLQAKGDCPSLEFVVSMDDSITSRQRSDADSKGVTLLTLHEVETVPDATVPPSPPLP SDVSTICYTSGTTGDPKGAILTHRNYTTATLMLADRIDCRGHMVHISYLPLPHVMERC VTSIIARLGASMGFYQGDVVHLMDDMAELKPHLFVSVPRLFNRVYDKIVQGVASAGGV KKLMFDYAYATKKQGLADGTNVHALWDALVFSKIQAVLGGRVELIVSGSAPLAANVKE FLKIAFSCRVEEGYGLTETGAATTLSHIDIPTGAHVGIPVANVQVRLADVPEMNYTSA DVPRPRGEICVRGNNVFVGYTWTAALYSMSGH H257_10390 MEAIGTISTERATRNFNADIDTCEVDAASQQHRPSAQVQRSANS AAPDEQLKKDPKLTDGGTS H257_10391 MGAAESTSTQVFSHPIPHSAQPGYGPVHVSPDALPLADHTLTQW ENFKRGMAISGDANYLGTRTRDASGKAAAYTWITYNQTHERAQRIATGLQTHLRVRRQ ENVGVISKNRTEWMLTEIACNRMSYVLVPLYDTLGPKAIPYIVNHSDMRVLVCAGELI ANVLDVKHECPTLEFLVSMDVVTPRERADAQAKGVTLLTLDDLEGVPDATVPEDPPHP SDYATICYTSGTTGDPKGAILTHRNLSTATECVTYRIDSKSYWVHLSYLPLAHVYERV NAANVTRAGGSMGFYQGDILHLMDDMAELKPHIFVSVPRLFNRVYDKIMQGVTSAGGV KKLMFDYAYATKKQGLADGTNVHALWDALVFSKIQAVLGGRVELIVSGSAPLAANVKE FLKIAFSCRVEEGYGLTETSATATLSIPDIPIGAHVGMPQPNVQIRLADVPDMNYTSA DLPRPRGEICIRGNNVFLGYVRSYVQRQ H257_10392 MPPPPPVLGQTLPSARNVSEANVHISNPNAVIHISTSRPGKHKP SMVDTYCLLFNAQGQLVEHMSHNPASIDGAITQVPRNQYGHDQKFIVALQYVNYGIDV VGFIISHSEDTVSDQSRPLDECSVQCVLHSSNVDVTLCQGQGDVLCEYAYSPHDPSGG LFRNATMVCKIYRDTRQRSHWVFNPIGEGMTCVSHCIVGLTRCAQLHLVDIIPDIDIP NVASLNSIQGICSALSSVEFLAFENKFPANGHDKRAFATCLCEGMVRSRPELRSEKRI TALLSLLYEMFDQIDINGDCQVDWEEFTSFCVALGMISTKQSQLAEGKGVEYTYKQHP CHGSKTFPYHITRIKCFDAIRKVAVLEHMSPNISIFDFDGHFLHDKTSIAKSSVMKDG LYVIDIDHVPGKNCFVVSSSDRAITVWSIVNAVKGQYVQSGKVVNHDMMMVVKWCPML KLCLLSSVKSTSLWNVDTCKVEHRLRHHSDLVTDVVEIPATRLFATCSFDHNVAVWEA DRMKVLFQFTGHTQAVMHLDCNGNVLVSCGFEHHARVWSIATRKHLVMLTGHHYALLD VKLVRHHSAKLFCVTGDTSGHFKIWDISRCIVDASRDAAVVLHTYTVNVVGSMAPIFH SFAIIPERKRTTELLDIWAGNFQVLRLVPEMVTSLHSPLQFVLYNQVSHTFTASIAGR ITVWSGKSGVIIQEPIAITSAEVCAMCFDLPRQRKLFIATSDGRVSMFNPITGLLMDS AQLHDGEVMSMIYCERTNCLITNGTDDSLSICNDVQGEGRLDPMRSIDNVHRAPMSAC AYSSEHGLIATGDTNGHIRVHDFQRLSLIFRCDGHKGEVTALAFHRQCCVLFAGDSAG EVLVWQVLNVSTMSKCLMRLTSTAATPVSSLSSTFVTDVSPTAPTSCGISSLCMTEDS EHSFASVVAADDLGYVHCWPLQSIRQHFRGVMRIHFDPLAESMIAYARGGYNPNLRIS RRQSVMAPPPPPPVASSTATQAATDQRSRGPRQKQPSQKGGNGRTPSPRVADALSWKA HDSKILQLCPLQFPGFLYSYDDVGVRLWDAEGGCLGTLQRRFDDAESQPMQWQYRPSI LLVDNSATMKQMALDILTAAVADSNSSVVGMGDGDNAIPATMTGLETQDVTGILTASD PRTPRTMRRYASLASVMEKVKAFKVDDPGLGTVLEGLKAHAEADRAFSRMSVKAGVKE NVFSQDEAYALEAVGRDALQRRNYETILFPPLLLTTAQIKKAYAKECASISEESAGDR PEQHASSTSTSSSRWSGVQDLPILRTCDNFAVEVAARRLKLATTGQSSRHEMSVEPSE FLKRHLQEIQPKKRSKRRPKRKIVRPRKNPQQEPQAGQVQQKPTMVMESYIKMTTVDD KSNVVLLPTLSKKPLKAAFIMQKSASMPVLHAVVTESKHDQDDDRTADKIIDNDAEED VTTPLSSRPEIRPEVKENIRRKMVLCDSMCHETRVRKAMPSRVKVAKKRRPPIDPVEW AKAGKNPFGPHYSVREVLEFGETLLRFDKDLSGDIDTDEWMKIMTAFMPKAQDADVAV VKDLFATVDANEDGLISLNELLHIVFLQATREQLLLMEELIRRTSRGNEPDDASPAPS PAPVVPSSNDEIE H257_10392 MPPPPPVLGQTLPSARNVSEANVHISNPNAVIHISTSRPGKHKP SMVDTYCLLFNAQGQLVEHMSHNPASIDGAITQVPRNQYGHDQKFIVALQYVNYGIDV VGFIISHSEDTVSDQSRPLDECSVQCVLHSSNVDVTLCQGQGDVLCEYAYSPHDPSGG LFRNATMVCKIYRDTRQRSHWVFNPIGEGMTCVSHCIVGLTRCAQLHLVDIIPDIDIP NVASLNSIQGICSALSSVEFLAFENKFPANGHDKRAFATCLCEGMVRSRPELRSEKRI TALLSLLYEMFDQIDINGDCQVDWEEFTSFCVALGMISTKQSQLAEGKGVEYTYKQHP CHGSKTFPYHITRIKCFDAIRKVAVLEHMSPNISIFDFDGHFLHDKTSIAKSSVMKDG LYVIDIDHVPGKNCFVVSSSDRAITVWSIVNAVKGQYVQSGKVVNHDMMMVVKWCPML KLCLLSSVKSTSLWNVDTCKVEHRLRHHSDLVTDVVEIPATRLFATCSFDHNVAVWEA DRMKVLFQFTGHTQAVMHLDCNGNVLVSCGFEHHARVWSIATRKHLVMLTGHHYALLD VKLVRHHSAKLFCVTGDTSGHFKIWDISRCIVDASRDAAVVLHTYTVNVVGSMAPIFH SFAIIPERKRTTELLDIWAGNFQVLRLVPEMVTSLHSPLQFVLYNQVSHTFTASIAGR ITVWSGKSGVIIQEPIAITSAEVCAMCFDLPRQRKLFIATSDGRVSMFNPITGLLMDS AQLHDGEVMSMIYCERTNCLITNGTDDSLSICNDVQGEGRLDPMRSIDNVHRAPMSAC AYSSEHGLIATGDTNGHIRVHDFQRLSLIFRCDGHKGEVTALAFHRQCCVLFAGDSAG EVLVWQVLNVSTMSKCLMRLTSTAATPVSSLSSTFVTDVSPTAPTSCGISSLCMTEDS EHSFASVVAADDLGYVHCWPLQSIRQHFRGVMRIHFDPLAESMIAYARGGYNPNLRIS RRQSVMAPPPPPPVASSTATQAATDQRSRGPRQKQPSQKGGNGRTPSPRVADALSWKA HDSKILQLCPLQFPGFLYSYDDVGVRLWDAEGGCLGTLQRRFDDAESQPMQWQYRPSI LLVDNSATMKQMALDILTAAVADSNSSVVGMGDGDNAIPATMTGLETQDVTGILTASD PRTPRTMRRYASLASVMEKVKAFKVDDPGLGTVLEGLKAHAEADRAFSRMSVKAGVKE NVFSQDEAYALEAVGRDALQRRNYETILFPPLLLTTAQIKKAYAKECASISEESAGDR PEQHASSTSTSSSRWSGVQDLPILRTCDNFAVEVAARRLKLATTGQSSRHEMSVEPSE FLKRHLQEIQPKKRSKRRPKRKIVRPRKNPQQEPQAGQVQQKPTMVMESYIKMTTVDD KSNVVLLPTLSKKPLKAAFIMQKSASMPVLHAVVTESKHDQDDDRTADKIIDNDAEED VTTPLSSRPEIRPEVKENIRRKMVLCDSMCHETRVRKAMPSRVKVAKKRRPPIDPVEW AKAGKNPFGPHYSVREVLEFGETLLRFDKDLSGDIDTDEWMKIMTAFMPKAQDADVAV VKDLFATVDANEDGLISLNELLHIVVREDGIRWNSTCLIRRCKYIL H257_10393 MSHCTDSSPLKPAVSPSKSVSFESNGPQQQHHRRSTTPSSDLTM SELEAAERQRNQPPPQPDQTPKKAADPAVIDVDSHPLLANLKVDGKEVGAYMLCKFAD DVLGHRARQIFREKASSIKGQNGIYYYLKDLMLKFSHDDDNSTSSTNGGAKKKPPPTR KKDEDDNVGLEEHQFRKLMASDPAFECLVAQEDTTMLFQRIVNSATKSTMSHMDFVEF CLLDRMQLLILLCKYWKSLRKCKLTDNELLDMYRRMTVQGSNESQMAGELFGAALARE FDVVLTVGEIQVMMDLMDYDGDGIVKPADFETFYKDTDRAQQLTELKQPDAIVDLKYS TNDNDAAELKREGYILYPKNIYEGHGTLYFWYKRARRDSGKPAIEAIQYAPTNMDTAL VAQGFVCMNGSKAFAKKFVWIKHTNQQARSNFHAQELGDIFITSGHTADEKSATLWMP PCRGYKQIEGTLDDTNKLTARWTTGRRGVFLWVRYMNEAQDVLEFKPPPSPTANGVVS ASVWSKIDELEGQIRQTLRRRCPAEADGVLNFLKLFQSLDKKNRKLLSATKLKLGLVA LGCKMDVRLYAEVWKRVDVMAAKRVDFDAFQRFVLMTDTEVDDATESLQRHVTKGSSN YRSIFKTHNAAGDGTLSRNDFLRMLASAQVLVAPEELVKITQRFDVNRDNVVDYADFL KFVTGVCDIHSRQAARVAEAAAAFQGWAIEHQNQKLAKDGNIDSSASWRLVKSAGDVL RVPMIDKILRQKHWRLNPWEMKSLCVLMSPTAAKVGEIARNAYHAFVNHNPKKIANLV VAGRKVVGNLPDNNTPDAVFNQLNTLGHGKLTLSSLHQEFNAMALDKSIPSMDIKDFV YLVQHTGADCGGDGLVILDRFLACLRDCTERRSMKHGFLTPYDSPQFAKGVLTLVAEL KRSAKTLDGKYDYSIPFKLLDKDNCQSIRLCEFETAMRELGLGQYLNDQEIKSVVRRF HVDNGGGIDFEEFCRFLTTPTDHGDHANVGALNQPKVVAAITEAFVQLPPYGVLSFAN MIKRMCSMADKDGSGSVTATKFAQVFDTLDIALPRASKTDHHPLLIQLLCDKDENVPY GVFCDLYVAAMSPPPAPTKQSEVDKESHKVLTEAFTEMQKMMVQKGVEFDYKSAFSLI DKGVITPPNLNEVLWAAGVRYPFSPEELTVLHRAFLKETGSFDADKFCDFAVRGPVVC TKVADEANSKVDAVIAHLQASIKQLVSNDKDATKFHKLFLDFDGNNDGTISTTEFLHI LDHMGLSKGLTPAETDAILHFFDVNGDHAIDYTEFFHFANHADVMLQANVNASKGAVA VNASPPKATAGSAPSSPPKATGSAPPSPSKSVTSTSKSPSKNNILALQHPNGQFTGLG KQLCRLASLDQTARGRGGFAFAKYFEKYKARHDPNVVACKKFRLILDKFLDTVAADHS GTSKQVAHDVARLDMTVIEKQYVVRETGMVKYPLFLRDVHTAMQLDARRAAWSDDDDQ DDLDDDDDLSLSDSNISESGSSSDDSRDDAAVSAAALGSLLDRLLIKANWSTSKCSKC MRHLDEWFPPRASSRSRPKPFMAKLRDTVALPWRQSDLQAVAAACRSRPHRRVDPSMF VLAMREALARYGGLSNQNSTSPNSNINNNGGLKPLMAKIYHVFLQAAQRNINGRQLLE RCDGRGSGAISWSEFSTVLRLMDCDLSVSELSGIQQALQSPSSCPYKSFFTLLETYGS ISSSSSLVVASPRRHELAPPMTSPPHHLHHHALPASSVHVALPPSYAAPNVYPTRGIE QELQAIFLDALRSVDSAALVAAFRAYDIKGCGFISLDGFHSAMRQGGIFLSPDIYAKI AAQFAARFSPDGVDYVSFCHVMGLPMNHPPFVNGNPRKVTPLQLPDQRGGSGGGITPT AVESWLQHGASDDDKRQFNDMYNAIFDYKSKQQASAAQVLPSSRVPPMTPRADMWSCA VCFHSQSQARSTCEICASKNPSPPSPNPNSGGHGDFEVALQCSVCAFRNKATSSICSL CQTPLRLNHPASSSTRLTTAAGDGWLT H257_10393 MSHCTDSSPLKPAVSPSKSVSFESNGPQQQHHRRSTTPSSDLTM SELEAAERQRNQPPPQPDQTPKKAADPAVIDVDSHPLLANLKVDGKEVGAYMLCKFAD DVLGHRARQIFREKASSIKGQNGIYYYLKDLMLKFSHDDDNSTSSTNGGAKKKPPPTR KKDEDDNVGLEEHQFRKLMASDPAFECLVAQEDTTMLFQRIVNSATKSTMSHMDFVEF CLLDRMQLLILLCKYWKSLRKCKLTDNELLDMYRRMTVQGSNESQMAGELFGAALARE FDVVLTVGEIQVMMDLMDYDGDGIVKPADFETFYKDTDRAQQLTELKQPDAIVDLKYS TNDNDAAELKREGYILYPKNIYEGHGTLYFWYKRARRDSGKPAIEAIQYAPTNMDTAL VAQGFVCMNGSKAFAKKFVWIKHTNQQARSNFHAQELGDIFITSGHTADEKSATLWMP PCRGYKQIEGTLDDTNKLTARWTTGRRGVFLWVRYMNEAQDVLEFKPPPSPTANGVVS ASVWSKIDELEGQIRQTLRRRCPAEADGVLNFLKLFQSLDKKNRKLLSATKLKLGLVA LGCKMDVRLYAEVWKRVDVMAAKRVDFDAFQRFVLMTDTEVDDATESLQRHVTKGSSN YRSIFKTHNAAGDGTLSRNDFLRMLASAQVLVAPEELVKITQRFDVNRDNVVDYADFL KFVTGVCDIHSRQAARVAEAAAAFQGWAIEHQNQKLAKDGNIDSSASWRLVKSAGDVL RVPMIDKILRQKHWRLNPWEMKSLCVLMSPTAAKVGEIARNAYHAFVNHNPKKIANLV VAGRKVVGNLPDNNTPDAVFNQLNTLGHGKLTLSSLHQEFNAMALDKSIPSMDIKDFV YLVQHTGADCGGDGLVILDRFLACLRDCTERRSMKHGFLTPYDSPQFAKGVLTLVAEL KRSAKTLDGKYDYSIPFKLLDKDNCQSIRLCEFETAMRELGLGQYLNDQEIKSVVRRF HVDNGGGIDFEEFCRFLTTPTDHGDHANVGALNQPKVVAAITEAFVQLPPYGVLSFAN MIKRMCSMADKDGSGSVTATKFAQVFDTLDIALPRASKTDHHPLLIQLLCDKDENVPY GVFCDLYVAAMSPPPAPTKQSEVDKESHKVLTEAFTEMQKMMVQKGVEFDYKSAFSLI DKGVITPPNLNEVLWAAGVRYPFSPEELTVLHRAFLKETGSFDADKFCDFAVRGPVVC TKVADEANSKVDAVIAHLQASIKQLVSNDKDATKFHKLFLDFDGNNDGTISTTEFLHI LDHMGLSKGLTPAETDAILHFFDVNGDHAIDYTEFFHFANHADVMLQANVNASKGAVA VNASPPKATAGSAPSSPPKATGSAPPSPSKSVTSTSKSPSKNNILALQHPNGQFTGLG KQLCRLASLDQTARGRGGFAFAKYFEKYKARHDPNVVACKKFRLILDKFLDTVAADHS GTSKQVAHDVARLDMTVIEKQYVVRETGMVKYPLFLRDVHTAMQLDARRAAWSDDDDQ DDLDDDDDLSLSDSNISESGSSSDDSRDDAAVSAAALGSLLDRLLIKANWSTSKCSKC MRHLDEWFPPRASSRSRPKPFMAKLRDTVALPWRQSDLQAVAAACRSRPHRRVDPSMF VLAMREALARYGGLSNQNSTSPNSNINNNGGLKPLMAKIYHVFLQAAQRNINGRQLLE RCDGRGSGAISWSEFSTVLRLMDCDLSVSELSGIQQALQSPSSCPYKSFFTLLETYGS ISSSSSLVVASPRRHELAPPMTSPPHHLHHHALPASSVHVALPPSYAAPNVYPTRGIE QELQAIFLDALRSVDSAALVAAFRAYDIKGCGFISLDGFHSAMRQGGIFLSPDIYAKI AAQFAARFSPDGVDYVSFCHVMGLPMNHPPFVNGNPRKVTPLQLPDQRGGSGGGITPT AVESWLQHGASDDDKRQFNDMYNAIFDYKSKQQASAAQVGRTFRP H257_10394 MEQLWRDYHAWATPVGDSILSFMDPSGGYKLSATASWPLADFST ALAVAVAYLAFVLVGTVVMKAGVPAINITALQFIYNPLQILICSYMCVEAGAQAYRNN YTFMPCNPYNQTNPVMGNVLWLFYASKSLDFMATVFIILGKKWKQLSLLHVYHHFTVW VVYWLVFRVMYDGEIYMTILLNGAIHTIMYMYYFVSAHTKTIWWKQYLTVLQLAQFLT MNVQGFLVLYKSCPTVPLNVSIAYMAYVQSLFWLFVYFFITSYCVQARNKRPASLPDV SKKQA H257_10395 MERDTAAVNASMQKAAKKLQDAIMGVRKARQNQPMHSQVNVEET LPRAAASSRHGTLTSKALPSSSSWLHDEYLESSDSEMEEHDDVSIGSTMSDEDLAGED NQLSACTSPTKSTEQRPHNELRHSSASGSLPSINDRFADPLPSDPRAAAVDSMIDQMK LKMDELSELKATIMHTFVHACGRIDAFVRSTIGQPPRLHHVEVMPDIQHMDQVVSRWE RSLADWNMRVREDLAHECRREVLGMQQAWRLQEDVDQDNRMQMAMEDGAAVTWRRWHR SHESLAPTMRIEMPAKMTLQQEEANEAMHMHVEDWQSRCMVLHTQLRHARTETEAHKH GHAMLRLQLTSIDARLQEAIHQVHMQEEIAAHVQSTQEHNMREVEALNYKLLNTLVDQ DRQLQLKEAAMLERIQAIDADRAQLRCEHLAFEQQKKAILSDLKNVCDYFSTYESSHP SSSAKDDLRLEMLRTVAKY H257_10396 MLRSCEECRHVVMEGDPAEWLCTSCGGMFHGTCVRNKRRRSEPW ECSGCQGLNQEKGLLVTEAVNKLNEEADDADEEWWTEMEGSSMSLPLEEIASNDINNE ANREPPPTSITHQQPDRSNDDECREIVDTDETFRIQSNGVCTRCGLISIPEIHVLSCT VCHETSAHSLCMKPHLRKKWSCPGCFSGGQRRAKAASNNPALHIIQPLANPLPESSVV LCDNCEGEFSMTHLKMSSLPKGDWFCQHCDASAAVADDDVSAPPTTSSITRRAAAAMS TASTPSRTTPLRSASKRGRVEAAPPPVDKKRSKVDRKSSSTSKTSSRRTRGEPTCVRC DKVPGIESSCKECRRVATGQHKAPKRTRLPLRVVVPEPVAVASDTSTVADTAAVDLWT MHEMVGRTVLVYMAASSGQWLSGRVGFFDPHTLLHRVQFLDDTDQWLPLHEMPYCYSQ HVNVFVKLDQPNAWWPAQLMSTNDVAARHMQPTDKTLVYLYGGDNVCAWVSMSSVRCF DVFEADACDPSNASWADAVDDAKEEMHLARETVVDAKETLRRDIQKRLHGKTWIGKHV EVMNFSQQTDGLTHGTITQFNPVTHEYFVSCTDEGSSSRWFAVNDDVHISLLVDCTYA ELSMQWYADPHAVLREDDTHQLLAKTATSVEPDVTRCVKCLFPLMGEALLLPCASCAQ LFHRSCVDCPQNEFPLVDPVDGAILVDDINPPFVCPSCLVCDGCASPTTTDTWHRWKL PLTPVTLCTTCHDLYKTQSFCPVCHRVYGGGAMPFCADVMQCTTCDLWVHSECEPDPD PMYHTEDYPPPLAFHQVDDSATKSHPSEPPDSTAPTPDTDEVLTEKQRRRAADDKIAR TLAFASTYDPRILAKYECYTCRRIRCFRLLKALVAEDKLGLFREPVTVAIAPTYFDVI KHPMDLQTMENNLKDQHYVHALCADFRDDFELMCLNAVTFNSKERNFTIWREAWRFFN AGTRLVRQTMPSVGFVPGKFADNMIAAAKRQLPNNSVLANKEEPKKDVVVVISDECTV ESSQTHATDAAAIPADTIKVEPGMAPPSSTSSAVVVVADKVVQASLVNEMSTFVVPTE LAVVPKPYSCVSSVVATQSKIQAHEMAWVDVCAVCCSSGQTLAMVFCVDCGEGFHTFC LQPALDLEAKRSDKILEYWRCPNCKICEFCGRCHQEDEAKLLVCDVCERGFHTFCLKP KLRDVPSGGFVCGSCIQCDSCSVPQDKTTWSSTPSSCLTCLGVKIDDLAKKKPSAKRS TDCCPVCSKKWMATEPLIQCDGCELWVHPVCDHITDDALAVLVDDSTSEYYCPVCRQK QRQHLNVFKKAWDLQMNIALIQNKRQDLVETWQAKQVNAKTTRQWAHWRARCPVYLYT MRLGEECLKSLAGRRLSFSYPSSTQGDLFNVSLIPVSIRHRASRYLRFKRYARGPKAA LRRQNRKKGHFFTWEGVNSGDEGAIANVVTEAVSAAAFLACCTWLYGTKKLSMFTATL VRASGDPIPDALWNALVDKHAISLADEVKFLTAEYTKRSKARDVAPTPTNSTSTTSTT STPTPLALSLEPTTSSSSSSAPPETSSSQDLVQVEPVGTVLLPEHHPPVVRMTVAKPL HGWQGWADHTLHFGSFQDHRMCGLCRVFGDSTVCGRLVFADYDQWVHINCAFWSHEVY EDAYGTLIMCQKARFRGRTTRCSVCHLSGATLGCHGLRCQLNFHFTCAQSHVNFTLDK QTFCHQHDHWMAHIKKQQDRRRKKLDDAKAKLAATAAANIDGKLARPNDEDGGDNDAV EVVRAGINDPRAIDDDNEVDETVHLCVSELVDSICTDAIDARLEPLRFVMSDPLTDKK ATKTQMAKGTCYRVGALCVQNLGTIQVGNDSFHTRSTLYPLGYRSTRLFWSATNVEQR ALYECEIVNDNSHNKATTTSRPLFKITPCDDMDNPIYGATPNDALHQLRSRLVGLYES HRAFAGSTNPFTNRTSWYSYGLLGDHFFGLTVPVIGAALETLPYAATTALQDAQNPYP YAFCHTLPTPAMFEDAKHDLKRHRVANAHAQHSSGCARTDGFAWHKLKTKPDGVAKRR KVNVSKQASNDDTNQTKQPANGGMENLPIPMQYRDLRRRPFSERLEVRKSKIHGYGLF VKEAIAEGKMIVEYQGQAIRQKVADMREKRYEEMGIGSCYMFRLDKDIIVDATQTGNL ARFINHSCDPKANARIISIDGNEKKIIIFAKVSLQPGDEVTYDYKFPIEDEALRCDCG APNCIGRMN H257_10396 MLRSCEECRHVVMEGDPAEWLCTSCGGMFHGTCVRNKRRRSEPW ECSGCQGLNQEKGLLVTEAVNKLNEEADDADEEWWTEMEGSSMSLPLEEIASNDINNE ANREPPPTSITHQQPDRSNDDECREIVDTDETFRIQSNGVCTRCGLISIPEIHVLSCT VCHETSAHSLCMKPHLRKKWSCPGCFSGGQRRAKAASNNPALHIIQPLANPLPESSVV LCDNCEGEFSMTHLKMSSLPKGDWFCQHCDASAAVADDDVSAPPTTSSITRRAAAAMS TASTPSRTTPLRSASKRGRVEAAPPPVDKKRSKVDRKSSSTSKTSSRRTRGEPTCVRC DKVPGIESSCKECRRVATGQHKAPKRTRLPLRVVVPEPVAVASDTSTVADTAAVDLWT MHEMVGRTVLVYMAASSGQWLSGRVGFFDPHTLLHRVQFLDDTDQWLPLHEMPYCYSQ HVNVFVKLDQPNAWWPAQLMSTNDVAARHMQPTDKTLVYLYGGDNVCAWVSMSSVRCF DVFEADACDPSNASWADAVDDAKEEMHLARETVVDAKETLRRDIQKRLHGKTWIGKHV EVMNFSQQTDGLTHGTITQFNPVTHEYFVSCTDEGSSSRWFAVNDDVHISLLVDCTYA ELSMQWYADPHAVLREDDTHQLLAKTATSVEPDVTRCVKCLFPLMGEALLLPCASCAQ LFHRSCVDCPQNEFPLVDPVDGAILVDDINPPFVCPSCLVCDGCASPTTTDTWHRWKL PLTPVTLCTTCHDLYKTQSFCPVCHRVYGGGAMPFCADVMQCTTCDLWVHSECEPDPD PMYHTEDYPPPLAFHQVDDSATKSHPSEPPDSTAPTPDTDEVLTEKQRRRAADDKIAR TLAFASTYDPRILAKYECYTCRRIRCFRLLKALVAEDKLGLFREPVTVAIAPTYFDVI KHPMDLQTMENNLKDQHYVHALCADFRDDFELMCLNAVTFNSKERNFTIWREAWRFFN AGTRLVRQTMPSVGFVPGKFADNMIAAAKRQLPNNSVLANKEEPKKDVVVVISDECTV ESSQTHATDAAAIPADTIKVEPGMAPPSSTSSAVVVVADKVVQASLVNEMSTFVVPTE LAVVPKPYSCVSSVVATQSKIQAHEMAWVDVCAVCCSSGQTLAMVFCVDCGEGFHTFC LQPALDLEAKRSDKILEYWRCPNCKICEFCGRCHQEDEAKLLVCDVCERGFHTFCLKP KLRDVPSGGFVCGSCIQCDSCSVPQDKTTWSSTPSSCLTCLGVKIDDLAKKKPSAKRS TDCCPVCSKKWMATEPLIQCDGCELWVHPVCDHITDDALAVLVDDSTSEYYCPVCRQK QRQHLNVFKKAWDLQMNIALIQNKRQDLVETWQAKQVNAKTTRQWAHWRARCPVYLYT MRLGEECLKSLAGRRLSFSYPSSTQGDLFNVSLIPVSIRHRASRYLRFKRYARGPKAA LRRQNRKKGHFFTWEGVNSGDEGAIANVVTEAVSAAAFLACCTWLYGTKKLSMFTATL VRASGDPIPDALWNALVDKHAISLADEVKFLTAEYTKRSKARDVAPTPTNSTSTTSTT STPTPLALSLEPTTSSSSSSAPPETSSSQDLVQVEPVGTVLLPEHHPPVVRMTVAKPL HGWQGWADHTLHFGSFQDHRMCGLCRVFGDSTVCGRLVFADYDQWVHINCAFWSHEVY EDAYGTLIMCQKARFRGRTTRCSVCHLSGATLGCHGLRCQLNFHFTCAQSHVNFTLDK QTFCHQHDHWMAHIKKQQDRRRKKLDDAKAKLAATAAANIDGKLARPNDEDGGDNDAV EVVRAGINDPRAIDDDNEVDETVHLCVSELVDSICTDAIDARLEPLRFVMSDPLTDKK ATKTQMAKGTCYRVGALCVQNLGTIQVGNDSFHTRSTLYPLGYRSTRLFWSATNVEQR ALYECEIVNDNSHNKATTTSRPLFKITPCDDMDNPIYGATPNDALHQLRSRLVGLYES HRAFAGSTNPFTNRTSWYSYGLLGDHFFGLTVPVIGAALETLPYAATTALQDAQNPYP YAFCHTLPTPAMFEDAKHDLKRHRVANAHAQHSSGCARTDGFAWHKLKTKPDGVAKRR KVNVSKQASNDDTNQTKQPANGGMENLPIPMQYRDLRRRPFSERLEVRKSKIHGYGLF VKEAIAEGKMIVEYQGQAIRQKVADMREKRQKQMPGSSASTATKRKLSFLPRCRSNLA TKSRTITSFQSKTKRCDVTAALPTASAA H257_10397 MTIISWGLTRKISLVVDNFGLRATYLSISKYSAICLTGYGLTSL LPLLGVAEFLDEFYASTMIVMHGTQALCFMLITMPLRQSYLTVETHREMRVRRRRLQR KVDELDYKVFYRYLSTSEGYAAVLTFCRMELAPELLLAWHEVEKFKRGEVTVDYIYAK VLDLHSELFQVDLADEVRNMYAQRWCAVCTPHYRTTRAVSFRSRKNKVDVLLQPGDIA AARAGVVEAAKLASFVPAPARPSESRPLYDATFFHPLSAELLRLLYLHVLPRFEEFPQ SSDWIVFRNGEKAMVSLDVVDNMVRKTVVVSRPLASMTPNIEDIPHGRRMLAMPQEEL R H257_10398 MYSSRHHHGRYGQVLEAFAPAVTPYIESQLAHVGRVRVDEQLRR GVHKINSITMTKNVMTSHIQVDDTLWMQGSVEMYGKVLDVHRFLLGHNEDMHAMRSLF GEVFLDGYVLRSLASGGPHERMRVTWMALQAPHTSFNDRDYVFLQYADVYVRDHDQLR QETQTTPANPALLTACHVWESVDLDGVPPLDSLGLTRQRISSWGFLVEAIDNGRVRVT MTVNQPKASAPHRSWMDKLVVALHRLEGLLYDHFDNGPCCHACTKTFTLLRRRLQCKQ CKMSYCSKCAAPGGDLVVICRGCASRADNNHHVSSTPILLQRSSSTPSTKSASRNLSL PLKPSSSMSSDTTACMP H257_10399 MDEVEVESLEAMGTFLSCLHETNSLLGTLNKQVLALSQELATAE RNLSALSIPFRSTMYEIAMRKDAANKNDQPSFITNSPRFPEATS H257_10400 MTVPPLSVETIDFDEANMEHRMSSYSNATDTTESSDENYQETWL ESETMDDLISGKVFLDEIDVKDDLDGGDCTTINQLHDSPRRERLRSKTYSVCSTNSTC SCVSFTAKERCLSDLSACMDYTYLNSPALSVRVIGTATVGGLLLYSIRAEDETNGTWT IQKTYKELYTLYKQVKSVSTSPVDTPFWGTLHALRKCRVPKRLFRLKSRKSITCQRMV IMDSFIRQTAMIVQPTPLGPQRRQVLDLLRAFIGKSPNSTGPKRTTAEYLLRKSSFMQ SASCTTCAAASEKPSATDIAKVISTAFDSHLAASCESFIDQFTHRAATLYVPPAKSLL AKKSSCLETRISKENADAMLDCIKTTMADFKAQLVDAPPVVEFLRSTRLKVVDPEAYE EVSQHVRREATSIVQTHIYVALEDDIAECLRGVVQDTHDEALVRKMRALGRKPQAWFG VKADFSDDDWIHARTELGAMDLCTLPIDKLKCIMNAAMGVYQTVVESHGGEANNPPCL TLSADDFVPIHIYVVVTSLLQNPLVTKELLALITDPSDLTGKLGFYFTNFIAAVEHIA QLSDTAL H257_10401 MDADLTELEQRILEGNTTNSVEHVLPSSRLLKSSATHQSTKLLL PTNSTATLARPQCPILPSPRLQQSSSTVLYTSSVAQSS H257_10402 MSLGPSVSERVLPTLNRAMTKVRDFGRRLSHDFDTSQILDALAH MNSSHKAKLAESQKSFVLSLHSPWKPYWDVLQAVAAVYAIVLTPLQLAFHVCDIVPAL FVIQVVVDTLFVDSVLHFNTTFIDANSMEEVFNRRHVFRHYLVGAFVTDWFTSVLLSY FGHVSPYIECLRFGILCRVLKPMHINRGIVRLVYLAVGYIMVHHYIACAYIVVTISEA GNGTTRWDSASDLPNSVADQYFHAYYEAITVTGGESMQPTTSHEWYVYKTQIYDVAPP PQPTILTTAAMHSNSTVGLCAGVFAQIHHVEDDCVQRTDAIHAKLKNCHVEPAVQSGI LDYYGCTKGEEDVYNALSCSRTCRRR H257_10403 MRKVPFFQTLEPEGLLTLLQCMEEWVALTGDVIIRAGEERRRVL SNKTRILLKHVGPGDFFGKMSLIGDATAGDGGRSCRTTANNEQLKAFLTQARAQRLAD ANHSKLKASAQEDENVQLTLHSMQRTVTTATLVRNAIKAMKRLAARRRRRMAVMIRRM TLSVPRGIELDGANNIADKSTSLRSTIKHNTLRQNQHGEPHNERPPPPILRNHAPRPD CQPAPGGAREARGQHGRDGVGQRHVPSDDETRGRIQACDAGQH H257_10404 MADEGGKPPPRRSLASAVSRLNLAKAGSSTKLQGGPRMLAAPLP TNADLDIEEAMDQVHYDSPFLTSRPSLVTPFEPRTRPPLTGTPAVKEPVADPEESEPS PAAVLAPRLSDLSKSPWSGSSGRQPASIMDVINAVNFEKKMAKKLHKKIQARKRAAEA VSIVVKKAKRVSRSMTMNRRNGVTMDASQLEEELNNLSTNYISKLAQDHQTFVISIHS HMKVWWDAVMAVVTFYSIVVVPMDVCFDLSTTYDGVVSVQRTVEIVFVVDIILTFRTS YLSSVSLEEVVDVARIRQHYLTTWFWVDCLGSVPSDLFGTSCQQSQLAYLRLLVFLRI LRLSTSPTFADLMSWASRTFTSYFVRLVVLTTMYLLLHHYIACSFYLLVFYERDASNR QWTVPFDANDTLDVKYVSSYYRGLLVTSGSDLGPVTSAEQIWGTAMFIVGILANACVA GICASVLAQMNKVEDEQVHQKECIHNTLRHCNAGEDLQKRVLTFFDSAHGRETAHHAT EMFHGMPEKLHFELSVALNQSFLDKVPLFRTLEPEGIVALMECVEETVAMSGDVIIRA GEEGRAFYMIKMGSVEVYDDSNGRHVSIKQMEAGHSFGEMSLLRNGVASASVVATSFC VLLVLYKDMFQWITRENDQVRTFWERSRVKQMETSETVVRRASLVSTVSEVTQQVGVI HYLANKVLPKRMRIMLRKVRMRKAARRVMLLHHQQINRTQALSSRCQDNLHSNTSNHS QRSDTSHISHVPPRLVPDGTVPVPTGPDGMAIHAADTPSPSSIHSPPIAADPPVDAEP KTSPKGSRLKGAAKKMRASPLSNAHMLLSMLKGRQMSARALLAVTKMSQIAAKDMVDA TSITKENMLYRANET H257_10405 MDAYKAMDHASTVEEPFLPGSNHVSFESKDRVPAALFLANVVSV TYMAVAWGAPNLSKFDFTPHKGPVHDIDPARSQGMSFFLVSLAMGIVGAVLSAVWLQA LQLYASRIISVTLQASAGALAVASIAGFAEAGIAGRAIGFANLFLAAMVAMYYFNVRH RIPFAAANLTVAAKVLQRFPQVVLVAYAAIAAQVAWMLLWTVAVVGVWATSDLLSSSS STLNMYLFLMLLSLCWGLHVLRNIVHCTTAGTIGEWWFSIDTHHAVRRSARRAVTSAF GSICLGSLLVAALWALRLLLLTTKRRKGGGANACLECIAGALDTHLRAFNKFAYCQVA LYGKDFRTAGADTLQLFREKGYTGIVQESLVSMVLCMGSLVVGATTGVVGVGYLYATM ACSDAEGAALSPQECETFNVVIMAFVACSSMGYAMCSVMCSTLDSIVATIFVCFAEDP VALHIANSEEYVQLVDAWRQFHPELLTHSTFSTSVMYA H257_10405 MDAYKAMDHASTVEEPFLPGSNHVSFESKDRVPAALFLANVVSV TYMAVAWGAPNLSKFDFTPHKGPVHDIDPARSQGMSFFLVSLAMGIVGAVLSAVWLQA LQLYASRIISVTLQASAGALAVASIAGFAEAGIAGRAIGFANLFLAAMVAMYYFNVRH RIPFAAANLTVAAKVLQRFPQVVLVAYAAIAAQVAWMLLWTVAVVGVWATSDLLSSSS STLNMYLFLMLLSLCWGLHVLRNIVHCTTAGTIGEWWFSIDTHHAVRRSARRAVTSAF GSICLGSLLVAALWALRLLLLTTKRRKGGGANACLECIAGALDTHLRAFNKFAYCQVA LYGKDFRTAGADTLQLFREKGYTGIVQESLVSMVLCMGSLVVGATTGVVGVGYLYATM ACSDAEGAALSPQECETFNVVIMAFVACSSMGYAMCSVMCSTLDSIVATIFVCFAEDP VALHIANSEEYVQLVDAWYDRCIENRVKYNFCHHKCV H257_10405 MDAYKAMDHASTVEEPFLPGSNHVSFESKDRVPAALFLANVVSV TYMAVAWGAPNLSKFDFTPHKGPVHDIDPARSQGMSFFLVSLAMGIVGAVLSAVWLQA LQLYASRIISVTLQASAGALAVASIAGFAEAGIAGRAIGFANLFLAAMVAMYYFNVRH RIPFAAANLTVAAKVLQRFPQVVLVAYAAIAAQVAWMLLWTVAVVGVWATSDLLSSSS STLNMYLFLMLLSLCWGLHVLRNIVHCTTAGTIGEWWFSIDTHHAVRRSARRAVTSAF GSICLGSLLVAALWALRLLLLTTKRRKGGGANACLECIAGALDTHLRAFNKFAYCQVA LYGKDFRTAGADTLQLFREKGYTGIVQESLVSMVLCMGSLVVGATTGVVGVGYLYATM ACSDAEGAALSPQECETFNVVIMAFVACSSMGYAMCSVMCSTLDSIVATIFVCFAEVR EMRQIYIY H257_10406 MWQVYAGVVAVVVISVAVFMIYYEEKKHFANIAHGKILLFGLFW VLVSALQFALVLPLKLVLAPNELHIVAHETCQVVARSLHVLFFGPVVVEGRPNANPDE VYMIVANHQTMMDITSLYFLGGNFSWVSKSIIFLIPGAGWLMKLANYVPLTRKNKTSV LRMFELARDRFANGWSVVVFPQGTRRRTEFLPFKDGAFDLAIGAKVRVLPVTIIIPDD LWTWNRLGKVKLVIGKPIAIEDGMTREQLKQKAFDVVLGNLPKEK H257_10407 MAELAQVDSEWVFDFVMNLFQSPAWEVPIMSFIDENCASFDTDD ENKFIYSDLHGQFRDTVDGVLTANLAEIGITPSDFADICAKCRHSTEISMAVINQILA IDDFLTFKKLMVKRNLELELEVITAVRDEKERAVAVKSALEAEAKEAVEDEAKAADDA AAQDDFSNVEDYWMEMDILYKQEEMEQAELEAAIALSIAVEEERFRLASVQLKAAEDK HGGGGGKTGLVDLEEVERAVRRGKEQAEEVFKRNKQVLDSKKEKHKEFQEQAEISDFE IRKRAAYLKAQRDRILDKKKKERDSKLESYQKELKASAPEPPPTILERMQEGPAPQEA KESEAEERRKALRIALARRMKQELIEAADDKLNQSVQPLTDLDEKLKRVEDLRKINQK KEDATMKELEKHKRKQKQPA H257_10408 MAVRYALRKGSNVRCTAGIHRRFVSTFSGDCLSLETRPNGVAIV RLDDKTAKVNTLNAAMTKELVTVLDKVESDADIKSVVLISSKPGCFIAGADIAQLQAA TSEAEVKKLSSNGQVYMNRIQSSKKPFVAAIDGSCLGGGLEVALACHYRVASSGKKTQ LALPEVMLGLLPGAGGTQRLPKLVGLQAALDMMLTGKNIKPDKALKLGLVDQVADPYA LENAAIDAAEGLASGKTKKKTKAKGVVNRLLEDTPLRSIVFQKAGEMVAKKTGGHYPA PKLILEAAQAGYAGSNGFEVESTNFAKLSQTPEAKALMSIFFGQTQLKKNRYGKPSKP VQTMAVIGAGLMGAGIAQVSATKGIRVLLKDRDAASAAKGEYHVRKSLDDRVKKRRMT GYDRDVVMSNVIPLSDQDEVWKAHMKHADLVIEAVFEDISLKHKVIQGLEPFLNPDCI VATNTSALSVAEIAKASQRPENVIGMHYFSPVPSMPLLEIIRHPGTSDDVAARAVDVG LRQGKTCIVVKDVPGFYVNRCLGPYIAETLALVESGVEIERLDKLMTKWGLPVGPITL ADEVGVDVGAHVNQTLSQALGVRMQGGNPAIFQDMINAGFLGKKTGKGFYIQPTNKKS KKTINPEAVAIVHKYQTRDLKLSDEDATNRLISRFVNEAILCVQDEIIASPTEGDIGA VFGIGFPPFLGGPFRYVDRIGTAKFNDQLLRYADNYGDQFTPAPLLQDLAKTNKTFH H257_10408 MAVRYALRKGSNVRCTAGIHRRFVSTFSGDCLSLETRPNGVAIV RLDDKTAKVNTLNAAMTKELVTVLDKVESDADIKSVVLISSKPGCFIAGADIAQLQAA TSEAEVKKLSSNGQVYMNRIQSSKKPFVAAIDGSCLGGGLEVALACHYRVASSGKKTQ LALPEVMLGLLPGAGGTQRLPKLVGLQAALDMMLTGKNIKPDKALKLGLVDQVADPYA LENAAIDAAEGLASGKTKKKTKAKGVVNRLLEDTPLRSIVFQKAGEMVAKKTGGHYPA PKLILEAAQAGYAGSNGFEVESTNFAKLSQTPEAKALMSIFFGQTQLKKNRYGKPSKP VQTMAVIGAGLMGAGIAQVSATKGIRVLLKDRDAASAAKGEYHVRKSLDDRVKKRRMT GYDRDVVMSNVIPLSDQDEVWKAHMKHADLVIEAVFEDISLKHKVIQGLEPFLNPDCI VATNTSALSVAEIAKASQRPENVIGMHYFSPVPSMPLLEIIRHPGTSDDVAARAVDVG LRQGKTCIVVKDVPGFYVNRYVVYNMGQILFCLSFTPKFVLIFAKSINSLY H257_10409 MLSRSLPRSSALFRGQVARLSTAAGVRVETDSLGKVDVDASKYW GAQTQRSLENFPIGGDRERMPMPVIKAMGIVKKCAAKYNLKLGKLDKAIAENIIKAAD DVIAGKLDDHFPLVVFQTGSGTQSNMNTNEVISNRAIEFMGGELGSKSPVHPNDHVNM GQSSNDSFPTAMHIAAVLEIHRVLLPGLRKLHAALDAKVTEFDDIIKIGRTHTQDATP MTLGQEFSGYREQIAYSIQRVEAVLPNLYKLALGGTAVGTGLNTTKGYDKEIAAIIAD ETKLPFVTAPNKFEALAANDAVVEASGALNTIACSLMKIANDIRFLGSGPRSGLGELN LPANEPGSSIMPGKVNPTQCEAITMVCAQVIGNHVAVTVGGSNGHFELNVFKPVMISN LISSIRLIGDSAVAFTDNCVVGIEANRDTIDRLMKNSLMLVTALNPHIGYDKASKAAK KAHTEGTTLKEAVLALEYLTSDEFDRYVRPEDMIGPK H257_10410 MASKANGSSAGHRRSLGPTLAPIVRGSSTTKITNAHKGQGPTMN VVDDMLRAAELPSSANSSAADTDADQFREDAQEVTSSVAETDDIVDLPPSRSVAQLAA SASKSRITSTSPRLVDLASMLAIPMDKAVMSPGTSDIPTSSSSSNLHMGSNSGSLIMN TSHHSSLTMSTSKSTQILSPASALLLKVTELAVGSGLARGASGSVRGGSGSARGMSPG GLLMRVPSKSTLLSQQNGSSKRMVEAVGKVLHQMTPSQEGARQSIADGAAAVARKGHD VAAAVSKRFLLLRADTTVNAEELEHELNDMAASHVSKLAQQQQAPYCISIHSKAKMGW DAVVAIVTLYAVVVVPMDLSFNLWDAYTWFRGVQGLVDVIFSLDILVAFRTSFLISAT HEEVMDINSIRRHYLTLWFWVDCVGTIPSSVLGDALRLSDFTYLRLLVFLRILRLSSS PTFSKAMSWASRTFTSYGVRMGMLMFMYLLLHHYVACSFYLLVDIETRQVDPTVDNIW AVPFQSNDTLGVKYLSSYYRGLVVTSGSDLGPVTRPERVWGTVMFTVGIIANACVAGI CASVLAQMNKVQDEQVQRQDSIDVCLRNCHATNSLTSKINLFYNSAHSHESAHHASDL FHGMPEKLHFELSVALNQSFLKKVPLFRALEPEGIVALMECVEETVAMPGDVIIRAGE AGRAFYMIKMGSVEVYDNMGPQGSRVSIKHMLAGEFFGEMSLIRQGNASANVVATSFC VLLVLYKEVFHWITMENEHLKSFMERSEVRRSNEATEARHRSHVTMAEDVAKATQGLI KRRNTFVPRRMAALIARIRMRRAARWVMMIQRVGLTVESMDSSSRQNETMSRHFVQAE PPPAKHMSLGLASKMRTLMHANRCRNTATRALRAVNEMSQYTAKEMVVSTRIKMQHM H257_10410 MASKANGSSAGHRRSLGPTLAPIVRGSSTTKITNAHKGQGPTMN VVDDMLRAAELPSSANSSAADTDADQFREDAQEVTSSVAETDDIVDLPPSRSVAQLAA SASKSRITSTSPRLVDLASMLAIPMDKAVMSPGTSDIPTSSSSSNLHMGSNSGSLIMN TSHHSSLTMSTSKSTQILSPASALLLKVTELAVGSGLARGASGSVRGGSGSARGMSPG GLLMRVPSKSTLLSQQNGSSKRMVEAVGKVLHQMTPSQEGARQSIADGAAAVARKGHD VAAAVSKRFLLLRADTTVNAEELEHELNDMAASHVSKLAQQQQAPYCISIHSKAKMGW DAVVAIVTLYAVVVVPMDLSFNLWDAYTWFRGVQGLVDVIFSLDILVAFRTSFLISAT HEEVMDINSIRRHYLTLWFWVDCVGTIPSSVLGDALRLSDFTYLRLLVFLRILRLSSS PTFSKAMSWASRTFTSYGVRMGMLMFMYLLLHHYVACSFYLLVDIETRQVDPTVDNIW AVPFQSNDTLGVKYLSSYYRGLVVTSGSDLGPVTRPERVWGTVMFTVGIIANACVAGI CASVLAQMNKVQDEQVQRQDSIDVCLRNCHATNSLTSKINLFYNSAHSHESAHHASDL FHGMPEKLHFELSVALNQSFLKKVPLFRALEPEGIVALMECVEETVAMPGDVIIRAGE AGRAFYMIKMGSVEVYDNMGPQGSRVSIKHMLAGEFFGEMSLIRQGNASANVVATSFC VLLVLYKEVFHWITMENEHLKSFMERSEVRRSNEATEARHVRKTPWFKTVFIHGINLV AVACDDGRRCGQGHARTYQTTKHVRTEADGGVDRANTNASGGAMGHDDPTRRVDGGVD G H257_10411 MSLQACLIETMILFGDNAYKLPHMSKEKHERKGMLPLNVSCPRE VFDAARSKLDGMASADLNRSLAAEARCINELAQELEAIALCDDDMLDVMIGVGIEPIC VEDDE H257_10412 MPPGSTPPPKHHGHEVSHDNGDAIENSRDAAVVSPKHSMKSSQS DLTSVAVAAVATTMHHTDNTEPSAGGYRGRCLYKTGKCENERALKTNGQAHNLCDMHR LRQNQNQRKLDGKNRHNRTAYSPYERNSADPISPDHTISPVVATTVDPVKPEPSTMHS PRSSECSYTTPPTQIELPPRTSNRHYFAPPINAPAHHTPSSPLQYLPYPTAPRISNSA TFPTLSHLRRSTSQPTIHYDPSPQQSQPVKPETFTDDITVPTPSYLKGEAREAFRSRV LQKLVNIISEEVMTTQHHHHPQTHPAAYDLGHADHFAPHPYAPHHHHPEYTPPPPATA FGYNNPASYSTHQQQQRYPPALAPIGYPRHSPPTYDDPRPPNSFHPHHPRMRFQALDG TSGPLPKLYPTSSTLPSLTRPPPPKESSSPNRNYLL H257_10413 MADDDVVEVPFPVVFQCRVCRAIVADSTSLSSSDVNQRTITFCR VTHLQPSTAITAEGGNSFHTLLCTQCDGVLGKLYVGTVRALDHARDLYTLDAGALTNY RIGTSIEDNLTTTGQSDILIADDPAADPTLTVEQFRSDMDKVQNFLLLVDERLCDIEE ALQR H257_10414 MGDKVVSTLKQYDGMINEMGAYPAKPIINTLTMLAEDLTMAKHI APFLVKKLNVVGPPYRLPILYLMDSIIKNVGGPYAYLFSQTLAPVYVDSVRQVNATDL NRFNHVLKTWETARLLPPAVLMQMRAAADSALRVAQPSDQPTSFASRGGGPVGPSSRI GVPPRPSSLSDAHLELQMRRLLTQLQTEDGVHPAKQLSLEEVRAQNPDLYATLKLNVV DEVAAPHHAAPPPVLGHPPVYPQQAPYKRSPPRQLPPHHHHPYPNDRSSHDGGLLPLP PSVGHRGQGIPPPPHHFPLPPQGSAPPPPSSRRWSPPHHHHHPMQQPHHHRAPGGQPQ ATPTGQDVMNLLKKINAMSAPPSSSSSSSSRRYQHQVTSATLGRPLTCADVAVNKKRI GDNVYRLYDALPHVSTSSGLRFKDQAQLSVHMDFLFHYNRALRERTKGGISRSWYPNE AQWTTDFCAVSNSKEETSAGFVQLEDKLTVPDFDKDALNASRVPVDGSITKCRICGEP FTKCWDEDEEEWMYQNAVVGSIESTDVVPKQTIFHKYCYDSAVASSKSKVILPSQLAP GSPVVVGSKRPMSEVEEDATWRGETGRVDDDKDEQQDINKRLKLTHADETGGVL H257_10414 MGDKVVSTLKQYDGMINEMGAYPAKPIINTLTMLAEDLTMAKHI APFLVKKLNVVGPPYRLPILYLMDSIIKNVGGPYAYLFSQTLAPVYVDSVRQVNATDL NRFNHVLKTWETARLLPPAVLMQMRAAADSALRVAQPSDQPTSFASRGGGPVGPSSRI GVPPRPSSLSDAHLELQMRRLLTQLQTEDGVHPAKQLSLEEVRAQNPDLYATLKLNVV DEVAAPHHAAPPPVLGHPPVYPQQAPYKRSPPRQLPPHHHHPYPNDRSSHDGGLLPLP PSVGHRGQGIPPPPHHFPLPPQGSAPPPPSSRRWSPPHHHHHPMQQPHHHRAPGGQPQ ATPTGQDVMNLLKKINAMSAPPSSSSSSSSRRYQHQVTSATLGRPLTCADVAVNKKRI GDNVYRLYDALPHVSTSSGLRFKDQAQLSVHMDFLFHYNRALRERTKGGISRSWYPNE AQWTTDFCAVSNSKEETSAGFVQLEDKLTVPDFDKDALNASRVPVDGSITKCRICGEP FTKCWDEDEEEWMYQNAVVGSIESTDVVPKQTIFHKYCYDSAVASSKSKVILPSQLAP GSPVVVGSKRPMSEVLT H257_10414 MGDKVVSTLKQYDGMINEMGAYPAKPIINTLTMLAEDLTMAKHI APFLVKKLNVVGPPYRLPILYLMDSIIKNVGGPYAYLFSQTLAPVYVDSVRQVNATDL NRFNHVLKTWETARLLPPAVLMQMRAAADSALRVAQPSDQPTSFASRGGGPVGPSSRI GVPPRPSSLSDAHLELQMRRLLTQLQTEDGVHPAKQLSLEEVRAQNPDLYATLKLNVV DEVAAPHHAAPPPVLGHPPVYPQQAPYKRSPPRQLPPHHHHPYPNDRSSHDGGLLPLP PSVGHRGQGIPPPPHHFPLPPQGSAPPPPSSRRWSPPHHHHHPMQQPHHHRAPGGQPQ ATPTGQDVMNLLKKINAMSAPPSSSSSSSSRRYQHQVTSATLGRPLTCADVAVNKKRI GDNVYRLYDALPHVSTSSGLRFKDQAQLSVHMDFLFHYNRALRERTKGGISRSWYPNE AQWTTDFCAVSNSKEETSAGFVQLEDKLTVPDFDKDALNASRVPVDGSITKCRICGCV RSQGSWSYCAFTECLVNRSQSAGTKTKKSGCTRTPWSGPSSRPTSCPSRPFSTSIATT ALWRRPNPR H257_10414 MGDKVVSTLKQYDGMINEMGAYPAKPIINTLTMLAEDLTMAKHI APFLVKKLNVVGPPYRLPILYLMDSIIKNVGGPYAYLFSQTLAPVYVDSVRQVNATDL NRFNHVLKTWETARLLPPAVLMQMRAAADSALRVAQPSDQPTSFASRGGGPVGPSSRI GVPPRPSSLSDAHLELQMRRLLTQLQTEDGVHPAKQLSLEEVRAQNPDLYATLKLNVV DEVAAPHHAAPPPVLGHPPVYPQQAPYKRSPPRQLPPHHHHPYPNDRSSHDGGLLPLP PSVGHRGQGIPPPPHHFPLPPQGSAPPPPSSRRWSPPHHHHHPMQQPHHHRAPGGQPQ ATPTGQDVMNLLKKINAMSAPPSSSSSSSSRRYQHQVTSATLGRPLTCADVAVNKKRI GDNVYRLYDALPHVSTSSGLRFKDQAQLSVHMDFLFHYNRALRERTKGGISRSWYPNE AQWTTDFCAVSNSKEETSAGFVQLEDKLTVPGTVRNK H257_10415 MPSPSKMRSAASSSPTQREPAIVVLDVKKVAYSTVPTPHELALS QELLGLQADRDVIEKRYEDRIVDLEAQVQAAHMREAELLAREQRSHVEQIQLQHAAVL AERAVWTLRLESLTTQLAKLSHDQETQKQWHERFRLHACSPSIHPLADDVFARFGQSI ALLPTTESPHVVRAGCLDFLACILRETTTDAVLGPVLIGLVHVSIYVPPGGSSPSPLR HEIVKAGTLPPLVRICDVVTNPAILTEAARLLASLASTPLNKTAMAAKHAVRAMAKLL TTYETNAVMYKSVLQFALVALSNLTHDSDVLRTQVAQSGVVPCIARLLADVPDISVRI AAAHTLANIGFAGTTNQGAVFMAQGDMELIKQLGATAKALQSPVTMSIPLTSPPATSQ PPVLGRSSESHLLQHCARGLANLASTKVNQISIGYSDALPTMLQQMVDSNDPPVLTAY GLAIASLCHQCKVNKVRVAGQNGLAVLLYVVATAERFHHNPDVLSATCLAIASVVAMD ANLRILEDMDGHDVLLALCMSTMNVRVLDASGRAIAAMAPTLEYKHNVWQQGKPFKVQ DSGGLAALERVAALVYNNTSSSSSSNTTSKDLPTWLQHGLDVLRMTPKQMALALASGQ PHDVTNPKSDEADSVWDEVFARDAVAVEALVPIAPDALCSTFYNETS H257_10416 MTAYGWLSWAAIILLWTSDVMGWQMDRVTSIQARVQGDKPVWDP THRVFVSHLGATFEEQYVAMLDTVNLASVEGVLKYVQSEGINQNLLNPASCQRKNNIQ YIVFFEVTFVQPSASLAVYQGDPNLVPEYGPFVAMDAGACTSTTADGSVLSDDCVRYF GSTSIPAVGPNVGAGVKSSDPRAPYPNTIWFSYPNSCVMQPWDKKSSSCRKDYPGGLC PFGVAPNGINCSFAYTVLGYLRLDDLVGITNLTSSQTRQLYTSYFEFCQDKNGLYQGV EFKAPDGVFDNKAVTSLPFWSLPFDSQANEKRSQAMVDTYNARSGKTLEGTMRPLPPM ASLRNPPCYANAKQCADAPFGCRRDLLAQVCRVCEAQGEGGCVKTPSGFQFPTLIAPT LAPTPMPLAAVAKPKPLTATSSNGTPLSSVALSSGSQPGFEMALRDLMVIAVSSLSMQ TLPEEDLLAGAPTPSRDEDSFARNSDAVVDEFPESDLVSRLRLLLLRENESSSPQQQR RDEWQPLVRQLQQCLGQSQHRPPPRPLYAVVSNLDKSTNPIPYTKVSTAAWKSTLQRF ESAKVKTQSKLASKRASLEDAETKELSFRPHIDVKSQRMAAHFPSFHERQRNSVAWRD NHVAAEREQRRLNEEAALRPVPDIKTPCLCGHRNVPPSSSSSSPSPRQQHSDACVRFM EICASTNKSFKIQKKTHAMRRSVDDMLAYGDSKYIRQVERSVALQAAEDAEATFSPRI NPQSKKIYKAMVQAGRTGKEAKAVPVRPQVAFTFQPVINRKSKALAAKHGKGGGGGGV FDRLDRHADERLFKLMQSQITSADANVRHADLVKVGRDDIQIKSLLHQYPDCPMSPTR APPPKTRVDVDVVGLSGIEFIVGNFTNGQAVFLPREMHSKRHSHPPLMTDQRRKTSFQ ASVEGNNTRRRSLGSPLQLHRPMAT H257_10416 MTAYGWLSWAAIILLWTSDVMGWQMDRVTSIQARVQGDKPVWDP THRVFVSHLGATFEEQYVAMLDTVNLASVEGVLKYVQSEGINQNLLNPASCQRKNNIQ YIVFFEVTFVQPSASLAVYQGDPNLVPEYGPFVAMDAGACTSTTADGSVLSDDCVRYF GSTSIPAVGPNVGAGVKSSDPRAPYPNTIWFSYPNSCVMQPWDKKSSSCRKDYPGGLC PFGVAPNGINCSFAYTVLGYLRLDDLVGITNLTSSQTRQLYTSYFEFCQDKNGLYQGV EFKAPDGVFDNKAVTSLPFWSLPFDSQANEKRSQAMVDTYNARSGKTLEGTMRPLPPM ASLRNPPCYANAKQCADAPFGCRRDLLAQVCRVCEAQGEGGCVKTPSGFQFPTLIAPT LAPTPMPLAAVAKPKPLTATSSNGTPLSSVALSSGSQPGFEMALRDLMVIAVSSLSMQ TLPEEDLLAGAPTPSRDEDSFARNSDAVVDEFPESDLVSRLRLLLLRENESSSPQQQR RDEWQPLVRQLQQCLGQSQHRPPPRPLYAVVSNLDKSTNPIPYTKVSTAAWKSTLQRF ESAKVKTQSKLASKRASLEDAETKELSFRPHIDVKSQRMAAHFPSFHERQRNSVAWRD NHVAAEREQRRLNEEAALRPVPDIKTPCLCGHRNVPPSSSSSSPSPRQQHSDACVRFM EICASTNKSFKIQKKTHAMRRSVDDMLAYGDSKYIRQVERSVALQAAEDAEATFSPRI NPQSKKIYKAMVQAGRTGKEAKAVPVRPQVESIGRQARKGRWRRRCL H257_10416 MTAYGWLSWAAIILLWTSDVMGWQMDRVTSIQARVQGDKPVWDP THRVFVSHLGATFEEQYVAMLDTVNLASVEGVLKYVQSEGINQNLLNPASCQRKNNIQ YIVFFEVTFVQPSASLAVYQGDPNLVPEYGPFVAMDAGACTSTTADGSVLSDDCVRYF GSTSIPAVGPNVGAGVKSSDPRAPYPNTIWFSYPNSCVMQPWDKKSSSCRKDYPGGLC PFGVAPNGINCSFAYTVLGYLRLDDLVGITNLTSSQTRQLYTSYFEFCQDKNGLYQGV EFKAPDGVFDNKAVTSLPFWSLPFDSQANEKRSQAMVDTYNARSGKTLEGTMRPLPPM ASLRNPPCYANAKQCADAPFGCRRDLLAQVCRVCEAQGEGGCVKTPSGFQFPTLIAPT LAPTPMPLAAVAKPKPLTATSSNGTPLSSVALSSGSQPGFEMALRDLMVIAVSSLSMQ TLPEEDLLAGAPTPSRDEDSFARNSDAVVDEFPESDLVSRLRLLLLRENESSSPQQQR RDEWQPLVRQLQQCLGQSQHRPPPRPLYAVVSNLDKSTNPIPYTKVSTAAWKSTLQRF ESAKVKTQSKLASKRASLEDAETKELSFRPHIDVKSQRMAAHFPSFHERQRNSVAWRD NHVAAEREQRRLNEEAALRPVPDIKTPCLCGHRNVPPSSSSSSPSPRQQHSDACVRFM EICASTNKSFKIQKKTHAMRRSVDDMLAYGDSKYIRQVERSVALQAAEDAEATFSPRI NPQSKKIYKAMVQAGRTGKEAKAVPVRPQGTPCERL H257_10417 MTKHNDDTAPPPPPTAALNRLEAMLSQLGSGHPATLPSSSLNEA RWDGWGYNDTSIFLNADGQVEFAGNRYEEIFPAARVLPALRAWAESKVGLDVNRQAPR NAVPPSIKEGSEVMNVAFLEAMVALHIPVNVDAVARVRHSHGQTCGEVYRLRTCAVFD RVPDAVIVATSHNLVEQIVAAAVQHNVVLIPYGGGTNVSNALQCKPDESRMVVSLDMR GMSAIVSVDKENMLACVEAGITGLDLHGQLGARGVTLGHEPDSWEFSTVGGWVATKAS GMKKNVYGNIEDLVVNMTMVTAAGTLTRSCNVPRVAMGPDTLHLAMGSEGLFGVVTRV TFRIRPSPDHQVYDSILFPTMDDGIRAMYDITRAGCVPASIRLLDNTQFQLGQALKPT ASNPWTSSLVSMATRAYVTKIKGFDVNTMVGMTLLFEGSKETADRDQRAIHAIAATHG GMVGGAENGKRGYFLTYVIAYIRDFVMNYYFLCDSFETAVPWSNVPAFIAGVRAEIEA VAASNRIEVKPIVMCRVTQVYETGACVYVYYGVNFFGVDDPLQLFFSIEQACVEVMLR HGAALSHHHGIGKHRKKWLPHVISTPSLRMIEGIKHAIDPTNVFATNNIIDT H257_10418 MADRAPTSPTAAAAAAVPPVAPVEGEEKLSKNELKRRLKLEKAA LAKAEKDAAKAAAALVAGPKKATAAAAGGDADDELDPTAYFENRTKLLAELEADGVNP YPHKFHVTISVPEFVQTYHGIEAGSHVETTVVSVAGRLMSKRASGAKLHFYGLHADGA SIQVMSQIDVYADEAEYARIHAALRRGDLVGVTGFPGKSKKGELSIFPTSLVLLSPCM HMLPKAHYGLSNQDTRFRQRYLDLILNDETRKVFTVRSKIVNFIRKFLDARQFVEVET PMMNMIPGGATAKPFVTYHNDLHMNLFMRVAPELYLKQLIIGGLDRVYEIGRQFRNEG IDLTHNPEFTSCEFYQAYADYNDLMELTEQMFFGMIE H257_10419 MANGTTKEREIQEFVDICHELKNEVLDVMTKKYTMPTEAVEWVK EMIDYNCLGGKMNRGISVIHCAEALTQGKGLTPEARKKAAILGWCIEWLQAFFLVADD VMDDSITRRGQPCWYRLPKVKQIAINDAFLLESFVYSILKTYFRSEPYYIDLVELFHE VILQTEFGQLLDLTSQPLDGPTDLDRFTIERHQKIVVFKTAYYTFYLSAACAMHISGV TDAACFAQCQDICVKIGEYFQVQDDYLDCYADPEVLGKIGTDIQDNKCSWLVVQGQQA YQSVSHVYTYPPVKQNRR H257_10420 MSTLDIKLNRVDRVFRPHDLIQGHVILHATNAFSHSGISMRVEG SAKLQLSAKSVGLFDAFYNTVSPLELVYFHIPVVGPGKVPVGISKFPFEFELHAVNAQ QGLVETYHGVYVSVKYEIICDCARGMMKKTLHRTLEFIVEVPLQNALPDSPEEFIVTP DKLENVRLSTPRNIPTFHIKGNIHRTNCPVNLPFTGEIVVEAASAPLKSIELQLIRVE SVTHAEGIARDATEIQNVQIGWGDVARQVSIPLYMIFPRLFTCPSMLTPAFKVQFEVN VVVLFEDGYMLTENFPIHLYR H257_10420 MSTLDIKLNRVDRVFRPHDLIQGHVILHATNAFSHSGISMRVEG SAKLQLSAKSVGLFDAFYNTVSPLELVYFHIPVVGPGKVPVGISKFPFEFELHAVNAQ QGLVETYHGVYVSVKYEIICDCARGMMKKTLHRTLEFIVEVPLQNALPDSPEEFIVTP DKLENVRLSTPRNIPTFHIKGNIHRTNCPVNLPFTGEIVVEAASAPLKSIELQLIRVE SVTHAEGIARDGKTVLRIVLLKS H257_10420 MSTLDIKLNRVDRVFRPHDLIQGHVILHATNAFSHSGISMRVEG SAKLQLSAKSVGLFDAFYNTVSPLELVYFHIPVVGPGKVPVGISKFPFEFELHAVNAQ QGLVETYHGVYVSVKYEIICDCARGMMKKTLHRTLEFIVEVPLQNALPDSPEEFIVTP DKLENVRLSTPRNIPTFHIKGNIHRTNCPVNLPFTGEIVVEAASAPLKSIELQLIRVE SVSTL H257_10421 MRPTKHQHAATSTLSVEARTGSNDQDNRHAYADCRHETRDDDTT MMRGSDSQGHRHHVDDEQVSFKMRLWDYLLRNVHSAVDELYCMCELESSTSHCEDAAR VLQSCHDDFIKLMECIRMQSSMSSKSSVAWEVKKGSQWSQLGKPTTGVVVQALERIAK TTSPPPASVPSPPFVSSSLVMIKPNSARPASSPSPASPTDDRGASSPHVVPMRHQKLS LPRKPKRSPSETKLLSEQRLDTVTANKHAIESARLTRIRMAAERMQHVTSRNASSRQK QEDMMWAKLARADRLKQAHLRWIVSKAGSENTKVDEINFIQTLMHQDRKMELQQRLDH VAVRRANLLRQIQTKASLKAESIRAAAKAKEVQLELRVSQIQKRHEGVQARRLKYQQE KKGGGASTTSKTSPPTNSSPPIGPAGSNKRKSSKQPSRHSAASTSHHQDGRDAVMPSL EASVELSMKKNAQRLRDRMRFLTKATNIVAVSPKNPSPPASPRCPPPHIHDVIATAVQ QMDDVALHDTLASFLTPPSISNATSHTSNTITSPHDLARLATVPTLRWVSKPTIALAL RVVFVQCAAHRQHIDTLVGSNLVLPLVDVLVWALQHWDRNDVLRWAMPVLALCLGPAS TSKFDAIREDIGRYIANVGILFRVADRFALVAVTQQDDLFRLTCHFLCALTTQHRPKR VVVRSMQDLRVPVAKIFKTTGLFGMVTLLLASVPIHEFDHVTTNKHDNVTLHSDDTHT SQPTPVLSSSSSLSGLILRALTNMARLDVMWFQSVVGTRANQPRFVHLVRTVLLSARH ELLVELLPLLGYYVLRSPPHQASLRCIGDGQPSLLQLLAMLPFSYFSDPRGKDLLFPT LLACCWDDEDNATVLEMNVNTVMLVVYLRKHVEHLRASTTSLGHNDSWRGLAARLPLH MWNDLLRYFEGRNSGGTTRPTSKISTILHKCQMAI H257_10421 MRPTKHQHAATSTLSVEARTGSNDQDNRHAYADCRHETRDDDTT MMRGSDSQGHRHHVDDEQVSFKMRLWDYLLRNVHSAVDELYCMCELESSTSHCEDAAR VLQSCHDDFIKSSMSSKSSVAWEVKKGSQWSQLGKPTTGVVVQALERIAKTTSPPPAS VPSPPFVSSSLVMIKPNSARPASSPSPASPTDDRGASSPHVVPMRHQKLSLPRKPKRS PSETKLLSEQRLDTVTANKHAIESARLTRIRMAAERMQHVTSRNASSRQKQEDMMWAK LARADRLKQAHLRWIVSKAGSENTKVDEINFIQTLMHQDRKMELQQRLDHVAVRRANL LRQIQTKASLKAESIRAAAKAKEVQLELRVSQIQKRHEGVQARRLKYQQEKKGGGAST TSKTSPPTNSSPPIGPAGSNKRKSSKQPSRHSAASTSHHQDGRDAVMPSLEASVELSM KKNAQRLRDRMRFLTKATNIVAVSPKNPSPPASPRCPPPHIHDVIATAVQQMDDVALH DTLASFLTPPSISNATSHTSNTITSPHDLARLATVPTLRWVSKPTIALALRVVFVQCA AHRQHIDTLVGSNLVLPLVDVLVWALQHWDRNDVLRWAMPVLALCLGPASTSKFDAIR EDIGRYIANVGILFRVADRFALVAVTQQDDLFRLTCHFLCALTTQHRPKRVVVRSMQD LRVPVAKIFKTTGLFGMVTLLLASVPIHEFDHVTTNKHDNVTLHSDDTHTSQPTPVLS SSSSLSGLILRALTNMARLDVMWFQSVVGTRANQPRFVHLVRTVLLSARHELLVELLP LLGYYVLRSPPHQASLRCIGDGQPSLLQLLAMLPFSYFSDPRGKDLLFPTLLACCWDD EDNATVLEMNVNTVMLVVYLRKHVEHLRASTTSLGHNDSWRGLAARLPLHMWNDLLRY FEGRNSGGTTRPTSKISTILHKCQMAI H257_10421 MRPTKHQHAATSTLSVEARTGSNDQDNRHAYADCRHETRDDDTT MMRGSDSQGHRHHVDDEQVSFKMRLWDYLLRNVHSAVDELYCMCELESSTSHCEDAAR VLQSCHDDFIKLMECIRMQSSMSSKSSVAWEVKKGSQWSQLGKPTTGVVVQALERIAK TTSPPPASVPSPPFVSSSLVMIKPNSARPASSPSPASPTDDRGASSPHVVPMRHQKLS LPRKPKRSPSETKLLSEQRLDTVTANKHAIESARLTRIRMAAERMQHVTSRNASSRQK QEDMMWAKLARADRLKQAHLRWIVSKAGSENTKVDEINFIQTLMHQDRKMELQQRLDH VAVRRANLLRQIQTKASLKAESIRAAAKAKEVQLELRVSQIQKRHEGVQARRLKYQQE KKGGGASTTSKTSPPTNSSPPIGPAGSNKRKSSKQPSRHSAASTSHHQDGRDAVMPSL EASVELSMKKNAQRLRDRMRFLTKATNIVAVSPKNPSPPASPRCPPPHIHDVIATAVQ QMDDVALHDTLASFLTPPSISNATSHTSNTITSPHDLARLATVPTLRWVSKPTIALAL RVVFVQCAAHRQHIDTLVGSNLVLPLVDVLVWALQHWDRNDVLRWAMPVLALCLGPAS TSKFDAIREDIGRYIANVGILFRVADRFALVAVTQQDDLFRLTCHFLCALTTQHRPKR VVVRSMQDLRVPVAKIFKTTGLFGMVTLLLASVPIHEFDHVTTNKHDNVTLHSDDTHT SQPTPVLSSSSSLSGLILRALTNMARLDVMWFQSVVGTRANQPRFVHLVRTVLLSARH ELLVELLPLLGYYVLRSPPHQASLRCIGDGQPSLLQLLAMLPFSYFSDPRGKDLLFPT LLACCWDDEDNATVLEMNVNTVMLVVYLRKHVEHLRASTTSLGHNDSWRGLAARLPLH MWNDLLRYFEGRNSGGTTRPTSK H257_10421 MRPTKHQHAATSTLSVEARTGSNDQDNRHAYADCRHETRDDDTT MMRGSDSQGHRHHVDDEQVSFKMRLWDYLLRNVHSAVDELYCMCELESSTSHCEDAAR VLQSCHDDFIKSSMSSKSSVAWEVKKGSQWSQLGKPTTGVVVQALERIAKTTSPPPAS VPSPPFVSSSLVMIKPNSARPASSPSPASPTDDRGASSPHVVPMRHQKLSLPRKPKRS PSETKLLSEQRLDTVTANKHAIESARLTRIRMAAERMQHVTSRNASSRQKQEDMMWAK LARADRLKQAHLRWIVSKAGSENTKVDEINFIQTLMHQDRKMELQQRLDHVAVRRANL LRQIQTKASLKAESIRAAAKAKEVQLELRVSQIQKRHEGVQARRLKYQQEKKGGGAST TSKTSPPTNSSPPIGPAGSNKRKSSKQPSRHSAASTSHHQDGRDAVMPSLEASVELSM KKNAQRLRDRMRFLTKATNIVAVSPKNPSPPASPRCPPPHIHDVIATAVQQMDDVALH DTLASFLTPPSISNATSHTSNTITSPHDLARLATVPTLRWVSKPTIALALRVVFVQCA AHRQHIDTLVGSNLVLPLVDVLVWALQHWDRNDVLRWAMPVLALCLGPASTSKFDAIR EDIGRYIANVGILFRVADRFALVAVTQQDDLFRLTCHFLCALTTQHRPKRVVVRSMQD LRVPVAKIFKTTGLFGMVTLLLASVPIHEFDHVTTNKHDNVTLHSDDTHTSQPTPVLS SSSSLSGLILRALTNMARLDVMWFQSVVGTRANQPRFVHLVRTVLLSARHELLVELLP LLGYYVLRSPPHQASLRCIGDGQPSLLQLLAMLPFSYFSDPRGKDLLFPTLLACCWDD EDNATVLEMNVNTVMLVVYLRKHVEHLRASTTSLGHNDSWRGLAARLPLHMWNDLLRY FEGRNSGGTTRPTSK H257_10421 MRPTKHQHAATSTLSVEARTGSNDQDNRHAYADCRHETRDDDTT MMRGSDSQGHRHHVDDEQVSFKMRLWDYLLRNVHSAVDELYCMCELESSTSHCEDAAR VLQSCHDDFIKLMECIRMQSSMSSKSSVAWEVKKGSQWSQLGKPTTGVVVQALERIAK TTSPPPASVPSPPFVSSSLVMIKPNSARPASSPSPASPTDDRGASSPHVVPMRHQKLS LPRKPKRSPSETKLLSEQRLDTVTANKHAIESARLTRIRMAAERMQHVTSRNASSRQK QEDMMWAKLARADRLKQAHLRWIVSKAGSENTKVDEINFIQTLMHQDRKMELQQRLDH VAVRRANLLRQIQTKASLKAESIRAAAKAKEVQLELRVSQIQKRHEGVQARRLKYQQE KKGGGASTTSKTSPPTNSSPPIGPAGSNKRKSSKQPSRHSAASTSHHQDGRDAVMPSL EASVELSMKKNAQRLRDRMRFLTKATNIVAVSPKNPSPPASPRCPPPHIHDVIATAVQ QMDDVALHDTLASFLTPPSISNATSHTSNTITSPHDLARLATVPTLRWVSKPTIALAL RVVFVQCAAHRQHIDTLVGSNLVLPLVDVLVWALQHWDRNDVLRWAMPVLALCLGPAS TSKFDAIREDIGRYIANVGILFRVADRFALVAVTQQDDLFRLTCHFLCALTTQHRPKR VVVRSMQDLRVPVAKIFKTTGLFGMVTLLLASVPIHEFDHVTTNKHDNVTLHSDDTHT SQPTPVLSSSSSLSGLILRALTNMARLDVMWFQSVVGTRANQPRFVHLVRTVLLSARH ELLVELLPLLGYYVLRSPPHQASLRCIGDGQPSLLQLLAMLPFSYFSDPRY H257_10421 MRPTKHQHAATSTLSVEARTGSNDQDNRHAYADCRHETRDDDTT MMRGSDSQGHRHHVDDEQVSFKMRLWDYLLRNVHSAVDELYCMCELESSTSHCEDAAR VLQSCHDDFIKSSMSSKSSVAWEVKKGSQWSQLGKPTTGVVVQALERIAKTTSPPPAS VPSPPFVSSSLVMIKPNSARPASSPSPASPTDDRGASSPHVVPMRHQKLSLPRKPKRS PSETKLLSEQRLDTVTANKHAIESARLTRIRMAAERMQHVTSRNASSRQKQEDMMWAK LARADRLKQAHLRWIVSKAGSENTKVDEINFIQTLMHQDRKMELQQRLDHVAVRRANL LRQIQTKASLKAESIRAAAKAKEVQLELRVSQIQKRHEGVQARRLKYQQEKKGGGAST TSKTSPPTNSSPPIGPAGSNKRKSSKQPSRHSAASTSHHQDGRDAVMPSLEASVELSM KKNAQRLRDRMRFLTKATNIVAVSPKNPSPPASPRCPPPHIHDVIATAVQQMDDVALH DTLASFLTPPSISNATSHTSNTITSPHDLARLATVPTLRWVSKPTIALALRVVFVQCA AHRQHIDTLVGSNLVLPLVDVLVWALQHWDRNDVLRWAMPVLALCLGPASTSKFDAIR EDIGRYIANVGILFRVADRFALVAVTQQDDLFRLTCHFLCALTTQHRPKRVVVRSMQD LRVPVAKIFKTTGLFGMVTLLLASVPIHEFDHVTTNKHDNVTLHSDDTHTSQPTPVLS SSSSLSGLILRALTNMARLDVMWFQSVVGTRANQPRFVHLVRTVLLSARHELLVELLP LLGYYVLRSPPHQASLRCIGDGQPSLLQLLAMLPFSYFSDPRY H257_10421 MECIRMQSSMSSKSSVAWEVKKGSQWSQLGKPTTGVVVQALERI AKTTSPPPASVPSPPFVSSSLVMIKPNSARPASSPSPASPTDDRGASSPHVVPMRHQK LSLPRKPKRSPSETKLLSEQRLDTVTANKHAIESARLTRIRMAAERMQHVTSRNASSR QKQEDMMWAKLARADRLKQAHLRWIVSKAGSENTKVDEINFIQTLMHQDRKMELQQRL DHVAVRRANLLRQIQTKASLKAESIRAAAKAKEVQLELRVSQIQKRHEGVQARRLKYQ QEKKGGGASTTSKTSPPTNSSPPIGPAGSNKRKSSKQPSRHSAASTSHHQDGRDAVMP SLEASVELSMKKNAQRLRDRMRFLTKATNIVAVSPKNPSPPASPRCPPPHIHDVIATA VQQMDDVALHDTLASFLTPPSISNATSHTSNTITSPHDLARLATVPTLRWVSKPTIAL ALRVVFVQCAAHRQHIDTLVGSNLVLPLVDVLVWALQHWDRNDVLRWAMPVLALCLGP ASTSKFDAIREDIGRYIANVGILFRVADRFALVAVTQQDDLFRLTCHFLCALTTQHRP KRVVVRSMQDLRVPVAKIFKTTGLFGMVTLLLASVPIHEFDHVTTNKHDNVTLHSDDT HTSQPTPVLSSSSSLSGLILRALTNMARLDVMWFQSVVGTRANQPRFVHLVRTVLLSA RHELLVELLPLLGYYVLRSPPHQASLRCIGDGQPSLLQLLAMLPFSYFSDPRGKDLLF PTLLACCWDDEDNATVLEMNVNTVMLVVYLRKHVEHLRASTTSLGHNDSWRGLAARLP LHMWNDLLRYFEGRNSGGTTRPTSK H257_10422 MMIPPRPLFMLALVLPPAVMVHAVDTDYGGYPARSGVGIWVDVD TPMDARTKVSSRGESWDLVMSDEFEIEGRSFVAGKDHLWTAVDIPDGVNAALEMYNSS NVYTKNGKLVNKIEEGPTNATYFNQWLEVPGIETRTLHYKAGMMQSWNKFCMQGGLIE VSAKLPGAVNNIPDAEHKSVTTNPNALGYKWEAGQKVPLAPLTPVLDGGYYPTWPGIW LMGNLGRALFAGSTTRMWPWTYNECDADLAPHQAISACNPNPGFGLNPNQGRGAPEID ILEGGGAAISSSIQIAPGMPDQYRRKPVVKGGLDTNNSTMVTNIYCVYGKMCLTPGAN IPDAPTSAFKERGYKSWYQGLRYAVNNECPPKVQQVQGPQGYLSVKAAQDKGFIGLNT WYWEEMSAAKDVHADLGLIDGKGPLHWGINYEGRCFPIANGYIGTFLCDPDSKNPKCE APRREGVADTNQMAKFEYQMDAISANWDINHDAYTTFYVYQVEWVMGPTGYIRWSLRD APLFEIPAAAITEPPQDPTGNVRNPKKLMIEEPMYIIFNVALAKAWGTTPPNADIGPC RGNATQPAPGTPEFNKTQNICDSFPMYMEIEYIRVYQDKSSMYIGCDPPTHPTKEWID GHIKWYTDANNPMIRVDGGATCNKDDDCQSMSATVPSGRCNLHRCECVKGYGGPRCSK FLGAKAIDTKSPDYFGPRYMYPLSLISVVVAVLVLTAVVRKRRHATAATLAATTNQSR NTFKQVGAYNVNEECSTPQQQQQRRFYGPASNLSLLSY H257_10422 MMIPPRPLFMLALVLPPAVMVHAVDTDYGGYPARSGVGIWVDVD TPMDARTKVSSRGESWDLVMSDEFEIEGRSFVAGKDHLWTAVDIPDGVNAALEMYNSS NVYTKNGKLVNKIEEGPTNATYFNQWLEVPGIETRTLHYKAGMMQSWNKFCMQGGLIE VSAKLPGAVNNIPDAEHKSVTTNPNALGYKWEAGQKVPLAPLTPVLDGGYYPTWPGIW LMGNLGRALFAGSTTRMWPWTYNECDADLAPHQAISACNPNPGFGLNPNQGRGAPEID ILEGGGAAISSSIQIAPGMPDQYRRKPVVKGGLDTNNSTMVTNIYCVYGKMCLTPGAN IPDAPTSAFKERGYKSWYQGLRYAVNNECPPKVQQVQGPQGYLSVKAAQDKGFIGLNT WYWEEMSAAKDVHADLGLIDGKGPLHWGINYEGRCFPIANGYIGTFLCDPDSKNPKCE APRREGVADTNQMAKFEYQMDAISANWDINHDAYTTFYVYQVEWVMGPTGYIRWSLRD APLFEIPAAAITEPPQDPTGNVRNPKKLMIEEPMYIIFNVALAKAWGTTPPNADIGPC RGNATQPAPGTPEFNKTQNICDSFPMYMEIEYIRVYQDKSSMYIGCDPPTHPTKEWID GHIKWYTDANNPMIRVDGGATCNKDDDCQSMSATVPSGRCNLHRCECVKGYGGPRCSK FLGAKAIDTKSPDYFGPRKRRHATAATLAATTNQSRNTFKQVGAYNVNEECSTPQQQQ QRRFYGPASNLSLLSY H257_10423 MRGRALGALTLLQAVTVALDYDYEGYRSRSGVTAWVDVDTPLDA RSKKSSRGETWDLVMSDEFEIDGRSFVPGQDHMWTALDIPDGVNAAIGLYNSSNVYTL NGKLINRVDEMQTNVTYFNQWLEVPDFESSTLHYSAGMMQSWNKFCLQGGLIEVAAKL PGAVNVLPDNTVLTPSDRVQDGAYYPTWPGIWLLGNLGRALFSASTTRMWPWSYNECD PDYHPHQAISACDPNPGFGLNPNQGRGAPEIDILEGGGAAISSSIQVAPGMPDNYRRK PVEAPDGVFCFYGKACATPGANFPDIPTSAYADRGHRSWYQGLKYAANNRCPTDPNEV QQYEPVKAVQMNPALLTTNIYDKMQVSAGRDANADLGLIDGKGPDHWGINYNGTCFPI ANGYIGAFLCDPDTKNTKCAATRMDGVPNTNQMPPFEYQMDAISANWDIGHDAYTTFY IYQIEWVLGESGYVRWMLDGAPLFEIPSVTFTKPPQAGPGKPRNPIKSPIEEPLYIII NIAVARAWGATPPNANIGPCRGDANTPKPGTPEFNKSNNICDSFPMYMEIEYIRVYQE KSSMYIGCDPPTHPTKEWIDGHLEWYTNVNNTMIRVDGGATCNKDDDCQSMSASMPSG RCVKRRCNCVKGYGGPRCTKFLGSKSLDLSDGTYFGPTILYPAIIASAMVALIVLTCV WRMRQRSSAAAMASSMRKSKHQGEVEAVAEVSVGTATGQSRRYYAPANTG H257_10424 MQQVVCVRYNTQLRAAGVDVSTEGGAGVNIPTKGTAENMQLPGS PINSGLGEAPPGDSQWQPASQSDVGSSATSDLDGPVATVDGGALISGKDSFKERDQQK LAKRFIVYARGQDAISVSSGVRIGTTEWEAMFEASMLIPTSSKAVVVARLKLLSMDHT LIRTSDCMTDWQARYLDILTDEAAEDIDSTIPRPSSRRSCIASSP H257_10425 MAATLKDVDGFTRPGIDGKKAQNRFLLLVRHHKSNNNEAARLSG ATEDETPKSRLQELKLASTMEPEPATPRAPAPSTTYDLQQGSLSDRTLDDGFYNASAA AATPQPAGATEPMASLSRVQARSTVISQRSPIAQKRDSCRGGEIACRWSCPLCDQLPW SADDNDPTARTKGVSTECGCAPALQQHELQMTAHRERIAADGRQAHFEWEQRQIHEAA AQYKYAVKHDAAAHAAQFKANMEREASRHKVHLDTKQQRAFLQQCQPQLTAVQQQQQQ LWQQQQHKA H257_10426 MHWSRSQVVHQGHDTCISCPRCDTKKLRRHINVEDLRRLSGPSL PPLVLDGVAPKLIDPIMEYLTEHRSAKFLKAHVAVGGKAPRRRAANLSQWAHCMLEWP RGKFCSEEQKFASTVDVYTNLATFDKLRKVVEKRESTTLSDSHLALVLLSALPECIAH DVQVCCGVRPSIPYTQLRDLLPQHWHELTQK H257_10427 MNHERLRGRALGALTLLQAVAVALDYDYEGYHSRSGVTAWVDVD TPLDARSKKSSRGETWDLVMSDEFEIDGRSFVAGKDHMWTALDIPDGVNAAIGLYNSS NVYTLNGKLINRVDEMQTNVTYFNQWLEVSAFESNTLHYSAGMMQSWNKFCMQGGLIE VAAKLPGAINILPDDVHKSTTNNPNALGEIWRGGVKTKLTPRDRVQDGGYYPTWPGIW LLGNLGRALFSASTSRMWPWTYNECDAELSPHQAISACDPNPGYGMHPNQGRGAPEID ILEGGGAAISSSIQIAPGMPDNYRRMPIQKPDSRYCVYGKACDTPGANFPDVPTSAYA YRGHRNWYQGLKYAANNRCPTDPNEVQQYEPVSSSNYIQSWWKRGVLIDTCSAGRDAS ADLGLIDGKGPAHWGINYNGTCFPIANGYIGAFLCDPDSKNLKCEAPRQDGVANTNQM HPFEYQMDAISANWDIGHDAYTEFYVYQVEWVLGESGYVRWMLEDAPLFEIPSVTLTK PPQAGPGKPRNPIKLPIEEPLYIIFNIAVARAWGATPPNADIGPCRGNASKPVPGTYE FNKTQNICDSFPMYMEIEYIRVYQDKSSMFVGCDPPTHPTKKWIDGHIKWYTNAKNPM IRVDGGATCNSDDDCQSMSSSMPSGRCVKRRCSCVTGYGGPRCTKFLGSKSLDMSDGT YFGPTILYPAIVASATAALIVLTCVWRMRQRGSAAAIASSMRKSRVEGEMEAVAEIAD GTATGQSRRYYAPANTAE H257_10428 MENQVERIGRELSSSDKVSIIQHLQDFIKKGKLPRGAYKQAAEQ LNMNPKPSDTFGALSNAGKVGPKPMYSTDRVQQLVQAVPGDQQSTFRDMASATGLTLG TLSRHLKKVTLQRCSTRVKPLLTDANKDERTAFCSNLTAYGTWCTWAKNYVMNKVVPA IKATFPSANKRVILQHDNATPHRSITDAELASVSTGGLTFVMRRQPPNSPDLNVLDLG FFASIQSLQYKKMNRTENDVIRNTFEGFDELNYEKLENVFLTFQAVMRLVLEHGGDNH SALPHLKKAALRRAGLLMSNVTCPDSLLL H257_10429 MKRPTSMLARPLTSPYLGLSQGALSGYRVQGRKAAVSRAWALVL AYLSGTVRSDIAAANLEKCAHCIISKLRSEEQKYATAISVYQRFHSFQF H257_10430 MNHERLRGRALGALTLLQTVAVALDYDYEGYRSRSGVTAWVDVD TPLDARSKKSSRGETWDLVMSDEFEIDGRSFVAGKDHMWTALDIPDGVNAAIGLYNSS NVYTLNGKLINRVDEMQTNVTYFNQWLEVPAIESNILHYSAGMMQSWNKFCMQGGLIE VAAKLPGAIWKDGVKTKLSPRDRVQDGAYYPTWPGIWLLGNLGRALFSASTSRMWPWT YNECDADLSPHQAISACDPNPGYGLHPNQGRGAPEIDILEGGGAAISSSIQIAPGMPD NYRRIPLKKPDSKYCVYGKACATPGANFPDVPTSAYAYRGHRSWYQGLKYAANNRCPT DPLEVQQYEPVSSSNYIQSWWKRGVLIDTCSAGRDANADLGLIDGKGPAHWGINYNGT CFPIANGYIGAFLCDPDSKNTKCEAPRREGVANTNQMHPFEYQMDAISANWDIGHDAY TEFYVYQIEWVLGESGYVRWMLEDAPLFEIPSVTLTKPPQAGPGKPLNPIKLPIEEPL YIIFNIAVARAWGATPPNADIGPCRGNASKPVPGTPEFNKTKNICDSFPMYMEIEYIR VYQEKSSMFLGCDPPTHPTKKWIDGHIKWFTDAKNPMIRVDGGATCNKGDDCQSMSSS MPSGRCVKRRCSCVTGYGGPRCTKFLGSKSLDMSDGTYFGPTILYPAIVASATVALIV LTCVWRMRQRGSAAAIASSIPKSRVEGEVEAVAEIADDAATGQSRRYYAPANTG H257_10431 MPGADESGNEPATVGIPSQAPALGDLLGIHHRFNDANEFATCVQ AWSRAQGFTVSRTGKNFSDKPPTLFTVDEWPVDVHVAYRFAHLQLECPDAICCAYGCG AVETQYYAFHAYLHTHPPMAAPPRHDCPLRSSVLHVLHTLRAPINYRPLWAKYPYSLH LTPTSTTDQRT H257_10432 MDALYPERMFCPSLLSRLKDRGRVLHLGPEVDSMGRFFEMGYGM KATGGVFHVNLTSALQLKSVVMQQPGMTKYATSYSDFVLCDGTHNVSMYVLKLMPFTV VDCLGRNVLCGVALDESENTETVKLGLELCKLHEANATLMTDGGSAYPGVALDLGMIH ILCTKHFEDVILKGSTGLSGLAKSFKAEGNALVYATMSKVEFQTRFDRAKTTYGEYKE ADKALCSIYRHKEKVCRAFTGNVFTCSSLATQRGESMNSVIKENRLKKKELRRFNLLQ LAEH H257_10433 MNHERLRGRALGALTLLQAVAVALDYDYEGYHSRSGVTAWVDVD TPLDARSKKSSRGETWDLVMSDEFEIDGRSFVAGKDHMWTALDIPDGVNAAIGLYNSS NVYTLNGKLINRVDEMQTNVTYFNQWLEVPAFESNTLHYSAGMMQSWNKFCMQGGLIE VAAKLPGAVNILPDDIHKSTTMNPNALGEFWKDGVKTKLTPRDRVKDGAYYPTWPGIW LLGNLGRALFSASTSRMWPWTYNECDADLSPHQAISACDPNPGYGLHPNQGRGAPEID ILEGGGAAISSSIQIAPGMPDNYRRMPIQKPDSKYCVYGKACDTPGANFPDIPTSAYA YRGHRNWYQGLKYAANNRCPTDPLEVQQYEPVKAVQMNPALLTTNVYNKMQVSAGRDA NADLGLIDGKGPAHWGINYNGTCFPIANGYIGAFLCDPDSKNLKCEAPRKDGVANTNQ MHPFEYQMDAISANWDIGHDAYTEFYVYQVEWVLGESGYVRWMLEDAPLFEIPSVTLT KPPQAGPGKPRNPIKLPIEEPLYIIFNIAVARAWGATPPNADIGPCRGNASKPVPGTY EFNKTQNICDSFPMYMEIEYIRVYQEKSSMFLGCDPPTHPTKKWIDGHIQWFTDAKNP MIRVDGGATCNSDDDCQSMSSSMPSGRCVKRRCSCVTGYGGPRCTKFLGSKSLDMSDG TYFGPTILYPAIVASATVALIVLTCVWRMRQRGSAAAIASSIPKSKVEGEVEAVAEIA VDTATGQSRRYYAPANTS H257_10433 MMQSWNKFCMQGGLIEVAAKLPGAVNILPDDIHKSTTMNPNALG EFWKDGVKTKLTPRDRVKDGAYYPTWPGIWLLGNLGRALFSASTSRMWPWTYNECDAD LSPHQAISACDPNPGYGLHPNQGRGAPEIDILEGGGAAISSSIQIAPGMPDNYRRMPI QKPDSKYCVYGKACDTPGANFPDIPTSAYAYRGHRNWYQGLKYAANNRCPTDPLEVQQ YEPVKAVQMNPALLTTNVYNKMQVSAGRDANADLGLIDGKGPAHWGINYNGTCFPIAN GYIGAFLCDPDSKNLKCEAPRKDGVANTNQMHPFEYQMDAISANWDIGHDAYTEFYVY QVEWVLGESGYVRWMLEDAPLFEIPSVTLTKPPQAGPGKPRNPIKLPIEEPLYIIFNI AVARAWGATPPNADIGPCRGNASKPVPGTYEFNKTQNICDSFPMYMEIEYIRVYQEKS SMFLGCDPPTHPTKKWIDGHIQWFTDAKNPMIRVDGGATCNSDDDCQSMSSSMPSGRC VKRRCSCVTGYGGPRCTKFLGSKSLDMSDGTYFGPTILYPAIVASATVALIVLTCVWR MRQRGSAAAIASSIPKSKVEGEVEAVAEIAVDTATGQSRRYYAPANTS H257_10433 MNHERLRGRALGALTLLQAVAVALDYDYEGYHSRSGVTAWVDVD TPLDARSKKSSRGETWDLVMSDEFEIDGRSFVAGKDHMWTALDIPDGVNAAIGLYNSS NVYTLNGKLINRVDEMQTNVTYFNQWLEVPAFESNTLHYSAGMMQSWNKFCMQGGLIE VAAKLPGAVNILPDDIHKSTTMNPNALGEFWKDGVKTKLTPRDRVKDGAYYPTWPGIW LLGNLGRALFSASTSRMWPWTYNECDADLSPHQAISACDPNPGYGLHPNQGRGAPEID ILEGGGAAISSSIQIAPGMPDNYRRMPIQKPDSKYCVYGKACDTPGANFPDIPTSAYA YRGHRNWYQGLKYAANNRCPTDPLEVQQYEPVKAVQMNPALLTTNVYNKMQVSAGRDA NADLGLIDGKGPAHWGINYNGTCFPIANGYIGAFLCDPDSKNLKCEAPRKDGVANTNQ MHPFEYQMDAISANWDIGHDAYTEFYVYQVEWVLGESGYVRWMLEDAPLFEIPSVTLT KPPQAGPGKPRNPIKLPIEEPLYIIFNIAVARAWGTITTLECNE H257_10434 MDGDIHSPDSKRIHRHRLNRTNDFDDVLREFSKHYQIKSGFGKA GRPPKLPFQHQALALVHFYRCL H257_10435 MRRKFKSLRNSKNPTGDPDCPEDVKRAKRINRAMEARMSVLDMG SELATIGQLANSEQTMSQTAQRRRRIDEIVADSAENEAIKRRLVFEQRHARQHTFEVL AAMQERQQAMELEYRQYQMQLAAERDEREAKRLERQEAMDIQREARQATMDQIMVAMM SKFLEK H257_10436 MRHWVIPQPYTVKTAILHPAPLTIKAQKLLAASIEHCCALSNQL GRSSSAATINGASSVQSERLSVIQYYDACGMQATLNVFHDSLTVSARETMRKEDMRKD GVPGTQAIIRITALESAIKLGFQEHEFIADQTGVNYEYLPTKTLNTTGDKAMWKYPLF LVMKTAASNVKTIVQENLTLRHGFGKSYHIDRRPGRSTKKVLVLWDDFSAHFTDDVVA YANEINERKTMRRTFQAAITIAGDYRGLDREGVVGLAQTTIVSGFRKCWLVDGVPVDE DAPGGVDDDVVLADGNLPVSSQLVPSYQWLAMSTPVKSRFSEYEDVLILPVAETVASQ EEFSRPGFDAKRTQNRFTLLLEGHRIRDDEYMRASGVAEDYSEKSQLLDELSSVYDDW KKRDKLRLEDVTHEAERVETIGATIREEAMQSLGKRKKIDQVDGDAGGGNNGGTLAKM MKMMHDDNNADLEFRKYQYEKDQEEREAVRSREYEERRIERELQAEQLRCDRESQATQ LRRYLEMMMNMIASMNKSK H257_10437 MQTVGEFDPTQVLMAVPKTDYPHCLGPVPIATAASSAWLFRPFT MAEASETATGKWPPYPGETTTHRGLVRLLPDLSQSLDVHLHEAFPCVPPVRVVAGDAL KADQAAATVANASTKAVAVVVVPQQHGYVSSDPPGHATRGSPGNATSGPPGYASSSHE ATLPAAVQATQACGKAVIPATPVPTMAAIVTTNGAVPLIVSRPPATAVAQATGTVTTT KTAAPTKTTGATAATARRPSTRATPHRDQTPSAALPPTATNATLSDAAQYDSRSLAPT NYTLVVYLLDSTLSTCDGKFTTTYDEDTGLYVIATQFSPRIDDPTSTVLVTHPTTIVG TPLPPAALDPVALKLIDPITEYLAEHLSAKLVEARAAKAALMYAFSSDPVHRKISSIV RIVLLSGSAARFSSEEKKFASDVDFDKLHKTVEIRESTTLTDSHLASVSLSSLPDCIE HDVQVWPGARPSIPDTQLREFLPQHWYELTKLYHDFLGPKPTASTYGGLHESLARMPF DETSSSHLDGSPRSNLDNFHPIERTHQSPTRQSEFLDALNYRLTQGGQSSRDHAQGGN NAVHPRTTTTNATKVRFAVR H257_10438 MPMMLRLASLRRHQQLTPFLMLLVLLVVTSTTALDHEFDMFPPK SGVGIWVDVDTPLDLRTKVSSRGERWDLVMSDEFEIDGRSFHAGKDHLWTALDIPDGV NAALELYNSSNVYTKNGKLVNKVEEGTTMVTYFNQWLEKPAFETNTLHYSAGMMQSWN KFCMQGGLIEVSAKLPGAINNVPDAEHKSVTNNPNAVGVFWKDGVKSPLTARDRIKDG AYYPTWPGIWLMGNLGRALFTASTTRMWPWTYNECDADLAPHQVISACNATPGFGLNP NQGRGAPEIDILEGGGAAISSSIQIAPGMPDNYRRIPMINPDNKYCVYGKACATPGAN IPDAPTSTYASRGHRSWYQGLKYAANNRCPPTPMDIQAFEPVRKRVELLVSNVFDKSQ MSAARDVNADLGLIDGKGPGHWGINSNGTCFPIANGYIGAFLCDPDSKNLKCEAPRRE GVADTNQMAKFEYQMDAISANWDIGHEAYTTFYKYQVEWVMGPNGYVRWSLMDAPLFE IPASAVTSPPQSTTGTPRTNPKKLMIEEPLYIIFNVALAKAWGATPPNADIGPCRGNG THPSPGSEAANKSNNICDSFPMYMEIEYIRVYQDKSSMFVGCDPPSHPTKKWIDGHIK WYTDAKNPLIRVDGGATCTNDDDCVSVTSSTPNGRCNKRRCECVVGYGGPRCTKYVGS KTLDTSSPNYFGPQFLYPVILAAVVAATVALTCVLRKRRIVVAATVSSVRANEAKGEE GTSDNPHDSVAMLEFLANPQQNQQHRRFYAPANTADA H257_10439 MVLHDVLVLMALLLLHVHALDYDFEGYPTRSGIGAWVDADTPLD ARSKQSSRGESWDLVMSDEFEEEGRTFEAGKDHIWTALDIPDGVNAAIGLYSPANVYT KNGKLINRVEEVHTNVTYFNQWLEVPAIETNTLYYAAGMMQSWNKFCMQGGLIEVAAK LPGAINILPDDVHKSTTMNPNALGELWKDGVKTKLTPRDRVKDGAYYPTWPGIWLLGN LGRALFSASTSRMWPWTYNECDADLSPHQAISACDPNPGYGLHPNQGRGAPEIDILEG GGAAISSSIQIAPGMPDNYRRMPIQKPDSKYCVYGKACDTPGANFPDVPTSAYAYRGH RNWYQGLKYAANNRCPTDPLEVQQYEPVKAVQMNPALLTTNVYNKMQVSAGRDASADL GLIDGKGPAHWGINYNGTCFPIANGYIGAFLCDPDSKNLKCEAPRREGVADTNQMHPF EYQMDAISANWDIGHDAYTEFYVYQIEWVLGESGYVRWMLEDAPLFEIPSVTLTKPPQ AGPGEPRNPIKLPIEEPLYIIFNIAVARAWGATPPNADIGPCRGNASKPVPGTYEFNK TQNICDSFPMYMEIEYIRVYQEKSSMFLGCDPPTHPTKKWIDGHIQWFTDAKNPMIRV DGGATCNKGDDCQSMSSSMPSGRCVKRRCSCVTGYGGPRCTRFLGSKTINMTNGSYFG PPILYPIILTSVVAAAVVLTSVWRCRQRNFTTALALHTQIKDEGDDLACEAPRRYYAP ANLPGDSKIASM H257_10440 MARRGPRLPQLPPCKHTPNALTGADEDEIASIEVFASSMEDKYF NAMVERSNTNAQDACPSETTQAGNHAHAFSIQLMEHTIAFRSKGVAYQTIFDVFLGNF STNIKDVAYRQFIDASRQFAAVPYLLRRGEFVVKADEAFPKPVCPACTGDGSTTAGPI IAV H257_10441 MTQRCRYVVGLAALVHRTYSIDNDYDNFQTKSHIGVWVDVDTPM SARQVRTSRGETWDLVMSDEFQLDGRSFRPGDDHLWTALDIPDGVNAALEIYNSSNVY TKNGKLINKAEEGPTVVTYFNQWLEEPGFETRTMHYKAGMMQSWNKFCMQGGFIEVSA KLPGAVNNIPDAEHKSVTMNPNAVGEYTDPATKVKRVVTPQDRIIDGGYYPTWPGIWL LGNLGRALFAASTTRMWPWSYDACDPDLLDLAPHQVISACNATPGFGLNPNQGRGAPE IDILEGGGAAISSSIQLAPGMPDNYRRIPVGLPDEEPWGLNKYCVYGKGCKTPGANIA DIPTSAFASRGHKSWYQGLKYAANNRCRPLDEEKQNYDQVYNFVKIGNLYKNVFDKEQ MSASRDAHADLGLIDGKGPGHWGINSNGTCFPVSNGYIGAYLCDPDAKNPKCEAPRRD GVADTNQMPKFEYQMDAISANWDIGHEAYTTFYKYQVEWVVGNKTTNDGYIRWSLDGS PLFEIPATVLTSPPQRPEGSTASKNPVKVMIEEPMYIIFNVALAKAWGTTPPNIDVGP CRGNATHPPHNSWDYNKSNNICDSFPMYMEIDYIRVYQDTRSMHVGCDPPSHPTKEWI DGHIEWYTNANNPMIRVAGRASCHSDDDCTTDNIVVPPTGRCHNRRCECVQGFGGPRC TKFINIDTEASYGPSSVYPILLLVLSGAAVTYSQIRRRRWLHRVTTIAEANAGGATAL SPPPTTSKPRRSGGDGDEDDDDVMASPVVAGIRRYYGPAHQSATGGQASTMA H257_10442 MIAEGLVARVVSVGIVAQDVMIVTVVVAMAVTMVAMVVMTDAVV ALVAATAAVIVLVEMTWLRS H257_10443 MSLNSTSAPSFVGTPLDESRPLAYATWKTDFLPQATIRDIMWYF TKPNHVPFEIRREALKISKEYLEVDEVDSPDFYYIDPSSETDRAARMADIKMRTSAIL AKAMNRESPKALSAQRSFAHTFLVSGISTNLRYLYHTTTCPYVLFELLTFRFESNAMN NNPTLTDDSSFDTLAEELNDLVKRYPSSMTPPTFNPLDASSISSTTATTFGPITLCVP CPSHLLRTRTSGKSSRTMFRLPELPVRLSLLTMFGLPSALSSTIVPNVLLLWVTSALP SLPQLALRTRPLRMPLRPHTAAPGIQPA H257_10444 MRLSRKELNSLLSNSRFVREGSTLESTAQGAEVPSPRAAELAAR FMSVQKVLGGANGKHVSLYTKIPYNTLMRTVRQTKAGSNKAPERRGPKPQGGHPPDRH DILVKANKLAREFDPLQSLTDGWYTRFRQRHPELTVRSAQVISHARNFVDMDGVNRLF ESMKTAVADHALTPDRIFIAKYSGAMYTISKRVALRIASRAWTSLIVPTNVIKNGGGH PARQLT H257_10445 MDQFPVLKRMADAIYGEVLLCRDAVMFDIVAVKKIYMHRAARRH SDVHKHAVHEDVLFELDVNQRVQLAGGHPHICRLRHHFTYVDTAMSHPILAMVFDYCP HGELLTTLSASKRFTELVALRYVAQIAQAIDFLHLLHIAHRDISLENILLDGAHRAKL CDFGLACDDRMVKTEPVGKLLYMAPEVLAGEEYAPAQADMWSLGVALFTMVVGHYPFH EASMHDPFYSVFARVGLSSLLRKHGVGHISVELQSLLEKLLHVNPDQRIQCQHLLAHP LVEPFVDDDADTTSFSTVSLEDERSSRAMDSPNNSNHHPDEGKPKTSAELSNKQPCHA SSAMAIRSPEFVERYPHSTASSLPMRPLHPFRPASTVVDNNNIHLKGSFKAFWKQQVL SIRKRWGGKVAV H257_10446 MQKLKGAGSAICASTAWSRACELGASASFASSVVSSGNSVDLLR KDRLQAMVVEAHRHGLSSTFTSLKEAVAVKDIRVCHGGVTWELEGTINETLLTDLFEL DRRLLRRPSALCLVTPGKVMVVAKLDRKVLMYVCPGKIKVVREMDELLQKVIEETGDG HDITYTLSVLFAKPDVPYPEDDDALESQPLADGKMPPACSSRNPKLGTEYDNQPARMD STALRTSVSRQHNNQMIGQSDDELKSISADMSTGDAKLILRPDGEPSNVPDGCQHDDD DDSEARQQVKVTHFLQPTTTSTVVEKTAAALSPPQRDELPIAEAYPDFKLPLTSVECT RSSAFGNPDKPSSPTMKDDNNNTSGCAASARASSTSVAMQLDNSRLQTTPRHSGDTPC NNNMLPSPRLPPLALLDNVVVDPSRHHDHNNTDDCYATDNNNPSTQRTPELVETATAT TSPARPFVARPPVVTIISHSKSTVHEAPTTYKAKLGEAIWWRLDDQARRVGCREARPT LRLKRSMSVMAKQLPLAMVPRTTASGDETTPLPLSNKAMYHLDSQKEPGFAIGATLAV SPSTAPVDTDAASSKQEPSDKPSSFTRLLLEETPSLSPKSRPQARQPSIAQDSVAPRH SSYSRIYPHDVTLDTDVVARTLMQGKVSPSQIPMMQPPLPSMDGDRQRPLVAPLHENL AQPSTNYIVTPCMMDDDDDVVSVASGTSNGDDVGDPLDHRHATSSDVVCPLSSPASTA TMSHPFEKMNVNKSRDGVSDCRQGSPPLPGDNVILLDPQDLVDVVSTLTCSHDTFNDV IQSTLELSDPIDFGIAGTLDTKGQCSPTSHHSLVTFDNVSDHQTLSVRKDPAPLRTTN LIVSRTGRNAIDLTKCDDFLLPHHIVDLAPAAPCREVAVCVDPRDKPSKPYTPDVLSV GTLNPPPSRSAVQVYHPMGLSLLPAQPNAQAKPEQSPPRGNASNDGSKRHMSAKLTVN VTPESPTLAYMPTFMRENAWTASTTSRVLQPCDGPPNGCRSSSSMAPRRSQQPSVAKP PAAMAIYLRSQQQVIDDDIGHEFTSASTPQLSRETSPVATVQTKPASQAKSSIELHPT QDEIGSKQWATSVVSKAMTLQPPSPQSPPSIIVGRHAVVDSPPPSPPPSPPRKQQSMF VHVVDSPKDRAAKLMELREKKLKQLQERKEWGKPKEGVVPAITTTNAKPMVGQYLKPT SNRQLIQNALETNLLAGTACESERVRVVQALVDHQAADNFVVTFKGSVQEMKMTFKGL YALEKDYVHKIYGQGPAHLQPTMVKQFFRYNSGKKAFLPVSTRSFTIKTDGAALSDEC FKKKKLDLF H257_10447 MAKKRTLSAVVQASASEGRQELNEETKSDDEHHRDAVAQDDGND ETEDSVYISVELTDFADFPILDKHDALEIENLESDRPILRIGEYVLYGTYEDALGTDV FYDMHPPANAEDATTSSSAATCSFVGSAMKRLKFVIDPNEKRQFLRKPTKI H257_10448 MVQLKLEPASAPRLLQSWPPSPTSSPPSNELTACLDDDYVSDSY NLDFHWLDGPDILLDADLQSFFFDHLHGPLHCAQVPPLPPPSHHPSPVAPPFSNSRST STPHPPVPPSHSSSRPAKPRRPKCTVDGCDQRVRSRGVCKRHGGGRLCLIPDCQNSAV GLEYCVSHGGGKGCLREECTKAAQVGGYCKAHGGGSRCQVDGCKKSSQTNGRCRLHGG GKRCTAPGCTKGAQRLGLCAAHGGYHICQHESGCPRKDRGGGYCETHRRDLECSSVGC KRLRKAHGLCTTHLRVGDHNDLMAILDELLDMPKQRLR H257_10449 MLTTVATVAAAATGGIAVALYVFGHHIHLAASKVDAIVRLRALA TSWMVRLSGTSSSLSKLDVVAQLAPNVIRVLGLNPGRMTLQGTNTYIVGAGKQRILID TGDGSKAYMELLVATMHRFDIDGFSDILLTHGHFDHVGGCWALQKAFPKAKMWKLLTY TSTATAPACECSAHVSNSFVHDQFHMHDLHALSVSNESIQTEGAAIGVLRTPGHTNDH VCFTLRNDDTNQVTIFTGDCVLGEGTCTFQNLSEYMASLELLKSQDPATLYPGHGPVL HNAIEAIDMYISHRRKREDEIVAFLTSLHTNGATPAQIVSKLYPGLPYALTIPAKRNV QLHLNKLVGDGKVFPAPSGSYQITKAL H257_10449 MTLQGTNTYIVGAGKQRILIDTGDGSKAYMELLVATMHRFDIDG FSDILLTHGHFDHVGGCWALQKAFPKAKMWKLLTYTSTATAPACECSAHVSNSFVHDQ FHMHDLHALSVSNESIQTEGAAIGVLRTPGHTNDHVCFTLRNDDTNQVTIFTGDCVLG EGTCTFQNLSEYMASLELLKSQDPATLYPGHGPVLHNAIEAIDMYISHRRKREDEIVA FLTSLHTNGATPAQIVSKLYPGLPYALTIPAKRNVQLHLNKLVGDGKVFPAPSGSYQI TKAL H257_10450 MARKQQRERKNQAKDDAAPYKGGNKEGNKDGNNGARGGRGGRGK GRGGGKGRGGGRGEKKKPTTADDLDADMDKYWGKSSEHAQKKLDGDMDDYWKKKDEVV PETTDDAAPTVVDPAATDNADTDKTE H257_10451 MRTLVVINIACTNKLTDTSATTLMHNVQSYRLRVGGVPSTRPRQ RTRVVMLDALLCGSVAQLWPLRTSGGLIKQGSFASTLGVHTRWREERDIHDKGGGLCI LCVISAGQNSRVD H257_10452 MTGSVTQPRATDASAASIRPLARFLHTECTDELFCPQYIRNNKA TGHKNLRCFPHCCQGHKSRSYCGSSLMVACVDASCEYVFGRFELEHHPAQSSEDTGSP AAVLDGIDVGDIRRLRDFRAVIKTKTNPLASWMQGTELAKAATGGQSSDDKVFELNEN RISWHYGYVSNRFSTDATHVFQVYCFKRCSLENDDLQCLARVASMPFRLVSSRQIRKE AQTSTPAILSLVDLDKIPDDRFSYMQRATNLSTLQTVLAKIGEFERTPHGISVSTLTF QRHPSQNDFAPFQSLLSDDCDVDGAVTAVSVLVSLVDRVMQSEFVVKIQSILTALSSC VANASKLNQAYGGFIEMLESQVHTFVDKSLLGLTGELTLTPLALVEHVVQFLKARLPA PCFVSSEEMENGAAVALTGLTGLALPNGQTLHSMHEEPPYFIALVAQLRLKFQQSLLQ RSPLPAAVHQGGKYQHGKWRRVDSQRPEIPMFVLREYAFFVSQRIEILSSDTEIQIYL PDSFIPVWTTFELSRKPTRPSPGHMGISSHVGMNGRTITAYIAWREGSRVSVQSYLWP MRPSLTRIRFTRHIQMDTSRPGSTDRLMIVNEMEQANHDPIVPPVDADIHAQLSYPAT YFPMRTYTEYYEKDVTKKRKLVL H257_10452 MTGSVTQPRATDASAASIRPLARFLHTECTDELFCPQYIRNNKA TGHKNLRCFPHCCQGHKSRSYCGSSLMVACVDASCEYVFGRFELEHHPAQSSEDTGSP AAVLDGIDVGDIRRLRDFRAVIKTKTNPLASWMQGTELAKAATGGQSSDDKVFELNEN RISWHYGYVSNRFSTDATHVFQVYCFKRCSLENDDLQCLARVASMPFRLVSSRQIRKE AQTSTPAILSLVDLDKIPDDRFSYMQRATNLSTLQTVLAKIGEFERTPHGISVSTLTF QRHPSQNDFAPFQSLLSDDCDVDGAVTAVSVLVSLVDRVMQSEFVVKIQSILTALSSC VANASKLNQAYGGFIEMLESQVHTFVDKSLLGLTGELTLTPLALVEHVVQFLKARLPA PCFVSSEEMENGAAVALTGLTGLALPNGQTLHSMHEEPPYFIALVAQLRLKFQQSLLQ RSPLPAAVHQGGKYQHGKWRRVDSQRPEIPMFVLREYAFFVSQRIEILSSDTEIQIYL PDSFIPVWTTFELSRKPTRPSPGHMGISSHVGMNGRTITAYIAWREGSRVSVQSYLWP MRPSLTRIRFTRHIQMDTSRPGSTDRLMIVNEMEQANHDPIVPPVDADIHAQLSYPAT YFPMRTYTEYYEKDVTKKRKLVL H257_10452 MVACVDASCEYVFGRFELEHHPAQSSEDTGSPAAVLDGIDVGDI RRLRDFRAVIKTKTNPLASWMQGTELAKAATGGQSSDDKVFELNENRISWHYGYVSNR FSTDATHVFQVYCFKRCSLENDDLQCLARVASMPFRLVSSRQIRKEAQTSTPAILSLV DLDKIPDDRFSYMQRATNLSTLQTVLAKIGEFERTPHGISVSTLTFQRHPSQNDFAPF QSLLSDDCDVDGAVTAVSVLVSLVDRVMQSEFVVKIQSILTALSSCVANASKLNQAYG GFIEMLESQVHTFVDKSLLGLTGELTLTPLALVEHVVQFLKARLPAPCFVSSEEMENG AAVALTGLTGLALPNGQTLHSMHEEPPYFIALVAQLRLKFQQSLLQRSPLPAAVHQGG KYQHGKWRRVDSQRPEIPMFVLREYAFFVSQRIEILSSDTEIQIYLPDSFIPVWTTFE LSRKPTRPSPGHMGISSHVGMNGRTITAYIAWREGSRVSVQSYLWPMRPSLTRIRFTR HIQMDTSRPGSTDRLMIVNEMEQANHDPIVPPVDADIHAQLSYPATYFPMRTYTEYYE KDVTKKRKLVL H257_10452 MVACVDASCEYVFGRFELEHHPAQSSEDTGSPAAVLDGIDVGDI RRLRDFRAVIKTKTNPLASWMQGTELAKAATGGQSSDDKVFELNENRISWHYGYVSNR FSTDATHVFQVYCFKRCSLENDDLQCLARVASMPFRLVSSRQIRKEAQTSTPAILSLV DLDKIPDDRFSYMQRATNLSTLQTVLAKIGEFERTPHGISVSTLTFQRHPSQNDFAPF QSLLSDDCDVDGAVTAVSVLVSLVDRVMQSEFVVKIQSILTALSSCVANASKLNQAYG GFIEMLESQVHTFVDKSLLGLTGELTLTPLALVEHVVQFLKARLPAPCFVSSEEMENG AAVALTGLTGLALPNGQTLHSMHEEPPYFIALVAQLRLKFQQSLLQRSPLPAAVHQGG KYQHGKWRRVDSQRPEIPMFVLREYAFFVSQRIEILSSDTEIQIYLPDSFIPVWTTFE LSRKPTRPSPGHMGISSHVGMNGRTITAYIAWREGSRVSVQSYLWPMRPSLTRIRFTR HIQMDTSRPGSTDRLMIVNEMEQANHDPIVPPVDADIHAQLSYPATYFPMRTYTEYYE KDVTKKRKLVL H257_10453 MRKYRLVSKKGEGTFSEVLKAQNVKDNKFHAIKCMKNHFESIDQ VNNLREIQALRRLSPHPHIIKLEEVLYDQPSGRLALVFELMDANLYEMIRGRRTYLNP ELIRSLMYQLVKSLDHMHNKGIFHRDIKPENILVENQEFLKLADFGSCRGIYSKQPYT EYISTRWYRAPECLLTDGYYGPEMDLWGVGCVFFEITSLYPLFPGSNELDQINRIHKI LGTPAPDVLDIFRRKGAAHIDFNFSPEDGTSIAKLIPHAPPEAVDLMCKMLVYDPNKR TNAREALRHEYFKDVREMDEANDVAGGGDTTSKTMATAATGVGVPANAVKHRHKKDDD TKRNLPVIGKGNGVDDGGSSDTSEYHQHGGDNAAYEINELPPINASIPLLKPNKPQDS KAAKKTNPYRTANNGGQPAPHNPDVLLAKGRKQPADKGYKLTTISKAPLPLQQQQQPQ ISSTVKYQGGSGAVAKPSKTIGGPKPRRK H257_10454 MGNSNAKAKASSRRPSTSTTSAESTARSASEGHLVLPASSASTS AASRTASHPPSRSNRRQSTSTDSVVAGGIERGQAAVEDANRQLSYWNMARHGYDQMVN LIIRPPRAKYQVDELGPTTFAFCGRRFERTDFHVSVQRRGMPLKLQCSHWHPIAADRP AAALPCLIYLHGNSSCRLEAHSILRCVLSTGATVVALDCIGCGLSDGDYITLGYFERD DVHAVVEHLRSIESVSTIGLWGRSMGAVTALLHADRDPSIAGLVVDSAFANLDQLVHE VVEHGRQEGYTIPTLAVKIVMRWIRSSVLKRAHFDLKELSPIDHVHQSFIPALFVAAH NDTFIRPHHTEALFAKYAGDKNVIKVKGDHNSPRPQYLLDSAGIFLQTVLHMDLAWQL HDPFAAASALPWCQESLFLARMFEQSAAQSFGPPAPTVSWPCPACTFINPRGCNHCLV CDTVPASSALVCTIDPPSTPPSSSTGNVEVVVQADDSSSSG H257_10455 MLLAVLRRVGSRCNAPVRRLSSKTDKAQVDEKKPVSFFLAKEDT LTTRIMGSDWADRRGQPISFSMKLYWSIFGLFIVNGMYAQYTGNDEFALYHQVRQSVK DALFGKAQTNEHVFVSQVESDNSNDTATVASDEAAIAAPVVEPAAAAPLQVDVPTTVG PMAAQKSAAPLFGMLSRTGRPVLTKEQLTLELKALRDQEAKCRQELKGGSLRNVDVVV SEIHQIERSKAELKHRIKALA H257_10455 MLLAVLRRVGSRCNAPVRRLSSKTDKAQVDEKKPVSFFLAKEDT LTTRIMGSDWADRRGQPISFSMKLYWSIFGLFIVNGMYAQYTGNDEFALYHQVRQSVK DALFGKAQTNEHVFVSQVESDNSNDTATVASDEAAIAAPVVEPAAAAPLQVDVPTTVG PMAAQKSAAPLFGMLSRTGRPVLTKEQLTLELKALRDQEAKCRQELKGGSLRNVDVVV SEIHQIERSKAELKHRIKALA H257_10455 MLLAVLRRVGSRCNAPVRRLSSKTDKAQVDEKKPVSFFLAKEDT LTTRIMGSDWADRRGQPISFSMKLYWSIFGLFIVNGMYAQYTGNDEFALYHQVRQSVK DALFGKAQTNEHVFVSQVESDNSNDTATVASDEAAIAAPVVEPAAAAPLQVDVPTTVG PMAAQKSAAPLFGMLSRTGRPVLTKEQLTLELKALRDQEAKCRQELKGGSLRNVDVVV SEIHQIERSKAELKHRIKALA H257_10456 MGVPAASATSLQLDFRSPNNTAQKSQPAPWQPDHTSDACTACNG EFNSWTRRRHHCRACGCLVCADCSPSLIALPQLGYATPVRVCKTCQPTTRTLSTALSN VSDDSSSDNEADALDEETLQSAFDEIACHARMKVSRYFVKSEAVNWLVDTGCTASRIT AAHVFRRLVVNELVIESNDAFCINHGDARRDSYSVPANDSIKCLNCTRSFLRRRAPVA GFCSIDCKTNAEFSRSDDLRIQALCA H257_10457 MEQYQHNGRKLIQMLFKSTDKGTSAGPPVKTLPTKPSASSHPPS KLFPPMTLVSSRLASPETPTDPPSPLQWKSYRSTHGGPRFSLLKSTKNVKRAPARIPP LDVSVPSPAAVAVGWTGKSNNHAEADHQAEDENGRTTGAALEDDDTDSTPASDDVTVD DMDRVIDNLKQWRVDHARKVAGQYPIESRQDTEDDIAREAAVADQDDAEHEALMHMYE QAKARWHTRTPTTPKEWTTSMKAAQTPENGGGNADETKVLAMLAASTSSTDNVEKLQQ LRHEVFELHVKDISRQLHRQMDLNNVADDDAFDAHLLELEMGVQTYANDLDVLLNRLG AYSCTPDDNNDG H257_10457 MEQYQHNGRKLIQMLFKSTDKGTSAGPPVKTLPTKPSASSHPPS KLFPPMTLVSSRLASPETPTDPPSPLQWKSYRSTHGGPRFSLLKSTKNVKRAPARIPP LDVSVPSPAAVAVGWTGKSNNHAEADHQAEDENGRTTGAALEDDDTDSTPASDDVTVD DMDRVIDNLKQWRVDHARKVAGQYPIESRQDTEDDIAREAAVADQDDAEHEALMHMYE QAKARWHTRTPTTPKEWTTSMKAAQTPENGGGNADETKVLAMLAASTSSTDNVEKLQQ LRHEVFELHVKDISRQLHRQMDLNNVADDDAFDGAMVDSTGLYIDTT H257_10459 MMWSSILGLPDTILRQSVAEARLGEAETRRATQAFHRASGHGQM TKQVFVHSVVRELLPNFPTALAERLFGCINVDLSGTLKYKEFISTVCVLKVGTPREQL KLVFRVLDSTESGYITRKDVRTMLSWVIPTSVDIVDSVDGGGGVACTAATFESTLFAK AEKLKWETFRVRMMSSGGSICAGGLTLVSWVHALGAAMTFQEHSLPTTPVASAALLPS NELTTTPSTLHVLGLYHAPTTLYPEKMAALHNGFHHLHGLYGHGVGVPKDVLHDTFVR SSVFPASYLDSFNMDNGQSQNNAINLAPTLRDWMLHLAFAAHESMLEGLRCLFDLFAD SQTVNHHHPTSAAAASVDGHVSDLTELLYHVVPSPTYLLHAHVILHTHVAPPPLAQHI SRWVDQLLVACDTVTFADFCGWLSSEQPDLLHALHECCDMVHVRLLGAAVEPATAIQI VHGLLQVYHPTTNPGVPGQAWFRVDRAMMWTPFLALDDSHMLAAKLPTNNGDFHRHDD INDEVFVNRATYDALCRFYAIMCAECRTHGRNSFAPVEPITAAAAVVRVTNDQRQLES KVYTITILAEATTDAAAAGPANGNVGSSVSSVVLSSRTPLDSVPSLLHMATTKASSSS SPASDVLLYRPVGGAVWLPWQPLTPTTDHSRSTTTLASFLSRSIEAAWGQPPPSTFSS SAEMPPPPASISPLPPTRSTPPSMTCAVGLSNLGNSCFMNAVLQCLFHTTPLQEYFVS QAYVYDVNVTNTHGMQGVFAAVYGDLARAMASPNRSRPIAPLTFKVAIGKLYPQFQGH LQHDAHECLSVLLQGLNEDLSRPLVSPHSPNKANSIQTTKPYVDLPDSNNRPDSEVAY EWWRAHVLRDPSIITALFTGQFKSAVDCSQCGQTSNRFEPFSFLQVPLPASSSRWRTI YVHLGHGHRIQKRKIQLQSTAVVADLLAALDDQNGAQTCSTHASVVVAIVHSHKVHSI VQPKQVLADIAQDLHVYSYDGLAAPLSGLPSPRRYQCVYRRQRLVPFYFLQPFRVQLY GTPLLVPSATPMTGRDLYKWVHTHLPPSPPSPLSTSSPDMDKSVYFGLPPAFHPTWPF TLRYVRASDGLACSRCPWTSACVGCPVDPDGGGGTADDDDGKNGKVENTQGLNNHLVV LQPHEMLAIDWGLETTAAPVDLHKSYVQFHVTPPPAYPLEECVGMLCSEEVVDMYCSR CLTSVAHTKRLALWSTPPILVVQLKRFQSISETQSMVKADASIRFPATLSLAPFLAAT TTKSTNQPNTPPMPPPCDTVNNAICDKEDDQKPDDPVPTPFVWKDDIAVTFPYPTAAT DPPGYDLYGIVCHVGVLGAGHYVAYVRDRTTWWCVDDMTVSVVTNLNLSTLMSAAYLL FYQRRDMADVAMDCWFPRSDASNGIHVNVDELRRQVHTWTKPPKRRGEGGRATSLQLT RPKSKYWFNWSWR H257_10460 MEAFQAHLQASTPPVLGIQDLPHVLDQVRTAFPRTHDDPSSDLS ASLHHLRHAWSDRSIRPTAAILALFVAVVVVENSTSVDNDDSHRFDEAWTLFHEIQRT SLATAGTFTSSPCLALGFVLASCHPLSKTFRCPESLIYDAALQYTTLIHALATGSQPP TLQSHLPLDTLTPSMRHAVTDLLQDVSVATRDAMYSQYTCILQLVSSDNVVPRPDFTT QVVPASRPSSSSTSSSKALHIKPTLNAIVALTTTLLHAPLPSIDAAFLSAAMDLLSWS SVPILHPTCTASDDHQPSISFEIMHGHAARLSPTQTTLLLAPLRTASTTTSQSTLSQQ HDILLGHRLHVYLQLMGHVRQLNLDMLFELALPGIGHAWAPLHRHAHRILRALVLMYS KDRPIQASEMGAVYIRRMVTTFTRPDDIKDMAMGVLAMTADAALIRFALVEICDKWAC DRVRSEPLAALLFELLKVLPSRDLPFALVVVEKMMWEEPTIMPTVYQAIAGPCDASRR IVLLEWYLRLHAQIAPAVTWHSRL H257_10461 MASPPPSIACAIFLDPSVGLFTSITAFMPGLAFREWTDGYAILR AGHQAAMLAHKPNVVLTADTMNDAAEDGRADIVSWLVANNVEFNLDTALAAAAAEGHL HVIQFFLHHPLNDHPRHDKALIYAASGGHLSVVQELVHTTTVDSINAASAIAYAADFG HWHVVEWLEAWHGKKEDGCPETSLSVFGKESKFVAAPTGSSRTILLPTYRQVITAVRH YFLRTHLKAVKLVNDY H257_10462 MSTAFDDTSFDGTSGGPPSHLSTSVVNPRPWEWGKYVTPLSREG FLEKQSRKDRTTWKRRWVVMQDNVLYFFKEPFPATNVNLYENDNLLLQLYRHGSIQLD TVVTVCTAKEWGPAAFQIKVHERKHIFKAASKDQMNSWLFEFHRSIANLIVMLRSKSL SASITRHIESARRQHRSQSFDCRDHIMFDQLAYCVPYDEYAAPLRHSIDYIAAASSSP NNTRTPLGSTPTSSSFKSPCTSPNFFPFQLDELGMDEDDAVDEEAAALQLPPSFDELV ATTTCETATPITTSAPLPSSNAPTTPVAKPVATVKRAYVPPHLRKGYVSPPPPMPSSN PPPPIEYTHLHGDSAMHGTHMFGCTSLVGKRPSLEDMICVRPDFSPTQAVYALFDGHS GVHAAKYAMNTLPAKLHAHVGFDTPGGWRQACTDIFREIDAECLVDPTAAATGTTASV VVVRGTKLLTANIGDSRAVMSVKGQAIDIMGVQHPGREDERSRIEKAGGWVVEEQELH MNKLHGMDLNDPLIEQKANRVVQWMHIFRVNGELSVSRAIGDIDYKRPNECSTWFYPE NHPRTAFTDSLVIVDPEFEEFEITPEIEFFVLACDGLWDTITSQEAVTHVREKLLQNL SMQDISYSLADLAIRSGSLDNVSVVVTLLQDRSSIT H257_10462 MSTAFDDTSFDGTSGGPPSHLSTSVVNPRPWEWGKYVTPLSREG FLEKQSRKDRTTWKRRWVVMQDNVLYFFKEPFPATNVNLYENDNLLLQLYRHGSIQLD TVVTVCTAKEWGPAAFQIKVHERKHIFKAASKDQMNSWLFEFHRSIANLIVMLRSKSL SASITRHIESARRQHRSQSFDCRDHIMFDQLAYCVPYDEYAAPLRHSIDYIAAASSSP NNTRTPLGSTPTSSSFKSPCTSPNFFPFQLDELGMDEDDAVDEEAAALQLPPSFDELV ATTTCETATPITTSAPLPSSNAPTTPVAKPVATVKRAYVPPHLRKGYVSPPPPMPSSN PPPPIEYTHLHGDSAMHGTHMFGCTSLVGKRPSLEDMICVRPDFSPTQAVYALFDGHS GVHAAKYAMNTLPAKLHAHVGFDTPGGWRQACTDIFREIDAECLVDPTAAATGTTASV VVVRGTKLLTANIGDSRAVMSVKGQAIDIMGVQHPGREDERSRIEKAGGWVVEEQELH MNKLHGMDLNDPLIEQKANRVVQWMHIFRVNGELSVSRAIGDIDYKRPNECSTWFYPE NHPRTAFTDSLVIVDPEFEVFGKKNINII H257_10462 MSTAFDDTSFDGTSGGPPSHLSTSVVNPRPWEWGKYVTPLSREG FLEKQSRKDRTTWKRRWVVMQDNVLYFFKEPFPATNVNLYENDNLLLQLYRHGSIQLD TVVTVCTAKEWGPAAFQIKVHERKHIFKAASKDQMNSWLFEFHRSIANLIVMLRSKSL SASITRHIESARRQHRSQSFDCRDHIMFDQLAYCVPYDEYAAPLRHSIDYIAAASSSP NNTRTPLGSTPTSSSFKSPCTSPNFFPFQLDELGMDEDDAVDEEAAALQLPPSFDELV ATTTCETATPITTSAPLPSSNAPTTPVAKPVATVKRAYVPPHLRKGYVSPPPPMPSSN PPPPIEYTHLHGDSAMHGTHMFGCTSLVGKRPSLEDMICVRPDFSPTQAVYALFDGHS GVHAAKYAMNTLPAKLHAHVGFDTPGGWRQACTDIFREIDAECLVDPTAAATGTTASV VVVRGTKLLTANIGDSRAVMSVKGQAIDIMGVQHPGREDERSRIEKAGGWVVEEQELH MNKLHGMDLNDPLIEQKANRVVQWMHIFRVRGCSI H257_10463 MSTVKESLQHGVAETLELEPVSMGEYLPSPRSTATDVESVGEAQ PLVHSVTKEHKEQHEGTILGSTITLTNTILGSGTLAVPYAIASSGYGVGVAVMVTIAL LTQYSVHLLMLASDAAGNAAAKTYESLGHHTMGKWGTYLAEFTFIFGGFGTLTSYFIF ITDLFCVVFSVPKAYRAWVTVACTVFVILPLSLLRKLGKLRLSSLLATCAVGYVVSLF FTVYIVVQSSDHPIVVDTPAVHLTSNSVYTVTLLIQAFACHNTALPVYEELQHRSIKR MNQAVFGAISLAFVLYAVIGLCGYFTFGAATMDNILVNFTPEFLDAYPGVRQPLLLGR LCMAIALLFCAPIATWPFRSCVLSVYLRVKNGGRQTPSSAATASEFTGMTATLQALIL FAAIFVPSVKIPLSIVGSVAGSLIIFIMPSLFYTLQHRPVVSWANKGPLAMFALGVCV FVLCFSLTMLKLENEFFPL H257_10463 MGEYLPSPRSTATDVESVGEAQPLVHSVTKEHKEQHEGTILGST ITLTNTILGSGTLAVPYAIASSGYGVGVAVMVTIALLTQYSVHLLMLASDAAGNAAAK TYESLGHHTMGKWGTYLAEFTFIFGGFGTLTSYFIFITDLFCVVFSVPKAYRAWVTVA CTVFVILPLSLLRKLGKLRLSSLLATCAVGYVVSLFFTVYIVVQSSDHPIVVDTPAVH LTSNSVYTVTLLIQAFACHNTALPVYEELQHRSIKRMNQAVFGAISLAFVLYAVIGLC GYFTFGAATMDNILVNFTPEFLDAYPGVRQPLLLGRLCMAIALLFCAPIATWPFRSCV LSVYLRVKNGGRQTPSSAATASEFTGMTATLQALILFAAIFVPSVKIPLSIVGSVAGS LIIFIMPSLFYTLQHRPVVSWANKGPLAMFALGVCVFVLCFSLTMLKLENEFFPL H257_10464 MVYNECTPIKMTFVFEFGFPFKHRCPPDTPSTFRKQTPFFQARR AQPLRSLFILLCSLMKLLASLALIFATLSLVASAPSPITLRQNDRVVIVGGGPAGVHY ASLLVKKGLKKVVLLEALDRVGGKSRTEIDRDGIPHELGTCFLNGVYGPIFDLLNEYD PTNEKFVWALNAPNYVKVLGESIGVADSDPVSNLDYPRYLIRSIALNAPPELQRNANV TELQDLVRFQIGRYIALHYAIFGKYSYGLPPPPKAWSLIDMTAMEFLKRNNLTALEGT LRFSQQQQGYGVLETIPAFYMLWWMHPDQFLKKTNVYSLRKGFQSLWTAVHAAHKNQY KTIFLARATSVSRGNKWNKPRVTYQTKWGDLDTIEADHVVMAVDLSLYAGLVEDLSAE EKQLFQGGDYTASAFLSTLYESDASPIETASVGWFGRMQENGRVSALRNSKLSYLFTN STDWGDLAKGRQTNLAYQYYSHPLDKVNSTASKAQLDADLKLAGIKNVEVATQLHTNY FPRFTPAGLKKGLLWKIWDIQGQRKTTWIGSSVSFESVLDVVVYNNNLIQYVNVTVPR Y H257_10465 MRKAIVIAFLRRWFIRRRLAKELFAREMEYHYLDFVHKTPKRTS ILSGPLWVDELHLFAAMGLGSLLSSMEQGMVLALRRHGLSIRAIAVEISRSTKAVRTF LKDRSSMEQGLKEERQAISSDGSTVCLIVRHPRQAYQLEALRLVSIWMPLFEHANGVS SKPPTSAM H257_10466 MLVDNVIPAIRAKWPAGETKCVNIQQDNARPHVSAKDPTVAAAC KADAWDMEIVCQPPNSPDMNVLDLVFFRAIQTLQERHNCRTVQDVVAATEATWNEVSM ETPDSNFMTLQSCLQEVIKAAGDNNYKIPHMGKKKLALAGKLPETVACDPTVFNDGCT RLGEEDIDKRLRVLSQEIAEALEMAEICNLLEDMGL H257_10467 MRASPKANLNNDQRQSVLHLLLVCLREGKLPHGSFQFVAGKFGV TRSTIRLIWLRAQVDLNNVQRICESVALQKYSSYVKPALTDANKSLHFKNLMDTVHID EKWFYMTRIKRMFYLAPGEKPPHRKCKSKRFITKVMILSAVARPRWNNNTGEWFDGKL RTWHFTEMAPAMRSSRNRPAGTMELKTKNVDKTAYR H257_10469 MKVFGLLALLAALSLVASAPPPIFLRQDDHVVIVGGGPAGVHYA SLLVKKGLKNVVLLEALDQVGGKSRTEIDRDGIPHELGTCFLNGVYGPIFDLLNEYDP TNEKFVWALNAPGYVKLLGESIGATDADPVSNLDYPHYYIRSIAMNAPPELQRNASVT QLQDLVRFQIGRYIGLHYAIFGKYSYGVPPPPKDWSLIDMTALEYLKKNNLTALEAAL RFSQQQQGYGVLETIPAFYMLWWMHPDQFIKKTNVFSLRKGFQRLWTAVHAAHKNQYK TIFQANVTSVSRGNEWNKPRVTYQTKWGDLNTIEADHVVMAVDLSLYAGLVEDLSAEE KQLFQGGDYTASAFLTTLYESDASPIETASVGWFGRMQENGRVSAVRNSKLSYLFTNS TDWGDLAKGRQTNLAYQYYSHPLDKVNSTASKAQLDADLKLAGIKNVEVTTQLHTNYF PRFTPAGLKKGLLWKIWDIQGQRKTTWIGSSVSFESVLDVVVYNNNLIQYVNVTTN H257_10470 MQNPRRSVPIDEVFVSRAPSNAPASTSEGAIVLSLVKQAAASPR VRMLTLFWLIGVFAMLWAPAPIHVTDEMKQVYEAKVVDAAHIENYQETYAAYVDAEEA VADAQVWFWRFRPEHKAVVDERQAAATHARAKLNHLEDVREAKMREAKAYVGLWSDYG LNEARTRFWAAFESGKVFASRQTFWQMVFSVLQSREENIISLIFHWAFVALINFTFGL IGSLFYFTVSLVSMVFTYNPDPLSAAAFVGLALLGAVAVVASYLVGIYAMAASGVYVV GKLAAQSARIQYDQQRATPAHLRQRPHYE H257_10471 MEPVTLKFLFANKEGVKITLASTRDKHVSDVKQQLLAVWPADLA KPESLTSIRLICMGLGVLQDTKTLVECKVPIFPGHPTPVNVSILPPKSETQALIASHD NASRIVPANLSCWCTIS H257_10472 MGGKKAINQKDKLAQANASSSARAVQSLLSSNSASTGFIGFSAF ATAAPPPSASAPASAATPSNTSSFSFYDGPDTELSLALKMLGKRDAQTKTKALTTLKD TLIPSRKPADLRLAVGQFCYLYGAKLMLDNDRRVRQLATDVLGALIDRLPKGTVFHNH MQLLLPPWYLLAMHDVHTETAKCAKRAFLALLPTVEAQTALLAAHADLLLTNVQTYLA LTVESLVDRGLCTPDEADECYERCVTSTLLGARALLLQPSSSSIFPTHVDPHTFQQFL SSLAKFTTLTSKSATFSRASIRHATYVVLTAAATSCPELLRSAIDPKVVLGVVGEKFA ANVPATWTLVLTYLSSAAKLDEALSWTSILPVVLPKVIAATKHANYGATSSLSNLLPF VSLLPKTQPATTGFYVDLLAALCKSLESPHVAQGQTHVVTAFAECLSAMWTIFPAAMF APLSDQERSYVTSFEPVVTSAWTKAFLTAAMPDRSFRVFVDLMAALVPRLAAYAARQE DDDVATLAIALCHRALHAALTRALDHETAHTRMLDTLRVHIKVASSSALSNSPSSLWT QDEVLGAPLLDIIRALVPQGAVYAATPLHWLGQLLDLVGISTLFPNVMAGLATFHQYI APSYASILDKTAYFSVWRHFAPVAPGVLWPQLLVQWDGQPDVVGLWKNLALPLPSTSS LLASWQASFASNATIDDDETLIVYATIWKSQWFDTRVIAMLLESSATSSWTDGDLAFF TACWGNLQPVVSPATVRQVLTWCHQHRATPKVWTLLESLLPLLVAMDSNDIWLDVLGL VDAVVANIAFHSVAMALWKSTIRPSFQTAWSPTYQSMLLDTWFDHLVRLYEPDSVSTT HPTTGCSAGQWAVLCAELLVLDESKPYWDNLPLTNITTFKPTYRVLEIVGELCHWNHG HLLHDPTGYLHTRSPDQVSHWMFVDVAFALTWYTVDIDPTVLSQHVGTWIEPYLVLTE LLEALGDRPLLVETLVHVAAAAASPHHVHWLQSILLSHVDTSVSDGCLSGVPHQFQRW LFEIGLDYLSSDLIVASTPRLIECIPRAFLHVALPRLVQCALDHNLSEDDAEDKNTWT TLTSLLHSSVTLWTNNPTTELDALDQITLLLPLLTTTLQRDSPELSTLQADLVGLVGT RLKAPSSVVLVAEWLALGRFILASLHQKSMNVSTELIAVAKLLIVHAFAAKQDVSELV AVKVHATAIESIDALRDVHPQLLTSRQLLVQLVQALARHGQDHSSIELARPHRDALTL VCLRTIVDSLHLKPQLHVAFALAATCTEATAAASMWSLVEHTYSVEQALTTSLMGLHD VLEFVELDPDQVARVILTVAKGRDLLWHALSETITHPTLQAALYQLLRLTNLAVTLPS ELVDVDGDDEAATDAMLADLLISPGLALALATLHSAVKAPPALGRLLVWDLFLRMFPD SSSPLVTSALGAYVARHNLLNPVLSLCGPFIQSSKVQLTSVEAVDAAFPTLATLGDHT FTNEFVETLAGAVFYKTVVKLPTMVRLWWNDDCSRSARTWVSKFCEETVSPLMLLDEI QAIHAAVDKELWDAEEMTVRGSKVSREITTTYLKDECTLEMVIRVPSSYPLRSVEVEC TKRIGISEERWRRWVLQILKVTTSQDGSLLDAVMLWKSNVDKEFDGVEPCPICFSILN PKTMGLPNLQCRTCSNKYHNSCLYKWFNQSSKNKCPICQQPFC H257_10473 MDSKMYENAVCLAPMVRGGTLPLRLLSLRYGADLVYGEEIIDRK IAATTRVVNDVLNTVDYVTRNGDSVVFRTCAEESAKVVFQIGTASAVHALKAAETIAR DVASVDINMGCPKHFSVQGGMGIALMGKPEVASDILKTLKRNLNIPVSCKIRIKATPQ ETIDFAKAMELSGAAAIGVHARQAHELPSDDAHWDALPLIPSALSIPVLANGDIWNQD DIARVRQATGCQSVLVARGALSNASCFRAAGIVPYQQNVEAYLKVAADTDNAYQNTKY NISRMLPSKDVASTVDVATIAEAKSNADMFALFGLTDYYQSVQAGFQSKAAAAALRLP VYIPDRAYDDAHIKNRAFFCDPCGVQLLSAQDVAGHEKGKRHKNKLRTMASQAMAALS GMDTTNFSTAINDKHETDDDPVPKRVKHTTAGTNDDQV H257_10474 MLVRASVILFVVLLLCQLDPCAGDNTSNASNATYYIQYDHGIEV ATYDGGSTSHRFRRRLDVIQSANQTLTNANSIVQAVIGMGESLKKNIDTVLADLNAIQ TQGLTLDSGNNTLHDAQAVIQNGLLLTTQVQSLFLASQPATTAIPIQNDPTNVLSDVL TTKRTLDSLVGDITSIGTSGLTVAAAAKVIANLQVAVQTGLVVAKDGIDIINAVQNVI STGVSIAEGQVIASAIQLVLQKGLANFDLQPKVCRRQASFRGAPSPLVNQCLASEDPL GPLCLPKCRTGYEPAGFDSCRKVGCAGGTSDLGPWCSKPPSYERNGYALWDQAKCNKE KGTGMCEQCALVWYPKCKPGFHAFGCFICTPDCPPGTIDDVAFCRKDAYFRGVSGSRL GCPAGKQQSLLLCYPPCPSTHDGAGPLCSPKCGGDTPTNCGLFCASSTAACAASVVQI VGTGMHMALSAIASDFTGVLSSAVVLGTKVITMAPCAGFIGEASRSVHDLSGGMKRKL SLAIALLGDSQIVFLHEPTTAEERRVDPGAASQQDAVVMNQLRKMYKDGKGECFGYLG INSAGKTTTMKMLTGDLVASSGSATLGGFDILAQQLDVRRLIGYCLQIDALIDLLSVR EHLELYAAIKSISAINDTVATLMTQMNLDD H257_10475 MWIIGFEVTYGQALAPPGYHRVTNAEGAAAEISPQTYLWYKLQA PDHDVLPPVRALLIHTHQVDGYDMVPKPIVRSTTTPASTSPADSTDVPAMYLLYSTFV DDDDAKVLLPIKELRIDNIPGSTTKHSAFIESNNTVPSSSLAAFEWVNVVQPPAASDD PNPTSEHLLTSIHAHLSHHRLCLKRATRADVDDFEATTAAAVAASSSPLSRYAVGTWV DVLDSTSTALSPRWRASQVKANTSVTPSRLLPPQALVLLLPQYRAPHKELVVLGAQVR AKVAPLGSHTNLTLSPAYPTLRATSMRRLLVPADIEAIHQTLDESFFDMHLVYFQSCL VPFVETCMASPCRDVDTARAMQAFLQNCLKHWVAAVLGDDDSMIPPPVYPYAVVALIK LLCNGYDVCSAFYQPDGHAAHWERLDDFEHTMYFDMAPAGQVVPRLAPCRSVYFVENI EYFLQAGGLRAMLRRVERETGASNERGVLVPLAELDMYLTLLGHAKPILMPTDADVDV LTRLFHASFDRLNQVTPADLHQDLELVVESVLDRIDALISSSSSSWLLHATSFDERLE LTRINMAYQYVSCRSLSHQLTGIHQLVEWRAKAQALDDHLASHPSATTSATKRVGSLQ RLSSLITKTASTFVTTPTSISSSISSSSTPSRARWLTSGALARWLRRANVLELLLGDP TAVTPAISVVRDAHVEVWKRSTPLLALLSQHGLLTETHLTLLWHLGRKHTTRRKIVYE MLLQLASSMNIPLLDAIARLLHDTIPLADYDGLTIHFLTRITRLANGKAHSARTKAAV EVATLTKVAALGVDMLWTATTQAPHHAMHQEFITAFATLLHEMHVIEATHHMHDGAPN TRERYIQLCVRALAAAPHQHERDIGVPVELALRVLQAIIEGYVRTQVDLSRVTSSVEA SAIKNAIKLHPLHPFIDELVTTYQLVDVVVAVVRTGGVASTAPCLAFLGFLLTSSGLT LDKAHINALYRSLHTSRRMQMYFAWLTDVLPKCTETSQAMAVLPDKFVSNGAFTEDDV EAIFAHQFSTPSAMDTVEFDCFERLFRYVNGAIYRNLSDVHLPDDFSVNVPLPDLHGY DTLLAIATTCHVIAVADAAQQYLVYLLLHLSPATFSATSKQQHSRRDIWLQFAVWCMS HVYDAMSLAKTSVARRLLELLAMFLYHAQPMGWRGGGDDPQSGRVPDVAVVSNDLMVY IKMQDGRTSAPLNYSLPGTCLVGELRDRVAADVGHFADRIRLLTDTKVKLTVSQHDGL TLDDVSIFATVTSSKKKKAYVEAIVLKKPEVDTAGHKKSTKKAKLLRGDAAADWAAVA TYLASTWVPSLLEMLEDPAVCDTVWRVLARLPRQPSPSSSVLSTSLPTLIFQMDDPPS KVVAPDVAIHVREVLLHHTAVLSSAKNLLVWHGWSLMFATLDRALQPHVDANPVTWPD NDAGVWDMVLCNVLDIAHVILSSQQLLPTTTFTASQTGVSATDGPTGTSSQRVVYSPA PLLDNPDSVCELALVHALSLVCQLLVVDRKTSLATTFQQHPHVQSVLVGTLDHASSRV RGEAAKTVQSVCNSTLNPSSWQVLVPSCVTLLGSYDGPVTHGDLFYLYGDIVAKQQQD KQLRSVHEQSQRAMGRLLARLQLVATTPDDEADHVLEGLLHTFLGWMEPPPPPSNEQA SNVDEMEVVSTHTEWITPALVVEVFDKCLFPPSTTTTLAKCRSRGSRSAAFQLLLQCV DVSPALALPIVLARMLPQHAFDRKPPKIDIKHSTSSSLSLSSKATSPKQPTTPRGPFV GLKNLGNTCYFNAIVQMGFMFPPFQRLVLSCESESSPVLFQLQSLYAHLRGSSRPYVN PLGLLSVLKTNTGAAVNVKMQQDASEFLTSFLQQLEAEINNGKQHDVEAAFARALGGV FSNELVADGNRYSERTEPFHYISVTVRDKNTLVESLDSWVEGDTVSYTWDDDDDHGDK HAMDTHKRISIQTLPECLILHLKRFEFDFETMQQVKVHDRFEFPVELDMRRYTKEGQA AARRQLHVIDASNASIEWRAPEYYQYDLTGTIVHMGSAHSGHYYAYLKDPHASSWYEF NDTVVTPFDPRHLAAECFGGVADPSGKHTPSMKTHSAFMLVYTRRKPTLALVAEQLSP PNKRSFAGTSVAIMAVLRFKRRVHLHAPITTSSTSTSNIPSSIWHAIHAENQSFWRKQ YVAEAACTTFTYDLCHKYSSHMETLGLTSLAFQVAATYVFGTLWQCREVARLLAWRPL VLSMVGTNEASSLWWIYTLGTHPTLLVDVLVEHDQEPVRTFATDVTLRAMDMCADVAR CEPMMSKLLTEFDHILECEHSFVDLLLAFARKGPEASACLVHRHHLVAKLVGMMVTGK NAQPVPPTGDEFLVRPPSGLQHAFHTPPLPPKVLIGDEHLWQLLALVLQHVPRRPSVT SALPAASCVQYLPTTLDEPDNALSSHDWMCLLTHRASSYSAETAPWTTVVTTMCWESP QFSMAWLDYLLDAIEDEDHHLLKPYFRTLRVLLAVDDSIASDRMAAGMTRLIAIMASQ QKYFKATETTLDMLLRMAKRVPKVSVWLHENQRSWSWAEKWLLSHRGADGYLQTQRTL LTKPKSTSGWRDVITSHPTLVKNVDKSIVKLVPRLRSLLASASVPVDDMYDSDDDPMD LVGKKVRVKWAKEKWYTGVVNSYNPTTREHAVFYDDGDKKSYKMADKIFTRLPDAQHL A H257_10475 MWIIGFEVTYGQALAPPGYHRVTNAEGAAAEISPQTYLWYKLQA PDHDVLPPVRALLIHTHQVDGYDMVPKPIVRSTTTPASTSPADSTDVPAMYLLYSTFV DDDDAKVLLPIKELRIDNIPGSTTKHSAFIESNNTVPSSSLAAFEWVNVVQPPAASDD PNPTSEHLLTSIHAHLSHHRLCLKRATRADVDDFEATTAAAVAASSSPLSRYAVGTWV DVLDSTSTALSPRWRASQVKANTSVTPSRLLPPQALVLLLPQYRAPHKELVVLGAQVR AKVAPLGSHTNLTLSPAYPTLRATSMRRLLVPADIEAIHQTLDESFFDMHLVYFQSCL VPFVETCMASPCRDVDTARAMQAFLQNCLKHWVAAVLGDDDSMIPPPVYPYAVVALIK LLCNGYDVCSAFYQPDGHAAHWERLDDFEHTMYFDMAPAGQVVPRLAPCRSVYFVENI EYFLQAGGLRAMLRRVERETGASNERGVLVPLAELDMYLTLLGHAKPILMPTDADVDV LTRLFHASFDRLNQVTPADLHQDLELVVESVLDRIDALISSSSSSWLLHATSFDERLE LTRINMAYQYVSCRSLSHQLTGIHQLVEWRAKAQALDDHLASHPSATTSATKRVGSLQ RLSSLITKTASTFVTTPTSISSSISSSSTPSRARWLTSGALARWLRRANVLELLLGDP TAVTPAISVVRDAHVEVWKRSTPLLALLSQHGLLTETHLTLLWHLGRKHTTRRKIVYE MLLQLASSMNIPLLDAIARLLHDTIPLADYDGLTIHFLTRITRLANGKAHSARTKAAV EVATLTKVAALGVDMLWTATTQAPHHAMHQEFITAFATLLHEMHVIEATHHMHDGAPN TRERYIQLCVRALAAAPHQHERDIGVPVELALRVLQAIIEGYVRTQVDLSRVTSSVEA SAIKNAIKLHPLHPFIDELVTTYQLVDVVVAVVRTGGVASTAPCLAFLGFLLTSSGLT LDKAHINALYRSLHTSRRMQMYFAWLTDVLPKCTETSQAMAVLPDKFVSNGAFTEDDV EAIFAHQFSTPSAMDTVEFDCFERLFRYVNGAIYRNLSDVHLPDDFSVNVPLPDLHGY DTLLAIATTCHVIAVADAAQQYLVYLLLHLSPATFSATSKQQHSRRDIWLQFAVWCMS HVYDAMSLAKTSVARRLLELLAMFLYHAQPMGWRGGGDDPQSGRVPDVAVVSNDLMVY IKMQDGRTSAPLNYSLPGTCLVGELRDRVAADVGHFADRIRLLTDTKVKLTVSQHDGL TLDDVSIFATVTSSKKKKAYVEAIVLKKPEVDTAGHKKSTKKAKLLRGDAAADWAAVA TYLASTWVPSLLEMLEDPAVCDTVWRVLARLPRQPSPSSSVLSTSLPTLIFQMDDPPS KVVAPDVAIHVREVLLHHTAVLSSAKNLLVWHGWSLMFATLDRALQPHVDANPVTWPD NDAGVWDMVLCNVLDIAHVILSSQQLLPTTTFTASQTGVSATDGPTGTSSQRVVYSPA PLLDNPDSVCELALVHALSLVCQLLVVDRKTSLATTFQQHPHVQSVLVGTLDHASSRV RGEAAKTVQSVCNSTLNPSSWQVLVPSCVTLLGSYDGPVTHGDLFYLYGDIVAKQQQD KQLRSVHEQSQRAMGRLLARLQLVATTPDDEADHVLEGLLHTFLGWMEPPPPPSNEQA SNVDEMEVVSTHTEWITPALVVEVFDKCLFPPSTTTTLAKCRSRGSRSAAFQLLLQCV DVSPALALPIVLARMLPQHAFDRKPPKIDIKHSTSSSLSLSSKATSPKQPTTPRGPFV GLKNLGNTCYFNAIVQMGFMFPPFQRLVLSCESESSPVLFQLQSLYAHLRGSSRPYVN PLGLLSVLKTNTGAAVNVKMQQDASEFLTSFLQQLEAEINNGKQHDVEAAFARALGGV FSNELVADGNRYSERTEPFHYISVTVRDKNTLVESLDSWVEGDTVSYTWDDDDDHGDK HAMDTHKRISIQTLPECLILHLKRFEFDFETMQQVKVHDRFEFPVELDMRRYTKEGQA AARRQLHVIDASNASIEWRAPEYYQYDLTGTIVHMGSAHSGHYYAYLKDPHASSWYEF NDTVVTPFDPRHLAAECFGGVADPSGKHTPSMKTHSAFMLVYTRRKPTLALVAEQLSP PNKRSFAGTSVAIMAVLRFKRRVHLHAPITTSSTSTSNIPSSIWHAIHAENQSFWRKQ YVAEAACTTFTYDLCHKYSSHMETLGLTSLAFQVAATYVFGTLWQCREVARLLAWRPL VLSMVGTNEASSLWWIYTLGTHPTLLVDVLVEHDQEPVRTFATDVTLRAMDMCADVAR CEPMMSKLLTEFDHILECEHSFVDLLLAFARKGPEASACLVHRHHLVAKLVGMMVTGK NAQPVPPTGDEFLVRPPSGLQHAFHTPPLPPKVLIGDEHLWQLLALVLQHVPRRPSVT SALPAASCVQYLPTTLDEPDNALSSHDWMCLLTHRASSYSAETAPWTTVVTTMCWESP QFSMAWLDYLLDAIEDEDHHLLKPYFRTLRVLLAVDDSIASDRMAAGMTRLIAIMASQ QKYFKATETTLDMLLRMAKRVPKVCCLLLCDLCLPFSHISE H257_10476 MDEFDREGPLFDGKVRVLVQQPERDERHTLLTVRMLNGQRTLNS NQKERVLRIEVTDDEELETFFLYVWSVSEEEFHDLKHQQRLLVDFPKFAANFMDLLTC CLAKPTLLHESSSSHRPEGQAPLSYLAVLNTHDVAGHSTFSIVETNAFKRLTHLSLQF TPGDDAEVKLYLAARLRQTTHEKRRLQDDLMSTTADLDATKASEAALQTQVDRAMQQH AEQLGSAKMAASEAMTAQKEAALQTLHDTERMYTTKLENLRLSSKEEIEQLQHKLAET ESALQLLQKTKYQHETNIATLERHVAALEDTNRLNSVELQSLKSQNKALDQDVFTKEK LLTQNDLRIAALQQQVADKEDVIAKSTELLQASTNHKLEIEETLKMYKANNSTMQQKL ELSISEINKIITIL H257_10477 MVSSTGAAAAAPGPAPHGYTRTLLWKNVRLKRKHPIKTLFEVVL PIALLALMGYLKSQMADTNRGTGWATWYGPSDPLYHGSIPNTKYVQTEATMTGLLLEL GSNRIGYGRDPIVYTTCRNALLAGYVSTNRTSPYAWPPRCQSLGLPKKIAIVPDNTFT RQYFAEAVGQWYPRVELTSNIAVPSFADSVVFFPNEQALEDSITGGRYGVTFDSPRLA AAIVFTAMPSTLGTPGNIEYSLRFNTTTGSFGYNVVPRTSGDVVDLLQRGLDPDAYRA YAREGFYTLQTLVTRFATCVPDWKDGKTTGTCTMPNAVAAATPQVDAMLLQQVFNDTR LAYTFSAASSGKTYYSPRTFTSNISNPFGCSPQATGGGLVFPFPVVGFTVSPFFETVD FIFGIVFVLSYIQCLSAILVALISEKETKTRELLKILGVPDVAIVGSWYITYGVMLFV ASLVQAGVASAVLFNHSSVVLLFLFFWLFSCSLLAYAYMVSAIFSKAKVGAYLGVIGF LLMYVVSTAFTNESTAASKVLASLLSPVALVFGVNNLAASETNGVGITFDNVNESIKS YKFSTALVLLLVDSVVYTVLGLYLERVVPKDYGVTEACHPRVTTTELKGGLSC H257_10478 MVGHPQYEKWFKANLRCSQATFGRLVEWLRHELPERYRRLSYHS FKKKVAVVLYFLGSDGGYRETAAAFGMSKSWCINVVSVLVGVLSSSAENVDQSTKKLR GTVMNEKSLNQTTTVVTACFVLHNMFLYFNDGLFAIPNRRRDGNDQVQPLDQSESETN PFLRKTALTKRTAIARILY H257_10479 MDEDAKKVDAGNADYDDSEVRQDKPAKRAKKQVPRGMLWCDRSV ATLFSLRYNSPLASRFDSKNNSGKRVAYVMLAVELSIEMQREFVAKQVQDKFAKMKTE WSVSKTSLPSPTGNLSKPQLPMHYDIMLEYWGTKAGFKRESLMSTDDCIEDDKVVKQK KNNVEKKPKDSAASLEAGFNSIKERLMFLGSSLSDVQAQAAPGATLDDVFTAIKAQSD TMTQHLAHLAAQKKD H257_10480 MAEITQNSIRKAKETVREYAWRINDAAQDLELRHSQAAQIFIDG CKDPGLASCIRGSETRPGTIQECLDYLRFRDMDLDMRLNDANGHDVPRSTSSATRVNR TNSTDATSKSTEEAMAALRWLTSLRVVRREISRWCRISAWIPTRRRHSRAVWYVGVVN EVVMGARRTPGDRRCYNCNEPGHLRVECPKLRSGPSIVVPPNKTSVTEPTNTPAPANR QQRGENYGQRVATSIRNLGVESVGRQVTRVNPVNRSDVEESVSAGRAISSSRDEARLT HEQLVLSGRSEGSTRMSDPVGDVRSVELPVPGEGSESSLDEANMDCDEDSPLEEAEYE EVIRVGSMDMPSHEVDTEDDVAGSPVEEGYSHDGSMRTLGRVGYALEVEVSFPTEVIR GEPAVEQAPLESHDQYKKSVVDSVASSEARAVRVDLPVQGAASTAGGYTDLPHSTVVE SQVTGPEKLTLPSVNDPSVRWVFTPHQIEAIVRCDFPGIVQGLHSDMEDRMLPLTKAD VAD H257_10481 MNMESSFQQQAALVQRVYAIRLEAERERMENEARQEVLNSGLVE DTVRGRTTDLDGQLAVTRVAHKELEALVLERSGMEKLRVERADLLDQTKALGDEISRI RSSEEVAVSAALSRMERQRQLDAAMVSALRAELGLSRARAEDDKALEQSRYDSELVQT RAAFEAEKREMLLESERNDDKWRTEFVRFKNEVLDASLAIREQARLDGDELRASLAQK DRTITLLERADAEKQTAALEIEEERRLNAVVDARDLQIRILEGEAHESNVNLNMLTDM NGELQSDLLSRGELRSERNVLEGTAAELVSAQVALAQERVRLDEAKRSFAAKVADERA LFDEERRSRNDRDFCQNGWNCFACRQQPRTNPTSSLPWGMIRRIPFNNLTTCMTRRYK YGEPRLVVLRFLLRSWALTSRSTGLRSLVCTPRKVQ H257_10482 MEAAKPNGYTRTLLWKNVRLKRKHPIKTLFEVVLPIALLALLGY LKSQITDTTRGTGWATWFGPSDPLYRGSSPNTNYVQTEATMTGLLLDLGSNKNGYGGD PAVSTTCRNASLAGHISTNPTSPYAWPPRCQSLGLPRKIAIVPDNTFTRQYFAEAVGQ WYPRVELTSNIAVPSFADSVVFFPNEQALEDSITDGRYGVTFDSPPLAAAIVFTTMPS TLGTPGNIEYSLRFNTTTGSFGYNVVPRTSGDVVDLLQRGLDPDAHRAYAREGFYTLQ TLVTRFATCVPDWKDGKTTGTCTMPNAVAAATPQVDAMLLQQVFNDTRLASTFSTSTT YYSSYTFTTNISKSAYEPLIKPLRLLPQATGGGLVFPFPVMGFTVSPFFEAVDYIFGI VFVLSYIQCLSAILVALISEKETKTRELLKILGVPDVAIVGSWYITYGVMLFVASLVQ AGVASAVLFNHSSVVLLFLFFWLFSCSLLAYAYMVSAIFSKAKVGAYLGVIGFLLMYV VSTAFTNESTAASKVLASLLSPVALVFGVNNLAASETNGVGITFDNVNESIKSYKFST ALVLLLVDSVVYTVLGLYLERVVPKDYGVTEAWYFPVSPSYWRRSSKQPSSRHDDRVE GGAVVLNVDVNSSAIEAVGMELKQQEVSGDALQIRNLRKVFPGPDGGKVAVKGLNLTM YKNQITCLLGHNGAGKTTLISMLTGMISISGGDATVNGLSLTDDMAVIRRSMGVCPQH DVLYAELTVEEHLVLYSKIKGFSGQAMLDQVDASIAEVGLTEKRHARSSDLSGGMKRK LSLAIALLGDSQIVFLDEPTSGMDPYSRRSSWEIIMNNRQNRIVVLTTHFMDEADILG DRIAIMAEGDLRCCGSSLFLKNRYGAGYNFSLVKTDTCDTNVLLAFVNNHVHGRANVL SNVGTEIAFQLPLDCAPLFGGMFADLDHHMTQLGVVSYGISVTTMEEVFIKVAELGDE NQQHTLVHKTPKDLNTNSNISGNKLPAIPPSALAMFGMQFVALPLDRGHRAAQVDLCG H257_10483 MLRLPAPVGAAVVVGMGIAWYAAYTLPVQFSCVFAASAFACIEF TWYANTTELENGDLRFTPFQPTCRAGHTTWAQFWANVVYTPVLLYAYRAVVPSAVLRV LLFPCNIWLLEILEGYTLMLLFGRNIAWTYTTSDAYCHGNIRLGFWKLWLVLGLVLEC GGYRALDALGQVCASTLPLEGVVLGFGVATVMCK H257_10484 MQVEVVLLEKARAAADNGDWERAEKLAEESVHRICDRLHLGKWS DVDIEWRQRFACACELLATSYLHKPVVDDLSRSHHLAAAIEILDTGLLMAGPYGRQLH AIMATVVAEMNTASSLVADNSPPIKKQKTEIGVPSSPIPPHLTPDNPLCTPLARVDAP SMNAFLTSYMHSNEPVIITGAMDQWPALGKTHGGQRQWANLNYLRQVAGRRSVPIEIG SSYLEDNWSQTLMTLDAFIDQHILKPVTSTPPPTTTSSSSSSSNDAAAPPTGYLAQHA LFEQIPQLRSDIVIPDYCTLSVRDVCDSNDDYDEDVQDVVINAWFGPPNTISPLHFDP AQNLLCQVVGSKYVRLYAAALSDLLYPVPGLLSNTSQVQVEAPDQNVKFPKFGDAPYW EGVLGPGEMLYIPPKCWHYIRSLAVSFSVSLWWDN H257_10485 MGTNAVSTGVLIPLQKQNQLRRRHILQDMTLFNMLTHKAPQALN GRSRLRRKRALGFPSTQHDNHIVQTPRGGEPIRRGLFAEQQVNYFKAHTNVVGGKCRQ VLRQASCQTPHNR H257_10487 MRAVGLHAHLPIDDPASLVDLVVPVPTIAGHDLLVHVHAVSVNP VDYKIRSPSGQAPLTAPKILGYDAAGVVVAVGSDASLFKVGDEVFYAGTWTRNGTNAE YHAVDERIVGRKPASLSFADAAALPLTSITAWEALFHRLGVPTSSAGAATTSTKKNAS VLILNGAGGDNLPFQKLFAKSVTFVWELMFTRAVFNTEDIIEQHRLLNQVSALVDAKR LRTTVGKSLGTINAVNLKLAHVALEAGTVVGKLVLSGF H257_10488 MSTATKPKASSIPTVLPSDAAELVPSHENLAERGAILNQLQAGI RTKLTKYLGGEIRRYHVDLMGVYWSQPVVIEASIARYRKYMKLVQVTPSKYREVPPIA YIALVIQAHQTDHNAYFKYWRKATNDSLYSDYSF H257_10489 MRAVGFHDHLPIDDPDSLLDLVVPVPAIAGHDLLVQVHAVSVNP VDCTIRFPNGHSPLSTCNILGYDAAGVVVAVGPDASVFKVGDEVFYAGTWTRNGTNAE YHAVDERIVGRKPASLSFADAAALPLTSITAWEALFHRLGVPTSSAGAATTSTKKNAS VLILNGAGGVGSIAIQLAKQLTDLTVIATASRPESEAWVKDLGADHVVNHRGDIVSQL SALGFPQVDYILVFTDLAPHFDTIVEVIKPQGKICAILPPHENLPFQKLFAKSVTFVW ELMFTRAIFTTDDIIEQHHLLNQVSALVDAKRLRTTVGKTFGMINAANLKLAHAALEA GNAVGKVVLSGF H257_10490 MAQDQTQRKVPHWSPKVLDYDVAGVVFAVEPDAPSSKLYHAVDE RIVGRKPASLSFADAAALPLTSITAWEALFHRLGVPTSSAGAATTSTKKNASVLILNG AGGVGSIAIQLAKQLTDLTVIATASRPESEAWVKDLGADHVVNHRGDIVSQLSALGFP QVDYILVFTDLPPHFDTIIEVIKPQGKICAIASFQDNLPFQKLFAKSVTFVWELMFTR AVFNTEDIIEQHRLLNQVSALVDAKRLRTTVGKTFGTINAANLKLAHAALEARTVVGK VVLSGF H257_10491 MTARRRSGGSVDPYLDLVRQLDKAKVSKQKQAEAMHDHRTIHTL GEDMSWLKKRKHKSYMRVKHKATHLKHLRHWFDSYDQDKSGSISVSELAWPMLVLGYA NTLDEVHKLLHEVDLNGDGTLSFDEFVDLLCQSDAKNVVHPLEKLVQMAQHGDLGDAA LSLQTLIPSYQRKLMLESLMAYGDTTHSPRTRRELEVREANEAMLRRADIALHALDTS STITKPARLPPMTVTTRLKKVPPPPSTRILQAGATLRRKLAATEGPTSLFYESIEKAS ELPPEYHRRND H257_10492 MGNSASVHDRCRTGDVAGLKELLHASVETADVEKVDEYGRTALL VAAGCDPVVKAPSPTPQQQQQLDGISERSESEAFPSIGSDTDPATGHSLSIAAQKKQV VVDMINLLVEKQANLDHRDEKGWTALHYACQAQNDAAVECLLKHGAVPSRDSLGLLPQ DLLLHSGYPDSIKVAEDTAGILHRVTGPSEYKLKLLSLRSSGIAEIRLGGHIEKGSIV TVDLDVPANHSPKDYIQLLIYNESGDTCVELGPVQGVPSGATGKVSFKCDYGSVLPCI YRFVYVKCDINTISRVVVASGCTASVQASIGEVFQYELYLYDRVVEVESVSEYEFFDQ PTIALKRIGIVQGTPDDIDWVDILPENHIVAVNDVVIAGMSFENSIRQLQVNNGHKCT KLLMQNSVALGDFIHEKILGLNVIGKYASLSPVDVPVAPASAPPSPRQSEDAASVSHD ALPLPDNTFHPTATGSTSSEDFHDALSPSSVQPPASPLRGVSATTELLPTTKQLVGEM FEGLAVKP H257_10492 MGNSASVHDRCRTGDVAGLKELLHASVETADVEKVDEYGRTALL VAAGCDPVVKAPSPTPQQQQQLDGISERSESEAFPSIGSDTDPATGHSLSIAAQKKQV VVDMINLLVEKQANLDHRDEKGWTALHYACQAQNDAAVECLLKHGAVPSRDSLGLLPQ DLLLHSGYPDSIKVAEDTAGILHRVTGPSEYKLKLLSLRSSGIAEIRLGGHIEKGSIV TVDLDVPANHSPKDYIQLLIYNESGDTCVELGPVQGVPSGATGKVSFKCDYGSVLPCI YRFVYVKCDINTISRVVVASGCTASVQASIGEVFQYELYLYDRVVEVESVSEYEFFDQ PTIALKRIGIGTAFMSMYWFLFPYTYDWNVL H257_10493 MGKQPSPSAEQQLVVPQPKRYRGGKVMRDHATALERVHASRSSW RPIEPPMHDELPLESCFDGLMLGSDMSMVHEASSWVDEGRPLSSASERSTTDFMDDDD DDPLSEDEELTQLCSST H257_10494 MELPRSLLPILVAHVPPVKEHNASRRVRRVMFLWSFLQKEAKVL GLRGYCMARWRRETTMDGQVCMMRQEDGVAGQGRKRRVVLCAMQQRRADPRRQHTPSR FDLQ H257_10495 MSILQRAAEYCATPAFERAFDDFAAEHAASFGDAAESKSDDVEH KHEYKELHAEYLALFEGRIQGFLDKEDVSSKDFYAACEQAIESSSPSAETYKWFVDRL VASMDYKLFYGLMLNEARAQLRRRK H257_10496 MSKRRRLRRGTAADSNDDDVDLTEDEPEPSIHATECTICCEKCV TSGEHRLASLACGHLFGQSCIERWVKQSKTCPVCSQAVKRNDVRVLFTDSVSVVDNSR QEEFNQKYLAEKAARSAIEMEVAKLKLQHAALQLEYDKHKNRVMELLEENSMLKSMAL PPPPPSSSQSAARPATWAYAKFASIVCSDSRVCAISLRGDLLGVGTKLGPDSHGLLQV SLLDIQHRASIPLHRLAIRDVAVSADSKYVATTAMDGKLHITSTMAQRSILHFDMPGR HGWSCCWDASNAHQIWVGHQNGTLSRFDMRKPGAEVADSLTHHFKQPVHAVRSLVLEP MHTPVVVAATFSGVSIWAAAESAQSLVHHMHMDIKHCCAMANNPQTPSQLVVSTRTTT TTTATSTSSSYSTTTTSPAQHFVVSLRHNPDDGLVETEADGVLQGHVTPSAITRAAIW SSGGGDVVVGSGDVGAHELKLWDVATSSVVRHIDAPQPIVDVQHGWAGPTMGVLATLA NDELVLYRTTQA H257_10497 MPDVYAWGSGSSGQLGTRDELDYAVPVKVDLEAELVTVTTGGGH SAGWTASGQLYTWGDDSHGQLGRVSSSLSSPLRVQHVEGLPPIQVASCGWWHTVAISQ CADDSTSSTTSTQVFAWGHGHPCNHTTGIAFKPNKKVPLMAAFPSSVRITSVSCGWKH SLLATRDGRVFAWGKGRSGELAMGPHVTDVAVPTVVPSLTGQPIRRVCCGWQHSVFLT DLGHVWTSGSNKHGQLGVANSLRGFEPCRALIDDVIDDVAVGWHHVVCIGQSGVVYSW GKGDLGQLGSGVFESTSTPQPIPVGDRTSPIVQVASGSEHSLFGSTFAYFWKYVVYMC DVVTAAGDVYSCGWGEHGNLGHDDTANVASPTKVEYFYARRVRIERCVAAGAVSIAIT H H257_10497 MPDVYAWGSGSSGQLGTRDELDYAVPVKVDLEAELVTVTTGGGH SAGWTASGQLYTWGDDSHGQLGRVSSSLSSPLRVQHVEGLPPIQVASCGWWHTVAISQ CADDSTSSTTSTQVFAWGHGHPCNHTTGIAFKPNKKVPLMAAFPSSVRITSVSCGWKH SLLATRDGRVFAWGKGRSGELAMGPHVTDVAVPTVVPSLTGQPIRRVCCGWQHSVFLT DLGHVWTSGSNKHGQLGVANSLRGFEPCRALIDDVIDDVAVGWHHVVCIGQSGVVYSW GKGDLGQLGSGVFESTSTPQPIPVGDRTSPIVQVASGSEHSLFVTAAGDVYSCGWGEH GNLGHDDTANVASPTKVEYFYARRVRIERCVAAGAVSIAITH H257_10497 MPDVYAWGSGSSGQLGTRDELDYAVPVKVDLEAELVTVTTGGGH SAGWTASGQLYTWGDDSHGQLGRVSSSLSSPLRVQHVEGLPPIQVASCGWWHTVAISQ CADDSTSSTTSTQVFAWGHGHPCNHTTGIAFKPNKKVPLMAAFPSSVRITSVSCGWKH SLLATRDGRVFAWGKGRSGELAMGPHVTDVAVPTVVPSLTGQPIRRVCCGWQHSVFLT DLGHVWTSGSNKHGQLGVANSLRGFEPCRALIDDVIDDVAVGWHHVVCIGQSGVVYSW GKGDLGQLGT H257_10498 MAVVLYVVGLALAALAVRIYFLGSKKALINWIANSSIFYYMYKR QLAAHHASPDFNVTSFETTILDGAATVVTIPFLQDNFAYILFDHATGECAAVDVADPQ VVLNVWRALVAHRSPPSHPLTLKYILTTHKHFDHAGGNRKLKAALTSATIVGGVLDSV QGSTKQTWHGDKLKVGSLTVETLAVPCHTMGHVAYYVSATNHTGHGCVFTGDTLFVAG TGRFFEGNGDQMHRNLSQVLGALPPTTRVFCGHEYTLQNLSFALFVEPDNVAVQTKIA WAVARRAKGLPTVPSTLADELATNPFMRINESMVAKHAPRGSRPADVMTHLRQMKDDN VHHVDAAHFRSMHCA H257_10499 MRGVAAVNAIAGVRRRRQILVLGNGAAGAEKQALALASKLQLFL PSHENVSVAMCRVPYATRLHASLPPIAHVFLARCSLDPWIGYASFIPTSPPDVVIGCG RSTVALCAGFKQAFPSVFNIQIQHPRVNLRYFDAVVAPFHDFPSTMSQHGRPPPSNVH LTPGTVCDISRASLASARQAWLDDPSSWAHLPSPKIAVLVGGSCRGYSFTLDRAAALV AHLSRHAATATFLITFSRRTPPPVMRFLQRAFALFPSVYIWDNQSPNPFMGFLAHAAA IVATPDSISMVTEAIASGMPTFVCDSHLTTGKFERFHRQVSPWVADVASLDVSSSSSL QKDPRPTSQTDPSCPLVPSPEQLAMDHGVVDAVGGAVAAFWDQHPQDTKDMHSQ H257_10500 MSNINIAGTTPVDDPEYRYKMPRLVAKIEGRGNGIKTVVVNAVE IASALHRSPGEVTKFFGTELGAQSKFDDATERAVVNGAFEASQMQAQLSKFIELFVLC PNCRLPETKYKYKGKESIFHRCLACGAVEPVDMNHKLTTYLLKEHTAAKRAKKSDGTD KKEKKLKKKDAEGDDEPKKEKKEKKEKKEKSGEKKEKKTKKKVESDDDDEGSTKAGDD SEAEVEWHTDLSAEAVAARAKEAEALEAAAHSAMVAPPTSSASEDVVASFDNLDIDDE AAIEAATAAVAAFLQSTHSPAEIYDEVVRQQTNGALPANDRLSIFFGAAFTSQVLSSN QVAKYRPVLEKLVDNERSQHQVIALTEHFCAVKFPALLTSFPVVLKLLYDEDLVTEEI ILAWTDDDYRKLHAHFQVTPTQAAALKKSLEPFVYWLQNAEEESDDE H257_10501 MTTAAATAASFAGQVTPFLTSGHQLYVALCRDTMRRLHTQSPVT PAQIQLLEQHGNRADSWDTVYTSGSLATLIRVRNCTFRGRVHLGSFTKDVMVDNVPFP SGCYNTTIVDSFVLDDALVQDTFLLHRTYVSHGAVVVGCGTITCSGTDVTNGNGTVLK VGVEIGGREIAMFADMPFHLAAVVGETRGNVSELKAYEDLVRTYTKKVQCDGFNVIAH QAKLLRCPKIRDVFVGDAAVLEDSVVSNSTILSSPAEVSSILGFSQVHSSILQWNAHV HSGSSVERSFLCDCSRVERHGVVMDSLLGPNTAIAEGECTSTFLGPFVGFHHQAMIVA AFWPRGRGNVGYGANVGSNHTLKAPDQELWPGEGVFFGLSVSIKYPSNFTNAAYSVIA TGVSTLPQKLDMPFALINTPGHNIPDLSPAINEIYPGWVLSHSIFTVLRNQDKFDKRN KSKRTNVDSPIFRSDIIMMMQVACQRLVDAEKKPVNLRHGKQIIYTDKQVPGLGKNYM TETSRVVAIEAYSFYTRVFALEGYWHQLQTGAISIETPLNHLAIVDGLDESAAATWAH QRAILVEHGVVQGGDLLRELVAAYKSIAKNAQDGKSRDDKRGQHIIPDYTLVHKKASE ERIVIDAHRRAMDLERAVANYLPRL H257_10501 MLQHHHRRQLCPGRRVGARHVPSSPYTSRVLSVSLPTLVILVGT YVSHGAVVVGCGTITCSGTDVTNGNGTVLKVGVEIGGREIAMFADMPFHLAAVVGETR GNVSELKAYEDLVRTYTKKVQCDGFNVIAHQAKLLRCPKIRDVFVGDAAVLEDSVVSN STILSSPAEVSSILGFSQVHSSILQWNAHVHSGSSVERSFLCDCSRVERHGVVMDSLL GPNTAIAEGECTSTFLGPFVGFHHQAMIVAAFWPRGRGNVGYGANVGSNHTLKAPDQE LWPGEGVFFGLSVSIKYPSNFTNAAYSVIATGVSTLPQKLDMPFALINTPGHNIPDLS PAINEIYPGWVLSHSIFTVLRNQDKFDKRNKSKRTNVDSPIFRSDIIMMMQVACQRLV DAEKKPVNLRHGKQIIYTDKQVPGLGKNYMTETSRVVAIEAYSFYTRVFALEGYWHQL QTGAISIETPLNHLAIVDGLDESAAATWAHQRAILVEHGVVQGGDLLRELVAAYKSIA KNAQDGKSRDDKRGQHIIPDYTLVHKKASEERIVIDAHRRAMDLERAVANYLPRL H257_10501 MTTAAATAASFAGQVTPFLTSGHQLYVALCRDTMRRLHTQSPVT PAQIQLLEQHGNRADSWDTVYTSGSLATLIRVRNCTFRGRVHLGSFTKDVMVDNVPFP SGCYNTTIVDSFVLDDALVQDTFLLHRTYVSHGAVVVGCGTITCSGTDVTNGNGTVLK VGVEIGGREIAMFADMPFHLAAVVGETRGNVSELKAYEDLVRTYTKKVQCDGFNVIAH QAKLLRCPKIRDVFVGDAAVLEDSVVSNSTILSSPAEVSSILGFSQVHSSILQWNAHV HSGSSVERSFLCDCSRVERHGVVMDSLLGPNTAIAEGECTSTFLGPFVGFHHQAMIVA AFWPRGRGNVGYGANVGSNHTLKAPDQELWPGEGVFFGLSVSIKYPSNFTNAAYSVIA TGVSTLPQKLDMPFALINTPGHNIPDLSPAINEIYPGWVLSHSIFTVLRNQDKFDKRN KSKRTNVDSPIFRSDIIMMMQVACQRLVDAEKKPVNLRHGKQIIYTDKQVPIY H257_10502 MVVPPPPPPFQQGGSHVIPPPPGGHFPPPPLPASSASVAPPPPP PLTKIPIEHVPQEEQDVVPPLSSYDNDAHTYFLEIEERSKRWAKRQTKRYGDKRKFGF VESTKDDMPAEHVRKIVRDHGDMSSKKFRHDKRVYLGALKYVPHAVYKLLENMPMPWE QVREVKVLYHITGAITFVNDIPWVVEPVYIAQWGTMWIMMRREKRDRRHFKRMRFPPF DDEEPPLDYGDNILDVEPSEAINMELDEDDDAPVIEWLYDAKPLLGTKFINGPSYRKW KLPVPVMSNLHRLAGQLLSDLIDPNYQYLFDKESFFTAKALNVAIPGGPKFEPLYRDL DQDDEDWNEFNDINKIIIRHQIRTEYRVAFPYLYNSRPRSVHIQPYHVPALCYVKAED PDLPAFYFDPIVNPISQFRVAAASRATLTEQDDEEEEAFQMPMGFAPILTDLPLYTDH TASGIALYWAPRPFNLRMGKTRRAVDVPLVNKWFQEHCPPNQPVKVRVSYQKLLKCWV LNHLHSRPPKALNKKYLFKSLKSTKFFQSTELDWVEAGLQVCRQGYNMLNLLIHRKNL NYLHLDYNFNLKPIKTLTTKERKKSRFGNAFHLTREILRLTKLLVDAHVQYRLGNVDA FQLADGLQYIFAHVGQLTGMYRYKYRLMRQVRMCKDLKHLIYYRFNTGPVGKGPGCGF WAPAWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGIAKTVTKQRVESHFDLELRA AVMHDILDMMPEGVKANKSRTILQHLSEAWRCWKANIPWKVPGLPSPIENMILRYVKS KADWWTNVAHYNRERIKRGATVDKTVCKKNLGRLTRLWLKAEQERQHNYLKDGPYVSA EEAVAVYTTTVHWLESRKFAPIPFPPLSYKHDTKLLILALERLKENYSANNRLNQSQR EELGLIEQAYDNPHEALSRIKRHLLTQRAFKEMSIEFMDLYSHLIPVYEIEPLEKITD AYLDQYLWYEADKRHLFPSWIKPSDAEPPPLLVYKWCQGINNLHNVWACDAGECVVML ETKLEKVAEKMDLTLLNRLLRLVLDHNMADYMTAKNNIVLAYKDMMHTNSYGLIRGLQ FGSFIYQYYGLVLDLLLLGLTRASEIAGPPHFPNEYLTFKDTETETHHPIRMYTRYID RLYVVYKFDAADSRELIQRYLTEHPDPNNENIVGYNNKKCWPRDARMRLMKHDVNLGR ATFWDMQNRLPRSITTLDWDHSFVSVYSKDNPNLLFNMCGFEVRVLPRVRALEDEFAH KDGVWNLQNDLTKERTAQAYLRVDEDAIKTFENRVRSILMSSGSTTFTKVANKWNAAL IGLMTYYRESVVQTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVVFYTPKELGGL GMLSMGHVLIPQSDMRYTKQTEGGITHFRSGMSHEEDQLIPNLFRYLQPWESEFIDSQ RVWAEYALKRQEASVQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTD FKKYQVLRQNPFWWTHTRHDGKLWNLNNYRTDMIQALGGVEGILEHTLFKGTYFPTWE GLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDL TGIFMHGKIPTLKISLIQIFRAHLWQKIHESLVMDLCQVFDQELDALSIENVQKETIH PRKSYKMNSSCADILLFASYKWQMGRPSLLHDIKDSVADGGATSTKYWIDVQLRWGDF DSHDIERYARAKFLDYTTDNMTIYPSPTGVLLAIDLAYNLYSGYGNWFTGCKPLMQQA MAKIMKANPAMYVLRERIRKGLQLYSSEPTEPYLSSQNYGELFSNQIIWFVDDTNVYR VTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKIIHTSVWAGQKRLGQLAKWKTAEEV AALIRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKVEKFG DLILRAIEPQMVLFNIYDDWLSTITSYTAFSRLILILRALHVSQDRTKLLLRPDATTI TQDHHIWPSLSDEAWLQLEVSLKDLILNDYGKKNNVNVASLTQSEIRDIILGMEISAP SLQRQQMAEIESQAREQSQLTAVTTKTVNVHGDEMVITTTSQYEQHSFASKTDWRVRA ISATNLHLRTNHIYVNSDDIKETGLTYVLPKNVLNKFITISDLRTQIAGLLYGVSPPD NPHVKEIRCIVLPPQLGTHQNVTLPTTAPSHEYLDTMECLGWIHTQPNETPVLPPQDV TLHSKLLAENASWDGEKTIAITCSFTPGSCSLTAYKLTPAGYDWGKTNRDTGPSPSGY APTHFEKVQMLLSDRFLGYFMVPEEEGWNYNFMGVKHTTTMKYDVKVGTPKEFYHEVH RKTHFFNFSAMDSVEEGQEETQRNLLA H257_10503 MQNSQNSQNSQDVHTPTHHYNTRSSPQPTRAGVYTLRADLFVKE SVYARDNSGKRLHPQVFEGAQWSNIRASIFDFCSSHMSPKATHVNDPRTWSVSDSPPT LDDFESYISIKLARYHFKPTSNEQAHAYLASHVNSTFTILVFKWGNQVNTAADLQELN EQCVAPPSRDRAGAAAETLHQETVALLKDKWATIYSAYEATWRMWAASILKKPFHQHA ISIDLPPPSNMLHLFSSVPNGPQRQMANLEQNATMSLDVVDSCVEELQAVLRYTEDAS ERVKRSIEFMNGKRRVIQGFMHQMQQAAQHAEVVAAIAAIPNAQDVDHSDT H257_10504 MTTTTFLSAYALGLAAIANYAQAHGRLIAPPHRGYIGKLAQFAG IVPPDYDDHSLNAGGIAATSGGKFGVCGDSYSGTRQHETGGTYGTFPTNGAKAIGACY APGSTVDLQVQLMANYKGYFEFGLCKLDTKYDKESNECFQTLAQPSGETKWQVPPGNE VFTIQSVLPAGVTCEGDAHCVLRWHYVEWNNTDVGIDGQEQYWNCADVYISNTCGTAP APCSVDPTYAPAPSPSKLTDASVPAPSSAAVCGTCTNCYYPGSNACFIGWSAAECAQN PAFNWCGANAVLPSTSTLTTSPSTKPVTQPPSYKPIPIHCPSAKPTTSSIAASMTTAA TPAPPLTYGPVPTTTTSTPTAAPIAAPTTAPKTSATTSASTTTTPANAVRDQLISQHN KIRAAHGIGPVTWDDALASIVQVWASECHGFVHGEPIGGGQNLAMHTPCGANTALACD KVLGASWVWYDKEETFWNYGKNKCNGPWVKCGHFSNMMSPEVKSIGCGWSLCDNGNYV WCNYNNPGMNPKVPPLRGITKPQLMASLTV H257_10505 MGQHQNPLVRKCRLAKNTSPPPSTMKWNRSGRNLRQPNIASMMC SPRDDSSCTTMNDSRVSSSADVGVHPTSASSVGCLRACLSCGRRWTLYVPCGVYGSDD APRPPSLGRGTVALT H257_10506 MGVQQRGGRRKDERCSRGGFGSGRDRRLQVPLDNVNVFKKPFAM TAHVQKRMVGTQRPWDGLAELLVIQVTPQGFVEEKRVSGGVGCQDSGQAIQGASSEEG KQLRPHILKAVNKRRRHENESTF H257_10507 MSAADPALPHRIPTKSSSRGSASSSSRRSGLFGRKEEDILTDDQ HLKTFDMSESDDAGNIQSLRSVLLDGFNVTFSWPGFWLWLFGTSVTIATFVTAYLVTD IEGHEINPGLVMGSAQTFFTSCIVIVTFHGVHAYQRHPNPLLYYRAIMDLLLSIRFLF DPLWIYWGIYQVDNVLSCRYLSGITEFLFLSADAWFFVLTLDLYLSLNNPFTSVKRNR TLYKRGVCLFALVLSVVTASKQGLFGFAEGKFCWTYAKRYAANRGDHGAADNGFFSFN VSTWVAFFSWMVLFYAFAFFVLGASFSRLRMGLSKTMETRKGMLRDGALSIISYTLYW TVAFSLYAASYNSDATPAALRTNPMFKLYCYVLAGRGIVTYFVWFVINAPQLVTTQWL HFAQDGRIDMNISAQLNASLQSDLLEYTVRGMLRAIHESDAVPRPRMSVESTESRSSL RLTVIDSIPPHKAHLDKSQNPLSPRAAHKPKHARRSVHFVNYRPSTFAQLRAHFDLKA DDFATSFEEATKPSISEGASGAFMFFSKDMRFIVKSMVEGEARFLAKIAPLYRDHMLA YPHTKLTRFFGCFKITLHGNKFYFVVMENLFANAPEIHHRYDIKGSWVNRSYDNPRDG AKVKCRYCSMQFVYHSNVAKQQTCPNLAGPHEPNVVLKDDDLHHRLRLGAEEGRALLE QLQHDSVFLRDQGIMDYSLLIGVVDVQYKVDKSDAGVQNPHLCDSISGPGLYYVGVID ILQTWNWRKRMERWAKVWLRRKDPRGLSAMEPYPYQVRFTAKLRDLIATDEYAAASSS ADTKHLQPLFAHNRSLEMVRSSSRPLPQIVKTRSTPHQHPRAAPPTDDPAVWL H257_10507 MSAADPALPHRIPTKSSSRGSASSSSRRSGLFGRKEEDILTDDQ HLKTFDMSESDDAGNIQSLRSVLLDGFNVTFSWPGFWLWLFGTSVTIATFVTAYLVTD IEGHEINPGLVMGSAQTFFTSCIVIVTFHGVHAYQRHPNPLLYYRAIMDLLLSIRFLF DPLWIYWGIYQVDNVLSCRYLSGITEFLFLSADAWFFVLTLDLYLSLNNPFTSVKRNR TLYKRGVCLFALVLSVVTASKQGLFGFAEGKFCWTYAKRYAANRGDHGAADNGFFSFN VSTWVAFFSWMVLFYAFAFFVLGASFSRLRMGLSKTMETRKGMLRDGALSIISYTLYW TVAFSLYAASYNSDATPAALRTNPMFKLYCYVLAGRGIVTYFVWFVINAPQLVTTQWL HFAQDGRIDMNISAQLNASLQSDLLEYTVRGMLRAIHESDAVPRPRMSVESTESRSSL RLTVIDSIPPHKAHLDKSQNPLSPRAAHKPKHARRSVHFVNYRPSTFAQLRAHFDLKA DDFATSFEEATKPSISEGASGAFMFFSKDMRFIVKSMVEGEARFLAKIAPLYRDHMLA YPHTKLTRFFGCFKITLHGNKFYFVVMENLFANAPEIHHRYDIKGSWVNRSYDNPRDG AKVKCRYCSMQFVYHSNVAKQQTCPNLAGPHEPNVVLKDDDLHHRLRLGAEEGRALLE QLQHDSVFLRDQGIMDYSLLIGVVDVQYKVDKSDAGTY H257_10509 MPLGKIWAEIGKSSKVDKAQMNSDLLTAVLSCHADGIMGPFKKI MRKLWLDELPVCSAADKRMAMVKRAIKAITSDAVKGSFVKAIPRPQIVLV H257_10510 MGCLCCQARRGHQDHSDQGCECPLSVDGRPGTSLAKHGAGTLAF HDTMPIQKHDKREVVGWLHMDTGNHTKAINEDAAMASLLHDNQSLVKGDTLVDVIKCE KDTQNRMLRWGIASDTALRQLQGTTLKLRVTTTSGKIKTTTMMPFQMSLPHVLDGFYM DIPAGPQGLFEERLMFETLQRLEPRFLWGLYTSVSATTGLAGSRYRIHFLGSEIPSTM LLDGRMVEEFVFRGRCLRVYGRGWFFRDKRLARLDLDSIAAGTTHVTPTTSPTSTTTV TRATPAKRQKTTTKDPNAAPAPYRVHTPGRSWTSPNAFAALHERWNVGHAVHRANHDG VSFESIIPELHQPDNDLAHPTADEYVTCPKPTKGTVSHVEVPLDDLLAELQLLEAQSS AAIQHHESHVADAVRGSEFDLATMVNSGRVDSICTMMARHPVDFGVQLHRLFTADRPT FELLIRQRLLHRWLRATWGGSASFDKLYTKSFGHKMTRESVVELFRALQHSDTLAPIV SETEAGDELTLSRLDLELVLALAEVLAAAHSPLYFASDAAVMVSTGCTIEIIPAHRGL RSLSAPTMLAVLMSTHLGEELWRIMETMFDGDDDMNRVMAHLYDIHESGFVSLPHIGI TRWDQELGRFVVPTDEVDDTATPVDDSTMTQVVNRQY H257_10511 MTSTTFLSAYAVGLAAIANYAQAHGRLIAPPHRGYIGKLAQFAG IVPPDYDDHSLNAGGIAATSGGKFGVCGDSYSGTRQHETGGTYGTFPTNGAKAIGACY APGSTVDLQVQLMANYKGYFEFGLCKLDTKYDKESNECFQTLAQPSGETKWQVPPGNE VFTIQSVLPAGVTCEGDAHCVLRWHYVEWNNTDVGIDGQEQYWNCADVYISNTCGTAP APCSVDPTYAPAPSPSKRTGAPVPISSKPSSTSIPTISTRPQPTSQGSCGTCNNCYYP GTNACFIGWSAAQCAQVAEYKWCGPAPSSAAVCGTCTNCYYPGSNACFIGWSAAQCAQ NPAFNWCGANAVLPSTSTPTTSPSTKPVTQTPSYKPIPIHCPSAKPTTSPIAASTTTA ATPAPPLTYGPVPTTTTSTPTAAPIAAPTTAPKTSAATSASTTTTPANPVRDQLISQH NKIRAAHGIGPVTWDDALASIVQVWASECHGFVHGEPTGGGQNLAMHTPCGANTTLAC DKVLGASWVWYDKEETFWNYGKNKCNGAWAKCGHFSNMMSPEVKSIGCGWSLCDNGNY VWCNYNNPGMNPKVPPLRGITKPQLMASLTV H257_10513 MVKPTFIAAFAALATAKIAPSVHRHLESNHDVDVVIEFRGGNQR ALEVARLERASFNDRGSSIAHVRSLLESNMETSQRSAVELLSSQPEARVESFYINGNM HVYGANRVVLDELAKLDNVAHIRQPVTAQLSPVTFDDDDVSAVGISEGWVDNNTTSRR AANEWGVDRIVPLAIDLRLVRSHPTPVDKNGHGTHVTGSAVGQNGIGVAPGATWIACR GCTTADCPEAALIGCAQWMLCSTDMTDQNPKCELAPDVINNSWENVVNSNTYQAVVDA WRAADITPVFANGNGGSNCGTVYSPGDFKNVIGVGNLDMNDKVATLSSRGPTKDGRVK PDVSAHGTRIRSAWHTGNSAYNTISGTSMASPHVAGAIALYLNANKGAKYDDMYKAFT TTVDTATLTRNNQNCGGVSDSKYPNNNYGFRRINVASAIGGGVTPPSSTTSAPSPSKP STAASSTSAPATPRAFPTSPVTPSTCNGCTGCYSPLIKFFFNVPRSRCSKEPGEAKSK LIRM H257_10514 MTTTRASSIAAAASASPTLATTPTTHDVNDDMEEGAPTPIADDV PTHDANDTLTPGTAPTTTLGDDDVTGAAAHLDAIARYLEQRPVGFGDQLARLAHDDPS TFNSFCQQRTVQRWLRATFGDTHPFEVIYKNVFGKVFTNAHLSDFMSKAKQAAALPPL PITTDNPNPTTPLERTAAEELLSLAEVLLAVHAPLIYGSDAAITCLAEAPVTSIAMHQ GTRALSSATLGALLFTENIDATELYEHIWFEMERLLEAAGNYTRVDEMGAFVTAMADD TYPLSLHRQVLIAEGHDMPTEGDLDDLWVEAPSC H257_10516 MSEIDEIVLVPEAQRHPRAGEPECVICGRYGEYICDKTDEDVCS MECRDRALEREASRVLQRQFGISVKGAGVEELLATEFHLLKLHDDLYDNMQREGYHHP TPVQMQVLPYMLQHRHLTVCSPTGTGKTLAYLIPLVAHAMEHRLDVEEHRILGLVVAP VRELCMQLETQAKTLMQGISKMKTALLIGGIPVPTQLHRLKKGVQVVVATPGRLYELV TEFTLDLSHVITCVLDEADLLVDQSSGFEAKVLAILAALPPTTQLVLVSATVTQAVLS FAHAHLHEAIRITIASAATSSFPDSTTRQVVHYVHTPDAKKKHLFEWLQQRQCMLGDT DHSILVFVASKAGADMLAKSIAKTCHVPALAIHGDKSQAQRLSILQSFVDGVAPVLVS TGLLGRGMNLLSVDDVVVFDMPSTIEEYVHLVGRAGRRRPGSDADTAGTATIYVGADS AALFPDLLALLRATDAVIPDEIKHESIRERTRAMHKRQHQALDASKRAFHATRQMSSA QHQARWQQWAIDHPKRKTIVVDASAQHKKFKFIAMS H257_10517 MLHELFAFLPVVDQHVHNVIENPGQIPLHHILAETSDPTVLADH VPHTLCYLRTLHDLASLFTCGADEVETVRQSIPVEQLAMLSYVNVHALLIDDGYQPRG LVNYPLEWHTQYVPVVKRIYRIEVEVSKFIDDVSNPAYDTIDGVRAAFEAAVRADHGS IVGLKSVACYRTGLSIQPTYDIAAVAAVYATIRADIKAQVDPPPFRLMQKTLIDYLII VALELALELDIPLQFHTGFGDTDMQLEWGNPTLLKPLLDMPQFQRAKIVLLHSSYPYS REAGYLASVYPHVYVDMGLAVPRLSLEGMKSTVGQLLELCPISKLLFSSDGTITPETT FIGVKWAKFVLAELLQECITANELTVDQALASAKKIFFENAVALYHLPVPVYSIIMPS DAPSVVGVPGGVKVVRLVWCGNDGVLRAKCVQAHRAFTRVQREGLALTSAVQGLMAYA DILVPNCGLTSSEDLWVMPDVSTIIQLPHHPKHAMTLVHLKDRDGGTSLCPRSTAHRQ VERLKELGLDVYCGFENEFNLYTAQNEPVDSTSYCQTLAINQSATFLDDLVEAIADIG APLWLVHPEACVGQFEVTLTKLLAMEAADMQLYLREIIKGVAFQNGYQACFLPKPFEM QAGNGAHLHLSLWRGHDNLTTDLPPLVKHFMAGILAHLDGILAVSCATVNSYARLAPG CWAGAYNCWGVDNKEAAIRVCTTHDGGYSNIELKTVDGTATPHLVLAVVLAAGLDGIH RELELAEPVHGDPHALSDLEKARCKVTRLPTTLGAALDAFEADQVLVDALGARQAQAY VAVKRAHFLQFQSTPLPEFVQTFRTKF H257_10517 MLHELFAFLPVVDQHVHNVIENPGQIPLHHILAETSDPTVLADH VPHTLCYLRTLHDLASLFTCGADEVETVRQSIPVEQLAMLSYVNVHALLIDDGYQPRG LVNYPLEWHTQYVPVVKRIYRIEVEVSKFIDDVSNPAYDTIDGVRAAFEAAVRADHGS IVGLKSVACYRTGLSIQPTYDIAAVAAVYATIRADIKAQVDPPPFRLMQKTLIDYLII VALELALELDIPLQFHTGFGDTDMQLEWGNPTLLKPLLDMPQFQRAKIVLLHSSYPYS REAGYLASVYPHVYVDMGLAVPRLSLEGMKSTVGQLLELCPISKLLFSSDGTITPETT FIGVKWAKFVLAELLQECITANELTVDQALASAKKIFFENAVALYHLPVPVYSIIMPS DAPSVVGVPGGVKVVRLVWCGNDGVLRAKCVQAHRAFTRVQREGLALTSAVQGLMAYA DILVPNCGLTSSEDLWVMPDVSTIIQLPHHPKHAMTLVHLKDRDGGTSLCPRSTAHRQ VERLKELGLDVYCGFENEFNLYTAQNEPVDSTSYCQTLAINQSATFLDDLVEAIADIG APLWLVHPEACVGQFEVTLTKLLAMEAADMQLYLREIIKGVAFQNGYQACFLPKPFEM QAGNGAHLHLSLWRGHDNLTTDLPPLVKHFMAGILAHLDGILAVSCATVNSYARLAPG CWAGAYNCWGVDNKEAAIRVCTTHDGGYSNIELKTVDGTATPHLVLAVVLAAGLDGIH RELELAEVALVYFFYFGKSKFTHIDRTVCSQFTEIPMR H257_10517 MLHELFAFLPVVDQHVHNVIENPGQIPLHHILAETSDPTVLADH VPHTLCYLRTLHDLASLFTCGADEVETVRQSIPVEQLAMLSYVNVHALLIDDGYQPRG LVNYPLEWHTQYVPVVKRIYRIEVEVSKFIDDVSNPAYDTIDGVRAAFEAAVRADHGS IVGLKSVACYRTGLSIQPTYDIAAVAAVYATIRADIKAQVDPPPFRLMQKTLIDYLII VALELALELDIPLQFHTGFGDTDMQLEWGNPTLLKPLLDMPQFQRAKIVLLHSSYPYS REAGYLASVYPHVYVDMGLAVPRLSLEGMKSTVGQLLELCPISKLLFSSDGTITPETT FIGVKWAKFVLAELLQECITANELTVDQALASAKKIFFENAVALYHLPVPVYSIIMPS DAPSVVGVPGGVKVVRLVWCGNDGVLRAKCVQAHRAFTRVQREGLALTSAVQGLMAYA DILVPNCGLTSSEDLWVMPDVSTIIQLPHHPKHAMTLVHLKDRDGGTSLCPRSTAHRQ VERLKELGLDVYCGFENEFNLYTAQNEPVDSTSYCQTLAINQSATFLDDLVEAIADIG APLWLVHPEACVGQFEVTLTKLLAMEAADMQLYLREIIKGVAFQNGYQACFLPKPFEM QAGNGAHLHLSLWRGHDNLTTDLPPLVKHFMAGILAHLDGILAVSCATVNSYARLAPG CWYKP H257_10517 MLHELFAFLPVVDQHVHNVIENPGQIPLHHILAETSDPTVLADH VPHTLCYLRTLHDLASLFTCGADEVETVRQSIPVEQLAMLSYVNVHALLIDDGYQPRG LVNYPLEWHTQYVPVVKRIYRIEVEVSKFIDDVSNPAYDTIDGVRAAFEAAVRADHGS IVGLKSVACYRTGLSIQPTYDIAAVAAVYATIRADIKAQVDPPPFRLMQKTLIDYLII VALELALELDIPLQFHTGFGDTDMQLEWGNPTLLKPLLDMPQFQRAKIVLLHSSYPYS REAGYLASVYPHVYVDMGLAVPRLSLEGMKSTVGQLLELCPISKLLFSSDGTITPETT FIGVKWAKFVLAELLQECITANELTVDQALASAKKIFFENAVALYHLPVPVYSIIMPS DAPSVVGVPGGVKVVRLVWCGNDGVLRAKCVQAHRAFTRVQREGLALTSAVQGLMAYA DILVPNCGLTSSEDLWVMPDVSTIIQLPHHPKHAMTLVHLKDRDGGTSLCPRSTAHRQ VERLKELGLDVYCGFENEFNLYTAQNEPVDSTSYCQTLAINQSATFLDDLVEAIADIG APLWLVHPEVRFLFSIQGMILTESIYIYIPTCRRAWVSSK H257_10517 MLHELFAFLPVVDQHVHNVIENPGQIPLHHILAETSDPTVLADH VPHTLCYLRTLHDLASLFTCGADEVETVRQSIPVEQLAMLSYVNVHALLIDDGYQPRG LVNYPLEWHTQYVPVVKRIYRIEVEVSKFIDDVSNPAYDTIDGVRAAFEAAVRADHGS IVGLKSVACYRTGLSIQPTYDIAAVAAVYATIRADIKAQVDPPPFRLMQKTLIDYLII VALELALELDIPLQFHTGFGDTDMQLEWGNPTLLKPLLDMPQFQRAKIVLLHSSYPYS REAGYLASVYPHVYVDMGLAVPRLSLEGMKSTVGQLLELCPISKLLFSSDGTITPETT FIGVKWAKFVLAELLQECITANELTVDQALASAKKIFFENAVALYHLPVPVYSIIMPS DAPSVVGVPGGVKVVRLVWCGNDGVLRAKCVQAHRAFTRVQREGLALTSAVQGLMAYA DILVPNCGLTSSEDLWVMPDVSTIIQLPHHPKHAMTLVHLKDRDGGTSLCPRSTAHRQ VERLKELGLDVYCGFENEFNLYTAQNEPVDSTSYCQTLAINQSATFLDDLVEAIADIG APLWLVHPEVRFLFSIQGMILTESIYIYIPTCRRAWVSSK H257_10518 MRPSPVSVLVAATFVSCVAALDSRTCHRTWKGREHLHPNVYKLP PPTDDEMDEMRSLPRHLDWCERGMCTPSWNQHIPVYCGSCFAHGALASVNDRIKILHH ELGWKRPDVMIGRQSFLNCAPGHGLSLGCKGGEPADVYEFMKVYGLPDETCLHYNATD YTKYITASNPNGTCPPEGFCIDCMKTPDSPETPVCFPVSSMVRYRAKKYWRLAGEHAM MKELQHGPITCGMACTNEFVFNYTAGIFRDTTNFTELDHDVEIVGYGEDEHGVKYWRA RNSWGTYWGESGFFKIVRGENNLVIESDCHVMVPDVSDDKFVWDKVHPAYGGSIYGLR PYDQNQIAHIGYPLENSSDISWNNTQHYSHELLHKPKRPMPMKLGSTPTPSNAWIQLV MVALVAGAGGIVAGVVGTSFRRALYERIG H257_10519 MSSPSTSPSGLRRPTSASAKPKASGVPSPIKPTSVGRSPPKQLS SPFPEPSPFKKSSLISPVIPDFSSSAGGIPPPSPSKALSSIDCGKPKRGAVAPISPTG KQPMAKSPLKKVAAATVPSPKASKNLPTPIKKLTPGSPKKSSTSRSTVDLELALRQKD DVIASITDQCSAYREEAESSANLMLAWKANHDAVVTLLEESMEALAMQTKAINGKGMV NEDNRDHLTVRQNLMTLVTFDKQLLEEKFGVMHTSEDESASQLCKGKIHQLQEKLAAK QQQQSQSAQQLEGVGVSLPRGVLQHKASTFNQIHRPFATSFGDEVHLDDVSNAWQWLS QHVSYKNSS H257_10519 MSSPSTSPSGLRRPTSASAKPKASGVPSPIKPTSVGRSPPKQLS SPFPEPSPFKKSSLISPVIPDFSSSAGGIPPPSPSKALSSIDCGKPKRGAVAPISPTG KQPMAKSPLKKVAAATVPSPKASKNLPTPIKKLTPGSPKSSTSRSTVDLELALRQKDD VIASITDQCSAYREEAESSANLMLAWKANHDAVVTLLEESMEALAMQTKAINGKGMVN EDNRDHLTVRQNLMTLVTFDKQLLEEKFGVMHTSEDESASQLCKGKIHQLQEKLAAKQ QQQSQSAQQLEGVGVSLPRGVLQHKASTFNQIHRPFATSFGDEVHLDDVSNAWQWLSQ HVSYKNSS H257_10519 MSSPSTSPSGLRRPTSASAKPKASGVPSPIKPTSVGRSPPKQLS SPFPEPSPFKKSSLISPVIPDFSSSAGGIPPPSPSKALSSIDCGKPKRGAVAPISPTG QPMAKSPLKKVAAATVPSPKASKNLPTPIKKLTPGSPKSSTSRSTVDLELALRQKDDV IASITDQCSAYREEAESSANLMLAWKANHDAVVTLLEESMEALAMQTKAINGKGMVNE DNRDHLTVRQNLMTLVTFDKQLLEEKFGVMHTSEDESASQLCKGKIHQLQEKLAAKQQ QQSQSAQQLEGVGVSLPRGVLQHKASTFNQIHRPFATSFGDEVHLDDVSNAWQWLSQH VSYKNSS H257_10520 MDGGSYDEDYATEGGDESCSLLQDSRRRTPLHINNYLSQMEKLQ KQRKQRQRQVRLLTTALGFVTFCGIVWTRQSHMELVTSLLPKAESSLRANFARSAVFC DTRQCLDLIPRSSLDLSATTCASVDPALYENLARYVFDSHYVHQWPHDPAFQCTQPTL LNFTISPKPNTQINSCRYHADAVAVEAVGTFDASGDVELVTASLLVPRDATHATLDDV RPFAPQVSEKWYHNSLQGMLHGVINPTHEDGIWLTVYFPTNDVPSELNIVVRRGTNAI AGTMQLNTSVALPSHTGLLAVRLDRDLHQSWPAGDYIVVIQTDNLSDPSQLVPFTVLP TDAAGQNTVFREKYELMLASPSSPNATQGVVCASKSENLTAYCSCQRQARQG H257_10521 MSASAGPSSASKSRRPSSPVKLQKPSGIVSPSKALSPRLSSPSP VKRPGFGSSTVKPCLSPRPSSSTPAPPKTLATKKANKVAECKPSLVPPPPGPTSIHTS SPQRNIDTNIPPPQGGIKKPTITPPHYLQTTRASIVHSTQPKEGKASGLKKPSPSKLS SAPASSSPRPIDATVLTLQATLSEHISQQTCDLQAQLDGANTECSALTIKLDNALLAV QELEDQMTQKDVAIADLTKACARYKSDCESFAAKALEWKQKHDDAMAGHAAQGRRVAA AKTRALEKRMTYVAEEMANSGDRAGMTMDYSIYVVPEGDEDEANVERCESILSNLQKE LKKFQDQLKGESLLQDEVVHWKASAVAAQRQVDDMTSKLNEVSKSHSEVSVDAGETAA LLEETVADLAAQTHAFDRQCMVGEDTTEKLRCLVLVVDKLRFDKQLLAERYGALQTAQ LESQQRLDELDETKARMKEKTVSLKAKLAEATSDQRRRNSEDLGNLDLSIAHAALQTE CIRLQKTTEEQHWFTSSQALAIDVLRGQLDHALNAFHILDTEQKQPVTCPSPTRQFGS LQFALYETAIQAYMDEIKVLRDEKRVAAESDDEEKQGATKMTAEFVEWTRERQTLLEQ VETLTQQGVDTRAQVKAHVALVVDLEGQLTSQRQEFEAVQRALEVETLKSETTKAQLV TTTSLTHELAAQVKQFPGREEKFRSVISQLQAQETANESKVAELESTVDTLTQTVLVL QGEAEASLGEISALLAQQKSMHAALAQRNVEATTLQDQVQSLQTGTTRLQTIMEQDKE DLIDGYVEEVKHLQKRVLGLTKRCEDSSSLLESMQSERDAALTKLRAMEATTKTTNAE NQGDKSALQVQLDALERAVEAKTKEVGQWQQKAAELDGIVAQLQAEKAQYKTEVHAIE AILHENSPLQLTVDALQNTAKTSKSPKSPSKHGKNHIPSNGSPHAKEELKKLRQRVKE LELEKELLVAEVEQAKLSKAKDSNVAFYVAQVDGLEEKLSEQTAMYLASVKQAQVEYK DLEAQYQAKIRHLEMELHLDEARIEALEGRLAETLSSRSVTQSSPMRRGSGRR H257_10522 MGIFRRLLKSPLALVAAAVVGAAASVIEPQQRVCIVGAGVGGSA TASFLRTLVPDASAVEIVVFEQHAILGGRIATFDYHGATIEAGGTVFLTGNEYIMQFT KALNLTLRIPGDTLSSPPQMGIFNGREIVFETSPISWWNTAKLMWRYGPTSLRAFRGV VNDLLTRFRRVYDLQAAGHAFADPADLLRAMDLYHLTTVTLESKLAEAGVSPLLINEL LAEITRVNYGQNTTMTALAGAVGLAGSGDDLRAVQGGNPLMIQGLLQLAQAIVHVNTK VNAIHTTPLQVDTSSGSFSCDAVVVATPLELSDVLLPLTVPKRPFQTTHATFVEGELR PAKFGLASVLSAGMILTVEDASIPFSSMGLQFQRLDAHKNNQTVSLFKVFSRSRWTDD DVNDWFLDGANVIKRFAWRAYPTYVAPETIPKFKLADGVYYVNAIESAASAMEMSAVG ARNVALLVAKQLKERAKSSESVYEATRGAKAATDEL H257_10523 MSSEATPLTRASVRRSSSVSPAKGKQSLLRTGSINFGAASAFSS VSSITQTSSSPSSAHSTPPDSPVNKPRYMWETATSSFRTVVKQAELAKEKPPMYFTDI HTMAKKEDSHVIAGLLAQLAEKEAVIMEQAAAIDSKDVLIQKFTATCDRLKHDCEALA DKAFEWKLQYVALAAANAAAPTETSAAHALTGQAVDDIDVTRASPAPVDGGGEWRPAL SRRASRNRSRHLGSL H257_10524 MSLPTPRRSNLRKSSVPKKASYTSIHTSFEATANRRATVGSKPG VGGFHQGIPHSSLPVEPREVARTDRWSDAGSATVHFQELLAQKDRTIQEYTVACTKYK QDCDMFCAKAMEWKKKHDVAAKQVLQLQADVFLLQGQRRHSPQAVATFEAPITPERLS EVYPSPVHRPPSPRSPPLVVNDDVAELKLVHAREVETLKSEVSRLHELSRLHEMDTVA LSEVRTQLETYNATAEAEFEQLEREVAHFKAQILVLGQDNADQLLELTAKHAIHDCAR TAQEKQRQWQWNQDRLELNDLLEKMAHQQRRLAHTMTLLDESKAVCSDLNAEVKQIKQ RHDKLERSHKTLLQQHVRAVATLHERTRHMQKLIQKNLLLKRATGTMAQEHAAASSLK VSRGMEASTAEVQSDFGTRQCHDLETAELKSAQSTTAASTRQVEESETNKLLRRLKGL QDSLLRGKIREQHTRGHMRELRRQLHVLRRNPSVHRNEVGHTDETGALICEQAALKRQ LELYRQTACKAQHLYFEV H257_10524 MSLPTPRRSNLRKSSVPKKASYTSIHTSFEATANRRATVGSKPG VGGFHQGIPHSSLPVEPREVARTDRWSDAGSATVHFQELLAQKDRTIQEYTVACTKYK QDCDMFCAKAMEWKKKHDVAAKQVLQLQADVFLLQGQRRHSPQAVATFEAPITPERLS EVYPSPVHRPPSPRSPPLVVNDDVAELKLVHAREVETLKSEVSRLHELSRLHEMDTVA LSEVRTQLETYNATAEAEFEQLEREVAHFKAQILVLGQDNADQLLELTAKHAIHDCAR TAQEKQRQWQWNQDRLELNDLLEKMAHQQRRLAHTMTLLDESKAVCSDLNAEVKQIKQ RHDKLERSHKTLLQQHVRAVATLHERTRHMQKLIQKNLLLKRATGTMAQEHAAASSLK VSRGMEASTAEVQSDFGTRQCHDLETAELKSAQSTTAASTRQVEESETNKLLRRLKGL QDSLLRGKIREQHTRGHMRELRRQLHVLRRNPSVHRNEVGHTDETGALICEQAALKRQ LELYRCAIAVIIKGVATP H257_10525 MSENSSLLRNTQEAPVANARPWWFKYALAGGAVVVIGGVVWIAT AQGEPVVALGPHGQVGPTVNVTTTGVPVTTTTTSAPETTTVATTTTSVPVTTTVAPVT TTVPATNATFVRNATNTTVVRNSTKDTIVAQYPAQFAAFFKEFEAKLDRSVDPCDDFY QYACGGWLNATTLKPSDTTVDSSFYVVTQDNDRILKDILATKPAVIDPFYQACLTEGD VNADAVVDVSVRLNHIATIQSLDELLAFAGILNTESSVSSFLDVGVTTDPKNATLNVV EIAQGGLTLPSVEYYEADMLAPYVASLQTYLETLATVDAFTGVTAKAVLDLEAQLAKI SLTQAELRNPWATYHKFALGDVTAKYPQIASFLSGAQPALLNQTNVPVLVPTPTYFDS LAALLQATDLGLLKVYLSFRLIYTVSPYLGETFRQANHDFNGALQGQVSTQTRAEYCW GLTQSLLGEYLGKLFMDKVFDGATKAQAQDLIRQIEASMVDVLNDAAWLDGPTRQVGL DKVAQIRNFIGGPDAVAPLPFNLTNNFYTNVQLFGDWATSESWASLYEPVDPTVWDMF AFTVNAYNDGTANKIVFPAAILQPPFYNARSYPAVANYARIGMVMGHELTHGFDDEGR NFDPHGQLNAWWSDAVSATFDKNAKCLADQYSTFPIVSVDGHTVLGHLNGQLTLGENI ADNGGLKLAYLAYQRAKKANKAIAQDLGIDDAKLYFTAFAQGWCQKRSDGNAILRKNT DPHSPGKWRVHGPLYNSQTFADTFQCPIGSPMNPSKKCVIW H257_10526 MSSSEDSSLLNTQTAKQSTVAGRPAWVKYAVVGGVIVVAGIVGG VVWTRISVQATPTSSTTTGTQATTTPTPAGITTSYPPQYVEFYTSMFANMDATVDPCE DFYQYACGGWLEANDLTDTESNIDTSFSIVNKNNDKIIHDIMATKPDVIDPFYQACVA EPQVNDEAVADVTAQLRHIATLDSVDALLAYAGALAVTTTTKSFLDIDVGVDPKNATV NVLYLSQGGLTLSSAEYYANAAKYFRPLHEYIANLSAALDHHPFQTRNHGSDMIAQTI FDFEAQIANVSLPRAQLRDPWATYHKFDLQDVHPFVATYVQGMNPLWGTNQTVPVLVP SPQFLEGLAKLVANTDLAVLKTYVSFHLVHTRSPVLGDTFRVLTHNFRSATEGLPAVE SRQVYCTNLVKSYLGEYLGQLYLDKAFQPSVKAQAKALIQQIEDAMTDLIHQVDWLDA PTRRVALDKVAKIANFVGGPDSFEPLPFPLNATNFYQNVQTLDSLATNATLNKLGNQV DHTKWAMFAFTANAYNDATANKMVFPAAFLQKPVYGASEFPAVANYARIGVVMGHELT HGFDDQGRNFDPSGQLADWWTAGVKNTYESNAQCLVDQYSTFLVESLDKTVLGYVNGR MTLGENIADNGGLKLAYMAYQKAKQADPTIADIGYNDAKLFFTAFVQGWWCFKATDNF YTNSLHMDPHSPAQWRAKGPMMNSQLFADAFQCPKDSPMNPTKKCVVW H257_10526 MSSSEDSSLLNTQTAKQSTVAGRPAWVKYAVVGGVIVVAGIVGG VVWTRISVQATPTSSTTTGTQATTTPTPAGITTSYPPQYVEFYTSMFANMDATVDPCE DFYQYACGGWLEANDLTDTESNIDTSFSIVNKNNDKIIHDIMATKPDVIDPFYQACVA EPQVNDEAVADVTAQLRHIATLDSVDALLAYAGALAVTTTTKSFLDIDVGVDPKNATV NVLYLSQGGLTLSSAEYYANAAKYFRPLHEYIANLSAALDHHPFQTRNHGSDMIAQTI FDFEAQIANVSLPRAQLRDPWATYHKFDLQDVHPFVATYVQGMNPLWGTNQTVPVLVP SPQFLEGLAKLVANTDLAVLKTYVSFHLVHTRSPVLGDTFRVLTHNFRSATEGLPAVE SRQVYCTNLVKSYLGEYLGQLYLDKAFQPSVKAQAKALIQQIEDAMTDLIHQVDWLDA PTRRVALDKVAKIANFVGGPDSFEPLPFPLNATNFYQNVQTLDSLATNATLNKLGNQV DHTKWAMFAFTANAYNDATANKMVFPAAFLQKPVYGASEFPAVANYARIGVVMGHELT HGFDDQGRNFDPSGQLADWWTAGVKNTYESNAQCLVDQYSTFLVESLDKTVLGYVNGR MTLGENIADNGGLKLAYMAYQKAKQADPTIADIGDGGALKPPTTFTPTAYTWTPIRPP SGAPRGR H257_10527 MVPCNALRVLLPPSSVTQLDRNYILVIAAFGSTPPAVSHRGRTW QPLCGCFQRTNPVGGQTRLGAAGQERFDRRDGLFRLEVGDGHTMICEYCALKASHLAC AYSHATVDPSAATLPKTLWMSWLRTPPAMAHSNARV H257_10528 MVNTGFLSPSKHHAKSSEKRRNMKKTEPCSSKLQLTALLLQRRA NSKKPGKLGRDPRCLRQL H257_10529 MQSAVAGRPAWVKYAVVGGVIVVAGIVGVVWNSISVQATPTSST TTENQTTTTPTPADIATSYPPQYAEFYTSMFANMDATVDPCEDFYQYACGGWLDANDL TDTDSYIDTSFSIVNKNNDKIIHDIMATKPDVIDPFYQACVAEPAVNGEAVADVTTQL RHIATLDSVDALLAYAGALAVTTTTKSFLDIDVGVDPKNATVNMLYLLQGGLTLSSAE YYANAAKYFGPLNEYIANLSAALDHHPFQTRNHGSDMIAQTIFDFEAQIANVSLPRAQ LRDPWATYHKFDLQDVHPFVATYVQGMNPLWGTNQTVPVLVPSPQFLEGLAKLVANTD LAVLKTYVSFHLVHTRSPVLGDTFRVLTHNFRSATEGLPAVESRQVYCTNLVKSYLGE YLGQLYLDKAFQPSVKAQAKALIQQIEDAMTDLIHQVDWLDAPTRRVALDKVAKIANF VGGPDSFEPLPFPLNATNFYQNVQTLDSLANNATLNKLGNQVDHTKWTMFAFTANAYN DATANKMVFPAAFLQKPVYGASEFPAVANYARIGVVMGHELTHGFDDRGRNFDPSGQL AHWWTADVKDKFESNTQCLVDQYSTFPVESLDKKTVLGYVNGRMTLGENIADNGGLKL AYMAYQKAKQADPTIADIGYDDTKMFFTAFVQGWWCFKATDNFYTNFLHMDPHSPAEW RAKGPMMNSLLFADAFQCPKDSPMNPAKKCVVW H257_10530 MSATTEDGVAAAALAWFRKTIPDKKHALNEHTIFSAIVLHETQI GDDADATHERFQVLSAGTGTKCVGVSGLCRDGFVVADSHAEAVCRRSFLRYLYQDQKH MPPDSIFEFAPVDSTTLVGPLQRRRRLKPSCQLYMYISEAPCGDGALYDLTDTTLEVI HESKLKKQKLDHPNDEVVTVPRRTTGAKQADSTAHSNAIGVARVKSGRSDILPANRTL SMSCSDKLCRWVACGLQGAVLSRWFRPVVLSGVVVSQVQDADPTSFRDALRRCMQRVE GPGCATFITTKHPFPLSRSATRTSASGLSLNWTFGLSSVDDASVEYTIGARGVKMGAK KVLNDVNSKQKMSSRLSRRRLGRLAIALVESEEGDLSPIATYDALKAAATSAEYLANK RAFRSLRAFQTWRGNPVEFSRFALP H257_10531 MWSEAAPWERKFHEIVTDLIADGGLTVDLSTKVSLLEKLADNLE HETETADETVVGRMLALWILIVGNVDQVSVVSYKYICFRLMTMLLRRPEFKLQSLDFD FNTQDVRKEGDPEAWRRIHLVRKLVSDTFVYSSKLLLHDNQSDATYRFCAVVAAHAYF VFPMMQAPFVDICIWRYGHHQAIASPSSSSSFHSKVHALVRAMSLQPTHTEFMDRTPD LFHWGWLAKQPSDAAMLPDDSTWRYLLDANDGHLFCAFVQAFHDHVDRAIDASASHVS VVGWGMVPGYLPLMQLFAVVLYDAVVVLTDQFLNQDRHPPPRNVALAPSTTLFPMTPL LCETVLRTATVVATCGHLVPLWLVVAVAAASTDRSTALSKSEWAQWGMPPCIIQATTA WIQVGANPHTRHKHNSKDDSHVQSLLLYPSYIRLHEIVVHMSTVFPNVTCAPEGVVVS VMEWVYTHIQRLPIEDKAVVANSLLVQPHFYALFLHWSPKVRLCLRHLLVYRLFRHDR RTLHLASDTALLLGMGQDKNNSCEPSIGFPVEDKPEVLSDSPSLMLDLSLASKVDTFV YMLGHPRIPTTSIRMFPEALDIFVDEALLEYGVAVQEYYHRCATIEANERINPMDVVE GPPLASLLAALE H257_10531 MWSEAAPWERKFHEIVTDLIADGGLTVDLSTKVSLLEKLADNLE HETETADETVVGRMLALWILIVGNVDQVSVVSYKYICFRLMTMLLRRPEFKLQSLDFD FNTQDVRKEGDPEAWRRIHLVRKLVSDTFVYSSKLLLHDNQSDATYRFCAVVAAHAYF VFPMMQAPFVDICIWRYGHHQAIASPSSSSSFHSKVHALVRAMSLQPTHTEFMDRTPD LFHWGWLAKQPSDAAMLPDDSTWRYLLDANDGHLFCAFVQAFHDHVDRAIDASASHVS VVGWGMVPGYLPLMQLFAVVLYDAVVVLTDQFLNQDRHPPPRNVALAPSTTLFPMTPL LCETVLRTATVVATCGHLVPLWLVVAVAAASTDRSTALSKSEWAQWGMPPCIIQATTA WIQVGANPHTRHKHNSKDDSHVQSLLLYPSYIRLHEIVVHMSTVFPNVTCAPEGVVVS VMEWVYTHIQRLPIEDKAVVANSLLVQPHFYALFLHWSPKVRLCLRHLLVYRLFRHDR RTLHLASDTYVAVVGS H257_10532 MPIVYALVSRGKTVLAEFTASSGNFPTVTRVLLAKIPTEDSKMS YVYDQHIFHYVVQDGITYLCMADNDFKRRVPFQFLEDLKNRFLATYGERGQTAIAFAM NAEFQHVIQRQMEYYNASPDVDTVARVQQSLDDVKDAMVENIGTSPTSSFSTSDMLIL PCPSIFEHTEKVLDRGEKFELLVDRTDKLSQQSFVFNRKAKKLRKTLWWRSVKMWVCL GVLGIGILYFVIAMACGFDLSACKSGSPHNQLSLRDV H257_10532 MPIVYALVSRGKTVLAEFTASSGNFPTVTRVLLAKIPTEDSKMS YVYDQHIFHYVVQDGITYLCMADNDFKRRVPFQFLEDLKNRFLATYGERGQTAIAFAM NAEFQHVIQRQMEYYNASPDVDTVARVQQSLDDVKDAMVENIEKVLDRGEKFELLVDR TDKLSQQSFVFNRKAKKLRKTLWWRSVKMWVCLGVLGIGILYFVIAMACGFDLSACKS GSPHNQLSLRDV H257_10534 MDDDEYVWVQPQDYDAESRCRDLQRQVRELQAAQMRWEIHRMDL EMQLMTERSARHHDLHAVEDELHREKQAVRLLTHEQAKQAALVTDLRAQLLPHTRHKR KMVYLKKELREKFLAFRLQRSVAMATRCGDSSLLDLLKREVPKMKVDSGDQYMFL H257_10533 MDPAVLAKRPKVRTCYVCGRDYGFSSFEIHLKQCKQMWIAQEEL KPLRERRPVPEPPPTLLVATEGGGDAPSSYDLTPEQLEAQNRAAQQAFENKVMEKCPY CGRTFNPERLAIHNRSCTAEHPAKAIGQARLKDTSSGSGGDGGGSSHALPVKAKEPQL KVSTPPEDKSRSADKQPSLAGSLGANRKQRAALQSPLTSSSPTGQDELSPPSNRSIQT LKAKLDHWEKTALAMVQDIRDMKAAIDQM H257_10535 MAITFRKVYLFDVEATVRQSNAAKAASKTKKGKKRKRKHRRPRL TDDVVPDPFELAREAEETAQQQLLKDEMDAVGMAGMLPLSFGSTKQHTKPPTMRRQTP QYITFDDDGKGVEVDPPVVPMMRKRPLEDDTTTDDVIVDDAPTAESAPPPQKKPAHIH PSLQKYWAQRYALFSKFDLGIELDHEGWFSVTPEVIAAHHATRIACDVVVDAFTGCGG NAIQLAMTCHHVIAIDVDPAKIAIAKHNATIYGVADRIEWIVGDSFAVLPRLHHADVV FLSPPWGGPAYLNQNVFSLQDMRMGMFDGIELFKIAAAVTKDIVYFVPRNVDTKQVKL LQESNEDVAEVEFNYLNHKLKTVTMYFGSLACVGDCAQQGEEGDESEDDRSHEQGVED TEGDTTH H257_10535 MAITFRKVYLFDVEATVRQSNAAKAASKTKKGKKRKRKHRRPRL TDDVVPDPFELAREAEETAQQQLLKDEMDAVGMAGMLPLSFGSTKQHTKPPTMRRQTP QYITFDDDGKGVEVDPPVVPMMRKRPLEDDTTTDDVIVDDAPTAESAPPPQKKPAHIH PSLQKYWAQRYALFSKFDLGIELDHEGWFSVTPEVIAAHHATRIACDVVVDAFTGCGG NAIQLAMTCHHVIAIDVDPAKIAIAKHNATIYGVADRIEWIVGDSFAVLPRLHHADVV FLSPPWGGTK H257_10535 MRRQTPQYITFDDDGKGVEVDPPVVPMMRKRPLEDDTTTDDVIV DDAPTAESAPPPQKKPAHIHPSLQKYWAQRYALFSKFDLGIELDHEGWFSVTPEVIAA HHATRIACDVVVDAFTGCGGNAIQLAMTCHHVIAIDVDPAKIAIAKHNATIYGVADRI EWIVGDSFAVLPRLHHADVVFLSPPWGGPAYLNQNVFSLQDMRMGMFDGIELFKIAAA VTKDIVYFVPRNVDTKQVKLLQESNEDVAEVEFNYLNHKLKTVTMYFGSLACVGDCAQ QGEEGDESEDDRSHEQGVEDTEGDTTH H257_10536 MNTNVKRLRKELVELRKSPEADMVLFPLEDTVTEWKAFIKAPAD TPFQHRVFELRIVTTPLYPMEPPKMRFVTKIFHPNVHFVDGSICLDILKREWSPAWTL RAACLAVSSLLSDPAADSPLNCDAGNMIRAGDMLAYNSMAAMYTEEFGLPSLPPSPL H257_10537 MMAQSKGQLRQSKQPLAVPSKPARTAPTGPNMDLLRASFSSVQL TSSSIPPAGVVATPLQPPKLNPNAAAFTLPLPTTTSSSVPPPTSSNGVPRKPRAPPRP KITRTSRQSTPGAPTSTTTSKTDDGTPDKSKRRANVLKDGSRTVIGQRKRSQSISSTT TPSPAVASTNVLPSTTSLPTPPKSSKRPPRRKLQIARRPRSNSNGGSGSGSESDNDNN DDMYPEPVTQAKQSAFTDRLALSVPQKRIPKRVTREAVVDTVFTALFAPRHRGFHAPN DDNTGSESDTSTPNETFVKRGLAATLFKDGVRLNLDTKHTSPLDQYITPTLIHSLITD MRFEDFRTSSARLHILRAIHKHVPSRRLHIAQALVDATSLRLQYVQSVHAYAYATGKE LKGLSMTSTTTSSSSSFDHGHSWTEFLRYAIEHVAMAGESASILTNYARSWVHLCKCH YLDTHGTDSDDLLGVAGQFVAYVPHMAWDLIRRLLVHGWPVRVPSQQIFAIRALARLM MAAPRLSGPARDATLPLVFRRLAQCMAAPHVAVAKEALAFAGCQFVLVHFVQGSTDLY AVVSSAFYKASTLHWHDSIRTLAATHFDDVLDFAP H257_10538 MNRRNCSFHRILTMPPPEQQLPRVCFDDEYRVRVLELDKFAHTQ ELEGECNQFVTKMEDFHTTVKGVLEIMEAQAKRIEIEKLKAIGQRNRVDNEVENRNRQ KLMLEVLIKEKQTELERYCQQYTSLTKIEDEQQQLMDKLSNNEA H257_10538 MNRRNCSFHRILTMPPPEQQLPRVCFDDEYRVRVLELDKFAHTQ ELEGECNQFVTKMEDFHTTVKGVLEIMEAQAKRIEIEKLKAIGQRNRVDNEVENRNRQ KLMLEVLIKEKQTELERYVYIIMLSILPT H257_10539 MRIELRQATALGTATMAALSIGCMLVWRATDAHDPPDDAEVNVA SDTLHPQDTENVYDLVQTVRTPGSPHVLRAVRRLGDLSVFKRFQTQIGDYGGIQALID LLPIDCRNMDSDSKDLTVAILVALNNLSVHGTNHSIMHAAHVEFLVAEVYEQPSLDLS VRLPCLRLLCNLAMWAESSQTLLALPVIHTLAADLLTSSSADWSDKILQLFVNLTDPA SLASVVLTQHAAVESIVDATISFCEVEGDHVTSRQHDNAQIIVRNVTLITSSVQPVQS H257_10540 MLVEVDVHKGDHGFGIYFTRVKSHVLVEGFVRADDGTPGPAEHA GTIVIDDVLEQINGLDITGMEMADVINQLRAAPRGVNVLTFRRVDVAPPPPSNNFMSI PMNQDDEWQSPEWWDEFDKLKDAEHDTWNGSILSEAAFCDHLYADSDAQHRSYLRQQY PIIMAKFQASFHPWPTPVLSCEPTTYCPRHTKSHNALSTIQPSACLRGLLECIRIKFG WTRGETQAFRLALQAQHQIGSALDLVCAMRQRDGRALVLHQAAAPRQQSTPQTKFPRL TNSMWAFVSATAMHGLSADELAFVNNINVN H257_10541 MDSFPGLEFESDILSDIDFGLGSGGMGGMNGSGLDSPGTLPFNV GTPPGVASLGTGVFPGLHNSANNNGGQSNNSSAQTNSSGGNSDLNRDDGGFHDDDGMF FGSDIGKDDDKASAKPPSSHQHHGNNAPPATNSLGRGNNSNPSSHMNHDKPNNQGPAS SSLHHSNHMGGRKDSVSDQNGSRMQHNGGGPPSSNPSNPNNAPKGPPSRLSESNTELL SSLLLPDSSGPPMNMGNPNSRPHINQGGGPPSHVGMSNHYGQPAPPSSLNYGQVPPQQ RNNMNMYGGGGYNQPPPPAQPRAPYQQQQQQQPPQTLQRTTSMSGGQLMRQTSGVNPF PTNYDEELAKLKSMLSAQPDLIPPPQEILRATQQLCMANMQRLQQQHSAAHPNSYMQQ HMQNNPLHMQPGSSQQMPPGYNVPPPSARNNNPPGGHLNMDPHARQPPPGGLSMPSVP PSGGPPSSRQGLSPSSTAISSSSNPQQQAAAASNNQMVSQGNKTANVWQSESDVPLRR KMIAKIVSLLQQRKPDAPTEWIRRLPDMARRLEDSLYRTATGREVYGNFNTLKTRLQH LAVTMGARAAKGNDPNSPNESSATPSGAPAIMGSQQQQITGPPGSSSGPSPGASGRPG GGPTTGGGGYNPPGGMPPQSSQPGGGMGQPPNGGGGRMSQQMQLQLQMQQQRQAMANN GSHPQGGGGAAQNKAGTPPPPGQPNPSRQPPNHANPSQANNNPSQPSTQAFRQNNALQ QRGMMYGGPPPPSQGPTAQQQQQQQQQQQQQQQQQQQQQQQQRVASPSNPMQRNNSSS SSNIVGPTSSQQPPANQRSTSLSSSRLSDALGDMDSADFLDLGMGDDGTDMADDPIDA LMRGSTGSLSDNKKAPTPSASPKPDPAKAPKRPLTQQDSHATKRAKASVAKRTPRNNS ASPPVAKTPPPPSSSSTSNKQPLPSAKTPPPPPAPPVNK H257_10542 MHRTHQLQAFSGQDSYQRLQRLQALCGNKHHDGRGGYEAMLIVG GADGLYSHGSQAALKFLFLGKSGQELLGEQVIPQQYEALEDVVVLITRTAVSIFYVLD SDSAALLLPLLSNWRNVTEYVATDDMTQDLRELTKIRAFRAMVEPHATIGIALHEPKS TGDVPTAEAWPLVQSFGLEDVHPSSAVKGFFSMHHTVVNCSMALMARLTDIDDFFARR LVEDAEPALAHHFGGLLAKLDHAETPAARGALTEADIADDVASFYDFGTIRHDARRLQ RAPNRGATVHFGTRTSAEFSTATSSPTITSPQAGVHGQFPATHFTVVAEEPLTGIRVG RTYFVGTGKCAARIVDPDALVSPADSKLDRYESVPTNAADTRRLIALYEKLLTVHTAT TLPAVAASAKALGSTPKEWMASAQVAAIQAFERQLGSSFDIVAASIAKQLMVHVECVD ACGERMAILEPHTKWSHIYVTTTLSAVMSTVVAGASVGALIVGDSILLHVASPAASND LLVLTASSFPYLRTWVQAGMEADFASHVDDVLTSDYMLESVVKVGRPIGTSVSHAQIL VQSQHLHVIEGTLQPYAHGFVLTSAHCPPLVVPFPTHVLALRVLATPHEQLLLVVVDF TEDNNLVAEWLPCATSSSSIALPLVAGSRMQERLLAVLDVWKATAAAHDIPFVKPNSD GDQASIPQGFVRGCYSLLDKTDETVADVKDRYFPQWSISKAPAQVSTWTKSSSSMVVP VTVFLGLPGSEVRALAASLAELTASANEWHHVVVDTRELPADSDTTTIIPQQLDQALL AIQSNEDSNLTTRRPRILLTVVGYVDPIAVCAAVKHTQHDQAMQLSTVTTVISGVALT LPDSATPFPKLWDQLTPGFVTTVVLTNTQDVANLPRLRLRVDSANPFADVLCLRSNGL DGDLSTFLALDVFETSERRRYRDVHFPSWQQAPSTYVVPLPASVTAVRFEMKLKLDRN RFVACIQRGLSPHTTLKTIYPVYTSTPPIQLSGLRLAQALAMDKVSTQLVHSSSSNEE HKGVVPEETIAAIVAQLGTVWTVEASLAFTDDSQHGYMYINTGTKAFLRVQPTLSSPP TSCSFVFTGQHLDAEKLRLLLLQCCPARHAAVVALSDVTVDEKRRIQALHVTDPLPDG YMFDGTSYYDYFGGQYEFHPNIQQFIDADMAKKNDVAARHNNELETDRVRYEECTTLL V H257_10543 MIHRVTARLRTHAGVLQRRGMASHGHGGHGHYPHGMHFHVDPVH KNAGLAFSVLTWLWIFHRAKEDGAVVLGLEHPWDHGHGNGDHHHHGEGHEFEKEEIGV RPRLVIKED H257_10544 MATLPPPPLLGHSEKKWLHWLNSLPLATCLLVDSFAVLQNGDVL FEVANALQTLQPPPAKASATAQSAHKIQQVLAVVLPHVPKHSSSHHHVLRDPESVLDI LEGQIPAICATLSILKTLWMQKHIRRLQQQYIHGQVDKLHRNVPQTPPPERPPKTRVK TTVAVSYPTPTTRLVPKVFRPPGLAWNTSTLLAPDKVHSSISPATHTSQGQPRPTAIA PSPQIQKNFHVQFMVDPLWLAQSDTPAAHCNAPSFSHMTPPGLLPLPKAYAVCRWIRS LGIALPFDELPGTDHFTAETFLAQSGRAFQDGVILCQIAAILAYRGGPPFVKAKLRPL VDQPGRFVPQGCCIAPQNSAQKRHNVQLALTFLSQLHALPPTLMHMNDPESPQFSVDM WRLLYTVFKATTTTTTRNAKCTSPSTPKPPPTTQQFHPHPSSTNSSSVRPLPCVTHEQ TRRVTDWLHSLGYTIPSQPASLLQDPLRNGVLLCHLVNRMANIPKPVPVHTNVTTLQQ AKDNVSSALSALRSLEPPIAPAIYTCHSDPILKGQFHLVWGLLYHVMEAFQRVPVQTI SPLNKEIHRDFDIQNENDHKLQQVADDKILLVEWLRTQGYLDTLDCTHVHPTFDDIKV HLQSGVLLCHLASRVTQMSKSVKAEPPYLSSHVSKQMALRNIEHALELLRAHPAIPQR FLWCDDKIYAGHTHVLVGLLQDIRRVHKDSHPPPHSIAPLRPLLPSPPGDDDDDDEEE DDLTTGLVTCWHVDTEVHSSHQWRETDIDPSEDLFPHPNDPINPDDVSSRNNHPMTWH NVDEDVVPQPQPFKLTSTLSDLAAWLQALHVDGAPPSLDAATLPEFQDGLVLVSLVEK VEHVRRLDGVCRAPRGKRASCLHNISKVMTILSHNKAMPLTLLRRQIDIFNGNQQVIV ALLDQIRHAYGYHHHRSKP H257_10545 MATNSTTPATIERCTLLNGTFNNLIQVMLGLIALSVLIFKRYHE RPRRPLRIWMFDASKQLIGAGVAHAANLAIAITLSGLAKGQTGADQCAFYFVNFTLDT TFGVAVNYLLLKALVYFAVKYNVTALQVPGDYGHPIQVRVWAIQLVTWLVIICSTKIL IGAVIFGLETPLGDVAAWLFSPFGDLPKVELVIVMVACPVLMNGLQFWIQDNFLKKQV PDMDAVPSSDDADVPGDAPVDSDSIKSV H257_10545 MATNSTTPATIERCTLLNGTFNNLIQVMLGLIALSVLIFKRYHE RPRRPLRIWMFDASKQLIGAGVAHAANLAIAITLSGLAKGQTGADQCAFYFVNFTLDT TFGVAVNYLLLKALVYFAVKYNVTALQVPGDYGHPIQVRVWAIQLVTWLVIICSTKIL IGAVIFGLETPLGDVAAWLFSPFGDLPKVELVIVMVACPVLMNGLQFWVLLMCILL H257_10546 MAQDAHITATSMRTSLVSALLAVVGTQSAACACSVWDMTEVVRG HAVYGGLSWDGVLFWLTPVLLIVLGCSCIRQWLEPSRPQATYLLILAAVAASTTFFSL PPAILRVGLSLCCCHEALCPDSASNVPPFAADACELAMETCTSGVYRQILHLVSVWLA IVCVMHTVTSQYRVYAGLATSRGTRLATCTSQHSSDFAPLGSTQPFKKDIL H257_10547 MLPPPPSTTTKTPLLDSQDAASYTQQHAPTTTSTMPANSSRPKQ RWVQAARHIRDKSPKPSNRWNELLTTFRSSQRSTCIMRPPANPDKPISSFQLSDIDVF LGRSKPPAIEYIVVEVLVHKCPLHPSHLGRWAHSAVRYTMPNGDQKLVNICRPEEGHQ LIEFYDDPEDYLLGVQGKGGIFSRDICSIRIEDVHPDSMRALDHHFQAISHRFRADGK GCSFEITGGFWENLVREMFHLPSKPSGNCARWVSKGLVYANVLKRQSMFPKAIWVEML ENQSAANAANVNVVYYRRIKGKAKEKPHLQTGFSFTSVKACLFFPTDLFQSWLYWDMA KFANVIVDNSDDVSTDQQAAQLQLELVGGEGCRTPRAVIYCVRFSHAFLVFALALGYV FYCPGGYPPQEHGIPFAYEVCPTTWPGTTGYRITLSIVAIAILEFWWY H257_10548 MRFAVLVTAGIAASIAATAAPVGEQDSRLEYTEGLTLRPLPHVG KAVAHFHFDLTTTSAASSQAHFDVFPQSLRHVVQKYNVEDLELTFTTGLWRHEQWGSI LGNGPHGVSLQATMHDPSHWTGLTQQLAGLFSASLNKMDASTVYTTNSSSSTTSIHGM LPREELCTENLSPWLKMLPCRTHGGLGAWVRPVTLLDSDFLSIKVRVVHDHGTLRLHQ SLTVVRRVDPTWSLQSLLGLTSSPTSACALARSSVVATDLAFVGSSATSPGTRNNPVD HSTLHQVSFASAAATTPSPWLVRSDSESDSTSASTTWRGVSGHRYLTGYGQVRGGIGL QLTNHHPTNAIHVAYHETMPWYLRVYFSTVRLRLNGVDVDPLTLPSFHVTVPTSSSQH KHLRAPYELHFAVEIAPLSQLSLAYSFDKTFLPMGAHPPDSNRGFDVPPASWTVDGVT YFTEPLLVPLPTPDFSMPYNVIVLTSTVVGMSIAIVVNTLVNHERRTNLLLTLVHKLK RAFASANKNKEKSD H257_10549 MISVDMRWRCVVLVQVYGIDIEVVMLVLGLSYRSVARFNEMFSS TGYVDGKARRATTLRWPDTVNAWVNDYAIAHPCFYIEELQEAIQLQFPSLKNVSPSTI CRALMHDLGLTRKVLERRALEAADFELQDYYVRLKCFYSQDGRDAVRKFAWSRRNTKA IVDLPFSRGERVSALAAFTTSGFLAWDYVDGIERTNLTQV H257_10550 MLTLDNYSDTVLQVWENAKKVRNAQATFTLLLFIYIERSTDTLA IRRATQQNIASSSIRLPEFIREDDVDLEPLQLQYASVVAARLPISAPIEIPSNATMQQ LRHVDRMAENHADERRRDINIESEPYRMVRIRIGSVTSAPVECYISVEDMRRSLGLPQ CDLTPAFRAPMHGLSEPLLNTEDVDHIEE H257_10551 MSSDTGATAPTVSEKTLARRRYYRDKQREYRRKLNTEGAAMEAE IVHLRSIRDSLRAMGESPVREASDGPLSWCTIAKVFKREAHRVLTDRQALVTQTQEYR TLMQAMQCFVVMNIPLPMSRSNAWYCATLAAEPRARTLGKEWLTQQLYHNMHRPFASF PAVNYDDEFCHIDVQTWDDDDPAFMYMERLQYSLPGTLHMFRRLIESNMQAVMFHPSI EMVVEESTANTRLFHSATANGAFVNSLQGHFMEADRFVMVMRQVEHDEVHVCPPPLKQ RCFRSWTEVRQVSPSHILVRVVSHLSHLHQPATGFVSVDDFAAMTGIDMMDVNEKDAY VRREMIRRGHAEFLPWRQRFMDMMHHQTTIN H257_10552 MRRRPYTSSSRNRNTKTDVLVECIYTDGMTKTTYGSGSESALNA SPDISMSTRTLAEEVQAIRDAFESLDYNDVDSHFACAEGDEQPYVVCRDVTVDAFNEY IRLEEDENDKLPVALRFLDLDEDGRILIVEW H257_10553 MSSTPSDAGGVQAIRDAFESLDRNDIISHFTLPDGDAEPYVVCC DVGVDSFNAYIRLEEENENDKLLVELQFLELDENGRLSIVDLPTTTHEAVSAEFEDQF IDSFWYSSDIAIHRSFTGHRPGACDKAPDVAFGPLGSTPHRGPPPEGRNIGEWVTFAV DVAESQPWASIEHSLLWWSNYAGIQYGLGIKVTHDGLTMRYALYDFEVPHAANTLPPP IAHGQFSQADLDMYNPVMISIDTHRVLAIPANMPLPLGKMNTIEVNLSHVMEHVMYY H257_10554 MHPSQGQQVPQPPTGQPSALLLPTPSHESQTAMMLLHHAPQPPS LLQNMHATGDMQTHMLHRQPPAAHQPALHTDGLINLRALHGDDTINGEVTFPTGRDAK YFLQDYCFNQNKAMKLRVGKNSGNSKTFVCTSEPHCDWRIVATKSKRKNEDACFYFST IHNVHSPMCLSTRKPSERQHMLLTASSSSSSPLALPYNLHLLGEPLQQLPIEAINIPN KPRRVNPMDKVVKTLVEAQADRQKLSIKTIQDLFFNVHGYPISAHTATRAKQVFVNMP QSSPYIQPPSQQLPSRHLTESDTNVQRPALFQFHDLQVLVEQTKMIEAAFGRAAAVGD LSAVRTLIRNGKVDVADSSGHQALLAATKGQHLGVVDCLLSHGAKTEAIDEHGVTALM VAVHLGQLHIAKCLLDHGARVDTTDDTSQTPLMVAARNGHLKLVKLLLKRQAVVDATD EDDNTALLLATQHGHTDVVEVLLKKGANRHVQNLVRCRPVSQEAGDEGVVCVGRSRRC VGCRRPAQLRHGPAVRHAQPHLTL H257_10554 MHPSQGQQVPQPPTGQPSALLLPTPSHESQTAMMLLHHAPQPPS LLQNMHATGDMQTHMLHRQPPAAHQPALHTDGLINLRALHGDDTINGEVTFPTGRDAK YFLQDYCFNQNKAMKLRVGKNSGNSKTFVCTSEPHCDWRIVATKSKRKNEDACFYFST IHNVHSPMCLSTRKPSERQHMLLTASSSSSSPLALPYNLHLLGEPLQQLPIEAINIPN KPRRVNPMDKVVKTLVEAQADRQKLSIKTIQDLFFNVHGYPISAHTATRAKQVFVNMP QSSPYIQPPSQQLPSRHLTESDTNVQRPALFQFHDLQVLVEQTKMIEAAFGRAAAVGD LSAVRTLIRNGKVDVADSSGHQALLAATKGQHLGVVDCLLSHGAKTEAIDEHGVTALM VAVHLGQLHIAKCLLDHGARVDTTDDTSQTPLMVAARNGHLKLVKLLLKRQAVVDATD EDDNTALLLATQHGHTDVVEVLLKKGANRHVQNLDGQDAVSVAAALHSYDMGQLYATP NHI H257_10554 MHPSQGQQVPQPPTGQPSALLLPTPSHESQTAMMLLHHAPQPPS LLQNMHATGDMQTHMLHRQPPAAHQPALHTDGLINLRALHGDDTINGEVTFPTGRDAK YFLQDYCFNQNKAMKLRVGKNSGNSKTFVCTSEPHCDWRIVATKSKRKNEDACFYFST IHNVHSPMCLSTRKPSERQHMLLTASSSSSSPLALPYNLHLLGEPLQQLPIEAINIPN KPRRVNPMDKVVKTLVEAQADRQKLSIKTIQDLFFNVHGYPISAHTATRAKQVFVNMP QSSPYIQPPSQQLPSRHLTESDTNVQRPALFQIEAAFGRAAAVGDLSAVRTLIRNGKV DVADSSGHQALLAATKGQHLGVVDCLLSHGAKTEAIDEHGVTALMVAVHLGQLHIAKC LLDHGARVDTTDDTSQTPLMVAARNGHLKLVKLLLKRQAVVDATDEDDNTALLLATQH GHTDVVEVLLKKGANRHVQNLDGQDAVSVAAALHSYDMGQLYATPNHI H257_10554 MHPSQGQQVPQPPTGQPSALLLPTPSHESQTAMMLLHHAPQPPS LLQNMHATGDMQTHMLHRQPPAAHQPALHTDGLINLRALHGDDTINGEVTFPTGRDAK YFLQDYCFNQNKAMKLRVGKNSGNSKTFVCTSEPHCDWRIVATKSKRKNEDACFYFST IHNVHSPMCLSTRKPSERQHMLLTASSSSSSPLALPYNLHLLGEPLQQLPIEAINIPN KPRRVNPMDKVVKTLVEAQADRQKLSIKTIQDLFFNVHGYPISAHTATRAKQVFVNMP QSSPYIQPPSQQLPSRHLTESDTNVQRPALFQFHDLQVLVEQTKMIEAAFGRAAAVGD LSAVRTLIRNGKVDVADSSGHQALLAATKGQHLGVVDCLLSHGAKTEAIDEHGVTALM VAVHLGQLHIAKCLLDHGARVDTTDDTSQTPLMVAARNGHLKLVKLLLKRQAVVDATD EVPPTHCTHVSMV H257_10554 MHPSQGQQVPQPPTGQPSALLLPTPSHESQTAMMLLHHAPQPPS LLQNMHATGDMQTHMLHRQPPAAHQPALHTDGLINLRALHGDDTINGEVTFPTGRDAK YFLQDYCFNQNKAMKLRVGKNSGNSKTFVCTSEPHCDWRIVATKSKRKNEDACFYFST IHNVHSPMCLSTRKPSERQHMLLTASSSSSSPLALPYNLHLLGEPLQQLPIEAINIPN KPRRVNPMDKVVKTLVEAQADRQKLSIKTIQDLFFNVHGYPISAHTATRAKQVFVNMP QSSPYIQPPSQQLPSRHLTESDTNVQRPALFQFHDLQVLVEQTKMIEAAFGRAAAVGD LSAVRTLIRNGKVDVADSSGHQALLAATKGQHLGVVDCLLSHGAKTEAIDEHGVTALM VAVHLGQLHIAKCLLDHGARVDTTDDTSQTPLMVAARNGHLKLVKLLLKRQAVVDATD EVPPTHCTHVSMV H257_10554 MHPSQGQQVPQPPTGQPSALLLPTPSHESQTAMMLLHHAPQPPS LLQNMHATGDMQTHMLHRQPPAAHQPALHTDGLINLRALHGDDTINGEVTFPTGRDAK YFLQDYCFNQNKAMKLRVGKNSGNSKTFVCTSEPHCDWRIVATKSKRKNEDACFYFST IHNVHSPMCLSTRKPSERQHMLLTASSSSSSPLALPYNLHLLGEPLQQLPIEAINIPN KPRRVNPMDKVVKTLVEAQADRQKLSIKTIQDLFFNVHGYPISAHTATRAKQVFVNMP QSSPYIQPPSQQLPSRHLTESDTNVQRPALFQIEAAFGRAAAVGDLSAVRTLIRNGKV DVADSSGHQALLAATKGQHLGVVDCLLSHGAKTEAIDEHGVTALMVAVHLGQLHIAKC LLDHGARVDTTDDTSQTPLMVAARNGHLKLVKLLLKRQAVVDATDEVPPTHCTHVSMV H257_10554 MHPSQGQQVPQPPTGQPSALLLPTPSHESQTAMMLLHHAPQPPS LLQNMHATGDMQTHMLHRQPPAAHQPALHTDGLINLRALHGDDTINGEVTFPTGRDAK YFLQDYCFNQNKAMKLRVGKNSGNSKTFVCTSEPHCDWRIVATKSKRKNEDACFYFST IHNVHSPMCLSTRKPSERQHMLLTASSSSSSPLALPYNLHLLGEPLQQLPIEAINIPN KPRRVNPMDKVVKTLVEAQADRQKLSIKTIQDLFFNVHGYPISAHTATRAKQVFVNMP QSSPYIQPPSQQLPSRHLTESDTNVQRPALFQFHDLQVLVEQTKMIEAAFGRAAAVGD LSAVRTLIRNGKVDVADSSGHQALLAATKGQHLGVVDCLLSHGAKTEAIDEHGVTALM VAVHLGQLHIAKCLLDHGARVDTTDDVRRATS H257_10554 MHPSQGQQVPQPPTGQPSALLLPTPSHESQTAMMLLHHAPQPPS LLQNMHATGDMQTHMLHRQPPAAHQPALHTDGLINLRALHGDDTINGEVTFPTGRDAK YFLQDYCFNQNKAMKLRVGKNSGNSKTFVCTSEPHCDWRIVATKSKRKNEDACFYFST IHNVHSPMCLSTRKPSERQHMLLTASSSSSSPLALPYNLHLLGEPLQQLPIEAINIPN KPRRVNPMDKVVKTLVEAQADRQKLSIKTIQDLFFNVHGYPISAHTATRAKQVFVNMP QSSPYIQPPSQQLPSRHLTESDTNVQRPALFQFHDLQVLVEQTKMIEAAFGRAAAVGD LSAVRTLIRNGKVDVADSSGHQALLAATKGQHLGVVDCLLSHGAKTEAIDEHGVTALM VAVHLGQLHIAKCLLDHGARVDTTDDVRRATS H257_10554 MHPSQGQQVPQPPTGQPSALLLPTPSHESQTAMMLLHHAPQPPS LLQNMHATGDMQTHMLHRQPPAAHQPALHTDGLINLRALHGDDTINGEVTFPTGRDAK YFLQDYCFNQNKAMKLRVGKNSGNSKTFVCTSEPHCDWRIVATKSKRKNEDACFYFST IHNVHSPMCLSTRKPSERQHMLLTASSSSSSPLALPYNLHLLGEPLQQLPIEAINIPN KPRRVNPMDKVVKTLVEAQADRQKLSIKTIQDLFFNVHGYPISAHTATRAKQVFVNMP QSSPYIQPPSQQLPSRHLTESDTNVQRPALFQFHDLQVLVEQTKMIEAAFGRAAAVGD LSAVRTLIRNGKVDVADSSGHQALLAATKGQHLGVVDCLLSHGAKTEAIDEHGVTALM VAVHLGQLHIAKCLLDHGARVDTTDDVRRATS H257_10554 MHPSQGQQVPQPPTGQPSALLLPTPSHESQTAMMLLHHAPQPPS LLQNMHATGDMQTHMLHRQPPAAHQPALHTDGLINLRALHGDDTINGEVTFPTGRDAK YFLQDYCFNQNKAMKLRVGKNSGNSKTFVCTSEPHCDWRIVATKSKRKNEDACFYFST IHNVHSPMCLSTRKPSERQHMLLTASSSSSSPLALPYNLHLLGEPLQQLPIEAINIPN KPRRVNPMDKVVKTLVEAQADRQKLSIKTIQDLFFNVHGYPISAHTATRAKQVFVNMP QSSPYIQPPSQQLPSRHLTESDTNVQRPALFQFHDLQVLVEQTKMIEAAFGRAAAVGD LSAVRTLIRNGKVDVADSSGHQALLAATKGQHLGVVDCLLSHGAKTEAIDEHGVTALM VAVHLGQLHIAKCLLDHGARVDTTDDVRRATS H257_10555 MKRPSSTPPTNLVVVGQAVALGAAMAWIYWKSEFRVVMWLRYVA LCLGVSGWLLHPSLSSAVSSPSPLTNEPEQEEDTSAALTTANNAKPDVMEAVACTSEN VEGTSTVGDPTFVCYPPSLLRLRSRDVYMTTQEEQWTRDEYGHMYLSQTSKRVLVQCP TSENEFVLQRMTQGGFNGARSGAMVPTSTPPSAGPASPAWRQRWMRYFLDNATTFVNA LLEYAVKCMGTAILMSTCAIVWNYSLGINADLSFHDLIWKHLQDA H257_10556 MSHPAKKPRKHHMMVAQANKQLKIRYDAYLDRLLNATCPEDDEE DDVSSPVVVCESISKDAFRKWEEKHGGDLGRWEYVPLDANFGRIEIDSLTSAVHAEAA G H257_10557 MAKTVAKLRAIMNHLDVSTLEQYFVHEVEPFFVCDKVTVDVFNG YFGKGQPRIPLRCVELVEDRVLVVEYPISRVHESTKAEFSCEFLQSCGDGFAFGLAGS MTCHRPGHPDKEADATFTPLNSTPGRTTLPVLKDGSTRRFQDWITFAVEVGRYQSWAS LERAAHWWFEYTGVQYVLLIKVSKRARAMAYRLYDVQDYDETNQVPPPAAAAATFRYQ THGAPVNVTLDNRRILSIPPTVNLPPGVNDTTVIDLRLVMRQVIDSI H257_10558 MSAPTPVAMEEAMELVAAAGRGGPPNSSSLTVHDDVVSLKKQVS SALRDGDAPDYASRDILALLAQYISVGLMIGAMQQFSYPIFIAYYHMEGSQFNAATAL MGLGWSLKVFVGILSDCAPLFGYRRKSYMILGWIACGCCMLFLALHDHGSPYYINRAI DGIPLAKLTPFQR H257_10559 MNGAFGCLALVNFAVVPATYWGVHDTKREPQPLRPYLLQFWKLV QKRAVWQVMLYSFLSSLLGSNLTTTAAPYVKYHWAKVESINNAVIGVLGHLILAVVLA ATGRYGTQWNWRVVIVVTTLLGTAIDAVVQFLTIYGVVRNQWFYLGVPLTEQLPAGIN WAVNVFVIVELAEDGHEGIVYGLLTTVGNLPGIFGSVLTNIYCAQFDVSSSDIQQDSM HVRHQVAYTYLVTYGTTVLSCLCVVMFPSQKAAVATLKATGGSYPLVGGAVLVVSFSV LVLSIVASLLSMFESTACLRVAGGAGCST H257_10560 MLKPEENSVPGAAVVPTVAPAAPVEGHPAPYTRKRNLQHVATHD DRLRVMTWMLAKEQTLLAEAGNGSSDGGAKPETSKMAKVDRLCLATQAIAEFPSLFRG SAQANYMKASRWWKESREYIYGTSGMTGSKKARTGRGRKTNAWTSWLQDELKASLEVE GTNSSWNNKDLLVMAKNILDNSTHPEFNKDYIVPQRNCALGDLVNLRWVQNFKERFHY HHHLHTHRLHQHHDDAAASVAGGTGGGQQTSLDAAMEAKLLHNLATIRATKDGAPVVK KEVMHLANQLIREAHPMFKVDTTWYKAFCDRHPEVAAPKDQLPPAAVTPALALDEPAD PTDRPSPSSTATSLLHPADTSTFHTTSSSVIDEAKAVDVFRAIAAGLVNTVKSLLLQG ANTEGCDEHGCTALVVATKASHYNMVKVLLDCGAKTEATDEHGQTALVLAAASGQFHV AKSLLEHKANVEATNDQSKTPLVLAAERGDLKLVKLLVRFGANVEARDEDDNTAILAG AKHGHTAVVDFLAKKGAAVSVADVEGVSLALLAKPTTETTAEDDDNTGPPPPPPTSSS SSNVLI H257_10560 MLKPEENSVPGAAVVPTVAPAAPVEGHPAPYTRKRNLQHVATHD DRLRVMTWMLAKEQTLLAEAGNGSSDGGAKPETSKMAKVDRLCLATQAIAEFPSLFRG SAQANYMKASRWWKESREYIYGTSGMTGSKKARTGRGRKTNAWTSWLQDELKASLEVE GTNSSWNNKDLLVMAKNILDNSTHPEFNKDYIVPQRNCALGDLVNLRWVQNFKERFHY HHHLHTHRLHQHHDDAAASVAGGTGGGQQTSLDAAMEAKLLHNLATIRATKDGAPVVK KEVMHLANQLIREAHPMFKVDTTWYKAFCDRHPEVAAPKDQLPPAAVTPALALDEPAD PTDRPSPSSTATSLLHPADTSTFHTTSSSVIDEAKAVDVFRAIAAGLVNTVKSLLLQG ANTEGCDEHGCTALVVATKASHYNMVKVLLDCGAKTEATDEHGQTALVLAAASGQFHV AKSLLEHKANVEATNDQSKTPLVLAAERGDLKLVKLLVRFGANVEARDEVNHPIT H257_10560 MLKPEENSVPGAAVVPTVAPAAPVEGHPAPYTRKRNLQHVATHD DRLRVMTWMLAKEQTLLAEAGNGSSDGGAKPETSKMAKVDRLCLATQAIAEFPSLFRG SAQANYMKASRWWKESREYIYGTSGMTGSKKARTGRGRKTNAWTSWLQDELKASLEVE GTNSSWNNKDLLVMAKNILDNSTHPEFNKDYIVPQRNCALGDLVNLRWVQNFKERFHY HHHLHTHRLHQHHDDAAASVAGGTGGGQQTSLDAAMEAKLLHNLATIRATKDGAPVVK KEVMHLANQLIREAHPMFKVDTTWYKAFCDRHPEVAAPKDQLPPAAVTPALALDEPAD PTDRPSPSSTATSLLHPADTSTFHTTSSSVIDEAKAVDVFRAIAAGLVNTVKSLLLQG ANTEGCDEHGCTALVVATKASHYNMVKVLLDCGAKTEATDEHGQTALVLAAASGQFHV AKSLLEHKANVEATNDVRP H257_10561 MMLPDSLFRALSVLTTGYAMYYINISVPLWVVCVPYGAAMWCWW VLRHDSDWQFSIEIAQPLHVAPPSFVPQRAVDWSPYRARLQASPDQALTVSTRRWAYT VLIQSLEWLSVDVHSILAKWIKKVIQDIMTALALLVLAYAGVSPKVLLFLEFAVSDSP CTRMIVLTLSVISGN H257_10562 MESRRHRRQVVRPLTMLSEELEKMVLSYVPPLPNYVTWQLVCRR WRAMLLSLPAFDVNFDLVRPVDNGTRYLTLLQRWPMLRSVTMTRDIFVSNELTWQLGL SQLAHLQHVSLRHTHTKVLQELFKACHSLRTVSVLGCSDARLPTVKTSLPFLQKLELQ AASLANNSSIVSILRNAPQLTHLVVSAANDVTSQVLAQLAASCPLIHQVVLNQCGLMV ASQVDTFVRTFHAQLTWLDLSHSRDLKTFCINDEHSLVFDKLQVLVVDNTLIRDSVLQ TARCPHLDVLSIQNCRCITDAGVVSFAVANAGAPLTVFEAKNTAITDVSINALAQSMP LLTHVGVESCRGVSRAVRQRCALQCHTHAMSYERVLVATNASAYRKDNVGVDFAAKPI KKTSAGLLPYGLDEDYNPRDHHQEFEEDDTDASQRRGRNAPGSRPATPRRNTRR H257_10563 MLRLPALDRTAATSIVLGIICILLGTYGLVCHAHAAMCPAQTSA IGAILAGVGFGTLLPIVGCLSAYVMDSRSEETQPLLGSSSPSGGRSSAAAISPRIYIY LYASHFATAWGDRMWQFAVPLLLTRFFPTTLLPNVLFQLVVYIFLIVTMPHVGAFVDR TNRWHVLRTGIVGMTSMMVVSATALVAATVLHPNPNDIAPSNPVANTTTDATVQFAPI FASSLNFAGTPWPLYTAVAVAVVASAVGQMFNDMQTLALEKDWVIELATATDTSLASW NTALLRIDMATRILSPMAFAFQMDVLQNVISYTGQVFVLVASVAGWNLLLAPLVLATG HDLYDLCPSLGNKKTHRQRHAQPPQTRSSTTAMWKLYLHHPTALVSLSFCLLYLTVLK ENALSTAYLLWHGTSAATVGWSMGSGAYCGVMASVVYPWLLQRWEYAETVAVASVWLY AAFLTPALCVVWWPGADNVVLMIVIATSQFWLWTTTLAETQIMQEWVQPHQRGMINAM QHTANKGFYVALLLLGVVFADPHSFRTLVLVSVVATTVAATGFSVWYCRHR H257_10563 MWQFAVPLLLTRFFPTTLLPNVLFQLVVYIFLIVTMPHVGAFVD RTNRWHVLRTGIVGMTSMMVVSATALVAATVLHPNPNDIAPSNPVANTTTDATVQFAP IFASSLNFAGTPWPLYTAVAVAVVASAVGQMFNDMQTLALEKDWVIELATATDTSLAS WNTALLRIDMATRILSPMAFAFQMDVLQNVISYTGQVFVLVASVAGWNLLLAPLVLAT GHDLYDLCPSLGNKKTHRQRHAQPPQTRSSTTAMWKLYLHHPTALVSLSFCLLYLTVL KENALSTAYLLWHGTSAATVGWSMGSGAYCGVMASVVYPWLLQRWEYAETVAVASVWL YAAFLTPALCVVWWPGADNVVLMIVIATSQFWLWTTTLAETQIMQEWVQPHQRGMINA MQHTANKGFYVALLLLGVVFADPHSFRTLVLVSVVATTVAATGFSVWYCRHR H257_10564 MDRTVVASVVVGAGCLVLCAVGAGCVGYPNKCPVEGAYVIPMAI VAVASLVPVVAIWVAAFIDSRRRSHSSSKSKPDELTSLVPSTSVSDAAARIPVYMYAS HFMSSWGDRMWQFAIPLLFMEIFVDTLLPSALFSLVVYIVGVATVPVVGAWIDHTNRL RVMRVSILIENGCIIASTIALGSILYVLSSEPATTTPGVHWSWPMIGLFGVTVVAGAV GQAYTDAQTLSIQQDWVVVVARETGVPLGDWNASLRRIDLICKLASPVAFGLIMDFAG DAPMTRAATGAAVVGVWNLLAAPLEYCMRVDTYHFVPALHDQPNQLKKKPTLNFTQYF ASWTEYFNHPTFLASFSFCALYMTVLTGDGLNSAYLQWRGVPLSLLGLSSGLGGLFGF LGTLLFPVLLACLGSIERVSVWSVWCFWATLVPVWVACVWYGESSVSDYIMMVAVLFS RMWLWSCDLGETQIMQEWVEADRRGSINAMQNATSKLFYIAVLLVSVFCSDPREFVTL VSVSVGAVLSSAIGFTVWYARHVKK H257_10564 MDRTVVASVVVGAGCLVLCAVGAGCVGYPNKCPVEGAYVIPMAI VAVASLVPVVAIWVAAFIDSRRRSHSSSKSKPDELTSLVPSTSVSDAAARIPVYMYAS HFMSSWGDRMWQFAIPLLFMEIFVDTLLPSALFSLVVYIVGVATVPVVGAWIDHTNRL RVMRVSILIENGCIIASTIALGSILYVLSSEPATTTPGVHWSWPMIGLFGVTVVAGAV GQAYTDAQTLSIQQDWVVVVARETGVPLGDWNASLRRIDLICKLASPVAFGLIMDFAG DAPMTRAATGAAVVGVWNLLAAPLEYCMRVDTYHFVPALHDQPNQLKKKPTLNFTQYF ASWTEYFNHPTFLASFSFCALYMTVLTGDGLNSAYLQWRGVPLSLLGLSSGLGGLFGF LGTLLFPVLLACLGSIERVSVWSVWCFWATLVPVWVACVWYGESSVSDYIMMVAVLFS RMWLWSCDLGETQIMQEWVEADRRGTMDKDMIRHVILYGGGISVS H257_10565 MVFAPPPAALSRRVVVTGLGAVTPLGVGLEATWNRLIHGDCGVS AITSFDTHGLECKVGGQVPDSFNALDHINPREARSQDVRFISFAIAAANEAIRDANWT PTTESQKERAGVAIGAGIGNLQEIVDTGSLIQQQKFRRVSPFFVPRILINLAAGHVSM MHGLKGPNHACTTACATGSHSIGDAYRFIRNGDADVMVAGGSEASLNSLSFCGFLRAK ALATKFNESPAEASRPFDQHRDGFVMGEGAGVVVLEDYDHAKARGAKIYGEVRGYGLS GDAHHLTAPHESGDGAFRAMQAALGQSGLDITEIDYINAHATSTPLGDAAENRAIKRL FGDHASNLRVSSTKGAVGHLLGAAGAVEAIFALKALHENIAPPTLNLTSQTAEFDLNY VANVAQTAPIRAVLSNSFGFGGTNSSLCFATLD H257_10566 MATTTIIGYGSLLSETSARSTFGHHVKNFRLGRVHNYRRVFAHP ASIFFQRGIAIKETLEMASLSAEVSPGTSFAVSAFDIPTSQLPDFYDREDEFSIEEVE FHEAKYGRVSKGLMCCRWNDADYIAKRGQSTFDKLYRTYGLDTIWGYDASSGILPCRV YLRHCILAVQKLGEDIYDDFVQNTYLGDRTTTIQSYLAENPTIMDAVPPAHLVGRYSG H257_10566 MATTTIIGYGSLLSETSARSTFGHHVKNFRLGRVHNYRRVFAHP ASIFFQRGIAIKETLEMASLSAEVSPGTSFAVSAFDIPTSQLPDFYDREDEFSIEEVE FHEAKYGRVSKGLMCCRWNDADYIAKRGQSTFDKLYRTYGLDTIWGYDASSGILPCRV YLRHCILAVQKLGEVGALGHVFMFFVHIWIYF H257_10568 MPEDDPTLGPRLHDPAMLVGTVASVAVAYYASPFLHGPWEFIAS WDDSINFLDNTMIQQPLSISSIVSMFTTVKINVYEPLSWLLKALVHSVWGMDPFAVRI VTLVLHWVNCLVLYATSARLLRQLGQPHPLGCFIGTLLYAVHPIHVEVVGWPSAQPYA LAMLFTLLCFYTHLHALECTSPHHRRFFSILSMGLYVCSVMSKSAAILVPVGIVATDI VLSTPPQPCSWNRRATTLALLRYAISKAGYGVSMLGLACMTAAANADGAMVDTDILHL SMTQRCVKAVVVLLWPVRKLLWPTPLRYHYQLPSTLHASWIDSPLWLLSATATAGITT WCIVRARRTHSVAALAAWTFYLAMLLPVSGLVQHGLVVLAADRYVYFPAIVVVPVVGQ LFTYIDTMCYPWNRTLAVVSLCAVALCLAHVATLQLESWRTAEAVFRHGLKSDPTDWR ILDQLQELLLETRPAEATLYLQQTLRYSPRHGLKAQLQIAKCHMLLGQSTEACAIYES LHEQYPTYAHVLNNMGICHWKQGRLRAARDLFVRAAANGTGLTRGDQSPQTNIILVDA WDPRTPVVARIMW H257_10568 MPRRRGCCDSWASPIRSDASSARFCTPCIRFTSKSWGGRPPSHM PWPCCSPSFAFTRTCMHWNAPVLITAASFPSCLWVCHAIHHVYMRYRSSDDTSSRLVG LYVCSVMSKSAAILVPVGIVATDIVLSTPPQPCSWNRRATTLALLRYAISKAGYGVSM LGLACMTAAANADGAMVDTDILHLSMTQRCVKAVVVLLWPVRKLLWPTPLRYHYQLPS TLHASWIDSPLWLLSATATAGITTWCIVRARRTHSVAALAAWTFYLAMLLPVSGLVQH GLVVLAADRYVYFPAIVVVPVVGQLFTYIDTMCYPWNRTLAVVSLCAVALCLAHVATL QLESWRTAEAVFRHGLKSDPTDWRILDQLQELLLETRPAEATLYLQQTLRYSPRHGLK AQLQIAKCHMLLGQSTEACAIYESLHEQYPTYAHVLNNMGICHWKQGRLRAARDLFVR AAANGTGLTRGDQSPQTNIILVDAWDPRTPVVARIMW H257_10569 MAAYGQRPIEYPEGVFADVNWAPVIGTSARTDLTRPYSTYSATC DDVKLTYPVTYPPLSPTSDRACVLTPSPGKVYRGGLDAYIRNQAQNGLVHKLKGAAGF TVSHVESLPSWADIIILANLGIDTLANTFQATTKATSLHLPFNSIKDLSTTQFPLQLA TLSVHHNKVVSFNTLSAAGLKYLDLSFNNMSSLKTTVFPDSLRQLYLFNNPSLVLHKA TFPPILQTLHAHNCNLSSIGSVSWPPMLDDLILANNNIASIANTSFPPALSSLNLANN HINELKANFPSTLRFLYLGGNPITAFYANESQFDILASLQNPQKATAASCGEAEVYPG DCSIVLDTTATNSTCTGHTSVRLLWNTFPICIVPDDPRSFKSGKNEYNRSKFWNTTAA PPTGPDGMLPWKGGGGHGNQGGEGSSSSMLVGGVLVGVVVILGAYYAYRQFQQRQACQ WYNEVMTKGNKQFVDSDNAATTDQCDIHHDIRHDPSFAVFRIPATNIERGAVVARGGY GIVYIATLRTVGKPPTQVAMKQMLPEKGHNVDAIEAFMDEIRVSARLYHPKIVSFIGM SWTNLMNLSMINEYMGGGDLWSLLEVNRTQRRVDWNVRGTFRVDFGRSWLSSTTASAA ANKALLHAGLNDPNVPFSKFSVLLDMSQALQYLHSPDINIIHRDLKAKNVLLGGDRGV AKLTDFGTSRETMEDQTMTAEIGTVPWIAPEVLMGVRYTKKADIYSFGVLMSEIDLCI VPYSDLKMIVPSAGVSVAMAKARISMMVVSGELRPSFSKNCPQAMIEIAQRCLAFDPA DRPSADELVSWFTQFLE H257_10570 MATSKERHSTKGVSSAHHRAHEDEDDEVSIGSLMKKGKKSHAAK LEDRLRQAHAVQSSPPVTVQSALDVIESALGTLKIKLEGNTSSSVRKYLVKMEEGLRG LQSKTKKGKKSAVSKADQATTTTNDPPKTSKVMEPSPPSSSSLPAVAVEHPSTTIAAP APSQPATTHIAFEDDYVQDLSSIPLDSSLPPGVSLQLAFTPDGFCDGIKWPVVVFNSI DIAKAWGMDVTMLQLLHPGFDSSTDRVLFYFARFNTMQDLNQLAVLPLDEAAVAPWTL DACAVSCEGLYQLAMQQAARFAAALCPLHAMEWLAHCANASTSFEFSTPDELAAITAD LQAKVSHYTESQALLYASWPILQDSEGWSIEPNPSGGSYVTYVSSDGTRFPSRLAALR FALAAPLDAIHQIVWTYLRHAQLGRQLAGTTVESLGRQYDSVQAAVQAYFGGLSASSP RGAMAAAAAAASDVSEIQLPPSPEHTHSSNGVMDRILAALMTAEYGWFERSDSIGHMY CQPTYSTAAMPVLGTDFFRSATDVELYLTSSQRDMWERLCATAATATTHHVVKAETTA AAATSKQMKRPSLPPPPKAKKHKPSKRLKKSTFQPTFADVYAYLETKGWFHRQDLEAG HSYYYKPHTDVATAEHGKTMFASADELETYLKASSVWYRVTELLEKEHDTMALLDAAD HAAPKPAPKPKPPAAKPKPPKKGTRVSSRSMVVAAAAPEFKPTFSKVYSELQKEGWFH RNGQFGWSYYKPGTNVKQAQLDDDMFSNEVHLEQYLKSSGEWQRVIDQKNQQLQELYG YYPPLPSVDSGAAPPPPSTV H257_10571 MAMDPGKVHTRPAKQEHERYRPSDFDHCGKWRGKHPLAMLKDQA HLGHDDPQTEPRAGPELAGRRGHPLRQLPALYQMPRRTTLSELDAGTHSTVLRKNELS IITDKITTINITIQNREADRNIFQSYASQFAGVNCAAKRRARPDTMRMPSQIPAALHT STSRITWSHQHSAKFFSQCAKLSS H257_10571 MAMDPGKVHTRPAKQEHERYRPSDFDHCGKWRGKHPLAMLKDQA HLGHDDPQTEPRAGPELAGRRGHPLRQLPALYQMPRRTTLSELDAGTHSTVLRKNELS IITDKITTINITIQNREADRNIFQSYASQFAGVNCAAKRRARPDTMRMPSQIPAALHT STSRITWSHQHSAKFFSQCAKLSS H257_10571 MAMDPGKVHTRPAKQEHERYRPSDFDHCGKWRGKHPLAMLKDQA HLGHDDPQTEPRAGPELAGRRGHPLRQLPALYQMPRRTTLSELDAGTHSTVLRKNELS IITDKITTINITIQNREADRNIFQSYASQFAGVNCAAKRRARPDTVGILRHPTQLYFF ISKYRQMRMPSQIPAALHTSTSRITWSHQHSAKFFSQCAKLSS H257_10571 MAMDPGKVHTRPAKQEHERYRPSDFDHCGKWRGKHPLAMLKDQA HLGHDDPQTEPRAGPELAGRRGHPLRQLPALYQMPRRTTLSELDAGTHSTVLRKNELS IITDKITTINITIQNREADRNIFQSYASQFAGVNCAAKRRARPDTVGILRHPTQLYFF ISKYRQMRMPSQIPAALHTSTSRITWSHQHSAKFFSQCAKLSS H257_10572 MITRPWHRRRSDGTRNRCRHSYPPPSTRSLVSSASQAFAIRLFL VARYNGVIHEINPYFNFRANKVLDWFDDRSWYPLGRAVCGTIYPWLMSTVSLLHWTLN VVFRLPTILRNTCVLIAPIFGVGTIVATYLLTKDVTCRASMALVAAAMVAVVPADTSR SVGGS H257_10573 MASRYDRAITVFSPDGHLFQVEYAMEAVKRGAVVVGVRGVDVVV LAVERKETAKLQDPRTVRKICKVDDHITLAFAGLTADARVLVNKARLECQSYRLTVED APSVEYVARYVAKVQQRYTQRGGVRPFGISMLIAGCDTKGVPQLYQTDPSGTFTAWKA NATGRNEKSTREFLEKNYVQDMDEDAAVRLAVKALLEVVEAGSKNIEVAVVRRGGISS AVPDATVESVCASIEKEKEDARAGAEAKAAASSSS H257_10574 MGAGHSKEVVVDKAGTSSSLMPCGRGVSPLPSSARRLDVRWGRI AMEQLFDDAFLSKQARRTGFLTSYPATSAASQRRLLLQTSDFQDPLRPSTATQGPLIP GSSDDDSDEDASLLAETIMALVDHDSPSLARYSITRLRDSLVRHCEVVDPFANKVNLQ LFILSGMHDAMIARRSLPASCAATATQTGDKAAPSSPSSHEASLGVHVFLHLIHAMLA TPDADKADVRQEFLMDLVPLLQQLAPLSLTPTTLISPQSAAKGTQPTPPDVVDTLQQF LLDACVSNDDSNGGGDIAMNALLRLAVARGAVSALLQAVQVLLGAPTTSTINGPDTCL DTTDSSDAVVKPSAPRHYVAKPPSSVAAAGIVKPTPGSVLLNRGDSGEDVVVVLKKKP KPPPSSVTAATSTPSSPPPLHHPSLVHPFAPNKNNCDSPKASIPPRHPSTTSRLFAAV DIRPVVAALSTIVPDVVSCRGKHDRNHTVAGNHDNGNDDDDDDADEDEREVWSCGQNS YGELSHGDTAPRKSFDRVEALQGKGIVHVCAGNEHTIAVSADGSVYTCGYNDNGQCGH GVTTRLPAMTELTKWPDASFERNVSQIHAYNGCEHTVVVAHDGSVASFGYNYRGQLGH GTTTSESLPKRIRGLDARRVTLVSCSYYHTMLSCAATMSSGGSVADVYSFGRNDYGQL GLNDTLDRRVPTLVDALSNIPLLALACGQYHSAVATADNKVLVCGKNDYGQLGLDGLE NQLVPVAIGSGRLDNETVVDVRCGYYHTIVLCRRGRVFGFGRNDYGQLGIGDAGVHVN QRIATPTLLTDLEGKEVVRIACGCYHTVAVAESGMLYVFGRNNHGQLGTGDTTERLIP CAVDTFVGKRVAVVAAGFYHTVILTGGKDIEDEGTCVPELLPSHSDAIVADTASTCCE DDYVWTSSQAMLDELAKNKSRGMQRKSLSLDPTVDDEDDDCGDLPPMSPSSTSLAVLQ LTSQVDAAVCVLAHLDRLCQPYIPRKGSYPALRQDKSKKIRDPHAMTRGGYHPYCVDM QAATFDALNYILQHFISPPIISSSPSSPPSTAAANALHDSHVYVVLAALRLVQANLAQ LLKSGAGARIAVSDTGSTSSPHPLDAPLKRLHGVLVQWINEPSWLTQTDNQNSVRKAI EREAMEAMLLGLELFYPCPSSQIHLVVSMLKVVESAPSVVPCPSCHTHDVAVPKPRKT LVEPILRRMADDALLGQLFKNLPSNNNAIKRLLSMLLERVAGTTDDWLVLKGGPLPPS TNDGLRPYVVLLNAMQKQLASWAGDTQHPRMSPDDPGLYDVAAPQVDLPLSWRWFLEY ATMVFQHATDNLHATAPLPTDAMRLDERLAALETSVVGSIVPSVVTTLLLFAHLVPFA SKLLPSVTQVLRLVDGLNSYIPAAIQANLDLLGINTTAAADPAMPWCVTLERLLVQLA ADMAATLVLGEPLHEYPAVLPSSSTTTVLFKWRHLLRGGLEPSTLTPCRIVPHDCSVE GSGRLPLPPNATVSHILMDAEFVALCDWVRSTHAARDASYRFVLKTSRRAFDEIEHAV YRVLIKHSNCDRDAALWSATAITTTAAATTRHAPPHVLAAPWGLVAECTRTLSQLKNS WQNQQGDDSSSVTSGVDRTSLFRQHVLRRCAFLLDIVVVPSTNRSTGGNNEADDEFTG VAVPSDPLPPPSHTSKQRQENSLHVHKPPPETSPFLARFPHSKWKRVRMLVHITVRWR RLLTQHDGTLSHHHLLRQIVTFVLDTDVNNVADVDDLRRELVRRCRRASSRARGLHTF GDLMTFTPHMSSMHTPVLNRLGRVLRIGLGGRLLTGLDGVGGFYGGRVLAACASVFST VASMVATTHGGPRMLAALQCWGFVIEPDMYAFIDDVDIAAVLQQLSIKDGDDVAVRGA TWAAFRYVVASGSAQHTSPEDRMTLLIPREPRWRHVMDALYAALSWSANAIIHENNGP RPNTDNGATTSSVVLGTTRSFAASDQSSSVTVSLASTFTVRFWLYVTKAPDAGTRCMV MSVSSHAKEWVPYCSLGEGASSTSTTRSTDVVLEVGLRQHSFQESLQHVVVCHQWLNI ALVYDAATLHLFVHGEIVCAKAISSLHLVGASTPAKLTMGKPPVQLDTTWPFTGFDGW LAQVHVDENARSPMDVAADAALGPPNALMDRCCYQLGIVSLLLAHSSEGIAELTSSKW LALCFSLVDTTSFRIQQLTLRLLKRLLPYVDPSSIPHLFKGTQRANMPLIPYWMQCIG HAVLASTDPSHQKIHLALELSHVVMHLASVPKWTVSVQAALESGLDAAITCQRTSGAT TTDVATAVGSLFVLGGGIEPLRVGAVVELSQGKEVGTVVSYHAPFAQLVLHKADKAAA AACPSYEEWVEVVHGSAADKNEFGKPVRVNTDELVVSWREYPPVPALSWSLLVATTMA LLQNYGSNDDGNSDSSRMSWLSSSAATTTALIVQSSALKALVHGLRSAANLSNERMTW QHPELLPRLLALATQSDKSTVYCSVADMELKVAMVRQRLSDASIDRSGDNADDLGGIP ATPHFKPGDEPSSHLKVAAAAFLRQDALPPSDIGDDCQGQDYDGDNGDDDDEDGGDDD DDDDDDEEEEARSEFVEELSLMGFPEDWCVLALKQTDNDMLSASAWIVDNLEYLNTLQ AAKDKEDNSKQIVFNDEEDDDDDVGYHATPSSPPPPSSSSSSAVESSSSCCAAFCRDI PDRIVPCLTIVDAADIKETGRKVFGEMYFPFEEGGFLSNMPSLFLSLRLGNQPTPPGC TSTTTTCPPPSASLSSSADNLQQFAAELANTTDLRALATSVEQSLQIKYARQGLALYF AGMHHQANKLDETTERLLLQYAKAVLFRGPPASEDMTTPLEVVLASVVDAALTDNIGR MGGLVWATIVSELRQGCAPKYEGVLWTQRDVSTGDLSALSDPSVEFVVWLVQTFFAKP DRLRTYLASTTSSTSSLAKAAASSSVALLIRPLVPCLGHSNVALKLVVVDAVTRLLRH SDPPSLPPPTPLLLVSHPDCPLATQNEQSDKDFAGLDRNLLLVIARRRQLRERSQNRF YYSPYLQGLLELIRALPPPPLQDETPTGPSWGLQLVRASETSLTLAWPSPVVHSDQSR PVYVLEENVPNGGGSSPRVVYSGVGHQITLSNLMPRTTFTYTLRGGEAGEAHAGASDG GGSVDMKYKTSASFTTKADPTSDYVRTSPFVWDKKKCRSGSLVFSDDGLSVSFNGNEA WRMVLGTECFVVGRHAWQVKVDKSTSAYLFVGVASRRANLESFLGADEHSWGFIGDGA LYYQRNRVKTYGEPFGEGDVLGMDLDCDLGTLSYSKNGVSLGVAFDNVVGELYPAIAF YTRHQKLSLVPSGFNCKVGLKLHGSPTESTVDEYLDCCAVMEAMTQSTKLPRRLLQAA YDGYVTWWRETRCRVMTRAGYELLFDVSDGACVPVGFKAKDKVKTPRGNGTVVGVADG RLWVETDGETGAVWFFHPSKVRLRGGATSPVAPPAAPADPSDPSLSLEAFTRLVDCDQ WTLAQDAKLIQLLNVECATSRASPWNIGHAKVRELVASAPHVEAAVGRFGVLKMLNHL VSRSMPFFDLTWHYFNPKRSPGGGSALLSATRACLFTSFKHSVLDTLLEKTLTHPKKA EDDYDYPEDLPQVTVNRPKAAVARFKLELDTVVSQSLFGQAFDELHFLDNKVLRMVYS HPMDDGQLRAFKVKFEGEGADDYGGPYREFFAQFVSELQSLKPDAVECTLPFLMPSPN WRNGLGSHREKFVLNPTLLSATSKWNKGASHHPVDNTALFHEMYHFLGQMLGILLRTR VLVRLDLCTAIWKQLVGVPLDSSDLAEVDTAAHTLLQQLEHLDAADTTLHDLDLTFTT HLSDGTLVALKPGGHVIKVTQANVREYIDLVRITRLQESASAIEAIRQGLCTIVPANA VALFTPAELETRMCGRAQVDVPLLQANTEYDEDLSADDPYVMRFWRVLVDMTDDDRCA FVRFVSARSRLPQDQLSFGQKFKIQSASGEGMTHNPDDSLPKSHTCFFALLLPKYSTD DVCRKQFLYAIHNCLEMDGDFRLADTEMTGWSDIHPSDALSI H257_10575 MHLPPTAAAPSSSSCLSYTTDPIKMMLADYHPHMPPQYYPSEFE LHGLDCQSLPTPSVEKCAYRTGKCFNARAYKRNGKPHKLCAFHREKANLNQMKLDQKK RQRRGSSGCEDDDGDSSSATERPRKQRCVERPSLSALVQSPLPTRIDDAPPVLAVDEL DFFCDAMSPRQSKLFQDLQEAASRATTFTLEVVV H257_10576 MMHRYLLGRAKHVARLRRCSIPFDTRSLSSTPTPSPRDDLPSSA DVVVIGGGSIGASTLYHLGRLGVNAILLEKDRMTAGTTWHSAGLLWRLRPSDIDIQLI RHTRDLAKSLEAETGISTGWVENGGLFTANNHERLSEYHRLATLGKYFDIPAQVLSPS DTKALYPLMNVSDLKGALYSPGDGTIDPSGWVAALTKGARQLGAMAYEHTRVQAILTA GDDQGKQVTGVQVEGGHVIKTKRVINCGGVWAPAIAKLVGHVIPLCAMHHAYVVSERI KGIQNMPNIRDHDASVYLKLQGDVLQIGGYEPNPIFWPDVDPNFAFSLFELNWDVFSP HIDGAVNRIPILGSTGVRSTVCGPESFTPDHKALLGPLPGVSGFYLGCGFNSAGIMLA GGCGRELAEWVVHGRPSLDMFSYDIHRFHPSMLGHATWNKERSHEAYAKNYAIVFRHD EPLAGRNIRLTPFHRELTKANCVFQTRHGFERPGYFASAEVVAVKPYTYYGAYNNTIH STDHYLAAIQADTTFGWPASHALVGREVAACRTHAALFDQSYFGKFYLDGPEATAAIE YLCTNQMKGEGKTVYTLMCNARGGVECDLTVSQVGPHTYYIVAGGASATHDWEWIRAN TRQFDVTLTDRTDEFGVLSLQGPASRRILQTLTSLDLTADMLPFSSHVVGTVAGVAGV RVLRLTFVGELGYELHIPKAGCAAVYAAIMAADAAVVNAGYLCMDSMSVEKGYKHWHE DLRSDDTPVEAGMLFAVKLCKPIDLFLGKHAIAAQKERGVAKKLIGLTPNDPIPLHGN EAIWRNNVCVGFIRRCAYGHTVGQSVGYGYVVHPDGHVITPAYLNDGTYEIETLNERR VPATFRPKAVFDPTNTRVQGNYGDMT H257_10577 MLAGIRHAAAVPRHLVQATTFVRHVAIDTKVPIRKVVENHVGRS KFNVVKELEVGISLLPSEVKSIRDGNCDISAAFAEEYDNELYLHQMYIPVWRHGIVGR HDPYRVRKLLAHRSELKRLFEFARESNAHLVPLRVHLGTTNWIKIQLGQCTRRKGPDT RKTDDGRDVKRQIDRALKGHDY H257_10577 MLAGIRHAAAVPRHLVQATTFVRHVAIDTKVPIRKVVENHVGRS KFNVVKELEVGISLLPSEVKSIRDGNCDISAAFAEEYDNELYLHQMYIPVWRHGIVGR HDPYRVRKLLAHRSELKRLFEFARESNAHLVHHFGWFPRLCLSCWSV H257_10578 MEARRPDAPMPLDVPPSRFNYIQKRLAAAATSSPHHNHPSMLCF PTSEPLTTQRYYRFRLLGLLAMQLMAVTAVVAVITHTLDLSDIVSRWVSEKPLVLVVW GGITTVLLLVLYIVQHRDRWNAVVLIAFTVAQSLLIAGFGLWMHRVPVGLFVVAGTAG FMIQFTLFSCVRASGSQCNAVDRLCNLWQAGASAFATTLVMSVGCMQIEAIQHCLSWT EWSYSMGFLGVLAMWLVFDANGLQLCLTQPHEYWRGVVYFYTDILLLLALLASLTCVL ACGDSGDVICVGPVWCCGGGTRPIESRPPDLAAAVIDGNSSHNGVTV H257_10579 MEDDDNRSADSREDLDDVQFDVAWDDILMDNSPSISPSSQSTSS SPKDTRSATTGKSKRMGARVDYFLGPTKADDVASASPTKAKSSTQEASWSQNGPPFDP IPTQSTPPATAPFQSTNPWDEVSLHALAGQRTSLHDDHISLPSTQHLARMVPTKSSEI DNLVATFSMSSSIDSDLQPPKKLSGQWECEDDVSVVVQGDRALPPSFPQVKMPSDILK PPLASSAIVVPLNSPTTHPSRRATDATSSASMSSRNPPSLPRIQGEANDNSEGPVVKR VKSSSSLPRVVDLLDTPQTPSNTLPRVTVATHVDDETTWKQLMQDDAAMASVKQALRD ESSSSVPLSNAPRAKRKSFNSAAATTTPRSLPPDNTTHANTHPAPMKISLEESKRQDL WQTLRRGSLAMAPIKRTFLPPAPVVAVPSETCVSPSKSQTTLPDDPPTTTILATLDQK LAAFESYLGETQALRENLDEARATISDHVSRIDDLTRLNQVHEQTIQSLQMQLQQSTQ RQTRDSETNATTISARVLAWESFSVQQQRRRRRQMLLVMTWHAWRGVCRLEKARRLAA ATVVARLLERRAATHQALRRALQQLQQIGAIQAGSHEALQAKRMVGEYRRHTRETAVQ CAMLLVTNSVRNHLSRARQSAFCKWKRIDQTLKGQQDSFRQGALKLKAWLSMHWIAAT RLALQRWAHHHHTFGWQAAVDTAAAHAVEYKQAKECVFDLTCTANQLREANAALASQL TSQQDKTTSLRGELQLTKHGFVATVVRRMEREGARVWFQAWHDHTLVQQSTRVLQTTV AGLQTQLDERDRFTKSLDAYNQVLQGDLERFQFVHQDTRLAVDVLTKKLLREESKHQA VVDELAAVSAQLQSLCTIEWDDQYYHDHDDDDNANDMMSQPQLCPVQLLQASKDLVVE RLIQVFGIHADQVTHMANASATGRGVYLMSWDNCYELVQSTLCRRHHVPPDGSNQAQP PSMSLAEDMEMLAQLDSFFPPPPITLRAFVMALSAFLTHMQAHTTDDSVRRRLAGFWR ALIDASDENDDEGGGSRPSTPSTTAAWTRKHNKLSDDIIQNQEKLLAVLEHETAVVER AVLDKASLKHTYPTDDDGEPHEPLPVIPSTPPPTASRKDSSPTSPCDPDGWLALPQVR DLVLAYQVPLLQLYVKYATPHSYAMATSTPQSPFDRQIDLAVHTLAPRDIAMSLQGAL KLFEDLKLFPVVFPPDTVATYYTAMATPHSPSPSPPPTSSQPPMMLTCPGFIKLFGAC ILTTYAGRPTSMSIRERLHTFFYELHWTATTTRHPKPCYVGQEIESILWPLFEYYSGS GGHNGPVPSSATSVSSAVPTTSDARLAMTAAKFCRFMADIAGADGSRSDAELMFRKAV RVSRGTALASQMAFDEFYMGIYYMHQLRDTTKRYDSPGDALREWMQLI H257_10580 MLLIVGWTSLLLTLLHVSIPTAANGGGGVSNSCNLTKFCGIACF EPEIEGCCNGTIFSLVVRQFPIPRLRRQSCCLAVDGTAYVSSFCPMLYRPLMNATSPP VQVIIAPPFVIAISTNVSGRAGNNLTAPYGTSNTTVLPPLMSQATTASPTATTPTPTT VSPPATPVTTAPEATTAETTTVAPSPIRLATATKTPTPTPTLNNGYTEPGRLFAFAWC VGMVVATASVA H257_10581 MHAGRLLGAALALVHILLTPTFAAVVVWDNTVATGDVVVPAGQT VVLRNRNIVRGQLTIEAGAAVTVDPTCDSVYLQVGNVEINGILSIGSAAAPFTKTATV ALGCEKPALYPATDDRRYGVNVRQGGSLQLFGSKGTKVPWTKLALTAVEGTTCITLAD DTTGDWAVGDSIIITTTDFDPTLTERRTITGFRSTCVEIDSPLNYMHYGAFTQGIDQR AEVGLLSRNIQLVGCTTSGLVGGHLKMNQGFRTAQLSGVEIRAFGQGDVIGRYSIHFH LTGNVAATNSFVKASAIHSSYMRAITIHGTQSVLVERNVAYNITGHAMFLEDGAEFNN TFRGNLVAWVRQKTSGAFRLGSDALQGLSAFWITNADNVFEDNVVAGVEGTGFWLHTR ARPKLPSFKTGLYPTVAPHKIPLRKCTGNSAHSVWNGFRIDSVDFDQDDQPAQDYTGA PSLAYEPMALTVISNFTTHHARQGGWFRIFQIVLDNWNVADCREGIQFLTTGNTATMP INGTIRNSHFVGSSSNRGNQFTSAFQKINFIEARSDSALLLADVIQMAVTLYDGPHYI ENTTFENYISYPCFNYYSTAFGARAFNTFMMATTTQSTNNKFINTEFPVFMYDRVSDG GKTTLMLDTDGSISGQRNAVIAPDWDFYYTPSCVRNGGYGLACPQRYNNIEIVQIDGD GTNLAKYGELYVVRANLASRSGGLAPPPPGLSFQGQYIPAAGGYLYHPSLSVGATYVM GFTTRTPPILRVNIVNGQQGDTHTIAFSYPRGTTITSVMNVANQALNRATSLLDRTCV NCFFYDSTLDLLVLRLKQLLPRIDPTLPCPASGCDGVVVRATFRSATSSTSVTDCSTR GAPLQTLDDAWLQTSFSNKESFSLDMPINLDWCQIGDPCLESIDVGNREQGILAYADF PCNGIGCYSNKCRYCKLSFSASNQPFLPCPFETRRATGSPTTTPSSSPVPPSTPTSTP TSATGGACSTYVSVGDSAVGISAMPDLACSVDNNRTGCFLSRCRFCMARDTPLSRVFV PCTTTPPTCASLVTPGDQAVGISAVTDTKCPNSVLAGCFADNCRYCQTRPTTQSWRFG LCSKAAPSTTMTAVPTTKPPSTTTQTPLTATPCDPTTTTMPPPRPTTILPITTTTTPA PVTTTMTPSPTAVSPACASLVSNGDQSVGISAVPDPNCPNSVLPGCFASKCRYCQTRP TTQSWRYGPCIVPAPTTTTTVAPTTKVPVTTTQARTTAATTTSPSPTTTTSPPLATTT TPACGVSIGDAASGLGAFYDPSCANGGLGCYSKLCRFCRKSPVGMYVICPPTVATPKG ITNNIQGDSANVAASFSTTTNTSDGANASAVSSSAHDLTAAEQATGVAILLTLSVVLA LVVKADIRRRQLADKAAKVAVPSILTFDATALDNNDNDIAVVDVVDVAPQDSIL H257_10582 MLVVLLEEPPSDHHRNVLALARDDDDEVSILAEPTRSKRHRVAA KKSSGWSQLCQRLSTSAEVRYYALLAQLLHKEHARLDPRQSRVLTQNVPSLPPPPSRP PSSSSHHHQPMEEASSVVVSSSSSSAPSAPPSRPSVDLPPLPPLDFSPSKHRKRPRSM SLSSYETWTLDFPSIRPGMSVRVVRAERTASNAVAHYVMHVVDLHTKVMWECRKRFRE LYAFRREIKTMCRNSPYGEELTYLFEHLAFPKRSVVGQTSGARILRRRLVLETFLRNV ASLSPDTPLHVAVVGRLQRELCSAAFITHLANESVDVDTTRCSPHDLVELKWLAFDLF RNLNSCCTIEGDTCQRFLHVFRNRCAVVQDAPPSGQQELARQALQDLRNVTTQIQHYV LDTLGPQYRRAISTAMCDSHTMEQVDEAIDECVLVTVEDAVMVPLQEQITFLVELTID VDVEAALTRSMEHDLYGKPQSFFGIPDALQSDVNFGKACYHLSMMEDRVLPHEKLHEL VLSANEIFAACGDARGGTASMGNPTSTMMNADDFLPIHIYAVVHSNLKRPYFAKEFLS AMIHPNKMLGETGYFLTMFEAALKYVSESM H257_10583 MRTTWTSGRPLGTSPIVLLQMHRMLVLILCILAVASVASAQVSD YAPPATVVEGSGTAAAAAMQSLHATLRAFEAKQAVPKPLPQSHNVDLWLGGIQYKSRM SPQWTDEVTGRRLRLATGFGRRDVVVEPSRTFGGASSPSWRVRPFREYGFGESQVVRV SPSGLDFGVQEACIPSLMTVEFSYRADTLDDGGVANDDSTSPTNSLTEQLPLEIHGIH IQDKQFLLAEVFAPITLSPGSVHTFHVLFLPHRHSSTTIHSAMTFQTSLGDVPYPLRG SGVPNRYRVSEFKASIAAGVQFDPTIDLYNPHPQMLRVTEVFTTEGFLHVELPKVPPS PPPPAPPSPPRNGSHADNHEPVHSNHDLPPNPIGLWEIEPATMRPVMQLSFVSSTPGI YTAYVRIETDYHNLIVPVELTVLSEGLHLGATHLNFGILVNDDEEHHIALDFINTGHT TVLIQGVSLQSPDSHISVIIQGSHAVAPMSRVQHGMVVSFGSTADAGTFTGNLIVHTN DTSGSGPDIWLNYTATKVNGGLAFAPEDVQFTSCGGAGRGLVLTNHFDTPVAIEMVQL VHPWLFALHNFTGNATADAGASWPPIYFDCVVLINDIPVTSPVRTHTTSHLLVQTNVS RHVVPLVLSSNRLTLETKHRRWQAVVVQEEDVNSSTSLPRRGFRLDLGNVSLSRHVAV NLTNFNPNTIAIHSIVPHLPSVEVYLERPTPHLAASVIAYEWVSAAPPTEGDDDDDGI TTCDNVTSTCRRPSNSSHFDAPLSSTSTNSASIPPGFALPMILHITPASTPLIDVLAY TISTAYETIDVYLSYAPVQGTIAPTRRQLRTTSPLYPGRAEVVPLQVDSSFNFAVPIT AIRVSDRRVQVLSQAAELKPNATTVMAQLIVSPAYAFGCASADKFADCMLPAPIGSGV VGGGGTTTRRTRSLSTFGQPVTQTDVDAHFQRLERFSHLQGDDSIVEVKVVVYTDLVA VAPVIVRMSLARPRLLVAIARTEPASTTSRTTRIGTSDDRNDIDVPLTHVGHVTKVWL SVTNPSNVTIDVGLALLASPPSYSSEQEEFNKKEGSGGRRIGGSGGFYACPSHSKQRV TATTASSCKDAWTSAVTAAAASTLSSSPPAREPVAAFFLSATHKPRSLAPGETVTLGP ILFAPTAAIEFVGRVYLRNTLSHIEPIVVRGMGGQGHVVVRNNVVLESRADSYVTILL ENDGNVPLVVFGWSCPRCRVCDDVDDDASSGFCVQVAEDLIPLEVAPHGPPLALNVSF VSACSFSVERESMVWRTSAGDVAVPLHGIVTDLDQCLGTTRMSYLYRGFRWLVWTLFA LVASQIMHYTVQIVWIDWHSPPADTSFGWSPPPHIDSNHLRPPPPLSSMSSFPLDPVD DSLLADQLQAIETQVLDSFTFAPIRSPAVQRLLDQRKAVAAALKDAPLKKKSKMKKPV APPLSSPIVKEATEEGDAKLVLEATDDADRVQLAASGGEVMSHPKTSVTVKVAKDDAD MSTLLESAPPLVPNNCETGTAHDNETTYVQGGWAKDGQVQPDMDTNRRDTAVVAHGGL GNAAVFPSTSQRVVGWVAELSMQDGDGGQDENEGGFAVTAIDTTTTSDEDNNNVLELD QDDHFGDYVAKERDVGGMEHAPRFDADGDDVIAVGGDEDDVIEDGDRMDSVDLSVDTT SSSSSSRTDPHDDDDVILDNDDVIAALDPFPRWSTNDSAFVECMNRHNTIAMDEIDQL MQEIHNETQAVVPREHALDGATSWTLSPDPHRHRPSASFPPPPGFSAADADPLAVSQA YSEMRLLHPPCPSWDTNAFRAPPMPPSYLPGRHHRPGFIGSHRQPPTTTPVESPHAYQ ECPPQQSMVRSLATRKVAVDSFRAESLHSESSSPMLPDGQFSFW H257_10584 MDNGVLPVADVPADVLREYQARAAAQCPPTDAQEYMWRVRFEAA SIPDITTSAVVIPSVQPNTLQKLFLPTLPPFPVHLTLSPAARMQVLSEFADLRQYLTY VEATLAARPTRLDNIPFPKMSDEAHWRAFFLENAPTVKVLLQMDQVLTQRLLHTMVHW MDDDQDGAAETMSRLRAVWTYGLLARLEKPLVADMDACVRQIFRWCWDLRHRNQARND HRERSSLNVLVCICDFFGQGEEEQGNKYNSSNSMSCDDAPSEVSRLRDEILGNDHPLP PPSPFHHDIAHGE H257_10585 MLGMGRAGTQHGLLPGLASRQGSWRHRRRRLRRNGALQGLGRCA WGKPTGSNGRTRTESPNCLGRHTTTTGGTTWCWTPTHTVCTASCVSSGQAFSGSGWPC RGESRIVCGPCRCVSSHAC H257_10586 MTKLFFFAVTAVTSAGLYSGAAVYMSLVQHPVIMRLRSRRLQAP FFCHMYVSASAFLAPIGLLASAASFAAGMADTAMTTTNNPSASALWVVGGAIFLALVP YTALTMLPLNLHLTNEQYWKSHRTSVMQAKLSKWGFLHAVRSVASVIGTATLICACLR H257_10587 MSNDVNVKVAVRCRPMSSKEAQMGSRSIVKVADQCIAIENPNDP SDDKKFTFDYAYFTDSVQSQVYVDVAQPLVDQAFQGYNGTIFAYGQTGSGKTHTMMGT GDDHGIIPKMNEDLFRRVEAQSSDTTKFLITVSFLEIYNEVIKDLLNPSDKVLKIREH PDMGIYVEHLAELVVRDPADVTRLLDEGNKVRQVAATQMNERSSRSHSCFTIKIASKR VETLQAMTRQTMMNAKINLVDLAGSERAAKTGATGDRLKEGAAINKSLSALGNVINML ADRSKKGHVPYRDSKLTRLLQESLGGNSLTVMIAAVSPADYNFDESLGTLQYANRAKS IKNATRKNEDVNEKMIRELREEIERLRQMVQGGTLHQAHSQPNPEALRDMDEMIANLE RAKQQSWEEKERLARLYEDERQKSLANDKNILAFMQTVKEEKIDGIKRVKALHQDKAK LAKAFRERKDKYGQIKLKLQHDIQAYQDALKANAADAVVQPVLRSIEDTKQLLIYERD GLGVLKERQKDIEVRILEEEADIATKSAVLQENEKLRQAIQEDERAKMQQEKEMFLEQ ALADERRRLHAQAEDERVKLDQILSESVDKEKRLAEEVIKQRNKSVAMSEEMHRLKHK YADDQTKTKLQLQDMLHGLQTQWLYEKNHLDEKFVEAMRLLTKAHADIVYLTKKNDAL ERQLYDLHEQQHRVKNGQH H257_10588 MSACPVDHGAQRGGGGCPVDHSGPDAAAKSGLNALNFMEPADHT VDPKQKVPLSTHRVQSTIPMGSFVPQHQTEGQQTWQYPSEQQYFNAMKRKGWDPEERD MKVIVSIHNTINEKGWSDVVAFERTLHPDAYGSDKCPEPKLVRFMGRPKDFSPKARFL NTIGVANLPFDRHDWIVDRNGTEVRYVIDFYSGQPVPGKPLSVYMDVRPALDTVQNAV DRVRMQFHKSILPLLPFRGMLWSDKKE H257_10589 MPQATTAAIIDESSVSLDRVLDTETEPEPTPKTTSSSLEDDVKG LQAQVSALSAEITHLHEQLESAEAERQPLRRSLSMKDQVVSDLTGRVADLERRLAHDK AEYDKAVSNRDQSIDALQSKVRDLKRETNVLTDDYESVSEEKHELEEQLDKEHTQLIN LQDELAEMDTLVADLRAEIDRLNQRNEQDVMAAEEAQHTATAAQQALEDAAADTAAKL IALTQANEALEDALAAAVARHATGTTEYQGQVDALKLEHDAHVTSLERRQQVVDLECA TLQAALAQAQAAAASTSTAQEDLEIKVAQLEAANTAQANDWANVERGWKKRVQELTDR CDEAVAAAADVQLGRDHAVAMNAQLTSEVETLNQRSVWNPVIHAVCLFAGIAFGMKAF QGPVA H257_10590 MKFTLLSAAAAVIFASTQHDGKRLIRLADDRAEWMTDDQVADLA LRDIGFLDDTDGDWQHVFDLGAARQAQEIHVQGISPYPSVATYPQLVRALNAKIQTSD LKLSLESFVNQFKNRIYNTTEGKQSCGWIYDQVVKAVATTGNSNVNVTVRKFNHAWGQ YSVIARVEPTAVTPKNDIVIVSAHQDSINWKDWKDPVKRDIAPGADDDGSGTVTILES LKYLLATPEWTPVRPVEFHWYSAEEWGLLGSKEVATEYATANKPVYAQMQQDMTGWVR PGTTPVVSLITDFTNKDLNTFLETLIKSYLDIPVSHSTCGYGCSDHFSWNKTGYPGSM PFETDSKDLNKNIHTSNDTLETIDYNHMAEFTKLANAYIVELTQESATSC H257_10591 MYTGRFHVLVIVGMEGCIVLTWSLVSIAAMVLTPTTSIAAKTVR YVVVVLWILVPVAILVYLVMLVWSACASYSPIQRHPELNRHWNDPNYMAD H257_10592 MSMSGHAHAARDSYASQAAKWKNTTDSPWKSGGTSSTASSSISE LVLISELCSSVGQTSFPPRDALNTFLRHIHTLDHTYVCELLEFKLEDSLWQVVARALA VLDALFTTAAATAYLDYFSARKGLIDHLTRHAKQTVKSRAEKVYIALDTHVPTPTEDD VAAFNEARYDDHPPPPPYHWATPPSSHRQSSLSSEMRSRSRSSSSNVLHMNPSPRVSH QHPMTSERASIPTSPSQDRNSFPSPRHTPSTFCPPTQVDLLLGSPIHSHQQPPCPPSS LFASLTVTPSSRTCYESTTSAAPSAFSFLSAPSSASPSWAPPFHSSFSLPNTAPPPSS PSHQQSEAWPTQGPPPSSSMGFLTPPPSPPSNTSSSSSSSASQCSTATSAFSFLQRSS PAHLTQTQASATYAVPPNPPSISGFSFLSGPTSMSPKHLPTSTSDRIHDAFADLTCDT VVHDDDTFAYNDADGSLQSDSRSATSDDEYPPQPPPPISAREEFDTLKKAGLAREVIL EVELPAGPMGIILDRTSPDAAILAQYAPLPTGGKGFVELHPAIVPGCLLVALNGNSIE HLALPELGPVLAAATTYRRVLTFKKFMVGSRVMHPTKLGTVYVPPQDIIHHTSPSKTE ASSPSSSASSGPPAAASLSGHNPPPQGTTGRVVPTTANQYATTSAFSFMQTSPVTPPP QYEPVDDPVTTSAFGFMKAAPRAHPHGQIPPSSSGSAFSFM H257_10593 MPPSTTTQQPPHTSSTPRRRIVIVVVGPRGDVQPYCILGQALTA RGYDVTIATEARLKALVTAEFGLPFAPIAGDVFGGLFDIEFQHRFRVARSMKCLEMLT EWNDRFNIDDVLASYVSALAGADVVVCGGVLSIAQTYSVAEKHGLKWVPLFLGTCPLP TNEFPHWVLAGVPFGFLCSNHWSHSIVASKGWLQQRKHINKWRRHTLGLPPITSPLGI VHAMQTNDNITIYNASSLLLCGPKRQVPADYPPHKVVYGGFLFPTTTASPPATIPPAS TTMANINSSSGSRYNPPPKQQHPGSSTSLQRFLKTRTTLPVIYIGFGEMPTMEPLPLL QLALHVCHAVKCRAVVAASWAEFMPPPSPRLWLFPQMSCIVHHGGFSTTTIALRSGVP QIPCPVIMDQFHHANNMVALGVAPAVVHKGSLYGSYVAKLVTQVLRNDRNIQTTAKDL GKFVNTESFDNTDRFCDWVVAAPPTFASPPSEQQSDGVLVAQRGHDRRMPCPPAGPDT TPALPPLLRTTTHGQHSPADSASATPHSPTVETHLQQPNHTASLEPATHTIATNAAF H257_10594 MDFAHLLPPTWKKNVQLWLEDDIPSYDVGGFVVGETDQVAYLLG KSHGVLAGVPFFTAVFEAVDCKVEWQVPEGSVIDPSTVASGKVVVAKVSGKCRNILLG ERTALNILTRASGIATQASAAVKVARGLGWHGHVAGTRKTTPGFRVVEKYALLVAGAS THRNDLSQMVMLKDNHVWASGSITNAVKKAKTAAGFSMKIEVECRKLEEAVEAAHAGA DIVMLDNFEPPQLKQVAATLKQQFPHLLIEASGGITIDTMGDYVSPHVDIISQGKLTQ GYGFVDFSLKIQKSEGILAD H257_10595 MDDGRASWDDEKDFTWMKEMIHQVHVLGKRANSGFKREDWHAAT TKLNSDHAVIYTKEQGKSRSAEMKKQYAQVSQITKTSGIGFEAATCRFICLDGSWAHA AADGPST H257_10596 MDTADEATWAECNDIVDHVKHSNVHEGMQRLCTLALDTDVTVVR EWTHATIADTLEWYEASLQPPCVRPPVAAAPPSTFNAVIGAVSLQAHYDLVKEHVLSL LLHRDNTIPDATMMELQQLLADDVDDTALFVELANVLLCQHPLADLSAAFEARLTGHL NDMSLPARFNLWTQFPHLWELQIATWLQEAHVSPLRPMLFILLGLSQPISDGHMSTLD KTKLLFREMYQHAPHLHVVVHDVCQRLLDVYPTHRMLECVQSLAGFTITPDRSFVQIE AAGGMTARTWWQVAQDTRWIHATCCRVLCPPSPRPVKHDDASIVTNDHEEAVKASWLN SLVAIYAVQCPTIHVELSGFATSFVEAIHQSSTKDVVVHHPTTPFAWLQQHRTTAARF APLTITLLCNWMVLAGSSTDTHDVRRSVDTPASLSCIEYLFRLTPPPPMTRRAYQVSL LGVFLACIEGLDREKCPQQNHLHDSIARAGLELVRLMAVDDEVALPVEWQRHATDRLK CHQQVSG H257_10596 MDTADEATWAECNDIVDHVKHSNVHEGMQRLCTLALDTDVTVVR EWTHATIADTLEWYEASLQPPCVRPPVAAAPPSTFNAVIGAVSLQAHYDLVKEHVLSL LLHRDNTIPDATMMELQQLLADDVDDTALFVELANVLLCQHPLADLSAAFEARLTGHL NDMSLPARFNLWTQFPHLWELQIATWLQEAHVSPLRPMLFILLGLSQPISDGHMSTLD KTKLLFREMYQHAPHLHVVVHDVCQRLLDVYPTHRMLECVQSLAGFTITPDRSFVQIE AAGGMTARTWWQVAQDTRWIHATCCRVLCPPSPRPVKHDDASIVTNDHEEAVKASWLN SLVAIYAVQCPTIHVELSGFATSFVEAIHQSSTKDVVVHHPTTPFAWLQQHRTTAARF APLTITLLCNWMVLAGSSTDTHDVRRSVDTPASLSCIEYLFRLTPPPPMTRRSVSNIY RIRGYLTLVCDDRAYQVSLLGVFLACIEGLDREKCPQQNHLHDSIARAGLELVRLMAV DDEVALPVEWQRHATDRLKCHQQVSG H257_10597 MRRQIVGCVVAPVRAGARGWGWYHLAVKKLNSPKAASLAYDFAV PEPSTPRPRAFLEVKIGNTDPEKVVVELASDIVPATADNFSQLVTSGKYKNTPFHLVQ KNQYVTGGDITAGNGKGGVTASGARHFDDENFALRYTEAGVLGMANSGVNTNGSQFFI TSKPMPHLNGRNVAFGKVVEGMDVVRKIENVYSVKGKPLTDIVVVDCGLL H257_10598 MGTTLRQLRAVVNELDVPTVVCSCSSKVNPTPGVTTFHLERKAR HWLAYEGIQYVLVIKVSQDARYMRYRLYDIQGDAGPRQLPSLAAAGDFTYQEHVAAPI NITLDNRNQPRISPMV H257_10599 MAASALDSIDGLRAHLPDENVLRDSDMSASSGEGSPRHDAQHIP VAAPDAPPEPSNPRVRFAQSHAPPAAPVPLPSGNSAAAGSSRASTMIAMLQRYGYDLE DLDETSGGDITTTNSAGILLLRERKNHQTAFHIAVKKGHVDVLKALMKLPRAEEFVNV GDKHGNTPLHFVASKDASAAELQTSLGTLLLSMGANLHATNVRGQTPLEVHIMTAKAD TSVFVKLISFRGMQLNNLVGNGTTYLHMAIVDRSFPDMAGALVNAGASINIPDHSGVM VSDAISRQTLVRLTKFMREGTQAPPADVPRLSCKLCKNPKSLLDALRDCHICGRSMCR NCSKKLGDIKDPDQAAREKLDKEALAVRLCATCCTVTQLRDKKVAEQKKFAESLFGMN RV H257_10600 MAENAAAAQPVAATTHTSNEIRASLQGCMIQNQVNFCVGGVVAG VPISIYSKKMYPFAVLGVLGSFIDYSFPYDSKCVELKRALDAALAREKAQSISFPDSS S H257_10601 MHRYHERVVRADRHSGHLGIIRHGFAGGGSSYHGTESYSVAESM GATWVPLYLGHRHLPTAEFPQWLLEDYMGNWFGFLNKRTHSFVRGQAWDKQHVHINEW RQNSLHLLPIASCYGMLDSLLSNNIIVFYHACSLLLAGPRRRGSLEYAPSKVVYIGFL VPTTPLPKPQPWKERIFGQEQVNPSHFHWVRKDTLGPLALLQLAVDVCKIANYRCVLA AGWTSMAQPGCNELAANHSDLVT H257_10602 MPSTPKNIVIVSVGTRGDIQPYCVLGVALAALGHNVTIASETRL ENLITSEFKLPFRPILGDLVGGLFDESFQVRFRNSRVLEFLDLMDQWNEQYDKQLILA SYVSALRGADVVIGGPLCTAQSYSVAEAMGATWVPLFLGHVHLPTTEFPQWLLEDYTG NWLGFVNTWTHSYVWSQVWKKQQVHINAWRESSLQLPPMTSEYGMLNVLQSNDNIVVY QACSVLLAGPKRRVPLDYTPGKVVFSGFLFPTTPQPEPELLKAFLSKDTSTPVIYIGF GSMPTLEPLALVQLAVDVCKIANCRCVLAAGWTSMAQSSCSELIAAHSDLVYVERGSI SHTWLFPQMSCLLHHAGLGTLAAALRSGVPQIPCPKFVDQFHNAKILISLGVAACAVS KTQMTAAYVGTAVLKVLRNDNNIQATAKEMGEYVANESQDALARLCESILQTKPTFAK A H257_10603 MLSSTGHSLLSEALSPSGRQVLNEFIEASAGTRSSTKASPVNYT FQTLPLLTEVTCHSRHIVDVLRLTVSLHGSVIVSKVYPRKQPSALTSPKSSAASFPSS IDMDNLGLIRPDDTLVSINLRPVDDIDDALNWLAGLPLPLTLVFSRAVVRRNTLGEYT LDDLRRHAEHNRSRLLTRHVPADVAQMLHMKIELTNSGGSYRMLKDFIADADEFFLPT SLSSSSLTTSFDTVSRYIHQMHAFMAADDTNKRKQWAEDKQVRGKRLESMQKQLKLLE TKLTAQAKATTTNVPQEYVDLRTLVDQLRLDIDHSKQMHYLPSCEGFTLRFGTAGVYV GVGDVWVSSYHTSFTIETQPHAPQILLRLTPLSESGLKIRAMNFKVYSEGRYMLVPTF HVDEMNIQVQFSADIPLVYDAAGGWRVQPDALQLNFSSLKYYERQTQSNVHGNAHDSV MKTFLNRIIPSVVQDAIPSILCPEVGALLVDGRAKVRLSGDLHVEGRNLAVFDAPLGA PSTRLGSGQDEEGAAAAEARELVGCSVAQGDLLFKLYKQFVQVSATTSTKSKSNSSNT QLPHLAIRDLVEYGVRLRHAPAVRALLTACWQLAIHLLTPDDDEQSYYGGEPLDFAKL MANVAQMETYPVDISLGLHSTNIRLDLCEVAAAAYTAMDRILRQNLAKAKPAKAVDIE LELSALEATYNQVNVWLSTVASRVDELVVMVNGGLPAGFDSKFSFEATDLSCKGPWQA SFAIPLTPPPSSSSSSSLPPAKNMPTNTTARTAISHDNGELVVSYFVTDMEGGESELQ VRVQEAAFRVLLEVPPPNEDDPVVSTNNIQAMELKMDTTAAPSVSLSMGEFAKCAISC KRVALCSPMMALMATLVGHGWFEPVLLVDYLKSPFFAFSLRFFTSIQVTPEQMYWTLN SASLSDSAVSFVTHRLCVSQLLRDLNAKSTMDAESMGRNLVDASSSHRGTHHPSLSVT GRGVQQQRQSAATMNRSQSLAVAMPGKDNGHRPGLARQDTFLGDRDPRRRNMFSLNEQ DEPMQEPAVVDSSTTIRAAEGASDDDLYSF H257_10603 MLHMKIELTNSGGSYRMLKDFIADADEFFLPTSLSSSSLTTSFD TVSRYIHQMHAFMAADDTNKRKQWAEDKQVRGKRLESMQKQLKLLETKLTAQAKATTT NVPQEYVDLRTLVDQLRLDIDHSKQMHYLPSCEGFTLRFGTAGVYVGVGDVWVSSYHT SFTIETQPHAPQILLRLTPLSESGLKIRAMNFKVYSEGRYMLVPTFHVDEMNIQVQFS ADIPLVYDAAGGWRVQPDALQLNFSSLKYYERQTQSNVHGNAHDSVMKTFLNRIIPSV VQDAIPSILCPEVGALLVDGRAKVRLSGDLHVEGRNLAVFDAPLGAPSTRLGSGQDEE GAAAAEARELVGCSVAQGDLLFKLYKQFVQVSATTSTKSKSNSSNTQLPHLAIRDLVE YGVRLRHAPAVRALLTACWQLAIHLLTPDDDEQSYYGGEPLDFAKLMANVAQMETYPV DISLGLHSTNIRLDLCEVAAAAYTAMDRILRQNLAKAKPAKAVDIELELSALEATYNQ VNVWLSTVASRVDELVVMVNGGLPAGFDSKFSFEATDLSCKGPWQASFAIPLTPPPSS SSSSSLPPAKNMPTNTTARTAISHDNGELVVSYFVTDMEGGESELQVRVQEAAFRVLL EVPPPNEDDPVVSTNNIQAMELKMDTTAAPSVSLSMGEFAKCAISCKRVALCSPMMAL MATLVGHGWFEPVLLVDYLKSPFFAFSLRFFTSIQVTPEQMYWTLNSASLSDSAVSFV THRLCVSQLLRDLNAKSTMDAESMGRNLVDASSSHRGTHHPSLSVTGRGVQQQRQSAA TMNRSQSLAVAMPGKDNGHRPGLARQDTFLGDRDPRRRNMFSLNEQDEPMQEPAVVDS STTIRAAEGASDDDLYSF H257_10604 MAPKNIVFVSVGTRGDVQPLCIVGQALEALGHTVTFAAERRLEP LITTEFGLAFRPLEGDFCGLLFHDDFHDRFRQSNVVQLLALMDEWANQFDKAAILASY IPALKGADIVISGHLCADESYAAAEYLGATWVPLYLGGFNLPTAEFLHGMMEGFVGSW IGFVNRWSHRFVWSQVWKNIRTVINKWRETQLKLPPVTSPYGVLDALVTNDNIVQYQA CSLLLSGPKHQVPLDYTPGKVVYTGFVFPTKPQPEPELLNAFLHATSGPVIYIGFGSM PTLKPLVLLQLAIDVCKLANCRCVLATGWSAVEQPGVQELAATHSDIVYIENGSISHP WLFPQMSCILHHAGLGTIGAALRCGVPQLPCPVDIDQFFNAVVLVRLGVAPCTVSKVQ MVSAAYRE H257_10605 MGLGEAQPVSATSSAYSNGTQRSSSMGTLAFATELPQYFVDFQV KASGLSLTSKYEWRQFALTGPVLDVYLLEKKTHSFRASDVSIQFMSETFFRLDVKGKR VFVLNVPSQSRLTKFQRVLQLASSNPRWSPPVVDVLTDLVGVATEIVEAETSSKVAVA ASSVTIAQIQTHLAEMHSMYQLQASCSSMEELYDHLLTIEADYCTNVHTDNFAHTVHK LHPVQYIRSMGRRDLYRQCLKAKLATCPYVSCGHPIPVGVMYKVHIKAESLPCPRCNN VLSVGAFKIASFIAEAPQFVIDHEFRNNKQCLLVQTPGMPTDGRVESFMNELRRRMHV AAPDASRDAVVMLQTQVATLATKHLVNELGAFEIDLVQAMLRQLDFCNKMCPNMEYWT NPIVLAASMSRYHKFMHVMKVKLKDNVMLVPTSDIDLVWHTHQSFPGDYGTFCRHTVG RLVDHDDTINGGDLRKGYAETFLTWAELFDDAYSSFAPSYVAWTTEKTNNAINHHFLK KKWQKYGRLPSRDCRFVGVDEAFAVEALPYAMAVVVPDEKAVAASKTPIPDAISVYMA VIGTPVMDGRVRLQYSRHNYLMEDGGLGYVYYGGCGVMFYGGCMGMYGGYGMYGGGMI GGCGMTAGCASGGCASGCGGGGGCGGGCGG H257_10606 MDAFFARNTASTGPSFCPHCGSILDLPETNSIVCSSCGFTCRYT DLPSLTTVTYSQDRREPKWVKEDIQSQEVTGPSRATVEETCPKCGHPEMEFYTLQLRS ADEGQTVFYECKKCGHRYSVNT H257_10607 MKLGLTAIAAAAAMFWADGTVAFPAHKGGASCCKRTMNGREHLH PARHPVDAPTDNERHEIDNVFPRAFDWCEQGFCGPSWNQHIPQYCGSCFLHGALSSAQ DRIKILHKKKGFTGADVTLGRQSFLNCAPGHNYSAGCNGGEAIDVWRFMQDYGLPDET CLPYNATDHTKYNSTNGTCPPEGYCMNCMYTPELPLNATCFPVEKMIRYRAKSFGAVR GEHAMLKELQRGPITCGIACSDGFTYNYSAGIFHDTTNFMDIDHDVEVVGWGEQDGVK FWHVRNSWGSYWGENGFFRIVRGINNLGIEADCTFVDPEIGEEDLVWDQSPAYGGSIW GIRPYNKVKAVEHPILDSGDVTSFNQPVPEGDDHPPLYAAMDAKSEVPMLTAFVHYAV VVAFGVVLMVFATILSRRRPMTYSRIR H257_10608 MIGKQEQPTYAFVDTPKEESGGRPSDSALIQDQNLPAKCSTAQL APFRQLFQFADATDMVLMAVGTLSAIATGFSQPLQIVFFGDLINVFGSASSGFDLNIF EREMNEVVFKFIGVAAAILVSGFGQIACWSIASSRQTKRLREAYAAAILRQDIGWFDV HEPAQLATNVADSTLLVQEGMGRKIGDGINFVAMAVGSIVLAFTYGWELALVLIAFTP LMGASAFYMSKAITVAVQSAVTSYAQAGGIAEESLANAKTVHMFNAVADRVDKYKDAL QHTQRAGVAKGIAVGVGTGVMYLIMLGTYALGMYYGTVKITNDQLGDNVCTGSRCYDA GRVITVFFCVVMGSMAIGQAGPSIQAIATARTAAFDIFALLARTSAVDASSTVGLKLD TVRGDIALEHVEFAYPSRPHVKVCAGYSLVVPAGQTLALVGASGSGKSTIVGLLERFY DPLGGRVLLDGHDLKTLNVQWLRTQFGLVGQEPTLFADTIAGNIRHGRPDASLDDVIQ AAKQANAYDFIVGFPLGFDTPVGHQGAQMSGGQKQRIAIARAIIKNPAVLLLDEATSA LDTESERVVQASLDRLVAMRKRTTIVIAHRLSTIRHADRIVVLETGRVVEDGTHDSLV QLEHGLYHALVQAQERRASPSIDQRQSLNVRTIPSKEASVVAPSSGETNHDGKNTIVG AITSSANASISGCNDDENTTTEPVPISRVWRLSAPEWWHVLLGSVGAILHGSLYPVWG VILTKCTLVFFQVNLGIDGMRSESLKWSMGFVGLGVAMLIAVTIQKHQFSIVCERLTT RIRVMCFGAMLRQDMAWFDDPLHAPGALTTCLATDSAAIQTMTAETLNAILLNASTLG VALGVSFYYSWRMTLVFMAVIPLMGVAAALQMQMETAQTGKGGGNDGDIQAGAVLSEA IHAIRTVASFNLERRTQDVYMAQLQRSAALDRRAGYRGGVAYSVAQSSVLFAIAAVFY YGGYLVRQSLLDFEGMFMVLNSILFCSFGVGMAAQALGDIRSARKSITRIFSIVDQQQ TIDTDSTTGLVLSHVDGVVEFKNVAFSYPSRQQSAIYTNYSLKIEAGQTVALVGGSGS GKSTAINLIERFYDPTAGSVTLDGHDITTINLHSLRSHISLVSQEPVLFSGTIGSNIA MGKRGATQADIEDAATKANAHNFILQFPDGYDTPVGDRGVQVSGGQKQRIALARAIIR DPAILLLDEATSALDNESERIVQASLDQLLQMKRRTTIVVAHRLSTIRHADVIAVVDG GGIAEIGTHDQLVAIPKGMYANLVARQMMQ H257_10609 MAITATFEVHVAQDCGHVWSTATFAFAPDTSELHVSIDGVANCD HRFPLAEGCSLDALSNRTYLLRHRSFPHQVLFRSPRQAATALDEFMMALRAVLTTPYW SLARRQVEVLVQTTAEAGGGGDVDDDDLWEVRQLVLWGKSLSVFRNHECLHVYNTNQG TVEPIHHLSFRWVGSPTFVFRSPDAIHRCRFLEALVRAMSPSTEAEGGVDNSESVSTR QGAAAQVDALLKRPHDNTSTSHSTSKAIPTMGVSPPFPAVAAS H257_10610 MPKVHSVVVGEPMSSTMGHKQHVVVFNKLSLVWSVVFSINLAFM PMKAYWTEYYPWSMPSPPTLLLEKNPDDFHSYTSTTSQFLQNAYNSDTFPDPNNMFLR DPASPTYAVRYTVKVPYSVPASNCLGVVLTFPGQLYYGQGIRDFVCAFAALNQSARAD SAPTWTKCQHEYLLGQPIAEGCIWAAPAPPSSASNDNTTNTMSYAVYFGRLELQGPTY NWFKFAFRSCLTLFIVCLLWRMYFAHYRPLVANLGRLGLGDGAAYEKFELLVGDPTPI VLSHPLVCLVFVWDVWLSPVYFGLATIRVSQLSDWWIFFLGSLYGSRTLWFAYFTMRY ATYGIKRWHVEHRFAAIDPGLVAMAVVVFSGPMMWVTANTALATYFYFTWSIFDTSSP GLSIETFPGAVSAVLMISLIPFTYSILVSWVDHTNPTDQLSVLRYACTSYNDLKTKLL MRLQLDRPSNSQRDLSSSPVVDMVGGSVYALMDKQPMTKRLPLFSLRGSDCFVSCMDG HGNVVKKLRLTLLDCVDVAPIALKRHRHQTTTIATASRAPTNQSKSPTNGIALCPLDH PHQSFCLVNRQICPGMYATAPTYVHLGASKCKWVL H257_10611 MMHLVSSLVLLYAASTATAARKTHTAFTRPIPLPQGGVSNDFHI LPIPDGPIAVYRFAAEIVEILANGTVVPTPNCDAYLHHHVVGSRHSSYKSKQSTWTPM KNAERMYAGVGFGAGTESRGTPQEFQYPYAFVTSKGENEWIANVHIINTRRLEPDLAH RCLECPCTSSDLMGNGTVNGYELGLVCNAQLLHEKNSACFADTYSGGLRCCNDKELCL EPTELNATDTVSTYYMQYTFEYDDVVTGDHRPLYLAACCDASGDLAYHGAVEYDIPVC IPDENPGCTHTLSTRQHLGRAGGAFGFGEDYDMTDDADVEVVYVVGHQHRGGLGIQLF DDATDELLCNSFPTYGSGHVAGNESGYVIAMATCTFDPPRRMRSSAIVRVVSTYNSTV GHTGVMSLLYIALADYNATAAVALGGSTSVDGTSNWGVGLVGVAVVVAVAVAGVALVV YKRRAATRAGYDKVTEGTPVTN H257_10612 MMHLVSSLVLLYAASTATAARKTHTAFTRPIPLPQGGVSNDFHI LPIPDGPIAVYRFAAEIVEILANGTVVPTPNYDAYLHHHVVGSRHSSYKSKQSTWTPM KNAERMYAGVGFGAGTESRGTPQEFQYPYAFVTSKGENEWIANVHIINTRRLEPDLAH RCLECPCTSSDLMGNGTVNGYELGLVCNAQLLHEKNSACFADTYSGGLRCCNDKELCL EPTELNATDTVSTYYMQYTFEYDDVVTGDHRPLYLAACCDASGDLAYHGAVEYDIPVC IPDENPGCTHTLSTRQHLGRAGGAFGFGEDYDMTDDADVEVVYVVGHQHRGGLGIQLF DDATDELLCNSFPTYGSGHVAGNESGYVIAMATCTFDPPRRMRSSAIVRVVSTYNSTV GHTGVMSLLYIALADYNATAAVALGGSTSVDGTSNWGVGLVGVAVVVAVAVAGVALVV YKRRAATRAGYDKVTEGTPVTN H257_10613 MKILYVTDVEGNWDYFLRFIQTVQTSPHTLNALTFTDASHTRLV LQRGYQFVFGGDVGDKGVGTLRLIRVLLALKQDYPDRVVLIAGNRDVNKMRWTSEFTD VEMDLKTMDPGIKSGPHWLPETTRQPLGIIPYLSKLARNGQDVPTTSTYSSSSINYTA LDAVNTKVERMKWILECTMGSGGDFERRRRELALLQGATTNACCCSSEPDDSVFQKKK AISDENVLDSFLESVQEGGDLRAYLKHCVLGAVLGSTLLVHGGIIMTANDGRVRSCLG RVPPADSTVSYAAWVAELDALHDEEDHVVEKVDIRQWIDELNGWYAAQILEWERYPTW NATHTFRGGENLQHYVNTGAAYSVVSGRHLERSGMPKQMPQAMTTLLWSQQLHRMLVG HTPHGNAPTIVKHRVLQDGSSSPTRDFQVIMCDTSYSDMDAPDMRGQCASMVVVTHHP HGTSTDGHDDDNKEDDVTVWVEGFIHHELTNVHESYGFNTSEDPFVGRALRTGQWVKT LLAHDRYLVCVVKDSRAYTYSVKSRDEVCEAAVLV H257_10614 MPSSLLPFVLALLAFALSSPAATSTIQLQPSDHVVIVGGGPAGV HYASLLAKKGMLNITILEASHRVGGKSVTVVDDKGTPHELGTVFAIPTYTPVFNLVDE YDPLNTKFDFAYGQPNYLYAMGESAGGNDSDASAAVDFPHHVLQSLQQIAPNASLVQL QALFLDQASRYLTLHHRIFGSYTYGLPPRPSNYTAIDMTAIDFLTTHNLTALTSLFRF AYQQQGYGVLETIPAFYFLWWMHPDSVRSILRSQVVQSSVASELRGGFQRLWGAIAHA HRDAVMTVLGATVTRVTRGGLLPTDDPPSVSYITSQNELVHVDCAHVVMAVDLSLYAD LVTDLTVDERAIITGSSYTSSTFITTLFESEPSPVETAAQIWHYRMKQGGGGRLSVLR NSKLALEYRGSTDWGDLVQGRQTRVAYQYYDHPLSHVVRDHVTPLLRQDLALAGMADV TVWTQRYFNYFPRFTSDGLKMGLPWKVWDMQGQHKTTWIGSSVSFESVLDVVAYNNKL IQRVEVVARHEVERDQAMSASSLNVCTAFVAAAWNRYVGTAS H257_10615 MTVYQNPTCPKQHPQHLIMLPTADVFDCQPLSSKDEAALLAQAS SAWKGLVDNAISSELYRIEKVVRNETTGRCATLRKPRDTINVNQDGVVAHTRTRATIE QAAAFFYLDTSIKAEQFARVMDELVEAKRTLYTLVDRPVVDDGVNKPLDYISVDWMMI KFKKGVPARDLCYLEIHKEFKFACPLTGVTRRGWVRCIHSVRMDCCPDMQKKYGVIRM EIQRSGHVFMESNEAGVLDYYKLYFGSPRGAVLGKHFNGLYLKGAMRTSARAILNLDE HFTTERLKPLLSTPLRDEKVDAKACSGCHGSFSWRTTKRLCRACGAAICVKCSSLWSL TLQGSAVKVPLCAPCVGSNLDANVMESGQIIYDVMEASRVSDDFAFCVGGAQWGQEVA ALPKSPGSGCVTVRSTPSDSGNRHMIANSRSGGGSNDAALNDLMCSIDQQKDLLIKMQ EGLAARTQ H257_10616 MLPTADVFECHLPLSASVRDDLLEIAGGAWKGLVENAIASEVYP IDKIVRNRTTGRCATLRRPRDIVDATQGMVAHSRTRATIEQAADFFYLDTPAKAQQFA RVMDELVEAKRALYPLVDRPVVVTDGHKNCDNIVVPATASALDYMSVDWMMIKFKKGV PARDLCYLEIHKEFKFACPLTGVTRRGWVRCIHSVRMDCCPDMQKKFGVIRMEIHRSG HVFMESNEAGVLDYYKLYFGSPRGAVLGKHFNGLYLKGAMRTSARSILNLDEHFTTER LKPLLSAPLDMSLAHAATCGTCRLAFSWRHPKKLCRACGDPICPKCSSQWSLTLHGSA IKVPLCKRCVGPGLNVDDADEMYLIESKDRKQRSESSSSSFANDIMHGIDQQKELLHK LQDRLAAIRPAY H257_10616 MLPTADVFECHLPLSASVRDDLLEIAGGAWKGLVENAIASEVYP IDKIVRNRTTGRCATLRRPRDIVDATQGMVAHSRTRATIEQAADFFYLDTPAKAQQFA RVMDELVEAKRALYPLVDRPVVVTDGHKNCDNIVVPATASALDYMSVDWMMIKFKKGV PARDLCYLEIHKEFKFACPLTGVTRRGWVRCIHSVRMDCCPDMQKKFGVIRMEIHRSG HVFMESNEAGVLDYYKLYFGSPRGAVLGKHFNGLYLKGAMRTSARSILNLDEHFTTER LKPLLSAPLDMSLAHAATCGTCRLAFSWRHPKKLCRACGDPICPKCSSQWSLTLHGSA IKVPLCKRCVGPGLNVDDADEMYLIESKDRKQRYSTASDVVSTIRETYLSIDRSESSS SSFANDIMHGIDQQKELLHKLQDRLAAIRPAY H257_10617 MYCGGDDLNAVVCDVGCLRSRMGYAGEDDARYISTPSSDMNGIM TAEGTVASFDALEVSLDEGFARLGVESTRQHPIMWSESSFQTLLDQQQSSSKSSSDRE AIAELFFEKYDAPAYFVSKSAVLTCFANGRSTGMVVEMGHGSAAVVPVTDGYVVGQHA VRHVSVGGHALDTFLRDRVLDKLERGRHQSLSPIDTSTSSVPKSTATSSSSLLSWSII RDLKESICRTAESHFDETTNANIPQMPYELPDGTQISIGVERFSVAEHLFHHPDPSPP TSPPHQGGGIGGIYLPGLVVDAASRGDADSKKEFLQNIVLGGGSSCFESFPTRLEREI LAMLPSAKLKLLAPPPNERTLSAFLGGSILASLGSFHEMWISKTEYAEHGAHLVQKKC P H257_10618 MVVATRVVATIVGALLLALATPLAAVDSSASPSNAAKSKTCKCN RSFNDRPHARDVNVPLNQGRHPAHLPVALDWCERGFCTSSWNQHIPQYCGSCFAHGSL SSANDRIKIMNHKLYGYHGPDVMLGRQSFLNCAPGHGLSQGCMGGEPADVYEFMRVYG LPDETCLPYNATDYSKYLNTSNGTCPPEGYCINCMYTPESPDVATCFPVTNVVRYRAT TYGRIVGEDAMLEELQRGPITCGIACSPEFDWNYTAGIFWDKTNYSDVDHDVEVVGYG EADGVKYWHVRNSWGSYWGENGFFRIVRGVNNLMIESDCHYVNVDVGDETLVWETKNH DGTRTGGPAYGGSIYGIKPYTNASYGPHDRKVTNSTTDGTVLDNTTLISHERNQADEA KDDGKADGMEDDVDDDDGVIQKPMDAPTQEAEAVHLAGKYENQDVHWSVNVVGVVGVG VVAAVVGAAVATKRRQAKYMSLA H257_10619 MSMTTSFLIRRISRENDELKQLHATAVECKQRIQQYYANKSDSG GGGRHHAVLPVECHEFLPHYRNDNNAPETNPKQAVVLRRRQTRAINDMAG H257_10620 MGDANADDRVVVDLGFDKDASNVYLARGKDWIGSSAVSRKDMMS HFRLDASLKAMSAAELPTGCKHLLKGLGLSNSHADLTSDYGTNLSLLTVLDERAFGRP IEPLPDHMVQSGFQFPTTAPLTSATTFHKTSKAARPGFVQPPPSKTTASAPVDTKTAA AATVTAQEKLKKDEKKKEKKRKREKEDAESLKSILDPLVHDLKALTWKAWPDAKGKPS NPFIVKITRDNCKALGVPNYFDFIQEPMDLTRVGEKVHKQAYTKLDQVEADVALLVAN AKRFNREGDPVHQMAIEFQKAFDDKLREVYRPIMDDLAATRKKQKKEKKKKKDKKSKD K H257_10621 MVKAFVGITCCLMTLKIVCMIVYVRVPFMHVAIYVVLTSLMLVS YAGTVFVSPSFPVSILNDDDDSTAGDVENGEARYCEKCDRIKPETFHHCSVCGRCIAH MDHHCPWTGNCVGLRTKKLFVLFLLYTSLACLWFANCALLGVSSSSLLSITVLLSIVV GLLLLGYFCFHVYLLAQGRTTLDFMARRRGNDLGLRGNLLLYFGANWWAYALPVVPMY LWGPPSVDASTPLT H257_10622 MLRPLGLLRVVYSGRDVLVYFFGSWSVLLAVGSTSVGGRRSLGL LAAFSRFGNIRDTLRSSVALVATVLFLLVGSFGVSGLVHLAWFVRLVATFAAGFPRRV LVATVARMRTRLVRLGRRNGYLLDYINHNKRFFFAAYIRCSVGGRDGRVVVELGFREK VDLRGDFHNNLLLWSRSRRHQVVDGFRQLPVRHVACQDFVALQHISLCFFEGFREVFR KRHFSRWAGATDENFDKVVQSHGHVVAKRLMQAHGMQKFQDGVHKFQLFVVQIDSVHN GICGRRRRVHRRSLVLVVQIVDRIIAIVRHVFVQVVQRGYIPFFRRRHNSHILIVLGL GRRVRSRRRNHGLDELLTQRFQFANHLVDKSLVRQHLQLLLVQLLRLSFQRILQRLDL FLFLLERAQLFVQHDGIRMVQRRIVHDDLLLLGILLALLGTSILLLCGLSVILQGCVA SHSHAVTVCLLDGLVMRSRRI H257_10623 MSNKAAAKKAALELLDLCKKDGVRLPTDSMNAMVQAGTMLNATR ADGEYNLQAALAAMTEKFGATTPAVETKKRKAAAGDKVTKKEKDESVKEEDGDVDDDG GATSPAAKKKKNVAQATVAKNQALAEAFSDLAGFEFKKGDRFKGGSYSKVAKAIRDAE DELTSGKQAMKLKGVGKASATKIDEFLETGKIEKLEEYRAGNM H257_10624 MNPKSHQAAAKKAVGKLLDLCKAEGVHVPTDPTHAIIQAGNILQ ATRLDGEYNLHAALKEMAAKYGASKTHKQPYHKKGKHNNTNHPNDKGVEESGKGGRAS TKKPEGGKAAAADESASSDDDDDESPGQKKREHLPATNPNNQPFAKLFVKLAGYEFKR GDRDKGIAHSNIAKIIRDLEDEITSGEQAMRVRGIGPHSAAKIDEFLATGTVQELEDF RAGKL H257_10625 MSYHHKQKAENPKNEKLAQAFDDLAAYEFQHGGLNTAVGASYSK IAMAIRDVDDEITSGEVAMNYRDIGPKTAAMIDEYFEKGEISKPPTKEMHGGHHHHHH GKESSHHKTSHHRHEHHGKASPRNDDDDEEVESLRHKMRSKLPATNPKNHELANAFED LAEYDFHRGQVSAGAAYSKVAMAIRDARQEITSGQQAFEEVDGIGQKSAAKIDEYLEM GHIAKSDEGSPDEEEEGTSKYHHKKHGHKVH H257_10626 MSSMMERLQDQTASDILDLQQSLNTAKPSWKYEALRTPQREPRT NADTYSAVQSGLRRKLPAPTSSHGGHGEVSCYKDRKHKHAKNPKNQDVAEAMEEYADH EFERKAGSGAVYAKIARAVRDKDEEITSGDQAKEDVRGIGDVSASKIDEYLDTGKIQP PDEK H257_10627 MDFSVSFLENLYESEEIGQDISHVAAPSSSPIKTAACASPRTAL ASATLTVVASTTKSHRRVASSALATPSPTKRTKRTTPNQKDEEGHGTPRRRSPRKHAS TLLATATMQGVGTLQHNLSDNATVHRNEGPSVLLTSKPDLSLPSSAQVPHHDDPAAST DPTSTLSPSSRPVLQATSISPTAPVSPLSILPGSPAAAASPTITVASSLVPSPPPNPA NVDQPPSTDTLLANVAPSSEMPPPLTGQQVHCVRHVVLSRRLASGWTGVDAAVQDIQR VVERTVLYGENQSAMLVGSSGSGKRTVVAKALAQLPTRSFHAVYLSGSVLGNDMEAFR EIVQQLVPQQGSIVGHAAISFFNMYDLLKQLLLQKALQEHAVIFILDAFDAFVTGAKQ LLVYNLLDWMQSKDVRVALVGISCNFNVLAQFEKRVKSRFSNIQVVVPRPPLKHILQL LWLSFQFIIPRRHHHHPPNSTLEIPLTNHEDHTTSSKATTTMIESVVNWPAHVPAPPD AFHEHWDTSLHRLLFDQTHWWWQYLYDLGKPTDVFVQLLHVAMTHLTPSAPCLDASHV DLAWNMLYPNHVLHTLRGLTTREMTLVLGMIGLERRHVAPYSFEMVFHECQAFYRQHA LQYPKRRELLDALSNLLATHVVHPATTKQQQHQPEYCLVRLVLRPTDVLDAIRRKLVP VTTVVDQWATNTLQ H257_10628 MCAQESICDDWFLPPTIMPIHYSNWLDQHNSAMISPHTVGMASA ASAFTIFGLYPLYFKQLNHIPPLQVALHRVVWSFVVLVPLFLWQSNLKHFTTTAFTRN TLGHHALSAAFLAGTWVLYVFGVSGGYLVEISLGFFVNPVLSVVLAVVVLKEPLRQAQ WVAVGVAMSGVLVVAIAIGSFPSLGLGIGTCLALYGLMKKTSPIGSVDGIVLEIGVLV VPSVVGLVAFELQGTGAFLHTPEYAADDWLLIGSGVATVVPLLLFASAAQKISFTLLG LLQYIGPMLTFALGVFVYHEAFSTAKLAGFAFVWIALAVFAVESLVTSRNERRKASIV TLATSEEIHSTTTAEVFVVDWSQGPTTPVDASFKVILVDKEDDRNIGSYVTRNSPSYY YSINRLKIAIY H257_10629 MSSQLGITYAVVAFTVYGMYPLFFKQIHNVPSVQIVLHRIVWSF VLLVPLFLWRGDWANFRATALTKPKTMAIYLTAAIAMGGAWMLFMWGVLSGYIIETSL GFFMNPIFSVILAVVVLKEPLRRYQIVSVALAFAGVLVVAVAYGKFPWLGLSLAIVLS IYGFIKKTAPLGSLDAVTLEMAFLFVPSLVALCVFDSQGTGAFLRVGNSTTTNVLLVF CGVVTVVPLLLFASAAPLMSFTLLGILQYIGPIMNFAIGVFVYNEPFSTPKLLGFVLV WLALTVFAVESVVMARILRRTQSNAPTEEEDESTSQSNHHHNADDGQVGYIKTTTPKG VYVDEIAVNKA H257_10630 MTLVPQRPLGSQGLVVSAQGLGCMGMTAFYGNFDRAAQEAESLL TISKGLELGINFLDTAWIYQSFGAGGGGNFTNEELVGKAIKQHGRDKFVIATKFGVVR APDGLTTVNGSEAVIRSQLADSLARLDVDYIDLYYMHRMDPETPIEDTIRVLKSLVEE GKIKYIGLSECTPSELRRAHAIHPISAIQMEWSLQSRDLEALVVPVARELGVGIVAYS PLCRGFLTAIDTFDKLDGDDWRRTLPRYTGDKLVQSKAKVAKFFDLAAAKHCTPAQLA LAWVHAQGPDVFPIPGTKSSTRIVENAHAVTFTLTQDEVATIAAAATSVEGARYTGKD HTFNARLDKA H257_10631 MPWRLWWASAVAQDTLPPLQHLRWVPPRRLAPPPAQPRDHTGRE VYGTDTDWMSPTLLFGYNAKERFLSWLTKACAANEDDIAGLGLSISDIALAAHKQSWY GYELVGVSGGSRDDTYLKALGVINLLAERQLRNLHHSHPLFLSPVWLSGSLAVLASEV LGGTLHNPVSKLTATPHIPLYNQLRALESNMGALTNRVDEGFNAIPQDFDTRKDQANF TKVKKVVSTILSFSKYTNDEVAALTVPDKNAVFEANFASLCERLFASAGATPLGNRRI IEMTYHTVYDAINKQ H257_10632 MATLTVQRKKPSHCSRSPRLGINFLDTAWIYQSFGAGGGGNFTN EELVGKAIKQHGRDKFVIATKFGVVRAPDGLTTVNGSEAVIRSQLADSLARLDVDYID LYYMHRMDPETPIEDTIRVLKSLVEEGKIKYIGLSECTPSELRRAHAIHPISAIQMEW SLQSRDLEALVVPVARELGVGIVAYSPLCRGFLTAIDTFDKLDGDDSRRKLPRYSGDK LVQSKAKVAKFFDLAAAKHCTPAQLALAWVHAQGPDVFPIPGTKSSTRIVENAHAVTF TLTQDEVTTIAAAATSVEGDRYASNKHTFNARLDKA H257_10633 MSVTAVFTTPDLLYQVLAFSPGVSYVLRLFLESCQHMPRVGLRA TALDIACRQGDLHLVQQLQDRPCTTKAMDWAAANGHLDVVAFLHQHRSEGCTVDAIDR AAQRGHADVVEFLLTHRPVFSPNALVWAAAHGHVSVLRALLNANPTCEWNYEHAMARA IENGHDNAVTFIRSTLSNRRPVVVAIADESVKEGFISSLPRRLM H257_10634 MPPSHALVHHTTSHWNDPIPFSLPTDIRALESILEDPDLMRFLK DQDMHALPHAPTASPATLPHPPLHHHNTYFAAPTPFPSSYCFPLSVQRSMSGSSHSTV DTDEDEDYTTNSSRRKPCAFAGCGRNVRSKGFCKSHGGGKQCMMTECQKEAQNGNYCI GHGGGKCCKVYNCSNAAQSQGLCKAHGGGARCKYDHCDRSSQGGGFCRSHGGGKRCVE AGCTKGAQRGNKCAKHGGCRTCTVDDCVRTDRGGGLCELHRKDKLCVAHGCKRLRKSM NMCTPHVREWRVSSSQQQIGNKAEPR H257_10635 MMLGGGARSVLVRHFHRSVGIVGMPNVGKSTLFNALTRTGQAQA ANYPFCTIDPNIARVGVPDPRVHALGKMESSQKVIETQLEFVDIAGLVRGASKGEGLG NKFLDNIRQVAVIAHVVRCFEDINILHVEETVDPLRDLDTIQTELLLADLQTVEKRLQ TVGKKKSVDPGAAHLHAVLKRILPLLEDGIALTDVAFEHPEERLQFDRLQLLTPKKAL YLCNVSEDDAATGNAMTEAVRKHVEAQGSVCLNVSGALEEAASQFDDEAGQLEYLECS GLQETGLTQVIRACHELLHLQSYYTVGPQEARAWTIPKGTLAPAAAGVIHSDFERLFI RAETIGFDKLVDAGSMKQARDHGWIRTEGKDYVCQDGDVFHFLVGR H257_10636 MLLALRSLVAMAATAYASAPVNAAADTPLTPLAQEHFIVDLPHY RDPTPISFGMYAGRMPLPSNGQEMFYWYVESKDNPDVDPLVLWLNGGPGCSSLGGMFT ELGPFVVESDLTVKLNPYAWNRKANVVFLESPAGVGFSQPQLNQSDYNDDFTTDRIAE FLEQFLLTYPSLQQRPLYITGESYAGIYIPYLVHTLVTAKATPHQQQQQYPPHHHGRR RGGGGVFTPRFPTINLRGYAIGNPFTDADIDGNAYMDYFYAHALISMENYNDMVDKCE SEIGQCMFTSVNCSAPCQAAIDEGIVAADTAYFNPYYIYGDVCLLSNNQADMLHFRKR MDYQPMHRGKIEPCTDKYTEKYLNLAVVQEAIHVKTSSGASPLFAWADCNMDIAEGYN RSLSALPKYKTILKHNLTALIYSGDADAVVNFIGTERWIASPNGLHLPVTQKWKSWFG PDQQLAGYTQEYQGLTFKTVKGAGHMVPAIRPLHALYMFECFLYGDTTCNTFAYPQDN LEYLTGEVDDADNLTSVIATKLESTNDMAARGASSSSSTLWTVVVGTGAGVAAFVALQ VMTTATRRSTYSAL H257_10636 MLLALRSLVAMAATAYASAPVNAAADTPLTPLAQEHFIVDLPHY RDPTPISFGMYAGRMPLPSNGQEMFYWYVESKDNPDVDPLVLWLNGGPGCSSLGGMFT ELGPFVVESDLTVKLNPYAWNRKANVVFLESPAGVGFSQPQLNQSDYNDDFTTDRIAE FLEQFLLTYPSLQQRPLYITGESYAGIYIPYLVHTLVTAKATPHQQQQQYPPHHHGRR RGGGGVFTPRFPTINLRGYAIGNPFTDADIDGNAYMDYFYAHALISMENYNDMVDKCE SEIGQCMFTSVNCSAPCQAAIDEGIVAADTAYFNPYYIYGDVCLLSNNQADMLHFRKR MDYQPMHRGKIEPCTDKYTEKYLNLAVVQEAIHVKTSSGASPLFAWADCNMDIAEGYN RSLSALPKYKTILKHNLTALIYSGDADAVVNFIGTERWIASPNGLHLPVTQKWKSWFG PDQQLAGYTQEYQGLTFKTVKGAGHMVPIQTTRTRY H257_10637 MYDDDDDDAEGNVGDTLHALEATLVQLETKCYLAAIPSSHPKSA ETTAWAAVMAEYVDAAATCTRLSQSGAAEICRGDCSQEGDDNDDGSALLLRMESSLAS LAASCTVAQIWDADAPEVVDAIARCFFDLAKLCEATGLVVPAFPDSDDALVVMKDDAP LDNRGGKGTMKPVAIASRIREPRRRRHVEVAIESSSSDSDDEAVAWCADILPRQKRPP PALRSRFTDMATPKK H257_10637 MYDDDDDDAEGNVGDTLHALEATLVQLETKCYLAAIPSSHPKSA ETTAWAAVMAEYVDAAATCTRLSQSGAAEICRGDCSQEGDDNDDVGSALLLRMESSLA SLAASCTVAQIWDADAPEVVDAIARCFFDLAKLCEATGLVVPAFPDSDDALVVMKDDA PLDNRGGKGTMKPVAIASRIREPRRRRHVEVAIESSSSDSDDEAVAWCADILPRQKRP PPALRSRFTDMATPKK H257_10637 MYDDDDDDAEGNVGDTLHALEATLVQLETKCYLAAIPSSHPKSA ETTAWAAVMAEYVDAAATCTRLSQSGAAEICRGDCSQEGDDNDDGDDVLLLSTVPRSC DDDHAGTTVVGSALLLRMESSLASLAASCTVAQIWDADAPEVVDAIARCFFDLAKLCE ATGLVVPAFPDSDDALVVMKDDAPLDNRGGKGTMKPVAIASRIREPRRRRHVEVAIES SSSDSDDEAVAWCADILPRQKRPPPALRSRFTDMATPKK H257_10638 MQRTVAQHAVATSAADGIDAPTDASAAASAPPHPADAATPAKAS SGNWIQARLDQLKNSVKKHFAPQLTELKSKLTTSASFSRDRIRTSGEQLQPALSKAKG GVVGLTRRVSHTSAHMQQQVEALLGARLVHDLFVTKKHAVEDDRSQFVMDSKASPTHF VASAHNLVGWYDAPNSTYACSMSSVAAICMDMPHVLYTIVAFTGCVATYTRCSAVNRG MRHHLLAEPRLHRYCVRVGGLPASLRLPFWQHVSGSKELQQTSSVDYLTYQHDAKLKG EWVEAIYIDVRRTYGRVAIESSASGLSDGLFSRNNAMDHSDQDIQSQLTGILLALASR YPLVGYCQGMDYIAAHLLQHVISHSTQATAASALSAVPLSSKAQRLVEEEETSFWLFV ALLDQYGLEAMFSPGLSKLHLHCYQFNRFLQLGLPKLHAHFEVEGMSVQMYLVGWFQT LFLYLNALPRHSIDNMWDIFMAEKSWKILFRVALALLSMCEAHLLQQPIDSASRFLNT FATHLPMLEPYVLVPTALRIKVTNRHLADLSLGFDSTQPLP H257_10638 MYLNSIAAHNLVGWYDAPNSTYACSMSSVAAICMDMPHVLYTIV AFTGCVATYTRCSAVNRGMRHHLLAEPRLHRYCVRVGGLPASLRLPFWQHVSGSKELQ QTSSVDYLTYQHDAKLKGEWVEAIYIDVRRTYGRVAIESSASGLSDGLFSRNNAMDHS DQDIQSQLTGILLALASRYPLVGYCQGMDYIAAHLLQHVISHSTQATAASALSAVPLS SKAQRLVEEEETSFWLFVALLDQYGLEAMFSPGLSKLHLHCYQFNRFLQLGLPKLHAH FEVEGMSVQMYLVGWFQTLFLYLNALPRHSIDNMWDIFMAEKSWKILFRVALALLSMC EAHLLQQPIDSASRFLNTFATHLPMLEPYVLVPTALRIKVTNRHLADLSLGFDSTQPL P H257_10638 MQRTVAQHAVATSAADGIDAPTDASAAASAPPHPADAATPAKAS SGNWIQARLDQLKNSVKKHFAPQLTELKSKLTTSASFSRDRIRTSGEQLQPALSKAKG GVVGLTRRVSHTSAHMQQQVEALLGARLVHDLFVTKKHAVEDDRSQFVMDSKASPTHF VASAHNLVGWYDAPNSTYACSMSSVAAICMDMPHVLYTIVAFTGCVATYTRCSAVNRG MRHHLLAEPRLHRYCVRVGGLPASLRLPFWQHVSGSKELQQTSSVDYLTYQHDAKLKG EWVEAIYIDVRRTYGRVAIESSASGLSDGLFSRNNAMDHSDQDIQSQLTGILLALASR YPLVGYCQGMDYIAAHLLQHVISHSTQATAASALSAVPLSSKAQRLVEEEETSFWLFV ALLDQYGLEAMFRY H257_10639 MNKVQKPMTQPINLIFRFLQNKSRVQIWLYEQVNSRIEGRIMGF DEYMNLVLDDAEELDVKNLKRIPLGRILLKGDTITLMMAADGGGSN H257_10640 MKDFGSGSQSSSSRPPPPYSSTKYLPLVQPTFTTTPNPRTPLQA LAQSNPNNRLQFLAVPEPAVANLVRAVSVGMQDQSTFTTNTPTNWTANGDTSLPWTRY YRKFILDLTELIRPLSGLLKKGAKWHWSEDKRRAFLTIKFALQQTPVFQLPDYNKPFV VTTDVSGFCCGTVTYKLDGDGNDRSVAYMSKQTLLSS H257_10642 MSLDLSTVTPLGIPVDPSIGTNPLVMPHGSTPRLLRRSITSRTA NDSGVDDTVTDPLPFVPGQPLVSTASPPPSTSPAENVWEFMRANHRRTDRASSKADIG THRPTIPQLAPLLELWSF H257_10643 MSPPVHADVWFRVLFGMLPVNARFVYRQATDASAVLCAHGCLEV ESQLHALFACPRLAPLWQTHQSAWRTTGVRFSWHNILNLDDFYCHVNHGHLKPALFQL WVMVTGVCLHLLWFHHNQVQYQGHALPPLAALIELSFVTWTATVRSWLRRLPPDDITR PALMAALDLLFRQSHYSQLHQKFPPCLKLAPTFDIH H257_10644 MQGRRTIASTMILSQIWHITASNHRRPLVSPPPASYVASRQAHR TRHPPHCVHNSQPTVATPAAINVLTCLRFPSALGVFGPATVVQCLQQLYRTSHPFDFL WQCPHSLSAWMYTTELHPLWLDVWFEWSRVPLERRISIAPDIATTLDLPLWDSTFAPF LDIHKNNAARMSSKRAPTGSWCLHGASNGLRSLRDFLRVGVGGSWPSFHQFIAAMSSG NRAVPVVLQNGSIRFAPVEKSRLIYEHFTKILRDVKLLYSIPHDAQLQMLPLTDHTFQ PKIKYIVVPFEVWPK H257_10645 MSSVWAKVEPLNDSLSTIIGQLIFAAILLSVAKWGLHWNWRWAI GLSSVGVMLVDGFVIFVTIWDIIRKQWFYTGVALADNVPGGVRFIVATYCAVEIADVG NEGATYGLVTTVSNLASQFASVIYKFIDTYFMLSQNDLKSDTTEVRWDVTYSYFISYG SKLFALTWLWMLPPQRPQMQELKKKGGKNPLAGIILITVFTMCLCFSVTTSIMSIFPS TKCYRIAGGNGKLDPKTGGCPAAKSKKA H257_10647 MSLDLSTVTALGVPVDPSIDTNPPVMPRGSTPGLLRRPITSGTS NAPSVDDIVTDPPHSTRGQLPVPTVSPPPNTSPTENVWEFMRESRRRADRASSKADIG THRPTMPQLTPLLELLNSGASGGALFDAFEPLRLDVKKPVVCRVQMDTGSYTNTIDEG KAMKADLRSRVVQPPQGFPTR H257_10648 MHGPHPHRSKGVATFFHSSMPGFASLKALWSLRVPDRYLVVRTE WDSTPVYFHNVYAPVESADRAGFFASLPRDFEPTSRHFVGGDFNLPMDAALDTTAFHP NHHVGKDECCEWLSALRVVDAWRTKEPNRKVLTGPRGRNRLDYVFADVEMVAHFHVSS TFDRSQYNGDHMTHTTTFAAARTNGPPTTSLLMEASKTSGRTQHSIHALGLDFTSVVR SSSLDEYHSDDVSDATVLLNHESECILALAEILLVSLAPCYYAHDVAPNAATSGPVFA LPARSGDRYLASSTLCTVLLPLDPRHANSQGLV H257_10649 MLILPSILPVPDSPRTLPSNTYIDGTKPDGQSVTRATVSLDLML EEFALLDSHVAAAKSAFTTMCSQPAASTSAFNLVDLVTTGAADRIQSLLSKHPMEFGL QVRSLASSTPVMLLHLTRLRMLCRWMRTTWGPSTPFATLYHNVFNHAYSIHALGLDIT SVVRSSSLDEIYGRGWYNHRKGFQRVDLDIAAKENAIAQPFTLSPSPLHARPAGVNKR QCIPPPTLPRWTRVPRGHGAVSRSGASASPQRPWQSPNMFDALREHIVVTPTQLVDSS TNNMLILPSILPVPDSPRTLPSNTYIDGTKPDGQSVTLGVYDDVFPTCRLHLGLQPR H257_10651 MDRLVGVSFAIPVSRDGTKVNFTMIYGRGWYNHRKGFQRVELDI AAKKKAIAQPFPLSPSPLQAHPTGVNKRQCAHHQRYHDGLVCHGVTAPLAALGHLYRC NAHGNCRICSTHCVNTSWSHQLKLVDLFTNNMLILPSILPVPDSPRTIPSNNYIDGSK PDGQSVTRATVSLDLMLEEFALLDAHVAVVKSSFTTMCSQPGTLPRSSTSLTLLPLAQ RTVSSRFCPNTRWN H257_10652 MTGMAMERHPFDALTWPEFKGLHVVVDGGSECMQGGLYSHTGNI MANIQAGSAVIVQPSELSIDCFGVGDKVKLQPAHRRVIGCLVAVVATSSPVGLKKWGY VVHHTG H257_10653 MSFASSTPAMLLHLTRLRMLSRWMRTTWGPSTPFATLHESESIL ALAEIRLAFLAPCYYAHDVALNAATSGPVIALLARIRLDDYTILPGHVRLLHNVPYSQ KPRKPLDGHSDTHDRLSLLLDQPSEVPRASPHATSVSTTTPLHLLLSNSLPPVSSFKK PSFKTTTTSVPFDATSPSTLDMASSSFTRMTTEPTCMGLTPTVAKVSTRFSSHLCPGS HRSNYSGHCAYPSDTSFFERSGIPPPSTSTT H257_10654 MVEWANWRTLTESVDWSARGGITKNPYVLTARTSGSSSGSGSTV AANMIPVAIGTETDGSIVGPASLQSVVGLKPTVGLVSRTGVIPISTRQDSPGPMGRTV ADVARVLEAIAGFDPTDPASKDAPVPKYSQTST H257_10655 MPPPSRSPNAKYESLILCFGLFVQFVALTTEFKVDVEAYLSTLT WKEGVTPMKTLQDITDYNAAHPNTELNVLDQSLLLLSLNGPNQSSSVYLDALAVCQDL AGRNSPGYPSIAGWPILSIPLGYNHSVPFGVSFVTPKYHEEKLLQYGYVFEQATKARV APQFLPDN H257_10656 MSDDASTTRANTRNMRPQSSKRVKSTARRATDQAHEDEPGSNDV VLADLSPEERTMRSPPTANAKTWWSEDDDLLLLIQTNIERPFLALKNKMMAWNDTAAG CMRIDGFGRKSLNGKKASQRFQLLLENHRNGEDSPAGRIARADGRQQGCQGRAACGRG SWQGEESERYGVDSGRSDAASGEAQEC H257_10657 MQNPGRDQDLQERVSYIQSATKDAADKDYDAVKTPGELEDGALV EGGALNLFSREAFGLFTQYAAIGVIYGMIPALNYPIFNVYLQLEGYQTASYSTLVTLG WSFKVFMGMFSDCFPILGYRRKSWMLIGWTATMICLAIMTFSSLGDPYCNREKASAIG SKACSKPYSNATTKDLDLFNVNAPDNGGLFIILSMFVSLGYVTAACASDAMVVQYAQR EPMAIRGRVQTAIYVVRTLASIIALVVTGFGLNGANYNGSFSFSMAPNIPYGICLIPC VIVVLSTIFIVQETKTPGVPISEWAGNFWELLQKRVMWQICAFRFINNMFQNIGSTAG SPMSSIWAEVEPLNDSLSSIIGNAIFSGILVSVAKWGLHWNWRWTIAISSLGVILVDG FVIFVTIWDVIRNQWFFTGVALADNVPGGVRFIVATYCAVEIADVGNEGATYGLVTTV SNLASPFASVIYKYIDSYFLLSQNDLMTDTTEVRWDVTYSYFISYGSKLFALTWLWML PPQRAEMQELKKKGGKSPLAGIVLIVLFVSCLCFSVTSSIMSIFPSTKCYRIAGGNGK LDPATGGCPAVKSRKG H257_10658 MRIVLSFVLASAVQAAVSCGFDTFNYTETTIDKLQDAIVSKQLS AEEIVQHYLDVIDQLNHNGPQLNAVIETSPTALAQAIAQDRNGTRSGLLHGIPILIKD NIATTDDGLTACAGSFAMEGNIAPRDAFLVQKLRAAGAIVLGHANMVEWANWRTLTES VDWSARGGITKNPYVLTARTTGSSSGSGSTVAANMIPVAIGTETDGSIVGPASFQSVV GLKPTVGLVSRTGVIPFSARQDSPGPMGRTVADVARVLEAIAGFDPTDPASKDAPVPE YSQTYNALTSFKKIRVAISKEAHDITKNKLLSQAHIDAFNQGVEKLKTLGADIVYAPY PNAAAISESECQYVAFTTEFKVDVEAYLSTLTWKEGVTPMKTLQDITDYNAAHPDTEL NVLDQSLLLLSLNGPNQTSKVYLDALALCQDFAVTNGIEKYIKDTQADVIFALTESWF PGYPSIAGWPILSVPLGYNHSVPFGVSFVTPKYHEEKLLQYGYVFEQATKARVTPQFL PDN H257_10658 MRIVLSFVLASAVQAAVSCGFDTFNYTETTIDKLQDAIVSKQLS AEEIVQHYLDVIDQLNHNGPQLNAVIETSPTALAQAIAQDRNGTRSGLLHGIPILIKD NIATTDDGLTACAGSFAMEGNIAPRDAFLVQKLRAAGAIVLGHANMVEWANWRTLTES VDWSARGGITKNPYVLTARTSGSSSGSGSTVAANMIPVAIGTETDGSIVGPASFQSVV GLKPTVGLVSRTGVIPFSARQDSPGPMGRTVADVARVLEAIAGFDPTDPASKDAPVPE YSQTYNALTSFKKIRVAISKEAHDITKNKLLSQAHIDAFNQGVEKLKTLGADIVYAPY PNAAAISESECQYVAFTTEFKVDVEAYLSTLTWKEGVTPMKTLQDITDYNAAHPDTEL NVLDQSLLLLSLNGPNQTSKVYLDALALCQDFAVTNGIEKYIKDTQADVIFALTESWF PGYPSIAGWPILSVPLGYNHSVPFGVSFVTPKYHEEKLLQYGYVFEQATKARVTPQFL PDN H257_10659 MRIVLSFVLASAVQAAVSCGFDTFNYTETTIDKLQDAIVSKQLS AEEIVQHYLDVIDQLNHNGPQLNAVIETSPTALAQAIAQDRNGTRSGLLHGIPILIKD NIATTDDGLTACAGSFAMEGNIAPRDAFLVQKLRAAGAIVLGHANMVEWANWRTLTES CSRWYHQESVRADRAHNRFVVWLGLDGGGQHDSCCFDPTDPASKDAPVPKYSQMYNAL TSFKNIRVAISKEAHDKTKNKLLSQAHIDAFNQGVGKLKTLGADIVYAPVVRRKPGTR DFNQVDYI H257_10660 MAQLESQRRTTEHHDQGLRAAAASSVMQAEALEDMRCRTSARWH VFTAAPPPLSVQVPASLGVQMVYGFQAVPKAPTFNGSTKQVYQIPLSGCIDPLSVECI AFWEIGKPSHELTEEDWRDFSLGARIVDPVDMTKLNQAMGKLRMDISILSSESRVSKL VSFEATLVRLNLEGFAESEPKLTVDFLMAAIMPPAVQKRVRELMKIYENRGYKKDARA FKNWLAEYMRRYGEFEPLVQATPLSPRPTPDKTGDKGGRGSLKDGKCFKCLSTGHNVF KCPKVADGEARLLMDRAKAIWAEARGEGKTEKAITIAKEVKTVEPSEESAVLCAARVV CTASQAVALDASFDSGADQSVIPPKTLQMLKDAGRFVGHTVAQEVKLTLKFEADVGSL VLANVKCWLSVGNLPAGVGDILLSRPIIHKLGYDPQSMLREADAVCSEYDMEDVESTS AEEEEALVPMELAACFPDMTPVDPAVEQAKVQAVLDARVAEALVAGCGAEFALGLSKL LAKYMDVFCLTLGRDPPVDMPPLKVHPTKNSKPKHVEELEKAGFIYRNPASRWACAPL IVRESHTKDEFRMTVDLRPVNSQTEQIAWPMPMLEGYWQFSLDLSCQEMFSFLTDTGV YTSYRVMQGGSDSVAYCQATVQAMFAEQLYKCLLTWLDDLLGYHKTPSGLLLTLAEVL EVCAKRGLKLHPKK H257_10661 MAAHVHGVKCVLLWVLRGNVGDEVRVSTSSLSTTYATTTGPVST SRGIAREEELGQLAPTEDVGVGSGAKCPKKTNCWTHLGYLLTLLKTCRRKVMEYTIAS RPAQAPAIMWWVIAYVMSPAVDAINITFVMFKRRC H257_10662 MSHIEREHPEFVHYDALNPATQQSVFALLTPKPVQAVYGWLTWI TASLMPFSFCENDMARRFTTMGTISVKTLMKCMHAMCRWMESKISETLPESFAIIYDG WTSGSTHYVAMFATFPNDSHRGYEKVLLAMSPMNEEDSLSAAAHVQTGENVVALIGDN CSINRAFSRLAGVPMIGCVSHRFNLFVGDVLAEHEELLVAVNAIMKKLTNFIPSAKLR RLTDLRPKQRNQTRWNSSVAMLDRYVKLTPFLPLMGVEEIDNLLLSVRQDRDIDLLLA KLIDLNSVTFKLQDEAITLADVRGLFDEVLCEFPNANERLRPGASIIQHFETGVVKVL MHMSPSLTDQERLSIARLAVTAGMRDDDRMSDADCMSMASRAKKRRKMQQSCSGFMDC RFLRPTSTCASACFQ H257_10663 MELPGEAEGDSANLCLYQVSPPVLPDQLIKLRTDALISDVLEPR RSHIMLFWSPEDVEQIEDDAIYDIDNVTKFDEDWDIVKLKLKLRGVYKLSIARARACA AAPAN H257_10664 MVYYPLDYTTRDGDTELAQAVNTGIKQRRRAHDDTPAAIGTNLR QAKARRTLNIGTWLGQRHKTFSAAPPHHKSNESLHEGDDADHRAGPRTQGVQGTDNYS NTDQTRPNAHRTIKKARQTEAAARTTPV H257_10665 MARYKRQELDPAVALVIGGAKGTDVARDTQIPYNTLMNNVRATK AGKTRKRMGPPTALPDTCELDLV H257_10666 MIMLIGSCHMYGLHLSRLTQLPLAGTSAGQFISQVWWENMQLRA GQYGHITPRWLGRTALSAQPLLAQREIWLAQQMGTDQSATYNGPCESCSTRKDDVLAP GHRFWWIDAILQGRTDQWWRTLANEITTTAAMAGPYAYWCICYKHSTGHRTRDVLGAT WNLQIPAGQLRMRHHSRITYSSPTRWAGMTNTNKVDIHLGYIVSPDTNTSTLPTHWAH ALQHWGQMNVAHVSTAQHSPQPPTGVHSCTPLGCRYRRRPRRSDVYSWLRASFPLIYR APAAW H257_10668 MAKATPPKRRNGLKKKAAAASKKAKKSQTHVKVTGRPARASNNG SARTLNKVENDATLLKRRKSVAKQAASKKSNKSQAYVKLNVQSAQTHSLSRRTRRHKV ENDLAPSTRRSNAAAVPSKASKTKSKTHVKLQFQPAWTSSSSSPRTVENANKGENDVL EWVGDAVLDELVGRSLLRHVHNIFSAPTTIHTVDTQASDVSNLALFRQLRNALVTNAT LSAVYDKLFPTILVIHPSSSVKQKADAVETLVGRISTRHKSAKDIHQLDTILSMMLQV EFTHWAVEQEETARQSINQFSLLESWLDTDDDEDALALAPDQSNHATSSLGDRLFPAS SPISPSSRVPYPPPKIAFHAFADPMISPRTDDTLPSVVDVVRAWVPALQTSSHIVQSS RVMFEVFKLYGLSVLKERISTFLVQSTKPISTPSRSPATLTRVRQQILSIERLAQSAS VLSIVSTDHPTKLQANTLRAAVGFASATNRPDIVDAICCLLMYLHQSPEKCLACGTAA PMDLDDLALHEGSTCPYSLAAFIATQWHSHLPSVTSLADLPRTAAATVEFLKRRHIPW ETQEDTLHTWLPSRWCDLDLLAAPTLDSTPSRKRKRVHDGERQSPDSTCRKTYNHFAL LKRFCHRRFLFSLEALVIGFAQYDTAACRLHLREAADDLEPCIDDLGVCSVSDQCLSL VLKDSFYRLLMHELVNFHHLDAKSHTKPNGLRVMQIRRPKGYVWPEDTYTNEAVAIVD H257_10669 MVLSAVFITDLKGKIIISRNYRGDIPMSIAEKFTQYVTEKDDNE QRPVFTNDESGVTFVYIKYNNLYLMTVTKVNSNVALMLMYLNRICQVFQEYFGELEEE SIRDNFVIIFELLDETIDHGYPQTTEARILREYITQEGHRMESAPRPPTALTNAVSWR SEGIKHRKNEIFLDVVEKLNLLVASNGTVLHSEILGAVKMKSFLSGMPELKLGLNDKM LFEATGRSTSSKGKAVEMEDIKFHQCVRLARFETDRTISFIPPDGEFDLMTYRLQTHV KPLIWVEAVVEPHSRSRIEYMVKTKSQFKSRSIANNVEIVIPVPPDVDSPSFKCSIGS VTREYLMRAHFGLPSISNTNTV H257_10669 MTVTKVNSNVALMLMYLNRICQVFQEYFGELEEESIRDNFVIIF ELLDETIDHGYPQTTEARILREYITQEGHRMESAPRPPTALTNAVSWRSEGIKHRKNE IFLDVVEKLNLLVASNGTVLHSEILGAVKMKSFLSGMPELKLGLNDKMLFEATGRSTS SKGKAVEMEDIKFHQCVRLARFETDRTISFIPPDGEFDLMTYRLQTHVKPLIWVEAVV EPHSRSRIEYMVKTKSQFKSRSIANNVEIVIPVPPDVDSPSFKCSIGSVTREYLMRAH FGLPSISNTNTV H257_10670 MVKTKSQFKSRSIANNVEIVIPVPPDVDSPSFKCSIGSVTYVPD RDAIVWTIKQFNGSREYLMRAHFGLPSISNTNTAATDEWKAPIQVKFEIPYFTVSGIQ VRYLKIIEKSGYQALPWVRYITQNGDYQLRMS H257_10671 MQQPVGDNDRVTVPQSIETMLFSVEVTQSLPLSPDKGGKAVQPP CAASLPVMYEFVISFGFLTTWTVHHRYSEARAFRKALRSIVHDSSSQCCSGEAAFSRE LVAVVDTGLPLLSHRFFHLWQSRANFIKARAQALQSFVESLLNAHARCIMECAHVSRQ SCCDVVRHLHTFLSMDKDPSILQNIGGYLQPPPVPTAIPPVSIAVDSIASDSTEATQG TSVVSTIL H257_10672 MTNFAEATDVLAYANDSSYVRARNTTVATAIQLSGGMVNYVWRL TLTDASTVILKHFPPSLRVNPAFAFPQERCELEYIALRAATSQFPQAKWSAPIPYSLD AANYVILEQDMPGVSLFDLLKQEVDQPLSTSDFEWIASSLLTFLTDVKSLVVSDMSIY ANSPLTPVMNRMYLRNLTRMIDFDIPHPQDWLDRAAACDLVATDSFLFGDFWPSSILV DVSHKRVAIIDWELARTGHFGLDLTQMMANLALMGRGQGYNNAAANNMATAIVAAWKR ATSGADTFDFEAAYVKRVVQLSQYSHWGLDNVQAAVQDLVAAAPNVFAAT H257_10673 MSENDKDGEKSVADTPIGTTVSSSSVSPMLPTSSSTTYTNGNTE RKNQMNGAVDAPSSSIADHGTTADVKERPPSLLKLVIPAHEAAREGLTSVSSSSDPSS SSSISSPDSVSSSHSRSGLLSDPSSPHNATTDQPLDKKRTYSAHELHSWDSSAKSGGP PSFNRSVTASSVDRKHSLQSSMGPPPSFGLVSTSLQVEIQAVTLPADGIKEVEDDKST HTVFALEVRLVSGLRWVIEKRYSDFRDFHDRMKKANASVRNLPFPKKHYFRGKSHSVI EQRRLDLERYVKDILHVQPLMKMPIFNFLAVYAHLESYDRKKRRQQKEVDLKRMKNLL APDELADVQAAFQRLCTSKATAIASVAPSSKQPTPQPTTTAATTNSNINSPKSPAVLA PTLGPTADASTYADGGGGPSTSSSSISSSSSSAASSAADNNLMTKTAFRRDVLSVFPD MPSTFAIRFMKGFSDKSSNEISVDEFVRAVAILRHGSTDDQLLFSFNMCDHDHLGKLQ STGLSNLLVSLYGRPVIDKPEYKRVVNDLFDYGRSRLTADEFVHAMLTQPLDHVALVL DWMPSFMHILCETASPSLLELQEDYNPVVQQKILETETAFSAAEIAMLQDAFAMYRSS SSSATSSSTNHASTGQSTTNRTAAIDLELLAADFALQVSDARLVTAFASFGPRANGVD IDVFSFVHALHIACRGTWQDKARFGFRLFSSTGNPEVMTRDDLVAMLQLEVLVHSPVE GHIRAYFPDCDTAVVSTAQIGMYVDLVLSSTDDSGGGGWTLDLFTKWAASRDHFQVAA LDMMKRTVFAKLGLIPANKAEEIDVARLCYQPYDPSALVQGDHWFIVDPVWFDHWRRY VEFIPVLDTFANPNPKARTATTTTASTTYTSTSSLKASLSWSPPKPQVTSSYSLLLPS PETKRKGETGGGGGGMTAFTNLRKPVKIKQIDGSMAALDAATAAAKLHGPPPPPPPPS SSSSGPSSIDAKPSSIVDTDNNIVRPKYIGNMALFKDKQFAPTDDMLAGKHFAVVCEP LWRALKAWYGGGPEIKQTVVVLSDGRATLDLWGTERQHAATMDGHVVAAASTTDASST ALAASKYKRMRGGGSLGLINLGNTCYMNSALQCIVNTPLFVDYFLSGMYMDDINRTST LGMQGKLAEVYGKLLEDLWSTKFKHVSPREFKKTIGKFNEAFRGNDQQDAQELIAFLL SGLSEDLNRIHDKPYISQPDSDGRYDSDLADEWWRNHLKREVSIVVALFTGQYKSLLT CSACGFKSARFEPFTFLQVPLPEPTHNNVTIPIVFADSRMMPQKLSLRLSIDATIVEL KKQLVDVCVADFGLPLHSVADIKICEYAGAHIVGFKADNRKLGQIRSIDRLMAFQLEP LAPRVVDVTRFRRPSLIPYESPGDDDYMEEEDEEVEVVATDELVTSRGRTPTSLYPHM LVEVQIKGEWVAGTVLTTTTSDTCAVQLRHGDVEENVSQTRVRPRPARLLYCPVISRK LGYSPVYFKNPFRPLPFGTPDLVRICPEMTTGAALYAMVWDRVHRFFKQRHSTTTTSN STRRGPPRQHSICGPVQHIDELFCHQDLPFLLRRVESKGITDSRTPWLLRSFGWTIPC NDHFVDLLEDETIAIDWDVQVLQEEVDMDKMKAMDLHPSVAKNDVLDHGPVPLSHCLD AFSLEEKIPETYCSSCCGHQEMTKKLEIWRLPPVMVIQLKRFQYTQTYRRKLGSLVQF PIHGLNLASCVAPFEEFPSKYPDKKAAAAAVPPEALPDDHPIKDMSPAPPSTASFSSP PSANINRARRGYTNTNLEQSRCLETHYDLYGVVNHQGALGGGHYTAYAKNSMDGNWYC YDDERVRLIEESKVVTASAYLCFYVRKDMAEITVDAVYPPKKDGKITDEDIDRFVEES DKGKCALM H257_10674 MNVFRRNHPMRHALVTPQRMMRGNGLCRRVSYVLGIETSCDDTA VAVVDSNGHILSEVVSSQWEMIQKWKGIVPALAARAHVENLPFVLDEAMRQSGLPGGY RSLSAVAVTTGPGLAPCLNVGLSTAIGLAHDHKLDIVAVNHLEAHALVPRLFQKDLGF PFLVLLVSGGHCTLVVAKDVGDYDVLGNTVDDSVGEAFDKVARMLSLATDTTTSHGGK LVEGYAARGNPRAFAFTEPMKKKQRKDCDFSYSGLKTAMVRHIASLPQPLDMKTKQDL AASFQRTAIAHLVTRTRLACELTQASTMGSMKHLVVCGGVAANANVRSQLQELATSQG LTAIFPPIRYCTDNGVMVAWAGMERFLRGVRDDPTTVKYSPRWPLDQLTPPTKQTI H257_10674 MNVFRRNHPMRHALVTPQRMMRGNGLCRRVSYVLGIETSCDDTA VAVVDSNGHILSEVVSSQWEMIQKWKGIVPALAARAHVENLPFVLDEAMRQSGLPGGY RSLSAVAVTTGPGLAPCLNVGLSTAIGLAHDHKLDIVAVNHLEAHALVPRLFQKDLGF PFLVLLVSGGHCTLVVAKDVGDYDVLGNTVDDSVGEAFDKVARMLSLATDTTTSHGGK LVEGYAARGNPRAFAFTEPMKKKQRKRTAIAHLVTRTRLACELTQASTMGSMKHLVVC GGVAANANVRSQLQELATSQGLTAIFPPIRYCTDNGVMVAWAGMERFLRGVRDDPTTV KYSPRWPLDQLTPPTKQTI H257_10674 MNVFRRNHPMRHALVTPQRMMRGNGLCRRVSYVLGIETSCDDTA VAVVDSNGHILSEVVSSQWEMIQKWKGIVPALAARAHVENLPFVLDEAMRQSGLPGGY RSLSAVAVTTGPGLAPCLNVGLSTAIGLAHDHKLDIVAVNHLEAHALVPRLFQKDLGF PFLVLLVSGGHCTLVVAKDVGDYDVLGNTVDDSVGEAFDKVARMLSLATDTTTSHGGK LVEGYAARGNPRAFAFTEPMKKKQRKDCDFSYSGLKTAMVRHIASLPQPLDMKTKQDL AASFQRTAIAHLVTRTRLACELTQASTMGSMKHLVVCGGVAANANVRSQLQELATSQG N H257_10674 MNVFRRNHPMRHALVTPQRMMRGNGLCRRVSYVLGIETSCDDTA VAVVDSNGHILSEVVSSQWEMIQKWKGIVPALAARAHVENLPFVLDEAMRQSGLPGGY RSLSAVAVTTGPGLAPCLNVGLSTAIGLAHDHKLDIVAVNHLEAHALVPRLFQKDLGF PFLVLLVSGGHCTLVVAKDVGDYDVLGNTVDDSVGEAFDKVARMLSLATDTTTSHGGK LVEGYAARGNPRAFAFTEPMKKKQRKRTAIAHLVTRTRLACELTQASTMGSMKHLVVC GGVAANANVRSQLQELATSQGN H257_10674 MNVFRRNHPMRHALVTPQRMMRGNGLCRRVSYVLGIETSCDDTA VAVVDSNGHILSEVVSSQWEMIQKWKGIVPALAARAHVENLPFVLDEAMRQSGLPGGY RSLSAVAVTTGPGLAPCLNVGLSTAIGLAHDHKLDIVAVNHLEAHALVPRLFQKDLGF PFLVLLVSGGHCTLVVAKDVGDYDVLGNTVDDSVGEAFDKVARMLSLATDTTTSHGGK LVEGYAARGNPRAFAFTEPMKKKQRKDCDFSYSGLKTAMVRHIASLVGR H257_10674 MGNDSEMERHRSCTRCPSTRGKLAVRVGRSHASKVVPSLRRSSS SRLHAYRSGLPGGYRSLSAVAVTTGPGLAPCLNVGLSTAIGLAHDHKLDIVAVNHLEA HALVPRLFQKDLGFPFLVLLVSGGHCTLVVAKDVGDYDVLGNTVDDSVGEAFDKVARM LSLATDTTTSHGGKLVEGYAARGNPRAFAFTEPMKKKQRKDCDFSYSGLKTAMVRHIA SLPQPLDMKTKQDLAASFQRTAIAHLVTRTRLACELTQASTMGSMKHLVVCGGVAANA NVRSQLQELATSQGLTAIFPPIRYCTDNGVMVAWAGMERFLRGVRDDPTTVKYSPRWP LDQLTPPTKQTI H257_10674 MGNDSEMERHRSCTRCPSTRGKLAVRVGRSHASKVVPSLRRSSS SRLHAYRSGLPGGYRSLSAVAVTTGPGLAPCLNVGLSTAIGLAHDHKLDIVAVNHLEA HALVPRLFQKDLGFPFLVLLVSGGHCTLVVAKDVGDYDVLGNTVDDSVGEAFDKVARM LSLATDTTTSHGGKLVEGYAARGNPRAFAFTEPMKKKQRKRTAIAHLVTRTRLACELT QASTMGSMKHLVVCGGVAANANVRSQLQELATSQGLTAIFPPIRYCTDNGVMVAWAGM ERFLRGVRDDPTTVKYSPRWPLDQLTPPTKQTI H257_10675 MEFHVAPHPTNDENVDSAPLVRCVTVTDVARCVKTRRQPPPRRR SPVDRTHQQLQVSTDVERADKAIHLWITKHKTPPRQDIIVWLLERLVLVPLAGSQYRV AFTHELVRSSTPNNRTNDVPTDEIAPRSDIGWDEDELPCFSAPSNDDSAYNDDEDEDL PAFSPVKRLQVQSQEFKDHHHDNPNHPHEDNADELVDRRHRKNHVTETQGFEPSPHVR ASRVVEDGKSPPNAWANDSWRLVLELKKSKLDIARAREFQRKQDVIAAARKQRLLTAA SKTRTHAKLDKQTKKLVHEATRDAIEYHKVLDTIERQTKTKNIQHMHEHELTTRFLRT GQRQKWHKGAWLGEGPFPSAAYVLPPPDTLPFVYDVHGRRHDAARAGDVTSQSLFDAV MTKIQREAAKAGKQLVAYFQRYDVDRSGTLTTGEFRRALVDMHVDASDEQMDVLFAWF DRNHTGGIDYGEFLWSFFNRRAFAKQWKATTTTTATSRPTSMTMARLEQMFYKHDSRH NRALTSRDFFVAMKELGFAFTAMDEALLVHQFDANGDGCIDLDEFVAAFSSAETDHNV QNERKDGHPPRSVDHSKPHQNVQQQPTVTPSRTKPRTQTTATRRTTSSTTPTTIQADM DELARIQRRLHSLLQQ H257_10676 MESSMVVMYLVANLKRKKKLVKWPPMKVTTTKPQRDDGLLLSFP KSKRFEYGHDLKSTTSTRCPTKASRRPAPPKLHTEINAGIYVLQNKHTGHAFFGSTWD LANAKSQNWADLTSGAHGHKAMVRTVQMYGLGDISFHVLQRIPTASEFHFRELEELLE TRLVVHTRRAQQASALRVFNTWQHNAFALAWPRWQKQMERAQHVEGTAAAVEIQRTVR GWQGARRALRRRHSKAATAIQRVYRGWTARRHATHLKHTQAAQTLQRLGRFFVDRVRW TRAKVAIQLMQRTWRGYVGRRTSDGWRRLRRQATAAQTLQANLWAVHCSRKWKRQRNI RLVEEGSVTIQRRWRGVLGRRRAAAASGVRDGLRQREGAARIVQESWHAYQGMKFQWT VSQLVTQGRSQRLLRRTWRNYIARKFGWAATRIRVATAATIVLQNSFRAYQLRQVYHR WCQECRRTRAVIRLQALGRGYIARTLVVPERRQQLLEQHSANIVGCWYRSMKWRYMIS FLRRTNKATMIQAAFRAHVARTRFQACKHEWAREKAALAIQCAFRCCRARRRVAFKRW LRSQGPCMECQEAVAEVFALAYSLELCNSCSNAMGQQIKHDEGDWDTMAIEVYRSRYR HATKIAATYRGYAQRQTETQGVCATCNTRAIHRICLTCHESFCHSCSHVTHSLSYNRQ HTPYTLTAHRQRTIAATRIQSRFRCFVHRHTVALLRSENRTFAAIRIQGWWRARYVRH LVFLDTQRQAALDLKRDRARRTLQRVYRGHRGRLAASARRKRVEAAIAIQAHFRASVA KRLVRREREVRLCARRQHAAVVMQCAYRQHCARVELHQRRLFVAARTIQCAVRVFAAG KVLRALQIEYELKVQAAVTHMKHRRKVRAVIQIQSQYRRRRDLRVAVAKRLARAAAQR QQALTIAVFAQTLLATRLERWYRRRYRRLNASAMTIQRGMWLHWGRQARQKWRQRQKD MAKERAIVRLQCFGRSIMAKREFRALKVGSWVECLDETSGCCYYYHTATQATSWVRSP EFTLHQCDDVATPQGSNQVQHIKEPAWVQVWDDTYQAYYYVDQVTGDTTWTAPDAWEA ASNQHQT H257_10677 MGFCGECGAKDEGGKFCPECGALSSGNAGLFGDASTALFPSAPV PPPAASSVRVDAERKATAAREMAEQARQDAAKAAVFFEETENRRLEDAFRLEEQQRLI HAANQREDIMARQRDAHAKREAAERQAEEARQRAIKLREDAAAATRLMEEQNRRREEE HARQLEHQRQVEMERLRASHLAEADRARKAREDAEAKAAEAKAAAEALRQKAMAAAAA LEDQRRAQQQRALEREESRRLRDEQRERDAQAARATEARAQREAAERHADAARAKAEL LRAEAVAAEANRLQKQSSLWHLQQQQQAVPMVSSFQELSVQDTSAACSQCLAPCKASQ KFCPKCGFKRVEAVIASAPAQQQRVADTSCTTCHAALKSTQKFCPKCGTPSAITSAPI PPVKTLPVASIVATPPTTCPSCYTTLNGPHKKFCPKCGAPVASAVPPVAPSSSSSFAG AIPLVSSTSSSFSGGSGLPCPACRFQCKPNAKFCPGCGSKFGSQPTADQIATMEADDN EVRRNMATAQARLDAQKLSEQQSRSFASSLAAQAQAASASRQPAPPTSSNYALF H257_10678 MASAGVFAFSRTVQEEMDWMEAMRRANPDAAEDVLSNSRLFVEL AAEGEVRSMAEIVADVDGQLQNNVLCYYFVKMFQVASSRRRMDVLKYMLDHGFDAHHA FVKDTLHRVIDAAASDDEDTLQPVLHLLLAHMDVNFQRQGDLFTPLHVACAKNFYGVA SLLLLYGADVNAIAAVTTTLIPTRTLLRYLVIGRLDATQLCRTLRGVTTERRHDQFKY TRGAVEEAWSSSDVAQTTASTSTRNTARSNGGLVVAIQSAELFLPQLRRVVVNRTHVY HTRHGLA H257_10678 MASAGVFAFSRTVQEEMDWMEAMRRANPDAAEDVLSNSRLFVEL AAEGEVRSMAEIVADVDGQLQNNVLCYYFVKMFQVASSRRRMDVLKYMLDHGFDAHHA FVKDTLHRVIDAAASDDEDTLQPVLHLLLAHMDVNFQRQGDLFTPLHVACAKNFYGVA SLLLLYGADVNAIAADDLMPLNCAERCVASPQNDAMTNSNTLVELLKKHGARPTWRRQ QPAQVPATQPDQTVDLSSQFKVLSFSSHNFDALSSTGLMFTTHDTD H257_10679 MGNAESCGALQAELAKLEDTLAVLAVTNPVGCRPLLPHHIQLRG CVLRCKQGVAVVVGPVVGAVGPDYARILLEVDMSTTVTCHVSRRERVTGQWLEVDAAR VAVDCVKGRPSIFHMKHLLPGTSYAYAFSGLAEADATTRCGYVHTLHVSDAPVRIGVV SGNNVFSGDTLDMWARLATDVDPTSTTTTSSPTTTNENLLPVHYTLHLGGQVLLENAF HQCWIMLSRFATSSISTSSTPSSSWTSMEAQVVERLRDAYRFQWSLPSVRRVLANTSN LMMWGDEDIYRNFTTSATFQMDHEAPTVQMQVMRVLLRSARRVYHEYQRQLWDVDYHE FQTQTDILVAASEAAIVATSNVAQYAFESAELDKHLALAKRKMDFDMVKRCESRLSEL KTLTANLEAQYVTLREQTAPRRGEEFFFRLENHMGFLMLDIRGTKLTPSGAQAPDNPV FSPVQWDFVLKVLADVTLRVLVVCSELPLVDDSNANIQEFITSSKVPSSSSSSRPNPR SSCRSWWGAVPRDQQRLLTLVSEWKLQKPNRELVLLSGASSMGGALASTVTDMKMRTE FHQHVVGPIAGPCHMALVPTRTGVVGDRFAFQHDVVLPGENNFAILTLAAAEGRDPVV TCRRVGQLASTVNVLVGPVVGVVDSQACTILLEVDQTATVGCVVTNVLDPSDEHRLLQ LLPARRPKAFTFTHLTPGQQYTARFLGLDPSSSAGEGCCSFHTPGTAPTRWNVVLVCR DLQVCFTSPASSSSSQLWSTIEATTSQPFSALNLVLHLGGQLASTVNVLVGPVVGVVD SQACTILLEVDQTATVGCVVTNVLDPSDEHRLLQLLPARRPKAFTFTHLTPGQQYTAR FLGLDPSSSAGEGCCSFHTPGTAPTRWNVVLVCRDLQVCFTSPASSSSSQLWSTIEAT TSQPFSALNLVLHLGGQVIVQGNASVDQARAVLKAARTSGGLNEDLAKEQLRNVYRHH WNVPATRQALMCGSHVMVWNERDVLDSTSDDDDDRHDEDMTHLSTWMEEVAHEYQDQL WLPNALYAQKWGSFGLYVWRKRRPQNQDESTRWIKLDQLLRQPITSLILACDQPLVDD SVEDTAEKAKFNSAYTRRIPYEGADLLRLLQVLLEWKGPATSSKHVVCVCGNDYYGFD TVIQHAVSNAAIRQVVVGPLCSELSVEMLAAITYLGQGTIGHVATYCHNFHPAYLQPH FGYLSLADDTSSSRSRHQEPPQPAFTYELVVRIHNCCDTVPSHEIGDQVTLHDAATWC PSANLPLHLNPEGKPWTDELGLLFNKINDVLATLKDFEWADAYACTRHPTHSSRNCLQ LTHALYPGLRRLFEIGGLKLGALVILPSMYVLYATMASKEQHKLGMVDIGRFQQLCLD TIELSLRLKPPSQIAIPETSNHEAYMVMPHEAEYMIENLTPLPLQSMGSAEFMRLHGD VEKLNLQAHQSAKQKSDNFVVESLLTFKKLPVLIYNLLANELWKEKVFPTLADYGDAA SMRTYFVLYHEAVLCNLLEVSFYHEHVVESLDDETLMEVVDYCMRKITWLIQTPREVF RTQTTFHKSGQDIVRELDTASRADELRRQWLELEFRVSVQCVTILRYICERVHLLSLN LLSRVLDKHDALLSFVALLENPPWTYKAPDNTWKKFDHQKWTQVAPCDLLQITTTEAQ PWIALYFLVCSKAARDQYQLTCFRKNQVLRVRKYLNDVMLDQLPLLADVQRFLDELAI VQLNPNAVSAAAKLVMEVVPVIRTALVREYRAQYTQLGVAYLDACASINRQDDMKTLA DVYNMDGLDDLLDSGGGGATTFSTDQNQPNQMPPAKRSPLSVNLVISNHYHHMQKIIA LDDNNCDSVEQCVICDVVLSSETVVDTTDGKYFRYALQRRRAVDSTMLQDHEPNQQDV DSVVVATHAHVHATVAFDDNQMPPIHLANPDVNLPVDIISNNQKSIAKPVVWRQVGIL DQGQGVVQMQLKLLDTNRYEVGSVFLSIPATPPAAVPS H257_10680 MTSRLLRRGKGVVDPLSGLEDKGTVLQEDDVVWSVMLNQTDVSY GVKGHNKFYSFQIIIDNDDGHRAYVFFRWGRVGAKNPQRKLHGPFTKDAAKQLFVSKF TAKTENDWPVTPPFEPVKGKYTLIELDYSEGDDNSTTMMEAETAKNNPEQGAAEVVPP STLPVSVQTFVKLICDVDLIAQEVAEMHLDLKRMPLGKLSKAHLAKGYVILDFISDTL TTIADLNAASATKQVEGTSSTPRHRRRSSRVIKSTASSTTKAQLAKCKAQLKDRTSEF YTLIPHNFGMSLPPVIDSVSELKLKLDLLQVLEDVEITHKMLQTERNSEVNPVDAHYS ALGMTLTEVDASSAEFTRIQEYIKLTHAPTHRQYKLHVDAVHALHKLEPSHSIDEKDP SLLFDALNNHQLLWHGSRLSNIASILTKGLRIAPPEAPSTGYMFGKGVYFTDVASKAA NYCWASVHQTKGVLILSDVALGTPVHVLDAQEYSFEALQKLKCHSLLGVGRMAPSEAT HETSEDGVKMPKGTLVPTDAEGGSLLYNEYVVYRTDQVRLRYAVTVTFEFN H257_10680 MLNQTDVSYGVKGHNKFYSFQIIIDNDDGHRAYVFFRWGRVGAK NPQRKLHGPFTKDAAKQLFVSKFTAKTENDWPVTPPFEPVKGKYTLIELDYSEGDDNS TTMMEAETAKNNPEQGAAEVVPPSTLPVSVQTFVKLICDVDLIAQEVAEMHLDLKRMP LGKLSKAHLAKGYVILDFISDTLTTIADLNAASATKQVEGTSSTPRHRRRSSRVIKST ASSTTKAQLAKCKAQLKDRTSEFYTLIPHNFGMSLPPVIDSVSELKLKLDLLQVLEDV EITHKMLQTERNSEVNPVDAHYSALGMTLTEVDASSAEFTRIQEYIKLTHAPTHRQYK LHVDAVHALHKLEPSHSIDEKDPSLLFDALNNHQLLWHGSRLSNIASILTKGLRIAPP EAPSTGYMFGKGVYFTDVASKAANYCWASVHQTKGVLILSDVALGTPVHVLDAQEYSF EALQKLKCHSLLGVGRMAPSEATHETSEDGVKMPKGTLVPTDAEGGSLLYNEYVVYRT DQVRLRYAVTVTFEFN H257_10680 MTSRLLRRGKGVVDPLSGLEDKGTVLQEDDVVWSVMLNQTDVSY GVKGHNKFYSFQIIIDNDDGHRAYVFFRWGRVGAKNPQRKLHGPFTKDAAKQLFVSKF TAKTENDWPVTPPFEPVKGKYTLIELDYSEGDDNSTTMMEAETAKNNPEQGAAEVVPP STLPVSVQTFVKLICDVDLIAQEVAEMHLDLKRMPLGKLSKAHLAKGYVILDFISDTL TTIADLNAASATKQVEGTSSTPRHRRRSSRVIKSTASSTTKAQLAKCKAQLKDRTSEF YTLIPHNFGMSLPPVIDSVSELKLKLDLLQVLEDVEITHKMLQTERNSEVNPVDAHYS ALGMTLTEVDASSAEFTRIQEYIKLTHAPTHRQYKLHVDAVHALHKLEPSHSIDEKDP SLLFDALNNHQLLWHGSRLSNIASILTKGLRIAPPEAPSTGYMFGKGVYFTDVASKAA NYCWASVHQTKGVLILSDVALGTPVHVLDAQEYSFEALQKLKCHSLLGVGRMAPSEAT HETSEDGVKMPKGTLVPTDAEGGSLLYNEYVVYRTDQVRLRYAVTVTFEFN H257_10680 MLNQTDVSYGVKGHNKFYSFQIIIDNDDGHRAYVFFRWGRVGAK NPQRKLHGPFTKDAAKQLFVSKFTAKTENDWPVTPPFEPVKGKYTLIELDYSEGDDNS TTMMEAETAKNNPEQGAAEVVPPSTLPVSVQTFVKLICDVDLIAQEVAEMHLDLKRMP LGKLSKAHLAKGYVILDFISDTLTTIADLNAASATKQVEGTSSTPRHRRRSSRVIKST ASSTTKAQLAKCKAQLKDRTSEFYTLIPHNFGMSLPPVIDSVSELKLKLDLLQVLEDV EITHKMLQTERNSEVNPVDAHYSALGMTLTEVDASSAEFTRIQEYIKLTHAPTHRQYK LHVDAVHALHKLEPSHSIDEKDPSLLFDALNNHQLLWHGSRLSNIASILTKGLRIAPP EAPSTGYMFGKGVYFTDVASKAANYCWASVHQTKVLLNPQSIPNMVCKTKQLLTALQG SVDLK H257_10680 MTSRLLRRGKGVVDPLSGLEDKGTVLQEDDVVWSVMLNQTDVSY GVKGHNKFYSFQIIIDNDDGHRAYVFFRWGRVGAKNPQRKLHGPFTKDAAKQLFVSKF TAKTENDWPVTPPFEPVKGKYTLIELDYSEGDDNSTTMMEAETAKNNPEQGAAEVVPP STLPVSVQTFVKLICDVDLIAQEVAEMHLDLKRMPLGKLSKAHLAKGYVILDFISDTL TTIADLNAASATKQVEGTSSTPRHRRRSSRVIKSTASSTTKAQLAKCKAQLKDRTSEF YTLIPHNFGMSLPPVIDSVSELKLKLDLLQVLEDVEITHKMLQTERNSEVNPVDAHYS ALGMTLTEVDASSAEFTRIQEYIKLTHAPTHRQYKLHVDAVHALHKLEPSHSIDEKDP SLLFDALNNHQLLWHGSRLSNIASILTKGLRIAPPEAPSTGYMFGKGVYFTDVASKAA NYCWASVHQTKVLLNPQSIPNMVCKTKQLLTALQGSVDLK H257_10681 MSLLAAPPVAETALVVSSGAPPQKEELPVDLMQVPSIPLQKTLL LLTNFCTNTVRFINHFSSLCEERLATTSKNLTRLEISLAILEAKLNSIPDLPSTVTPA VDLPADLNLPPTDAAPAPPPPPPPGPAPDTTSSSVGPPPPPPPPTSSDSPPPPPPAAE VALLKLKDDPMFTTYFTMQRLGMPHLAIRQKMIMDGMDPAVLSMDPEGPSPSATSLVL AAPPSDPTSDNSTALALVAPPPFLPSTTPELDLPPLSSSPPPPPPPPPSSGLPPLPVE GGIGLPPPPPSAPAAEPAPLAPSPSFLKLKDDPMFEKYFKMLKLGMPEGVVRHKLAMD GITLDILSMDPESPSPNGGTAAPVAAADDDDDDF H257_10682 MAEVAAKRGSDKPTAIMKGVFEARKNAGTENTNRIAELLEQELN GMSADQIAKMVQKQNHGFVISLNSKFKLWWDVAVAFLTVYVVIVTPVQVGFGLKDYQT LDNIQVFVDAMFIVELVLTFRTSYIDSATREEINDAALIRRHYMYGWFVPDAASSYPV SWFNTHDQNSSVQALKFLRILKIFRVFHLSKSPLFVSLTAYVSRKMNPAMLRMLKLLL IFLLCQHFIACMYFFVVSYEGISDDTWQPPFNLDTTDLSDKYVGCFYFAIMVTTANDL QPKTTLERIFTGLMLLLGIAINATIIGSAANLLANLDKTEVARKEHLDAINDYLRFKK VPLILQDKIRHYYDYVWTVRPSEQSSQLFVDLPDRLKLQLTLSLHRDFINKVPLFKAL SPGGTIAIVQCMESVVAMPKDLIIREGEPGEEFYFINSGSVEVDIAVKKNKVQLGVLG AGSYFGEISLLTNEPRSANVRAALFCELEMIRKDDFNAIIHSFPQFHIALKKIADSRQ QTAINMQKMSKLMKKDESPESSGTPGLSAMLRFIDLAMRRKQPNKVSDADAAKKKPAM LFDSVLDDDFILNMSQAKARNITRMKRISADMFSRLDDPV H257_10682 MFIVELVLTFRTSYIDSATREEINDAALIRRHYMYGWFVPDAAS SYPVSWFNTHDQNSSVQALKFLRILKIFRVFHLSKSPLFVSLTAYVSRKMNPAMLRML KLLLIFLLCQHFIACMYFFVVSYEGISDDTWQPPFNLDTTDLSDKYVGCFYFAIMVTT ANDLQPKTTLERIFTGLMLLLGIAINATIIGSAANLLANLDKTEVARKEHLDAINDYL RFKKVPLILQDKIRHYYDYVWTVRPSEQSSQLFVDLPDRLKLQLTLSLHRDFINKVPL FKALSPGGTIAIVQCMESVVAMPKDLIIREGEPGEEFYFINSGSVEVDIAVKKNKVQL GVLGAGSYFGEISLLTNEPRSANVRAALFCELEMIRKDDFNAIIHSFPQFHIALKKIA DSRQQTAINMQKMSKLMKKDESPESSGTPGLSAMLRFIDLAMRRKQPNKVSDADAAKK KPAMLFDSVLDDDFILNMSQAKARNITRMKRISADMFSRLDDPV H257_10683 MQQRDLTTNTVRPGVLFRMRPPPSVISTTAASPSAWSSPYPSLL PRHLLDTEASTPDAKRLSHAATTPGGTPVAKTNVPSSREHFLALYQKSPMPKILIARQ QLHQATTAQTPPPSSHSAPTASTPTTQTPRRRYIFRSNAPTTAASPSVPSTPTPKPTA QGIAGRTEPAALTSSSIPLASPAAVTHPSPSTRAPMATSTPPVALRPPTTLRHPLTPS TSRPQLGRGQTPPTPSVRPGSAPTSDLSSRVGPFRRLSSTPLAAPHAKARLSFEDPPP IPPHIPAQAAPASMKSAPVRNIPPVRSSPQQPSPPPKRSQPSPRRPTASTVPSIPPIP PSEPSTLDTHDRKATGAPGTDEVKARHTTPSKRSLVTLLAPPPSSTTSDSQTVSTSSS HGASTATSNVPVVDLTDSSSSAPPPISTNIVTRSTNTPQRHPQPTNKPASHTADTAAG TPRQMAAAGSSKKQKRGLVQAKGPISAKKQRQGAASVGNSTVETVPTVKRRTQSSAAK PSPPPHKPPNQTSPINPQISRVHLAETLPPPPVHSHLDQARDKSDDVIPQKATQSAAS RRSLTAKPYTKTNTRRTCDNVATRKRRREADSPTSLAKCLSFDDSDDDEEGSSSIDTS RSPSPSTTLSAAAGKPVQGEEGGGSRRRVLALRKWTVVWPPPSDGPSLQLVVRGQVSQ GEWESHVVERIRRPRLFVSQTTSQDVSLVGRMVPTPKLPAKVAHQFSHGIPSDWVHMF RSVLGDVKIKSIHQPAQMSAGFSLFHMFRPQSDDDDDEDDVTPASASKLERLQRPPAP PPSSLPRRQINRKTASKPSKQKTVELEVAPPVDTFYSSSDDKTLVKVKPAVSKAVPSK PEVVLQGKTTRSGRVTSPVKAWWKATEEDCATTAADHWTTDQVSSLKQAIHKVDPKDP KYWTKIAKWVDGKSAIQCQTKQFESVESTFTRPRPPTKSMKLNKSQLSRAGTQRFKKQ VRQFVVEYEAANDGGDDVCVSPPTYHVTPSTSSRLSTPKSIRKFKRRHHVADDSDESD AAVLLQTVPSSHRDKLDAYNGRLLNQKYTRQHHHPSRSTFQSTKSGAHEMDEYGDPRT VRQSIQTTGARRLEGVLTPRGSMKVRVVKRTADDSSYEELDADSSDDD H257_10683 MQQRDLTTNTVRPGVLFRMRPPPSVISTTAASPSAWSSPYPSLL PRHLLDTEASTPDAKRLSHAATTPGGTPVAKTNVPSSREHFLALYQKSPMPKILIARQ QLHQATTAQTPPPSSHSAPTASTPTTQTPRRRYIFRSNAPTTAASPSVPSTPTPKPTA QGIAGRTEPAALTSSSIPLASPAAVTHPSPSTRAPMATSTPPVALRPPTTLRHPLTPS TSRPQLGRGQTPPTPSVRPGSAPTSDLSSRVGPFRRLSSTPLAAPHAKARLSFEDPPP IPPHIPAQAAPASMKSAPVRNIPPVRSSPQQPSPPPKRSQPSPRRPTASTVPSIPPIP PSEPSTLDTHDRKATGAPGTDEVKARHTTPSKRSLVTLLAPPPSSTTSDSQTVSTSSS HGASTATSNVPVVDLTDSSSSAPPPISTNIVTRSTNTPQRHPQPTNKPASHTADTAAG TPRQMAAAGSSKKQKRGLVQAKGPISAKKQRQGAASVGNSTVETVVPTVKRRTQSSAA KPSPPPHKPPNQTSPINPQISRVHLAETLPPPPVHSHLDQARDKSDDVIPQKATQSAA SRRSLTAKPYTKTNTRRTCDNVATRKRRREADSPTSLAKCLSFDDSDDDEEGSSSIDT SRSPSPSTTLSAAAGKPVQGEEGGGSRRRVLALRKWTVVWPPPSDGPSLQLVVRGQVS QGEWESHVVERIRRPRLFVSQTTSQDVSLVGRMVPTPKLPAKVAHQFSHGIPSDWVHM FRSVLGDVKIKSIHQPAQMSAGFSLFHMFRPQSDDDDDEDDVTPASASKLERLQRPPA PPPSSLPRRQINRKTASKPSKQKTVELEVAPPVDTFYSSSDDKTLVKVKPAVSKAVPS KPEVVLQGKTTRSGRVTSPVKAWWKATEEDCATTAADHWTTDQVSSLKQAIHKVDPKD PKYWTKIAKWVDGKSAIQCQTKQFESVESTFTRPRPPTKSMKLNKSQLSRAGTQRFKK QVRQFVVEYEAANDGGDDVCVSPPTYHVTPSTSSRLSTPKSIRKFKRRHHVADDSDES DAAVLLQTVPSSHRDKLDAYNGRLLNQKYTRQHHHPSRSTFQSTKSGAHEMDEYGDPR TVRQSIQTTGARRLEGVLTPRGSMKVRVVKRTADDSSYEELDADSSDDD H257_10683 MQQRDLTTNTVRPGVLFRMRPPPSVISTTAASPSAWSSPYPSLL PRHLLDTEASTPDAKRLSHAATTPGGTPVAKTNVPSSREHFLALYQKSPMPKILIARQ QLHQATTAQTPPPSSHSAPTASTPTTQTPRRRYIFRSNAPTTAASPSVPSTPTPKPTA QGIAGRTEPAALTSSSIPLASPAAVTHPSPSTRAPMATSTPPVALRPPTTLRHPLTPS TSRPQLGRGQTPPTPSVRPGSAPTSDLSSRVGPFRRLSSTPLAAPHAKARLSFEDPPP IPPHIPAQAAPASMKSAPVRNIPPVRSSPQQPSPPPKRSQPSPRRPTASTVPSIPPIP PSEPSTLDTHDRKATGAPGTDEVKARHTTPSKRSLVTLLAPPPSSTTSDSQTVSTSSS HGASTATSNVPVVDLTDSSSSAPPPISTNIVTRSTNTPQRHPQPTNKPASHTADTAAG TPRQMAAAGSSKKQKRGLVQAKGPISAKKQRQGAASVGNSTVETVVPTVKRRTQSSAA KPSPPPHKPPNQTSPINPQISRVHLAETLPPPPVHSHLDQARDKSDDVIPQKATQSAA SRRSLTAKPYTKTNTRRTCDNVATRKRRREADSPTSLAKCLSFDDSDDDEEGSSSIDT SRSPSPSTTLSAAAGKPVQGEEGGGSRRRVLALRKWTVVWPPPSDGPSLQLVVRGQVS QGEWESHVVERIRRPRLFVSQTTSQDVSLVGRMVPTPKLPAKVAHQFSHGIPSDWVHM FRSVLGDVKIKSIHQPAQMSAGFSLFHMFRPQSDDDDDEDDVTPASASKLERLQRPPA PPPSSLPRRQINRKTASKPSKQKTVELEVAPPVDTFYSSSDDKTLVKVKPAVSKAVPS KPEVVLQGKTTRSGRVTSPVKAWWKATEEDCATTAADHWTTDQVSSLKQAIHKVDPKD PKYWTKIAKWVDGKSAIQCQTKQFESVESTFTRPRPPTKSMKLNKSQLSRAGTQRFKK QVRQFVVEYEAANDGGDDVCVSPPTYHVTPSTSSRLSTPKSIRKFKRRHHVADDSDES DAAVLLQTVPSSHRDKLDAYNGRLLNQKYTRQHHHPSRSTFQSTKSGAHEVSSVLVLA LLVLLVLVCVVLDGRIWGPKDSSAEHPNDWRATAGRGADPQR H257_10683 MQQRDLTTNTVRPGVLFRMRPPPSVISTTAASPSAWSSPYPSLL PRHLLDTEASTPDAKRLSHAATTPGGTPVAKTNVPSSREHFLALYQKSPMPKILIARQ QLHQATTAQTPPPSSHSAPTASTPTTQTPRRRYIFRSNAPTTAASPSVPSTPTPKPTA QGIAGRTEPAALTSSSIPLASPAAVTHPSPSTRAPMATSTPPVALRPPTTLRHPLTPS TSRPQLGRGQTPPTPSVRPGSAPTSDLSSRVGPFRRLSSTPLAAPHAKARLSFEDPPP IPPHIPAQAAPASMKSAPVRNIPPVRSSPQQPSPPPKRSQPSPRRPTASTVPSIPPIP PSEPSTLDTHDRKATGAPGTDEVKARHTTPSKRSLVTLLAPPPSSTTSDSQTVSTSSS HGASTATSNVPVVDLTDSSSSAPPPISTNIVTRSTNTPQRHPQPTNKPASHTADTAAG TPRQMAAAGSSKKQKRGLVQAKGPISAKKQRQGAASVGNSTVETVVPTVKRRTQSSAA KPSPPPHKPPNQTSPINPQISRVHLAETLPPPPVHSHLDQARDKSDDVIPQKATQSAA SRRSLTAKPYTKTNTRRTCDNVATRKRRREADSPTSLAKCLSFDDSDDDEEGSSSIDT SRSPSPSTTLSAAAGKPVQGEEGGGSRRRVLALRKWTVVWPPPSDGPSLQLVVRGQVS QGEWESHVVERIRRPRLFVSQTTSQDVSLVGRMVPTPKLPAKVAHQFSHGIPSDWVHM FRSVLGDVKIKSIHQPAQMSAGFSLFHMFRPQSDDDDDEDDVTPASASKLERLQRPPA PPPSSLPRRQINRKTASKPSKQKTVELEVAPPVDTFYSSSDDKTLVKVKPAVSKAVPS KPEVVLQGKTTRSGRVTSPVKAWWKATEEDCATTAADHWTTDQVSSLKQAIHKVDPKD PKYWTKIAKWVDGKSAIQCQTKQFESVESTFTRPRPPTKSMKLNKSQLSRAGTQRFKK QVRQFVVEYEAANDGGDDVCVSPPTYHVTPSTSSRLSTPKSIRKFKRRHHVADDSDES DAAVLLQTVPSSHRDKLDAYNGRLLNQKYTRQHHHPSRSTFQSTKSGAHEYLCVLY H257_10683 MQQRDLTTNTVRPGVLFRMRPPPSVISTTAASPSAWSSPYPSLL PRHLLDTEASTPDAKRLSHAATTPGGTPVAKTNVPSSREHFLALYQKSPMPKILIARQ QLHQATTAQTPPPSSHSAPTASTPTTQTPRRRYIFRSNAPTTAASPSVPSTPTPKPTA QGIAGRTEPAALTSSSIPLASPAAVTHPSPSTRAPMATSTPPVALRPPTTLRHPLTPS TSRPQLGRGQTPPTPSVRPGSAPTSDLSSRVGPFRRLSSTPLAAPHAKARLSFEDPPP IPPHIPAQAAPASMKSAPVRNIPPVRSSPQQPSPPPKRSQPSPRRPTASTVPSIPPIP PSEPSTLDTHDRKATGAPGTDEVKARHTTPSKRSLVTLLAPPPSSTTSDSQTVSTSSS HGASTATSNVPVVDLTDSSSSAPPPISTNIVTRSTNTPQRHPQPTNKPASHTADTAAG TPRQMAAAGSSKKQKRGLVQAKGPISAKKQRQGAASVGNSTVETVVPTVKRRTQSSAA KPSPPPHKPPNQTSPINPQISRVHLAETLPPPPVHSHLDQARDKSDDVIPQKATQSAA SRRSLTAKPYTKTNTRRTCDNVATRKRRREADSPTSLAKCLSFDDSDDDEEGSSSIDT SRSPSPSTTLSAAAGKPVQGEEGGGSRRRVLALRKWTVVWPPPSDGPSLQLVVRGQVS QGEWESHVVERIRRPRLFVSQTTSQDVSLVGRMVPTPKLPAKVAHQFSHGIPSDWVHM FRSVLGDVKIKSIHQPAQMSAGFSLFHMFRPQSDDDDDEDDVTPASASKLERLQRPPA PPPSSLPRRQINRKTASKPSKQKTVELEVAPPVDTFYSSSDDKTLVKVKPAVSKAVPS KPEVVLQGKTTRSGRVTSPVKAWWKATEEDCATTAADHWTTDQVSSLKQAIHKVDPKD PKYWTKIAKWVDGKSAIQCQTKQFESVESTFTRPRPPTKSMKLNKSQLSRAGTQRFKK QVRQFVVEVKY H257_10683 MQQRDLTTNTVRPGVLFRMRPPPSVISTTAASPSAWSSPYPSLL PRHLLDTEASTPDAKRLSHAATTPGGTPVAKTNVPSSREHFLALYQKSPMPKILIARQ QLHQATTAQTPPPSSHSAPTASTPTTQTPRRRYIFRSNAPTTAASPSVPSTPTPKPTA QGIAGRTEPAALTSSSIPLASPAAVTHPSPSTRAPMATSTPPVALRPPTTLRHPLTPS TSRPQLGRGQTPPTPSVRPGSAPTSDLSSRVGPFRRLSSTPLAAPHAKARLSFEDPPP IPPHIPAQAAPASMKSAPVRNIPPVRSSPQQPSPPPKRSQPSPRRPTASTVPSIPPIP PSEPSTLDTHDRKATGAPGTDEVKARHTTPSKRSLVTLLAPPPSSTTSDSQTVSTSSS HGASTATSNVPVVDLTDSSSSAPPPISTNIVTRSTNTPQRHPQPTNKPASHTADTAAG TPRQMAAAGSSKKQKRGLVQAKGPISAKKQRQGAASVGNSTVETVVPTVKRRTQSSAA KPSPPPHKPPNQTSPINPQISRVHLAETLPPPPVHSHLDQARDKSDDVIPQKATQSAA SRRSLTAKPYTKTNTRRTCDNVATRKRRREADSPTSLAKCLSFDDSDDDEEGSSSIDT SRSPSPSTTLSAAAGKPVQGEEGGGSRRRVLALRKWTVVWPPPSDGPSLQLVVRGQVS QGEWESHVVERIRRPRLFVSQTTSQDVSLVGRMVPTPKLPAKVAHQFSHGIPSDWVHM FRSVLGDVKIKSIHQPAQMSAGFSLFHMFRPQSDDDDDEDDVTPASASKLERLQRPPA PPPSSLPRRQINRKTASKPSKQKTVELEVAPPVDTFYSSSDDKTLVKVKPAVSKAVPS KPEVVLQGKTTRSGRVTSPVKAWWKATEEDCATTAADHWTTDQVSSLKQAIHKVDPKD PKYWTKIAKWVDGKSAIQCQTKQFESVESTFTRPRPPTKSMKLNKSQLSRAGTQRFKK QVRQFVVEVKY H257_10683 MQQRDLTTNTVRPGVLFRMRPPPSVISTTAASPSAWSSPYPSLL PRHLLDTEASTPDAKRLSHAATTPGGTPVAKTNVPSSREHFLALYQKSPMPKILIARQ QLHQATTAQTPPPSSHSAPTASTPTTQTPRRRYIFRSNAPTTAASPSVPSTPTPKPTA QGIAGRTEPAALTSSSIPLASPAAVTHPSPSTRAPMATSTPPVALRPPTTLRHPLTPS TSRPQLGRGQTPPTPSVRPGSAPTSDLSSRVGPFRRLSSTPLAAPHAKARLSFEDPPP IPPHIPAQAAPASMKSAPVRNIPPVRSSPQQPSPPPKRSQPSPRRPTASTVPSIPPIP PSEPSTLDTHDRKATGAPGTDEVKARHTTPSKRSLVTLLAPPPSSTTSDSQTVSTSSS HGASTATSNVPVVDLTDSSSSAPPPISTNIVTRSTNTPQRHPQPTNKPASHTADTAAG TPRQMAAAGSSKKQKRGLVQAKGPISAKKQRQGAASVGNSTVETVVPTVKRRTQSSAA KPSPPPHKPPNQTSPINPQISRVHLAETLPPPPVHSHLDQARDKSDDVIPQKATQSAA SRRSLTAKPYTKTNTRRTCDNVATRKRRREADSPTSLAKCLSFDDSDDDEEGSSSIDT SRSPSPSTTLSAAAGKPVQGEEGGGSRRRVLALRKWTVVWPPPSDGPSLQLVVRGQVS QGEWESHVVERIRRPRLFVSQTTSQDVSLVGRMVPTPKLPAKVAHQFSHGIPSDWVHM FRSVLGDVKIKSIHQPAQMSAGFSLFHMFRPQSDDDDDEDDVTPASASKLERLQRPPA PPPSSLPRRQINRKTASKPSKQKTVELEVAPPVDTFYSSSDDKTLVKVKPAVSKAVPS KPEVVLQGKTTRSGRVTSPVKAWWKATEYTKWSD H257_10683 MQQRDLTTNTVRPGVLFRMRPPPSVISTTAASPSAWSSPYPSLL PRHLLDTEASTPDAKRLSHAATTPGGTPVAKTNVPSSREHFLALYQKSPMPKILIARQ QLHQATTAQTPPPSSHSAPTASTPTTQTPRRRYIFRSNAPTTAASPSVPSTPTPKPTA QGIAGRTEPAALTSSSIPLASPAAVTHPSPSTRAPMATSTPPVALRPPTTLRHPLTPS TSRPQLGRGQTPPTPSVRPGSAPTSDLSSRVGPFRRLSSTPLAAPHAKARLSFEDPPP IPPHIPAQAAPASMKSAPVRNIPPVRSSPQQPSPPPKRSQPSPRRPTASTVPSIPPIP PSEPSTLDTHDRKATGAPGTDEVKARHTTPSKRSLVTLLAPPPSSTTSDSQTVSTSSS HGASTATSNVPVVDLTDSSSSAPPPISTNIVTRSTNTPQRHPQPTNKPASHTADTAAG TPRQMAAAGSSKKQKRGLVQAKGPISAKKQRQGAASVGNSTVETVVPTVKRRTQSSAA KPSPPPHKPPNQTSPINPQISRVHLAETLPPPPVHSHLDQARDKSDDVIPQKATQSAA SRRSLTAKPYTKTNTRRTCDNVATRKRRREADSPTSLAKCLSFDDSDDDEEGSSSIDT SRSPSPSTTLSAAAGKPVQGEEGGGSRRRVLALRKWTVVWPPPSDGPSLQLVVRGQVS QGEWESHVVERIRRPRLFVSQTTSQDVSLVGRMVPTPKLPAKVAHQFSHGIPSDWVHM FRSVLGDVKIKSIHQPAQMSAGFSLFHMFRPQSDDDDDEDDVTPASASKLERLQRPPA PPPSSLPRRQINRKTASKPSKQKTVELEVAPPVDTFYSSSDDKTLVKVKPAVSKAVPS KPEVVLQGKTTRSGRVTSPVKAWWKATEYTKWSD H257_10683 MQQRDLTTNTVRPGVLFRMRPPPSVISTTAASPSAWSSPYPSLL PRHLLDTEASTPDAKRLSHAATTPGGTPVAKTNVPSSREHFLALYQKSPMPKILIARQ QLHQATTAQTPPPSSHSAPTASTPTTQTPRRRYIFRSNAPTTAASPSVPSTPTPKPTA QGIAGRTEPAALTSSSIPLASPAAVTHPSPSTRAPMATSTPPVALRPPTTLRHPLTPS TSRPQLGRGQTPPTPSVRPGSAPTSDLSSRVGPFRRLSSTPLAAPHAKARLSFEDPPP IPPHIPAQAAPASMKSAPVRNIPPVRSSPQQPSPPPKRSQPSPRRPTASTVPSIPPIP PSEPSTLDTHDRKATGAPGTDEVKARHTTPSKRSLVTLLAPPPSSTTSDSQTVSTSSS HGASTATSNVPVVDLTDSSSSAPPPISTNIVTRSTNTPQRHPQPTNKPASHTADTAAG TPRQMAAAGSSKKQKRGLVQAKGPISAKKQRQGAASVGNSTVETVVPTVKRRTQSSAA KPSPPPHKPPNQTSPINPQISRVHLAETLPPPPVHSHLDQARDKSDDVIPQKATQSAA SRRSLTAKPYTKTNTRRTCDNVATRKRRREADSPTSLAKCLSFDDSDDDEEGSSSIDT SRSPSPSTTLSAAAGKPVQGEEGGGSRRRVLALRKWTVVWPPPSDGPSLQLVVRGQVS QGEWESHVVERIRRPRLFVSQTTSQDVSLVGRMVPTPKLPAKVAHQFSHGIPSDWVHM FRSVLGDVKIKSIHQPAQMSAGFSLFHMFRPQSDDDDDEDDVTPASASKLERLQRPPA PPPSSLPRRQINRKTASKPSKQKTVELEVAPPVDTFYSSSDDKTLVKVKPAVSKAVPS KPEVVLQGKTTRSGRVTSPVKAWWKATEYTKWSD H257_10683 MQQRDLTTNTVRPGVLFRMRPPPSVISTTAASPSAWSSPYPSLL PRHLLDTEASTPDAKRLSHAATTPGGTPVAKTNVPSSREHFLALYQKSPMPKILIARQ QLHQATTAQTPPPSSHSAPTASTPTTQTPRRRYIFRSNAPTTAASPSVPSTPTPKPTA QGIAGRTEPAALTSSSIPLASPAAVTHPSPSTRAPMATSTPPVALRPPTTLRHPLTPS TSRPQLGRGQTPPTPSVRPGSAPTSDLSSRVGPFRRLSSTPLAAPHAKARLSFEDPPP IPPHIPAQAAPASMKSAPVRNIPPVRSSPQQPSPPPKRSQPSPRRPTASTVPSIPPIP PSEPSTLDTHDRKATGAPGTDEVKARHTTPSKRSLVTLLAPPPSSTTSDSQTVSTSSS HGASTATSNVPVVDLTDSSSSAPPPISTNIVTRSTNTPQRHPQPTNKPASHTADTAAG TPRQMAAAGSSKKQKRGLVQAKGPISAKKQRQGAASVGNSTVETVVPTVKRRTQSSAA KPSPPPHKPPNQTSPINPQISRVHLAETLPPPPVHSHLDQARDKSDDVIPQKATQSAA SRRSLTAKPYTKTNTRRTCDNVATRKRRREADSPTSLAKCLSFDDSDDDEEGSSSIDT SRSPSPSTTLSAAAGKPVQGEEGGGSRRRVLALRKWTVVWPPPSDGPSLQLVVRGQVS QGEWESHVVERIRRPRLFVSQTTSQDVSLVGRMVPTPKLPAKVAHQFSHGIPSDWVHM FRSVLGDVKIKSIHQPAQMSAGFSLFHMFRPQSDDDDDEDDVTPASASKLERLQRPPA PPPSSLPRRQINRKTASKPSKQKTVELEVAPPVDTFYSSSDDKTLVKVKPAVSKVCTY IGGDF H257_10684 MSVLPYGIATEKGPKQSQEDAYFIGAFRGDRLTLNGFTGPSSSS MDEYAGCFGVFDGHGGDRASRYCAEFAFPKIRANLATLQQCKAASSGSTDPLADADDA VLPHVLRQSILDLGTCMRTFIRSSLVSYRYPSSSTIDAEFCDRSGANLRFGKASGNTK YPLCGIEDGSTLVLAMIRRHKLYVVNVGDSRAVLCHVASSGTYKATALTTDHKPDLPA ERARIQANGGTITGLVCGHKPPEFALHMWPFNRLVDVPRVDGVLSMTRAMGDVTMKPP LSAEPDVVVHALDAISDKFLILASDGLWDVVSNKKAAKVALSSPSAQAAAAALCKLAM KRGTHDNVTVLVVDLARFMHTTKLE H257_10684 MSVLPYGIATEKGPKQSQEDAYFIGAFRGDRLTLNGFTGPSSSS MDEYAGCFGVFDGHGGDRASRYCAEFAFPKIRANLATLQQCKAASSGSTDPLADADDA VLPHVLRQSILDLDAEFCDRSGANLRFGKASGNTKYPLCGIEDGSTLVLAMIRRHKLY VVNVGDSRAVLCHVASSGTYKATALTTDHKPDLPAERARIQANGGTITGLVCGHKPPE FALHMWPFNRLVDVPRVDGVLSMTRAMGDVTMKPPLSAEPDVVVHALDAISDKFLILA SDGLWDVVSNKKAAKVALSSPSAQAAAAALCKLAMKRGTHDNVTVLVVDLARFMHTTK LE H257_10685 MPALELFGRRSRVGSDDFFCPALLQFMFQIPFVLVCVLYVSTYR TCSTMQIHNLSGLGFWYMLLSIPVLAFVMIMNVLELHVSSQGTIITHESRILMKPLVV VHFAWAVGMFGGGTAGVVLYAMDQLCFPDANFVLVVAVGYLANVLGMVLFACLVIGGT PVHQRRHSCDAHMDVVDPENHTLLYGNSDPALPNSDLYIPHQERWERQCHRCCACVRC FTCSLFGGSGTQTDAMSVVASVFARFFYGSPDLVFSDIIAGFVLLGAVQYHEKNAAAA SPDVLREVGVVPTFPAPLDDPKDVALVAAVADLAHFSKYAIGIYGWMLYVWSHPWTSG PRLVLRSLTTRGQPYIHGDNCVHWHQVALQLETGVADADIVYASFGNAVCKPAFCVTL DHHKKQVVIAIRGTLSLEDCLTDAIAYGVSLDEMAATYQCDGKGAFAHQGMLQCALWL MQEISALGMLPMLFDPTAVPSTPPSPIVNGCLPGTYADYGLTITGHSLGAGAAVLLSI MLRPRYPTLKCLALSPPGCLMSPELATSSASFVTSVVLGKDIIARASLLSFQALRDQV LSLIGRSKVNKTHIMRQALSWRHPDELLHATEDDAGHTVFTTQLLNYRTMLQRIQAKE PIHEMWLPGRIVHLKRLVRSRGHGFCLCCRPGGGVCCTERTHYDYVWAHQTDFLQIYV ARTMLDDHFPDKVHAVLQDMHQD H257_10685 MPALELFGRRSRVGSDDFFCPALLQFMFQIPFVLVCVLYVSTYR TCSTMQIHNLSGLGFWYMLLSIPVLAFVMIMNVLELHVSSQGTIITHESRILMKPLVV VHFAWAVGMFGGGTAGVVLYAMDQLCFPDANFVLVVAVGYLANVLGMVLFACLVIGGT PVHQRRHSCDAHMDVVDPENHTLLYGNSDPALPNSDLYIPHQERWERQCHRCCACVRC FTCSLFGGSGTQTDAMSVVASVFARFFYGSPDLVFSDIIAGFVLLGAVQYHEKNAAAA SPDVLREVGVVPTFPAPLDDPKDVALVAAVADLAHFSKYAIGIYGWMLYVWSHPWTSG PRLVLRSLTTRGQPYIHGDNCVHWHQVALQLETGVADADIVYASFGNAVCKPAFCVTL DHHKKQVVIAIRGTLSLEDCLTDAIAYGVSLDEMAATYQCDGKGAFAHQGMLQCALWL MQEISALGMLPMLFDPTAVPSTPPSPIVNGCLPGTYADYGLTITGHSLGAGAAVLLSI MLRPRYPTLKCLALSPPGCLMSPELATSSASFVTSVVLGKDIIARASLLSFQALRDQV LSLIGRSKVNKTHIMRQALSWRHPDELLHATEDDAGHTVFTTQLLNYRTMLQRIQAKE PIHEVGSPS H257_10686 MPTCSSVMRNGVRCTSLVPADDPSASMCTHHQRMARVMALAPKK PDATPSTSPPPGPANPLFPSSSVVHVPLSSVGALRGAPPLPIHVPSESFSDYLKVSFP GEVKSASLSCSFEVSSREGVPGTLIVTNYRLRFEPSSSQRSTNLPPSLQEAITPGLPT ASVSKLTYPLSSSSSSNSMPAQVVVHFRNCRTWVLRGNVTELMTTLNRLVFVESPLHL FAFAQGNTVTPDHVQGHAIYDLYADFAAMGVSLSSSPFRVTDANRSYAICPTYPPMFV VPAAMSDVQVAAVAGFRSKGRMPLCCWVHSSNSASLWRCAQPKRGIFHAQNADDDHML WLIAQTNKINGQNVWIVDCRPELNARANNLTGGGTESGSIRHATVTFMNIANIHAMRE SLEAVRQLALMPSMEADLHWYSRVEDTKWLYHVRLVLRASIQTAHAIHQSATSVVVHC SDGWDRTGQVCALSQLLLDPKYRTLIGFMQLIEKEWIKVGHKFGDRVGAGKCENDEQA PIFVQFLDCVWQLHRQFPTYFEFTGLALLAIGFHATSGRFGTFVGNCDRDRVVALQVA GRTPSLWTFMLDNAVQFRNPFYRPYVQESHDGDTGALVPWPVATVLRRVVLWDEMYLA LPSCGNITKPKDMAAGSFHQAKTAAEDLEMAMAAAQHQLSSFC H257_10687 MVEGASVDVSTISQKLHSAIQENEWQSNKRAAKEIQSLLAVLNT YTPDPSTMLETMRETRIGVVVSKLRKHSDECVKAYASRLTNKWKAALDVNSTSSKSKQ PAVVAALAYTKIPETAHDSKTGDAARLRVQQAYANERAKKDSRTSIFLENPVVKKVRG RKPVASAATITRFTNPERANMQQKVPRSVAPSSHKSSGDARPAPSSSLPVRPAPRPTT SAPTANMTSDEARHHQRQLKLRALAENKARATGKTVPFASVQQKPPAASSSTSFTKMA PVPSKFTAINRPPPPASSSTSKRNSTTSYVDKRKMTKPTGPPQAREQRKEFLDKMYPR TVGRADPNTNLKQKRKREDDSSAKNPPLKAGERDVMHWLKGLADGDMSQYGPAFFEHG FDTLKLVATMTDKDVAVVVPKRGHARVVAAALPSLQSKPLSAPPSRRKKLSKYDDDDE YDSDDGFLVDDDDMPRFVPGLITSMIRKGRRRRSAYYDDDVEEEEDGGNDSSDMEASY EEIQREESRSAQFGDYEDDVEDRRNRKHKAKKQKRK H257_10688 MNQPGANQHAMQTEVHQVAGLIQSRMCSEFQMHEECIPAAKAAA RCSIFVTQDWHENPRLCVFMQHGAGVTPGLWAIPPPPSSHQGSPASIQAFSHSLASMS LIPYIKAARKSGYAVLVMNPSTNRMSVQGHSVKILHSSSPEEHVLHVWTTYIQPSAAS LIHFISHDTSGLLVNHLLSHLDPRLHSRIGGIVYIDSPQANVLPPRRIGKADHMQPLL ARRGVHFEPSAEPMFHLVTTGASARYCSTLSVGRLSSENSSCLLQSVQSSTFVFLKCI PSGLQPALQAIRTTVPNKLVVTVNRARLTGQPYNNPYAVVTCVGQSKATVGNNKKTMD PEWNQTFSFPVRDETASVLVKVKDKAFLPMSSMVGGVAVALADVGWNRVWRHWFTLRH DTSNQHQYHQVHGNGEIELTLEWVHDTFVARTDSFLAPSPPLSPPLASVAQDNGCYLC SCTFVLHRRRYCRLCLRAVCVSCSDRLFLPGFSEAKRVCLACCNLQIALHKQPPPMPP RPIQPAPRPHREADVRREADKAALEDASRANLQAMMQREQEARPLGIDDFDLIKVVGR GAFGKVMLVRKKNGKNAGAIYAMKILKKMHIIQNDQVENTKAEQHILKEINHPYVVRL RYAFQNPDKLYLVMDYYPGGSMYFHLKKSKRFSEERTRLYMAQLLTAIMHLHSKDIAY RDLKLENILMDTHGHIALTDFGLSKEGQTIDGAIRASQANGGMKTICGTAEYMAPELL RHQPYGKVVDWWSYGILLFEMLTGRTPFVDRNRRVMFKNIMGSEVVYPAYLSPSARNL ISRLLIRDPSKRLGSGAGGGRDIMADEFFAVVDWEALLRKEIQPSFVPEVSGAEDVTN VPAQFQNMAALDSPVAKDSNAPTNHFEDFSYQEETRMAPRHY H257_10688 MNQPGANQHAMQTEVHQVAGLIQSRMCSEFQMHEECIPAAKAAA RCSIFVTQDWHENPRLCVFMQHGAGVTPGLWAIPPPPSSHQGSPASIQAFSHSLASMS LIPYIKAARKSGYAVLVMNPSTNRMSVQGHSVKILHSSSPEEHVLHVWTTYIQPSAAS LIHFISHDTSGLLVNHLLSHLDPRLHSRIGGIVYIDSPQANVLPPRRIGKADHMQPLL ARRGVHFEPSAEPMFHLVTTGASARYCSTLSVGRLSSENSSCLLQSVQSSTFVFLKCI PSGLQPALQAIRTTVPNKLVVTVNRARLTGQPYNNPYAVVTCVGQSKATVGNNKKTMD PEWNQTFSFPVRDETASVLVKVKDKAFLPMSSMVGGVAVALADVGWNRVWRHWFTLRH DTSNQHQYHQVHGNGEIELTLEWVHDTFVARTDSFLAPSPPLSPPLASVAQDNGCYLC SCTFVLHRRRYCRLCLRAVCVSCSDRLFLPGFSEAKRVCLACCNLQIALHKQPPPMPP RPIQPAPRPHREADVRREADKAALEDASRANLQAMMQREQEARPLGIDDFDLIKVVGR GAFGKVMLVRKKNGKNAGAIYAMKILKKMHIIQNDQVENTKAEQHILKEINHPYVVRL RYAFQNPDKLYLVMDYYPGGSMYFHLKKSKRSYIYSSFNSDF H257_10688 MNQPGANQHAMQTEVHQVAGLIQSRMCSEFQMHEECIPAAKAAA RCSIFVTQDWHENPRLCVFMQHGAGVTPGLWAIPPPPSSHQGSPASIQAFSHSLASMS LIPYIKAARKSGYAVLVMNPSTNRMSVQGHSVKILHSSSPEEHVLHVWTTYIQPSAAS LIHFISHDTSGLLVNHLLSHLDPRLHSRIGGIVYIDSPQANVLPPRRIGKADHMQPLL ARRGVHFEPSAEPMFHLVTTGASARYCSTLSVGRLSSENSSCLLQSVQSSTFVFLKCI PSGLQPALQAIRTTVPNKLVVTVNRARLTGQPYNNPYAVVTCVGQSKATVGNNKKTMD PEWNQTFSFPVRDETASVLVKVKDKAFLPMSSMVGGVAVALADVGWNRVWRHWFTLRH DTSNQHQYHQVHGNGEIELTLEWVHDTFVARTDSFLAPSPPLSPPLASVAQDNGCYLC SCTFVLHRRRYCRLCLRAVCVSCSDRLFLPGFSEAKRVCLACCNLQIALHKQPPPMPP RPIQPAPRPHREADVRREADKAALEDASRANLQAMMQREQEARPLGIDDFDLIKVVGR GAFGKVMLVRKKNGKNAGAIYAMKILKKMHIIQNDQVENTKAEQHILKEINHPYVVRL RYAFQNPDKLYLVMDYYPGGSMYFHLKKSKRSYIYSSFNSDF H257_10689 MAEVDGAHIDTAAVPRSDMASTSVEYTVQQVDNCRFTSWYEALR VHSIRSIAIPLPEEFVASLLQDQILVQEDLYPSSFVAAVKDAIHRLGGRVFAKLDWSS AKDAKWILANSLCCRSFADILMLLKASDFITHDLTQAYDGCSDVGTKRRPDTFHLVLK KWCHLFDSMHFRCFVRAKKLLGISQRNCTERYDFLASEATQDTLCDAIAAFFESHLTT SQALPDPNYVFDVYVDKDHKVHLIDINVFGAVTDPLLFSWDELKQPATAEDERIHFRV VTTPRSAMYSDPYGQYRVPVDLVEHLATPGGFDEFIRQVAKDNIQHPHDDDDDDDHDD DGNWSD H257_10690 MICGAEAPRFPPSPGESKFIQSILSTWEGVRKRVNDTSSSGMEV TEPAVTVSSDAASVRATLDAFLNALQQTTDWPFEGQADLLNYGALLDAFHVVLGELTH TDGGANTSLLLADEGKNTTAAPPSSSTQRFAWGVSDRERLAYQVLRVTAILLENSINK HKFPSLDHVTSLIASTSDRVSLEAVKVVAMLSLPPHSHRHPIDTQNQSDAMLTGSSTL KKRLLVVADALGNVAMADYLSEPSSSSPNDSLVYQYYLFDDQVQDSVLVSVAIPRFVG NGSVDAWSTATLAALVDQFSVPSKHHLKLLCRIRSSAWREHRHTREAAVVARLQAFLA LFSLFGDAHDVVQYLEEHPDLTAAVLDLVESPATEDDKDDQPSIPLAVQVAALHVLTA LVNDKRSRGVGVLSRQSAVLTTLGVGRGATPGAFVSLVRTCLGGLVLDDKATAAADSS PADDVDMDLAVAFVEATNNSQSTTALLRPFRDVASPRGRRLTWSEHVLGLLCPLVGLH AGASTMTECGVVPSLLHAISCRSVSPLHTSVLVQCIQALELTLTNHAPAAILFRELNG VTILVDRLSQEIVPSPSDSARVLVVALLNMLSVSFHTQGVMSAGTTPRFIREDATLNK LLHRILRHLDVYGTIVFSQAATLVADIINNDPTCVNHVHATGLADAFLESTCRWDLHA VTRASSPILPPCPELMMALPNVVSALCMTSTYIDKVAAFEPLAYLLDMFTLPTYVSDI DYLQGDVASIVATGLDELMRHVPSMARFCIEACTRALKKVVAATTCSHATLLRLTMHL CDVVEPVLAKVEHATRFADNGGVDALMHLYGRVLPPSSTYVSTSYNQMSPLPHYTASQ SLTLAARAYASHQPAAMLAKLMAALVVELDQVDVMEVPPTDVRADYLRQLAQIEWLVS LVVWTIRTPHASGGSQSRRLMAEFTSPSSQRVLTRVFTLDRSVQLERMALERHTAHDE PSTSTTTSSSTSSHGEVGQIASLLTLKFSLMVRNLQRKFARTICTQLSSSSDLHNQLD SIVQVLQLTLDSILATSDRYIRHGALVFLMETITALLFDGKRERGGVVVVLLPLALEF IDKKLLVRVLEAFTSAVTTDLPDPTKQDVRLCQAGAALLHHLADVQAIASSKSKPALP DTDLIRLKATFHILATDAVLPVWTHPRLPAAGKVVSAVVPVVATLLKQCLDKTTDGGT PTSAPPADLTLDASVVSSLEMMGFTLSHVELALRQIGANDVEMAMEWLLEHPEVEFVA QSVDPNHVASAGSLPSSPVPTYTALRATLASVPLAVVSASTDECVTRAVADLLVLQAS QADEDRVRIVQSFDQYLNTTSSSCLESVAHVLALVVHGDCKSRAVLLTQASATVARLV QIVENQSDSVDDCVAPILLVLDALAVADDTAAAATLDPATKQQLVDSCARLMRLALPS GVAHAVWQVAVRLTRDLELVDRFVAVDGVDACINTPSVFDGYKELTSAILAHVLEYPE VLQARMEEKIVQSMKKLSLRLGGGSVSSAQRILPRNLLSDLGVVAMRDEKLFLSALKE TVQVKKSSTGRVYVQLNDTDDTDIGSKKLTRLPIPHASKIMALLVRRVESLWATKDPA TATYLYFLVHLVTMFRTSCGAVLLADHGPFLSQVMREMVPYPELNAKLRGDDDKEDEA KSRVHHAHRLLVHLCAHPDGCKKILLDVAALLEAWPTHDTTNKSYALTCLHGWCALLM SVLWPREDKDKMWEHTKALLGKKDVVALLMQALRRVDLSHPLARSTVTMVLRPLAALT RPWVAHRLKKKKQHDKATLTTAAAADDPAAAADDPATTTTFHDEPSRLRVSSAEFEDI RMSNPEEEEEEDDEEEDGMSDSSGNDEEGDDEEGDDEEGDDEEGDEEEEDPVDEDGEF WHAPNRGGATSQHPPLWESLDDGGLFQSEDSQESSHSRDSPRHPRSADAAADDGDPRS IGDLFAFHRHPPGLHHPAPSASQWSHLFREFEQDGPPRGSSSTHHRLGRQHDDDYDED DRVFDLRDQDEDDVFSIPLNVLERAHANGFRRGGAMRQRANTTATGPTNVSVAGLTHP LLAQEGRGGGGGGRGQARRPPSRHHHHHNPNNVYSMHASFRRSLLAEMGSHSSTVLPP SSWDNDPQSHVRQVVQRMEHELNDLWRPDDEAAQPSADDESVAVQALTSTLGESSLDS PPPEVPNLPTQVAVDTTASNDEPQPLPPSPPSGEAATTAAPAATEANEPSAADGPVEE TGGPVPPAPVVDAAALFNFTLDLGTLPPPPPPLVAPRAAEDTVDAEPTTTQLVCPDGM DPEVFHSLPPDMQAEVIAANPRPSTAAPSSPVVVVDGASFQSSYDQDTLDALPADIRD EILANERREWELATAPPPDISLAQDMDNASFVASLAPELREEILVTSDDAFLQTLPSS VRAEAMILRERAAFRAVQAHGRLSQADDQTAHFFQRPTLRRMLTSHGNDLGGGGGMST RRYGRGAREDGRGLLVPAVEDESKRGLVHVDKEEFADAPPDHLASVTEASIQHLLTVL YMSQSILKHHRVFQTVVSNLCLYPTLRRWVRSNLVHVLAGTRPSAVDSTKFPPSAMIG CSGSQNDGEVLARGLTVLVALTKDNVRLRVDFLQSNGLSTLVALLGVTRNGSHLDLLL EALEYVTSPLIRLAGPAADHKSPEVDTDAATEWIPVPTVVLSATELHHLVSVLALDQC TAPMQTRVLSILKLLSTTPETQQAVLDTLVESCDRVISASHTTNTAASVLQTPQQEVK LLRLLHTLSDLSATTEQFTDHVQKRLNLLPVWQALSASLAAARAVEGWGFDKEDADET DGGRLGTVIEGKSAGASCAMGALLTRFLPMIEAFFVVNARDAAHMTLAPPSGDDDLPV DVHATLLANFVEANRVLLNMLVREKPSLLDNSLAALIKIPQCRAFLDFDNKRTYFQAS MKRLRHASLRSQGGGGGSSSVRLPVRRDRVFEDSYYALRMRSGHELRRKLHISFTGEE GIDAGGVTREWYTILAREIFNPNYALFTSAADSPTFQPNPLSFVNKDHLSYFEFVGKV IGKAIADGQLLDAHFTRSFYKHMLQLPLSYSDMEAIDPEYYRNLHSILDNPIDALGLD LTFSIEHSNFGKVDVVDLVPHGRDVAVTDDNKLEYVKLVTHHRMATGIRSQIDSFLGG LHQLVSPQLISIFNENELELLISGMPEIDIDDLKANTDYANYKPTDNVIRWFWNAMYS FTHEERALFIQFVTGTSKVPLEGFKALEGMRGTQKFNIHKAFGSSASLPTAHTCFNQL DLPEYENEDQLKTRLVLAIREGSEGFGFG H257_10690 MICGAEAPRFPPSPGESKFIQSILSTWEGVRKRVNDTSSSGMEV TEPAVTVSSDAASVRATLDAFLNALQQTTDWPFEGQADLLNYGALLDAFHVVLGELTH TDGGANTSLLLADEGKNTTAAPPSSSTQRFAWGVSDRERLAYQVLRVTAILLENSINK HKFPSLDHVTSLIASTSDRVSLEAVKVVAMLSLPPHSHRHPIDTQNQSDAMLTGSSTL KKRLLVVADALGNVAMADYLSEPSSSSPNDSLVYQYYLFDDQVQDSVLVSVAIPRFVG NGSVDAWSTATLAALVDQFSVPSKHHLKLLCRIRSSAWREHRHTREAAVVARLQAFLA LFSLFGDAHDVVQYLEEHPDLTAAVLDLVESPATEDDKDDQPSIPLAVQVAALHVLTA LVNDKRSRGVGVLSRQSAVLTTLGVGRGATPGAFVSLVRTCLGGLVLDDKATAAADSS PADDVDMDLAVAFVEATNNSQSTTALLRPFRDVASPRGRRLTWSEHVLGLLCPLVGLH AGASTMTECGVVPSLLHAISCRSVSPLHTSVLVQCIQALELTLTNHAPAAILFRELNG VTILVDRLSQEIVPSPSDSARVLVVALLNMLSVSFHTQGVMSAGTTPRFIREDATLNK LLHRILRHLDVYGTIVFSQAATLVADIINNDPTCVNHVHATGLADAFLESTCRWDLHA VTRASSPILPPCPELMMALPNVVSALCMTSTYIDKVAAFEPLAYLLDMFTLPTYVSDI DYLQGDVASIVATGLDELMRHVPSMARFCIEACTRALKKVVAATTCSHATLLRLTMHL CDVVEPVLAKVEHATRFADNGGVDALMHLYGRVLPPSSTYVSTSYNQMSPLPHYTASQ SLTLAARAYASHQPAAMLAKLMAALVVELDQVDVMEVPPTDVRADYLRQLAQIEWLVS LVVWTIRTPHASGGSQSRRLMAEFTSPSSQRVLTRVFTLDRSVQLERMALERHTAHDE PSTSTTTSSSTSSHGEVGQIASLLTLKFSLMVRNLQRKFARTICTQLSSSSDLHNQLD SIVQVLQLTLDSILATSDRYIRHGALVFLMETITALLFDGKRERGGVVVVLLPLALEF IDKKLLVRVLEAFTSAVTTDLPDPTKQDVRLCQAGAALLHHLADVQAIASSKSKPALP DTDLIRLKATFHILATDAVLPVWTHPRLPAAGKVVSAVVPVVATLLKQCLDKTTDGGT PTSAPPADLTLDASVVSSLEMMGFTLSHVELALRQIGANDVEMAMEWLLEHPEVEFVA QSVDPNHVASAGSLPSSPVPTYTALRATLASVPLAVVSASTDECVTRAVADLLVLQAS QADEDRVRIVQSFDQYLNTTSSSCLESVAHVLALVVHGDCKSRAVLLTQASATVARLV QIVENQSDSVDDCVAPILLVLDALAVADDTAAAATLDPATKQQLVDSCARLMRLALPS GVAHAVWQVAVRLTRDLELVDRFVAVDGVDACINTPSVFDGYKELTSAILAHVLEYPE VLQARMEEKIVQSMKKLSLRLGGGSVSSAQRILPRNLLSDLGVVAMRDEKLFLSALKE TVQVKKSSTGRVYVQLNDTDDTDIGSKKLTRLPIPHASKIMALLVRRVESLWATKDPA TATYLYFLVHLVTMFRTSCGAVLLADHGPFLSQVMREMVPYPELNAKLRGDDDKEDEA KSRVHHAHRLLVHLCAHPDGCKKILLDVAALLEAWPTHDTTNKSYALTCLHGWCALLM SVLWPREDKDKMWEHTKALLGKKDVVALLMQALRRVDLSHPLARSTVTMVLRPLAALT RPWVAHRLKKKKQHDKATLTTAAAADDPAAAADDPATTTTFHDEPSRLRVSSAEFEDI RMSNPEEEEEEDDEEEDGMSDSSGNDEEGDDEEGDDEEGDDEEGDEEEEDPVDEDGEF WHAPNRGGATSQHPPLWESLDDGGLFQSEDSQESSHSRDSPRHPRSADAAADDGDPRS IGDLFAFHRHPPGLHHPAPSASQWSHLFREFEQDGPPRGSSSTHHRLGRQHDDDYDED DRVFDLRDQDEDDVFSIPLNVLERAHANGFRLGGGAMRQRANTTATGPTNVSVAGLTH PLLAQEGRGGGGGGRGQARRPPSRHHHHHNPNNVYSMHASFRRSLLAEMGSHSSTVLP PSSWDNDPQSHVRQVVQRMEHELNDLWRPDDEAAQPSADDESVAVQALTSTLGESSLD SPPPEVPNLPTQVAVDTTASNDEPQPLPPSPPSGEAATTAAPAATEANEPSAADGPVE ETGGPVPPAPVVDAAALFNFTLDLGTLPPPPPPLVAPRAAEDTVDAEPTTTQLVCPDG MDPEVFHSLPPDMQAEVIAANPRPSTAAPSSPVVVVDGASFQSSYDQDTLDALPADIR DEILANERREWELATAPPPDISLAQDMDNASFVASLAPELREEILVTSDDAFLQTLPS SVRAEAMILRERAAFRAVQAHGRLSQADDQTAHFFQRPTLRRMLTSHGNDLGGGGGMS TRRYGRGAREDGRGLLVPAVEDESKRGLVHVDKEEFADAPPDHLASVTEASIQHLLTV LYMSQSILKHHRVFQTVVSNLCLYPTLRRWVRSNLVHVLAGTRPSAVDSTKFPPSAMI GCSGSQNDGEVLARGLTVLVALTKDNVRLRVDFLQSNGLSTLVALLGVTRNGSHLDLL LEALEYVTSPLIRLAGPAADHKSPEVDTDAATEWIPVPTVVLSATELHHLVSVLALDQ CTAPMQTRVLSILKLLSTTPETQQAVLDTLVESCDRVISASHTTNTAASVLQTPQQEV KLLRLLHTLSDLSATTEQFTDHVQKRLNLLPVWQALSASLAAARAVEGWGFDKEDADE TDGGRLGTVIEGKSAGASCAMGALLTRFLPMIEAFFVVNARDAAHMTLAPPSGDDDLP VDVHATLLANFVEANRVLLNMLVREKPSLLDNSLAALIKIPQCRAFLDFDNKRTYFQA SMKRLRHASLRSQGGGGGSSSVRLPVRRDRVFEDSYYALRMRSGHELRRKLHISFTGE EGIDAGGVTREWYTILAREIFNPNYALFTSAADSPTFQPNPLSFVNKDHLSYFEFVGK VIGKAIADGQLLDAHFTRSFYKHMLQLPLSYSDMEAIDPEYYRNLHSILDNPIDALGL DLTFSIEHSNFGKVDVVDLVPHGRDVAVTDDNKLEYVKLVTHHRMATGIRSQIDSFLG GLHQLVSPQLISIFNENELELLISGMPEIDIDDLKANTDYANYKPTDNVIRWFWNAMY SFTHEERALFIQFVTGTSKVPLEGFKALEGMRGTQKFNIHKAFGSSASLPTAHTCFNQ LDLPEYENEDQLKTRLVLAIREGSEGFGFG H257_10691 MHGPTNIDALLRHLAHRVDKAKQELAQLRQAKTDQGNNDDLEAT DVTSTTTGDSNTPDDAKAHGSCGVIDMSSNNWFPEYSSNVESPHRSSIEAPREVNMTR KTRTTSTVDLSLSDPIHCNDERMRSLQLENQRLLQQNVELDTMLQTTRQDLERCRASL CECKVLYDKEAHRSRLQALALTMLQDELHLQTL H257_10691 MHGPTNIDALLRHLAHRVDKAKQELAQLRQAKTDQGNNDDLEAT DVTSTTTGDSNTPDDAKAHGSCGVIDMSSNNWFPEYSSNVESPHRSSIEAPREVNMTR KTRTTSTVDLSLSDPVVHAIHCNDERMRSLQLENQRLLQQNVELDTMLQTTRQDLERC RASLCECKVLYDKEAHRSRLQALALTMLQDELHLQTL H257_10691 MHGPTNIDALLRHLAHRVDKAKQELAQLRQAKTDQGNNDDLEAT DVTSTTTGDSNTPDDAKAHGSCGVIDMSSNNWFPEYSSNVESPHRSSIEAPREVNMTR KTRTTSTVDLSLSDPIHCNDERMRSLQLENQRLLQQNVEHNAADNPSGLGTVPCEPVR M H257_10691 MHGPTNIDALLRHLAHRVDKAKQELAQLRQAKTDQGNNDDLEAT DVTSTTTGDSNTPDDAKAHGSCGVIDMSSNNWFPEYSSNVESPHRSSIEAPREVNMTR KTRTTSTVDLSLSDPIHCNDERMRSLQLENQRLLQQNVELGVPSIVHTSVSTPYVSCF DLHRHNAADNPSGLGTVPCEPVRM H257_10691 MHGPTNIDALLRHLAHRVDKAKQELAQLRQAKTDQGNNDDLEAT DVTSTTTGDSNTPDDAKAHGSCGVIDMSSNNWFPEYSSNVESPHRSSIEAPREVNMTR KTRTTSTVDLSLSDPVVHAIHCNDERMRSLQLENQRLLQQNVEHNAADNPSGLGTVPC EPVRM H257_10691 MHGPTNIDALLRHLAHRVDKAKQELAQLRQAKTDQGNNDDLEAT DVTSTTTGDSNTPDDAKAHGSCGVIDMSSNNWFPEYSSNVESPHRSSIEAPREVNMTR KTRTTSTVDLSLSDPVVHAIHCNDERMRSLQLENQRLLQQNVELGVPSIVHTSVSTPY VSCFDLHRHNAADNPSGLGTVPCEPVRM H257_10691 MHGPTNIDALLRHLAHRVDKAKQELAQLRQAKTDQGNNDDLEAT DVTSTTTGDSNTPDDAKAHGSCGVIDMSSNNWFPEYSSNVESPHRSSIEAPREVNMTR KTRTTSTVDLSLSDPVVHAACRSLERLLCADIV H257_10692 MVPKKPRLVHDLESGDAEDNTLTVHCNLSERGLTSESAGRALKA FPHATSIDLSRNSLDHCPRLWFPRLAMLNLSMNQLVSLASVAYLSHLRMIDLSFNRLD DVEPLMFCNTLVSVDLQGNRLTSTKGIECLKCLERLDLSDNLIEQHDSVRSLSLNALL STLHLQGNPIATTVDYRVRLLDLVPQVSVLDGKRQSRQHFRCLGGHDTTSYVHMYHAK KQFRSLQPNHGPRVSMSAATIPRQPPDLTSFHPRNLDSNHLDSDTNDDAATVADTLNR VSVKSPRLRASTSGHHNPVHAGYCPKSLRPVPSIPPSLKMEKHKRHIQKTHHQTTDMR GYVSLFERVAVSSGVTLADDKPTKKRGTPAPPPVATLAKSHQTMGKRKNVISPPKVKV QKTPPPSGLLARRQLHFDTRRPTTAAARTDDPIGLNASQIKVLGVIQGLIQHKRQTLA SLHSSTLHS H257_10692 MVPKKPRLVHDLESGDAEDNTLTVHCNLSERGLTSESAGRALKA FPHATSIDLSRNSLDHCPRLWFPRLAMLNLSMNQLVSLASVAYLSHLRMIDLSFNRLD DVEPLMFCNTLVSVDLQGNRLTSTKGIECLKCLERLDLSDNLIEQHDSVRSLSLNALL STLHLQVPQVSVLDGKRQSRQHFRCLGGHDTTSYVHMYHAKKQFRSLQPNHGPRVSMS AATIPRQPPDLTSFHPRNLDSNHLDSDTNDDAATVADTLNRVSVKSPRLRASTSGHHN PVHAGYCPKSLRPVPSIPPSLKMEKHKRHIQKTHHQTTDMRGYVSLFERVAVSSGVTL ADDKPTKKRGTPAPPPVATLAKSHQTMGKRKNVISPPKVKVQKTPPPSGLLARRQLHF DTRRPTTAAARTDDPIGLNASQIKVLGVIQGLIQHKRQTLASLHSSTLHS H257_10693 MRVAACTYAALVASAMAALDTNTDSKGDILPVPEDEGDFWNTDN FDQLFKFFLAAETPAPTTGRPAPATTVKEVTSAASVTTAARVATPALTTTLAPVTTAV SVTTTPALTTPAHVTTDVPVTTTAPATKVVPVTTAAPASTPAPTTPAPVTTDVPVTTA SPVTTAAPFTTLTEVPITTAAPVTTTAPVTTEAPITTAAPVTTEVPVTAAPPTTAAPL HRSLLLSRIPVTTDVPITTAAPVTTAVPVTTAAPVTTDVPITTGVPITTVAPVTTPAP VTTDVPITTGVPVTTVTPITTAAPVTTSIPVTTDVPITTAAPVTTAVPVTTAAPVTTD VPITTGVPITTAAPITTGIPVTTDVPITTGVPVTTAAPVTTGIPVTTDVPITTGVPVT TAAPVTTGIPVTTDVPITTGTAVTSAAPVTTGIPVTTDVPITTGIPVTTPVTTDIPIT TGTAVTSAAPVTTSAPATTDVATSAPPKTFTILPTDIVVATTELPVTASVVSQELLNG TTRSPTLSTTQAPLESLVEKTSVPKVAVPGAPNGDGSKLQGEEVAPDNNSNRQDKRRD DLAGKTDEEIQDIKATITSGYESKTEQTLRYVSSSVVGITLVLLAFFQFIAMNPSYIL PDSPSDRLAAPNSWEFPAFVFFIQQVGVLSLAKNTKVPHKFYVSFLDSLSWLVFLIRG SPSADSNNAAVSSSQLVAIGGGRSLVESSTYDAQGKIQFSLRSDVNDKDWFMRVWVAV LVVIAVLMVFVICTALISQWVAQRGNPFHSDTTDSHKRSVSFRSISRRLLGMCVLVGF FSILPLSMISMFEVLQDASTAGFPHIYAILSLVTLALVVGSVLYGMYALQSLTEAGLS KWRTRVVWGVVYSNYHYTSRLFFAAGALVQFLTGILVAAVTGDAFVQLLCLVIVHALY LVSMFVLQPFVCNVHFKFAIGFQVLILVVFSLACGLAGDSISNDTQLNLSYAVVILLI IVFVVMFIRQLYMLWTYASAWAKDEHDSVTGMPTLHDHEIESGCGNYTISLRDTEDHG HSSGGKNAVSLHNSGGDDSPMNTIRIVDTNSKRHL H257_10694 MTMRWEDMKHIAPSVPTLKNHTATLVGSRIFVFGGYDGRRNHND LHIFDCDTLSWETYTDPRSSNQDSTPPSLSAAFPASRNGHTATLAHNSIYILGGWLGS GPHAANDLHILHVNSMRWESPITTGTPPGPCNMHTTDYLPNINGLLVFRGGDGKEYLN DLHLFDLPTRHWSRPTTHGTPPSPRANHSSAVLDHRLFVFGGWNGTHRLNDLHMLDTT TWQWTSISPSSEGSMMLPFPRAGMTFVAVRRRFFLFGGYGSSPNHPFPAKCFSDLHVL DTDQTSTHWTPVVPSGHSTCTPPAYLSSTGCSSDLASDANPNDARPFDSPHAPRDPRV VTVEGVGPSRRAGHTCTVVGRRLFIFGGSHHNEYLNDMHVLDTDPSPQAVVALAAPMD FNSALRPYANSPTFSDISFIVEGHVVYAHKLVLSMASDHFRAMFSAGFREASAAAIVI PNMSRGGFEAMMAYVYSGHVVGEMGWEDLLELLVAADQYMLDHLKQVCERQLQLALDK DNVGFLMEAAERANASQLMAVCRHFVRNYADKESTRHANDDTP H257_10695 MWRKGLSSVRRVVSRKAPRGAIFALPLAGASGNSSTNIVGISAL LSAVAFSSVAATTKNDSVNKWDLPDKSQCSVEDFLYPTIEPYSTGRLQVSKTHSLYYE ESGNPNGKPVILVHGGPGGGCTPVMNRFHDPAVYRIIALDQRGSGRSLPHASLEDNTT WHLISDMEALREHLNIDKWQVFGGSWGSTLSTAYAETHPTRVTELVLRGIFHLRDKEV QFYYQSGANFLYPDRWEAYRDAIPEDERHDFLTAYHKRLTSPDESVRLAAALAWTTWE LSTSNLKPPVDAVDKSMKNARFAEAFARIENHYFVNKGFFPTPNFLIDQVHKIRHIPT VIVQGRYDVVCPMVTAWEFHKAFPEADLRVVQTAGHSAGEPGIAAELVRATDQFKP H257_10696 MKPLSSKCRCEATSNNHVACLCAVQRFLDKQGYARGKASRNTTY RMNLAQEQARDPYVNFMVPTVTTAPRRPVVYLDESLIYHHYTRHADSLYDPTDIAKTK PMHKGRRYCFIVGILDDGTDASHLLGLDSFVGGKKNGRTVKDYHFMFNHEYFVNWFGK LLDEVEELG H257_10697 MPPELVIAARHLQISLCRDTTLAWRQLLWHNQVTHNDWIRDHNS RPLQSAPFDTFADAFQSNTTTTYWSFIPRHTATIQDKAHLPWFFMLSAGLGLQATAPL LNPQSRVWFPTPPPRTNLRQQGSYVKRLSTTIGTHLHPPSPIDHKYADRRAALCADLN LPYRPDAWTRPWHASYLPGSLQWFQYRWHFQAFRFKHSSINPLCPYCGNPDKSSHTFW TCPRAHALWTTLLQIWYGDVAAKPSDYIANLTSAEQPRAARWMLQAPQWKTHGTAYDN LCHQGWTLLRSLGFRILWTERCKAIHADPLAPPALPSHLPTSTPPSSNNAAGHPLAPP SHTTCLFPHAASSFLMERLGWKHAVGDPVPSLCHTMHHCSVSTTPTSLPLLRPTTSPI ICLIRALTLAVSMRLTHVEVCGDSNLLMNHLRGLNRVRHSGLRDSYVQARTLASTLHC VFAHRPRKFNQAADFLSKQAPDDCCDYGTHAQRRPLSPSDTATFYDFLDLDLLHNPG H257_10698 MNGGGLPPEQARPHPPDETPTDVPVRPFTNPKHSRQGSLEAGRK RNARAKLENPVTVTRFSQKPSATRMPAAEVADATPPEDPSENMDVSSPEEPSNSPTIP ITRTTEPTRAGKRHPSMKHRDTPQLAADRAAHAECKASCFAWTDSKRPPTHRELDILN AILAGQLALDTPPKFLKQILTPLEIALFSDQMRQQFGFLQVPIKALARLPTEMTSTSL GRLFFPMHASTNREAVQDCDALRRDMHSCYIHPHGRKLIIQFNSKQKASLWRDRQIAF LGHASWLRHYRRPEDATSHLDTEETQKCTAYSFRLLNIPAHIKALQVIHLLQQLEVVV TSMEIAQHMGSGELDANSYLVVTNSGKVPPQLVGKSRISIGTTTVQLHHFQDYGNMPC HGCAALDHQAEKCPKTAFQTERVITLSPTLWADPTNTEITTRPTFLEWRSQIDHHSPS HPISTPDDEPNDTHTVATRVPLQLTTPPTKPHPSTEVDAGSQSRALNHGTQTSQPAAN PTNGIPPPLDAAKPASSMPHHPAGTGSAPPDPSQWPPYPDNPAHLHNARQHELERDYP PEADAAARMHLGTGLADHVEWRTPPLTPISPTTRQSTVASHIHMTDAATDMPWMDTER DPLHSRLTKTDDATSPISDIPEQLSPPRTSQQTPEDHSPAASPEGMRSTPTSPTPSEV DMDTSEPDEEPCVQRLVSTTLPPTGRSATDEHNDAVPHLPAAGAQPTITAEEVFQARV QTTVSPSIVTGSIASMMTPAPETIDLSDLPAPQASPDGLCIVAALGQCCVLALYCAKH GHAWSGRSHHDVRIIEAIKDLKTAIRDVVQAHPAFTGHYMPRWPHQLEDSAVQSQGSV DDYIHQFFARLIAAHPAQGIPKRDWCGYPEIGAAAAVWGHPVYVLQEQQVDNTWWLWR VGFTTGATDIDKVPIPTHQWEDTLAKFTPTTVLLAHKEGLTGRKFPAPITTVAEIEPL FADFPRTARVMLFTLGNPETLLSKLPLQVLMDWGDHMLLEIVYATMDAMRDLYPHKAL HTRLDRWKDAIFDAPTLPLAMTESLSDTQWSSLLQVTEIPLAIYDGHKDGIEIDELPD IPTLGNLLTTVTLGDLPTILDHLSKGKWALLWPWVEKHHFAWGYSSSGYKE H257_10699 MWARLKKYIDEHIYPVVVQMAQARGHHIVYAAPGFSELQPIELI WANVKGTVGRAYTNRHNISRCIQAPRQCILSPGLRDHQGHDRELETKLIALEKALRQA EGAAASIVSDVPIRRFTSKRHIVKVMFLTAVARVRYDARKKAMWNGKIGMWPFVSMVP AQRKSKNRERGTMVTTPLTVTKPIYRQFLVDHVSIKLLWPGRRTCRFTSNKTTHGLMF KLMTPSWLLLVGQTIVTTIDELVSAATGAFNDLDGRVLDKTFMVLQKVLEESLKIGGD NAYKLPHLHKDKLARQGPQNPQLACDPEVMSVIDEMNSRKEFERRVDNLSGVLASCAI EGTINMSNIDNLCAMARDIDLVDNDEE H257_10700 MRFLPSSVRPLVGTPLDDLRPLVYILWKADFEATSRDVAEFYST SDHVPQGNRFNALNISKMYLELDQTTTFPCEIFGRIKARFESIPMDNNPTVFASYLRT LRFKEESCIDTPWNSSKRYRMSMISPFFNPLDPSVISSVDYHNHIWNYYTLCAMSDTL IGDKELCAISATRSDIITTASETPVKVVLQLPPRCVDGVVPTPHSKLPVDKAFSPSMR TFATVKATPVTRRNLLGNGAQLPVLGYGPITMAVDMSSRDQVDDIRPYTLRLTIGSDM CEITTSLGDVLDTLNNAISLYSFPCKPKLEPSTNPHRGECIHPGQMAMLTGMLGTFET ALWTFASNYSGFSTFKDHLHIFAYSSLSHSQPMDIGTLQSDNAKAFEKLGRIIKPKYN TRVAFSTPTPPSKTP H257_10701 MSRRLQEILADPAKTDVGMKVASDSAFPVSGRCTGRIVTPLKKG DLERQLPACRLGLKVMCDCITSLRQAAEWGMGAVSKVYRQLLMPLAYNPSLRAMRHEK LGHQHHVQLVAADLADALLGTPFHFSYVTLFFSLSGTRFHDHHHRHEI H257_10702 MPRRGKGWCPASTELMLDKIELFLPAGRNGWAKVADAYNPDGKA FPKRDIDSLRRKFATLKNHAKPTGHPECPPDVRRAKHISRDIDNNVGVCSMKDEDADE EVDDAVDERFDVAERQAESNGIAQERAYRAWKGFETAARD H257_10703 MVLSTRTCIVVAISVLAAVQTLAQTCTVLVDVDLVGNDIAATDQ TDPGQCCNDCKATKGCNAFNWFAGVCYLKSAKGEVIPLPGGKSSRTVARREVGRAAIE TKPNAKAKSNHYVEAYPQTHSNFNSDAVLAQADSQGLTTDEAYLEVQKMNLLLQENCL PGSVADFTPEFKAEWHITGSSKSYALLQDIKSGANPVRIEHWQDILAHYFHCRGDVKE VA H257_10704 MATLLWHLPFLMQTCPHGPAVARLAQAANAAGFTVGNHFAWAAS IERPHEAIVETPHQELGTRANDTIAQQQAVIHELVAMNKAFSLRVATVKAHVGIGAAP TPPIAMLSHEAKATVPTKHHKAVATSLADLWFEWYARDPPIWQKSEAKLVVGFMKLFL HNGLELDANAPSYRDDVLRLGSFVDQRVLSFVPDIVPNVRSSGSVLRILREQHRIGTQ YNHWVG H257_10705 MEDTSPGVVRDSATIEPRTAPGLDDDTKNGMARRLPQTKGVPGS AHKDMQQEGGIQERPEDRLQTEARMLPPSDKRHGPGAQPRPKKAERLELRERKRHYGN RLTKEEGPSTGDDSRRQTDGSEDKEENALYAEPSKEKSSCRQTEPNTREASALPNGDS LAHRGAASVLSRTLPRVRGPLTTFPEVTSDAEELGAPSRDSTNPVLGSPDPVMACSGA GTVSAITIGSTRAGYSNMEDLRPDSDITPVSTAAQIDAISRGDMAELRDSRHVKNGTT GSGSSGRRVRSSQHRISVSCGELRHATILLALAGARTSAAENVAGNIRTFRDQPCVAL LAPQLKLEQTIALVATLVYRANGWRWVLRRLLQVCVNEQLLRLRRPPGIPTYTNQFNT TLNIPTAIELYRFTIEQLALLTAKVRLPDPLITPAGYNVRGLETLVMLSCRFAEPSKL RTIANEFGR H257_10706 MASLYVFTRQYSSQFRADGTGLYDGRVVYGDPAYGCNQFLICPF PLNGTHKRQRRFNSQMSKVREAVEWNFGRLKILWPFVVDSKKMQVGRTLVGKLFYVSA LLTNCHCCMQPMGNQISIYQKFLRLFVEGEITFMLFTVTLILSPCTFFFKHIFLFEPV FEK H257_10707 MDDMTAPQKNDIYQSGMREIWSAIVAAGGNAKFTKRRVVIKFEV VFEQLTVTGRVAVVNVALDELSVPFVITEAGWDGIAGVAERGVRRSATSIHRRSNNPA QTADDSLDSLYEEPGAYAGTTRKSFGCSDDITLLIAVNDAKPCDLKDNRRFKVDKDGQ GCKTRFNKLAKANKERSFSAMRRSGTDEEFNECEQLLGDILSQVNDFVEKKDA H257_10708 MISLRSTDYPACCLAPIHVTMSCSTCMGSVVFRPRFSVVGAPTS LTFLSVRKNVLRDISDLRATAATLSPAWTRCTASKVFWLTGITENTAKLKLNDSNSIV H257_10709 MVLSTHTCIVVAISVLAAVQTLAQTCTILVDVDLVGNDIAATDQ TDPGQCCSDCKATKGCKAFNWFDG H257_10710 MCTTPGSASCPKCTPRGNWAKTAMVSDMGIANIRQSVLGGSNIL TVSRNIESSPHNILHNTLNGPMANAQISPMDPIFFMHHNTIDLLHTIYYHCKVEPANL SDLQQQNDARSFQGCSTSNGETVGPTSSLRMRLVVSGQTIEVANDPLIGSFFKDLPTQ YYKLTDTRQLGYSFVVKGLLGDMYTTCGSSSSSTRGIESVREVRHANVTIDHVVEPVV LAENKKVLAFEDAVLAQADSQGLTTDEAYLEVQKMNLLLQENCLPGSVADFTPEFKAE WHITGSSKSFALLQDIKSGANPVRIEHWQDILAQYFHCRGDVKEVA H257_10711 MDVMKANPSLEWIHVAPMLVVLWTMLKAGVMRHLWIYRNKVKYE DTAGPYVPAILELVLLQWSMQVRRHLQLPTTLDDERTQIQTVLCHLGQHPSYHGF H257_10712 MCRPLEIQGTLNGTLSTKIPQQATRNEYGASSMAKGMMPTAMDI HAIHPIPFMNPMMMSAYAKPSSFEFMMQQASPASMYPPMMMMNNQYQPAADTLDSDAS SMQDLLEYALFNDEVKPKGGSTDGDSDLEVTEEFDNKEIDILYTFLMDRSPSTFIPSL AVPTPIVHPNPTTFDPNSGVISLNLLDESPLGAYLDGDDECDEELLNMQLVAPPQFDT QAIRMKSSDTDSWTDDSSETNAHDKNRRLCKMTGCQRRSRSHGLCISHGGGRRCAVDG CGKSSQGGNLCIKHGGGKRCEMEDCNRAAQSNNLCKAHGGGPRCLFDGCDRSSQGGGY CRSHGGGKRCLFEGCDKGTQRGEFCALHGGSRLCGVSGCMRNDRGGGFCATHGGGKRC RQEGCEKPCRRRGMCSAHIRLLDEK H257_10713 MLAVVLRSRALLQSSMSRRQLPRAAFTTYSGGHASEGQGGFYGS LKSRSEGTAKFTPGYRAEEADIRHLQTLMQQANSASFAQVVADESTQQLIDRLYFKGS PVWGLSLKEREFVSTLRMTPLP H257_10714 MASWFGHTQTVASISKSVTTVAGGIICLESNLSNFMKKVIYYEG FFQRRSGNLPEDVNYAARKLLRRCHEYREAIREELQSSVAYVADAGRWVIGMEDWNTK MEKRMHQISELVLLANSLLVEFAVTGEPISNESLNDLSAYVNVITSTITLQDDALFHD SLKAAARHKIVPTDSSRTRTSSSSPASIPPPVLTSSPAPSMVVPPSPANRYDSDMADM DDDDDDDVPAPDDEDRLNPFNVMLDTCKQPRTEPMAIPMNDTRSSDGSSSGGRSLSQS SSSFPSDYCHLEREYGDELSEMTSFSSTAPRKEWQPPPPSKKKHAAAGKRPHHPYENA VYDLTVSYDFQKERMSNPFVAKAVTVERKSSLDEHTVTIVEEELGQDDGTDEDDNVDI LRSRESVPSFLQARGDQGRVHER H257_10714 MRRANSFDDATNTAKPSAKNSSRVWHTWQTLDGGLSAWKTGTPR WRNACIKSRSSCSWPTGPRHQACPFMLMYHVLSLLVEFAVTGEPISNESLNDLSAYVN VITSTITLQDDALFHDSLKAAARHKIVPTDSSRTRTSSSSPASIPPPVLTSSPAPSMV VPPSPANRYDSDMADMDDDDDDDVPAPDDEDRLNPFNVMLDTCKQPRTEPMAIPMNDT RSSDGSSSGGRSLSQSSSSFPSDYCHLEREYGDELSEMTSFSSTAPRKEWQPPPPSKK KHAAAGKRPHHPYENAVYDLTVSYDFQKERMSNPFVAKAVTVERKSSLDEHTVTIVEE ELGQDDGTDEDDNVDILRSRESVPSFLQARGDQGRVHER H257_10714 MASWFGHTQTVASISKSVTTVAGGIICLESNLSNFMKKVIYYEG FFQRRSGNLPEDVNYAARKLLRRCHEYREAIREELQSSVAYVADAGRWVIGMEDWNTK MEKRMHQISELVLLANSLLVEFAVTGEPISNESLNDLSAYVNVITSTITLQDDALFHD SLKAAARHKIVPTDSSRTRTSSSSPASIPPPVLTSSPAPSMVVPPSPANRYDSDMADM DDDDDDDVPAPDDEDRLNPFNVMLDTCKQPRTEPMAIPMNDTRSSDGSSSGGRSLSQS SSSFPSDYCHLEREYGDELSEMTSFSSTAPRKEWQPPPPSKKKHAAAGKRPHHPYENA VYDLTVSYDFQKERMSNPFVAKAVTVVSLGHGTRR H257_10714 MRRANSFDDATNTAKPSAKNSSRVWHTWQTLDGGLSAWKTGTPR WRNACIKSRSSCSWPTGPRHQACPFMLMYHVLSLLVEFAVTGEPISNESLNDLSAYVN VITSTITLQDDALFHDSLKAAARHKIVPTDSSRTRTSSSSPASIPPPVLTSSPAPSMV VPPSPANRYDSDMADMDDDDDDDVPAPDDEDRLNPFNVMLDTCKQPRTEPMAIPMNDT RSSDGSSSGGRSLSQSSSSFPSDYCHLEREYGDELSEMTSFSSTAPRKEWQPPPPSKK KHAAAGKRPHHPYENAVYDLTVSYDFQKERMSNPFVAKAVTVVSLGHGTRR H257_10715 MRSRRFNVNESVVLRAALEGDTVTLQRAAVVSSSETTCLDALVE AVETGQDDSTLELLNHLGVPIKGSGEKVTTLERYTSETMAALQAAVTAGHVEKVLTLL PRCDLNDHDEETWMLLCSASDRGNVLNLLLQALDLNKTLEVLYTTARHSSTEAISSQN EPVVEWLLRQQSIDVNYPNKDGNTPLYVACDLMHSTIVSLLLRHPFIDPNKPSGNDGR TPLYNTFYKADGETATLLLQHPSTNVAQPTHDGATCMDEACYGYLSRQTKGRMLDLLV QYIPVDKSLEDQNWRSLLLKACALDLVDMVQMLWTVTDINRAIHKDGTTPLFLFCQQG QIDLVRLLLLQPSLDVNTKKASDGMGPLHVAAERGHAAVVAELVVWGLHHDKDKKGRT ALEIAHEFRNTDALQVLVECQAAVTALHEILDNGWDWDHRSTMDGTTPLYIACQNGHA EIVQLVLLHPTVLVNHRTNDQLMTPLYVACEKGHVEVVRRLLLHATLDINLPSTDGDT PLIVASKRNRLEIVALLLKADADRTMTNCDGMGPLHVAAERGHAAVVAELVVWGLHHD KDKNGMTILMLAARRGNLNIVQRAIEAGTDSTLQDHNGNTGLIWAARHGYLKIVRLLV VGYNPKLEIRGEFHRTALDWALDAAHFEVALYLIGQGAEWNVIWQGKPLIQTLAPHLT DSYVAATMLLRDLPLQVVDGLVVGRIGHSFTWTTFLDPSLPVDPRVRAKAVVSVLSHR TFRTATRIRDVYAGLATAADRHGRLVRLVIQACDAHIRTIFRDRLYFCKRFELFDGPP IHISPSAVVIYAYDHGLGGQVFDEYADDDGTLDEAAFIQCNQVLGRESTDRQAKHEDS EWKQWHAEFALWDKDGNGALTKSEFLTFCHQSFGGKVKVAMKFMKHQDEYTREVQTRQ QMELQSHASAGSMLLRLLPTVSPQVFAQHVTTLTVHDKSMAEYGFVLVMPPADRSLDD IAAKERPSATLVQSMLRDVVVGLQHMHNAGLVHGDVKKLNVLRVHNQLKLIDFDATTE QGMPVGVKTSSGILPPELFYRYRPSPHDRAMSEAYSHGGGASSSEVVAGKRSPQYVVR SFVFGRPDETPYDLVPAAPSLDMWSFGCMLYEMVTGVELFPTDVNQNVVADYIETAAN WTDKQLEARIRANVGVGHDKAVDLLLHLLVVDPTKRWSASQVLMHPYFTGRDLTLVTS CSILDQLHELVQVQHTVQTHHDEMAVELHDQASNDLTHHLMTLHDDATTSLLTGLFAA QEDGDVPTSFVVLPFELNQSEGSERFGKPLEVAPKADDRGAEATPLPRASMFVYLVDE STGQVVIPTENDDDVYPIHVTVSNHGCKWLQQVFQGLAKASDWVGWTDDVKSWLPEGE DGSDPTKCDAVGRAMLSGGVGDQVVRVHGAALREWKQFLDQHDPNHTFCNLHRTLTPD GRIVWTTTPQTP H257_10716 MLCTNVVFQGLAKASDWVGWTDDVKSWLPEREGGRDPTKCDVVG RAMLSGGVGDQVVRVHRVALREWKQFLDQHDPNHTFCNLHRTLTPDGRIVWTTTPQTP H257_10717 MEVGFEHAKAKAAWIKQFKCKGCRNDWWGVSNQCRNCHGTVPPV DFNNMLGVGWFECEQCNRKFAGFCRGNVRSACHRCGTKLLASFIVPGDKASAGGRDHH DCEACHGVGDCPIAAKARGHAARTNAPFWP H257_10718 MGITFHFATAQSIMQRVAMLVLAIAASTASGRECDTTDFSPYTT QWLQCRLAANLPVTPTGTDMLNACKFNDCVVWYKQIAKLSCTLNGSPTTELGTMCTPL ITPPTTTPKSTTTTSKPATTTPKPAVTPSVAPATTTRKPSGGGDVPCVDADYAPLSAI FKTCRSDSGIVNLTKTNLPVYCSYASCVTYVRSYATLTCTEDGDPVSMIATVCDPYIK DTPTTTKATSGGSAGSECTANDTPTSFPKQLQSCLYVAGLTAVPTSLSGLVGLCSFND CTLALKMYAGLTCTVQGLPASVVATACVGATTAKPTATIEAPTSSVAPTTTKPLVIST TSATVVGSYVVVAVVALLL H257_10719 MSAPSDNEDEYVEPVYLELEGREMYLVKLPKELGSSWKTNADSE IVLGTLKMNKRDAAGNRKGQLSVNPSTLSEEIPLDYRTVLAPSNLSMKVFSQDGSGRM AIEGKITESCTLMPQPGALYKKQLGDRYLKSMQKGTVVPLESTPKQVKGSITLKIPKP RESDDEEEEDLKFNKEKDSKKIKMDRDALKNEIFKQFEKRDYWPLKELNLHCRQPEAY LKEILREICIYHKKGPNKSCYELQPHLKGQHNS H257_10720 MTLSHDDQFTEEDDVEEEVVLTVKGSHRPSKLMIPEPSDKAPNL YADSPRASRSPPSLSKTEAKRRQAIGCGSLVALMLSVIVCIAMIRVLSGNEHLSALTP NQKNFMLATKAAGAKETLTYYTRESRLAGSTGDLAMAEYIVEKARDFGFPEDNVKLSD YELLLNEPLSIRVGVVGEDGKPDNVFDLTATVKDKSKPVPYHFYSKNGTARGHLVYAH YGRTQDYNALRNAGVNLTDAVLIVRLGRISLPAKIQLAKDIGAVAVLTYSDPADNGSL RGKQWPNGPYQPNDRAAFGSVYMGNGDPSTPDDVSVPGIERLPQDLIFSDDNTRNFIP HVVSVPISADVAAALLVTIKAGPSASQIFPNFVSGVDAANLCGASTSTVFVDNVHKYV VKKTWNVVISLEGTREADRIVLVGSQRDSAISGATDPGSGNAVFIELLRGLGALLGQG WAPHRTIFLASIDAEDYGNVGTAEWIDKHASNFASRAVVFMNIRDPVLGPGSLTVEAS SSLRTMLYLTTLGVANPDASSTPTAEPTSPSSVAGHSNMTMVWEDLSNAVSDSIYYYW LKQTKAQSPKAVVPAVLTPGSRHILSPFVGRLGVPVVEMGFDAGFSTGVEDTTYDTLE WMKSFGDPSFTFHRAAAQLYGSALLTFTDAVFLPYDFAEYAKDLRTGKDELARVLKTF GAISLNLDRLERAITTFEKAAHHANTEMTLMQDEMLDVLNGQMVVDVKRARDMNNRLM MTERAFLFPEGLPGKPWLKHSVYGHSVWNDYNVTLFPGVFNALSDSSVIDAKHQLHRL CRAIEDATDALAAVALT H257_10721 MKSTRSPRRGVPKPRVLRSRDGPRPHTSSNPDPQSSVLPALPHS QAVPPLPLSTALAQMPLPHTAPTPSSRHHPKLSERLVPCLRRAFSKLDGDANPFLTKA DMLEHNQVLAEAHDAVELSSSDIDMLFLVFDSSRQGTIDRHVVVRHLDKMETDREFHT KGTARPCHTSRKQCGAAYSNMGMADQHQVLGLSAPRQQPAVIYSEPRFTPQVPCKQSP RSLAW H257_10722 MSMCAAYVSALNKSTTSTSSATTAWLISYKGGKRRSAALVAAFQ AKKTSLALQLLPKLLLKQPNFAVWLKGTTCSPSPVDLVATSTPLTPCESTVVPVNPAT ALRALVTTAVVQQNASLELTADGCGGVYIVYPSTSRAPLAVFKPMDEEYMAPQNPRGY RCPGAVIGETAHPVKKGFLVGDGAAREVAAYLLDAAYDHFSGVPATHFVSLPVGGTWK DGSVQAYVTSESSAEDIGTLRFGVVDVHKIAILDLRLFNTDRHAGNILLQTPSSPLSS AYAMVPIDHGLCLPSVEHLDAATFEWLHWPQAKFPLSPEAAAHVASLDIDQDAATLRA IGLPETSVLTMQICTKLLQKGVENGFTLYDVGAVMEREGCGNLPSKLEMLVAGVKESV QDMSSPGFLIEVDDAIDALWEAADKCRGRSMSVL H257_10723 MLSHLQNSRFPIDHMGGCFRPFEKSATSEVGRSYFAVTRIVATT RQRGTVPTTLLNLRAETDYYTDQHSRASASQAELEGHVF H257_10724 MKYIAAAPTSPSVPHADPTAIAVVWSVPLPPFAEDRGGDTYAGA LVVVTIGSCPMQGVDDVTVASHPYPGGHTTVPFANFVAGDAYPDAPKPVPTQRYFSPT TKVGTGD H257_10725 MRALAGFRRTSSTGDGGGSSTDIAATGDVTFDGGEGGCGWTSLM DGGGGEDDGGGVDRVACSGRARGGSSVLIISTSSGGGGVEAKSMGGVSVEEGSICSMS AVVDVVVAVVMGSDVMGASIVMWANHVWNCVCRVRRRAWHRLRSVAVFLM H257_10726 MSGVVNPSVLDGELSFSACDFGLDKRLSKACAKMQFVHATLVQK HCIPLALQGKDLLVRARTGSGKTAAFCLPVLQKLLAAHSPTTKKSIKALILVPTKELV DQTKAHLWELMYYCRDIVSVLGLSGQTVNAQQALLRDLPDILITTPSRLVVHLDAGNV DLKHSVHTVVMDEADMILSFGYGDDVKKIFNALPKICQHFFMSATLSPELDALKKALL HNPAVVKLEEGSSDGKLTQYYLPVAKKDKDLLLYALIKLGIVHGKIIFFVNSTSAAYR LKLFFEQFLIPAAVLNSQLPHNSRQHIIEQFNRGLIDYLIATDDSMDKDEGEDEDDED EDDDIGDDESDDEEEDVVEEEEDEGDDIGDDIGDESDDESDDDIGDDESDDEGDDDLD QGDDDLDDDEGQAPLLKSKSSNSTRDQAFGVARGVDFRGVSFVVNVEFPKSVKSYTHR IGRTARGGANGTAISLVATNDAAETKALTRVQSKQSPVVLESQDVLSQPAPLAFDIKD IDCFRYRVEDVRRAVTGIAVREAQLNDVKKEMLNSDKLRAHFEDHPRELNLLQHDKQV GKARIQPHLGTIPAYLVPTALQAPAPSKKRKSHGGAYKKNHKRRTDNDPLHTFTHEEG GGEAGASKKQKARQQAEEDRIHDGNAGIGVSTSGRQKWKAARKKGHYNPKTIAKRERK ARLGAKH H257_10727 MFERRERIGPQELSANVRATELIHGHVRCSKRATHGDKRIRAVG PSLCRFEGHHPFDIGFHGHGRPSRVHLVHHSHGLGRSSSFIQQPHHDRIRVPTDEHEL LLGRLNRLFLFVVFQGGQCVEEGPRVVQDIHLPCDGHHFK H257_10727 MFERRERIGPQELSANVRATELIHGHVRCSKRATHGDKRIRAVG PSLCRFEGHHPFDIGFHGHGRPSRVHLVHHSHGLGRSSSFIQQPHHDRIRVPTDEHEL LLGRLNRLFLFVVFQGGQCVEEGPRVVQDIHLPCDGHHFK H257_10728 MSYLTTSTHLNNWIFTPDQLKQVARLKANKARKQWAMCAANPLL QRKPRSFACLLPATTNATLDASDWNDSLEEVDVGVVDDPSIFLTDHEEAMVVDFYQVQ VQNTCTTVFKTSDKVKAAAVLLFKRFYLSNSIMEFHPKYIGATCIYVAGKVEEQYISV ETLVKSYDGVVKESDVIAHEMIVLEGVRFQLIMYHPFRSLTGFIDDLRAHSKSTRHTD VPISTLQTLHATATAVINDLLLTDAPLLYPPAVVALAGLQVATTVEMAIDWTKYLLAC KRGQGQSMHEVVQAIQEVVQLRAAREADAAASEAELKSAYKKLKGFYKSTPKKAAADE SKEPKAKKHKSSDGKKSKKSKKGVA H257_10729 MGQCCSKRCPEIDDGDVVKPAVVKGLSTIEVSSPQLPASSFNQL RSTTTSTQRRSQADTVTAIRRSSLEGGNNENDIIMSSTSGSPSKDDLYGSSITSSKYI YTSPPSSPSKSSDEPQVEELQDISQQEPSEPVYVAPEDRPIESAFIREERIRLAQIQE HLRLERQEAHEKWVAELREKKKEFLPDVVVYRDFSPRVD H257_10730 MVSAWLEAFGRMKPLPKNLHLNDHTASLKRCLSLFDLICYGVGC SVGAGVYSLIGIGASITGPSISISFLVSGIACIFTSLTYSEFAARVPITGSAYSFVYV TFGELAAWLIGWNLMLGYGISAAGIARSWASYVVIFMRQWNIQIPHEWITVDVWGMSC SAMAAALIVVLSVILLAGVHESAKFNMVVTGLNVAVLVLVIAVGSTQVDVANWEPFAP EGVHGVMQGAGVIFFAYLGFDMVACLAEEVPDPQTNVPRGIIGSLLISMSIYIGVSLV VTGMAPVAVLGTDVPIVNAFDYQHLPWVSHVVSFGSMFGLTTAAFTCLMGQPRIFYQM SKDGLLPECLSAVHASTQVPYWSTVLTGVGVAAFAYFFDLNVLANVISCGTLMVFTFV NAGLLVLRFDTTTSTSTTSHLHMLSTAAFPVRLLSFVVSSLATCLAINVDVPTSVQLA LGSTVLLTYWWIHNGTLHPVCSTTVFVCPWVPAVPCLGIFSNTYMMTSLPLLAWTGVA MWMGVGIFLYGCYGVHHSKLGDNEEESQPLI H257_10730 MRQWNIQIPHEWITVDVWGMSCSAMAAALIVVLSVILLAGVHES AKFNMVVTGLNVAVLVLVIAVGSTQVDVANWEPFAPEGVHGVMQGAGVIFFAYLGFDM VACLAEEVPDPQTNVPRGIIGSLLISMSIYIGVSLVVTGMAPVAVLGTDVPIVNAFDY QHLPWVSHVVSFGSMFGLTTAAFTCLMGQPRIFYQMSKDGLLPECLSAVHASTQVPYW STVLTGVGVAAFAYFFDLNVLANVISCGTLMVFTFVNAGLLVLRFDTTTSTSTTSHLH MLSTAAFPVRLLSFVVSSLATCLAINVDVPTSVQLALGSTVLLTYWWIHNGTLHPVCS TTVFVCPWVPAVPCLGIFSNTYMMTSLPLLAWTGVAMWMGVGIFLYGCYGVHHSKLGD NEEESQPLI H257_10730 MVSAWLEAFGRMKPLPKNLHLNDHTASLKRCLSLFDLICYGVGC SVGAGVYSLIGIGASITGPSISISFLVSGIACIFTSLTYSEFAARVPITGSAYSFVYV TFGELAAWLIGWNLMLGYGISAAGIARSWASYVVIFMRQWNIQIPHEWITVDVWGMSC SAMAAALIVVLSVILLAGVHESAKFNMVVTGLNVAVLVLVIAVGSTQVDVANWEPFAP EGVHGVMQGAGVIFFAYLGFDMVACLAEEVPDPQTNVPRGIIGSLLISMSIYIGVSLV VTGMAPVAVLGTDVPIVNAFDYQHLPWVSHVVSFGSMFGLTTAAFTCLMGQPRIFYQM SKDGLLPECLSAVHASTQVPYWSTVLTGVGVAAFAYFFDLNVLANVISCGTLMVFTFV NAGLLVLRFDTTTSTSTTSHLHMLSTAAFPVRLLSFVVSSLATCLAINVDVPTSVQLA LGSTVLLTYWWIHNGTLHPVCSTTVFVCPWVPAVPCLGIFSNTYMMTSLPLLAWYHLL SITN H257_10731 MAEVKNLWCAVYGEATTFDVNIALEDAHVGDLQEAIGREVKAMN DQSEAAGEVVVGGNMCGQHDGFKTPAQNPSSVVDEDLASRLRSLGGGCRAALLSSGTV GSTNACRPLRQCSTSAGWNGTDLLPTMLAFLLPTVPEERSAARQPPPRLRSREARSSS TTDEGFWAGVLNPSCWPHRFPLTQRNRPHRP H257_10732 MLRRLLHPLHPCRMTSTQAEHGRRSQQGQWMEKVKVSIASSRAI RGGNYVQLATVDETGQPHCRTIVFRGFVALPSGGLALKMITDARSDKVSQIQHNRSCE MVWWFSQTSEQYRIAGHLELVAADSTSPWAVAARSEQWNALSDTAKQQFFWSKPGMYD PLVASSVANEGGHGLPPATFLLVLLQPSIVKYLRLSDNATLHEAFLPDGTWERRSSIQ Y H257_10733 MNKEKQHEREAKEVAQLTERISLETPERGTQMDDIESFDMMPLS ISTKNGLKRGKFAKPTKIQIGTIPHALAGRDILAAAKTGSGKTLAFLIPMLEKLFRQR WDVEDGVGALVISPTRELAMQIFEVLRSIGKSHTFSAGLVIGGKNFQEEQYRIIKMNI LVATPGRLLQHMEQTANFELSNLQVLILDEADRILDMGFSNQLTAIIGYLPSERQTML FSATQTKSIKDLARLSLNEPEYVAVHEKSTSATPSGLVQNYMVCDVGQKLDVLFSFIK SHLKQKTIVFVSTCRQVRFIHDVFCKMQPGIPLSALHGKYKQGKRVEVYYNFVNKPAG VMFATDVAARGLDFPNVDWVVQLDAPEDTPNYIHRVGRTARYNKNGRALMLLLASEVT GLLAGLEDANVPISKIEVNPAKTQSTHGKVASIVAADKELKALAQKAFMSYVRSIYLQ PAKDIFDATALDTEQLASAYGLPHAPRMPFLKDVAAQTREVNREKKNVNRKLQALKDK IKAEKLLKKLGASKQQNEPTASSGDGSDSSDDDDSDDGDEEDFLVVKQRHNWDKDEDV GELQVAQTKKKPKAKIRIHASSASKVVFDDDGNTLQPFDAVAASNVDGFANVAEQAKA FQASVAKRLLEKDSEDRQLEKDRVRAKHTKKRQQSKGDREDEDGAAVAVLGGGSDDDD EDDDDGDEEGSDVGSDEDLDEDRRMKGREALALEMIQARKKRRLA H257_10733 MNKEKQHEREAKEVAQLTERISLETPERGTQMDDIESFDMMPLS ISTKNGLKRGKFAKPTKIQIGTIPHALAGRDILAAAKTGSGKTLAFLIPMLEKLFRQR WDVEDGVGALVISPTRELAMQIFEVLRSIGKSHTFSAGLVIGGKNFQEEQYRIIKMNI LVATPGRLLQHMEQTANFELSNLQVLILDEADRILDMGFSNQLTAIIGYLPSERQTML FSATQTKSIKDLARLSLNEPEYVAVHEKSTSATPSGLVQNYMVCDVGQKLDVLFSFIK SHLKQKTIVFVSTCRQVRFIHDVFCKMQPGIPLSALHGKYKQGKRVEVYYNFVNKPAG VMFATDVAARGLDFPNVDWVVQLDAPEDTPNYIHRVGRTARYNLTSLLTLFKRSSRYN KNGRALMLLLASEVTGLLAGLEDANVPISKIEVNPAKTQSTHGKVASIVAADKELKAL AQKAFMSYVRSIYLQPAKDIFDATALDTEQLASAYGLPHAPRMPFLKDVAAQTREVNR EKKNVNRKLQALKDKIKAEKLLKKLGASKQQNEPTASSGDGSDSSDDDDSDDGDEEDF LVVKQRHNWDKDEDVGELQVAQTKKKPKAKIRIHASSASKVVFDDDGNTLQPFDAVAA SNVDGFANVAEQAKAFQASVAKRLLEKDSEDRQLEKDRVRAKHTKKRQQSKGDREDED GAAVAVLGGGSDDDDEDDDDGDEEGSDVGSDEDLDEDRRMKGREALALEMIQARKKRR LA H257_10734 MYTAITMLASRARRTCVRRSFSILGGMSDDLLISMNMGHSIGGT SQATLVDSLINAGVLVTPRVQDAFRSLDRGQFVFPGHNPSDIYANRPLKIGTIATIST PQQHAQVIELLAAHLQPGHRAIDVGCGSGYLAAVMATLVGPTGHVTGVDIVPSLVEFS TANVTKAGVACNFTWTTSSGKEILAPTASFDGIHVGVAVESMDQVDALATHLNPGGAL VVPLGYAASEQLLLKVVKHPDGTIIKERVMSVLCQPLLDQAPAPLPVPETRADKVARL QAALEAWKVSFVTTHGRAPTREDILQDDIARRLFADFAATRK H257_10734 MYTAITMLASRARRTCVRRSFSILGGMSDDLLISMNMGHSIGGT SQATLVDSLINAGVLVTPRVQDAFRSLDRGQFVFPGHNPSDIYANRPLKIGTIATIST PQQHAQVIELLAAHLQPGHRAIDVGCGSGYLAAVMATLVGPTGHVTGVDIVPSLVEFS TANVTKAGVACNFTWTTSSGKEILAPTASFDGIHVGVAVESMDQVDALATHLNPGGAL VVPLGYAASEQLLLKVRRLESVV H257_10735 MSAISPVESEEHYAELTGADKITVVLFSAPWCGQCKLITPKLNK LAKEASDKVAYVKVDTTVLEDLAVDLGVSALPSVKIYSKGQVIGEYVGSKWEKIEELV QAKTS H257_10736 MNLKGLFLESKLMAGVVVLVLARCVDRVLNTRITYDYQQFLWYY SNIINPVAFLVITWPIVWYKLFFTKDITPEMKAFPHYKYAIMAFLDMTNSFMSALPTP HIGGNLANVLNQVTLPFNMLLSVMVLGTQYHRAHMMGAILVLYGAFVCMIPLFRGEVA LNSPDPSFGWILLYVLAMLPAAASNVYKEIGLKDVDLDIWYANAWVSSYQLLWGGLTF WTIQMQAFSDPPVVLSDFSAYLASAHECFFGHNVTFNGVSSPCDGGIFVVYLQYILFN VLYNTLMMFVFKEGSSVLFVISSAVCLPLTDVLYMLPVLAGPLAKQKFTIFDGFALFI IVMGLIVYHSEREERGKEHESKSPMYTSPSLQRMKLNIENKRSGRPKMSRMRSMAEKR RPATYGTTDVV H257_10736 MNLKGLFLESKLMAGVVVLVLARCVDRVLNTRITYDYQQFLWYY SNIINPVAFLVITWPIVWYKLFFTKDITPEMKAFPHYKYAIMAFLDMTNSFMSALPTP HIGGNLANVLNQVTLPFNMLLSVMVLGTQYHRAHMMGAILVLYGAFVCMIPLFRGEVA LNSPDPSFGWILLYVLAMLPAAASNVYKEIGLKDVDLDIWYANAWVSSYQLLWGGLTF WTIQMQAFSDPPVVLSDFSAYLASAHECFFGHNVTFNGVSSPCDGGIFVVYLQYILFN VLYNTLMMFVFKEGSSVLFVISSAVCLPLTDVLYMLPVLAGPLAKQKFTIFDGFALFI IVVRGRSGNDGI H257_10736 MNLKGLFLESKLMAGVVVLVLARCVDRVLNTRITYDYQQFLWYY SNIINPVAFLVITWPIVWYKLFFTKDITPEMKAFPHYKYAIMAFLDMTNSFMSALPTP HIGGNLANVLNQVTLPFNMLLSVMVLGTQYHRAHMMGAILVLYGAFVCMIPLFRGEVA LNSPDPSFGWILLYVLAMLPAAASNVYKEIGLKDVDLDIWYANAWVSSYQLLWGGLTF WTIQMQAFSDPPVVLSDFSAYLASAHECFFGHNVTFNGVSSPCDGGIFVVYLQYILFN VLYNTVRECPLVSIASKQPRDDGAVY H257_10736 MNLKGLFLESKLMAGVVVLVLARCVDRVLNTRITYDYQQFLWYY SNIINPVAFLVITWPIVWYKLFFTKDITPEMKAFPHYKYAIMAFLDMTNSFMSALPTP HIGGNLANVLNQVTLPFNMLLSVMVLGTQYHRAHMMGAILVLYGAFVCMIPLFRGEVA LNSPDPSFGWILLYVLAMLPAAASNVYKEIGLKDVDLDIWYANAWVSSYQLLWGGLTF WTIQMQAFSDPPVVLSDFSAYLASAHECFFGHNVTFNGVSSPCDGGIFVVYLQYILFN VLYNTVRECPLVSIASKQPRDDGAVY H257_10737 MGGGGVKKWLQESKLIIALVFLVLTRCIDRVYNTRITYNYAQYL WYFANIINPVAFQFFCWPVVWYKLYFTKDITPEMKAVPHYKFMVMSFLDMSSNLLGTV PIPHIGGNLSNVLGQVSLPFTMILSRWFLQTQYKRAHVVGAIMVLYGAFVCMIPIFRG DVALNSPDPSVLWILLYVVSCIPSAGANVYKEIGLKDVDLDIWYANAWISFYQVGWGL LTVWTIRLPAFSDPTVAWHDFPSYVESAHNCFFGTPTTFNGVTSTCGGDIFTTYIQYI VFNIVFNVLMMFVFKEGSSVLFVISSAVCLPLTDILYMVPALAGPLAAQKFTIFDGFA LFIIILGMVVYHSEKEEQGVGKDRVLKSPLYASPSVRRLKANIQTRRKKATSTRVVSC GYGAVATEDAV H257_10737 MYRCPQPLHHHVTAIRGNLSNVLGQVSLPFTMILSRWFLQTQYK RAHVVGAIMVLYGAFVCMIPIFRGDVALNSPDPSVLWILLYVVSCIPSAGANVYKEIG LKDVDLDIWYANAWISFYQVGWGLLTVWTIRLPAFSDPTVAWHDFPSYVESAHNCFFG TPTTFNGVTSTCGGDIFTTYIQYIVFNIVFNVLMMFVFKEGSSVLFVISSAVCLPLTD ILYMVPALAGPLAAQKFTIFDGFALFIIILGMVVYHSEKEEQGVGKDRVLKSPLYASP SVRRLKANIQTRRKKATSTRVVSCGYGAVATEDAV H257_10737 MGGGGVKKWLQESKLIIALVFLVLTRCIDRVYNTRITYNYAQYL WYFANIINPVAFQFFCWPVVWYKLYFTKDITPEMKAVPHYKFMVMSFLDMSSNLLGTV PIPHIGGNLSNVLGQVSLPFTMILSRWFLQTQYKRAHVVGAIMVLYGAFVCMIPIFRG DVALNSPDPSVLWILLYVVSCIPSAGANVYKEIGLKDVDLDIWYANAWISFYQVGWGL LTVWTIRLPAFSDPTVAWHDFPSYVESAHNCFFGTPTTFNGVTSTCGGDIFTTYIQYI VFNIVFNVLMMFVFKEGSSVLFVISSAVCLPLTDILYMVPALAGPLAAQKFTIFDGFA LFIIIVGRCHVVRF H257_10739 MEEATPVDPPPAIAASEPKPLPKGKLSRKQPPRPTLWCDQSVEA MFKLRYKSALASRFDSKKNYGNRVAYVMLATELSVVMQREFTAKQVQDKLAKMKTEWS LSKPTLPAPTGNSPQAFLPLHYDVMLDYWGEKAGFRRESLMSTDDANNNLDNAPLGDD ERYVGEEAQQPEQMKKTSGKSKKTKSPSESLEAGFTAIKEGLMFLGSAMAQQTPPHQQ TPSHQVMAAAPTPATQTSSVATLDDVLSAIKAQSDTMSQLLSHLIAKKD H257_10740 MKTKDVIVIAVASTISAVCVGIAAFTRSAKYNVLTVSSIDFDAM LGDRQYEQWFLLNLRCNQATFVGIVAWFRSVQAPTTPRKSVHSMEKKAGGVFGMSKSR CICVVHRMVELGFFKKQQIPGIVGAVDGTLIDIQHPADYDGFYNRNGDPSLNTQGTFP NIEDCHEREVTRPHRVHRPLRAIAAIETTTFNRSILLT H257_10741 MPAFKVAPIYTCNNDVPLTHNHVTYHFAKHHADAEGPVYSRHCT KRQDLMDARDDEESNLRMVHIDEIWEALPNWEMRFNLLLMAPIYGALHIPLPDFSSFV AKLPPGPLPKGAALLIQGCHEKFDQDICYLDETAYFYCTAQTPRCGVKAFKNKIGALR ALHIVQKFDKLVETADESDKETFASQVNKLLEVSLLQAMEWAKEAWQSVTQDTIANRW CHTGILDEEMYELFESMNYL H257_10742 MLPNPRQESTLSDIREMRVSKSTKASYKSDLNQIKKLIPGALAG YRSAIKDQFKRESLEVPPQYESDVKDVFQGGIKESGKRKHNSYSTINGFSNAMKHIYK EEGSTPDDEAAIRFQKEKPH H257_10743 MSSCATKVFSRRYINESLGFCAESMNRFKPFGKGDITVPGDTTI KATTTRDMSSRTRLPTTPEIVDAIQEFVRIRRQSRQRTVANDVAHF H257_10744 MPSAAEKLSDIHRAIAYVKRSRGSIVSKPEILDMVMLNAMLRQE GTPAASRSTNMSSCATKVFSRRYINESLGFCAESMNRFKPFGKGDITVPGDTTIKATT TRDMSSRTRLPTTPEIVDAIQEFVRIRRQSRQRTVANDVAHF H257_10745 MHSICIVIIVINVIKLTNAGLAARQDVVLKGAAGLVNTVDDHTT QARGVLLVGHGFDSDGLLKCRGRERVVLGAHTSAGSKPLQADTNDAFGTQFWGQEGLA YVDKMVELRQTHAEGIEYDAIAIVAEQTVLEFEEFHVGHKWAYKARCWHSSLCLVIVE KCSRGHTR H257_10746 MKGDFADYEFLLNFSGFGDGFSDDKWGEFDTGRPKSKLSRFKDK PYQHWEAMSSVIGDSMAGGDNIVSASGIVQGMSTAEDVVGAVVERGDARSLSVVECRD VDLDHGNLTAAQKRATILHQLKKNRKRGQQSQDTNERFKEIQRLSDSVADLVRLYAMK NGLEAA H257_10747 MPSPRSPNVGTPRTGNKKLTDGQRQSIYETLLERSVDGELPHGC ITQTARLYACTLKTISNVWARGRLSIRHGSSTADVSAKYKGNHNGKRVMSDDEIERAI RAVSLHERQTLRSLAARSGISKTTIIRHMECAKTLKLKFSHSKPYLTDANKINRMKHA LAFLQPSSNETIFDSMNSYVHVDEKWFYLTTVKKCFYAYDDEELPKRQLKSKRYITKV MFLASVARPRYDPHKKGFFDGKIGIWPFVETVIAKRNSPNRPKGTPLIVPESVTADVY RRRIIEKVIPTIKAK H257_10748 MRWADSKLAVALLVLILSRSVDRVYYTRITFDYNEFLWYFSNII CSIAYLVIFWPVVWYKMWFTNEITPEMTSFPHYKFAIMGLFDTLYNLLTAFPTPHIGG NMSNALGQLNLPFNMLLSAILLSTRYKRSHYMGAVLVLYGALVTMIPVFRGETAANMP DPSVFWILFYIIALLPGVGSNVYKEIGLKDVDLDIWYANAWICTYQLLWGAFTIWTIQ LPAFSDPPVSWQEFPSYIALAHNCFLGYPVTFNGSDLPCGNGVFLTFLWYILFNCVYN QIMMYVFKEGSSVLFVVSSAVCLPLTDMMYMVPFLAGPKASQTFTIYDGFALFVLIMG MLVYHSEKEERGDAATQKSPMFLSPSMRKAQLMRRRKARPMTTFRSAVLKYGTAKDTN SVV H257_10748 MRWADSKLAVALLVLILSRSVDRVYYTRITFDYNEFLWYFSNII CSIAYLVIFWPVVWYKMWFTNEITPEMTSFPHYKFAIMGLFDTLYNLLTAFPTPHIGG NMSNALGQLNLPFNMLLSAILLSTRYKRSHYMGAVLVLYGALVTMIPVFRGETAANMP DPSVFWILFYIIALLPGVGSNVYKEIGLKDVDLDIWYANAWICTYQLLWGAFTIWTIQ LPAFSDPPVSWQEFPSYIALAHNCFLGYPVTFNGSDLPCGNGVFLTFLWYILFNCVYN QVRSIQPSVI H257_10748 MTSFPHYKFAIMGLFDTLYNLLTAFPTPHIGGNMSNALGQLNLP FNMLLSAILLSTRYKRSHYMGAVLVLYGALVTMIPVFRGETAANMPDPSVFWILFYII ALLPGVGSNVYKEIGLKDVDLDIWYANAWICTYQLLWGAFTIWTIQLPAFSDPPVSWQ EFPSYIALAHNCFLGYPVTFNGSDLPCGNGVFLTFLWYILFNCVYNQIMMYVFKEGSS VLFVVSSAVCLPLTDMMYMVPFLAGPKASQTFTIYDGFALFVLIMGMLVYHSEKEERG DAATQKSPMFLSPSMRKAQLMRRRKARPMTTFRSAVLKYGTAKDTNSVV H257_10749 MLSRFCLARSMAGMSLAPKSSSVLPAGFQSVFSATRCMSTEATE EISLPAIEDAVEFRSVKTVKKEIRQSPRKLSYLAQQIRGLTAPEALIQMQFSQKRKST IVKKTIQNAVNLADIYYGIEPSALKVEQAFVTKGMFLKRVKFMGRGNTGVKHRGHSHL TVILREFDPASVPISKSKARKIAYKEAAAAKELASNAEATKSAETA H257_10750 MSTSLASQLNALKVHATSAPSQRKLASFLHEPKVASKIDIRTTY EHAKQALDHLCGMDGSLDVFHTTLLHPSKVQAQFNRALLTKDENAAVDVDLGLLLDAL SPYFLLPPTHQLLEYLIRRYEIHTWNVEQILGATLCYHESPVFARLVTICDLNKYPRW AFLEAVKVNNVPLLRANLAKRCFTDPSIVRFIYDAGRRIGAKNPKLMALYTLLAMEVL DKSKISDQILRWVLPNVLDGLRDRTFPEHQLSSYMVATKLTSKAVLAHAARTQIVSAI AKTALAHAQLDALLCLVSVVQAQPFEALPIDVVKHLLNYTDVPQLLRDATDAYDTRKF LTLFFAGMVSLLVDSAKTSTNQAETTVETTLFAILAELGPDSATLFVAPIVGHVLKSA RVAASSKDKNAVAVVLQRVLVRLSKRFAEQLDAGLHALLSADDDDEASAAFYIEFVGR TFDGTTAAVHVPLAQSGLSLLLSLDHPNADIRLHAVTAVKAMNDSFDEVDALLRRLND DSPAVVLQVLQLNVLLVKATPLALVEAVAHAVSQRFADPQFKVAVPALVGFATGPLLT KYPKTPRLTPIVLDMLLQFAPTSDWLEPSTSSESVIPFDAWVQHLKKLHHPFGQALKA PKDLSAVVQAWGKVLTDDLVDTVAAWTAPAPFRHVRLPYLALQVFFHSPKKQSGRQFL PLARTEWTLLNNSPAKVDLAIHRPAILHVIEMICASMTASPADEGTAYDDALHLLLHS SSRFFTLVQPYLHDKLAKHNWTTLLHSLCRVASSRADTQATAAVRSITLVSVMLECHG STAIKLNDLHQVLTTVLLSLNSSDAAVRKVGVQTLKPLAKVSVDKQDKAALATYHKAM AALTQAKTELVMDSQHLPTLLATLLDGNKLDAGWTKQVLATVLVKGADGGAIPAPLQR RARQVLEVFAKVQLPALWESAVGWFTHRLPLAAQASCSDAETAILNHLLGHFLTTWAT SKVPKAVVDAVVLTLATPTGVSALHKHVAATMPATWFASVGSATQSTIVAHLVALMRS GEELSEISSQLVPRLQVSADIVAKLLQHQKSPHWVADVTCVLEVLPAWLPRYSATELE NLLTPLQHIVAHFSQDKVSEYSVQMVLTVLHQTCRLLPPAAKHPSKKHAAATTTSHAK VLVELTMEVVEVTTSPQTRNAALLLVSALVDLYPAQVLTSLVPILSHASHLHMDEYSF HVLREIVQHAVPYVAKPSSPISIQQFLQTFVDAFGSIPAARRLDLFHVVLASLDLQNA NALGMTIALLLLTPSTDAQHPHAAFCHELAQLFPPEAQMQALVFLVHSTSHLQDDTDP GKSDTSVLNVQGLSDAKLAATTAAWLSFVPHHLERKRLHAQILDKQHGDNGTLQEAYL LLAQALLLYLRRGSDDALGGFALDGMHNLQRLLTAPGFVAVIGELLHHEDSVIRRRAL QLLNERIEAHQDSLTTEEELLFVDMLADLTEVLGDVQAALVSDLQMALLSVDVLTRFF AKKHPKTFQAILPTVIACTTHTNGHVSGSAFVCLSNLTLALGAAVFPHVPTFFPTLLS ALEQVSSHRRSGHSTDQADDSSNTLQHCGMVALRNLTAKIPQFLAPYLNRMLALLFQP SLHPQIQVTAQATLVSLAHGMELRNLLPALLELYPSCCRHGNDSLLQLFDLVATIVGG MDRLAVKTHLSGWMRFFLMAMDVRRTHPLLSVEVEDKLRHAIVQLVLKLSEKQLKPLF LKFVAWVDVVLPGHQGPSLPRQAVFYRLVGQLSAQLRSIFVPYYAHILPQCASIVAWG PTLSSSFSTAHDEEDDGFFKRPAKKQKVAKEVEDDTSSLKATVAEFVVQALVGCFSHD SEGFMDKEKFDEIMPGLVDLLDVAESQPTLVSHAVTALAQLAWAAKSDLLWKPMHHRI LMKSRSDSAGVRLAALRAIEQCYTVVGEEFLAMLPESIPFLAELLEDTDATVETLCHQ VIKQIEDISGESLDQYLTA H257_10751 MESKGEVDPNERENRIHARRGRIDTRNANKDDENKKKKSSSTDA KKMNRGAQQIADSLNQLDKRKITGIQEVTDIRVRADDTENTRRINEEDRKQKRIEKLQ QEAITSGSRNAAVEMRWADLYDYNMPQELFKQLQLQSEACGAILASKDGLIKDFQTQL KAKDEEYVVALKVQADDVETLVDRMSQQYREMQEEYELELEQIEDAFLKAR H257_10752 MEMTFMEAKQARDEQSQKEIEDLRVKDAEDYNKLKIKLETDIQT LEQQLEEMRATYQLNTEKLEYNYRVLTERDMENSATLNQQKRKLSRLKDALSGLIQKY TQTDAHQRHQNTELTEDYRRITKQYKDLQKKFQHFEDHDGHKYDQVWAMHHQVAMDHV EKVLQADKIIHEQQLGLVWVPPITDLRHVDAGDIKLGGASTSEDDTTNPASSSTEDGG GASSSTGGAETRKVSSTKLKCMLKLLASEAGFLVNANVLQAIDTMDEDEAELVRADSI LRSLGVESESDMERLLGFFFHDANVVGGGGGGEDDDEGKQTLTMGLKVQPDQVIQTIK FFVDELNKEKRLHGNRPRRAVKKHDDNNDDEHHGHHRAGGRGRKEDKYFWARAQQILP DTTQRVWLALEKGLTEYNTVLKQRKVLIDAVSALQMQNSELKALLRQYLGSAVNDELL IPPTQMIRVQEPRSL H257_10753 MSVTLHTNLGDIKMEVFCDTAPRAAENFLALCATNSYDNTTFHR NMKGFMIQGGDSTGTGKGGESIWGGAIDDEFHPQNRHNVRGIVSMANSGPNTNKQQFF ITYAKQPRLNNVYTVFGKVIDGFDVLDAMEKAQVDAKFRPLKDIVLRNVTIHANPIAD FK H257_10754 MGSLQRLFGESHLAASVVVLVFARCIDRVLYTRIAYAYGPFLWY FSNIIMPIAFVATSWPVVWYKMLFTNDITPEMRAFPHHKYAIMGLLDTFYNLLSALPT PHLGGNLSNVLDQLNLPFNMVLSLIFLNTKYKRCHLLGATLVLYGGFVNMLPLFTGAS TLNMPDPTIGWILLFISSLLPAAASNVYKEIALKDVNLDIWYCNAWTSLYQVFLGLLT IWTIRVPALCDPPIDWQDFPEFIGISHQCFLGYPVEFQGKTLPCDEGVFELFMWFILF NMIFSQLMLYIFKEGSSVLFVVSSAVCLPLTDILYMFPLLTGAQATQTFTIYDGFALF VLVIGLLVYHSEKETRVSKGGSRSVEKSPMLTSPSLQRTHLMRKSKGKVVYHQSPLFR GQSPGRSSDGRPLLRSNSPARRMYGTSAALPSPPRSMRSKKPATKASTDAVV H257_10755 MTTKTWRAFFAESKLMIALVLLILARCVDRVVYTRIIYDYGPFL WYFSNVICPVAFALTSWPVVWYKMYFTKDISPAMAAFPKYKFAFMALLDSLFNLMAAF PTPHIGGNLANVLGQLLLPVNMIMSFFFLQTKYKRTHIFGAILVIYGGLVDMIPLMQG GGSTVNSPDPSIWWISLYLMALIPAAGSNVYKEIGLKDVDLDIWYTNAWVSAYQILWG LTTVWTIQVPAFNNPPVVLRDFPTYAIAAHECFLGNSVTFHDKLLLCDQGIFTQVLIF FAFNLAFNQLMLYIFKEGSSVLFVVSSAICLPLTDVLYMFPLLTGTKAAQAFTLHDGF AIFVLVMGMLVYHAEKEQRLDASGTKAVDKSPMFSSPSLQKTRMMRAKRGRVLYHQSP VTFRNRSSPSRALLTARSPGAAPPSYGTSMANEIV H257_10755 MAAFPKYKFAFMALLDSLFNLMAAFPTPHIGGNLANVLGQLLLP VNMIMSFFFLQTKYKRTHIFGAILVIYGGLVDMIPLMQGGGSTVNSPDPSIWWISLYL MALIPAAGSNVYKEIGLKDVDLDIWYTNAWVSAYQILWGLTTVWTIQVPAFNNPPVVL RDFPTYAIAAHECFLGNSVTFHDKLLLCDQGIFTQVLIFFAFNLAFNQLMLYIFKEGS SVLFVVSSAICLPLTDVLYMFPLLTGTKAAQAFTLHDGFAIFVLVMGMLVYHAEKEQR LDASGTKAVDKSPMFSSPSLQKTRMMRAKRGRVLYHQSPVTFRNRSSPSRALLTARSP GAAPPSYGTSMANEIV H257_10755 MIMSFFFLQTKYKRTHIFGAILVIYGGLVDMIPLMQGGGSTVNS PDPSIWWISLYLMALIPAAGSNVYKEIGLKDVDLDIWYTNAWVSAYQILWGLTTVWTI QVPAFNNPPVVLRDFPTYAIAAHECFLGNSVTFHDKLLLCDQGIFTQVLIFFAFNLAF NQLMLYIFKEGSSVLFVVSSAICLPLTDVLYMFPLLTGTKAAQAFTLHDGFAIFVLVM GMLVYHAEKEQRLDASGTKAVDKSPMFSSPSLQKTRMMRAKRGRVLYHQSPVTFRNRS SPSRALLTARSPGAAPPSYGTSMANEIV H257_10755 MIMSFFFLQTKYKRTHIFGAILVIYGGLVDMIPLMQGGGSTVNS PDPSIWWISLYLMALIPAAGSNVYKEIGLKDVDLDIWYTNAWVSAYQILWGLTTVWTI QVPAFNNPPVVLRDFPTYAIAAHECFLGNSVTFHDKLLLCDQGIFTQVLIFFAFNLAF NQLMLYIFKEGSSVLFVVSSAICLPLTDVLYMFPLLTGTKAAQAFTLHDGFAIFVLVM GMLVYHAEKEQRLDASGTKAVDKSPMFSSPSLQKTRMMRAKRGRVLYHQSPVTFRNRS SPSRALLTARSPGAAPPSYGTSMANEIV H257_10756 MGKAESKKQPVVTRTWVFKESEVRGLRESIPNGKVFVSCHDSKT VETNTGTTLVQATGLAADLEMIEAHVHLHRLGGRVLDLSVDDNPKLEGRILIEVYVDE ANPLTSVESTGSSRVILEDGSLARSSVTIHKIGSGSVYVNLLNDLDIDELVVTLTGSG TIACMAPQLTITKSLVSTVQGSGGVQFLGNHIRAPSISTSITGSGSTLFDAANLVAYN VVSSIVGSGTIRYAHAGSVDQHRQSVSGSGKVVSNALLANSAAVSIFGSGTIVTQAME SLHGAVVGSGSVEYIEPSPKHIQVTTSSRGEHVKLADRKPSKAATPPTVPTRDVEDDV FSFSGSFLGWLNVKFNA H257_10757 MEDGLFHEANAAWIKQFKCKGCRNDSWGVTNQYRNSHGTFAGCC RGIVRSPCRGCRSKMLTRFIVPEDRAAACGRDRHECEACHDNGHCPILSQWPNQIKDE IVGMINDCILLCVSISFGLEDTVKWWRQSSMAALRAPQA H257_10758 MGIMSATQAVVFGAVATSMASYASVAAVTSGTYSALLPQDVVRN LQCHVCRTPELTGSVGDSCCNYETVNTATIEHFHPLLDELRKLSFFRYFKVDLNRECP FWSVNNVCMNRDCSVCECPTDEIPSKWYAQDQAEKEQRDLTEAASGPCDDQAGEGELS KVDRTNAAVGQSFLAWVDKKDADNVNNMVYINLLENPERFTGYAGLSAARVWKAIYDE NCFVSQELCLEERVFYRLISGLQASISTHIALEFRVGNGWGLNTDMFVERVGKFPDRL QNLYFTYLFVLRAVGRYRDVLLHYDFETGNAADDARASTILKSLFDVDNQAYNASCRA PSDSRAVLFGFNETMLFSKSMVNNLFLHPVDVERQRRQFTQKFENISRIMDCVTCEKC RLWGKIQVLGLGTAIKILLADDVADMAPLHRNEMIALINVLHRLSESVEGVTRFRQLE LENAIATLVQCILGAVVVVVVVGVVMNRRRRQSSLVDHKKFN H257_10760 MYFTTSPRKTPTPTMISSAAPPFLASLYEMLSKEDPRVIGWCDG GKAFGVHNFDAMEKHMLPTYFRHSKFASFQRQLNYFGFRKVQTPSASSNKHSNIYYQP LFTRDDPSAMLLIKRKTYGLKIVPTPRRTTTPEYYSFPATPITPPSSSRMAPVISRSS STPNQNARSSAGAPMLRRSHSTPVPDPVDFDAYLSVVSAQPSSYEQLLYGDIPRITPQ NDTGLFINASMAEAFPTIQDVPSTPVSMTSMVAHMQTVSVVDAPLDDFVFAPLPFRPL ESAGDQYLSNEDLDLLACFT H257_10761 MVPPFLVSLYDILNNEDPRIIGWCEGGDAFGVHNTDTLVKRILP RYFRHNKLASFQRQLNYFGFRKRQKINDADSTSYYYSPHFVRQDPARMTNIKRKTYRP KNHRVIPVSSMSYQPPHGFLHDKSKRSVDSIMPIPFEGGHQQDWSLSSEDLALLLALE AT H257_10762 MIATSSNPRPSMSPSTSKVLNHATPPFLASLFEILSKEDSSVIG WCDAGRSFGVYDFEAMEKHILPTYFRHNKFASFQRQLNYFGFRKLQKSNGTDQSNVYC QPLFNRDDPSAMLRIKRKTYRLKSSPGPMSPRDGFPYSPSRNSAAFAPYPATDVPYHP FVDMCATPLLFHGDATSCGHNSSFTSLLFGNMPSGSEAIDVGSFQPIPFHCLPQDSWT LPDEDLTLLSTLAMPIVVV H257_10763 MKESGRLKALLGLVRASKSNTGDHLKDYTLPMIIGMGSTDPDFS SPHAEAEFIHGAIRSAQKAVVMYPNVKHYPQIECPSEVAKNLLQANFYLSSTNCSVED VPAFACTT H257_10764 MAAFHQLPFTKFARSTGQHIAYSKLDPSVASTSASRTFVCVADL GEIRYTYRFLAPLLAQAGHTAYMVDLRGMGDSSSRYSSYSVESCTEDIDALVESIASP VA H257_10765 MVLGNNNGTSGAIKRPKLGRHPAAVSGKTAAKWSDDSFENVKNN QMRRDAYELLAAELSVEVDQVLSAEQVQNKLHEVKKKWFNPKVMATGNGSAVRTKPQY FDIMFEYWGTKLGYSHSSLLSSDPDVDVDGEVSTLSLDVGSDSDSVRVQTPTSSPRRR EMQSHQLWCPRTSRRSYSL H257_10766 MGADSDIVLPETRNAKPSTVVPTNIEKELFSVKKSSKSHTNQPS HATALLQGLQAVGNGLESIGSSFGKQPADGDMSNKILLAIEKQTEAMAKQSDQITELL QYILRRESNVAESQQ H257_10767 MATPTKAGKSAKWTDELDAEFVVLYAEAAAKSKYVASGGKQLKS KGWLRSPHAPWRGRLSCDVLFVEVVFDLFASIAPLVDLHILWVILHVSFLHAPFQVPG EKGLFQVNLSGKSRALSCELHARLNVDRFGLIGGCLAELESFEPVATWNMVGLDEESR QITRRRLGQRIPFSTESRPEAAIHQVVCQRRDAQS H257_10768 MSPAYTPHSAEYRYSAHEPTQSPRDNASQVVSSAVAPFLASLFE ILSKEDAHIIGWSDDGKSFGVYNYDAMEQHILPTYFRHNKFASFQRQLNYFGFRKLHK AKDSDHHSVYCQPYFLRHDPSRMLHIKRKTHRIKSNTPRRAILGSINDGYPYQYRMHN PDSPAYYSPPLTAESSPSMYTTHDVYSCSTPTPFAPASAACALPQVTSSDAYDPVPFF TPSTSVFMSVQSPSCASQPTADLQYDPFLSMKRYGNHVSVSNVVVYTSPDDDTLSSLP IPYSDVQRDSVKLTRDDLDFLYDDALCI H257_10769 MKLKRFLLRYYPPGIILEYELRDATREMKEIDLLHLTAESDIEV LVNQIVFEEPLISESRKPQLRRLIYKLIEKLEVNDNSDFYLFKILRAHILPLTNCAFN KSGDKFITGSYDRTCKVWDTQSGDELLTLEGHKNVVYAIAFNNPYGDKIITGSFDKTC KLWNADTGQLYHTYRGHSTEIVCLSFNPQGTVIATGSMDNTAKLWDVESGQELHTLLG HTAEIVSLNFDAQGDKIITGSFDHTVKVWDVRSGRCIHTLAGHHGEISSTQFSYTGEL CISGSIDRTCKIWDVASGQNVQTLRGHNDEILDVSFNSTGSKLVTASADGTSRIYNTM TGACQAILIGHEAEISKVAFNPQGSKVLTASSDKVARLWEVETGDCLQMLEGHTDEIF SCAFNYEGDTIITGSKDNTCRIWKC H257_10770 MQQRPLRRVQIESKPYGFTKADLKLVDPPTPAQRDQQGLLRIAE RQARTLRLQTLHGVAFQPTTERSGPQVVPIIAVPRPRVPYLVHMYIWNGSTNLHSKAH PFCCWIPVRVVAVRVVVQNRPLPTTTAAATMGNILHYGPVKKQIKVRSNQVIKFIKPI VHYGFLPLVIYLGLNTEPQADLLMVLLPWVQPDAPAGAEF H257_10771 MRLGAAMYDITGPAAEAGMFGYAQIHQATTGIHMRLRSRAFVFH DDSTSVADDETKHFAFVSVDTGCISELITQSVIARLQAHDDVFPSSTFQTHNVMLSAT HTHCAPGGLSEYPLYSMHPPLKGFDKQNFECVVAGIVKSIVRAYRNLQPGVIRVARGD CLGASINRSVEAYNANPIEERQQYAHNTDKEMTLWRLDGTDGFPIGMINWFAVHPTSM GSWFTLITGDNKGYASYEFERERGTVHLMDRPRCFVAAFAQSNEGDVSPNIFGPRTSA NEHHDLERMEIVAEAQLETARHLYMSAATAAPEATSAIRCVHQYVDYNSIALGTKWHV HKECSPSTSSGCIGVSMLSGTHFDGRGVRLIPEGLQWGAKCWFTLMPQTQSMQKEKPI IFPTASTGMSPSILPLQLVVLGPSLVLAAMPFETTTMAGRRLRRSIAAALELPTANTV VLAGLANAYCGYMTTREEYAIQRYEGASTHFGPNQLVATQQQFDELATALRLRTLPPS APQPHKVSTSNWHTPVLHDSAGGASWRFGQVVVDEERQSLGPGDIAQAVFCAGHPKND LRVQSTFLEIQRWRSFAGCGCGSGSGGVWVLHADDSDPQTFFHWKRSGLSASLVTIVW HIPPSTPPGRYRIKHNGHYKQHWNNDVVVAYCGVSRAFDVDEVAKGSTKPVGDTLAET LQETNVLYRVPEPTTVRMGHADEKEEAEMDWTSPSLKRRMTGGRWPSGKWKQDG H257_10772 MTDYTARLAELGYVLPAVSPPKGNYKLLTRVGNLIYTAGHIPTP ANGALITGKVGVDLTTEQAYDAAHVVALALLATLQHELGDLNKIKQIVKLTGFVNAVD GFAAQPTVINGASDTFAKVFGDHGVGARSAVGTNGLPLNVPVEIEAIVEVHDA H257_10773 MDEDIASPPSQPPLVTERSTSAAGTGVPIEGSPAGAARKGTSYV PVASSWNSDQFNASLSTNLMTFSVAPLTHVMQAVGTTIHHHDILLQELAKQMHKVDSA QQEMNKHTTAPSISSEDLLSKLEGVERRLHDVEANTEESRTREEMLTNKMGIVGELGD RVAQQDASIQDVKLSVGQMGIRLGDCVTTVAFELMKAALLNDVQNMLKDAIAQQAAMQ DTRFNALQEQLLRLSGGDDDDDDDDSNDMDEEPTYGPGDVLRPAHVPPTETTMITQKK GKHDGSSTMRMMFDPAQKLLVEQLDQQLKQLATRVRDVEADRVTWHDQVAGIHNQVVQ CNMDAGQFRHDMIGAFDDLAAKTKATPASDLATPAIPPSRKYDDDIQRLSDRIERLTK QLGDLHLAHGSDHATTDATAHAVKTVQDDMRKLKAQVDAFQDNHSLMQGLMGGGSSDG ASPDLSMVFGKLAEMRQTQANATDDLRRHLATLDGWVKELQTQRQQVKHSDESRARLN LRQLDADLELKKDALHHQLHLQEHLMGQVTDWLHAIPAIRKELEKPDAHEHPKMAELQ AMLRQYYRALPGVATLQASSHGLHGMLQQLHHTFLQTQDGAASATGVDDLTPREAQLQ TLHTLLATLDKHNEGLVKQYDHARVQMDELWNVWQKRLRTDTDNRVTMLSKEITEVAL LKPKMPSPPPATVVPPPHLDKKMSLSAQAMALGEGSDAVKRLEQLLLTCCRRLDGFED DIRGLTRNVHAYRGDMTDRVTESHMSKLKFQIFAELAKIHAVLGSSKFQGGATTAAKV YDDSDIKTTLDVQAELIASLCLELKKEKSDDSEHKQLSAIPVEDAIESDKLFNAKLES ITEKVAEMFVSLEVQRSSSQPRNIIPAYNPTLLLEAFAQNIEAKLALTQDLTKKDIER IKMELGDNVRRRVTRAMEAMREQIPPSAEPTTSVGTIPGMVCCIACSRPVRFDTSTGG DGQHKDVSRTTLGLPDPDEEDDALDREGDAEFVYRAGFRMPVIERKNVLPLLVSPRIP PSPRVKGGTSVDKGKSRRFVKGSIRKVDSLMREVEDLDHDTLNAERQIDYKKANDVRK PTAPPLR H257_10773 MDEDIASPPSQPPLVTERSTSAAGTGVPIEGSPAGAARKGTSYV PVASSWNSDQFNASLSTNLMTFSVAPLTHVMQAVGTTIHHHDILLQELAKQMHKVDSA QQEMNKHTTAPSISSEDLLSKLEGVERRLHDVEANTEESRTREEMLTNKMGIVGELGD RVAQQDASIQDVKLSVGQMGIRLGDCVTTVAFELMKAALLNDVQNMLKDAIAQQAAMQ DTRFNALQEQLLRLSGGDDDDDDDDSNDMDEEPTYGPGDVLRPAHVPPTETTMITQKK GKHDGSSTMRMMFDPAQKLLVEQLDQQLKQLATRVRDVEADRVTWHDQVAGIHNQVVQ CNMDAGQFRHDMIGAFDDLAAKTKATPASDLATPAIPPSRKYDDDIQRLSDRIERLTK QLGDLHLAHGSDHATTDATAHAVKTVQDDMRKLKAQVDAFQDNHSLMQGLMGGGSSDG ASPDLSMVFGKLAEMRQTQANATDDLRRHLATLDGWVKELQTQRQQVKHSDESRARLN LRQLDADLELKKDALHHQLHLQEHLMGQVTDWLHAIPAIRKELEKPDAHEHPKMAELQ AMLRQYYRALPGVATLQASSHGLHGMLQQLHHTFLQTQDGAASATGVDDLTPREAQLQ TLHTLLATLDKHNEGLVKQYDHARVQMDELWNVWQKRLRTDTDNRVTMLSKEITEVAL LKPKMPSPPPATVVPPPHLDKKMSLSAQAMALGEGSDAVKRLEQLLLTCCRRLDGFED DIRGLTRNVHAYRGDMTDRVTESHMSKLKFQIFAELAKIHAVLGSSKFQGGATTAAKV YDDSDIKTTLDVQAELIASLCLELKKEKSDDSEHKQLSAIPVEDAIESDKLFNAKLES ITEKVAEMFVSLEVQRSSSQPRNIIPAYNPTLLLEAFAQNIEAKLALTQDLTKKDIER IKMELGDNVRRRVTRAMEAMREQIPPSAEPTTSVGTIPGMVCCIACSRPVRFDTSTGG DGQHKDVSRTTLGLPDPDEEDDALDREGDAEFVYRAGFRMPVIER H257_10773 MDEDIASPPSQPPLVTERSTSAAGTGVPIEGSPAGAARKGTSYV PVASSWNSDQFNASLSTNLMTFSVAPLTHVMQAVGTTIHHHDILLQELAKQMHKVDSA QQEMNKHTTAPSISSEDLLSKLEGVERRLHDVEANTEESRTREEMLTNKMGIVGELGD RVAQQDASIQDVKLSVGQMGIRLGDCVTTVAFELMKAALLNDVQNMLKDAIAQQAAMQ DTRFNALQEQLLRLSGGDDDDDDDDSNDMDEEPTYGPGDVLRPAHVPPTETTMITQKK GKHDGSSTMRMMFDPAQKLLVEQLDQQLKQLATRVRDVEADRVTWHDQVAGIHNQVVQ CNMDAGQFRHDMIGAFDDLAAKTKATPASDLATPAIPPSRKYDDDIQRLSDRIERLTK QLGDLHLAHGSDHATTDATAHAVKTVQDDMRKLKAQVDAFQDNHSLMQGLMGGGSSDG ASPDLSMVFGKLAEMRQTQANATDDLRRHLATLDGWVKELQTQRQQVKHSDESRARLN LRQLDADLELKKDALHHQLHLQEHLMGQVTDWLHAIPAIRKELEKPDAHEHPKMAELQ AMLRQYYRALPGVATLQASSHGLHGMLQQLHHTFLQTQDGAASATGVDDLTPREAQLQ TLHTLLATLDKHNEGLVKQYDHARVQMDELWNVWQKRLRTDTDNRVTMLSKEITEVAL LKPKMPSPPPATVVPPPHLDKKMSLSAQAMALGEGSDAVKRLEQLLLTCCRRLDGFED DIRGLTRNVHAYRGDMTDRVTESHMSKLKFQIFAELAKIHAVLGSSKFQGGATTAAKV YDDSDIKTTLDVQAELIASLCLELKKEKSDDSEHKQLSAIPVEDAIESDKLFNAKLES ITEKVAEMFVSLEVQRSSSQPRNIIPAYNPTLLLEAFAQNIEAKLALTQDLTKKDIER IKMELGDNVRRRVTRAMEAMREQIPPSAEPTTSVGTIPGMVCCIACSRPVRFDTSTGG DGQHKDVSRTTLGLPDPDEEDDALDREGDAEFVYRAGFRMPVIER H257_10774 MNFVRMCDIFENMATVLEGDIAGPKHPDSWLAVDGAPQLNPCPP FYPDQFVSLCNEFLDESGWTDSIYVAASIKGACLPPPNRAKMVALPVVHGVLQCTVCK MILSSSYEISRYGVFVLSLFNKADALAFKFDKTVSDTIHDMQATDAIPRMRDIARIAG NIMVPLPAAME H257_10775 MEVVPGDGDSAAMSARLRNEHANPAEAAPDPVPKKDGMSPPAWD NNQFTCMMQSELLSYSLAVSVGPLAKVIEVLGTTLHKHDDTLQHLLVQSVENADHLAQ TNQVVRDQADELENHMQDAIQSLRADLLALIHPLEAKTAAADAAIQGTAQQVEALRSS AAMSDDGGGSSSSRRPDEGAVADLAKRLDELEVRLGRQQEATKDEPHWKNEMEQSMQA QLNAMQQYMQSEFDSERQRIQDILNSHDNNSIGGRQGEGKQHSMTPLPPLHRAQSHPS GLQADAPDLPSKSNAEAAHKSNAMDGVANIHQRLDQEEQMLEALRQQYEALQEIVDQV QADTRRLAERLATGGIVNISDPSEVTPLVGSSSFPTIAIDDVASPHNGVMEMEVTRQA TVLDGLNKDVRDLQAQRSARQRDLDKHLNSVDKHLRDLAAADVVHTSLMDTHKTSVQK VKADVAKVAADLQSLVDNQALMQGFQSTTGGAPDLSMVFAKLAEMRQAQTDATATLQA NLDALVERQTIHQDGLDGLISNVDVLNSKVGTHDSALNSRDDRDASVAKLMARQLEAQ VNYQKDAVQRGLHVQERVLTTLLDLMQRVAELRQDVDKGEKGAEIQNLFQVFHHMGPQ IAQVLALPPLSHEALQRIAHAIDVNHLAPEEARGLADTVNETHQMLSSLEQHNNDMIK QQGHSRTQLDELWHAWNAKMHMDMLGKITILSKELRELIKHGPTRQPSTTNHVAKSNQ GGMSDADSKVLETKLVSTNRRLNDIEDTLRAVSKNLMAYKHDMNDKVTAGNLSKLKFQ VFSELAKIHAVLGSARFQGGAPVPAAQVLDDSEIKSSLDDQAELIASLCNDLKQSLAD KATDDAAAAAGGARHSLSRLSNDNDPFNAKLEAITEKVAELFLSLELNRSNAQPRHAI PTYNPAQMLDSFAQNIEAKLAESQSLNRKQIHDIKDELNDLVRQRVAKALESMQFGPV DAGDGTTAGGSKPVVCIACSRPVKLETNIQDTIHDRLPAEPMIKVPHDPALDVPIDEP GDEYHVYRAGFRMPSNDKRHNLGKSLLPLLNSMSPTASPTKRARKKLTSPQTTE H257_10775 MEVVPGDGDSAAMSARLRNEHANPAEAAPDPVPKKDGMSPPAWD NNQFTCMMQSELLSYSLAVSVGPLAKVIEVLGTTLHKHDDTLQHLLVQSVENADHLAQ TNQVVRDQADELENHMQDAIQSLRADLLALIHPLEAKTAAADAAIQGTAQQVEALRSS AAMSDDGGGSSSSRRPDEGAVADLAKRLDELEVRLGRQQEATKDEPHWKNEMEQSMQA QLNAMQQYMQSEFDSERQRIQDILNSHDNNSIGGRQGEGKQHSMTPLPPLHRAQSHPS GLQADAPDLPSKSNAEAAHKSNAMDGVANIHQRLDQEEQMLEALRQQYEALQEIVDQV QADTRRLAERLATGGIVNISDPSEVTPLVGSSSFPTIAIDDVASPHNGVMEMEVTRQA TVLDGLNKDVRDLQAQRSARQRDLDKHLNSVDKHLRDLAAADVVHTSLMDTHKTSVQK VKADVAKVAADLQSLVDNQALMQGFQSTTGGAPDLSMVFAKLAEMRQAQTDATATLQA NLDALVERQTIHQDGLDGLISNVDVLNSKVGTHDSALNSRDDRDASVAKLMARQLEAQ VNYQKDAVQRGLHVQERVLTTLLDLMQRVAELRQDVDKGEKGAEIQNLFQVFHHMGPQ IAQVLALPPLSHEALQRIAHAIDVNHLAPEEARGLADTVNETHQMLSSLEQHNNDMIK QQGHSRTQLDELWHAWNAKMHMDMLGKITILSKELRELIKHGPTRQPSTTNHVAKSNQ GGMSDADSKVLETKLVSTNRRLNDIEDTLRAVSKNLMAYKHDMNDKVTAGNLSKLKFQ VFSELAKIHAVLGSARFQGGAPVPAAQVLDDSEIKSSLDDQAELIASLCNDLKQSLAD KATDDAAAAAGGARHSLSRLSNDNDPFNAKLEAITEKVAELFLSLELNRSNAQPRHAI PTYNPAQMLDSFAQNIEAKLAESQSLNRKQIHDIKDELNDLVRQRVAKALESMQFGPV DAGDGTTAGGSKPVVCIACSRPVKLETNIQGLLRCSNAW H257_10776 MVDVDNMQAALEREMEIIQHKLDISSLLYDDNREGSDADEDLLA DVGFMYDDCLEKVTCEFTYGVTSDTDPTPRVSVFLSYVQDDPGFVQSGHYVWPAAPAL CEYMVAHFKELPRGNIVELGAGCGLAGLVFAQLDPSSTIIFTDHDPGVLKTIEHNVTK QSHRPKQARCHTQSLRWGPQGANEVDSIDALQGGTKTDLIVGTDVIYAREIVALLFWT VDRLLTSSSEGQFLMCSSFSYDEDTEKEIDAACSKYGLVRTIVTDTLGTKGTRIQLFV RRDHEE H257_10777 MRRVLVFGTSANPPCGMGGHMGCIEHFAQEYDEVWVLPVYQHIY SSKRHLESFEHRVAMLELARQAIPHGDRVRIVETEKDVWMQALTSLPPDAEPSSIRIG TVDIIANLQAANPDVQFSWLLGADTYMDLVQGKWKGGLALLDMVHLVVLPRDGVVLDT SSHPSVTISSVPSLDDSSSTKARQSRDAAAALVLPPVLAYMQKHKLYAFASER H257_10778 MPYASLRRVLLPQVDRRVMRSTMQIYSATAMQQLYISRVDTKQQ WIVVSNPSTKYVDLTHHRLTNDAGTVVFHFPKGYILQSGEEVTVWCTPGSSDFNSHNL LDPYLLWTSLDGRLSSSPFFVKAQPLHEVILLDAYLTEVASLQVTSTGQKTFRVNSAS PQPLSYPYLNPFHFQQRHGVYVFSRYWGVVSDPAYAAHFAAVFICPVIEVARILLMYS VLAQVYLKPTDLNPFYLPLAFLCDLLARSASLSIKDGHLATFLSFSSFLVDQFHLLAV YLSLMALFPSMHSVYSALLSAEFALNLVSLAGPPAHFFTTRHQWHQVYRWSEAVLYSS PTVVSTCFVAKEAFFFLLHVKASPHVMASVSPLLLHLVLYACIPCVVLATAMTLARGT AIAVHLLTLRRQKERQS H257_10779 MMKAGAEVVIVPRNFKLLEELESAEKGHGDMSISYGLEQADDIF LTSWIGTILGPAGTSHDGRIYSLKIHCGENYPNAPPEVLFTSRINMSCVDQSNGRVNP RSLGVLASWQRSYGIEQVLVALRNEMASTANKRLPQHPEGASF H257_10780 MMPMETTIAPPSTKAATTTTVSSAAEYGKLTPLNPDDLKLPPPP PSAAAKVRKDNDAMSLSEVWGEIKGKFHESVTGTSVSGILNAIRVCNFIMAGGMIAIA ILEMLNKKSFGSAISSIMAVVYTILFGLILIGYETRTKSGDDFLRANYGFLYNPWGRC LFLCMISIFPFGMFSPYGWLFSIFGFANAYFNYFVITKHPSFTSGVPDYEPPVVNTVV H257_10782 MKPSAEKANDDNVNWDMVYQRACRQKACAIQMCLSRHKYQESKC QAEIDAYKACCTKARGRDEASRQQRHDASASSSINATNEHVT H257_10781 MAPTQAAQRRGVSATLEDLKNYYCGLRTRVSDVPISIDFNTWRV NLSLLSMQTLILYGTLFSECRFSLFVFSSVWLGRSFFVAEYLKKYGSCGRVIHNSPQS SLLRSFSVSGTMLILSLLFLIRSTKDFYQLISHLLLPCACMVVAEQFRHHPVLGTPLE FILALEKSYFVGVVTLVLEVNPTLIYDRAAATAVISTAVFNNVMAGIAKYFILHFTQN QITAHDLHGWNAVHLGDDDQVLSSTVETWTCGTSYQQGTFVRHHGRTWEAVGARNQAE PGAWTSRMYICVWRHPFRFLTFLMGIQVMQVVAIGLFSFCCDSLMIVGGMMFVSADYM QLMHSIRFAMLGKSFRNVMLRPQSQAEHIAAAAPDPLPQALSH H257_10781 MLILSLLFLIRSTKDFYQLISHLLLPCACMVVAEQFRHHPVLGT PLEFILALEKSYFVGVVTLVLEVNPTLIYDRAAATAVISTAVFNNVMAGIAKYFILHF TQNQITAHDLHGWNAVHLGDDDQVLSSTVETWTCGTSYQQGTFVRHHGRTWEAVGARN QAEPGAWTSRMYICVWRHPFRFLTFLMGIQVMQVVAIGLFSFCCDSLMIVGGMMFVSA DYMQLMHSIRFAMLGKSFRNVMLRPQSQAEHIAAAAPDPLPQALSH H257_10781 MAPTQAAQRRGVSATLEDLKNYYCGLRTRVSDVPISIDFNTWRV NLSLLSMQTLILYGTLFSECRFSLFVFSSVWLGRSFFVAEYLKKYGSCGRVIHNSPQS SLLRSFSVSGTMLILSLLFLIRSTKDFYQLISHLLLPCACMVVAEQFRHHPVLGTPLE FILALEKSYFVGVVTLVLEVNPTLIYDRAAATAVISTAVFNNVMAGIAKYFILHFTQN QITAHDLHGWNAVHLGDDDQVLSSTVETWTCGTSYQQGTFVRHHGRTWEAVGARNQAE PGAWTSRMYICVWRHPFRFLTFLMGIQVMQVVAIGLFSFCCDSLMIVG H257_10783 MDSRQGQQVPGQSGLVHVTSPAPESVQAATAPYTPTLTGLDTGD IFRDEDAFQWLFLTSDLAPLDQTMSFGDEIKDDDLFHFVPSVESSPDAPPPSLSSTSM QSRQHSLDIAGSSSQLPLKSPSSSSVSVAPKPPLIKRESTAGSSVASFDSDESSSDSD DVDDSDGASSSQTTDLSKKKRKRLKRNRDSARESRKRRMARIQENELRLKKLELENVD LKMRLQIGKEAIVVERQEKASYKQKMRHLLQTGASPAEVAQYIELYKSNYSDYGAKRR ETLAFHVARVRDLLLPTQVTKMCLYSVENADEVNKASSSSSLPRQAATDPNEHANLWE ILAKELDISEAQQRQIFTRRDQIKRLRDNLTKNLRNLAAFEVATQEKNRSLDNEVSLL QTILTPQQATKFIIWVKDNPAFMYMLDQLVQSIIAGTDGVDDRSSS H257_10783 MDSRQGQQVPGQSGLVHVTSPAPESVQAATAPYTPTLTGLDTGD IFRDEDAFQWLFLTSDLAPLDQTMSFGDEIKDDDLFHFVPSVESSPDAPPPSLSSTSM QSRQHSLDIAGSSSQLPLKSPSSSSVSVAPKPPLIKRESTAGSSVASFDSDESSSDSD DVDDSDGASSSQTTDLSKKKRKRLKRNRDSARESRKRRMARIQENELRLKKLELENVD LKMRLQIGKEAIVVERQEKASYKQKMRHLLQTGASPAEVAQYIELYKSNYSDYGAKRR ETLAFHVARVRDLLLPTQVTKMCLYSVENADEVNKASSSSSLPRQAATDPNEHANLWE ILAKELDISEAQQRQIFTRRDQIKRLRDNLTKNLRNLAAFEVATQEKNRSLDNEVSLL QTILTPQQATKFIIWYADDGISRICDTGGMV H257_10784 MEGSHRLACVDSLLALLERETKLQTNGDDAIGSTRKQQECLSVC GHFVELACSHALWSVQAKDDRPAWSLLGKSKALLDLHSNSIGQRPVHDTVHITWLELR LRVAKQLGAVSRRIDAMDETMKYVYEAMAIEYTLFDVFSADKHSRDRVLRHRTSIAKA HMQIADFFASVHEHSAAAVNAQHAASMLFEGLVVWTKLAPAAQDTTVRDRVAGKLALA LHNYGAELEHLDESSNALQAYRKAYEVSAACFGKDHDNTKTMGRTLQSFSMALKEGPL SPPPKKCPSPPRTPHDKQDRWRSSTIWPALLKRLHENYTDDVITFDLSPPKKPPKQSG PVSTAKPKPPLVIANPMTSMFAPKNVTYAPVLLHREVVTRSTDAPTKQQRPATAKSPW NKTSPPKDSSPYAFNPRHPVVAAARPPLASFDATPHRPKTAGLIKRTTTTPGQPSPRL QMGSITKPFVAVPVYGNGDLAAPDPIPRGTDARDGLRIPQRDTLEDDETVPTPVAKLH ATLKLAEAMAVEDEMHEPSSARSKLLAKREQRMRERRPSATSQMTSSLDAMHCADSDM DSTGTINHDIRDDDKPSASDQHSDTTGAHRAHPEMNEASSANARLEIARQLSEQVLGS VLRAASSPDKGAGAVCGPTKASLTPLAAHHADKGSPICSEDNQGPMELPPVATSHDFV RQLSHRVVEFVFESLSPTNAAQKPPHPSELAVEEGPKLPPPSLSPTPRRLPTLDNIDL APATMTAFMAPPQSLWRTRTNSCDCSIDLEAVASAVESQHLNSLVVSLTCTPDEGFLI EVDPSFIPGDFLQNATTAPHSLPTVCYDPPPDTNDPSPPESHLHMLARQFTSRVCHAA VQSLVRVDSLESDADTALLTPSSSRHSPSTESPDSLGLASPPSTSSVTVTTTDESPMS PARSSRSRRRRRSTSSSSSSSSSSTTSSSSSSRSRSRSSRSRSHVSLLSSSSSSTSTD TIECDRSSPTIRSGPTSDATSLPSSSTTSNPPVPPLSP H257_10784 MDETMKYVYEAMAIEYTLFDVFSADKHSRDRVLRHRTSIAKAHM QIADFFASVHEHSAAAVNAQHAASMLFEGLVVWTKLAPAAQDTTVRDRVAGKLALALH NYGAELEHLDESSNALQAYRKAYEVSAACFGKDHDNTKTMGRTLQSFSMALKEGPLSP PPKKCPSPPRTPHDKQDRWRSSTIWPALLKRLHENYTDDVITFDLSPPKKPPKQSGPV STAKPKPPLVIANPMTSMFAPKNVTYAPVLLHREVVTRSTDAPTKQQRPATAKSPWNK TSPPKDSSPYAFNPRHPVVAAARPPLASFDATPHRPKTAGLIKRTTTTPGQPSPRLQM GSITKPFVAVPVYGNGDLAAPDPIPRGTDARDGLRIPQRDTLEDDETVPTPVAKLHAT LKLAEAMAVEDEMHEPSSARSKLLAKREQRMRERRPSATSQMTSSLDAMHCADSDMDS TGTINHDIRDDDKPSASDQHSDTTGAHRAHPEMNEASSANARLEIARQLSEQVLGSVL RAASSPDKGAGAVCGPTKASLTPLAAHHADKGSPICSEDNQGPMELPPVATSHDFVRQ LSHRVVEFVFESLSPTNAAQKPPHPSELAVEEGPKLPPPSLSPTPRRLPTLDNIDLAP ATMTAFMAPPQSLWRTRTNSCDCSIDLEAVASAVESQHLNSLVVSLTCTPDEGFLIEV DPSFIPGDFLQNATTAPHSLPTVCYDPPPDTNDPSPPESHLHMLARQFTSRVCHAAVQ SLVRVDSLESDADTALLTPSSSRHSPSTESPDSLGLASPPSTSSVTVTTTDESPMSPA RSSRSRRRRRSTSSSSSSSSSSTTSSSSSSRSRSRSSRSRSHVSLLSSSSSSTSTDTI ECDRSSPTIRSGPTSDATSLPSSSTTSNPPVPPLSP H257_10785 MAIVDGVPRPLMDTELRRSLSSASSSSNDKDAILPSSTPSTGPS STRRGKKLGGKAYTPDRCKLCLRHFSRLLQCKQCCNCKNIVCALCALKIPMFHSEKSK LGKHALHARLCANCYDTNILNQANSDSSETDGDINTHDHDADDGTFILVDEADSDDDV PSRQSHTGLWLSLFTATLLFGTVMLEDLDLLHRAGIMAILYVAFVFIHPAWSGGTCRG SSLAKPSRRISTRRKCSTSSNTSDDLSTDAPCASAVVPLTVDDYRQRKAELAARFDEL KASTAWVKNESKSKGAISLFEIDCGDAQPIFKVEAFVPDATADQMLAFLSSADPAVRK KWDTGMAANEVVDEIVVDDVTVSVVHNTQKPHGFGLVSSRDFVVLAFQHSEHAYVQGG VARPDIAVKGGVLRGNVHFISFECQPAADGGFHMTYINHVDIGGSVPKSLVANGTADN MVKMMHMCIASKKKWFS H257_10786 MINEPIAAGTTRERRVSSSSVPTKRQKSGPSAHDDDGIESIEVP RRRKRDVLKQVSMRLFLSAQGYTTDVLRNIFTQTEDAEATSSPVLATTGDNPLTAVAK PRKPLWMPKTQDDANIAPSHGASSSGGDKKHFLLSRYTDPTSYFYKYHDAPFAPRKAV VVLVSLSWLKPHEEIVSWARVDGLKNATLKWGAYTEPLLVDIKTGAILDGHHRYTVGL QLELKQLPCVLVDYLGDDLITVDVWPDCGRSSLSKQQVIDMSLSPNVFPPKTSRHRFT DSLPPISIPLSVLHQDPTEGTLGYECPAVQLAHAEVASLPSPASPPPTDLAPPPRFSK RQFVLQTSLTLLGGASDLATSVVRSLFRHRIREIERRPSSGGSSTLDLVSRPAKKDPN RSVAFDAIRANDPTSSFSRIKGRLVAQTLDDVLDAGNAYRKFFASRMTDPTSYFYKYR LDNEKERSPKRQKVVLVLVAWLKAHEAIVSAARVDGLRRATVKWDAYLEPLLVDRKTG AILDGHHRYMVAVHLGLLTVPAVLVDYLEDTSITVDVWPECGRDTLTKEEVVAMALSD DVFPPKTSRHAFSDNLPPIKIALDKLRQPYFTDAQL H257_10786 MINEPIAAGTTRERRVSSSSVPTKRQKSGPSAHDDDGIESIEVP RRRKRDVLKQVSMRLFLSAQGYTTDVLRNIFTQTEDAEATSSPVLATTGDNPLTAVAK PRKPLWMPKTQDDANIAPSHGASSSGGDKKHFLLSRYTDPTSYFYKYHDAPFAPRKAV VVLVSLSWLKPHEEIVSWARVDGLKNATLKWGAYTEPLLVDIKTGAILDGHHRYTVGL QLELKQLPCVLVDYLGDDLITVDVWPDCGRSSLSKQQVIDMSLSPNVFPPKTSRHRFT DSLPPISIPLSVLHQDPTEGTLGYEYVTLETPNVSSSDAVRPSRCPAVQLAHAEVASL PSPASPPPTDLAPPPRFSKRQFVLQTSLTLLGGASDLATSVVRSLFRHRIREIERRPS SGGSSTLDLVSRPAKKDPNRSVAFDAIRANDPTSSFSRIKGRLVAQTLDDVLDAGNAY RKFFASRMTDPTSYFYKYRLDNEKERSPKRQKVVLVLVAWLKAHEAIVSAARVDGLRR ATVKWDAYLEPLLVDRKTGAILDGHHRYMVAVHLGLLTVPAVLVDYLEDTSITVDVWP ECGRDTLTKEEVVAMALSDDVFPPKTSRHAFSDNLPPIKIALDKLRQPYFTDAQL H257_10786 MFIGASSSGGDKKHFLLSRYTDPTSYFYKYHDAPFAPRKAVVVL VSLSWLKPHEEIVSWARVDGLKNATLKWGAYTEPLLVDIKTGAILDGHHRYTVGLQLE LKQLPCVLVDYLGDDLITVDVWPDCGRSSLSKQQVIDMSLSPNVFPPKTSRHRFTDSL PPISIPLSVLHQDPTEGTLGYECPAVQLAHAEVASLPSPASPPPTDLAPPPRFSKRQF VLQTSLTLLGGASDLATSVVRSLFRHRIREIERRPSSGGSSTLDLVSRPAKKDPNRSV AFDAIRANDPTSSFSRIKGRLVAQTLDDVLDAGNAYRKFFASRMTDPTSYFYKYRLDN EKERSPKRQKVVLVLVAWLKAHEAIVSAARVDGLRRATVKWDAYLEPLLVDRKTGAIL DGHHRYMVAVHLGLLTVPAVLVDYLEDTSITVDVWPECGRDTLTKEEVVAMALSDDVF PPKTSRHAFSDNLPPIKIALDKLRQPYFTDAQL H257_10787 MANPDKDHPKAYDVIDRVAKNAHIQGIDAYNSEYKRSTENPDEY WAEKARENILWFRDFDQTKNGHFENGDVTWFLNGQLNASTNCIDRHIAKNGEKTAILW ESDEPGVHRRISYNELLAETCKIANAMLLNGVRKGDTVAIYMPMIPEVAMVMLACTRI GAVHSIVFAGFSSEALRDRIVDAKSKWVFMADEGKRGGRTLQLKKTVDEAIAGLDVVE KVFVFKRAAQAWTPSGKEIDMNELLPKMRPYCPAVWMDSEDLMFILYTSGSTGQPKGV AHTTGGYLTYAHVTAKYTFDLQEDDVYACVADCGWITGHSYIVYGPLSNGATTVMFES TPLYPYPSRYWDLVQRYKITQFYTAPTAIRALMSHGANKLEGYDLSSLRILGSVGEPI NPEAWRWYYEVVGKSQCMIVDTYWQTESGGHLGTGLPGATPMKAGSCGLPFFGVEFTL LNDQGDEVPGNNVEGRLCVKNPWPGLARTVYGDHSRYLNVYMQPHPGYYFTGDGARRD NDGYYWITGRIDDVLCTSGHRIGTAEVESALVAHNVVTEAAVVGVPHRVKGEGICCFV TLIYSVEPSPQVEQELIKQVRHVIGAIASPDVIVFVSGLPKTRSGKIMRRILRKIAHG ESSSIGDVSTLAEPAVVPEIIEKTAKALLGKAL H257_10788 MRRTKDCMELVLPADVALLIFAFLAPRELLTMEMTCRRFQLLVA TNRIWQPLYTSMYPRSHFGLQHGRSTAWKHVFLHKSNQRRAWTKGTARCVLSKAAHAD GINAIAAQKSLGPTGNLFATCSFDHTVKLWRKPSALVSDTAPLSTLSGHQNAVWSLAW GPSPSDLYSASFDGTIRRWDVGTSVNTRVLWANADRLLCMVVEEDNVWTGSLTGHMIQ WSNASSNPVTGRIQCATPCISSLEKRRHLLYVGGVKHLEIWDDRYLQSPVALLKGHDQ AIMAMSLYSDAMVMTVSKDGTLKGWDASGSTPTPILDVRVHNAAVRSIATCDDVVATS SNDSTVHLWQATTNFSSIYSREPPALKQLHALHVHTKQVPSVDLDECHLYTSSCDSTM TVHEYTLP H257_10789 MTDLAHLEGARVMLGYVASFLFAIVMQAVSKFSAMNRHKKDKAD EKSKERFNRYTSDTMLAGDRSVGNFVEWQGAFLVLFWTNIVVAGAKEVWLGWVYVGIR FLYPVLAYLGGVKQGGAQPLILLATVPGYYVLLRYMYLIYMAVY H257_10790 MSSQRGNLKKGAPKYQNSFAFTHNPKSKKTDHILGLPIHGLCPH CHAQIVWRKKYRKYKPLTQPASCTSCHQKTVLSAYHVLCHPCAKEKGVCAKCCKSEDL VLSEQQLAEQKGEDDKDFSEQLEGLKERERRKVLRQKKKEEDEAYALARAARRARLGL DQGGGDDDDDDEDLDDMDLSD H257_10791 MRWNDRLMQLSNQLMDSSDEEIDVIISTVAQKAVRQAAAVDSRS WSGSRRGRQPNIDRNRTRGHQQIVDDYFGENGKPSTYTEGQFRRRFRMNRVLFIRIMD TVAAADTYFVQKPDAFGKLGKHGMTYKLKDNIGLSPLQKCTASIRMLAYGVCADSTDD YCRLGESTAIESMKRFARAVVSEFGPVYLREPNEEDVEKHLNLNESRGFPGMFGSIDC THWEWKNCPVAWQGQYQDRNGKRSMILEAVATQDLWIWHAFLGVPGSTLMFWTFNGIS FDMFYLLADGIYPSHSTFQKSLSSPSTEKEKYYCERHESVRKDVERCFGVLFGRFHIL ANPSQLWSRDHMRVVWLACICLHNMIIKDDNTMEHHPNASEWDNDLERRRPQQPLSFD GYLHQRSAMVDSDAHDLLRQSIIEHLWTERGNM H257_10792 MSLWMNDMENELLSKAWVAAPEDPIKGSGQTSTTFWDTVTHRFN EIKPEGRPQRTARALESKFADIKHAVSKFTGCYTQVQDLQASGTNIDDVEDAARAL H257_10793 MSAMYPTPSLQFIDQKRPGHGLWSTVLTLTASGTWMDPARAPKG LATSQRSWQPRECSECPNVRRRKNVSILVCVNAGNGHVAPFFVLPGANVCKQMIVASL GIDIDVARAMCINASLIQASTEQTSAPKSEDEWVHGGCLMTSEEIATKVAEKETKKME GEAEFKVNKKMLAQKYACSNSACKEETSRTSS H257_10794 MKVGSFEERSKLPLSKAVRLMYAWASRNPVTVAARETEVSKVAA IDIYNFCRGICSNEMLGR H257_10795 MRALRIRGSLRERNYVRTVSLVTYQEDTPLYTMYATRDSPSFIS TVSLTPDAFDILVTQFKKHYVVKSLPYRSGRPPRFQMFRPAGRCSSTRSSSKDTDPAH HSSPLPLDILENSFSITSHKTSG H257_10796 MAENDAHGPPLDQVPADAVRAEVELYDMSDVADFSWHSDLKATG DECTCKRWRCRRRAYDGLGNVEIGVRTEEMPMETGVDWYNYCRELCSMEMLRTPMMFG QARVVRASNEQHTLANNPLLADQGYSHEWVNHTENFVNPVNGGHTQSIEGAWEIRIKR FSKAMRGMHRPHLPSYLDEYLWRSTMRRCNNTC H257_10797 MSSQRENYLRKWLCRVDNEIECDKSYPHSNEGFKVHVQAVHKLE WPRKGRTLYGSMIPAPPLVVTATAPASTPTEPEVSIESDIELVNLVERKESNLVEPSR PTARNRRVAKRAKK H257_10798 MMDSGGGVECLRTTPSHQDTTSSMDYDLDLEIEGTNFADPRIRA KTDDSTMSHTSHLTGNNNMDTPSRSFILHIDDVRVMRKRQLIPSCLFCGRVKVDKLPE WTIQFSQKELLRLHICVNWYLLCHLHRPVWMPWSLILEKRASKRCQDKDLVETYLRTL VLVPKLHECEALLSFLEVSASRLASNLRTLSHKEGYVHMKISGSNQIPLQKFCSHRME KVYRHSYRIFLRIAFVASMLLLMWPFLILAVMAVANPSFVNEVVQSGRIITGKNSSDS LAAIIFAICVLFVLGFIYKFFERRLGTVRRWAALKSNCIAFYKHRCDVEPSEVVLFDT QFQVAQGNYKQGVSWMVNGLTVRNKGGYVEIDCGHYYTRLLSVLALSLSFFIVVSITA SGLHYKPVPLHLFPLATAMSDDTNKSFPLLRLNQANNKEGYDDDERNHPAFCGFAFDQ PIKPALFKRRADGLHIMTLARNSNATFAKAAEYIDNSGFDILTTRMFNEYFAKQANQL IAVVGRVTDAPVLRVPGRLMLPDFDKSTRFFGISGRFDRLGDKLVVNDNATIDASAVL CDFAVDVSSVTLTNFTIMLGALLAGSLVASSLGLLANYLLSFVGLWHAHVRRDEWLDA LHEVPPQPPRHRFLSFAPKRDQAWLKWHVDGEDTYTAMREAILGAKHRIFIAGWWICP DLLLERQDAASRIPLKELLLAKAQEGVVIHVLLYQEVQVAMDLGSYYAMKQLRCHPNI RVLRDPDFQVQSFGFWSHHEKVIVVDFDVAFVGGLDLALGRFDTSEHLLSDPGIDGAT HWWPGKDYSNPIIKDFVRVNDARENLIDRDHVPRMPWHDVHCSMLGPVVQDVAAHFIE RWNFVCSKRDNSLRTDWCVCCRSRRFKYLPKSILPWMPDPTTPPAATLLADGGYSPCS VQVVRSVSSWSAGVPTESSIHTAYCDCIRAAKHFVYIENQFFISGLETDVVILNRVVQ ALVDRIVVAVAQGQVFRVVVFMPLLPGIEGNVRSKQSLTHLHAVMHWQYATIRTNLMG ALAKVTTRPDEYVLFVGLRTYGVMPNGRCVTEQIYIHSKLCIVDDTTVICGSANINDR SMNGDRDSEIAVVVDDSTFTLGTINGRPANQGTLATRLRLQLFQEHLGLDDVSVVADP TSDATWQFLRHRAQANTDLFEQVFRCAPSNKFRSFDCFDSQWHQLDAIYENQRLNPLR ALAAWGADNLLAEGDYAAWTDVNGIPIPMEKVHRDDYVVVPDPLFPLLSSDDQGWYYA RNFKIFQDMRVHRGTTPRVRKRDKFQHFMADRLLAQVRRRKYVKLSSLPVLNGSFIDT VPVLRDHDDKDSFYAKWRQWGSKKKLKPPPPPASLRSSTEYHELYDARSSHPRGILLP QLPLHQLLPPTTSSRRRPHLPSRYSDSELDYPPHPRPVLRLSESDVTPSNTPPHNTTD SLAKEPSRSWLASIQSLEMNLPPIFLRSKLHHDRDDNSSSSSSSSNEYGDEVLSPSPS IAASSPSEAQLGNVHTNAETQEVSAHATLRAIQGHVVMFPLEFLVEETLKPSILPRSL HI H257_10798 MMDSGGGVECLRTTPSHQDTTSSMDYDLDLEIEGTNFADPRIRA KTDDSTMSHTSHLTGNNNMDTPSRSFILHIDDVRVMRKRQLIPSCLFCGRVKVDKLPE WTIQFSQKELLRLHICVNWYLLCHLHRPVWMPWSLILEKRASKRCQDKDLVETYLRTL VLVPKLHECEALLSFLEVSASRLASNLRTLSHKEGYVHMKISGSNQIPLQKFCSHRME KVYRHSYRIFLRIAFVASMLLLMWPFLILAVMAVANPSFVNEVVQSGRIITGKNSSDS LAAIIFAICVLFVLGFIYKFFERRLGTVRRWAALKSNCIAFYKHRCDVEPSEVVLFDT QFQVAQGNYKQGVSWMVNGLTVRNKGGYVEIDCGHYYTRLLSVLALSLSFFIVVSITA SGLHYKPVPLHLFPLATAMSDDTNKSFPLLRLNQANNKEGYDDDERNHPAFCGFAFDQ PIKPALFKRRADGLHIMTLARNSNATFAKAAEYIDNSGFDILTTRMFNEYFAKQANQL IAVVGRVTDAPVLRVPGRLMLPDFDKSTRFFGISGRFDRLGDKLVVNDNATIDASAVL CDFAVDVSSVTLTNFTIMLGALLAGSLVASSLGLLANYLLSFVGLWHAHVRRDEWLDA LHEVPPQPPRHRFLSFAPKRDQAWLKWHVDGEDTYTAMREAILGAKHRIFIAGWWICP DLLLERQDAASRIPLKELLLAKAQEGVVIHVLLYQEVQVAMDLGSYYAMKQLRCHPNI RVLRDPDFQVQSFGFWSHHEKVIVVDFDVAFVGGLDLALGRFDTSEHLLSDPGIDGAT HWWPGKDYSNPIIKDFVRVNDARENLIDRDHVPRMPWHDVHCSMLGPVVQDVAAHFIE RWNFVCSKRDNSLRTDWCVCCRSRRFKYLPKSILPWMPDPTTPPAATLLADGGYSPCS VQVVRSVSSWSAGVPTESSIHTAYCDCIRAAKHFVYIENQFFISGLETDVVILNRVVQ ALVDRIVVAVAQGQVFRVVVFMPLLPGIEGNVRSKQSLTHLHAVMHWQYATIRTNLMG ALAKVTTRPDEYVLFVGLRTYGVMPNGRCVTEQIYIHSKLCIVDDTTVICGSANINDR SMNGDRDSEIAVVVDDSTVSQHVDLTWVTMGVYILGL H257_10799 MMSSKPPPLAPAASASEELARLRVENALLKSQLAIERQTTARLH EELQDAQRQRPVSPLDIRRLKQQVAATKKELARATTKLPRNLCERRILRDYRMYLCTN PPHDVLELCAKALRGNLKPGMFVYDLLVTQLQFFASSSSSAFPGRARTTFPPRIVRWC ESVYMSYGRRAYKLLSGEANASSVGDKRSPSSWSGHMILPSLRMLQRRLAAFRAEQGL SADIGSPNYMSNRDLLKRLAPPVDTTTPATTKEQLGWVISLLESYKQATTPTAAMHAD VLDAIEFLTSFNHPEVPKLLQLPPLATDGAQWLSYCEAILGICAAVVATIA H257_10800 MKRPPATPKRPMQEDTNASDEEASVEASQEDTFGNEDESPKDEE CEMLTREELDAMMDDDEGEATTKPSATLSRGLIHRMRSRSAGQLLLPRRSRSLYSRSS SSTADYSSHLRALSNDPKKAAPSPTLPPRKDRSLGKKGIRKMSSRWDDVRTQVKGNPV GGRDPLVVNDGEDTLATVAAEPAPVPSPISSKTMADVAKQVIYSKRASSHLKLNVNVT PGHAAINGLYLEKKKLDLRILSAQFKEVKRSEFYEELVVYCLFLLLFFQLLSGLPFHG SFQQSDVTVDLTCSVPDACSIQSPDDIYTFAAHLKGRICSDSTHPKAPSFQPWTRVGG LFMRQIRVRAEPCQFAFIFGDTDDPPTTDCFPALSGDNQDTAPIVGRSRNYSWSDDLA PWIPGHNSWYASHTKSFGTGGYVLDLCDTDDDLVADAWMDVATRAVSLEFVVVNPSTH VFTVASHEFMIHPSGHMKYFGQSANLRVMGVEGTSSSSNNSSMWWALDVRVHLWLGVC AFVLTYFRSELAEVHKMGLTSYLTLHGWNLFELAHLLLLVSTLYYTYVYYTQSWWTRE AMVAVPPDAHAVHIRMLQLLDTFLQLSDFAALSSAFSLLKIFKFLRMNSTLNLLWQVL GMALKDLMGYMVIFLLIFLSYSTMGSFAFGFDLEEFSSVSTSFATCFHMLAGDLSYDR LQQANPRLAPVFFVTFVVLVLQVMVNMFVAILSEFYESAKDVGNDEDDVEYDIITRLR EFLGACAPTVDLTNTGTILLCPHQTVRLISTNLVDKELTRHRAKKLFRGVVWRIIALL RFGMKFDQKLVFAKTSTRNHDTNRTTRYIPLSENFDHSTIKTMLPKGITIRLEGDAIL GQQTLLRVVRHGALSVECVVLPSEHHEDVMELVGGEKLKLPWKLFVFHVVKLIFRELR HGILRATKWWDPYESKIVDDYHLYQLLTDERRRGKATLRFDELNRLLDLYFRKEKRGR EYSQDEVRHEAALVMYRFRNSLIDMPSREKEGHDYVPNPVDTSAVELSASLLALGDLL AENCHDIWAIERLEQGWTWGPRRDDKLKHHPNLIPYKEMSKEEQKFDFRTSMETIKTI VAMKYGVARGRRGPDGILRTLTHSNSATSDKGTGGHSPKATAAVGRSFSFMDVSHSIP YGRNGQTYTPQPVDTSKVVFPASLRRLMDLLAENAHEVWSKGRMDEGWTYGQVRDDKL KKHVCLVPYVFLTEAEKDFDVKTAEATLKMLYALGYLIVDSNGHSLV H257_10800 MTLPRLTAFPRCRATTRTRRRSSGGRATTRGPTIWRRGSQGTTR GTQATPRASARADAWMDVATRAVSLEFVVVNPSTHVFTVASHEFMIHPSGHMKYFGQS ANLRVMGVEGTSSSSNNSSMWWALDVRVHLWLGVCAFVLTYFRSELAEVHKMGLTSYL TLHGWNLFELAHLLLLVSTLYYTYVYYTQSWWTREAMVAVPPDAHAVHIRMLQLLDTF LQLSDFAALSSAFSLLKIFKFLRMNSTLNLLWQVLGMALKDLMGYMVIFLLIFLSYST MGSFAFGFDLEEFSSVSTSFATCFHMLAGDLSYDRLQQANPRLAPVFFVTFVVLVLQV MVNMFVAILSEFYESAKDVGNDEDDVEYDIITRLREFLGACAPTVDLTNTGTILLCPH QTVRLISTNLVDKELTRHRAKKLFRGVVWRIIALLRFGMKFDQKLVFAKTSTRNHDTN RTTRYIPLSENFDHSTIKTMLPKGITIRLEGDAILGQQTLLRVVRHGALSVECVVLPS EHHEDVMELVGGEKLKLPWKLFVFHVVKLIFRELRHGILRATKWWDPYESKIVDDYHL YQLLTDERRRGKATLRFDELNRLLDLYFRKEKRGREYSQDEVRHEAALVMYRFRNSLI DMPSREKEGHDYVPNPVDTSAVELSASLLALGDLLAENCHDIWAIERLEQGWTWGPRR DDKLKHHPNLIPYKEMSKEEQKFDFRTSMETIKTIVAMKYGVARGRRGPDGILRTLTH SNSATSDKGTGGHSPKATAAVGRSFSFMDVSHSIPYGRNGQTYTPQPVDTSKVVFPAS LRRLMDLLAENAHEVWSKGRMDEGWTYGQVRDDKLKKHVCLVPYVFLTEAEKDFDVKT AEATLKMLYALGYLIVDSNGHSLV H257_10801 MAILTKFMHNMSVLVEAATVVCLREGASTGTWEVLLGQSEVKNW LRSTPEATVLMRYPGEWKFPGGSRDSGDVTLQHTAMRELREEFLGLDPPPLSKLHWVS TRTTLPIQGKRFQMHNFVALAMENAWLMDDGLDVRVNRRLEDKRRSFAAALEDRSFWD MAVAAKEALSPEVRAVQWFPIDSAIDLMTPGLMQHVNAFQASEFASYGITSRDPMYQS MKTLAHLSTLSWQDIVQLDTKHQPTSKV H257_10802 MSHLDQLKQLTTVVADTGDFASIAKYQPEDATTNPSLLFKAAQM PQYADLVTEAVAYGKGLTGVTEDERLGHIIDQLSVNFGRKILEIVPGYVSTEVDARLS FDTDATIDRAHRIIGMYKAAGIGKERILVKIASTWEGIQACKKLQEEGIQCNMTLLFG FPQAVACAEAHATLISPFVGRIMDWYKAKTGKTYSAVEDPGVQSVTRIYNHYKKHGYK TIVMGASFRNTGEVLELAGCDRLTISPNLLEELANATGPVAKRLDAEGAALNYNHPKV TFTEKEYRFAMNEDAMATEKLSEGIRGFVADIIKLEDILKAKLQ H257_10804 MRIPSLSCISIVTTCEQCDDVEVLVAMASTSSTVQTAAVKPQAE APRPGEKFRKWLCSEKSSTITRPPTPRPNLHTGKSVWFRQVHMSLYDDNRDTLWHCLT TLFSPPPTLLQRKAEAFVTSRLRHYNAVLFVETATETFGCVVADVLRRARRTSHCIVN LDEVTDPALLREIRAALAAKSGTKLPSCPTTSWMSFSVGYWTLPVLFLNGRVVPQVGA IRDA H257_10804 MRIPSLSCISIVTTCEQCDDVEVLVAMASTSSTVQTAAVKPQAE APRPGEKFRKWLCSEKSSTITRPPTPRPNLHTGKSVWFRQVHMSLYDDNRDTLWHCLT TLFSPPPTLLQRKAEAFVTSRLRHYNAVLFVETATETFGCVVADVLRRARRTSHCIVN LDEVTDPALLREIRAALAAKSGYWTLPVLFLNGRVVPQVGAIRDA H257_10805 MGFFSTWRKEKEDVNTMSLVRMERLYDEMQKRKNGDVVHSADRT ASFLHSSSRGGRGGGGVATPLVDVHTTTGKSTTTSSAPSPQELTAHTRELDATLFYRV SCRFLDPTLEQLYQEYCQVEWYVRARNTVMVMMMLHIVFFIVTSMKSTTAEDLLPKDF KRPEEWVQWAYFFAAVPFVAWVDEDSPFRRRWKIAVTLILLTFICGTQMWLGYQGSAS TAEFRKLLLVDLTSSPSPLCNRTAYDDLHLGQINKNLLSAFVALAAIFLILIGSTLAV VIRLDFPQVVLLLAASSAALVAVLHLYALSMQAVIVVSYASPGFLLCMACYHSDRSAR RSFLAKIHVEKENADLQFTLNKAEKALLNDPACDAETHAVRQVLKSKHLEMIQIPFAD LKFEKIIGRGASGEVIKASYLGTSVVCKRMRRDAISLPSIQRFREEIELMSCLRHPNI VQFIGASWDNCSNVCMVLEYMEHGDMHSVLHSAIGRSFVWSDPLLKMAVGAVSGMLYL HSQEPPVVHRDLKSVNLLCSATFGCKVSDFGLSRRYKKDIDALTTVVGTPFWLAPEVI RNEKYGISADIYSFGIVLTELETRQTPYHDIDQTGLKVMLRVAKDGLRPSLPSSCLPR RRRLIQDCLLDPPRSRPTFLEVLHRLQGEVREEIEADAEKLAIDRRALLRTKAIKREQ SVRRLDQL H257_10805 MGFFSTWRKEKEDVNTMSLVRMERLYDEMQKRKNGDVVHSADRT ASFLHSSSRGGRGGGGVATPLVDVHTTTGKSTTTSSAPSPQELTAHTRELDATLFYRV SCRFLDPTLEQLYQEYCQVEWYVRARNTVMVMMMLHIVFFIVTSMKSTTAEDLLPKDF KRPEEWVQWAYFFAAVPFVAWVDEDSPFRRRWKIAVTLILLTFICGTQMWLGYQGSAS TAEFRKLLLVDLTSSPSPLCNRTAYDDLHLGQINKNLLSAFVALAAIFLILIGSTLAV VIRLDFPQVVLLLAASSAALVAVLHLYALSMQAVIVVSYASPGFLLCMACYHSDRSAR RSFLAKIHVEKENADLQFTLNKAEKALLNDPACDAETHAVRQVLKSKHLEMIQIPFAD LKFEKIIGRGASGEVIKASYLGTSVVCKRMRRDAISLPSIQRFREEIELMSCLRHPNI VQFIGASWDNCSNVCMVLEYMEHGDMHSVLHSAIGRSFVWSDPLLKMAVGAVSGMLYL HSQGPPIRIWASSMFTW H257_10806 MSTCPVVLFHGDFAFRNMLVDNGEVVGILDWEWCGTMPLWKEWG DVSFGDRHVYEYVPSFKYSTPIQHVAALGEHAGSVFPWQMGCWLKKGAQYTNEAEQSL RDCCCPRRRRYTPSLKKGARRAAPQ H257_10807 MLPFSNISIADMTQRLAQALRNVSFNQTMLRVKDPKKSVAFYER HFQLTLAHQSHFPDFSLYFLVSLPTSTLPDNLKNAKYINDGEYGVLLELTHNHGTESN PSFRYHPGNTAPLGFGQLGFAVPAGALPATKSSLEAQGHDVDAFDTTLLTHDPDGYEI QVTERSSSNRVDAVSFHHTTLRVKDAKASLEFYQTIAGFSLLNTQRNEKEKYTSYFLG TTTTDALSDEDLRNQLGTVLELRHFDGTELLGDDFKYSHGNADPHRGFGHVAIMVEDV YESSAVWEELGIKFQKKPDAGRIKGLAFILDPDGYWVEVLGRGAHVEGM H257_10808 MADKCFFNGCANECAMGTWKCEFHKNRVRCRGDDCRNQVYARYL CVRHGGKKKCQYPNCTGNARVGTYCCRHGATSKKKHCMMDGCTKVAHARRLCVGHGGG RLCKVEGCTAHARHAGCCRKHGRDIALDTSPVGVPMVKEEILPLPLLSLYDDDTTPLK SLMDQPFNYTMGLGDFSPIDVLDFDIAHADLDGLFDSAVFDCQWYL H257_10809 MAVPAAFAFMRGVAYLTATFVAVASLSSVKRATIEPNKFIQMNH TADEASSTHPPTTITVPVQGDDDQPFDQDKYLEDLGFPSEEELDMLFHDAMTAEEEAN VETPPPVLIVQAVGNTSAITSISLVAITIVGLLWIS H257_10810 MASETSVRVCVRVRPLIPKERLEDEHLAVETFDSQIKVASQTFT FDHVFGLDSGQEDIWPCVTPLVDSVFEGYNATIFAYGQTGSGKTFTMGSGNSAFVSNP DERGIIPRVLQEMFARIAAKTADGRGYRTELKLRFLEIYGEEIRDLLSQFGNGTLDTS SKVNLKEIQNGQVQVQGAREEDVHSADECIRLLDKGTYCRTTGATEMNSESSRSHAIL TLTMVQYIPYDTLVAKDGDENAAPEYDVRCCYFNFVDLAGSEKQKMTKAEGQRLKEGI DINKGLFVLGCVINALGDDTKRGRVHVPYRDSKLTRMLQDSLGGNSRTLMVCCVSPAG KNVAETKSSLSYANRARNIQNKAVVNRDEQSSIVAELRQQIQSLEGELFAFRHPGADM SDPQVQAAVATSDWRLDSFSSLRRRTEGAESEVMRLTGELKRWRTEMDAMKEELLATQ AQRDYFRLCGEELKQQHGGGVAGSAEMGVIQEHLRTIQDLQDRLRQAETDRDKAHAHS ASSGTLDFASFGLFSADAVQDEQRLIEKAEQEIKRENELLKQLQSNAVAMPPPAPAST SRTTGTVPSMALSSAGPSAADDDEPVGDDMDDTVDPPDEDDTLEASAEASSEMAELQR EFQQRQQVLGATVQDLSNNISLKEQMLQTLRRNAEGYERMRGVFEARVIEMADKERSY MAERDQLTAELDKMQHQTSDPRYQRLSSELQNKDGELGALRKKQAEMKRFETIKQKSD VQLRVLTNEITAMKKQKVDLLKKMQGDRKKYELEASERKREILTLKRAHLKDKQQILK LGSEKNAQERVLKRRVEEVAAANRRLKQQQLLLSANAKKKPLKRFKSKDEEWLADQIQ KLSDKQKKSELLEKELDKREKIVQQMERLHGMRSKLQSELKASMDERNGSNIRDILIS PFKQHLEASTSQQTATTAALSKDEEAMLAELEDRIEACQTQLEYKNERISEMTTGQGD NDQGDPMVKVENSSLPEARTLLKLLFKMAVEVKSQEQSKEAELERAALRVDDLQKHLR MERERNSVMRQTYEEKLQKMIADAMTEPDDGLKLVRSAVEERNAILRKRCEELELHQL DWQRQTQQLVQRNAKYQQSMGTCRERIKWLETQLNATKRGDAGNDEADDEDHPVMDDG DDELFGDTMSVGSASSDSTVLTTTHGPSSTNGGADGSTSSIFNRLANPNNFTGIHRHR LQENAMIKREEIKSKGQQLRSRRLKDRNVQAAPLSSSKLRQPNASFQSPRARTPRPRT ASEDFGTYPRSSAVLDVLATMKRGNEGDGGLDELELDEGDHSDTMSEGGYGMDSSPRG GFRGKKEVDTMSEGSPRASSGGRKKDVFSRLNGQYTASAQSKRQSYLGGSDKRPNRDK HDDSPVPDEGSRMMPSAAAGTKVDPLTGQERFVVQVMNDLSIKTTNIDSR H257_10810 MASETSVRVCVRVRPLIPKERLEDEHLAVETFDSQIKVASQTFT FDHVFGLDSGQEDIWPCVTPLVDSVFEGYNATIFAYGQTGSGKTFTMGSGNSAFVSNP DERGIIPRVLQEMFARIAAKTADGRGYRTELKLRFLEIYGEEIRDLLSQFGNGTLDTS SKVNLKEIQNGQVQVQGAREEDVHSADECIRLLDKGTYCRTTGATEMNSESSRSHAIL TLTMVQYIPYDTLVAKDGDENAAPEYDVRCCYFNFVDLAGSEKQKMTKAEGQRLKEGI DINKGLFVLGCVINALGDDTKRGRVHVPYRDSKLTRMLQDSLGGNSRTLMVCCVSPAG KNVAETKSSLSYANRARNIQNKAVVNRDEQSSIVAELRQQIQSLEGELFAFRHPGADM SDPQVQAAVATSDWRLDSFSSLRRRTEGAESEVMRLTGELKRWRTEMDAMKEELLATQ AQRDYFRLCGEELKQQHGGGVAGSAEMGVIQEHLRTIQDLQDRLRQAETDRDKAHAHS ASSGTLDFASFGLFSADAVQDEQRLIEKAEQEIKRENELLKQLQSNAVAMPPPAPAST SRTTGTVPSMALSSAGPSAADDDEPVGDDMDDTVDPPDEDDTLEASAEASSEMAELQR EFQQRQQVLGATVQDLSNNISLKEQMLQTLRRNAEGYERMRGVFEARVIEMADKERSY MAERDQLTAELDKMQHQTSDPRYQRLSSELQNKDGELGALRKKQAEMKRFETIKQKSD VQLRVLTNEITAMKKQKVDLLKKMQGDRKKYELEASERKREILTLKRAHLKDKQQILK LGSEKNAQERVLKRRVEEVAAANRRLKQQQLLLSANAKKKPLKRFKSKDEEWLADQIQ KLSDKQKKSELLEKELDKREKIVQQMERLHGMRSKLQSELKASMDERNGSNIRDILIS PFKQHLEASTSQQTATTAALSKDEEAMLAELEDRIEACQTQLEYKNERISEMTTGQGD NDQGDPMVKVENSSLPEARTLLKLLFKMAVEVKSQEQSKEAELERAALRVDDLQKHLR MERERNSVMRQTYEEKLQKMIADAMTEPDDGLKLVRSAVEERNAILRKRCEELELHQL DWQRQTQQLVQRNAKYQQSMGTCRERIKWLETQLNATKRGDAGNDEADDEDHPVMDDG DDELFGDTMSVGSASSDSTVLTTTHGPSSTNGGADGSTSSIFNRLANPNNFTGIHRHR LQENAMIKREEIKSKGQQLRSRRLKDRNVQAAPLSSSKLRQPNASFQSPRARTPRPRT ASEDFGTYPRSSAVLDVLATMKRGNEGDGGLDELELDEGDHSDTMSEGGYGMDSSPRG GFRGKKEVDTMSEGSPRASSGGRKKDVFSRLNGQYTASAQSKRQVHTGLL H257_10810 MASETSVRVCVRVRPLIPKERLEDEHLAVETFDSQIKVASQTFT FDHVFGLDSGQEDIWPCVTPLVDSVFEGYNATIFAYGQTGSGKTFTMGSGNSAFVSNP DERGIIPRVLQEMFARIAAKTADGRGYRTELKLRFLEIYGEEIRDLLSQFGNGTLDTS SKVNLKEIQNGQVQVQGAREEDVHSADECIRLLDKGTYCRTTGATEMNSESSRSHAIL TLTMVQYIPYDTLVAKDGDENAAPEYDVRCCYFNFVDLAGSEKQKMTKAEGQRLKEGI DINKGLFVLGCVINALGDDTKRGRVHVPYRDSKLTRMLQDSLGGNSRTLMVCCVSPAG KNVAETKSSLSYANRARNIQNKAVVNRDEQSSIVAELRQQIQSLEGELFAFRHPGADM SDPQVQAAVATSDWRLDSFSSLRRRTEGAESEVMRLTGELKRWRTEMDAMKEELLATQ AQRDYFRLCGEELKQQHGGGVAGSAEMGVIQEHLRTIQDLQDRLRQAETDRDKAHAHS ASSGTLDFASFGLFSADAVQDEQRLIEKAEQEIKRENELLKQLQSNAVAMPPPAPAST SRTTGTVPSMALSSAGPSAADDDEPVGDDMDDTVDPPDEDDTLEASAEASSEMAELQR EFQQRQQVLGATVQDLSNNISLKEQMLQTLRRNAEGYERMRGVFEARVIEMADKERSY MAERDQLTAELDKMQHQTSDPRYQRLSSELQNKDGELGALRKKQAEMKRFETIKQKSD VQLRVLTNEITAMKKQKVDLLKKMQGDRKKYELEASERKREILTLKRAHLKDKQQILK LGSEKNAQERVLKRRVEEVAAANRRLKQQQLLLSANAKKKPLKRFKSKDEEWLADQIQ KLSDKQKKSELLEKELDKREKIVQQMERLHGMRSKLQSELKASMDERNGSNIRDILIS PFKQHLEASTSQQTATTAALSKDEEAMLAELEDRIEACQTQLEYKNERISEMTTGQGD NDQGDPMVKVENSSLPEARTLLKLLFKMAVEVKSQEQSKEAELERAALRVDDLQKHLR MERERNSVMRQTYEEKLQKMIADAMTEPDDGLKLVRSAVEERNAILRKRCEELELHQL DWQRQTQQLVQRNAKYQQSMGTCRERIKWLETQLNATKRGDAGNDEADDEDHPVMDDG DDELFGDTMSVGSASSDSTVLTTTHGPSSTNGGADGSTSSIFNRLANPNNFTGIHRHR LQENAMIKREEIKSKGQQLRSRRLKDRNVQAAPLSSSKLRQPNASFQSPRARTPRPRT ASEDFGTYPRSSAVLDVLATMKRVHL H257_10810 MASETSVRVCVRVRPLIPKERLEDEHLAVETFDSQIKVASQTFT FDHVFGLDSGQEDIWPCVTPLVDSVFEGYNATIFAYGQTGSGKTFTMGSGNSAFVSNP DERGIIPRVLQEMFARIAAKTADGRGYRTELKLRFLEIYGEEIRDLLSQFGNGTLDTS SKVNLKEIQNGQVQVQGAREEDVHSADECIRLLDKGTYCRTTGATEMNSESSRSHAIL TLTMVQYIPYDTLVAKDGDENAAPEYDVRCCYFNFVDLAGSEKQKMTKAEGQRLKEGI DINKGLFVLGCVINALGDDTKRGRVHVPYRDSKLTRMLQDSLGGNSRTLMVCCVSPAG KNVAETKSSLSYANRARNIQNKAVVNRDEQSSIVAELRQQIQSLEGELFAFRHPGADM SDPQVQAAVATSDWRLDSFSSLRRRTEGAESEVMRLTGELKRWRTEMDAMKEELLATQ AQRDYFRLCGEELKQQHGGGVAGSAEMGVIQEHLRTIQDLQDRLRQAETDRDKAHAHS ASSGTLDFASFGLFSADAVQDEQRLIEKAEQEIKRENELLKQLQSNAVAMPPPAPAST SRTTGTVPSMALSSAGPSAADDDEPVGDDMDDTVDPPDEDDTLEASAEASSEMAELQR EFQQRQQVLGATVQDLSNNISLKEQMLQTLRRNAEGYERMRGVFEARVIEMADKERSY MAERDQLTAELDKMQHQTSDPRYQRLSSELQNKDGELGALRKKQAEMKRFETIKQKSD VQLRVLTNEITAMKKQKVDLLKKMQGDRKKYELEASERKREILTLKRAHLKDKQQILK LGSEKNAQERVLKRRVEEVAAANRRLKQQQLLLSANAKKKPLKRFKSKDEEWLADQIQ KLSDKQKKSELLEKELDKREKIVQQMERLHGMRSKLQSELKASMDERNGSNIRDILIS PFKQHLEASTSQQTATTAALSKDEEAMLAELEDRIEACQTQLEYKNERISEMTTGQGD NDQGDPMVKVENSSLPEARTLLKLLFKMAVEVKSQEQSKEAELERAALRVDDLQKHLR MERERNSVMRQTYEEKLQKMIADAMTEPDDGLKLVRSAVEERNAILRKRCEELELHQL DWQRQTQQLVQRNAKYQQSMGTCRERIKWLETQLNATKRGDAGNDEADDEDHPVMDDG DDELFGDTMSVGSASSDSTVLTTTHGPSSTNGGADGSTSSIFNRLANPNNFTGIHRHR LQENAMIKREEIKSKGQQLRSRRLKDRNVQAAPLSSSKLRQPNASFQSPRARTPRPRT ASEDFGTYPRSSAVLDVLATMKRVHL H257_10810 MVCCVSPAGKNVAETKSSLSYANRARNIQNKAVVNRDEQSSIVA ELRQQIQSLEGELFAFRHPGADMSDPQVQAAVATSDWRLDSFSSLRRRTEGAESEVMR LTGELKRWRTEMDAMKEELLATQAQRDYFRLCGEELKQQHGGGVAGSAEMGVIQEHLR TIQDLQDRLRQAETDRDKAHAHSASSGTLDFASFGLFSADAVQDEQRLIEKAEQEIKR ENELLKQLQSNAVAMPPPAPASTSRTTGTVPSMALSSAGPSAADDDEPVGDDMDDTVD PPDEDDTLEASAEASSEMAELQREFQQRQQVLGATVQDLSNNISLKEQMLQTLRRNAE GYERMRGVFEARVIEMADKERSYMAERDQLTAELDKMQHQTSDPRYQRLSSELQNKDG ELGALRKKQAEMKRFETIKQKSDVQLRVLTNEITAMKKQKVDLLKKMQGDRKKYELEA SERKREILTLKRAHLKDKQQILKLGSEKNAQERVLKRRVEEVAAANRRLKQQQLLLSA NAKKKPLKRFKSKDEEWLADQIQKLSDKQKKSELLEKELDKREKIVQQMERLHGMRSK LQSELKASMDERNGSNIRDILISPFKQHLEASTSQQTATTAALSKDEEAMLAELEDRI EACQTQLEYKNERISEMTTGQGDNDQGDPMVKVENSSLPEARTLLKLLFKMAVEVKSQ EQSKEAELERAALRVDDLQKHLRMERERNSVMRQTYEEKLQKMIADAMTEPDDGLKLV RSAVEERNAILRKRCEELELHQLDWQRQTQQLVQRNAKYQQSMGTCRERIKWLETQLN ATKRGDAGNDEADDEDHPVMDDGDDELFGDTMSVGSASSDSTVLTTTHGPSSTNGGAD GSTSSIFNRLANPNNFTGIHRHRLQENAMIKREEIKSKGQQLRSRRLKDRNVQAAPLS SSKLRQPNASFQSPRARTPRPRTASEDFGTYPRSSAVLDVLATMKRGNEGDGGLDELE LDEGDHSDTMSEGGYGMDSSPRGGFRGKKEVDTMSEGSPRASSGGRKKDVFSRLNGQY TASAQSKRQSYLGGSDKRPNRDKHDDSPVPDEGSRMMPSAAAGTKVDPLTGQERFVVQ VMNDLSIKTTNIDSR H257_10810 MVCCVSPAGKNVAETKSSLSYANRARNIQNKAVVNRDEQSSIVA ELRQQIQSLEGELFAFRHPGADMSDPQVQAAVATSDWRLDSFSSLRRRTEGAESEVMR LTGELKRWRTEMDAMKEELLATQAQRDYFRLCGEELKQQHGGGVAGSAEMGVIQEHLR TIQDLQDRLRQAETDRDKAHAHSASSGTLDFASFGLFSADAVQDEQRLIEKAEQEIKR ENELLKQLQSNAVAMPPPAPASTSRTTGTVPSMALSSAGPSAADDDEPVGDDMDDTVD PPDEDDTLEASAEASSEMAELQREFQQRQQVLGATVQDLSNNISLKEQMLQTLRRNAE GYERMRGVFEARVIEMADKERSYMAERDQLTAELDKMQHQTSDPRYQRLSSELQNKDG ELGALRKKQAEMKRFETIKQKSDVQLRVLTNEITAMKKQKVDLLKKMQGDRKKYELEA SERKREILTLKRAHLKDKQQILKLGSEKNAQERVLKRRVEEVAAANRRLKQQQLLLSA NAKKKPLKRFKSKDEEWLADQIQKLSDKQKKSELLEKELDKREKIVQQMERLHGMRSK LQSELKASMDERNGSNIRDILISPFKQHLEASTSQQTATTAALSKDEEAMLAELEDRI EACQTQLEYKNERISEMTTGQGDNDQGDPMVKVENSSLPEARTLLKLLFKMAVEVKSQ EQSKEAELERAALRVDDLQKHLRMERERNSVMRQTYEEKLQKMIADAMTEPDDGLKLV RSAVEERNAILRKRCEELELHQLDWQRQTQQLVQRNAKYQQSMGTCRERIKWLETQLN ATKRGDAGNDEADDEDHPVMDDGDDELFGDTMSVGSASSDSTVLTTTHGPSSTNGGAD GSTSSIFNRLANPNNFTGIHRHRLQENAMIKREEIKSKGQQLRSRRLKDRNVQAAPLS SSKLRQPNASFQSPRARTPRPRTASEDFGTYPRSSAVLDVLATMKRGNEGDGGLDELE LDEGDHSDTMSEGGYGMDSSPRGGFRGKKEVDTMSEGSPRASSGGRKKDVFSRLNGQY TASAQSKRQVHTGLL H257_10811 MASYLNLMPTPRIPRSDANRLKGKQLALAFFTQKAPGSSTWVCR CGKERIQNGSGYTNMCSHITQCHPEHLELYAGGEHNELILRYATIPKKSKTIFDWLKW VTELLLPFSFVANQIVRRYTNLDPISRTTFMKYLGLCTSVVEQNIREKLPDAFALVFD GWSHGSTHYVAVFATFPSDHKLEVIRASGNNNYRIPHVRKAALSRQGVLPEVVVAEKD VIESRLRLLLSATDVEAKVIELSVEVANWLEMGDICSQLEQLVVVNEDDEIDMLELLQ LNIEE H257_10812 MFVTETSGGLPKLPQSAFSDVFLFNPTRDVQYARVLAKAEKALS LALKAMPDAAICWPTFDQQVVWAQATEAREPLVTGVFAFVDGKNLPVREPSSSDLQNA HHQGKCNFVFIRHLAPRHLVPIVPFGLDDNLHNTLESLAVTLATPLAAFVACPRLKIR QPVVGLTPYVEQTASMVSRLSLPFMDFSSRS H257_10813 MEAAAGNPESAHSATSATYDSVGAKTATKRSALNWGDEDSTWVA KVAQLFNANQSVVFARDWEACKRKFTFLKAHKKPTGDPNCPPLVARAKRLQRELDCRA VVETMDDNGEISQHEGVGDDEIRMTGPDAIAHHGPYMPTSTVSSVEQTAMNDDDVSNV FAHDEEGCDGDEESPVTKRPRRLNPIQAEPPNRSGLSHDTLRLLGKRLIVDKFPNPPP SLSSSASSMSSVPKNGKKSGTHGSNNGKMNVNACAMNGKNETINVKLFEKSARSAYVV KSMNAIKNNDVRTARTESAKNNFKWL H257_10814 MEDDYVVQKRMQQREYAKQLEAQMEEHHRKYRRGRNAGQSPLKL PQLAIVPGGTTPLTTPTKAATSTSSPNRLVSFRSDDAQPETSDSGDLRTVVRQLQTDF AQHVHLVHQLTTTVNEVNRMIESVRVLIYMDTRIILFQKHNAVVQRLLDLIQSPMMPS SATIATTMDIPSTLLAVPTLAQHATRLDALEKAVVVATSNALLREDFDAMATVLIENK SQVLAHVTALGERVRAVEATIATQCPTWSHVQEMHAKVTDAMAQLRVDVERTMVVKLR EVLDHRDQLQAETHASHSVLSQLSSNVDIRRQEATGVRVAALEASLVDEHRARMDLEV AVQNKMEQVVTATEAAMLKVDTWQRVEQARWGQWTAQHLAANEAQWSYAQSKWTDWHD QLSRRMEEVATASAEQRTQVQQHLANMDKVVAAEIRKRASDIAAVQAAGVDTCERLVR QWKRESQEQLRPLMAQVSTLETRTAAAQDEARQYRDTEQRLRDDVHVFTLKHQDAIAI VRVDAECQGRALACEMKALQRDAEGMARRLVALETERQVRRQNDGT H257_10814 MEDDYVVQKRMQQREYAKQLEAQMEEHHRKYRRGRNAGQSPLKL PQLAIVPGGTTPLTTPTKAATSTSSPNRLVSFRSDDAQPETSDSGDLRTVVRQLQTDF AQHVHLVHQLTTTVNEVNRMIESVRVLIYMDTRIILFQKHNAVVQRLLDLIQSPMMPS SATIATTMDIPSTLLAVPTLAQHATRLDALEKAVVVATSNALLREDFDAMATVLIENK SQVLAHVTALGERVRAVEATIATQCPTWSHVQEMHAKVTDAMAQLRVDVERTMVVKLR EVLDHRDQLQAETHASHSVLSQLSSNVDIRRQEATGVRVAALEASLDEHRARMDLEVA VQNKMEQVVTATEAAMLKVDTWQRVEQARWGQWTAQHLAANEAQWSYAQSKWTDWHDQ LSRRMEEVATASAEQRTQVQQHLANMDKVVAAEIRKRASDIAAVQAAGVDTCERLVRQ WKRESQEQLRPLMAQVSTLETRTAAAQDEARQYRDTEQRLRDDVHVFTLKHQDAIAIV RVDAECQGRALACEMKALQRDAEGMARRLVALETERQVRRQNDGT H257_10814 MEDDYVVQKRMQQREYAKQLEAQMEEHHRKYRRGRNAGQSPLKL PQLAIVPGGTTPLTTPTKAATSTSSPNRLVSFRSDDAQPETSDSGDLRTVVRQLQTDF AQHVHLVHQLTTTVNEKHNAVVQRLLDLIQSPMMPSSATIATTMDIPSTLLAVPTLAQ HATRLDALEKAVVVATSNALLREDFDAMATVLIENKSQVLAHVTALGERVRAVEATIA TQCPTWSHVQEMHAKVTDAMAQLRVDVERTMVVKLREVLDHRDQLQAETHASHSVLSQ LSSNVDIRRQEATGVRVAALEASLVDEHRARMDLEVAVQNKMEQVVTATEAAMLKVDT WQRVEQARWGQWTAQHLAANEAQWSYAQSKWTDWHDQLSRRMEEVATASAEQRTQVQQ HLANMDKVVAAEIRKRASDIAAVQAAGVDTCERLVRQWKRESQEQLRPLMAQVSTLET RTAAAQDEARQYRDTEQRLRDDVHVFTLKHQDAIAIVRVDAECQGRALACEMKALQRD AEGMARRLVALETERQVRRQNDGT H257_10814 MEDDYVVQKRMQQREYAKQLEAQMEEHHRKYRRGRNAGQSPLKL PQLAIVPGGTTPLTTPTKAATSTSSPNRLVSFRSDDAQPETSDSGDLRTVVRQLQTDF AQHVHLVHQLTTTVNEKHNAVVQRLLDLIQSPMMPSSATIATTMDIPSTLLAVPTLAQ HATRLDALEKAVVVATSNALLREDFDAMATVLIENKSQVLAHVTALGERVRAVEATIA TQCPTWSHVQEMHAKVTDAMAQLRVDVERTMVVKLREVLDHRDQLQAETHASHSVLSQ LSSNVDIRRQEATGVRVAALEASLDEHRARMDLEVAVQNKMEQVVTATEAAMLKVDTW QRVEQARWGQWTAQHLAANEAQWSYAQSKWTDWHDQLSRRMEEVATASAEQRTQVQQH LANMDKVVAAEIRKRASDIAAVQAAGVDTCERLVRQWKRESQEQLRPLMAQVSTLETR TAAAQDEARQYRDTEQRLRDDVHVFTLKHQDAIAIVRVDAECQGRALACEMKALQRDA EGMARRLVALETERQVRRQNDGT H257_10814 MEDDYVVQKRMQQREYAKQLEAQMEEHHRKYRRGRNAGQSPLKL PQLAIVPGGTTPLTTPTKAATSTSSPNRLVSFRSDDAQPETSDSGDLRTVVRQLQTDF AQHVHLVHQLTTTVNEVNRMIESVRVLIYMDTRIILFQKHNAVVQRLLDLIQSPMMPS SATIATTMDIPSTLLAVPTLAQHATRLDALEKAVVVATSNALLREDFDAMATVLIENK SQVLAHVTALGERVRAVEATIATQCPTWSHVQEMHAKVTDAMAQLRVDVERTMVVKLR EVLDHRDQLQAETHASHSVLSQLSSNVDIRRQEATGVRVAALEASLVDEHRARMDLEV AVQNKMEQVVTATEAAMLKVDTWQRVEQARWGQWTAQHLAANEAQWSYAQSKWTDWHD QLSRRMEVRRDNQKGHANQTWLRRRSQLRQQSNVRKCSNIWQTWTKWWRRKFANEPVT LRRYKRPESTHANDWCASGSASRRNSCGR H257_10814 MEDDYVVQKRMQQREYAKQLEAQMEEHHRKYRRGRNAGQSPLKL PQLAIVPGGTTPLTTPTKAATSTSSPNRLVSFRSDDAQPETSDSGDLRTVVRQLQTDF AQHVHLVHQLTTTVNEVNRMIESVRVLIYMDTRIILFQKHNAVVQRLLDLIQSPMMPS SATIATTMDIPSTLLAVPTLAQHATRLDALEKAVVVATSNALLREDFDAMATVLIENK SQVLAHVTALGERVRAVEATIATQCPTWSHVQEMHAKVTDAMAQLRVDVERTMVVKLR EVLDHRDQLQAETHASHSVLSQLSSNVDIRRQEATGVRVAALEASLDEHRARMDLEVA VQNKMEQVVTATEAAMLKVDTWQRVEQARWGQWTAQHLAANEAQWSYAQSKWTDWHDQ LSRRMEVRRDNQKGHANQTWLRRRSQLRQQSNVRKCSNIWQTWTKWWRRKFANEPVTL RRYKRPESTHANDWCASGSASRRNSCGR H257_10814 MEDDYVVQKRMQQREYAKQLEAQMEEHHRKYRRGRNAGQSPLKL PQLAIVPGGTTPLTTPTKAATSTSSPNRLVSFRSDDAQPETSDSGDLRTVVRQLQTDF AQHVHLVHQLTTTVNEKHNAVVQRLLDLIQSPMMPSSATIATTMDIPSTLLAVPTLAQ HATRLDALEKAVVVATSNALLREDFDAMATVLIENKSQVLAHVTALGERVRAVEATIA TQCPTWSHVQEMHAKVTDAMAQLRVDVERTMVVKLREVLDHRDQLQAETHASHSVLSQ LSSNVDIRRQEATGVRVAALEASLVDEHRARMDLEVAVQNKMEQVVTATEAAMLKVDT WQRVEQARWGQWTAQHLAANEAQWSYAQSKWTDWHDQLSRRMEVRRDNQKGHANQTWL RRRSQLRQQSNVRKCSNIWQTWTKWWRRKFANEPVTLRRYKRPESTHANDWCASGSAS RRNSCGR H257_10814 MEDDYVVQKRMQQREYAKQLEAQMEEHHRKYRRGRNAGQSPLKL PQLAIVPGGTTPLTTPTKAATSTSSPNRLVSFRSDDAQPETSDSGDLRTVVRQLQTDF AQHVHLVHQLTTTVNEKHNAVVQRLLDLIQSPMMPSSATIATTMDIPSTLLAVPTLAQ HATRLDALEKAVVVATSNALLREDFDAMATVLIENKSQVLAHVTALGERVRAVEATIA TQCPTWSHVQEMHAKVTDAMAQLRVDVERTMVVKLREVLDHRDQLQAETHASHSVLSQ LSSNVDIRRQEATGVRVAALEASLDEHRARMDLEVAVQNKMEQVVTATEAAMLKVDTW QRVEQARWGQWTAQHLAANEAQWSYAQSKWTDWHDQLSRRMEVRRDNQKGHANQTWLR RRSQLRQQSNVRKCSNIWQTWTKWWRRKFANEPVTLRRYKRPESTHANDWCASGSASR RNSCGR H257_10815 MTTSYGYELDFTWDHPWPRAPVPKNEDERLADLEGYHILDTAPE EKFNKLCRIACKEMKCPISAVSFIDKSKQWFKANSGLTQAAIPRDVALCAHTIMNPRN AVVVLDTTTDERFKNNPLVTRASSVKFYAAVPLVTARGTCIGSVFVFDVKTHTSCDTK LLQKIAAKVMKYLDERLRPTAELSQSVGPTALRPIVQQPLARSASAEYRQPAPEPQPR PQSTEPSAEKLHVAAERRMSAGTSFAPPPPATQPVAPIPPPARAQFQEAPRSVQTPPP RTTPTTPSPESALVASGPTESTERSLSTNAVNPEGQMTNMGAMLMNLLARTTETQQQL AAQQGVMFETLGHHSEQLNSLSETLNNLETRIDKTIRTKR H257_10816 MMSRSPSNVLPPRGALSRSSSNSDAKTPEATPVDIDTLMLTINN AVAKIASIRESFEDGRPPRHSSASSSRRAGKTRLQASSATSSTFDDSILRFSSAGSYQ DSFRSSGRSQSGGSDYGDGKPPLPSSRSLASSFTSSTTSSPSVIDRRSSKTHAHTFPD DEGGDDDVVAPDLPPSRTTTTKAKLPFPYHKLQSTTSIDLDDNLTPFKELRLARLQDI KVTFHNGLPQEFYQAICRFLQTTLEIKRRFYKLKHLKECFLGCDAFDALLDNGFATDE NEALLIGNVLLKLELVEDITGPSARMKNRNDGFYRFTLAGTSIEKPAADAEHPRGSLM SLDGIDFADASDNIHALLSEESLAIVTRVLARMFDKKGKIMPYKGYEGCFLGSELVTA LKEMKIAMSTIDALVVGQSLFEEQLILAIDPDTTDFQSKYTMYRLAHL H257_10817 MMEKAVQLQLAARERWLQKDEVLFLLTNYMASGLPVHVSPQCRP PSGTLFVCDSVMDFKKDGWTWQKQKGSKTKIREDRAKLVVTRGNVVLGVYVHSADNPC FHRRSYSLRDESNRMILVHYLEDDSKKQALRDAPHECSRPFDASSVVNDALADFHPSD PDDDHNDDDTALDDLLLDNHMPRMIAFDPSNQMMPPPPHVLDDSIPLLVQITDFSPNW DFCTGGAKILICAASPPPYSTLFVCFGSTAVVRAESLSPTVLRCCAPPSDTAGVVPLR IATYVGTQLIFVSTPGHFLFKPAASPSHMSVSSGVHQPPPKYPTLDWGTSKQVPAFSD VVAQGGGSNSSSSSTFKRARSEHNLDDMTSLSFPSSPTNSLRGLDELDDRQYKIRVVE RLHEFRRVIISHPSAKNVADGGASTQPQSLLGPSSIVLRPAATVEVASLMLDDSAIAA LSDVELGALSEQLIEDVVKQLVALAGTSPELLEELNSLDDAGLSLLHYVCFYNCGQLV PLLLSHGANVNQRSAQGQTPLHLAAGCGHWGIVRLLLSEQADGAMLDLDNFTPADRAD KYGHGDIAAFLRSLSPPSPLTKPVDEVKCMGTLLDSSAVDRSSHYNRNFLLGAFSTMS LHDKCALSLGTKRRSSSLGDPFDEDNELEVSSSVMTDTDDGRLMAAMELMAPDELALL EEDARVIQHNVRAWLLRRSYRHMRDTTRKLKEATQTIAKQSLEKAAVTVQAATRSMMV RRSFLQQRNTAIKVQAGVYVCSGARDHLPEKICTNEDRSAGVARDPAKCHRQKTTIHE LITTSR H257_10817 MMEKAVQLQLAARERWLQKDEVLFLLTNYMASGLPVHVSPQCRP PSGTLFVCDSVMDFKKDGWTWQKQKGSKTKIREDRAKLVVTRGNVVLGVYVHSADNPC FHRRSYSLRDESNRMILVHYLEDDSKKQALRDAPHECSRPFDASSVVNDALADFHPSD PDDDHNDDDTALDDLLLDNHMPRMIAFDPSNQMMPPPPHVLDDSIPLLVQITDFSPNW DFCTGGAKILICAASPPPYSTLFVCFGSTAVVRAESLSPTVLRCCAPPSDTAGVVPLR IATYVGTQLIFVSTPGHFLFKPAASPSHMSVSSGVHQPPPKYPTLDWGTSKQVPAFSD VVAQGGGSNSSSSSTFKRARSEHNLDDMTSLSFPSSPTNSLRGLDELDDRQYKIRVVE RLHEFRRVIISHPSAKNVADGGASTQPQSLLGPSSIVLRPAATVEVASLMLDDSAIAA LSDVELGALSEQLIEDVVKQLVALAGTSPELLEELNSLDDAGLSLLHYVCFYNCGQLV PLLLSHGANVNQRSAQGQTPLHLAAGCGHWGIVRLLLSEQADGAMLDLDNFTPADRAD KYGHGDIAAFLRSLSPPSPLTKPVDEVKCMGTLLDSSAVDRSSHYNRNFLLGAFSTMS LHDKCALSLGTKRRSSSLGDPFDEDNELEVSSSVMTDTDDGRLMAAMELMAPDELALL EEDARVIQHNVRAWLLRRSYRHMRDTTRKLKEATQTIAKQSLEKAAVTVQAATRSMMV RRSFLQQRNTAIKVQAAARGIICRKKFAQMKTEALASLVIQRNATGKKQPSTN H257_10817 MMEKAVQLQLAARERWLQKDEVLFLLTNYMASGLPVHVSPQCRP PSGTLFVCDSVMDFKKDGWTWQKQKGSKTKIREDRAKLVVTRGNVVLGVYVHSADNPC FHRRSYSLRDESNRMILVHYLEDDSKKQALRDAPHECSRPFDASSVVNDALADFHPSD PDDDHNDDDTALDDLLLDNHMPRMIAFDPSNQMMPPPPHVLDDSIPLLVQITDFSPNW DFCTGGAKILICAASPPPYSTLFVCFGSTAVVRAESLSPTVLRCCAPPSDTAGVVPLR IATYVGTQLIFVSTPGHFLFKPAASPSHMSVSSGVHQPPPKYPTLDWGTSKQVPAFSD VVAQGGGSNSSSSSTFKRARSEHNLDDMTSLSFPSSPTNSLRGLDELDDRQYKIRVVE RLHEFRRVIISHPSAKNVADGGASTQPQSLLETCGHGGSGVADAGRLCDCRAERRRAG GAVGAAHRRRRQAIGGAGRHIPRTARRIELAGRRRTELIALRVLLQLRPARAAALVPR RKCQSAQCSRANPAAFSGGMWPLGHRAVVAVGTSRRRHARSRQLYSCGSR H257_10818 MFRRIVSASRASSGVQRTFATAKPKKAASDRPAKSAKFKTTSKK NEKGNDSGKYDVMLRALKGREPEEYTWSEEEKREHFEIGRTYNRMTSVRHNELMKDLQ MKINLKWAAINALPTDELRNEALVEDFSLVTNRRGFPTWTPPIPGFKRFRED H257_10819 MANYPDVQSTNQITDSSPRLMLKWTCAAAARRFHTLGLHPKLVH SLKQNGIHEPSQVQRVAIPAILDAARPDVIVGAETGSGKTLTYILPLLERFHAQYPLG HVRKPMAVILVPTQELVKQIETVFGMCQADMTVACLTKTHAIPRHAAVIVGTPKALLQ HVSVTDLQFVESIVVDEADMLLGGGFERDTKQILGVIRNQPLLDPSFNVFHETAFDGA VEIPTASHGRQTVFSAATIPTYGRLAVSEYLKKKFPDAEYAITDNFHRTVPTLDQSFV RLNDSSVDARQQLLLEILANDKSVGTTLIFVDSVASAKALHAFLHVLHGVPCTMLHKE IPRQERSVVLAASSSSNGTSKHIVVATDIAARGLDLRHVNHVIQYEFATNVVAHIHRI GRTARAGTVGKVTNIITSDNELVYNEVAAAGATGALTQGFSRRRSLRKKFKKAARPQG DSDQDAY H257_10819 MANYPDVQSTNQITDSSPRLMLKWTCAAAARRFHTLGLHPKLVH SLKQNGIHEPSQVQRVAIPAILDAARPDVIVGAETGSGKTLTYILPLLERFHAQYPLG HVRKPMAVILVPTQELVKQIETVFGMCQADMTVACLTKTHAIPRHAAVIVGTPKALLQ HVSVTDLQFVESIVVDEADMLLGGGFERDTKQILGVIRNQPLLDPSFNVFHETAFDGA VEIPTASHGRQTVFSAATIPTYGRLAVSEYLKKKFPDAEYAITDNFHRTVPTLDQSFV RLNDSSVDARQQLLLEILANDKSVGTTLIFVDSVASAKALHAFLHVLHGVPCTMLHKE IPRQERSVVLAASSSSNGTSKHIVVATDIAARGLDLRHVGCIIDYYSWTAIK H257_10819 MAVILVPTQELVKQIETVFGMCQADMTVACLTKTHAIPRHAAVI VGTPKALLQHVSVTDLQFVESIVVDEADMLLGGGFERDTKQILGVIRNQPLLDPSFNV FHETAFDGAVEIPTASHGRQTVFSAATIPTYGRLAVSEYLKKKFPDAEYAITDNFHRT VPTLDQSFVRLNDSSVDARQQLLLEILANDKSVGTTLIFVDSVASAKALHAFLHVLHG VPCTMLHKEIPRQERSVVLAASSSSNGTSKHIVVATDIAARGLDLRHVNHVIQYEFAT NVVAHIHRIGRTARAGTVGKVTNIITSDNELVYNEVAAAGATGALTQGFSRRRSLRKK FKKAARPQGDSDQDAY H257_10820 MVKIGVLALQGAFEEHIDMLKQVGADAVEVRLPADLAGLDALVF PGGESTAIAKVAERWGMIEPLKQWVHDKKPIWGTCAGMILLAHSARHAKQGGQALIGG LDVEVSRNFFGAQIRSFEQQIQGPPGYGDEPYTAVFIRAPAIVSVGEHIDVLSEISHA QPADGSDPTDVIVAARKDNILVTAFHPELTSDTRWHQYFVEQIVSTK H257_10821 MPTAVDTKFLIGATGVLCLKLYLTLLIQGGKRFAAGTRPPEDQI LKSLNPTKQRQAFGVFDEAAEAKKSDDKPTSGLREKPSARAVEADIRWERIVRNDLEN IPIGLLTAWAAVNSGGSVAVNAGAIAAFTVFRILHTIAYVKQLQPHRGILWFGGVLSV FTLVGNSFYGLSKL H257_10822 MATTPVRVLPTAQHVHGWYSNTSSTIGVVKIIRPRVKNCLSMQT YHELSDHLQFFQADADVLAVIVTAEGDEYFTSGTDVRELDPQSVPSQSSARTLMHTIL NFTKVLVGAVNGSAIGIGVTMLLYCDFVFAVPHATFRTPFMGLGIVPEFGSSVTFPAL LGKAATNDLLLRGKTLDASRAVSVGLVTEVVPAAGFQCAVVGLTEQVTGQLHAKRSLL QFKAQIQRLGPLTKQQVVAAIEAEYEEIDRRFRSGEIVELGMAYLAQLKSSSKL H257_10823 MYSGTLGRVNALEFRDSSPTKLTPRGSPIKSVMQPCQVAPASSD AIQKAFEKMKEEVAQGGSTACAGFTEADLLACFNLTPSPTKQQQRFSATTPSFPNKEP DPAPKGVDEHALLLNALLSSLAKLNVNKSRNEQEEEEDDEPPYSPSSQQYHAAALITP PPSPVLSAKPSPIKRSPSSLGRIQENVNEDMESPRSCSEPTTDVTILPIHEVKRCLRG GSFASRIRVRRQPSLKELDAKRVSDVVEKLNRSSIVQLSASPRRLSVVDPKTRSLSRV NSLDELQPPDAPTPLSRKPSLVTHSSSPRSLSRRSSSVSFASPPVIVVAEPPATLRKK KKKFQNHQARMSTLASHIKKLLLRSDKAKRTKVQLDASTRVSNMRLGSSPKKCKVKHE DLITFTRPNCRPRRWVPKAGEPLLERQQSYVSLDVMDNIGTRAACPVHLLSEKPNTDF SYVPGVVNSHNRRRRRRSSRHPKLMKRPSLWIVD H257_10823 MYSGTLGRVNALEFRDSSPTKLTPRGSPIKSVMQPCQVAPASSD AIQKAFEKMKEEVAQGGSTACAGFTEADLLACFNLTPSPTKQQQRFSATTPSFPNKEP DPAPKGVDEHALLLNALLSSLAKLNVNKSRNEQEEEEDDEPPYSPSSQQYHAAALITP PPSPVLSAKPSPIKRSPSSLGRIQENVNEDMESPRSCSEPTTDVTILPIHEVKRCLRG GSFASRIRVRRQPSLKELDAKRVSDVVEKLNRSSIVQLSASPRRLSVVDPKTRSLSRV NSLDELQPPDAPTPLSRKPSLVTHSSSPRSLSRRSSSVSFASPPVIVVAEPPATLRKK KKKFQNHQARMSTLASHIKKLLLRSDKAKRTKVQLDASTRVSNMRLGSSPKKCKVKHE DLITFTRPNCRPRRWVPKAGEPRMFGHSTWLPKQPELIS H257_10824 MSDLSFKEKIGKSFSFLSVLSKKNKAQPHTFTDTYTDPVKVEPT RHIDSHPPYHHDPFESQAYHQQHQHQQDHHIPPQQQLHHQHHTPPPLPPMHPYMSSVH ERTNVDDLLCTPTPENRFPTPTAAFPTPDARFPTPTPDIKYPSPFDFTRDRPTPVEKM EDLQQLQEALLPSPFDYLRNQQHQQQHLHNPYLNNPHTSPFYPASSQPHHHSYDPSTQ QHGAFRSQKDKQVVDEINTLCRDLVTHGLQTKEAAAAAATSRRNEGPPAATLDVIKPE PAHQLHDYGHVVESGYTSGGGRSYDSDSSSCDIKRDPTSTSNKQYRRKNCSMDGCNNL SRSKGLCKAHGGGRRCSVQGCTRASQSSSLCIAHGGGKRCTVDGCTKAAQSRGMCKAH GGGVRCRVEGCTKSSQGDGYCRSHGGGRRCGHASGCLKWAQRNGMCMTHSEGKYGNSY RGRLQRQAGDTMSDTDMMDE H257_10825 MRLPQLRFLSQAQAQRIDEELMSTYAFSIDQLMELAGLSVACVV ENEFWHVAATPLLVVAGPGNNGGDALVAARHLKHFGFLPEVHYPKPTANPLYQRLVQQ CHDLGIPFVPSIDTSSDLSRYGLVLDGIFGFSFRGPPRAPFDNILALLARSSTPIVSI DIPSGWDVERGDPDGRGLHPHVLVSLTAPKQCAQHFQGLHFVGGRFVPPGLAAAFNLV CPTYTGSQQFALWPYIS H257_10826 MAEVAELKLHKVIGYNGTFNNTVLYTNDGHYLIYSLGLTVVIRD LRSNAQGFLHGHTDIITCLTISNNGRLLASGQQSKSRGNKAPVLVWDLRGAITAMAGK ANQSDKVVYRLVLHMGKVQDMAFSSQDTCLYTVGGQDDNALVCWNMETGEPICGTPAG DDSTLVVRSFRTANNNDLLITGGNYAFNVWRVDHKHRKFHPLRANLGNLKRIMSAIAI SPDDKIAYVGTKTGDLLEIILDCDLTKPNCAFPPVGTQKPRYNRTTKERFSQGINTVV VHEHNQQRFLLLGAGDGSLVVLLPGGVTDPIKSTPIPTGPIEKLQGGITALSEGPHGQ FYVGTNQSNMYLATLPSETTIKAELRATCHYGSINDVVFPKAPSKGVEMNSNLFVTCS KTDIRVWNARKAQEILRIQVPNLVCNCVDLTVDGSVIVSGWDDGKVRAFYPESGKLKF VIQDAHNESVTAIAVCHDTDHEREWRLITGGKDGRVRVWRITPSRQTMEASMKEHRGP VNSIQVVRDNSSCVSASSDGSCISWNLDNFTRVQAMFASTVFRRILYHPDESQMLTCG SDRRVTYYDSYDGEAIRILEEAADGEMLALDIERSGNIFVTGGRDSTLKVWHYDNGEP IGVGKGHSEAINAVKISPERKHIVTVGSEGAIMIWEMGELLTHTNN H257_10826 MAEVAELKLHKVIGYNGTFNNTVLYTNDGHYLIYSLGLTVVIRD LRSNAQGFLHGHTDIITCLTISNNGRLLASGQQSKSRGNKAPVLVWDLRGAITAMAGK ANQSDKVVYRLVLHMGKVQDMAFSSQDTCLYTVGGQDDNALVCWNMETGEPICGTPAG DDSTLVVRSFRTANNNDLLITGGNYAFNVWRVDHKHRKFHPLRANLGNLKRIMSAIAI SPDDKIAYVGTKTGDLLEIILDCDLTKPNCAFPPVGTQKPRYNRTTKERFSQGINTVV VHEHNQQRFLLLGAGDGSLVVLLPGGVTDPIKSTPIPTGPIEKLQGGITALSEGPHGQ FYVGTNQSNMYLATLPSETTIKAELRATCHYGSINDVVFPKAPSKGVEMNSNLFVTCS KTDIRVWNARKAQEILRIQVPNLVCNCVDLTVDGSVIVSGWDDGKVRAFYPESGKLKF VIQDAHNESVTAIAVCHDTDHEREWRLITGGKDGRVRVWRITPSRQTMEASMKEHRGP VNSIQVVRDNSSCVSASSDGSCISWNLDNFTRVQAMFASTVFRRILYHPDESQMLTCG SDRRVTYYDSYDGEAIRILEEAADGEMLALDIERYTQQHS H257_10826 MAGKANQSDKVVYRLVLHMGKVQDMAFSSQDTCLYTVGGQDDNA LVCWNMETGEPICGTPAGDDSTLVVRSFRTANNNDLLITGGNYAFNVWRVDHKHRKFH PLRANLGNLKRIMSAIAISPDDKIAYVGTKTGDLLEIILDCDLTKPNCAFPPVGTQKP RYNRTTKERFSQGINTVVVHEHNQQRFLLLGAGDGSLVVLLPGGVTDPIKSTPIPTGP IEKLQGGITALSEGPHGQFYVGTNQSNMYLATLPSETTIKAELRATCHYGSINDVVFP KAPSKGVEMNSNLFVTCSKTDIRVWNARKAQEILRIQVPNLVCNCVDLTVDGSVIVSG WDDGKVRAFYPESGKLKFVIQDAHNESVTAIAVCHDTDHEREWRLITGGKDGRVRVWR ITPSRQTMEASMKEHRGPVNSIQVVRDNSSCVSASSDGSCISWNLDNFTRVQAMFAST VFRRILYHPDESQMLTCGSDRRVTYYDSYDGEAIRILEEAADGEMLALDIERSGNIFV TGGRDSTLKVWHYDNGEPIGVGKGHSEAINAVKISPERKHIVTVGSEGAIMIWEMGEL LTHTNN H257_10826 MAGKANQSDKVVYRLVLHMGKVQDMAFSSQDTCLYTVGGQDDNA LVCWNMETGEPICGTPAGDDSTLVVRSFRTANNNDLLITGGNYAFNVWRVDHKHRKFH PLRANLGNLKRIMSAIAISPDDKIAYVGTKTGDLLEIILDCDLTKPNCAFPPVGTQKP RYNRTTKERFSQGINTVVVHEHNQQRFLLLGAGDGSLVVLLPGGVTDPIKSTPIPTGP IEKLQGGITALSEGPHGQFYVGTNQSNMYLATLPSETTIKAELRATCHYGSINDVVFP KAPSKGVEMNSNLFVTCSKTDIRVWNARKAQEILRIQVPNLVCNCVDLTVDGSVIVSG WDDGKVRAFYPESGKLKFVIQDAHNESVTAIAVCHDTDHEREWRLITGGKDGRVRVWR ITPSRQTMEASMKEHRGPVNSIQVVRDNSSCVSASSDGSCISWNLDNFTRVQAMFAST VFRRILYHPDESQMLTCGSDRRVTYYDSYDGEAIRILEEAADGEMLALDIERYTQQHS H257_10827 MTIKEDAVRMESVVSQLPSPRVASPRVTSPTKTDPKLLSRLSTL DMMTINQNKSLSVRDRIREMHALKLAPFPVACRRESTVTDVTPMSLASLPCCAHPYHS ADTTLHLDLHGLSWKRRYIPTNELLGAAVSAALPSLFVVHYLEKSPSHQLRTVQFQAE SNEAAAAWIDAIQTIVKWHARVPLDVTRRVQVVLDTTVATSAETWASAQPYLAMASIA CTVVASEDIVSFGQSLPVGGTFEACLVVGTALSLHRVVNGIFQQQEPRWRTLLPSLPL GLLLSSPLSPSASLIPAANAVLIYNLIKRKIRPRHAVACHFNQEFVVLATDSVTLGQT SFGATSQGSIMPSVQFQASSSVTPVDSNTPPTDSDDDPNVNLQHHAELCSVYNDIDPT LKMWKGSITGNQDVQHEATSRTPPLLGLRLRLLHRHQVMAVQQFTPGSLWHRWAQHCP FSSSSSPLKNILSPQDGASQASSLVLALPHVWNVSIDTSPTRLVSGHVQFDVLPHLLH CLM H257_10828 MTTAAKHSLAALLSPAQDHDEFDQDCKRLAQLIKTSKHLVAFTG AGISTSTGVPDYRGENGIRTKKSRLAASSSKSPPVIPDFHSLVPSPTHMALYELYRLG YLKHIVSQNVDNLHRKSGIPQVHLTEVHGNATFARCDTCEKVYKDNFPLSGLCNSDAC PSMKKPASARLAKRTRHGNGRLKRYVIGFNEPMDDIDVAIDHCEVADVALVLGTSLRV EPFCEMAGDFANHLIIVNLQKTLPRLDKRAEDTGVRLFASCDVVMARVMQHLMDGETG YVIPPWTGQHPSEICYFEDDHGHTAMVNVLAGSSKSTHFPSVLA H257_10829 MLSQLSRKAGRVAGVRALSTQSPYVLPAFPVLLEDLERDAKKNR FIKVSKLTNLFKRVTSKDELNAATNAFKVYERKHIDPIETTVGEFIKACLQHDAGDVA LNALTNNYRLGLFLTAGPLNKLLSHFYTKNDDASIIAAFEAAKKYEIKPNATTYHAVV SALIRSGEPEKAVELALAASAKGEKILDETKSLVEGARLGRQVDAAPEADEEAAPAAE DDSETKDKPTLA H257_10830 MLALRGRSIVRLRVPLLHVASFSSDGAPVKPQFEEILRDIHIEN DAKKKPSVHKLTALFRSVTSRQDLIEAAKTLRIYEVNFVDPKQQTAGEFIKAAIKQDA EDLALKTFQQHHRIGMFVSTGSLNNLLVHLYKKKDHASALTLYRELKLYKVEPNVETY SLVLRHLLGANEFAQLLDTLATAGAANMVKANTLNHILIQLTKHGKHDEIINVLDVAS THNIPQNDITKSVLGSNQ H257_10831 MSMLTRDYIHKCLYSKEVGYFTSEKREVLHAPKEPMAFHDFWGK REYKAALAKLYQADKEAWMTPVEVFYPYYSHAIANYMLMSPFTTDKLSIYEIGGGAGT NAKCILDYIQEQAPALYEHTTYTLIEISPRMAARQRERIKDHAGVATVINTDILTYSA QFPAFKDSCYFVAMEVLDNLPHDKVLQDGGEWFETWVDPTTLSEQRRPLEDPLVRQTL DHFPIDLPLREGYKPATRFVRKAMGMADKTLHSAFVPTGAMQLLHTLHTSFPKHHLIA ADFDELPAPSLDASSSHPLFHHPRSPTSTASGPLHAANAPLVASKTAGVTCDHDTYLV EGGIADVFFSTDFVKLKHAYCLAQHRQAHQVSIVKSSAFLQEFADTAKTRTILGYNPL LEDYANTSFILS H257_10831 MSMLTRDYIHKCLYSKEVGYFTSEKREVLHAPKEPMAFHDFWGK REYKAALAKLYQADKEAWMTPVEVFYPYYSHAIANYMLMSPFTTDKLSIYEIGGGAGT NAKCILDYIQEQAPALYEHTTYTLIEISPRMAARQRERIKDHAGVATVINTDILTYSA QFPAFKDSCYFVAMEVLDNLPHDKVLQDGGEWFETWVDPTTLSEQRRPLEDPLVRQTL DHFPIDLPLREGYKPATRFVRKAMGMADKTLHSAFVPTGAMQLLHTLHTSFPKHHLIA ADFDELPAPSLDASSSHPLFHHPRSPTSTASGPLHAANAPLVASKTAGIVLLPKSRTC VLIRQIYGSIFFVLHVVWKKVFGRYHTSLSHTLI H257_10832 MDAGLTAVLRGSLERNSRRKRWLSMMQKIPREEGLDHAQEYALG LQKSFGLISFIRENRIDDVDEQEALSEALGDVLPIDMHRKMFIPALQLSMTADQLQTW MPLALSYRILGAYAQTELGHGSNVQCLETTATYDPRTHTFVLHSPTLTSRKWWPGALG KTANFAVVYARLLHNSVDYGVHAFLVQLRDLATHATLPGITLGDIGSKLAFNMVDNGF CVFDHVHVPASQLCLATARVRWQRSHDDSGGPVNLVAAPAASSKWKYMPMIKTRVFLI AKCARFLGRATAFTSRLSAATSLLPHVGLSFAATFAGRDLLELYETVQLHRTKQDRQP LDLGGGQTDQLAALLHATSSGLKALVAARVVDGIECCARQCPPNEEFLTRLRRDIVGA STYEGTFDVLVQQHASFLAKTRPQEGHAQMIVPLDKDWTSMATLVRWFHFRAHSMLMS FDTANVSMVRATRLSVVHSEATLLQALHAFLTQKRHQCTITDVTATSLTAVGKALAYR WMVDSLHEFRVNGWLSSADGDEIVELLTSPTSQQCQEWMAVTASWDFTPDEVPSTNRG LRGKL H257_10833 MHDPSSKRLSCCCVECGTVVSSTYRDYGKGNIRLKICRNCNLTV DKYVEFEAILIMIDLMLGKQQAYRHLLHNRRPLLLTQQVLKMFAVMVMLDWNTKAYLA ERDVGVYFRTNSIYKTTSTYSIFQISQLGLHYFVLAVVENFIYMLTLWLCVRLHPRWR KATTAKDVQFIGALCLSSFGKLFAWLTVIWEYNWTVVHVIGGIVVCSNYLVLKIYVND DTFDVFMAVAVAVGIRALTQLFLFALGNPMIFFTFI H257_10834 MPRELITIQVGQCGNQIGRQFWKMALDEHAHHAKNATFDESMST FFRNVDKRGHELPLHKSITSLRARAILVDMEEGPVSETLKGPLGELFDSSQFLTDVSG SGNNWAHGHAMYGPQYKEQLLEKLRHATELCDSLQSFFVMHSMGGGTGSGLGTYILGL LEDHYPEAFRFTTAIFPSADDDVITSPYNSMLALRELTLHADCVLPIENEALYDMLEK QASQPGAAATRPKESAFDQMNSIVARTLTHLTSSMRFDGSLNVDLNEITTNLVPFPKL HYLLSSVAPLWADKVMQPRRISQMFSDAFQRDHQLIKTNPKGGIMLACGLLLRGNVQV SDIQANIQRLRAELRMIPWNEDGFKVGLCSVPALGHPVSLLSLSNNSCIVDTFERMHT RFLKLYKRKAHVHHYLAYMDTSAFDDAVENVQWLVAEYRKLNDTSSLDIPAASRPKPL F H257_10834 MYGPQYKEQLLEKLRHATELCDSLQSFFVMHSMGGGTGSGLGTY ILGLLEDHYPEAFRFTTAIFPSADDDVITSPYNSMLALRELTLHADCVLPIENEALYD MLEKQASQPGAAATRPKESAFDQMNSIVARTLTHLTSSMRFDGSLNVDLNEITTNLVP FPKLHYLLSSVAPLWADKVMQPRRISQMFSDAFQRDHQLIKTNPKGGIMLACGLLLRG NVQVSDIQANIQRLRAELRMIPWNEDGFKVGLCSVPALGHPVSLLSLSNNSCIVDTFE RMHTRFLKLYKRKAHVHHYLAYMDTSAFDDAVENVQWLVAEYRKLNDTSSLDIPAASR PKPLF H257_10835 MSLQPHQLHGDGYDYHYGDTAQMASSMYGGGEEWTEMVHVPAPA TTTGEDVTVGAIAFDLCHEMLWVGYSNGRLTSHLLPTLDKYTSVVSNPGPIKQLVPTY EGVVAISDHAAMFRSRGCVQTHAIVVDAPRHITCGRLNVHDPSKPSDVLLLGTGTGLL AAYDLHGYRPLRPMWKLDLRTATAALASSDDSPLVCAGSSQGRLDMFDGGYRSHRVAA SIPQAHSGTIVAMDMMDNYVLTCGVSCRSINPYDKHAPVKIYPDPLVKLFDLRTMSLV SSIPFPSSSGLPPSFVKFHATQHAYYAADADGDLLVFGMADPPPLQYSVAGHLRPSVT ALDVSSSGELFASGTGDGSVVVYESGLTAAPPRALVDEPDAPLDFPGPAARPPLTLSP LETAPASRYVFRPSLNEFGHEITPLSAWLPALDTAYKLNVTLVVAPKPTKVLHPEFEK QGFTSHRGVQRNSFVYGSGRAAALATVDPRSAESPMRRRDSSIGSAKSFDEADPSWHV PPSYKYTEMHLSKHSMDGYVFDFSKHNRSLTHVGLENSLPFAYMNAVLQLLFATPAVT STLRTHLCDVVNCVCCELAFLCHMMDQTTKYALQKHKSVQTTNFLSALHQIPDVAAAG LFDKTLSILVRVERLFAWLCSTLAVVSVDMHTIALPPWTQDQSFEAIVANSCDQEQVA HELVAITCDPASWLVDDDVKQRWATPGWGVPSSLTLPHGEMYTLIGVISAVVRDVRKP TVLSGPNCHLVTHVQSPDAGRWMLLNDFAVSTSTVDDAVNFSPPWKYPSVLLFRRASS SSLNAVADTKVVPIPSSVFDAAPLNPSVASVIKAVKLPKRGDRVAIDTEFVIVEMEEA TLQTDGTRVVIKESRQSLARVSVIHGETDAVIVDDYILPNEPVVDYLTRFSGLTAEDL DPTRSRHAVVSLKTAYMKLRYLVDAGCLFVGHGLHKDFRIVNLFVPPDQIIDTVELYQ QPNMRKIALRFLCAYLLKTEIQLDTHDSIEDARAALRLHNKYIELVAANDFDKTLAEI YSAGRHCRWKIADLE H257_10835 MSLQPHQLHGDGYDYHYGDTAQMASSMYGGGEEWTEMVHVPAPA TTTGEDVTVGAIAFDLCHEMLWVGYSNGRLTSHLLPTLDKYTSVVSNPGPIKQLVPTY EGVVAISDHAAMFRSRGCVQTHAIVVDAPRHITCGRLNVHDPSKPSDVLLLGTGTGLL AAYDLHGYRPLRPMWKLDLRTATAALASSDDSPLVCAGSSQGRLDMFDGGYRSHRVAA SIPQAHSGTIVAMDMMDNYVLTCGVSCRSINPYDKHAPVKIYPDPLVKLFDLRTMSLV SSIPFPSSSGLPPSFVKFHATQHAYYAADADGDLLVFGMADPPPLQYSVAGHLRPSVT ALDVSSSGELFASGTGDGSVVVYESGLTAAPPRALVDEPDAPLDFPGPAARPPLTLSP LETAPASRYVFRPSLNEFGHEITPLSAWLPALDTAYKLNVTLVVAPKPTKVLHPEFEK QVQQKGTIGFTSHRGVQRNSFVYGSGRAAALATVDPRSAESPMRRRDSSIGSAKSFDE ADPSWHVPPSYKYTEMHLSKHSMDGYVFDFSKHNRSLTHVGLENSLPFAYMNAVLQLL FATPAVTSTLRTHLCDVVNCVCCELAFLCHMMDQTTKYALQKHKSVQTTNFLSALHQI PDVAAAGLFDKTLSILVRVERLFAWLCSTLAVVSVDMHTIALPPWTQDQSFEAIVANS CDQEQVAHELVAITCDPASWLVDDDVKQRWATPGWGVPSSLTLPHGEMYTLIGVISAV VRDVRKPTVLSGPNCHLVTHVQSPDAGRWMLLNDFAVSTSTVDDAVNFSPPWKYPSVL LFRRASSSSLNAVADTKVVPIPSSVFDAAPLNPSVASVIKAVKLPKRGDRVAIDTEFV IVEMEEATLQTDGTRVVIKESRQSLARVSVIHGETDAVIVDDYILPNEPVVDYLTRFS GLTAEDLDPTRSRHAVVSLKTAYMKLRYLVDAGCLFVGHGLHKDFRIVNLFVPPDQII DTVELYQQPNMRKIALRFLCAYLLKTEIQLDTHDSIEDARAALRLHNKYIELVAANDF DKTLAEIYSAGRHCRWKIADLE H257_10835 MPRDAVGRLFQWSTHFAFAPHLGQVHERGVQSRTYQAARPDLRV HERPVVIVVFHGHLRGVVAISDHAAMFRSRGCVQTHAIVVDAPRHITCGRLNVHDPSK PSDVLLLGTGTGLLAAYDLHGYRPLRPMWKLDLRTATAALASSDDSPLVCAGSSQGRL DMFDGGYRSHRVAASIPQAHSGTIVAMDMMDNYVLTCGVSCRSINPYDKHAPVKIYPD PLVKLFDLRTMSLVSSIPFPSSSGLPPSFVKFHATQHAYYAADADGDLLVFGMADPPP LQYSVAGHLRPSVTALDVSSSGELFASGTGDGSVVVYESGLTAAPPRALVDEPDAPLD FPGPAARPPLTLSPLETAPASRYVFRPSLNEFGHEITPLSAWLPALDTAYKLNVTLVV APKPTKVLHPEFEKQVQQKGTIGFTSHRGVQRNSFVYGSGRAAALATVDPRSAESPMR RRDSSIGSAKSFDEADPSWHVPPSYKYTEMHLSKHSMDGYVFDFSKHNRSLTHVGLEN SLPFAYMNAVLQLLFATPAVTSTLRTHLCDVVNCVCCELAFLCHMMDQTTKYALQKHK SVQTTNFLSALHQIPDVAAAGLFDKTLSILVRVERLFAWLCSTLAVVSVDMHTIALPP WTQDQSFEAIVANSCDQEQVAHELVAITCDPASWLVDDDVKQRWATPGWGVPSSLTLP HGEMYTLIGVISAVVRDVRKPTVLSGPNCHLVTHVQSPDAGRWMLLNDFAVSTSTVDD AVNFSPPWKYPSVLLFRRASSSSLNAVADTKVVPIPSSVFDAAPLNPSVASVIKAVKL PKRGDRVAIDTEFVIVEMEEATLQTDGTRVVIKESRQSLARVSVIHGETDAVIVDDYI LPNEPVVDYLTRFSGLTAEDLDPTRSRHAVVSLKTAYMKLRYLVDAGCLFVGHGLHKD FRIVNLFVPPDQIIDTVELYQQPNMRKIALRFLCAYLLKTEIQLDTHDSIEDARAALR LHNKYIELVAANDFDKTLAEIYSAGRHCRWKIADLE H257_10837 MRIAVVGCSHGELDAMYATIEHINSLDSSNPVQLLLCCGDFQSF RNQADVECMACPPKYRALGAFHQYYTGAKVAPVLTIFIGGNHEASNYLQDLYYGGYVA PNIFYLGCAGVVNVNGLRIAGLSGIYKPHDYTHGHFERYPYDDSTMRSIYHVREFQVY QLAHLKATPVDIFLSHDWPRGIERYGRTDVLLRKKPFLRDEISRGAFGSPPNEFLLHT IQPRHWFSGHMHVKFAAVVPHLPGANTTKFLALDKCLPNRDFLQVVDIPAPVEAPTAT LTADVPWLAVLRATHHEASCDRRRSRVPEQDMEITQDDLAWIQSRLPLNTGGWLHPFA PTSRPGNPQTDALLDLLRLPHVVTTPYTTTEVQAKETPAADDPNAIDIDAIPAAPATV DSNEIDIDDV H257_10836 METTTVKSLLEEDAAILVDIKKELQDIHLMMETPSQHAVRPGSP LSSSNVEVAASTAKQLSIRKRFKTAVRQLVFMEKTKDKVHEAYESESVSRLRNMPTLS LLCAVPLFQTLDGAALMDLNAHVQVTSHSANSTIFAKGLDACVYIIRCGTAWVKAPGK ERTSGEFHHDDVVCESGDIVDPVEFLDKNDQFKCVAVGLVDALAIPREVLLKYKDHLP RLSDSLHSVRYINKACESFRKWASHTASGLRYEAKDSNESTTNPSLYVSPQSFIREIL LSISPELDLDHCIQCMARLFTRVFQAQTIRLYLLNPRATHFLTKFATDGGSGAQVPTS MGVPGLVYRHQLPLQMTIATADTAAMPQVDWPAYHAHKSVVAVPVFQPKTSDVVLAVW EVISDTIEYSAHEMHLLELAATFMQPYLGQCDRPTQRMGSISQVETPSSMKPRVLRLA TPISTVQLTVGLYHGDQLIVPEVSIVSAKGTAVTGSVKEFDFADGISFGCSVQSLPRA VHVVWRVTSVAKPHKALAHAACLLFSFDHYLRTGTLTLRLERKSSELLPVGFEDSVLL STHHEDNYMVVEFPKYQYPLTYRSYDPVMLSSPWQAPPDCASDLTDVLAAITSDPMRP LTPADKAYLWTSRDALTSTPAALMPFLLSVDWSNRAQVTEAYAFLYRWSAPTYLQALQ LLSRKFPDPFVRAYAVRCLDSLPDYRLRLYLLQLVQALKYEPHHDSALMRFLFVRAVK SPSEVGYALFWLLQAELHLPLVHDRFQLLSTQYLCHCSTYRLELYQSVYVMRLLEAIA MQVKLQPSKAASEAMLRDRLANAIVPQWFQLPLHPTVFYTSFVPAQCRVMDSAKKPLF LCLVPMKPLQPLPAPSNSICHNTIFKCGDDLRQDQLTLQLLRVMDDLWKSAGLDLKVS AYACVSTGHNIGFIQVVDQASTLASICWDRHRHRTSRRVRKAAAIKTAMWGKAVLTDW LAHKSAGDDATATFVVSCAGYCVATYVLGVGDRHNDNLMLTESGRFLHIDFGHFLGHF KTYCGYKRERAPFVLTPAMVHAMGDRFDTFRAKCVAAFAVLRANASLLITLLQLALSS GIPELTPDTIPWLATSLMLDLTDDQATDKLNALIDVALATVTTRINHATHILAH H257_10838 MTSDDQPEKIRVGDLYWKQIGSNGWVLGKVSAYDADTTTATFDL VDEDSGDVLQPVQQDLINVTMTPIFPSNPLFSTCADMTSLHHLHEAALAKNLQDRSVL SNQRPYTFMANVLIAINPLRYLEEPDKDGYIGQSLDKCPPHPYHVAESAYRQLATVRP VMQNQSIIISGESGSGKTETSKIILDFLTVRAMSHRQSVDDSGNEPEVTRVSVRHAPR VLSSFSSRDAPSSVVGVWSSVTLGDRLMETIPILESFGNAKTHRNHNSSRFGKYMRLQ FSSRHHHLTGASIDTYLLEKSRLVHPPMGERNFHIFYELLRSGRVDLLDPLHLTASLD AEHMIASFQYLNRSGCTARSDALDDAANFHKLTDALQLVGIDAAMQVDLFRLVAGVLH LGNVSFVEEETDEGTTACISPGQDALEVAAALLGMQKDLLSSAMLNKRITRSSSSRRN SIYYLKKDIRQATYSRDTIAKTVYELVFTWLMRRCASALEYNEALRDVLPYIGVLDIF GFEDFEPHNRNSFEQLLINYANETLQSIFNTCIFQAEQELYKSEHIHVPNNVALMFPW ASTGGDPLYAATTTASSNATKLMADRLNDTSELISYVDNQECLNLMASRSGGVFSTID AISRLPGPSDKKLNERLHTLFKRHSCFPTPHPKEAHEMFCIVHYAGMVKYHIDSFIDK NNNIISAQFEELMAASKSTILQALPVSPPTSSSSPPNSNNQRGGSVTQMFSVQMRGLA SELEGTRCNFIRCIKPNADMEVGKFDRASVVDQLRCSGTVQACSVLRVGLPTRILYAE VVDTYLPVVGHALYEKFNCNERLFTQAICAALAFPTDAYRLGDTRLFFRTGKIDLLDK LLNVTKMEDQMPTMLVNYLVKRRWLSAVTKVMVFKMWERVFAEVRFRRSALTLQCWWR QVQARKERQSLATQARVASMLAKWTKKLKVMKSFEGKPDDKIDLLNKLLAKPVVAPSQ KWLLTWLGPLQRAMYVQKLCRKACVAYLAKRGFVWLLQQVKVKRAQLLLQTQVRMVLA KRRLQELVRRRRARDHWRKALFGARMLSIMSRQFRRVHLHRLEQGQIELTAANEALQS QVQHLTAKLDSVEMDKQHLQRLVTSTAASLAEREARLQRLEAKIQSENKESLMLRIFK FFTCSSSSSVSSSRRQRKQSVDEDDDESECSMTTCTSDESADDVTVQRVRITPPTKKK QQPPRNHVWKVSRFCF H257_10839 MDMSEEGADGVDFDYLVELIRSAVGPYVVDENGDPVTPLQLLPR PRTALGAHFNLGFEFEEGIDDSGDSCEEGEVAYRPDEGMEGQVHTWMPSGYTDIAHSR SQVQDDVVTSDVIPSTATLAQAPFFLRPLSPDCLSPQSRIERVLGPGASLEPRPPARR RHPFDSNNQASPPPRRTRVGHVPPASFNVHATIFSDESDDEDAQDDDMHNSTLSSVSS DLDTNDDTHHMMHASNGVRSLPHLVFPHLVALGFDAATTLSALQAHVHDLQTLQTNGH DDSVDDDDDDDDGNEKDVLAFVALVQSVVDGHVGRGNADNQTPPPPRPDVASMLRKLI LQPIPPDTTFPWPVFDMAQYEFGTSRPGTSFGCVVVVVNLPRVAAAGRAFDAVRQLLL VHLFSMVSNPLQVILPLGPPNATTMKGHGFVEFQNRQQATVAARTLDGLQWCHDAPPI RSMLFREYHGSNATTSTSTTTSTTTCHAKEAVDDLTLHAMDLMSEDEDGRSGDNNLSL RNDQLEYLIHYVEQDRDAILMENEELKDKLEAYRQREHEQEEALHSLSGLRKRIQVNE HKYRDHLKHMRQSERAIECLKRRMSELTGNTQALHALSVSELRDLEDTLDTALYKARA VKEQKIVEQRQALDRQVEVQQELKLCVICMSAEKTILCLPCRHVCMCQPCSEHSEVTR CPICRLDIAEKMAIFA H257_10840 MAEWSPSNKKPAAMGAFNLASKASQRQMWRREAENGPSVPLTTS HEPKNPFDCIKQILPAELDPYEERRLFASRMARTAQRTRPNHDERQPYGVKSVDNNLP LATYDIDHGGKATLSTAVEASTMAYSAAFKSKKHRFASTQAYKLGTFASFVKEPYAHP EDMGPGTYRLRMHTLRIKNIQGEDYTFASHTVRFHTPRLPAVESSSSSPVKSHAKPTV SSAVAMSQTPRFQSDTAFPENYASSKQQRVFYPPDVVYEKPSILRNTIKESVATSTVK YGGMTSNADRLMSTAAMVHNMPGAPKLHPATTDALGPGSYKSSTTQFAHKEESKAKAF TSLLPSEVHPLGQHTPIGRDFYVAHQGPIRHEAKQERRLHRSQVPKVLHRMKEVGGSH LEVYNL H257_10840 MAEWSPSNKKPAAMGAFNLASKASQRQMWRREAENGPSVPLTTS HEPKNPFDCIKQILPAELDPYEERRLFASRMARTAQRTRPNHDERQPYGVKSVDNNLP LATYDIDHGGKAVRSTPLSLDHPFRHTRVDAVHCRRGVHDGVLRSVQVQEASVCEHAG VQTGDVCQLCKRAIRENCSHPEDMGPGTYRLRMHTLRIKNIQGEDYTFASHTVRFHTP RLPAVESSSSSPVKSHAKPTVSSAVAMSQTPRFQSDTAFPENYASSKQQRVFYPPDVV YEKPSILRNTIKESVATSTVKYGGMTSNADRLMSTAAMVHNMPGAPKLHPATTDALGP GSYKSSTTQFAHKEESKAKAFTSLLPSEVHPLGQHTPIGRDFYVAHQGPIRHEAKQER RLHRSQVPKVLHRMKEVGGSHLEVYNL H257_10840 MAEWSPSNKKPAAMGAFNLASKASQRQMWRREAENGPSVPLTTS HEPKNPFDCIKQILPAELDPYEERRLFASRMARTAQRTRPNHDERQPYGVKSVDNNLP LATYDIDHGGKATLSTAVEASTMAYSAAFKSKKHRFASTQAYKLGTFASFVKEPYAHP EDMGPGTYRLRMHTLRIKNIQGEDYTFASHTVRFHTPRLPAVESSSSSPVKSHAKPTV SSAVAMSQTPRFQSDTAFPENYASSKQQRVFYPPDVVYEKPSILRNTIKESVATSTVK YGGMTSNADRLMSTAAMVHNMPGAPKLHPATTDALGPGSYKSSTTQFAHKEESKAKAF TSLLPSEHTKDRFGMKPNKNAGFIEARFRRFCTG H257_10840 MAEWSPSNKKPAAMGAFNLASKASQRQMWRREAENGPSVPLTTS HEPKNPFDCIKQILPAELDPYEERRLFASRMARTAQRTRPNHDERQPYGVKSVDNNLP LATYDIDHGGKAVRSTPLSLDHPFRHTRVDAVHCRRGVHDGVLRSVQVQEASVCEHAG VQTGDVCQLCKRAIRENCSHPEDMGPGTYRLRMHTLRIKNIQGEDYTFASHTVRFHTP RLPAVESSSSSPVKSHAKPTVSSAVAMSQTPRFQSDTAFPENYASSKQQRVFYPPDVV YEKPSILRNTIKESVATSTVKYGGMTSNADRLMSTAAMVHNMPGAPKLHPATTDALGP GSYKSSTTQFAHKEESKAKAFTSLLPSEHTKDRFGMKPNKNAGFIEARFRRFCTG H257_10840 MAEWSPSNKKPAAMGAFNLASKASQRQMWRREAENGPSVPLTTS HEPKNPFDCIKQILPAELDPYEERRLFASRMARTAQRTRPNHDERQPYGVKSVDNNLP LATYDIDHGGKATLSTAVEASTMAYSAAFKSKKHRFASTQAYKLGTFASFVKEPYAHP EDMGPGTYRLRMHTLRIKNIQGEDYTFASHTVRFHTPRLPAVESSSSSPVKSHAKPTV SSAVAMSQTPRFQSDTAFPENYASSKQQRVFYPPDVVYEKPSILRVHMVFTWRG H257_10840 MAEWSPSNKKPAAMGAFNLASKASQRQMWRREAENGPSVPLTTS HEPKNPFDCIKQILPAELDPYEERRLFASRMARTAQRTRPNHDERQPYGVKSVDNNLP LATYDIDHGGKATLSTAVEASTMAYSAAFKSKKHRFASTQAYKLGTFASFVKEPYAHP EDMGPGTYRLRMHTLRIKNIQGEDYTFASHTVRFHTPRLPAVESSSSSPVKSHAKPTV SSAVAMSQTPRFQSDTAFPENYASSKQQRVFYPPDVVYEKPSILRVHMVFTWRG H257_10840 MAEWSPSNKKPAAMGAFNLASKASQRQMWRREAENGPSVPLTTS HEPKNPFDCIKQILPAELDPYEERRLFASRMARTAQRTRPNHDERQPYGVKSVDNNLP LATYDIDHGGKATLSTAVEASTMAYSAAFKSKKHRFASTQAYKLGTFASFVKEPYAHP EDMGPGTYRLRMHTLRIKNIQGEDYTFASHTVRFHTPRLPAVESSSSSPVKSHAKPTV SSAVAMSQTPRFQSDTAFPENYASSKQQRVFYPPDVVYEKPSILRVHMVFTWRG H257_10840 MAEWSPSNKKPAAMGAFNLASKASQRQMWRREAENGPSVPLTTS HEPKNPFDCIKQILPAELDPYEERRLFASRMARTAQRTRPNHDERQPYGVKSVDNNLP LATYDIDHGGKATLSTAVEASTMAYSAAFKSKKHRFASTQAYKLGTFASFVKEPYAHP EDMGPGTYRLRMHTLRIKNIQGEDYTFASHTVRFHTPRLPAVESSSSSPVKSHAKPTV SSAVAMSQTPRFQSDTAFPENYASSKQQRVFYPPDVVYEKPSILRVHMVFTWRG H257_10840 MAEWSPSNKKPAAMGAFNLASKASQRQMWRREAENGPSVPLTTS HEPKNPFDCIKQILPAELDPYEERRLFASRMARTAQRTRPNHDERQPYGVKSVDNNLP LATYDIDHGGKAVRSTPLSLDHPFRHTRVDAVHCRRGVHDGVLRSVQVQEASVCEHAG VQTGDVCQLCKRAIRENCSHPEDMGPGTYRLRMHTLRIKNIQGEDYTFASHTVRFHTP RLPAVESSSSSPVKSHAKPTVSSAVAMSQTPRFQSDTAFPENYASSKQQRVFYPPDVV YEKPSILRVHMVFTWRG H257_10840 MAEWSPSNKKPAAMGAFNLASKASQRQMWRREAENGPSVPLTTS HEPKNPFDCIKQILPAELDPYEERRLFASRMARTAQRTRPNHDERQPYGVKSVDNNLP LATYDIDHGGKAVRSTPLSLDHPFRHTRVDAVHCRRGVHDGVLRSVQVQEASVCEHAG VQTGDVCQLCKRAIRENCSHPEDMGPGTYRLRMHTLRIKNIQGEDYTFASHTVRFHTP RLPAVESSSSSPVKSHAKPTVSSAVAMSQTPRFQSDTAFPGWIILKPSMT H257_10841 MPNPVVHVLDYGAGNVRSLKNALHALGYTPVDVERVEDIENASI LLFPGVGNFAQAMTFLTSHNYVDALKAYILANKRFMGICLGMQTLFEGSEECPGVPGL GIVPGLVARFPSDNLAVPHIGWNGVNLHQSSPIFAHVDASSDPTVYFVHSFRASASSA NKVWVLTTTNYGDVEFISAIQHGNIVATQFHPEKSGAVGLHMLRGFLEGAAPTALTHA APTTVLRKRVIACLDVRANDAGDLVVTKGDQYDVREASNDGQVRNMGKPVDLCARYYS EGADEIAFLNITSFREQPLDDSPMLAVLEAASARVFVPLTVGGGIRGYTDATNRRWSA LDVAARYFRAGADKVSIGSDAVDAAEAHLAGTVVTEKSSIEQISTVYGRQAVVVSVDP RRVYVESLAAHAAAVELKHQRGPLDERFCWYQVMVKGGREGRALDVVQLVQACEALGA GEILLNCVDMDGQNAGYDLDLIGLVKAAVTIPVVASSGAGRPSHFTTVFAETGCDAAL AAGIFHRQEVTIDQVKAQLRLDGVPVRCSL H257_10841 MPNPVVHVLDYGAGNVRSLKNALHALGYTPVDVERVEDIENASI LLFPGVGNFAQAMTFLTSHNYVDALKAYILANKRFMGICLGMQTLFEGSEECPGVPGL GIVPGLVARFPSDNLAVPHIGWNGVNLHQSSPIFAHVDASSDPTVYFVHSFRASASSA NKVWVLTTTNYGDVEFISAIQHGNIVATQFHPEKSGAVGLHMLRGFLEGAAPTALTHA APTTVLRKRVIACLDVRANDAGDLVVTKGDQYDVREASNDGQVRNMGKPVDLCARYYS EGADEIAFLNITSFREQPLDDSPMLAVLEAASARVFVPLTVGGGIRGYTDATNRRWSA LDVAARYFRAGADKVSIGSDAVDAAEAHLAGTVVTEKSSIEQISTVYGRQAVVVSVDP RRVYVESLAAHAAAVELKHQRGPLDERFCWYQVMVKGGREGRALDVVQLVQVGAN H257_10842 MATAYDRQMKWKRHGEKRLAHESRRLEDAKHEECTFSPQTNKHD VKRIVEGGKLTTHSEVHAAQVHVARQQRARVHSDEALKRQFYMSTGKNYDEVTRVKPF RLSNGNKPPRDASPPPAPKLTRARSESPRKQQHPLEAHPTETPVQDGVDNNKGGGGDT PPPTWDKERASLIGIIDAQRQELKARDKGQAEAAKIADKFATAILAFEERLVAVEAKS KQEVVEMRKAMERQQGHVLMILHAMGISVDDGKIHDTRPPRHPLFRKEYEKSSS H257_10843 MPTRPKAAAAPTPSFEKSLPWEHAQGATPLDRTVKVGKDRQQFF DRHKEPPSAINVDHIQGVGHAALHLAHRADTFKKTSSATISTDNDSDSASDEEFESKM HQDELDRILMAEEWDTRFWNRVKIHEAIDTLYAEIEKSSDVARRRLAHKMLLHLNTLH KEANMTNENNLCTLSNKAFRKLTVHVKNNAVFNIAELLAVFAVPYAAALSHENAVLRS LTVLLRQMDCAARVLQHFRRRRQFERQVRTQDISVEARMRMRAINVAKSIELSRQQRC IHEVLGHAPMPERAVLAYMTIMTTLVQDELQPATGLPRHDRAKIIPAGGLVWLNQCVQ HRHSPSLVALTTQLLIVLAHDTDRISDILRSNVVQHVATNLAQASNDCDKALAIAFLD RVAQSVCDVVHKQRTGVSSNASDVSGGTVVSAKTYHSLTRTLSVKSHRSTHVSSSSLV SRNDDTADARDTAYRNRHLSALVVEQLATPTIVGILLRTLDMASPPSVTTGLLQVLHK LAYDVGYPLLVDLVTRNAGRYLSTIVQCLSDTGGSDVVLAALQLLMALASREEGRDGL MVAGLVPLVRPLCAPGMHAPNNSYRFVVGLLAIVVCANPVNSLLSFPTTFRSNDNGVS FVPMTLLVSPHTLLDHVHVCLLHCVTHDTAGHSAQYFQTTNTLPLVLELLVQPPGQPT QQSRSQRHISAIVLSHLCRVASVASTLAFRQDVATHLAVVVQTNRMEGSEGGGCASFS RVDHQRHLQSTAEACRAMLRLLRCQVQTHQPRTVVLAAQVFYLRTLFKVHALEDVVAC CRPVNTVGDFAADDVDVVKCAVQLVGLLMPSLSLLEQQTWPVATSVHGVALDQAGIAD LAKRLVAAATPALLHTLAADDPLPRVVKWCCGTLAQLCSTNATCAQVLHARCLDVVAG LVPNVPSDVCNGLRSMPPQLAVCLCESAQDDKLVALPPTFYTLLAQLGRLADGRAAIY RLNILTRILKRVHFASSKVKGFLTQHDHQCRSEIARIVAAIANENAVGVGNVNELCLR HHVHTILVQMLHPELPPDLPPALGPVLVESGLAALSAMAKDHIRVVPALVAAHALPHI VSFLARWDVDAAVTMSMLEGAVHVMWGMAQSPSESIQALIQASKVSEQLLRIGCSFRL EMLKVAMFGEKSVGEVARETLRHLSEFEAKRVQCTVYCTLNTIQPCSDVAVATHSPPP SPKSRQPRVASPISPFRRPQSSETNEPNATLPTLEPFQVQTTPTCHPSPVKCALNLPT LDWRTTRPLGPKPTVEPPTTMQFIRPKPKRVGERKKYPLLMLDPLFGALDYGVDPCTA VASPQSWNHREGGGEYVAHVSSIMGHHVIVDVQSPTFSADNEVIMKKTSSGTNMGTTL NVSSQRKRIG H257_10843 MPTRPKAAAAPTPSFEKSLPWEHAQGATPLDRTVKVGKDRQQFF DRHKEPPSAINVDHIQGVGHAALHLAHRADTFKKTSSATISTDNDSDSASDEEFESKM HQDELDRILMAEEWDTRFWNRVKIHEAIDTLYAEIEKSSDVARRRLAHKMLLHLNTLH KEANMTNENNLCTLSNKAFRKLTVHVKNNAVFNIAELLAVFAVPYAAALSHENAVLRS LTVLLRQMDCAARVLQHFRRRRQFERQVRTQDISVEARMRMRAINVAKSIELSRQQRC IHEVLGHAPMPERAVLAYMTIMTTLVQDELQPATGLPRHDRAKIIPAGGLVWLNQCVQ HRHSPSLVALTTQLLIVLAHDTDRISDILRSNVVQHVATNLAQASNDCDKALAIAFLD RVAQSVCDVVHKQRTGVSSNASDVSGGTVVSAKTYHSLTRTLSVKSHRSTHVSSSSLV SRNDDTADARDTAYRNRHLSALVVEQLATPTIVGILLRTLDMASPPSVTTGLLQVLHK LAYDVGYPLLVDLVTRNAGRYLSTIVQCLSDTGGSDVVLAALQLLMALASREEGRDGL MVAGLVPLVRPLCAPGMHAPNNSYRFVVGLLAIVVCANPVNSLLSFPTTFRSNDNGVS FVPMTLLVSPHTLLDHVHVCLLHCVTHDTAGHSAQYFQTTNTLPLVLELLVQPPGQPT QQSRSQRHISAIVLSHLCRVASVASTLAFRQDVATHLAVVVQTNRMEGSEGGGCASFS RVDHQRHLQSTAEACRAMLRLLRCQVQTHQPRTVVLAAQVFYLRTLFKVHALEDVVAC CRPVNTVGDFAADDVDVVKCAVQLVGLLMPSLSLLEQQTWPVATSVHGVALDQAGIAD LAKRLVAAATPALLHTLAADDPLPRVVKWCCGTLAQLCSTNATCAQVLHARCLDVVAG LVPNVPSDVCNGLRSMPPQLAVCLCESAQDDKLVALPPTFYTLLAQLGRLADGRAAIY RLNILTRILKRVHFASSKVKGFLTQHDHQCRSEIARIVAAIANENAVGVGNVNELCLR HHVHTILVQMLHPELPPDLPPALGPVLVESGLAALSAMAKDHIRVVPALVAAHALPHI VSFLARWDVDAAVTMSMLEGAVHVMWGMAQSPSESIQALIQASKVSEQLLRIGCSFRL EMLKVAMFGEKSVGEVARETLRHLSEFEAKRVQSVATHSPPPSPKSRQPRVASPISPF RRPQSSETNEPNATLPTLEPFQVQTTPTCHPSPVKCALNLPTLDWRTTRPLGPKPTVE PPTTMQFIRPKPKRVGERKKYPLLMLDPLFGALDYGVDPCTAVASPQSWNHREGGGEY VAHVSSIMGHHVIVDVQSPTFSADNEVIMKKTSSGTNMGTTLNVSSQRKRIG H257_10843 MPTRPKAAAAPTPSFEKSLPWEHAQGATPLDRTVKVGKDRQQFF DRHKEPPSAINVDHIQGVGHAALHLAHRADTFKKTSSATISTDNDSDSASDEEFESKM HQDELDRILMAEEWDTRFWNRVKIHEAIDTLYAEIEKSSDVARRRLAHKMLLHLNTLH KEANMTNENNLCTLSNKAFRKLTVHVKNNAVFNIAELLAVFAVPYAAALSHENAVLRS LTVLLRQMDCAARVLQHFRRRRQFERQVRTQDISVEARMRMRAINVAKSIELSRQQRC IHEVLGHAPMPERAVLAYMTIMTTLVQDELQPATGLPRHDRAKIIPAGGLVWLNQCVQ HRHSPSLVALTTQLLIVLAHDTDRISDILRSNVVQHVATNLAQASNDCDKALAIAFLD RVAQSVCDVVHKQRTGVSSNASDVSGGTVVSAKTYHSLTRTLSVKSHRSTHVSSSSLV SRNDDTADARDTAYRNRHLSALVVEQLATPTIVGILLRTLDMASPPSVTTGLLQVLHK LAYDVGYPLLVDLVTRNAGRYLSTIVQCLSDTGGSDVVLAALQLLMALASREEGRDGL MVAGLVPLVRPLCAPGMHAPNNSYRFVVGLLAIVVCANPVNSLLSFPTTFRSNDNGVS FVPMTLLVSPHTLLDHVHVCLLHCVTHDTAGHSAQYFQTTNTLPLVLELLVQPPGQPT QQSRSQRHISAIVLSHLCRVASVASTLAFRQDVATHLAVVVQTNRMEGSEGGGCASFS RVDHQRHLQSTAEACRAMLRLLRCQVQTHQPRTVVLAAQVFYLRTLFKVHALEDVVAC CRPVNTVGDFAADDVDVVKCAVQLVGLLMPSLSLLEQQTWPVATSVHGVALDQAGIAD LAKRLVAAATPALLHTLAADDPLPRVVKWCCGTLAQLCSTNATCAQVLHARCLDVVAG LVPNVPSDVCNGLRSMPPQLAVCLCESAQDDKLVALPPTFYTLLAQLGRLADGRAAIY RLNILTRILKRVHFASSKVKGFLTQHDHQCRSEIARIVAAIANENAVGVGNVNELCLR HHVHTILVQMLHPELPPDLPPALGPVLYINIRIV H257_10843 MPTRPKAAAAPTPSFEKSLPWEHAQGATPLDRTVKVGKDRQQFF DRHKEPPSAINVDHIQGVGHAALHLAHRADTFKKTSSATISTDNDSDSASDEEFESKM HQDELDRILMAEEWDTRFWNRVKIHEAIDTLYAEIEKSSDVARRRLAHKMLLHLNTLH KEANMTNENNLCTLSNKAFRKLTVHVKNNAVFNIAELLAVFAVPYAAALSHENAVLRS LTVLLRQMDCAARVLQHFRRRRQFERQVRTQDISVEARMRMRAINVAKSIELSRQQRC IHEVLGHAPMPERAVLAYMTIMTTLVQDELQPATGLPRHDRAKIIPAGGLVWLNQCVQ HRHSPSLVALTTQLLIVLAHDTDRISDILRSNVVQHVATNLAQASNDCDKALAIAFLD RVAQSVCDVVHKQRTGVSSNASDVSGGTVVSAKTYHSLTRTLSVKSHRSTHVSSSSLV SRNDDTADARDTAYRNRHLSALVVEQLATPTIVGILLRTLDMASPPSVTTGLLQVLHK LAYDVGYPLLVDLVTRNAGRYLSTIVQCLSDTGGSDVVLAALQLLMALASREEGRDGL MVAGLVPLVRPLCAPGMHAPNNSYRFVVGLLAIVVCANPVNSLLSFPTTFRSNDNGVS FVPMTLLVSPHTLLDHVHVCLLHCVTHDTAGHSAQYFQTTNTLPLVLELLVQPPGQPT QQSRSQRHISAIVLSHLCRVASVASTLAFRQDVATHLAVVVQTNRMEGSEGGGCASFS RVDHQRHLQSTAEACRAMLRLLRCQVQTHQPRTVVLAAQVFYLRTLFKVHALEDVVAC CRPVNTVGDFAADDVDVVKCAVQLVGLLMPSLSLLEQQTWPVATSVHGVALDQAGIAD LAKRLVAAATPALLHTLAADDPLPRVVKWCCGTLAQLCSTNATCAQVLHARCLDVVAG LVPNVPSDVCNGLRSMPPQLAVCLCESAQDDKLVALPPTFYTLLAQLGRLADGRAAIY RLNILTRILKRVHFASSKVKGFLTQHDHQCRSEIARIVAAIANENAVGVGNVNELCLR HHVHTILVQMLHPELPPDLPPALGPVLYINIRIV H257_10843 MPTRPKAAAAPTPSFEKSLPWEHAQGATPLDRTVKVGKDRQQFF DRHKEPPSAINVDHIQGVGHAALHLAHRADTFKKTSSATISTDNDSDSASDEEFESKM HQDELDRILMAEEWDTRFWNRVKIHEAIDTLYAEIEKSSDVARRRLAHKMLLHLNTLH KEANMTNENNLCTLSNKAFRKLTVHVKNNAVFNIAELLAVFAVPYAAALSHENAVLRS LTVLLRQMDCAARVLQHFRRRRQFERQVRTQDISVEARMRMRAINVAKSIELSRQQRC IHEVLGHAPMPERAVLAYMTIMTTLVQDELQPATGLPRHDRAKIIPAGGLVWLNQCVQ HRHSPSLVALTTQLLIVLAHDTDRISDILRSNVVQHVATNLAQASNDCDKALAIAFLD RVAQSVCDVVHKQRTGVSSNASDVSGGTVVSAKTYHSLTRTLSVKSHRSTHVSSSSLV SRNDDTADARDTAYRNRHLSALVVEQLATPTIVGILLRTLDMASPPSVTTGLLQVLHK LAYDVGYPLLVDLVTRNAGRYLSTIVQCLSDTGGSDVVLAALQLLMALASREEGRDGL MVAGLVPLVRPLCAPGMHAPNNSYRFVVGLLAIVVCANPVNSLLSFPTTFRSNDNGVS FVPMTLLVSPHTLLDHVHVCLLHCVTHDTAGHSAQYFQTTNTLPLVLELLVQPPGQPT QQSRSQRHISAIVLSHLCRVASVASTLAFRQDVATHLAVVVQTNRMEGSEGGGCASFS RVDHQRHLQSTAEACRAMLRLLRCQVQTHQPRTVVLAAQVFYLRTLFKVHALEDVVAC CRPVNTVGDFAADDVDVVKCAVQLVGLLMPSLSLLEQQTWPVATSVHGVALDQAGIAD LAKRLVAAATPALLHTLAADDPLPRVVKWCCGTLAQLCSTNATCAQVLHARCLDVVAG LVPNVPSDVCNGLRSMPPQLAVCLCESAQDDKYVMDSSSQVLRRYDVAGSWRCRRHFT RCWPSWAGSRTAARPSTASTSSRGSSSECTLPRAKSRDF H257_10843 MPTRPKAAAAPTPSFEKSLPWEHAQGATPLDRTVKVGKDRQQFF DRHKEPPSAINVDHIQGVGHAALHLAHRADTFKKTSSATISTDNDSDSASDEEFESKM HQDELDRILMAEEWDTRFWNRVKIHEAIDTLYAEIEKSSDVARRRLAHKMLLHLNTLH KEANMTNENNLCTLSNKAFRKLTVHVKNNAVFNIAELLAVFAVPYAAALSHENAVLRS LTVLLRQMDCAARVLQHFRRRRQFERQVRTQDISVEARMRMRAINVAKSIELSRQQRC IHEVLGHAPMPERAVLAYMTIMTTLVQDELQPATGLPRHDRAKIIPAGGLVWLNQCVQ HRHSPSLVALTTQLLIVLAHDTDRISDILRSNVVQHVATNLAQASNDCDKALAIAFLD RVAQSVCDVVHKQRTGVSSNASDVSGGTVVSAKTYHSLTRTLSVKSHRSTHVSSSSLV SRNDDTADARDTAYRNRHLSALVVEQLATPTIVGILLRTLDMASPPSVTTGLLQVLHK LAYDVGYPLLVDLVTRNAGRYLSTIVQCLSDTGGSDVVLAALQLLMALASREEGRDGL MVAGLVPLVRPLCAPGMHAPNNSYRFVVGLLAIVVCANPVNSLLSFPTTFRSNDNGVS FVPMTLLVSPHTLLDHVHVCLLHCVTHDTAGHSAQYFQTTNTLPLVLELLVQPPGQPT QQSRSQRHISAIVLSHLCRVASVASTLAFRQDVATHLAVVVQTNRMEGSEGGGCASFS RVDHQRHLQSTAEACRAMLRLLRCQVQTHQPRTVVLAAQVFYLRTLFKVHALEDVVAC CRPVNTVGDFAADDVDVVKCAVQLVGLLMPSLSLLEQQTWPVATSVHGVALDQAGIAD LAKRLVAAATPALLHTLAADDPLPRVVKWCCGTLAQLCSTNATCAQVLHARCLDVVAG LVPNVPSDVCNGLRSMPPQLAVCLCESAQDDKYVMDSSSQVLRRYDVAGSWRCRRHFT RCWPSWAGSRTAARPSTASTSSRGSSSECTLPRAKSRDF H257_10843 MPTRPKAAAAPTPSFEKSLPWEHAQGATPLDRTVKVGKDRQQFF DRHKEPPSAINVDHIQGVGHAALHLAHRADTFKKTSSATISTDNDSDSASDEEFESKM HQDELDRILMAEEWDTRFWNRVKIHEAIDTLYAEIEKSSDVARRRLAHKMLLHLNTLH KEANMTNENNLCTLSNKAFRKLTVHVKNNAVFNIAELLAVFAVPYAAALSHENAVLRS LTVLLRQMDCAARVLQHFRRRRQFERQVRTQDISVEARMRMRAINVAKSIELSRQQRC IHEVLGHAPMPERAVLAYMTIMTTLVQDELQPATGLPRHDRAKIIPAGGLVWLNQCVQ HRHSPSLVALTTQLLIVLAHDTDRISDILRSNVVQHVATNLAQASNDCDKALAIAFLD RVAQSVCDVVHKQRTGVSSNASDVSGGTVVSAKTYHSLTRTLSVKSHRSTHVSSSSLV SRNDDTADARDTAYRNRHLSALVVEQLATPTIVGILLRTLDMASPPSVTTGLLQVLHK LAYDVGYPLLVDLVTRNAGRYLSTIVQCLSDTGGSDVVLAALQLLMALASREEGRDGL MVAGLVPLVRPLCAPGMHAPNNSYRFVVGLLAIVVCANPVNSLLSFPTTFRSNDNGVS FVPMTLLVSPHTLLDHVHVCLLHCVTHDTAGHSAQYFQTTNTLPLVLELLVQPPGQPT QQSRSQRHISAIVLSHLCRVASVASTLAFRQDVATHLAVVVQTNRMEGSEGGGCASFS RVDHQRHLQSTAEACRAMLRLLRCQVQTHQPRTVVLAAQVFYLRTLFKVHALEDVVAC CRPVNTVGDFAADDVDVVKCAVQLVGLLMPSLSLLEQQTWPVATSVHGVALDQAGIAD LAKRLVAAATPALLHTLAADDPLPRVVKWCCGTLAQLCSTNATCAQVLHARCLDVVAG LVPNVPSDVCNGLRSMPPQLAVCLCESAQDDKYVMDSSSQVLRRYDVAGSWRCRRHFT RCWPSWAGSRTAARPSTASTSSRGSSSECTLPRAKSRDF H257_10843 MAEEWDTRFWNRVKIHEAIDTLYAEIEKSSDVARRRLAHKMLLH LNTLHKEANMTNENNLCTLSNKAFRKLTVHVKNNAVFNIAELLAVFAVPYAAALSHEN AVLRSLTVLLRQMDCAARVLQHFRRRRQFERQVRTQDISVEARMRMRAINVAKSIELS RQQRCIHEVLGHAPMPERAVLAYMTIMTTLVQDELQPATGLPRHDRAKIIPAGGLVWL NQCVQHRHSPSLVALTTQLLIVLAHDTDRISDILRSNVVQHVATNLAQASNDCDKALA IAFLDRVAQSVCDVVHKQRTGVSSNASDVSGGTVVSAKTYHSLTRTLSVKSHRSTHVS SSSLVSRNDDTADARDTAYRNRHLSALVVEQLATPTIVGILLRTLDMASPPSVTTGLL QVLHKLAYDVGYPLLVDLVTRNAGRYLSTIVQCLSDTGGSDVVLAALQLLMALASREE GRDGLMVAGLVPLVRPLCAPGMHAPNNSYRFVVGLLAIVVCANPVNSLLSFPTTFRSN DNGVSFVPMTLLVSPHTLLDHVHVCLLHCVTHDTAGHSAQYFQTTNTLPLVLELLVQP PGQPTQQSRSQRHISAIVLSHLCRVASVASTLAFRQDVATHLAVVVQTNRMEGSEGGG CASFSRVDHQRHLQSTAEACRAMLRLLRCQVQTHQPRTVVLAAQVFYLRTLFKVHALE DVVACCRPVNTVGDFAADDVDVVKCAVQLVGLLMPSLSLLEQQTWPVATSVHGVALDQ AGIADLAKRLVAAATPALLHTLAADDPLPRVVKWCCGTLAQLCSTNATCAQVLHARCL DVVAGLVPNVPSDVCNGLRSMPPQLAVCLCESAQDDKLVALPPTFYTLLAQLGRLADG RAAIYRLNILTRILKRVHFASSKVKGFLTQHDHQCRSEIARIVAAIANENAVGVGNVN ELCLRHHVHTILVQMLHPELPPDLPPALGPVLVESGLAALSAMAKDHIRVVPALVAAH ALPHIVSFLARWDVDAAVTMSMLEGAVHVMWGMAQSPSESIQALIQASKVSEQLLRIG CSFRLEMLKVAMFGEKSVGEVARETLRHLSEFEAKRVQSVATHSPPPSPKSRQPRVAS PISPFRRPQSSETNEPNATLPTLEPFQVQTTPTCHPSPVKCALNLPTLDWRTTRPLGP KPTVEPPTTMQFIRPKPKRVGERKKYPLLMLDPLFGALDYGVDPCTAVASPQSWNHRE GGGEYVAHVSSIMGHHVIVDVQSPTFSADNEVIMKKTSSGTNMGTTLNVSSQRKRIG H257_10843 MAEEWDTRFWNRVKIHEAIDTLYAEIEKSSDVARRRLAHKMLLH LNTLHKEANMTNENNLCTLSNKAFRKLTVHVKNNAVFNIAELLAVFAVPYAAALSHEN AVLRSLTVLLRQMDCAARVLQHFRRRRQFERQVRTQDISVEARMRMRAINVAKSIELS RQQRCIHEVLGHAPMPERAVLAYMTIMTTLVQDELQPATGLPRHDRAKIIPAGGLVWL NQCVQHRHSPSLVALTTQLLIVLAHDTDRISDILRSNVVQHVATNLAQASNDCDKALA IAFLDRVAQSVCDVVHKQRTGVSSNASDVSGGTVVSAKTYHSLTRTLSVKSHRSTHVS SSSLVSRNDDTADARDTAYRNRHLSALVVEQLATPTIVGILLRTLDMASPPSVTTGLL QVLHKLAYDVGYPLLVDLVTRNAGRYLSTIVQCLSDTGGSDVVLAALQLLMALASREE GRDGLMVAGLVPLVRPLCAPGMHAPNNSYRFVVGLLAIVVCANPVNSLLSFPTTFRSN DNGVSFVPMTLLVSPHTLLDHVHVCLLHCVTHDTAGHSAQYFQTTNTLPLVLELLVQP PGQPTQQSRSQRHISAIVLSHLCRVASVASTLAFRQDVATHLAVVVQTNRMEGSEGGG CASFSRVDHQRHLQSTAEACRAMLRLLRCQVQTHQPRTVVLAAQVFYLRTLFKVHALE DVVACCRPVNTVGDFAADDVDVVKCAVQLVGLLMPSLSLLEQQTWPVATSVHGVALDQ AGIADLAKRLVAAATPALLHTLAADDPLPRVVKWCCGTLAQLCSTNATCAQVLHARCL DVVAGLVPNVPSDVCNGLRSMPPQLAVCLCESAQDDKLVALPPTFYTLLAQLGRLADG RAAIYRLNILTRILKRVHFASSKVKGFLTQHDHQCRSEIARIVAAIANENAVGVGNVN ELCLRHHVHTILVQMLHPELPPDLPPALGPVLVESGLAALSAMAKDHIRVVPALVAAH ALPHIVSFLARWDVDAAVTMSMLEGAVHVMWGMAQSPSESIQALIQASKVSEQLLRIG CSFRLEMLKVAMFGEKSVGEVARETLRHLSEFEAKRVQCTVYCTLNTIQPCSDVAVAT HSPPPSPKSRQPRVASPISPFR H257_10844 MERRWSSIRQDGFIAHGHVLWVGPKVVYRVTIETTIMLDNGEDV MWVAAISKSKLEAFQHEIQSLLRAIDTPTGPRSHDGEVEALIRQVQQEVNRVLGANFA DAAVNHKGANIESFATSLLNVFGLLTSMPVDYVDTSLLMNEMLRFYVLLRKFLGIPDG VQHARNKLALAVLSMKDVDDAPGICWDGCCSICLEAWDNVPNLPTVKLPCDHVFHEDC VMIWIRQSVKCPVCRALIAQLSLS H257_10845 MMRQVPAAIQALERGWNCSTNIHSKRVAPVSDEERGRRAAERAE KRAAARRLMQQRPPRRVHVEAKPWNGSTKTDSKRVDPATPAQRDQQGLLRMAERQART LRLQAPHGVTFQPTTKWNASTRVTSQEVVVARPRVEPLMAVLRPRAPLLVYMYIWNDS TNLHKEGPSSIVDGCPSASWWCTWLAMDAPL H257_10846 MSSATLPPPAPSAALLDDPEELSKYLKTKIRTIPDFPRPGADFW DVSTLLLDYEAFQVTIDAFSKRYKDERITHVVSVESRGFMFGAPVALALKCAFVPVRR ARKLPGHIIGIDYTSGYYHGRYEVHDDAIPRGSRVVIIDDLVATGNTLLVTCQLMKQL GAEVVECGCVVSSVSRVRRNSGATQIHFHQPVHKVKVDGPNVFSLTSYEH H257_10847 MMESSSMPDFSYVDDVFRHTIDLDEPWCRMSLADVNSVRLSQSL LQIGPIYSDIVHWKERANESMRVASRRTNHLIESLDMDPVERKHRSASSTLINEVDAE PLPFDDDARDSVTVPVVSHSGWLIKQASRSWSFKRRLFFILGRELVYHKSHESSYSTI SGRVNLEVTTNVMRVPNFGFKLVQGSFTMLLYALNEADRNTWIHKLNLCDVHTIDLPP VIAPTTATIPDKKVVASGWLRKQGQVFKSVKRRWFELTGADGLSYFRNPDTATKPKGR VLVTPASSVTRLDMRKTGERFSFSITENALDKKSRVLFVHADSQEDRSIWVAALSSVI LCKDGASPPALSSPLGPNGSVDDGTCIERSLSDPMSPDHDDLPRGKDDSDILADIARE AQLILVSPYSPEGTTRYIYICSSSCIEGRGEWGGGEVLVECILCCQDILYVVKVFAVF ECMTIYIYIYVVGDGSENFLKTIHRKTLCLQSVRRFMEGLMEYMVTTRMHYFCALCGD DSKRHFDAIAAIISEQVEERVFSPIHKVVYQVLVPKADSKALRDRLELLQGRKQAYFG INSPSPSGYAAAIAAMTAIDGQSLPSFKRRQLVVACNTIYHVAAEEGLYPSAAMSADD FIPAFIFVVVQCRVEDVLMLKELLVAFPPVSDTGEAAYFVTCLEIAIEYVQSLVLLQE LELDGDRPLGVEFSVVAVDEDLRVLVVAAVAPHSQADACGHIHIGDVLMTVNGLAVHD RTVADVHKVIRAAQGPVALAFVHIKDVKKVHSSVRNVAAVPTSHNRPISTKE H257_10847 MMESSSMPDFSYVDDVFRHTIDLDEPWCRMSLADVNSVRLSQSL LQIGPIYSDIVHWKERANESMRVASRRTNHLIESLDMDPVERKHRSASSTLINEVDAE PLPFDDDARDSVTVPVVSHSGWLIKQASRSWSFKRRLFFILGRELVYHKSHESSYSTI SGRVNLEVTTNVMRVPNFGFKLVQGSFTMLLYALNEADRNTWIHKLNLCDVHTIDLPP VIAPTTATIPDKKVVASGWLRKQGQVFKSVKRRWFELTGADGLSYFRNPDTATKPKGR VLVTPASSVTRLDMRKTGERFSFSITENALDKKSRVLFVHADSQEDRSIWVAALSSVI LCKDGASPPALSSPLGPNGSVDDGTCIERSLSDPMSPDHDDLPRGKDDSDILADIARE AQLILVSPYSPEGTTRYIYICSSSCIEGRGEWGGGEVLVECILCCQDILYVVKVFAVF ECMTIYIYIYVVGDGSENFLKTIHRKTLCLQSVRRFMEGLMEYMVTTRMHYFCALCGD DSKRHFDAIAAIISEQVEERVFSPIHKVVYQVLVPKADSKALRDRLELLQGRKQAYFG INSPSPSGYAAAIAAMTAIDGQSLPSFKRRQLVVACNTIYHVAAEEGLYPSAAMSADD FIPAFIFVVVQCRVEDVLMLKELLVAFPPVSDTGEAAYFVTCLEIAIEYVQSLVLLQE LELDGDRPLGVEFSVVAVDEDLRVLVVAAVAPHSQADACGHIHIGDVLMTVNGLAVHD RTVADVHKVIRAAQGPVALAFVHIKDVKKVHSSVRNVAAVPTSHNRPISTKE H257_10847 MMESSSMPDFSYVDDVFRHTIDLDEPWCRMSLADVNSVRLSQSL LQIGPIYSDIVHWKERANESMRVASRRTNHLIESLDMDPVERKHRSASSTLINEVDAE PLPFDDDARDSVTVPVVSHSGWLIKQASRSWSFKRRLFFILGRELVYHKSHESSYSTI SGRVNLEVTTNVMRVPNFGFKLVQGSFTMLLYALNEADRNTWIHKLNLCDVHTIDLPP VIAPTTATIPDKKVVASGWLRKQGQVFKSVKRRWFELTGADGLSYFRNPDTATKPKGR VLVTPASSVTRLDMRKTGERFSFSITENALDKKSRVLFVHADSQEDRSIWVAALSSVI LCKDGASPPALSSPLGPNGSVDDGTCIERSLSDPMSPDHDDLPRGKDDSDILADIARE AQLILVSPYSPEGTTSENFLKTIHRKTLCLQSVRRFMEGLMEYMVTTRMHYFCALCGD DSKRHFDAIAAIISEQVEERVFSPIHKVVYQVLVPKADSKALRDRLELLQGRKQAYFG INSPSPSGYAAAIAAMTAIDGQSLPSFKRRQLVVACNTIYHVAAEEGLYPSAAMSADD FIPAFIFVVVQCRVEDVLMLKELLVAFPPVSDTGEAAYFVTCLEIAIEYVQSLVLLQE LELDGDRPLGVEFSVVAVDEDLRVLVVAAVAPHSQADACGHIHIGDVLMTVNGLAVHD RTVADVHKVIRAAQGPVALAFVHIKDVKKVHSSVRNVAAVPTSHNRPISTKE H257_10847 MMESSSMPDFSYVDDVFRHTIDLDEPWCRMSLADVNSVRLSQSL LQIGPIYSDIVHWKERANESMRVASRRTNHLIESLDMDPVERKHRSASSTLINEVDAE PLPFDDDARDSVTVPVVSHSGWLIKQASRSWSFKRRLFFILGRELVYHKSHESSYSTI SGRVNLEVTTNVMRVPNFGFKLVQGSFTMLLYALNEADRNTWIHKLNLCDVHTIDLPP VIAPTTATIPDKKVVASGWLRKQGQVFKSVKRRWFELTGADGLSYFRNPDTATKPKGR VLVTPASSVTRLDMRKTGERFSFSITENALDKKSRVLFVHADSQEDRSIWVAALSSVI LCKDGASPPALSSPLGPNGSVDDGTCIERSLSDPMSPDHDDLPRGKDDSDILADIARE AQLILVSPYSPEGTTSENFLKTIHRKTLCLQSVRRFMEGLMEYMVTTRMHYFCALCGD DSKRHFDAIAAIISEQVEERVFSPIHKVVYQVLVPKADSKALRDRLELLQGRKQAYFG INSPSPSGYAAAIAAMTAIDGQSLPSFKRRQLVVACNTIYHVAAEEGLYPSAAMSADD FIPAFIFVVVQCRVEDVLMLKELLVAFPPVSDTGEAAYFVTCLEIAIEYVQSLVLLQE LELDGDRPLGVEFSVVAVDEDLRVLVVAAVAPHSQADACGHIHIGDVLMTVNGLAVHD RTVADVHKVIRAAQGPVALAFVHIKDVKKVHSSVRNVAAVPTSHNRPISTKE H257_10847 MRVASRRTNHLIESLDMDPVERKHRSASSTLINEVDAEPLPFDD DARDSVTVPVVSHSGWLIKQASRSWSFKRRLFFILGRELVYHKSHESSYSTISGRVNL EVTTNVMRVPNFGFKLVQGSFTMLLYALNEADRNTWIHKLNLCDVHTIDLPPVIAPTT ATIPDKKVVASGWLRKQGQVFKSVKRRWFELTGADGLSYFRNPDTATKPKGRVLVTPA SSVTRLDMRKTGERFSFSITENALDKKSRVLFVHADSQEDRSIWVAALSSVILCKDGA SPPALSSPLGPNGSVDDGTCIERSLSDPMSPDHDDLPRGKDDSDILADIAREAQLILV SPYSPEGTTSENFLKTIHRKTLCLQSVRRFMEGLMEYMVTTRMHYFCALCGDDSKRHF DAIAAIISEQVEERVFSPIHKVVYQVLVPKADSKALRDRLELLQGRKQAYFGINSPSP SGYAAAIAAMTAIDGQSLPSFKRRQLVVACNTIYHVAAEEGLYPSAAMSADDFIPAFI FVVVQCRVEDVLMLKELLVAFPPVSDTGEAAYFVTCLEIAIEYVQSLVLLQELELDGD RPLGVEFSVVAVDEDLRVLVVAAVAPHSQADACGHIHIGDVLMTVNGLAVHDRTVADV HKVIRAAQGPVALAFVHIKDVKKVHSSVRNVAAVPTSHNRPISTKE H257_10848 MGLLGASQQERTPKPARQRLPSGYALDATKEYECLDRVALITGI TGQDGSYLSELLLSKGYIVHGIIRRSSSFNTGRINHLYKDPHLNGVRLFLHYGDLSDS SNLCSIVSTVQPHEVYNLGAMSHVKVSFEMPEYTADIDGLGTLRLLNAIRTCGRPDTR FYQASTSELFGKVRSVPQNEDTPFHPRSPYGVAKQYAFWTVVNYREAYGMYCVNGILF NHESPRRGPTFVTRKITRAATRIKAGIEDCLYIGNLDAKRDWGHARDFVRGMWQMLQL ETAEDFVLATGECHSVREFIEVAFAAVGLPVRWEGGPMGSVDEVGVVGNDQRVVIRVD PKYFRPAEVDLLLGDASKAKRVLPWEPSTTFEALVAEMIQSDMHDIAQRSNHVATTQ H257_10848 MGLLGASQQERTPKPARQRLPSGYALDATKEYECLDRVALITGI TGQDGSYLSELLLSKGYIVHGIIRRSSSFNTGRINHLYKDPHLNGVRLFLHYGDLSDS SNLCSIVSTVQPHEVYNLGAMSHVKVSFEMPEYTADIDGLGTLRLLNAIRTCGRPDTR FYQASTSELFGKVRSVPQNEDTPFHPRSPYGVAKQYAFWTVVNYREAYGMYCVNGILF NHESPRRGPTFVTRKITRAATRIKAGIEDCLYIGNLDAKRDWGHARDFVRGMWQMLQL ETAEVRMTTFETCDIFYGNRILCWRRASATACVSSSRWRLLRWGCRCDGKAVPWAAWM KSAWSAMTRGWSYESTPSTFVRPRLICSWATHPKPSGCCRGNPRRPLKRSWRK H257_10849 MMMATVLGRSLIRKQNATTAAVAASWTRRFSSHPSDDWETITRR NGQTVYYNRVTKESTRTNPFSSGKVLPSSSPAIPSTASTSALPVVDAPQDSTNAVEEA VVYTPIDFSKAAQIVGQESQLVHITLEPNQKLRAESGAMIYMTDGVHLDTHTAGGVQQ GLKRMMTGENFFVTEYTYTGTTSGQVCLGTSVPSKIVHMNLADFGGSLICQKGAFVAG SHTVGIEMEFTKNFGAGFFGGQGFILQRLTGPGDAFVRASGALIERTLAPGEVLRISS GSLVAFEPTVHFDIQRMQGVKNIMFAGEGLFVTTLTGPGRVFLQGMPFDRMVSEIASR VPGGGGMMFVPGFGGGGGGAASQGGEGGDVAGEGPATEEVEGGGESEPEEESMFGYDG ADVESDDDYSSPNSFVTEEDQGDLGDAAEGVVDFLKKWF H257_10850 MAAASAPQFVLANNDLDEGLGQDGRTALEIFVTKNNGVGLTYDD LILMPGHINFGVDQVDLTTRVSRNISLYLPLVSSPMDTVTEHQMAISMALHGAIGVIH YNMTVEEQCHEVYLVKKFKNGFITNPKCLAPTNTLADVDTIKAELGFAGIPITESGNV GSTLLGMVSSRDIDFIENRDTPLKDVMATNLITALEGVKLAEANQILKEKKLGKLPIV NAKGELVSLISRRDLVKNRDFPHASKNANKQLLVGAAIGTRPNDRDRADALVAAGVDL LVIDSSQGDSTFQIEILQYLKKTHPHVDVVGGNVVTMRQAKNLILAGADGLKIGMGSG SICTTQEICAVGRAQASAVYNTARLAAKYGVPVIADGGISSSGHIIKALCAGASAVMC GSLFAGTEEAPGQYFFQDGVRLKKYRGMGSVEAQSQGSAKRYFGSNAAVKVAQGVSGA VVDKGSLNRYIPYLQQGIKHGFQDLGSRSVKDLHEQLYAGELRFEMRTPAAQREGSVH GLHTYEKRLF H257_10851 MDRKPTFSVGKCLNPICGAATLNQYIHYCDRWACQLLRGLLVTC EALGNDKESLEAAYYADLANNADTADEDEEIMFHAASSSKKRPLDESRFTAKAEPVVK APPTTAAAASLPPHLPDDAEYQIPKKKRDVIHDNLPIPKRKREDIPRRPDLPHRRPDS TSTSDRRPTRFDVAPPQTPPPAQTTSAPSNPFAAATPPQPERRGSNNRFVHGYVNHAT ITKSAEAAKKDIIYQPNDPSRQRQKGDKAIHKKPAAAHHNPTPFASGKPRRVSFHSEW IQPASKNLRRVHDPVSILKVPTAATADTTRPSSKAAASSHDRSDSRNPERPAKHSHNS AAKNQKISVTEYKQKARPLTQDHDDETSKSVKAPPTDPRRAMKLMASRAADPPNPHHE PPLVPTSANDPARPEGHQQPTKPTLPPPPPPRDPRQQHFRPPPPRPPSSSTAVSNLVV PKGGPPNDPRARPPVVAAAIPPNDHKGTGGGDGGDSSRRPTPPEAATTHPTGMSSENV DDEDNSWMMEAPSSKYANPRPVKSSGGDADDDADVHMSGPLEEGEHDDNAGNDPEHHE HVHHPPPFHPLEGEYIDEVYESEAPSHDDQPQPLVPPVQLDTSALYDAVWLLSGRVHS ALMSMKLPLTKKADNYDHFQSVVRDVEAIDDAPVRMTFRIEDRPRGHDNHTEVTVKVG THVLMHYLDKGSRYTALHAVLPRLYTQAWVWHMLVNNIQEGLYPSLDQALHKSRYYEC CDDDDEADEGSGVAPDGSVYYFKCIFRLQVGYGVHEHDVAVAKTRCYDRAWEALQAIC ARLSYMYRPLVEEDEDDNTPANAEADEPALTSSLSNIHVDEREDLIALPPHFTNDLAP HLALLERDPNSPGSSPIFAHPTVPGRLPDQFSDDDEDMYA H257_10852 MMYKALASLSAVAASVAVAADPVPTWTMKPVQSIQARVQAFPPS YDAGPGHNTFVADFVKDAVTFQDKYRVSMDTVNTASVEGALMYLQAEGIDYAVNKPCY RKNNMSYIWFYNITIVQPTYMLAEFQDTQMPEYGPFVAMDNGICTPISAAVPVPAECK EIDGLDNFPKLGPFVGGEPRKDDPRAPYDENIWFSYPNSCYLSPFGGKSEACRKTQTG GLCPRGTKPDGIKCTYAFEVIGFVAIDDLVGITSIPFGTSGRTYSGFVEFCKDNKVEF DSRNLTSMIPFWRDPFNRTANQARSTALINYYNQVAKQPNSYMQPLPTLKELTDSNPP CYLNSKKCYDAAFGCRRVLLAQVCQVCTTDGTGCVKKPANAEPFPDLPKAERKVPQEL LVTNTTGAFGKNATPSPAAPGSPSSIAGKSSAAVGSNNGRWGLVVAMASVVAVMLV H257_10853 RNQPISSWSGQCEGVIIHCEVKGTMAITKEQHDELAVSYAALVL FDGDAEITSEALSNVITASGNEVEPYWPTLFAGLLSKEGKLLELITSGGGIGGAAAAP AAGAAAGGAAAKEEKKEEKKEEEDADLGGGMDMFGGSSDY H257_10854 MASTDDGDDVRHDVKENKGGEDDSGIVGASPDNDNDIDNAPDLP IAIATEVSEEASPRDDKKTSNDVHVDAVNTTATTSDVPIDGDADVVGHPAESPIPLPG AVVEEESTSEPTIESSLAQPPPLRSPNVPSEDGANNHPTSSISTMTPVVPSSSPLKRK RTTDDSIIARPCPIPADYSASINPSGDPDLTSAILSRDEMAKKEEDTGLLEFCVITND GTPERMVQLMTLKNIFSKQLPKMPKEYIVRLVFDRNHQSMVILKNKKTVVGGICYRPF LANHFGEIAFCAITASEQVKGYGTRLMNHLKEFVKTQHLTHFLTYADNYAIGYFKKQG FSKVVSMARPNWFGYIKDYDGGTLMECQIHPHINYLNITAMVHAQRAMLVDEIQKRSK AAIVYPGLTTFCDARLVNIHAVPGVKEAGWSQTLIRTSRTNRDSSSLKQQLQSMWKSF SNHRSAWPFHEPVDVTVVTDYLEIIQCPIDLSAIQKKIDENAYATKAAFKDDLVLMCE NSIAYNSPDTNYYKAAKDLLEFVKKKVVLEPQDGRDKAGYSSAPATKKHLVR H257_10854 MASTDDGDDVRHDVKENKVDIEGVTPDVSMDERQAEDVDLQGGE DDSGIVGASPDNDNDIDNAPDLPIAIATEVSEEASPRDDKKTSNDVHVDAVNTTATTS DVPIDGDADVVGHPAESPIPLPGAVVEEESTSEPTIESSLAQPPPLRSPNVPSEDGAN NHPTSSISTMTPVVPSSSPLKRKRTTDDSIIARPCPIPADYSASINPSGDPDLTSAIL SRDEMAKKEEDTGLLEFCVITNDGTPERMVQLMTLKNIFSKQLPKMPKEYIVRLVFDR NHQSMVILKNKKTVVGGICYRPFLANHFGEIAFCAITASEQVKGYGTRLMNHLKEFVK TQHLTHFLTYADNYAIGYFKKQGFSKVVSMARPNWFGYIKDYDGGTLMECQIHPHINY LNITAMVHAQRAMLVDEIQKRSKAAIVYPGLTTFCDARLVNIHAVPGVKEAGWSQTLI RTSRTNRDSSSLKQQLQSMWKSFSNHRSAWPFHEPVDVTVVTDYLEIIQCPIDLSAIQ KKIDENAYATKAAFKDDLVLMCENSIAYNSPDTNYYKAAKDLLEFVKKKVVLEPQDGR DKAGYSSAPATKKHLVR H257_10854 MDERQAEDVDLQGGEDDSGIVGASPDNDNDIDNAPDLPIAIATE VSEEASPRDDKKTSNDVHVDAVNTTATTSDVPIDGDADVVGHPAESPIPLPGAVVEEE STSEPTIESSLAQPPPLRSPNVPSEDGANNHPTSSISTMTPVVPSSSPLKRKRTTDDS IIARPCPIPADYSASINPSGDPDLTSAILSRDEMAKKEEDTGLLEFCVITNDGTPERM VQLMTLKNIFSKQLPKMPKEYIVRLVFDRNHQSMVILKNKKTVVGGICYRPFLANHFG EIAFCAITASEQVKGYGTRLMNHLKEFVKTQHLTHFLTYADNYAIGYFKKQGFSKVVS MARPNWFGYIKDYDGGTLMECQIHPHINYLNITAMVHAQRAMLVDEIQKRSKAAIVYP GLTTFCDARLVNIHAVPGVKEAGWSQTLIRTSRTNRDSSSLKQQLQSMWKSFSNHRSA WPFHEPVDVTVVTDYLEIIQCPIDLSAIQKKIDENAYATKAAFKDDLVLMCENSIAYN SPDTNYYKAAKDLLEFVKKKVVLEPQDGRDKAGYSSAPATKKHLVR H257_10854 MASTDDGDDVRHDVKENKGGEDDSGIVGASPDNDNDIDNAPDLP IAIATEVSEEASPRDDKKTSNDVHVDAVNTTATTSDVPIDGDADVVGHPAESPIPLPG AVVEEESTSEPTIESSLAQPPPLRSPNVPSEDGANNHPTSSISTMTPVVPSSSPLKRK RTTDDSIIARPCPIPADYSASINPSGDPDLTSAILSRDEMAKKEEDTGLLEFCVITND GTPERMVQLMTLKNIFSKQLPKMPKEYIVRLVFDRNHQSMVILKNKKTVVGGICYRPF LANHFGEIAFCAITASEQVKGYGTRLMNHLKEFVKTQHLTHFLTYADNYAIGYFKKQG FSKVVSMARPNWFGYIKDYDGGTLMECQIHPHINYLNITAMVHAQRAMLVDEIQKRSK AAIVYPGLTTFCDARLVNIHAVPGVKEAGWSQTLIRTSRTYGRHMWAWTDEYKCLHAL DIYIYIWGLTSELIGWLGCIYII H257_10855 MIWNAAAIHHGGTARRNGSSSGSNAAMKISTISVAVILLFYFVS MQKMFFATTGPAHIVDVQVANIRAVHHDDRPSASSTASPSRSTAPLRNWTRSRGRRYV WLDVAIDHVPAGRLVAELYMDKVPKTAENFRGLVTGDNQPGWSYKNSTCHRILKGFIV QCGSYDTRGGTSIYGKDFEDEATGLTLKHSKRGILQMANAGPNTNGAQFCFMLGPAAH LNGHHVVFGEIVQGLDVLDLMEAAGVASDDDELGRSVMLVDGGEIFD H257_10856 MTSFETRVAHAQLHYFPMNTSRRGHAAGGINYPKYITGFLVTLT LVYFVWVQKSFAPSSPPLLKASDAEAKLAVESTSAPPTPVVRTWSRAPGRRYVWIDVE IDGEPVGRITAELYMDIVPATAENFRALVTGDNAAGISYKGSVCHRIITGFIVQCGDF ETGKGYGGRSIYSSGKFKDEPAGLQLKHDKRYVLQMANSGEDTNGSQFCFMLGAAPHL NGRHVVFGQVVEGFEVVDLMETAGSAEDGVILNHNVVLKDGGEYLN H257_10857 MVVFQHEGKQRVPSKTGTWHVHEVHLNGDHTRYVCHHSDVLPSA LLTRIALPTAIDGIKRFEAQAEGHDDSDKLIFGGYFMLVDYAHETSVVPALDSMCSLR VTCRLHVHGAGFGVTCRYAHSEYELGWILKDAATGLMIGFVYDGSEYVVGTERILLPA GHDAVADVSQTVEFLAPRRQNHPSDLNTRGSPRAYLLRGYGDTEASKKMAVARESAAD YHDDDDWLIGVGTSVWWTLRIAPLVPALASLYNLWSSHRLDYTEL H257_10858 MCSDGTTLRRASRGPGLTFEHTLFVSVALVAPQGALGDEGAHWG CGSMTRFHWLGLTVNEGEEAPRDTLPRFASRYGRRHTVCVVVTELRLNALGVQVANVI PAALTKEGVEGVIRHWLPVPVLGRMQVQF H257_10858 MCSDGTTLRRASRGPGLTFEHTLFVSVALVAPQGLTVNEGEEAP RDTLPRFASRYGRRHTVCVVVTELRLNALGVQVANVIPAALTKEGVEGVIRHWLPVPV LGRMQVQF H257_10859 MGRISYVMVDGHASALIDDRQPMQVKYRCGAAVAVMLLNASACT ACTMPWTLALETQSMERMSPMCSPSPSRGEGTGASLEAAPRSQSRRPRWCCRHRCIHR RRLLPPRVVLPRART H257_10860 MQRRLSCLMKKLNLNEETVSALLMRSFPDFQRWMAGKEHGNDDY NDNDDDIEARVEFFLMSNALEDLVQQKDIAYTSLPVDALLVRSNGIVQSGMHHAKATE TKPARQSKRKSTRAVKLELIDDRSPIDAKEYLTRGLMNLRNQMKTQHGRRAMDRGAWS MDIRGKWTRIAPRSHTRQSATPALGRRCDQLMATTDPLRPIRIDFQVGLDRRIQETIL WNVDANETTPIQFAAITAAELKLSPTFQNSIAASICQQLDQQSAKIPRYQCEDRLHPI CIHIQIDGLLVQDQFEWNINDDSNSPEHFALVMCRDLQLPAAFHAAIALSIREQVHFY RRVIFGGTKTDQPVWAMPRLEHAVRLPLECDQWGPTLTHVNGTLDAPAVGTAPLPSAS HEPPPKPARRRIPFSIKPTTAFSIYSKRQRSDPSTVSTSVRAIQKAWRHLSNEDKAMY ADLAAVDTTKRRRQHLVRVRDNHIRTWEVKDALRRGLIPYASTDSSSKCRELLVEHYI DARNQVDNLLLLEHQMPRQATFLVDASKPKSITTTTTATTWERFHQA H257_10860 MQRRLSCLMKKLNLNEETVSALLMRSFPDFQRWMAGKEHGNDDY NDNDDDIEARVEFFLMSNALEDLVQQKDIAYTSLPVDALLVRSNGIVQSGMHHAKATE TKPARQSKRKSTRAVKLELIDDRSPIDAKEYLTRGLMNLRNQMKTQHGRRAMDRGAWS MDIRGKWTRIAPRSHTRQSATPALGRRCDQLMATTDPLRPIRIDFQVGLDRRIQETIL WNVDANETTPIQFAAITAAELKLSPTFQNSIAASICQQLDQQSAKIPRYQCEDRLHPI CIHIQIDGLLVQDQFEWNINDDSNSPEHFALVMCRDLQLPAAFHAAIALSIREQVHFY RRVIFGGTKTDQPVWAMPRLEHAVRLPLECDQWGPTLTHVNGTLDAPAVGTAPLPSAS HEPPPKPARRRIPFSIKPTTAFSIYSKRQRSDPSTVSTSVRAIQKAWRHLSNEARELC H257_10861 MDPAVAELNWAIHAKAHARSRLAFKVFVKIIADDDGTRDDIVYQ AYVHKFELEMEFLTFPAARATAVQMLTRFHGAHEPYLLKSRAEAKLYKLDDAFLSAFV GLGFCPIYTALLSDLNMTRQKLCRSVSLSRRVKHDVLEPPPPYPTDVVDVPDCFVRVP GQRTVPSRFELCEGVSPDQLQRVLGVEPESTQLLLGRNLHLPKTLTQSAALAPRLSNL ATLCMSTAFLRGFYEPTTADHTTLFERDCGIILSRAVRKLESVPVVPGSVFVFDVDDT AVTSYWYMKERGFKAIPATEFYYYARYHAPVIPLLFKFYTYLQWKHIKVMFLTERPEV VRDHTLSMLHAAGYTVDTSELIMRLPHEQMLSVAKLKQNMRTALHREHHKVIGCIGDQ FCDITGEFTGSPFKIPNYMYQVE H257_10861 MTRQKLCRSVSLSRRVKHDVLEPPPPYPTDVVDVPDCFVRVPGQ RTVPSRFELCEGVSPDQLQRVLGVEPESTQLLLGRNLHLPKTLTQSAALAPRLSNLAT LCMSTAFLRGFYEPTTADHTTLFERDCGIILSRAVRKLESVPVVPGSVFVFDVDDTAV TSYWYMKERGFKAIPATEFYYYARYHAPVIPLLFKFYTYLQWKHIKVMFLTERPEVVR DHTLSMLHAAGYTVDTSELIMRLPHEQMLSVAKLKQNMRTALHREHHKVIGCIGDQFC DITGEFTGSPFKIPNYMYQVE H257_10861 MDPAVAELNWAIHAKAHARSRLAFKVFVKIIADDDGTRDDIVYQ AYVHKFELEMEFLTFPAARATAVQMLTRFHGAHEPYLLKSRAEAKLYKLDDAFLSAFV GLGFCPIYTALLSDLNMTRQKLCRSVSLSRRVKHDVLEPPPPYPTDVVDVPDCFVRVP GQRTVPSRFELCEGVSPDQLQRVLGVEPESTQLLLGRNLHLPKTLTQSAALAPRLSNL ATLCMSTAFLRGFYEPTTADHTTLFERDCGIILSRAVRKLESVPVVPGSVFVFDVDDT AVTSYWYMKERGFKAIPATEFYYYARYHAPVIPLLFKFYTYLQWKHIKVMFLTERPEV VRDHTLSMLHAAGYTVDTSEYEDMDFLNRR H257_10862 MEYTRSSYTGPTTFGRFNGHGTYTFGDGSRYEGEFQNGQFHGTG TLFFAQGKYEGTWKDGKRVDGHFTFADGLKFDDPWTYMDDADRRFHSEKVAFAKSSSE AKAGSGILPAGETAHCDEGLQKSLPMGYYDAGNGMYDELTNTIMPVSTSDMSDLPREA TDEEARWIKACAAKGFPQKNNE H257_10863 MASSYVEAVQDISSLIDTICSGEVSNPDWINILELCDLVTSSST HAEQTTRSLQRVLGHRQHDENSISLALLVTESVLNNCPGFYNHLASRLFLQEVVALVD HSSPAVQERATRMLQDWAANYPDQSIFRDTYQQLRVQGVSFPSTPASPSSITSRDFAA SVLPATAIDEAKPVATMSPSLAAEFQKLHQDLVTVQEKIQTYQTLVALGARGDDDNHD VEDVLDFLQQCQPRMNSLIEAGLAGKLDERTLEICLTVNDRLICVLEGSSVDNESKPA STTYLAGPVARLTSSTPSASLFGHADAV H257_10863 MASSYVEAVQDISSLIDTICSGEVSNPDWINILELCDLVTSSST HAEQTTRSLQRVLGHRQHDENSISLALLVTESVLNNCPGFYNHLASRLFLQEVVALVD HSSPAVQERATRMLQDWAANYPDQSIFRDTYQQLRVQGVSFPSTPASPSSITSRDFAA SVLPATAIDEAKPVATMSPSLAAEFQKLHQDLVTVQEKIQTYQTLVALGARGDDDNHD VEDVLDFLQQCQPRMNSLIEAGLAGKLDERTLEICLTVNDRLICVLEGSSVDNESKPA STTYLAGPVARLTSSTPSASLFGHADAGTYPLFPM H257_10863 MASSYVEAVQDISSLIDTICSGEVSNPDWINILELCDLVTSSST HAEQTTRSLQRVLGHRQHDENSISLALLVTESVLNNCPGFYNHLASRLFLQEVVALVD HSSPAVQERATRMLQDWAANYPDQSIFRDTYQQLRVQGVSFPSTPASPSSITSRDFAA SVLPATAIDEAKPVATMSPSLAAEFQKLHQDLVTVQEKIQTYQTLVALGARGDDDNHD VEDVLDFLQQCQPRMNSLIEAGLAGKLDERTLEICLTVCNVQSSIQELKRVCCR H257_10863 MASSYVEAVQDISSLIDTICSGEVSNPDWINILELCDLVTSSST HAEQTTRSLQRVLGHRQHDENSISLALLVTESVLNNCPGFYNHLASRLFLQEVVALVD HSSPAVQERATRMLQDWAANYPDQSIFRDTYQQLRVQGVSFPSTPASPSSITSRDFAA SVLPATAIDEAKPVATMSPSLAAEFQKLHQDLVTVQEKIQTYQTLVALGARGDDDNHD VEDVLDFLQQCQPRMNSLIEAGLAGKLDERTLEICLTVCNVQSSIQELKRVCCR H257_10864 MLRSLARTAATPRATSLWTAQGSRGKHTLVLMRHGESEWNKTNQ FTGWYDAPLSAKGHEEAKAAGKAVAEAGLTFDVAYTSYLRRAIRTCWHVLEESDQIFV PIHNEWRLNERHYGGLTGLDKAETVQKHGKEQVLIWRRSYDIPPPQLTTDSEYYPGHD RRYKDLNKEDLPLSESLEMTAARVMPVWEQEIAPGVLAGKNILIAAHGNSLRALIMHL DNISKDDITELNVPTGVPLVYHLDDNLKPIRHRDAIAPLSGHYLGNQDEIRARILGVK NQTK H257_10865 MFKAAIVLGLAAQAVALPLFPARIPNGNKVANVGALGHINPAGG GPLNPFGMAFEDAEMMWTLQLCQADSDTDGATNGEELGDPCCTWTVGATLTTTTATHP GKADPFTPDQLRSLKCVVGGSGNATSATTTFSPSGTATNAATTSSPSGTRATPSATTN TPTASSAAALSGAMLTGAAVAMAMVTQQ H257_10866 MRKNLTDVERNAVLQRLLIRMQPGGKLPRGAMVDVALEFDVVRS TVRRIWKRACVNVHGDVRPCADAVALPLFPARIPNGNKVANVGALGHINPAGGGPLNP FGMAFEDAEMMWTLQLCQADSDTDGATNGEELGDPCCTWTVGATLTTTTATHPGKADP FTPDQLRSLKCVVGGSGNATSATTTFSPSGTATNAATTSSPSGTRATPSATTNTPTAS SAAALSGAMLTGAAVAMAMVTQQ H257_10867 MFKAVIFLGLAAQAAAYGSYVAKIPNGDKVEGVGAIGHTNPSGG GPRNPFGLAFDEADNAWTTELCKADSDTDGATNGEELGDPCCTWKVGTTLSTAKATHP GKADTFTPDQLKSLKCATGGSGNATNATTTSSPSGTAATPSATPTASSAASLSGAMLT GAAVAIAMAIQQ H257_10868 MFKAVIFLGLAAQAAAYGSYVAKIPNGDKVEGVGAIGHTNPSGG GPRNPFGLAFDEADNAWTTELCKADSDTDGATNGEELGDPCCTWKVGTTLSTAKATHP GKADTFTPDQLKSLKCITGGSGNATNATTTSSPSGTAATPSATPTASSAASLSGAMLT GAAVAIAMAIQQ H257_10869 MFKAVIFLGLAAQAAAYGSYVAKIPNGDKVEGVGAIGHTNPSGG GPRNPFGLAFDEADNAWTTELCKADSDTDGATNGEELGDPCCTWKVGTTLSTAKATHP GKADTFTPDQLKSLKCITGGSGNATNATTTSSPSGTAATPSATPTASSAASLSGAMLT GAAVAIAMAIQQ H257_10870 MRATRNDLTEEVKRKVIKALQERVCIGKLPRGTMKAMATEFELD RGTIRELWRRFQQGCLKSRKYGRTGPTTRYTAERVAFQSAPSAAPSRKESSSAAPHGS SLS H257_10871 MVAFETLGEEKLSDVFLTLQAVMRLVLEHHGGNGFKLPHLHKDA MKRAGTLMENVSCPVSVLFAAHRFLQQ H257_10872 MVSKTMLIVLLGLATQVAAYSKYLLQVPNSGKIPGVKAAGHINY TNGGGPRGPFGLAFSAAGHLWTVDLCRADSDGDGATNGEELRDPCCTWNVNITSNATI ASHPGLADNFTAADLTSLKCQDGSVANVTTTAAPTTPKPSASSSVGLALVAIAVAVGL QQN H257_10873 MIIVIQTCSETLMWNHNMHHHMSFSNAYCIYIDCSKGRARIIVI QTCSEILMWNHNMHHHMSISKILCSCSSHVVCSHGTEVMTITFSCVFDSMIETILWSM TTPKALILSRRNACVCSCSRVL H257_10874 MDDDFKDRYRHVWESLEQEDKALAQEKKKLSEEWVVIEKYQQCY EQDRATFEAMVHDKFAFLPEDEMVQFNIGGKLFKSTVKVWTRDRFSILAHVCTATPKL ARDSRGHFYFDRDWWIFKYIYAFLRDKTLPDSIDVLRDLYYEASFYRITLLRHAIEAF LKNQSTAGQSDVRKGRNDHGGYVTSDDLYRQPKDRVASATVPTMPEREWASGNSTAAG GGNKPLAPKLARRPSMTKHHNNEPELRSAPPRVHPFHQEETKSRLNIHVPSQPSYMNH TYDQQPPYDPALDFIDRRQQHHHHQHPSDYYSTTHNIGQHDDRHRSWQDEYDHDPRRR DGFEGDGGGRRGSRKQPHLAFDPHRDTYHRDHQDARRPYEHDVAGRGPSSYAKHDHPL RRPESYNHDPRRGGGPPLSPPLADPYGFLSRRKHSSM H257_10875 MKVKTISRVEQTFTQELATDRTKIHRNFDPKLHPFERPREYTRA LNAVKLDKLFAKPFVGALDGHCDSVSCFGTSNKSLVQFVSGACDGEIRLWDLPRRKCV WSVYGHAGFVRGLTVAPDGNSFFSCSEDKTIKQWRMAIANEDDAPEAIQTFHGKESFM GIDHHWTNSTFATCSSVVQVWDHNRSDPIHNYSWGADSITSVKFNPAEPSLLASTGSD RAVALYDTRLAQSMRKIVMDMRNNALAWNPMEPYHFTVANEDHNLYTFDMRNLDRALM IHKDHVSAVMDIAYSPTGKEFVSGSYDRSIRIFNVRSSKSREVYHTKRMQRIFAVKFS ADAKFVLSGSDDTNIRIWKAQASRSLGKLNPRERKKLEYNDALKKRYQHLTEVKRIAK HRHVPKAIKKATAAKQEVKERETIKLANVRKHSKPGKVPLVDIRKKSVIRQIE H257_10875 MKVKTISRVEQTFTQELATDRTKIHRNFDPKLHPFERPREYTRA LNAVKLDKLFAKPFVGALDGHCDSVSCFGTSNKSLVQFVSGACDGEIRLWDLPRRKCV WSVYGHAGFVRGLTVAPDGNSFFSCSEDKTIKQWRMAIANEDDAPEAIQTFHGKESFM GIDHHWTNSTFATCSSVVQVWDHNRSDPIHNYSWGADSITSVKFNPAEPSLLASTGSD RAVALYDTRLAQSMRKIVMDMRNNALAWNPMEPYHFTVANEDHNLYTFDMRNLDRALM IHKDHVSAVMDIAYSPTGKEFVSGSYDRSIRIFNVRSSKSREVYHTKRMQRIFAVKFS ADAKFVLSGSDDTNIRIWKAQASRSLGKVRPWSD H257_10875 MKVKTISRVEQTFTQELATDRTKIHRNFDPKLHPFERPREYTRA LNAVKLDKLFAKPFVGALDGHCDSVSCFGTSNKSLVQFVSGACDGEIRLWDLPRRKCV WSVYGHAGFVRGLTVAPDGNSFFSCSEDKTIKQWRMAIANEDDAPEAIQTFHGKESFM GIDHHWTNSTFATCSSVVQVWDHNRSDPIHNYSWGADSITSVKFNPAEPSLLASTGSD RAVALYDTRLAQSMRKIVMDMRNNALAWNPMEPYHFTVANEDHNLYTFDMRNLDRALM IHKDHVSAVMDIAYSPTGKEFVSGSYDRSIRIFNVRSSKSREVYHTKRMQRYGRACRW KK H257_10875 MKVKTISRVEQTFTQELATDRTKIHRNFDPKLHPFERPREYTRA LNAVKLDKLFAKPFVGALDGHCDSVSCFGTSNKSLVQFVSGACDGEIRLWDLPRRKCV WSVYGHAGFVRGLTVAPDGNSFFSCSEDKTIKQWRMAIANEDDAPEAIQTFHGKESFM GIDHHWTNSTFATCSSVVQVWDHNRSDPIHNYSWGADSITSVKFNPAEPSLLASTGSD RAVALYDTRLAQSMRKIVMDMRNNALAWNPMEPYHFTVANEDHNLYTFDMRNLDRALM IHKDHVSAVMDIAYSPTGKEFVSGSYDRSIRIFNVRSSKSREVYHTKRMQRYGRACRW KK H257_10876 MATIDDKRAGTKEEEEDFLTGPLSVLMQSVKNNSQVLINVRNNH KLLARVKAFDRHCNMVLENVKEMWTEVPKSTKGKGKPKNVERFISKMFLRGDSVILVL RNPHA H257_10877 MASANLRATWCRWVLRRRHARKHRATRRLWPCCPRRLATWRTFD VAAQERNVRESKPRSVRARSASMSAHMSWYLSSRATSSAGVSELKCLRASSRREFTVE TDVDGKNKTCESREGWATAWWMRRWWARSSAMESGSMSSTYS H257_10878 MVQLVSIVLAMASVATAYKTYVAKLPNGDKVAGNVAIGHDNPSG GGARNPFGIAFSSAGGKWTPELCKADSDNDGATNGEELGDPCCTWTVGSSLVSGIKAT SPGTADKFTPDQLTALKCSTTSLSGGNSTKKSDAPPAATIVAPKPSSAATMSTTVAVA VAVLVAVRQ H257_10879 MFKLRYKSALASRFDSKNNYGKRVAYVMLATELSVVMQREFTAK QVQDKLAKMKTEWSLSKPTLPAPTGNSPQTLLPLDYDVMLDYWGEKAGFRRESLMSTD DVSDEEISTDKNDNNPDNASLSDDERYAGEKAQQPEQNKIKTNGKSKKTTSPSESLEA GFTAIKEGLMFLGSAMAQQTPSHQVMAAAPTPATQTISVTTLDDVLSTIKAQSDTMSQ VLSHLIAKKD H257_10880 MPSQSTTTPAKSLPSARTITKAVDALAAIDERRLSKRQRFSIES NDATAEDLDSASPVMDRYIAAKGVEVVHALTNFSASELNTLWTNIKPFVTKNWNVGSG RKYPVIGKDMLFMTLVALKHAGTWDILSASFDGGATTFSNRINQFIRVLHPYLVRKYI DEQGMKWTMQQLAVAGLQFATHKSALYAVDVTFQHTTAPAVSFGEKKTYFSKKHGLYG HKVEVSVAPNGLTINVTDCAVGSTNRATPTSATTNDALRDKFPSQWSVLADKGYQGTQ EYVRGFTPLKRPPHGQLTMEQERSNAKLSSDRVIVKNFFGRLKTLWGLASDKYTWKKD EYNMYFQMCVALTNVHIRFNPLRNVDGEGYNQYKNRLLPIGSKIKTKNSSFKAKYRKN RKARIQAVLGRANTGYTSEDYDIGYEDWKQDQDQEIVLQGEVSRES H257_10881 MAKSKPFLSTELRLLTVNWNSTLLATLPSATTTLLAGARNPFGI AFSSAGGKWTPELCKADSDNDGATNGEELGDPYCTWTVGSSLVSGIKATSPVLAQPTS SPRTR H257_10882 MWLSLLAAAVVGFVVGVMGMVCLGHDPLFRTALAFTKKCSSLLR LSSQCIQRQHDQEWRTVATLSRCIAAKPLTVDEWTQLHATVSTTNGRSSATVSLPDIS SDDSIDAMAGPTKLLLLHREVAHLMGCLCQPKDMQRLERALQGATQSENSKSAMVELA DAFVKLGKTPRAIIQAIKAIVTTQHLPTQSAVDFAVQVTSSLFSPSLYMSVSAFHHLV HPRHHMFHLMLQHTKVVGESAVEESHRVTEYPSLMTASAPLTTPTIDISWLNVVLHRW FDEFATNTELLEILRWKVNRIVQSKLLDVKAIDSIEVTNPVLGIHPPEVSNLCVHPTL HPSELCMSANVEYTGNGSIDVMTRVTLSNLMQHQTTLSLRVHVASLHGRARLFVPQPG CDPGFGWVAFDEAPKVQLTVESCPPHVEPLPQLGALLTQELEEKMAMHMVLPVWTRVS LPWDISVPLESVFSAISRRSTKSEGSPNLAAAAGGFMGEVMGGAVGGRFGGHVARGVG EAVGGHLAKYATERIVPIVHEVMASAKSMAHPRDRHVGTSQSVDDLVHLAKLGNHNVV GEQTIESALSKAEVQGTTPDTNENTAKPIVSPKSGGVDVARLVSLAKAKKAN H257_10883 MPFVAWTPATWAMFVPTKATTPTLGVNYLQASQKQIEIAVDSSN NARLTISQDMSLGVGGVLWNCGRAIVQALSRHPELVRGHDVLEMGCGTGAASLAAGYY GPRSLLLTDLCVVLPLTHINAQRALQDHPDVFSAMDVAVREFKWGTWPPSPKHPFGLV LCSDCLYEPSVFGDFVQSLIDVTATGSRVLLAYKQRIPEYEVFRRPCCVVCVLHMHCR REEQVFLGLSKLFTVQLYSADAITGLNFDNDNVFVFLLTRRSGAPRGTT H257_10883 MPFVAWTPATWAMFVPTKATTPTLGVNYLQASQKQIEIAVDSSN NARLTISQDMSLGVGGVLWNCGRAIVQALSRHPELVRGHDVLEMGCGTGAASLAAGYY GPRSLLLTDLCVVLPLTHINAQRALQDHPDVFSAMDVAVREFKWGTWPPSPKHPFGLV LCSDCLYEPSVFGDFVQSLIDVTATGSRVLLAYKQRIPEREEQVFLGLSKLFTVQLYS ADAITGLNFDNDNVFVFLLTRRSGAPRGTT H257_10884 MAATGHKFAGVELGGTTWALAIAHDNPLNIVARTRIDTTTPDET IAKAFAWLDTQNFDALGIASFGPVDLNPASPTYGYITTTPKPHWANTNIVGAFKAKYT GVPINFETDVNAPALFEAAFGGHGPDVSSVCYITVGTGIGVGVCIDGKPVHGRMHPEA GHMFVPLAPADIAANFQGLCPFHSSCAEGMAAAGAIAARTRVDRTGLHEIPDTDPVWD IVAHYLALVCVNLTLTVSPHVIVLGGGVSKRQGLLGKIHTKFNAALNGYVSTPPLTSY IKLSFHEDIGLVSSLELARLAAAAQNFAPLH H257_10884 MPSYIGIASFGPVDLNPASPTYGYITTTPKPHWANTNIVGAFKA KYTGVPINFETDVNAPALFEAAFGGHGPDVSSVCYITVGTGIGVGVCIDGKPVHGRMH PEAGHMFVPLAPADIAANFQGLCPFHSSCAEGMAAAGAIAARTRVDRTGLHEIPDTDP VWDIVAHYLALVCVNLTLTVSPHVIVLGGGVSKRQGLLGKIHTKFNAALNGYVSTPPL TSYIKLSFHEDIGLVSSLELARLAAAAQNFAPLH H257_10885 MAASAGRGDGAEVAFVVKLIQADLISADETVSRPALEAWWGRRP FSGTTSIVDTWVANGWIEELKPVSLCASIQPSYTLRLSVPAVRELLAVQPPRTADNGD SSLKSPDDGMSTQSKGALFDATFPSLGASAKKSFLKLHDPSVILYATMGLQLVVHGAS TVATFSRDMKAMGVDAQTRTIVTNYLAKSPMLPSSSSSARLQPAPHPRS H257_10886 MCVVVAICLLVVGIVLLSYGGINATYKTKRIQLAQPQLPISTDP AAYSAFLASPTIGYHLQEPQSTSFYVFNVTNAGEVVQGALPLVQQVGPYVYTQTSEKL GVAVSTAAAAATVSYRVHTSYQFDALRSNGSESDVITNVNVTYARTLAKLAAAGFSER MLAASFAHTQLTSFEAFFRGPFLAQTKQRALGSYLQFMDTSVRQAALPAALTAFRAQV ASQTLPQHATHLLSHVRQARVPGMLSSLYDSFLVQYIPATLTGQYDSLSRLSLPRVLS NVVSRMTVEVTPSVTLRRERQLRQEATPALLSTMLPRVLENIALPYIVQEYMEQACFE AVPSMLNTIKNELVQAAVAQRILPTEAHQNTLLLWMQSESPSTSWTNVDALVGGTPTG VPRVGFELNSIPVASNSTVSRTLSLEVAALLFHEKANVEFSLLTYDRADTTRGFGLWK QAVALNADAIARLLAGVNNEVATPSDYLTLAQILGIRDYILYWSQSSIVRRDRQRYWA MAYTARTTNSLPEPDVDLDWETAGVQPGFSLVAVGGTDVGLSDATVAKLWDGTTSPSF LSPQGYILWSQAMASDTTAKTSIATTFGLSSPQLNSILDWLTGVVTSATFKRHVVRHW AQGGTSPSPFNNVTDVQWFDLEPAIGLAQGGFELPYDATLSWPDTLAEMLWDSTQPQA FVSTAGFNTWKTILVTPTGLSDMTTALNGLGHGTVTERHVRQVSTWLQQWIDNKLFVQ AIHQWWRDPATYPWLLPSQAPFALNRPALSAAATEALWDASSDISILHVVGYSKWMTM QNSSSSLLSSWNAQIVATCANLTGGANSAVFATGLAGSCGLATLPDVAVVQLYVQHMA QDPYVKAALLAQWRCGTTDIWDVEPYRDGLQGGWELCRNRTTCGLASANNTVCAVPTT AFQVWDPSAAASLVNPITFQNVWLPLLVVASSSSTTITTSAAQAAVATAFGQPQWLPW MEVVSQWVASWVSNEVLMWDVLGLWMQATCDGGAAVGAPITTSVVTTDAACEPGLVDS TNETVYTSSMVGGRPTTYFTPEFNLLSASDVGTTTTIQRTQSVITCDSGMRTTTSTMQ MYTTTTCLMADTDPAMPGLQRGFELNAVTTTLTIDVVQNLWTPSKPYSFLNPVALDVY WSKAADSPAKLAALLQLVHVDAPNVSADDLNAINLWLKAWRHNDLMSLFVLRGWLAPT NATIETFDLDPRTPAVETGFELRWVPAMMAATSYPTLSQAQYLWDDGNEFSFLTANTD NANVGFGAWVQAYSGAVPSSERLIAEYPPVAHVTRQTQTANVTIVGNIQAATGLTASQ IQAIATWLLMWPDHAFLWQDVLSQWRTQTTQFTDTPARWNVATLDTSNGGSTSLSGFE LPVPMPPAVSISPSQARQLWDVYTPYSFLNPKMLVVWCFASSPSPPLACPHLTDVTGS ATAESAQSLLLATLLMFQTTVYAATSFVGVSSSPVDRARNFLSTVSGLSTPSIVAVAT WLTRLPTASTAYQYLMLRQWQQPSLPLDPRCVGFEVSFVYNTTAAAIPRNVTPASMVA NVACAPLSTDLARRVWESSDPLLSFTNAGGIAAWLAPTLTMPGLLACQVTQIQQWLTS WQAHPFLRQIVEYRWFSSCSTVSPCANLTQSLFDPQRGFEVALEPSANVTTWQTVAQV VWDRDSPLSFLHPSGFKVWRTLLTSCTTSNLADATCAAAVNAQPLPTALSFLVSSILP LVQNGKLSDVQDAVYTIGYVWLLRLLDTGDFSSYLLRQVGSGATTVRSLATQQWINGT VFNFTNVASLADVVTTDTWNVTSQSVLSVPLPHMAGPPELRTYCDRRKDNTAPCGLGD RYTIDDAAASATLSLFTDPTKVSVGGLTVARGVVVLDLYLAQPFTTADECAAHAAVLA SLFTRPITNTTSNSTTNNTSQCWPVSNAFWLNTFPLLAVPSTQLTDMQMYLRHVATTF GYARPAALPLGSYLTQQSVRSLLWANPATATTTSSSSFATPTSTLPLPNIQFPATDSL AQRTPLVANVTGNQSTTITSLGLSSSKYGCVIAQVASPTNGISGGGIEYQDPTCSYTD GSVFPPGAPSSLSFFWSFGRQILNLTFSSTTTRFGVALRRYTWRPTVWLRTSATLYDD LPVTVTSPHLYNLTSPAVPFAAGLSPDAQAHATVVDVEPLTGLVLHSRMNWQVNVQIG PTAEWFPNLTSAFVPVFWTRHERSAAPSAFESYGDLTDAGPFAAEKVAIWELVGGALC VGLGVHLFRRMHLTRQRSVRLIQPDLVDDESSATVDSLLHATAIAEDDAKRQ H257_10887 MRIASFLPAGTTICYELGLGDNVSCVTFECQFPPAAKDKPKVIR CIFNSDDLTSAEIENAVNTNLAASVPLYEIDESLLEDVDVVLIQDLCEVCAIGPPMVL AALDKLKVQPRVLYLTARSLDGLYNDIMTVATGCDIEGRGVAMVTSMKARVDRVERAL VDCTPVKTVCVEWLDPIYNAGHWMPDLVHRAGGYDPLAAPESFSVAINWSHVAEAGAE LLVIMPCGFDLARTIKDATDTLPPKSGWRDIPAVQNRRVWAFDGNRLFSGASPALVDG LEILASVLHPELYTLEPTLYSTDFALLDI H257_10887 MRIASFLPAGTTICYELGLGDNVSCVTFECQFPPAAKDKPKVIR CIFNSDDLTSAEIENAVNTNLAASVPLYEIDESLLEDVDVVLIQDLCEVCAIGPPMVL AALDKLKVQPRVLYLTARSLDGLYNDIMTVATGCDIEGRGVAMVTSMKARVDRVERAL VDCTPVKTVCVEWLDPIYNAGHWMPDLVHRAGGYDPLAAPESFSVAINWSHVAEVEWI NYTMLCMLLLPFGG H257_10888 MKVTTSVRAVVVMLLPCAASHMYPSYCAKDMRLSAIQPLDQTLL STVELVQVQIVVRHGARTPCYPDSCWKDYDEEWNCIARELSRPSLTGLDDVPSRKHNK QALEFTKVFTAGGNIRRGNCSLGQLTDEGFAQEVQNAKHFRDAYVTSAVGLFAADEAV DLTDPSDVYFESSDIPRTVQSGQTIVQGMFPSVAHPTKPVPWHTQDKAVSTIFPNEAN CPTLAAVGKQWLASPEFHAWAVAPANLELDAALDETLTSFSAPSLFDCLMTSKCTDRR IPMSDELFRVTVHREETSVLLQYLFHDSKYSKVAMKAFLQQAITSRLLDAAENHHRRR PLRLALYSVHDSSLMALLAALGGDLWLTEWVPYASHMVFEVYRAKRSRQANTLSDHTT ASIDHPSFFVRVLYQGQPLLLGPCTTELCPVDELAALVDAMPSCHVPLTLSNHVAAVK PVPMMMLMLAFGVVVGGGIGYIVAWRRSLWSSTQVYQRL H257_10889 MFRQVASRATRLGAATSIARVNAPRAMVRSMMTAAKTTKSSKTM NVSAMSAALLLTAGASMTILHAKETVPDVAKIRLEIAELIEKDAYLGPTLVRLAWHSS GTYSKKDNSGGSKGGTIRHDPEINHGANAGLDTAIEKLEPIKKNYPGISYADLYVLAG IVAISEMGGPEVKFHLGRKDAVSGDECTPDGRLPDADKGSKPNTINHVREIFYRMGFN DREIVALIGAHAVGRCYPSRSGFSGPWTRAEWTFSNEYYRELLENKWTLKKWKGPQQY TDPTGELMMLPADMAFIWDPEFKKYVELYAKDEDLWHKDFAKAFQKLTENGVDIEKTG WRRYIFFGPRGD H257_10890 MIRKVVSRAVCRVGPAFRHTAPAVVTRSFHAASSALPRSSTSNA AAMSMAFLLATASSATVMLAKESPVNLAAVRDDIVALIEADNSLAPTFVRLAWHSSGT YSKADGGSGGSKGGTIRHNPEINYGANAGLVIAIEKLNAIKAKYPTLSHADLYIYAGV VAISEMGGPDVSFRLGRQDAPSPKACTPNGRLPDADKGSKPKTINHVREVFYRMGFND REIVALIGAHAVGRCYPTRSGYSGPWTRAETTFSNEYFRELVENKWTLKQWDGPEQYT DPTGDLMMLPADLAFIWDVEFKKYVDLYAKDEDLWHKDFAKAFQKLTENGVQF H257_10891 MTSILYISMLCVQVHPPGHTPTCVCPRSHMTMSTSTDPNNSVEV IAQLKAWLQGHGVSLDHFGIHYYGPDQGHGVVAAKAFVQGEHTLDIPFRLTMNVHSAL SSDLAPLFASEAGTLADVEILALHLMYEKHKGFASFWAPFIRSLPTTFDTPIFWNDDQ FAALQGTNVSLLAAMMKQQIVADYTSVHSPLFQKYPALFRTPSPTMQEYKWALSVIWS RAFGITRGGEYLQVLCPAMDMFNHDVLLNRPLDDFIVFNEQAQTLCHRLHVDCVANTP LNICYGPYSNAKLLYSYGFVVPGNHRRGIDFWVNVPTNDRYYKLKKSLLDSNPLTAHQ TYDFDGTLLGHTISERLLAMARIVLMQEDEIHARNNAFHQAMISRRNEIAVYDSLTIA CRRKLQAFTTTLDEDVAQLEASALSHQLTFALQVRIEDKQVLEQSIATLAKWKAYLLD HPTDSLVYPPRDCPV H257_10891 MTMSTSTDPNNSVEVIAQLKAWLQGHGVSLDHFGIHYYGPDQGH GVVAAKAFVQGEHTLDIPFRLTMNVHSALSSDLAPLFASEAGTLADVEILALHLMYEK HKGFASFWAPFIRSLPTTFDTPIFWNDDQFAALQGTNVSLLAAMMKQQIVADYTSVHS PLFQKYPALFRTPSPTMQEYKWALSVIWSRAFGITRGGEYLQVLCPAMDMFNHDVLLN RPLDDFIVFNEQAQTLCHRLHVDCVANTPLNICYGPYSNAKLLYSYGFVVPGNHRRGI DFWVNVPTNDRYYKLKKSLLDSNPLTAHQTYDFDGTLLGHTISERLLAMARIVLMQED EIHARNNAFHQAMISRRNEIAVYDSLTIACRRKLQAFTTTLDEDVAQLEASALSHQLT FALQVRIEDKQVLEQSIATLAKWKAYLLDHPTDSLVYPPRDCPV H257_10891 MTMSTSTDPNNSVEVIAQLKAWLQGHGVSLDHFGIHYYGPDQGH GVVAAKAFVQGEHTLDIPFRLTMNVHSALSSDLAPLFASEAGTLADVEILALHLMYEK HKGFASFWAPFIRSLPTTFDTPIFWNDDQFAALQGTNVSLLAAMMKQQIVADYTSVHS PLFQKYPALFRTPSPTMQEYKWALSVIWSRAFGITRGGEYLQVLCPAMDMFNHDVLLN RPLDDFIVFNEQAQTLCHRLHVDCVANTPLNICYGPYSNAKLLYSYGFVVPGNHRRGI DFWVNVPTNDRYYKLKKSLLDSNPLTAHQTYDFDGTLLGHTISERLLAMARIVLMQED EIHARNNAFHQAMISRRNEIAVYDSLTIACRRKLQAFTTTLDEDVAQLEASALSHQLT FALQVRIEDKQVLEQSIATLAKWKAYLLDHPTDSLVYPPRDCPV H257_10892 MHSFYRRHAHDSDSKDDLPLTGSPLLDLVIPITIAPPAHAVVPD DAMVHAEDIMRDSCESYPSDCESMWDSSRSLTDMALTSSTSLADVLASQRSTFQDMQT QQPLSSTATIESSMRLLESMTVDDVSAFLDDSSTIVLPPSQTSILVEDDPQKSRQAQS RWAQQVRLKTARFLFEQTQAAKAKVSKMATATAPPAPHDASTSPADSSPSAETPSTLQ KWNRWLTDHYNSNVKPGSHHAILGRKGGLHMLPNPLVALWSREDPHASNAVDPLGLVA LEEVVQTPKMMRYYASWLPTEPDKCKLFFLCSLDEYRLFWRTLASQSSTTVLSDESRS RLQTYGRKIFAKYLVSTSPFFIGHDDDGTAAAVDAAISAGGEGALHAFDGISVLVKQH LMASYPTFRATDLYKDMLASCRRELLPLDCVLLNRLFCHFFWLFLFQHQYHNELALYM DVQYNFKFVCRDWHRGIRAHDDGDDDDEHVMHVQGQCVTALHYLRRRYLHDNYALNQK LDTDDLAVAHALLHQEQEKIVQKLQDMYSELYFRFIASHAYAGFVLYEQHSPHELSEL LLHYGLRAHSLPSGHCRVDPPILDDVPIDWLEAIVYFESIPTAPTTLELKWTPHFGAS TLAGATGLDAFLVPCFESI H257_10893 MDLNADEDSDVVSSLGHTSAKASKASHRRVWSVGVGGAVELNKL KKEQEVKILHSELEKQSRALEESQEETQLAARIGQSLLLQKQQLDYEMEDKVSALTQR CGDATSQVQELDAKYKSATVQCRQLDQLRVQHELQIEDLTDKSALKTLKDELVHARAK TIEASAQNTHLMTEVEELKKRVVDLKQVNGKLNADLGKVNLHLGELGDSNRELELQVS ELTERLQSAVVDADKFVALQAEHGTTVKAWKEAV H257_10893 MDLNADEDSDVVSSLGHTSAKASKASHRRVWSVGVGGAVELNKL KKEQEVKILHSELEKQSRALEESQEETQLAARIGQSLLLQKQQLDYEMEDKVSALTQR CGDATSQVQELDAKYKSATVQCRQLDQLRVQHELQIEDLTDKHVATTSALKTLKDELV HARAKTIEASAQNTHLMTEVEELKKRVVDLKQVNGKLNADLGKVNLHLGELGDSNREL ELQVSELTERLQSAVVDADKFVALQAEHGTTVKAWKEAV H257_10894 MRQQEMMEEGAPVWVMHAARWNVAVIQSISQHGTISCCLVDTPA LVEVDATARKDNIHPCNPVEQHRSGVDDLTTLVHLHEPAILNALRVRYRNDQIYTRAG TILVAVNPFQSLDLYDDATQRRYIHAGRTRRDGDSVLAPHVFQVADRAYHEMLSTRHN QSILVSGESGAGKTETTKLIMTYLASVSSSRADNAVRDRILESTPILEAFGNAMTTRN SNSSRFGKFIRLGFDAASGELVGASIATYLLERVRLISHGVGERNYHIFYELCASPAS KSLLGLDRSFAYLESSIMGHRSRRDGVDDAAQFEVTHHAMTTIGLSNHNIASVLQVVA AVLHLGNLEFVPVQGGTSCRLSYDDDTTRFCSSLLGIAYDHMQEALTTRRIKAGGDVV TVGLSPDAAAHSRDVVAKSMYARLFEWLVGRINAATSSPTTSTLHLIGVVDIFGFESF ATNSLEQLCINYANEKLQQLFTKYVFELEQREYVAEGIPWTMVAYPNNDVCVTLFESR PHGLFSLLDEQCMIPRGNDKQLLATMHGKLSSPFTSSRAQLGKGQFTVCHYAGPVVYS TDGFCDKNKDNVHPEALEFLNLSSHDLLHTTKEPPPPPHHHHVRHPSSRRVSAKERAS TSCIQKFQGQLKALLGELDTSALHFIRCIKPNDLGQPHVVDDARFLDQLRCSGLLEVT ELTRLRHPVRMPHSLFLQQFRCLFTSTDRNDVARMLRHWGVASPVVGVSKVYFDHALL THLLQARELRVRVAVRTLHRFAAALAHRRQTLRRLRLQAATRTVCRAMLDTIHRRRTV RVVQAAHAQRVIAWAWKCYRHAMHHKRYMEAAEHTARQEQRVMVACQALQTWVRRCQL RQALVRHARQLTTATTATAVLSSTRPSDYLRDFGTPPRHPLPYVNTLVSTKHSITSNW DVARDTSSTSSSSMDDDSTYEIAWECGMLGIHFNVDAGQVVVQRLHVTLSTCIDIFAV SVGDVLLAVNNVPVILHGSTTYASVMRYVAQAPKPVVLQLKRARPSPHHVAVSLQSDE YELLWGRKAHPSLGIEFKWDSINQVPVVVHLHTHVGQTIPGRTSVCVGDWLTHVQDDS LRQKHSTWTAKLQGGGQTVLLRFQRAGANARNNADVLAHGGRLSDVEAACCGFDEVQR WSWNPKHDDTLHHLLYTDDDASLGLVLKQPAYRFYLEVSDVKAEGAVHRQRHQPRRRI LRGDQLVCVNHQNIRVIGHSSALAQLKHGPKPVLLTFRRSKPACNMYPTSQSC H257_10895 MANPVGQVWGNDHLVGELLPFLDATSLGRAECVCVAWRRLSTDL ALWSRLCLATPRCVVGPASQGLHDSVGSKRYIQLVMSRRRHHLLRRHDLRALVDSDLW TRLVADDKWLVRLHIAFAMDTVLPHMEGSDAAHVLGAFSEVLDDAFGEFGVARELLLL ALVCDEAAWITHHLALLAEKQQDYDQAEHWFQRGYDLDHTYVPNALNFAVFMEERRLR YDEAEELYAHALQHAATPRHSLDVYFAMADFYLLKRRDIPRTHAVLAQAYHSLKAITN VDAVCGRDVQVAIQYAEFLVYVCHDFPTAATVFKVLLQRWTFEQSRKGKVQSDVATFL QIGLLSYAICVVFSTSNRVMALRLVDQAAAVEQCVANLLPDLVQTTAQRVVKRYKLTA AAVVQHTPDLCRPVTCKQDFDSLAPLMGLLYYLNGDTDAAMALWAAYIRRLANIHSPE YAFAGYCTGMVLHVADRRPAAAKAIKKAFTVDAHNLQYQNVDLVLREAAHPPSNVVST EHGDRRRLRALTCRDVLMSYYLAHQLETPPPTTSNSGLRRGGV H257_10895 MANPVGQVWGNDHLVGELLPFLDATSLGRAECVCVAWRRLSTDL ALWSRLCLATPRCVVGPASQGLHDSVGSKRYIQLVMSRRRHHLLRRHDLRALVDSDLW TRLVADDKWLVRLHIAFAMDTVLPHMEGSDAAHVLGAFSEVLDDAFGEFGVARELLLL ALVCDEAAWITHHLALLAEKQQDYDQAEHWFQRGYDLDHTYVPNALNFAVFMEERRLR YDEAEELYAHALQHAATPRHSLDVYFAMADFYLLKRRDIPRTHAVLAQAYHSLKAITN VDAVCGRDVQVAIQYAEFLVYVCHDFPTAATVFKVLLQRWTFEQSRKGKVQSDVATFL QIGLLSYGIYVYIYLIVSIISTSIRYVAICVVFSTSNRVMALRLVDQAAAVEQCVANL LPDLVQTTAQRVVKRYKLTAAAVVQHTPDLCRPVTCKQDFDSLAPLMGLLYYLNGDTD AAMALWAAYIRRLANIHSPEYAFAGYCTGMVLHVADRRPAAAKAIKKAFTVDAHNLQY QNVDLVLREAAHPPSNVVSTEHGDRRRLRALTCRDVLMSYYLAHQLETPPPTTSNSGL RRGGV H257_10895 MDTVLPHMEGSDAAHVLGAFSEVLDDAFGEFGVARELLLLALVC DEAAWITHHLALLAEKQQDYDQAEHWFQRGYDLDHTYVPNALNFAVFMEERRLRYDEA EELYAHALQHAATPRHSLDVYFAMADFYLLKRRDIPRTHAVLAQAYHSLKAITNVDAV CGRDVQVAIQYAEFLVYVCHDFPTAATVFKVLLQRWTFEQSRKGKVQSDVATFLQIGL LSYAICVVFSTSNRVMALRLVDQAAAVEQCVANLLPDLVQTTAQRVVKRYKLTAAAVV QHTPDLCRPVTCKQDFDSLAPLMGLLYYLNGDTDAAMALWAAYIRRLANIHSPEYAFA GYCTGMVLHVADRRPAAAKAIKKAFTVDAHNLQYQNVDLVLREAAHPPSNVVSTEHGD RRRLRALTCRDVLMSYYLAHQLETPPPTTSNSGLRRGGV H257_10895 MDTVLPHMEGSDAAHVLGAFSEVLDDAFGEFGVARELLLLALVC DEAAWITHHLALLAEKQQDYDQAEHWFQRGYDLDHTYVPNALNFAVFMEERRLRYDEA EELYAHALQHAATPRHSLDVYFAMADFYLLKRRDIPRTHAVLAQAYHSLKAITNVDAV CGRDVQVAIQYAEFLVYVCHDFPTAATVFKVLLQRWTFEQSRKGKVQSDVATFLQIGL LSYGIYVYIYLIVSIISTSIRYVAICVVFSTSNRVMALRLVDQAAAVEQCVANLLPDL VQTTAQRVVKRYKLTAAAVVQHTPDLCRPVTCKQDFDSLAPLMGLLYYLNGDTDAAMA LWAAYIRRLANIHSPEYAFAGYCTGMVLHVADRRPAAAKAIKKAFTVDAHNLQYQNVD LVLREAAHPPSNVVSTEHGDRRRLRALTCRDVLMSYYLAHQLETPPPTTSNSGLRRGG V H257_10895 MANPVGQVWGNDHLVGELLPFLDATSLGRAECVCVAWRRLSTDL ALWSRLCLATPRCVVGPASQGLHDSVGSKRYIQLVMSRRRHHLLRRHDLRALVDSDLW TRLVADDKWLVRLHIAFAMDTVLPHMEGSDAAHVLGAFSEVLDDAFGEFGVARELLLL ALVCDEAAWITHHLALLAEKQQDYDQAEHWFQRGYDLDHTYVPNALNFAVFMEERRLR YDEAEELYAHALQHAATPRHSLDVYFAMADFYLLKRRDIPRTHAVLAQAYHSLKAITN VDAVCGRDVQVAIQYAEFLVYVCHDFPTAATVFKVLLQRWTFEQSRKGKVQSDVATFL QIGLLSYAICVVFSTSNRVMALRLVDQAAAVEQCVANLLPDLVQTTAQRVVKRYKLTA AAVVQHTPDLCRPVTCKQDFDSLAPLMGLLYYLNGDTDAAMALWAAYIRRLANIHSPE YAFAGCVHSNLVYS H257_10895 MANPVGQVWGNDHLVGELLPFLDATSLGRAECVCVAWRRLSTDL ALWSRLCLATPRCVVGPASQGLHDSVGSKRYIQLVMSRRRHHLLRRHDLRALVDSDLW TRLVADDKWLVRLHIAFAMDTVLPHMEGSDAAHVLGAFSEVLDDAFGEFGVARELLLL ALVCDEAAWITHHLALLAEKQQDYDQAEHWFQRGYDLDHTYVPNALNFAVFMEERRLR YDEAEELYAHALQHAATPRHSLDVYFAMADFYLLKRRDIPRTHAVLAQAYHSLKAITN VDAVCGRDVQVAIQYAEFLVYVCHDFPTAATVFKVLLQRWTFEQSRKGKVQSDVATFL QIGLLSYGIYVYIYLIVSIISTSIRYVAICVVFSTSNRVMALRLVDQAAAVEQCVANL LPDLVQTTAQRVVKRYKLTAAAVVQHTPDLCRPVTCKQDFDSLAPLMGLLYYLNGDTD AAMALWAAYIRRLANIHSPEYAFAGCVHSNLVYS H257_10896 MSPAVENVNDLTALVHLDEESIQRTLELRFQENQIYTTTGSILV ALNPFERLPLYASATKDAYIAHGDRVAAGEKLGKMPPHVYTVADKSYRDMRTSSRPTS ADDALPNQSILVSGESGAGKTETTKIVMEYLASVSAEATHDTSVDHDAVRNRVLESNP ILEAFGNARTNRNNNSSRFGKFIRLGFNTSGVLLGASMSTYLLERVRLVSQAKGERNY HIFYELVRGGAPDLVADLGLTALADFKYLNQSGCYDRHDGVDDADQFTKTTHAMTTIG MSDDEQTAVMHLVAAVLHLGNLKFEAVPGHENNASRLVGGSPATTHLCNLLGVTIDSL EKSLCTRAIKTGRETVTASLDVKKADDCKEVLAKTIYGRLFEWLVDRINDSMNYEDTS VPDVDPTLRFIGIVDIFGFEIFPVNSLEQLCINFANEKLQQLFTKYVFEMEQDEYKAE QIPWTDIAFPTNQLVLNLFESRNGLFKLLDQQCILATGTDAALVRSYYNAFAIHPSFT ATKLQQGRHLFSVLHYAAPVVYTVDGFCDKNKDHIHDEAIQLLSSSADTFVNGIFQDY FMLQVVEDTPSAVNPNRPRRSSGIMSSSVVMKFQRQMGNMLEVLQATSLHFIRCIKPN DELTPLAYDHPRVLEQLRCSGVVQAAQISRTGYPIRFPHASFQWRYRVLCPMKLPPTM MVPFLVNTFHLVDPADPRPPIQVGLSKVFLVFSAYETLNRESDRRLARSVVTIQKMAR GTLVRRWVKRETARVVVVQSLIRRFLAKKMLLRLRAAERRRQQEQAEELVRQKLAQEK QAQIERDLQAAATATAALLVAKQREADALAAKQKQDDDEKEERAQASAAAAAAMAIPS KEDEPSASPPVVPVVATNPPSGRGRSSYFNPQISFEQFDDDEEEYEVKWEQGMLGLYF GKDDVSGLPVVRRIHVHLSQCRDIRNVRVHDLLLQVGAKRLGGHETLRQTLEYLGSIP KPVVMVFQRNGHETAHELADNEFEVLWGKNEPLKVSFRMSMDHQMPFVSNVLSQVFVP TAQVRSGDLLTHINDKPTLQKSQVEVNRMLTQEPKPCVLRFRRLEPGSEPVPRSHRTS YTDYSSTKSLAETMSFAGSVGSSSSFRGSNVSVMTVPTQYSITWQADDGPLGLVIAPR LENYIEVVQVKDEGAAYAARQRHQVSKGDLMLYVNHDDIRDLGFQRAMHILKTAPKPL VLTFQKGSSQPPPPSTNTTGYSVHRASASH H257_10897 MARCTRLWLTTRRAMCIRSTVWMRTAATCHIRMRTRYPLTTFCT STIACRSWTNARRIRTIRNVDWMVRMAARHRRYCTRASHPRIIRCMSSTRSPNSDSRI ELSCSYTDNTINTLVSPSWNDGRPGSVARTTGGWTGCMNAPVSKAWMISCAVARRLSV GGSRTPGHRHMYEWTNAACENRRGYPVREILAASSTPEHRSCSATRGSSNTSGLIMSL GFTHRMKWGFVVCSNAKRLASCDLNLCTTVVAWGGFDDDGAGREVTPNTDRYKSIHDD ARNPSAWACAWSLFLSQKPSPT H257_10897 MARCTRLWLTTRRAMCIRSTVWMRTAATCHIRMRTRYPLTTFCT STIACRSWTNARRIRTIRNVDWMVRMAARHRRYCTRASHPRIIRCMSSTRSPNSDSRI ELSCSYTDNTINTLVSPSWNDGRPGSVARTTGGWTGCMNAPVSKAWMISCAVARRLSV GGSRTPGHRHMYEWTNAACENRRGYPVREILAASSTPEHRSCSATRGSSNTSGLIMSL GFTHRMKWGFVVCSNAKRLASCDLNLCTTVVAWGGFDDDGAGREVTPNTDRYKSIHDD ARNPSAWACAWSLFLSQKPSPT H257_10897 MARCTRLWLTTRRAMCIRSTVWMRTAATCHIRMRTRYPLTTFCT STIACRSWTNARRIRTIRNVDWMVRMAARHRRYCTRASHPRIIRCMSSTRSPNSDSRI ELSCSYTDNTINTLVSPSWNDGRPGSVARTTGGWTGCMNAPVSKAWMISCAVARRLSV GGSRTPGHRHMYEWTNAACENRRGYPVREILAASSTPEHRSCSATRGSSNTSGLIMSL GFTHRMKWGFVVCSNAKRLASCDLNLCTTVVAWGGFDDDGAGREVTPNTDRYKSIHDD ARNPSAWACAWSLFLSQKPSPT H257_10897 MARCTRLWLTTRRAMCIRSTVWMRTAATCHIRMRTRYPLTTFCT STIACRSWTNARRIRTIRNVDWMVRMAARHRRYCTRASHPRIIRCMSSTRSPNSDSRI ELSCSYTDNTINTLVSPSWNDGRPGSVARTTGGWTGCMNAPVSKAWMISCAVARRLSV GGSRTPGHRHMYEWTNAACENRRGYPVREILAASSTPEHRSCSATRGSSNTSGLIMSL GFTHRMKWGFVVCSNAKRLASCDLNLCTTVVAWGGFDDDGAGREVTPNTDRYKSIHDD ARNPSAWACAWSLFLSQKPSPT H257_10897 MARCTRLWLTTRRAMCIRSTVWMRTAATCHIRMRTRYPLTTFCT STIACRSWTNARRIRTIRNVDWMVRMAARHRRYCTRASHPRIIRCMSSTRSPNSDSRI ELSCSYTDNTINTLVSPSWNDGRPGSVARTTGGWTGCMNAPVSKAWMISCAVARRLSV GGSRTPGHRHMYEWTNAACENRRGYPVREILAASSTPEHRSCSATRGSSNTSGLIMSL GFTHRMKWGFVVCSNAKRLASCDLNLCTTVVAWGGFDDDGAGREVTPNTDRYKSIHDD ARNPSAWACAWSLFLSQKPSPT H257_10897 MARCTRLWLTTRRAMCIRSTVWMRTAATCHIRMRTRYPLTTFCT STIACRSWTNARRIRTIRNVDWMVRMAARHRRYCTRASHPRIIRCMSSTRSPNSDSRI ELSCSYTDNTINTLVSPSWNDGRPGSVARTTGGWTGCMNAPVSKAWMISCAVARRLSV GGSRTPGHRHMYEWTNAACENRRGYPVREILAASSTPEHRSCSATRGSSNTSGLIMSL GFTHRMKWGFVVCSNAKRLASCDLNLCTTVVAWGGFDDDGAGREVTPNTDRYKSIHDD ARNPSAWACAWSLFLSQKPSPT H257_10897 MARCTRLWLTTRRAMCIRSTVWMRTAATCHIRMRTRYPLTTFCT STIACRSWTNARRIRTIRNVDWMVRMAARHRRYCTRASHPRIIRCMSSTRSPNSDSRI ELSCSYTDNTINTLVSPSWNDGRPGSVARTTGGWTGCMNAPVSKAWMISCAVARRLSV GGSRTPGHRHMYEWTNAACENRRGYPVREILAASSTPEHRSCSATRGSSNTSGLIMSL GFTHRMKWGFVVCSNAKRLASCDLNLCTTVVAWGGFDDDGAGREVTPNTDRYKSIHDD ARNPSAWACAWSLFLSQKPSPT H257_10897 MARCTRLWLTTRRAMCIRSTVWMRTAATCHIRMRTRYPLTTFCT STIACRSWTNARRIRTIRNVDWMVRMAARHRRYCTRASHPRIIRCMSSTRSPNSDSRI ELSCSYTDNTINTLVSPSWNDGRPGSVARTTGGWTGCMNAPVSKAWMISCAVARRLSV GGSRTPGHRHMYEWTNAACENRRGYPVREILAASSTPEHRSCSATRGSSNTSGLIMSL GFTHRMKWGFVVCSNAKRLASCDLNLCTTVVAWGGFDDDGAGREVTPNTDRYKSIHDD ARNPSAWACAWSLFLSQKPSPT H257_10897 MARCTRLWLTTRRAMCIRSTVWMRTAATCHIRMRTRYPLTTFCT STIACRSWTNARRIRTIRNVDWMVRMAARHRRYCTRASHPRIIRCMSSTRSPNSDSRI ELSCSYTDNTINTLVSPSWNDGRPGSVARTTGGWTGCMNAPVSKAWMISCAVARRLSV GGSRTPGHRHMYEWTNAACENRRGYPVREILAASSTPEHRSCSATRGSSNTSGLIMSL GFTHRMKWGFVVCSNAKRLASCDLNLCTTVVAWGGFDDDGAGREVTPNTDRYKSIHDD ARNPSAWACAWSLFLSQKPSPT H257_10898 MASVCRRRCCLAVHMRTTDCMATVARRLFLNDERARCALALACK TVAASWRHVTTAASSVCSARCVRNTMVRPRRMWLGPQAATTNASTAAPSSCLLMSGWC LWDRAFFHRTSGPYVVTYDAWGTRTGNPARAMWATDTRPQHRSWWRTRFASNEWGVMA SLGLMHRTKWRWEALRVARRELSCILNVSTTVAAMMLFVVNDTDDLNNDDGGRLPRLD RMNEVDGGGVDHRPPVKLRLLLPLLLFVKGVLDDEADGGSWGCQSSNKSATKSIDDAV RATNASWGTESLFLAQNPRVEYVTAPA H257_10898 MASVCRRRCCLAVHMRTTDCMATVARRLFLNDERARCALALACK TVAASWRHVTTAASSVCSARCVRNTMVRPRRMWLGPQAATTNASTAAPSSCLLMSGWC LWDRAFFHRTSGPYVVTYDAWGTRTGNPARAMWATDTRPQHRSWWRTRFASNEWGVMA SLGLMHRTKWRWEALRVARRELSCILNVSTTVAAMMLFVVNDTDDLNNDDGGRLPRLD RMNEVDGGGVDHRPPVKLRLLLPLLLFVKGVLDDEADGGSWGCQSSNKSATKSIDDAV RATNASWGTESLFLAQNPRVEYVTAPA H257_10898 MASVCRRRCCLAVHMRTTDCMATVARRLFLNDERARCALALACK TVAASWRHVTTAASSVCSARCVRNTMVRPRRMWLGPQAATTNASTAAPSSCLLMSGWC LWDRAFFHRTSGPYVVTYDAWGTRTGNPARAMWATDTRPQHRSWWRTRFASNEWGVMA SLGLMHRTKWRWEALRVARRELSCILNVSTTVAAMMLFVVNDTDDLNNDDGGRLPRLD RMNEVDGGGVDHRPPVKLRLLLPLLLFVKGVLDDEADGGSWGCQSSNKSATKSIDDAV RATNASWGTESLFLAQNPRVEYVTAPA H257_10898 MASVCRRRCCLAVHMRTTDCMATVARRLFLNDERARCALALACK TVAASWRHVTTAASSVCSARCVRNTMVRPRRMWLGPQAATTNASTAAPSSCLLMSGWC LWDRAFFHRTSGPYVVTYDAWGTRTGNPARAMWATDTRPQHRSWWRTRFASNEWGVMA SLGLMHRTKWRWEALRVARRELSCILNVSTTVAAMMLFVVNDTDDLNNDDGGRLPRLD RMNEVDGGGVDHRPPVKLRLLLPLLLFVKGVLDDEADGGSWGCQSSNKSATKSIDDAV RATNASWGTESLFLAQNPRVEYVTAPA H257_10899 MEVGTEVWVLHGTRWHLAVVDAYEVENVVVTCRLTTPNEVDRVT LRGATDVATHVHVCNPLAQRVDGVEDLTTLVHLHEPAILHTLQVRFAKQDIYTSTGTI LVAVNPFQTLPRLYDDATVQQYIDHGYRQSVRGEKLRPLPPHVFSVADKAYRDMTSLL NNGNPNQSILVSGESGAGKTETTKILMTYLAAVSSSTDADDTCAIRQRVLESNPILEA FGNAKTTRNNNSSRFGKFIRLGFDAATGGLQGASISTYLLERVRLVSQAKGERNYHIF YELVRGASAALRHTFHLSSSPVHSFQYLNQSGCVDREDNVDDGDQFGKTLRAMTTLDI SSHDQTSVLQLVAAVLHLGNISFVSHPDGHAAVSSLATNECDDVVSIVSKLLGLDVAA METSMTTRRIKAGLDHVVVKLTASHATVARDVVAKTIYSRVFDYLVERINSAVAAAAS ADRFIGVVDIFGFEIFATNSLEQLCINYANEKLQQLFASFVFDMEQREYVQEAIEWTF VSYPSNDECVSLVDGRPMGLFSLLDEQCLVPRGNDTQLAAKFYDTFSGSSCFQSSKLQ RGHGQFAVVHYAGTVVYSTAGFCDKNKDSVHPEALELLVGSTLPFVTSLFRTSPTSSH MRKHSHSSKRQSSGGGGGGAVSSSVVIKFKSQLTTLLELLHATVPHFVRCIKPNDMLH PAEFTAPRVLEQLRCSGVLEAVKISRAGYPVRMPHAIFQREFRPLLTDKTADLATQVA ALTAAHADLGALDHPFQIGLTKVFMIQAAYQHLHRVQVTLQGAATGTLQRIGRGFVAR RRYRLVRSAIVTVQSWLRSVTAQRHVRALQQVAREVHAATTIQSRVRMLGCRRQFHRC NQAATVLHRIARGFLGRRAATRRKILVDKAARAAAMVERAQLAQEARNKAAAAAEEVA AQASLHTPSPVQLLSPHVKSPPMSTEQVPVAKVLVPLKPTVVRAVSFDEFSDSDDEGS APTESTSSSPSRSSTIPKVLVRDSEYEITWECGMLGLYFESDDTSGLPIVRRVHETLS TCADIFDVSRGDVLLSVGSQMVAHNDIRHILKLLQDVPKPVSLRFQRTHKSMRESSAL MLDEYEVLWRDSIPLGLGFKPDMKRGMPCVSKCRGNPQIPGMFNVRLGDYLTAINEIS TYRIDFSRVITLLEEGPRPVVLRFQRADPDDMDDNSVLSLRDTNLSGTSSVVSRESVR DILRNGDSTGVRFSDRDSIALSRLSVMSLNPKLDDSLYNITWKDEDGALGIVVKQAIS SFYPEVTKVKPEGAILRQPNKVHIGDLLVSINNNNISKMGFRNAMHLLQIGPKPVLLT FQKSERTSHGGVPASI H257_10900 MLSTDETNMKPPYHPVVPFETKRPKSASREKAPTYTACNAGSGV PNARETENMPPPRVSPESNTWIPTLKPAQPSRSWSQTLELLAGAVYVAISVFCSAWYC THSAPYLTNDMWWPRFNTTGTQTFLADVLNAKLATTASVPSLDLCAANSAVYGRYDLD TTSVAQSPVYPRHYMLGAHSDFEDAISGMHMTTVAFNLRMFTQYCWLDFDKKYPIAHT AARQDRCVRSEGDNAAVHMEALLRNVVWKDFVSATGGPTLTGNFERPLSQYPGGTAWM NSVKDAFVNVPTEVAYWKSKAMMRWQLQWQNAWQQGIDEMITVVSALGMSQSLAIKRV PYFHRGSGPWTSVAMYSGLWNEIGRAVMGNFSLIRGSATHYSLMNFTFEMAISINPTS VQTLLWHQEVGTYNTIDMKFQSIPPSVDALATAFRQALVPALQTTLGDAYNAIPDVVV DPAPPGWIIGTNLTYYGGNPLCFTGSAQPFIQQNFGFDDACLTQDKLTMTLTRSAIVF ALMASGVSDVAGISRICSLCQTTSTALCLASLGPGLDVAANIPMSLQALVAAVAADVP TVSLMQFAVNSTTPVLLRQLLLDPTDAAWSFFGWIQLFDWGLNRREVVSFEGDVSALP LMSRAYTLQSFQPSPVQVQHSASDYMIVLTAYASVHLVLVALLLLGYGVLTRTHVRGR NLFRFNRVVGSVWVGRVILMIRGITACILLCTAPIAMVTSSRGWTSFEYSTRGVVESV LVTGEATWILYVVHDFLGIVTTEYAYYYAPISSALAWVVMFVLELTSPIQATASIDRQ CSTLVMGKQIKCVSGTVYVGSVGRLYALFAIFGGSVIVGMVLAFPMRDRRRQSGANLV LGGIGETFLDPSKGDLGDGMNVTEGVALDNVSCVMCGLLVYRVERTVYVFDLKLWIVF KVQSGTPTLPPITSFHSSAIKRIPSSPAVAATDSKNHLRWRDHVRAGAGFAYMFWALT GMVLYILATQENMANDFWWKGFNSTGTHAYLGNWYNLQLMLNPHVSERVSLTNTKYAD LVLYNTTSTVISGSQMYSRTVQFEEANALSTAIQGLRVMDACLVPWISTQYCWLDFER RHPMANTEKRQTRCHGYASNAAVYLESALRNVQWDRFQLCWGTSFEVGFAVELRSSQA GTDWLASVRQRTTTVADEVAVWTRAHLGEYTTQYQNYKAVGVTETFYVQNSFGIPYSM TLKASKGTFQLGLATTLKLYWTFASDLWAITSPTTAIVGTSLLRQSANFAFANTTIES VLAANGTLNHPYGVSFTSFRQLIGPFGSVDARHVTVPSSVRTLYKATIEYLSTLLSTT NASSNAQVNFSSIPMLSAWSAVPQAWLYTPRSIGGSLLCPEQPPYPGALLSYWQDGGC SSAVRETATPTRQRSFANMLALGLGDASPSTLAAICTRETFLTATCVTHLTRFQEFIN TYVPPAVRAELFALGQTTQLELTTVTRIGLYQLLPQAPPSTSYEGVFHPIFDAADPEF YFFAWQFVFEWLLGQRDVVSFEGDMGSLTIFSYVLNTVDTPPNSLEVPYNVAFYFRGC VIYATAVLVVVASMVTYHVIASRGHIEGWNIRKINRVGGVIWIGRPLLLLRSLLAACL ISTDNLALVQFGPIGGTSAFAPNPLPWYKVILVSLEVIWFSDVVGDILVVITKAYTMQ YSVKSIVLIWLTTVILTFASPVAHSASVDRHCTVVHVDFQLTCTAGTLYVGSFARFCT LLCLSLGSTLLCFLYERLRHPQPDTTCANDSILLSSGARYLFQLRQWQYNGYCFLDKA SGVINGVLCVELGHTYYILDIKLWKTFVIDLPEEARVPPGHPMHSRLRCAFPLLDHA H257_10901 MSKALDGIAQDDATEGDAHQLYKPPDAAHVQGARVAGYLKVHLH HVAVTVDSGGMGHWKPDTEHGRDDPRQEPTHDCVRRRVGSRDQDQNVAHNIYKPTDFA GREHQFIQLKVAQIERRDAPKGPILLEV H257_10902 MVRVDRAKRTNDLTHRLKGAVERLAHDASVRQSRFYRVVGIRVG RAGRKQAVIRGICQVVSKAPVQFHRCLDVNLHEPFGGLDGHRVRYPKRIFDIERFHHA QPFEVLVLHRVVKNGYRAPVRCLLVLCRRDLLDRFKPSSALWCGFQIACDGQFKSITP TL H257_10903 MGYRYHIAGPPRLQPLLPQQVPPGFTLTLFPTEEQPMIVEEALE KRDILGQKVKEFNAVACQG H257_10904 MSLDCTQAHPRNKRSTSAATLSLKLFLDDGFIMDMRSLTCRDDV LRYGVNAEQETLAFLLQHEVRSRGSSAALKALQKIHRSGALNARIAHYHQLIASGMTT CPAPPATHDILEEMAAS H257_10905 MSHLISCHPDYASLYEGFRPNAADGCAFPLYVSPAYVSLHGWMD LIVSKHMSLSTLSNPRPRSNLPKRFGLVFDGWSSDGASFYCVLATFCKNGELHTPIPA FAPMLDEGDLSAAQHVGFLAATLELYGRTINPVTFLVGDNLLHRLNLVVHQFLDNHES ILDSIHAMMLRCRTAALEVIQGFTIILQLHDLAMNEARALQGTLVERFSGMASYLSPH ATLECERAALAPFEVVRPSPSPSCLAMKDLQAKRAKLNERIVMEYENLRCVLPTSNII ECLFSKAKLVYTSLRQRLAPESLEILMFLGANRAYWNTLTVEQVRKKQ H257_10906 MDAQYDLHDLHDFSYKEVMKCLKVGLLKNVMLCPKCDGAMTMSV PTKRWRCRRSSCGDVQRSIKADSFFAKSKLPLTKAVRLMFDWVSRKSVSVVTKEQEVS PTSAGDWFNFCREVCSVEMLTCEMKFGSYVSSNERHTLETNPRLRGMNYTHAWVNHSE NFVNPINGAHTQSIEGVWEVRIKQYLKAMRGVHRKHLPGYLDEFLWRSWFFPQKADGK MVFKGLVIAIRKQYLN H257_10907 MPGDRRYHPWFHDNLGCDQITFLELVAWFRTAMVDFYHRASNHS FEKKLVVLLYFLRSQGGYRETSAAFGMSKSWCVDTIAAFVRSTEPYLIFNVLEITTAF TTGDPSLNVQAVVDASLRFMYVDIRPGSYSDKKTWKASTFGQSIQRRMPIGCFIIGDA GYTLLPWLMTPFLPHKKGGILSKLQKNFNYKHSSSRMVVECAFGRLKERFRVLRQP H257_10908 MDAVDQEADIDRKDRKPAKRSRKYQIKAEGQASFWNEATTIAEL RCELSVSMEREFTVLQIQDKLAKLKTEWSMSKPSLPAPTGNVKKVALPQFYDVMLEYW GEKVGFQRESLMSTDDANDYDGGAMDPAEKSHVSVSRRPGDAIEAGLNAVKEGLMFLG TSMASVQPPAPIASSGTSLDDVVRALNAQVATMDRLLAHIAEKYPE H257_10909 MSSQHTLDDQNRVNEATRRDLLVMHHNFNNSNEFITSVQAWAHA QGFTVSRTGKNFSDHEALYAILHAQGRGAQWPVDVPWHIKFSFDNFGVLARRYFGHEA RVWTAH H257_10910 MTFKCRFCPPTPKGTVRVKKSSGKSNVRSHVTYNHTATWQKTMS QSTYGGLDAHLIPSAFGQSAFSWFEKKCTREYGSFKGLSHNQVKQFMHLLRASVVEDI MKELPDKFGVVLDGWTHMSEHFIALFAVYVNAGDRKKVLLSMTPLIKDTSLANVQGVD ELQPSPETDEVVQVQALYLLHHFYVADNCSVNKKMAIDMKVPLLRCASHRFNLAVQDL MKGEFADLLAKVQKVMLSCKALNNAAELKKLTALKPKLLQTTRWSSAFEMLRRFQKLL PSLEQMPKRAKLKMSSKAMLKRMERSLPLLTKWQSVTKYLQRRDCSAANIRVIFDEVL SEWPSMESRLASEASIVHWKEFEHAAVALQQRVALTAADKVVTAETFAEDTVTDGDID DGMASAYDPILKQLPPTSNGVERFFSAAKQVLGTQRKAMSPANLEDTLFLNVNARFWD INKVAVLIAADTSGGIGDGAPEQTEVGDDDDDDVQGVMASDASDSDQDMGEGLISVFV DGDSSDGDEEDDDCESRG H257_10911 MGNFCGGLWCWSGDDDGSTNNLKHSFKGPSSGSLLRTVQNPDVS SLIEIEHEAKRNFHDTFILGRKLGSGSFAMVYECGEIATKKTYACKVYDRRKLDAGAL ECALMEPFLLRRMYHPGILRCQGFYKEDDMYIMVMEELRGGDVFDKLQEIKGDIHERD VCRLVKMFLEALAYIHARNIVHRDLKLENLMLDSTSPTTSTLKIVDFGFAIQLPTKDA TLTEVLGTPGYMAPEVIQGGPYGKPADIWSAGVVVYTLLCGYPPFHHDRIKNIQTLLR SICCGYYFFDSVYWNDISLEAKDVISQMLRVNPAERATAAELLEHKWFTQQLPPLPPS PRGATANTLKAIGPLRSFNRILRARVAAQQTSDDSQLRKLAEDKANALEDRPDLPPSV DLTKTTLLPTTRYNVIE H257_10911 MGNFCGGLWCWSGDDDGSTNNLKHSFKGPSSGSLLRTVQNPDVS SLIEIEHEAKRNFHDTFILGRKLGSGSFAMVYECGEIATKKTYACKVYDRRKLDAGAL ECALMEPFLLRRMYHPGILRCQGFYKEDDMYIMVMEELRGGDVFDKLQEIKGDIHERD VCRLVKMFLEALAYIHARNIVHRDLKLENLMLDSTSPTTSTLKIVDFGFAIQLPTKDA TLTEVLGTPGYMAPEVIQGGPYGKPADIWSAGVVVYTLLCGYPPFHHDRIKNIQTLLR SICCGYYFFDSVYWNDISLEAKRHGGRVARAQVVYPATSSASTVAAWRHGEYTQGDWT PPVV H257_10911 MGNFCGGLWCWSGDDDGSTNNLKHSFKGPSSGSLLRTVQNPDVS SLIEIEHEAKRNFHDTFILGRKLGSGSFAMVYECGEIATKKTYACKVYDRRKLDAGAL ECALMEPFLLRRMYHPGILRCQGFYKEDDMYIMVMEELRGGDVFDKLQEIKGDIHERD VCRLVKMFLEALAYIHARNIVHRDLKLENLMLDSTSPTTSTLKIVDFGFAIQLPTKDA TLTEVLGTPGYMAPEVIQGGPYGKPADIWSAGVVVYTLLCGYPPFHHDRIKNIQTLLR SICCGYYFFDSVYWNDISLEAKDVISQMLRVNPAER H257_10912 MNVVATSISGTNVQLVVSSLSDQSQVVCVERSYVALEKLHAFLI KKHGTLNIPSFPVFPSPATDAKLAVLCAELTVYFKCGAVHESDEVHALVNEERAAGVA HMTAIDFILQPFEYEKVNILRGSKHELQLQVASAGQTLVWKFEVDDYDIEFYAEFHTP FPMYTEIFHAATKYQTTSKPVEGMYTCTRPGVVTLRWDNAYSRLRNKTVLYLAHVIGK DMMDSAFAAADALNQAMKEAGPTSGNCLHLQKSPVVKTSSGGRLPLAMPSLEQLTPQW LMDGLMHTTATYAARLFGSRRPPLTNTDAPSAYENSLMQELNGLNMTLLQRVERLEDS LARIAVERDQALSRVQLAAAKTEADAVALADMSVQIQAQQDEIDRLCRERQSWPAVVA ERDALLLEKHRWAMIDEFDGYADKIDPASLSPPQEGNLHLKDDVRQALEKELGQAELT LLRVRAQLGYSLHQNVPVGSGGDRLERLAHDLAAAKTEFDDKMEHTVLHVAELNQQIV KYKSHKKVLVTELRNLKRETDGQVAVAIAEACEARMVNQSLKRQNELLLSQMRTIVDE TNRAAAATNEAPEVSPLPSTITAADIALLNGLPESNKPLGRPANPYRERLLQFFEEFD PSQIDLIDDMLDSYRGVEDSLMESLELKYRFQEMHE H257_10912 MNVVATSISGTNVQLVVSSLSDQSQVVCVERSYVALEKLHAFLI KKHGTLNIPSFPVFPSPATDAKLAVLCAELTVYFKCGAVHESDEVHALVNEERAAGVA HMTAIDFILQPFEYEKVNILRGSKHELQLQVASAGQTLVWKFEVDDYDIEFYAEFHTP FPMYTEIFHAATKYQTTSKPVEGMYTCTRPGVVTLRWDNAYSRLRNKTVLYLAHVIGK DMMDSAFAAADALNQAMKEAGPTSGNCLHLQKSPVVKTSSGGRLPLAMPSLEQLTPQW LMDGLMHTTATYAARLFGSRRPPLTNTDAPSAYENSLMQELNGLNMTLLQRVERLEDS LARIAVERDQALSRVQLAAAKTEADAVALADMSVQIQAQQDEIDRLCRERQSWPAVVA ERDALLLEKHRWAMIDEFDGYADKIDPASLSPPQEGNLHLKDDVRQALEKELGQAELT LLRVRAQLGYSLHQNVPVGSGGDRLERLAHVRWMFEVCMTRINLGTGRTWRRPRPSLT TRWSTRCFTLPSSTSRYVCMSSVSSGDRYSRG H257_10913 MMFMRRSTSSLSSTGSSPAQQSMLDADIVREGWLRKKGHLFATI KSRYFVLYADGHLVYLNDVKKKKQKGSVVLAMSDIVAPHPTKKNDKLFGFDLKKAATS SDLNATKPYTLTMFALTSVERSEWIDAIRRVTTMQYPSMVMPQRMLSLAETSSTTDPS SSSTVLAASSSRDTFCVLIELGELQQLVALAHTTWDEDPLKWRHDQYLELCRSIVFTH EKALGANMNVHDSQVLQQALALRYQYEDAQAQVQARRLSLAVPPGPIAESVISTTPPS TPTTDIAPKPLPLKVARYFNTLDASFVATSPRSKTMAKYHQLYQQEALTAKRAWNINR DPSDDILNCTEGAPSSPWTPEKLATLLHDRFDRDRIYTDVGDTLIAINPAPRLVHHAA GNSIYDEATAMWYRDHDPTACSPHPFALAKRTLASVQNNKQDECIVMLGESGSGKTDL AKQVLKYIALVQQAVKPPQVQLFTSSTKSTIKMRSDESHLMDLLRLKHVNYETIYLDI TPDRWPEMLASSGGIKQLPQLHMNSHYFGNYDELQRLEDDEQFVFYVKNPNAARLTSV LLDGNELLEAFGNAKTVHNPNSSRFGKSTSFSIHATTGHLLGGSIQPFFLETSRVTSL NPDDANFHIFYALLVGASDAVVEDCQLKHTTPATFAYLGKPSKLASTNVRTSTTQDDR VRWDRVLRCLDLVGVSEGERSAMFRVLSAVLYLGNIGFEDTLNELGVATGVRIQNDSE LHIVADLLSIQANDVVRVLCTKQLSVTKKDEVYELQVHARQARITRESFARLLYDSLF SALVSLLNRSSRVPKDNLVHDITLVDVFGFEDVGTNSFEQLCINYLTEKLSAFELEYA GEVQGALYFAEGLGRYWSSVLNISEGVGLQVMSSPVGVWACLDEATVLHGNEDDPKQL KNSRFVRALYSRNADHPGLTVRKDPLEFTVNHHRSSVTYNATDFVLKNSQDFLQPLLH LMATSSNPFIQSLQTHQRSVVNQETKRQSSAARFKGHVQAIVDKLNVVQPRFVHCLRP RASTSKDFTALDMGVLKAQVQGQLLAPIVTYSSQMFRHAVAFPAFQSSYHMLFRQATW DADGVDQALEAFVSSLPLGTSCEYAVGTTTVFFNEALFMALLAQRLDVRSAACIRIQA TVRMWLAAREVASLQLTTQNYIRQITQFYAQHNPSKLAEVSSIVRTFRGREGVLFEKL KQKYTSTQVQSADDDTSLESFVLKVQFDGPTVHKMLSNPKMALLLGEPNILQALRELS IDPSVIYLQTTDPVLRLFYTELRAFITPKPHPDRIPYPDMPLDDAVLVPDSVTDGLFK RWPGCSFFIPHRTWRLKIKQLSERLLWTPCCLPFHIDMPGVQSMLQRLIQDIEHNPST LVAEAAAAASQPVALQVPTPLDVPPRLHNQLPQEQNPIVPVAQPTTVAIDEPVDTSSE SSTSSPVQAVVGIEIGQAAAADAATSSSRTRSPATSMDVLETPIVQFQDMPLEIAVEK LIQAKLLCPTADMTLDDQAIVLEIASDPTMLAFHIDQPNVQVLLRRLCRLVDDIMAKS SPQLPVVDVPADISPTKSPRLSENTPGTVEFQRVKVTKKLMKKLLQNDVVMSMMGEPK VVDFFEDFSEAKSSMPTISFPAHETQLIAFYKAVLQLSLS H257_10914 MVLNINTMLERLHVQSDSDQLYLEESNDVYGDVVAEENDATTYN NPVIDRVIEDSGVDGFRTLTNFTPDEFDTIWSVVEMALQARWHDGRGRRPLSTPKDAL FMTLVILKYYQTWQKHALDFDINAPTLEKMIIRVVDVISPIIYAHFVTMPTMEALREH STTFRNYPYAKYATDVKFQPSHRPSGRFGEQKHYFSGKHKLYGLKIEASVSAQGLLVD MGPHEPGSVADLTMFRKRLDVHVTNLKKTPTEATVNDNGERFQAFSTMWAVFVDKGYY GLTASVRAIHQKKRPSNAALDRPDLKRNSVVSSDRVIVENFFGGVCTLWKISYSTFVW GEKIYDGIQRLTFALTNFHVGLIPLRDDDLRQNRVVLARYARMAEEKKSQRAATQCRY IHRRAERLATESMRSSLVARGAFLSSTVNTRR H257_10917 MRMVDCCTISSNVVVQTPICIWRDHGKKHPLILVLKTTASKIKA TVEENLSQRHGFGKQVGKQV H257_10918 MQRRQSRSEKETRSGARLKLAAAHKRIPSPTHIWTAMETGARVW ISSSEDQWQAGVIDDCRDDDDANSAVRVRLDEDGTHVCTSAASVYLRNDVDDFVDVPN LISLQYLHEPELLHAVCNRYEQNVIYTYVGEILLSFNPFQRLNLYTPAHIQAYAASTV PATDSDTSSRSLPPHVFAIAAIAYQSLCDDRMNQSILVSGESGAGKTENTKLLMQYLT AVGATPAHPTSPTPHVASRTPSSGRRSKKRSSGATSTAASSHDIQTQILQTNPILEAF GNARTVRNDNSSRFGKFIELQFGRHHTIVGAKLSVYLLEKIRVSHQSDNERNFHIFYE LCAGADDDLAAHLSLLDAEDFDLLNESGCFTRRDGVDDAAQFHETSQAFTDMGILADE QRSIYGIVAALLHLGNVALDGETCGASNMEHASIRPDSLHHLDTAAVLLGVSSVELTC ALVTRHVTTTKDKIMVKLSSGQATEAKQSLTQSLFGGLFEWIVARLSSVIRHDAESAN SIGILDIFGFENLVMNGFEQLCINYANERLQAQFNDLVFAKEQRMYQAEGIEWKYIEY PDNAPCLRLLEDKPTGMWSLLDEEGMLPKGSNEGWIGKLYSQYLDTILHPSFDGDHAA STSVKSRRRQSEPVPPKGCLQLDKSSDRPFQATNHQRVECQFVICHFAGNVMYEKDMY LEKNQDMMPAEAVELCGASTNAIVQALLSKKPPVAAQRLTRQPSNLRSASVSSQFKAQ LDELIVVIGRTQARFIRCIKSNDAGVPTVLDAPRVLQQLRSGGVLEAVRIARAGYAVR VDHAKFLDAFGFFLRLQRLPSQLKKARTTATLSSGVGPHKAAKSQDLKPLVEWTAAAV LVQFHRACWSSSGTISDDVVAVAKKVVEQGKVGDRPAFLASCGAVGFQIGQSKVFFRK DVYNDLRRFRLVTRHRHITTIQQHIRGHLARQQAARMKEAVRCLQMWMRERLAHRARR RRGATVLQSWARQILAVIQYKKTVAGIPRIQRWWRHRRRTRLFHQRILDAAKEAKSMA RHSLPPVQVTSPAQSSQQVVHEVVVEAPAPCPLPPATAAAAPIKVMPPDASCRPDEVP PRREVDSLMVSLSVENAQLKQQVQQLQIQQQHQPSVAAPVAADPSVEFAMVHIRALAS QLVELQLQCAMIKSSVDSCCPSGANLVLPSPEAMESPVVALDLALPPPPTSLTEAHGQ LQSLVAKIQVASFTLDQLERQKQRQLKLQAQEAQARAVVQTLTPVVATVRHVASYVPV VSYVVSQVETKVHVSQQSWCVLIQTSQAVLASVSAMASSLWLLGRSNDDGKATAQTVS QQRPKHRPLHGDDDHHWDDLMECNLDQALQIKQLTATLHDLRAKHHDLQLQHLGTQMA TMQATIGRADADKLKRMEDDLAYTSACVNQLATAMLARGIAPPYHTKDNPFDSTRQDQ QLPALFRR H257_10919 MESFPMGLLLGGVERTADSSRGTASSYPIDLLSRKPALPKAIIY LDMGCQQRHCPNTPMDGFENICRIDDVVTCRTVGMVVVAVLVMAIVVMAVMAFNVLGL LRAVPCIVDPLNEFEEIGRSQLEGASSKPHPQATKSLVKCAQKSFQSQRTFLTFWPCR CPKWLPKMRGRVPLMQLFDVLQHLSSKAISMGQQTEGTLCPLSLQEPLRSCDLFRDQR I H257_10919 MESFPMGLLLGGVERTADSSRGTASSYPIDLLSRKPALPKAIIY LDMGCQQRHCPNTPMDGFENICRIDDVVTCRTVGMVVVAVLVMAIVVMAVMAFNVLGL LRAVPCIVDPLNEFEEIGRSQLEGASSKPHPQATKSLVKCAQKSFQSQRTFLTFWPCR CPKWLPKMRGRVPLMQLFDVLQHLSSKAISMGQQTEGTLCPLSLQEPLRSCDLFRDQR I H257_10919 MESFPMGLLLGGVERTADSSRGTASSYPIDLLSRKPALPKAIIY LDMGCQQRHCPNTPMDGFENICRIDDVVTCRTVGMVVVAVLVMAIVVMAVMAFNVLGL LRAVPCIVDPLNEFEEIGRSQLEGASSKPHPQATKSLVKCAQKSFQSQRTFLTFWPCR CPKWYVKNAIQIVLFHHAVRLPKMRGRVPLMQLFDVLQHLSSKAISMGQQTEGTLCPL SLQEPLRSCDLFRDQRI H257_10919 MESFPMGLLLGGVERTADSSRGTASSYPIDLLSRKPALPKAIIY LDMGCQQRHCPNTPMDGFENICRIDDVVTCRTVGMVVVAVLVMAIVVMAVMAFNVLGL LRAVPCIVDPLNEFEEIGRSQLEGASSKPHPQATKSLVKCAQKSFQSQRTFLTFWPCR CPKWYVKNAIQIVLFHHAVRLPKMRGRVPLMQLFDVLQHLSSKAISMGQQTEGTLCPL SLQEPLRSCDLFRDQRI H257_10919 MGCQQRHCPNTPMDGFENICRIDDVVTCRTVGMVVVAVLVMAIV VMAVMAFNVLGLLRAVPCIVDPLNEFEEIGRSQLEGASSKPHPQATKSLVKCAQKSFQ SQRTFLTFWPCRCPKWLPKMRGRVPLMQLFDVLQHLSSKAISMGQQTEGTLCPLSLQE PLRSCDLFRDQRI H257_10919 MGCQQRHCPNTPMDGFENICRIDDVVTCRTVGMVVVAVLVMAIV VMAVMAFNVLGLLRAVPCIVDPLNEFEEIGRSQLEGASSKPHPQATKSLVKCAQKSFQ SQRTFLTFWPCRCPKWLPKMRGRVPLMQLFDVLQHLSSKAISMGQQTEGTLCPLSLQE PLRSCDLFRDQRI H257_10919 MGCQQRHCPNTPMDGFENICRIDDVVTCRTVGMVVVAVLVMAIV VMAVMAFNVLGLLRAVPCIVDPLNEFEEIGRSQLEGASSKPHPQATKSLVKCAQKSFQ SQRTFLTFWPCRCPKWLPKMRGRVPLMQLFDVLQHLSSKAISMGQQTEGTLCPLSLQE PLRSCDLFRDQRI H257_10919 MGCQQRHCPNTPMDGFENICRIDDVVTCRTVGMVVVAVLVMAIV VMAVMAFNVLGLLRAVPCIVDPLNEFEEIGRSQLEGASSKPHPQATKSLVKCAQKSFQ SQRTFLTFWPCRCPKWLPKMRGRVPLMQLFDVLQHLSSKAISMGQQTEGTLCPLSLQE PLRSCDLFRDQRI H257_10919 MGCQQRHCPNTPMDGFENICRIDDVVTCRTVGMVVVAVLVMAIV VMAVMAFNVLGLLRAVPCIVDPLNEFEEIGRSQLEGASSKPHPQATKSLVKCAQKSFQ SQRTFLTFWPCRCPKWYVKNAIQIVLFHHAVRLPKMRGRVPLMQLFDVLQHLSSKAIS MGQQTEGTLCPLSLQEPLRSCDLFRDQRI H257_10919 MGCQQRHCPNTPMDGFENICRIDDVVTCRTVGMVVVAVLVMAIV VMAVMAFNVLGLLRAVPCIVDPLNEFEEIGRSQLEGASSKPHPQATKSLVKCAQKSFQ SQRTFLTFWPCRCPKWYVKNAIQIVLFHHAVRLPKMRGRVPLMQLFDVLQHLSSKAIS MGQQTEGTLCPLSLQEPLRSCDLFRDQRI H257_10919 MGCQQRHCPNTPMDGFENICRIDDVVTCRTVGMVVVAVLVMAIV VMAVMAFNVLGLLRAVPCIVDPLNEFEEIGRSQLEGASSKPHPQATKSLVKCAQKSFQ SQRTFLTFWPCRCPKWYVKNAIQIVLFHHAVRLPKMRGRVPLMQLFDVLQHLSSKAIS MGQQTEGTLCPLSLQEPLRSCDLFRDQRI H257_10919 MGCQQRHCPNTPMDGFENICRIDDVVTCRTVGMVVVAVLVMAIV VMAVMAFNVLGLLRAVPCIVDPLNEFEEIGRSQLEGASSKPHPQATKSLVKCAQKSFQ SQRTFLTFWPCRCPKWYVKNAIQIVLFHHAVRLPKMRGRVPLMQLFDVLQHLSSKAIS MGQQTEGTLCPLSLQEPLRSCDLFRDQRI H257_10919 MESFPMGLLLGGVERTADSSRGTASSYPIDLLSRKPALPKAIIY LDMGCQQRHCPNTPMDGFENICRIDDVVTCRTVGMVVVAVLVMAIVVMAVMAFNVLGL LRAVPCIVDPLNEFEEIGRSQLEGASSKPHPQATKSLVKCAQKSFQSQRTFLTFWPCR CPKWYVKNAIQIVLFHHAVRLPKMRGRVPLMQLFDVLQHLSSKAISMGKNVLDGFFNL GLLRL H257_10919 MESFPMGLLLGGVERTADSSRGTASSYPIDLLSRKPALPKAIIY LDMGCQQRHCPNTPMDGFENICRIDDVVTCRTVGMVVVAVLVMAIVVMAVMAFNVLGL LRAVPCIVDPLNEFEEIGRSQLEGASSKPHPQATKSLVKCAQKSFQSQRTFLTFWPCR CPKWYVKNAIQIVLFHHAVRLPKMRGRVPLMQLFDVLQHLSSKAISMGKNVLDGFFNL GLLRL H257_10919 MGCQQRHCPNTPMDGFENICRIDDVVTCRTVGMVVVAVLVMAIV VMAVMAFNVLGLLRAVPCIVDPLNEFEEIGRSQLEGASSKPHPQATKSLVKCAQKSFQ SQRTFLTFWPCRCPKWYVKNAIQIVLFHHAVRLPKMRGRVPLMQLFDVLQHLSSKAIS MGKNVLDGFFNLGLLRL H257_10919 MGCQQRHCPNTPMDGFENICRIDDVVTCRTVGMVVVAVLVMAIV VMAVMAFNVLGLLRAVPCIVDPLNEFEEIGRSQLEGASSKPHPQATKSLVKCAQKSFQ SQRTFLTFWPCRCPKWYVKNAIQIVLFHHAVRLPKMRGRVPLMQLFDVLQHLSSKAIS MGKNVLDGFFNLGLLRL H257_10919 MGCQQRHCPNTPMDGFENICRIDDVVTCRTVGMVVVAVLVMAIV VMAVMAFNVLGLLRAVPCIVDPLNEFEEIGRSQLEGASSKPHPQATKSLVKCAQKSFQ SQRTFLTFWPCRCPKWYVKNAIQIVLFHHAVRLPKMRGRVPLMQLFDVLQHLSSKAIS MGKNVLDGFFNLGLLRL H257_10919 MGCQQRHCPNTPMDGFENICRIDDVVTCRTVGMVVVAVLVMAIV VMAVMAFNVLGLLRAVPCIVDPLNEFEEIGRSQLEGASSKPHPQATKSLVKCAQKSFQ SQRTFLTFWPCRCPKWYVKNAIQIVLFHHAVRLPKMRGRVPLMQLFDVLQHLSSKAIS MGKNVLDGFFNLGLLRL H257_10920 MKYLTSDQRRAVVDHLLLRVVQKPCKLQRGAIKDVARSFGRNRH TISEIWRRADVSLDGDLPMREIVCEDILSQKKGRVGRKQKYTDLPARIRAVPAAQRTT LMYVAHAIGIPPSTLKDYYKRGLLVKYLDSVMRELSLQTANDLEMSEIFTALDSLDCE ISCEDEEGV H257_10921 MRGKHVLHGFRGEIQRVGSVASLEEMVMDVAAGGVGWLWRRGFL HHIRPRTGRDGVSFRQRRVGRVKKGEQSLGRQVGYSMRVHLARHVRNKRVRQGVLDDV SYARCHRCKRVQQLRQLLLLQERMHPLEQKRAPFQRELQTSVDGAAASLVLERGRRRL QRRRDRVDDGGRLARGRGSAKDALEGLDDHGILNCNYKQHVSCINWTGRSLVVVPAAV CRHRDSAAPVC H257_10922 MKRNGFDQVAHARYLATVAERIQSSVDPRSIYSYRKHKYNLHRN NQTATIERSPDPNQSPPPDTVNACTPSSSDYAHPEGEDDITSTNDVVVSKYNHDSVDP ALQARHAYLMQLIFPALRNIKAVSVHPVATRAADALQQAQPCARDGASLTTCGDMLVL FGGCYTSDPSLLHPRTLVPPRQTAKSTVFYSNHVFSYMPESKLWDAPHVSGPCPKGRA DHSAVYVPATSSLVVFGGRGKHSVVFQDVFCLHVASWTWSQVKSDANDVVPPPRFWHC AAFDTESNAMYVFGGKDLYSVYGDMQYWHHKYRSWGAITALGQPPSPRFGAALHWLGP GRIAVLGGWEARSVPLRGDASRWLDMFVLDVVAGIWSRPHLSAHFLGRSIPTERMLPA TFLLDPTTLVVFGGYTYGPDTGLCERPWYELEPPPRTTALPSPSRLLHGMKLEPVNDE AIYTLKLDVMVWRRQKQTTPLPTSVACGAGAVWRGQGVLVAISQQVQTPMEVVVVVAD FPAAT H257_10923 MFRRVAFSATSSFHRSKSHLAAPVAAAAATAPPTHAKTDQHIGH SGAKIFHNLMSEMGVDTIFGYPGGAILPVFDEIFESPHFKFVLCRHEQGAGHMAQGYA RATGKPGVVLVTSGPGATNTVTPLQDALMDGTPIIVFSGQVATPVLGTDAFQEADILG ITRPCTKWNVQVRHVNDLARNVREAFHIATTGRPGPVLVDLPKDITAGICQSVVSTTP QLIGYYGEDKVHGNRVDQAANLAEAARLINVSKKPVIYAGQGTQHCSDQLRELAIKMN LPVTTSFQGMGAFDETHPLSLHMLGMHGSAYANYAIQDSDCVIAIGARFDDRVTGRVR DFAPEARRAGRLGQGGIIHFDISGKQVGKIVPTNVGVVGDAAYNLAQLLPQLEAKPRP EWHAQLNAWKQAHPFRYRNHTGPNRALKPQRVIEELYTQTKHRDDVIISTGVGQHQMW AAQFYRWRQPRSLVSSGGLGTMGFGLPAAIGAKVAKPNHLVVDIDGDASFSMTLMELA TAAEFNIGVKVLLLNNNAQGMVKQWQDLFYDERYSSTIMKNPDFVKLAQAMNCQGLRC ASQDDLADKMVEFLAADGPIVGEFIVDGDEHCYPMVGAGRALDEMIFGDFQDCPPTTS T H257_10924 MAGEAAADLVADFHAAEWEDVTQVFHRATDAMTLGQLVHVSDFN YFESMSALELMDPKMDSGMLAPDEVILTVAERLEKGLVPLTFTSAADLLATLDRMEQC EAAWRNGQPMAQSLLTCLYFHPCVSSALVNAGPLDAASVSVSDTLGCILNAYLSLALK SVTVQRYAIHRADIYEEEDFSPLNSDLALGDGISDDLVVYWLDLAEKRLELLVKGSKS KKKTAVEALHVDPGIATDFAALFLCRLTFRRHFYAGLSALGSAESPDLEAAAAAFDAA HVVLQRMATERLEAADICFQGHAMGFDMHMSRLLASTMPPREAKLDSAADAFAQTTQL CRHLGLACTPPLDIKGMDDLKAYLTHLSSLRPNIVVRSYAASQ H257_10925 MIWTWHYAIGLRLDDLLPADATVYGSPSIRFAHRFQPFGRLQYP APLSYDDFVTNCDFSQYKVQVVYQSADECFKLARTRVDQVLAMATPNREHVVPELKAL VKVCVTNAVYLHQYTKQRHDNLQTTNVVLGGVDALSIQDKKTATVIIKYDVHPTYPTI QVKDPVSA H257_10926 MATMEKEVLLQMLDKFQEDPQFWIVVAALICIPLLVISLLAATS LIQGIDESDKSKKNQ H257_10927 MAQCEPDARASVDVTATRVEDFASFDTVDTIRNGKDDGIRKSSR VHPFEQSQDEVEVVQRRLSFTGRGSKLTFPVTKNTTASQSDADRFDDLSSMTMAESVE TAGGVAYLLITLVLSMYYLKMLAPVMTNDLWWADFNASGAHSYLIDVFNSNLNLNVNH TAKHLDVTAGGFSKDYSAFYTPIRISPLYERIVRADQATNLTATIIALQEYPRPESAW TQYCWVDFNRTWEVAHTAKRQTRCGRRYTANAAMYWETIFRLINWNKYMTKYASKFKF AMGRMLNSTEEGKHWLKQTADAFVSVDTEVAVWKAAGLTTYQWQWANHVTWGVKESVD VINAFGATQSLSIKQVATERKGSWTTMVLSWGPWNDYMFGLPFIRSDPRHARFMEPCS YDDFLLDPGNYTCDPCDPVFNPDEYMSCSYNCETVLDVGGTPGFGLTHNHVGPFGSID ALFVPAPPSLLVLSSSFTLAITTWMQTQDAFNAAMTTIPSLTVDPVPMKWQSTENGTF TYMGGDITCPTREPKPYVQSSFSFDVSCTNQERHKMLLHPRNALFAYLISSKLPIGAL KSIPDSAIIAEWCGAVCPTLASSCAQVLGAVVNASKQLPTTTTVALTTLARRAQSDVT ALQVKTIQYAKYTSTATDHEDGSSPTDVWLEQLVLSDDDKWDFFGWVYMFEWAEASRE VVSFEGDNGIFALVSDKSPPLINEAQYLEVPKTACQYVWVVSAIVSVILVIVGFVITT YTALLRGRIVGRNLFQFNRIVGAVWIGRPFLMIRGMTAIVLLSTAPIRFMSQKRITSF EFHPRTLLESMLVSGEAMWITYIFNDFLLPLTRNAQSNFAPLSAGLSWLVYVCWDMSA PPALYATLDRNCDINYFRSTVVCHSGAVQLGDAQRAMTLLLIQLVSIVMSFGAVWVWQ CVNRHPPAPTFCGHLLLSGTATAFLRKDIVLNGALLIDRASCVMCGLLTFRQYIFDLK LWLLTTQQQIPTGEPSASAKPRVFKWNMPVFLAPYLRSGLVTSPSTSPPSPKDHRPQR PKRVATLIGLGYMCATVFGSVTYLSLTKTSMANDFWWANYNASREHVFIARMYNREMV LRPEANSIALDDHIFVDDTNYSSVLDTAVGVFMPPLYVSQIKLADATKLAVVVRGLRH MDACLAPWIATQYCWLDFQQRWEMANSVARQARCASKYATNGAVYLEAVLRNVQWATL QSCWGRSLEIAIAAPLRSTSDGSTWWASLESTVTSELDEVAVWHSHNISTFDTDWQDY KSIGIIDTYNVQNAFGFSYPMTLKHTNGSLQLGAQSSMKMYWAFASDLWAVTDPSTFI FGKSLVRQTGQFAFANVSMESVLLQNGTVAQVESGAFATFRDTIGPFGSVDVKHVAVP PSVVRFVLHVTDTLTLLRTKSLSLSVHYSALDDQGQFAYIPAPWLESGQVYGVGGNIM CPDSTKWVLEDGLWILSGAACSAEVGEFISPTTFSRLAAVIALNMVVPSVNNTHEGMA LCSNVMGMSLRACQSLMLDRPLSFLKNSSYFGDNEAWFSTMAALAATAQADVRNVAAE VFQYGTVGSTSSNVTFLRHILFDESLPGFHLLSWYLVVEWCLAQREVISLQGDRGTIN LLTYHSADVGSLVNPLELPVNVALYIRYACLYVTSAIICVAFLSTLYLLANRGYMEGL NMLELNRVAGVVWVGRTLLFVRGLAAISLLSTQVLTLTPVGDQWGFRDPRVIVDETAT DQAMRFFKTFLAAGEVSWLGFVLSDMLIVMTQQYTTAYVFKCNFMVWGASALLSWIIP ATHTATMTRQCEMPQVDFQLVCTSGTIAIGSFGRFVTLIGVCVGSIAICYVYERLRHP HLGAQGQTSYFLSASAKYVFEPKHWTEDKVYYIDPASAVINGMLSIQVKNTFYIFDLK IWRFFVIDEPHLKRKRLHDEGAFHLLQAIPLTD H257_10928 MPSPKPIAKRQQRRYVSYHIPEATFRRWVANSSSYLAKKTHGPW ATLHGKGRLESVEFSSDLSYHIPEATFRRCVANSSSYLAKKTHGPRATLHGKGRLESV EFSSDLVAFMESVWDGEHFLTTAHLVTWMKSHRPLWLKAYMDGKLNDNSIQEPAPMVP EVREPSWILPSSAVRCQGHPVRASSRTRSILGGVLLKVWAPSTLRVDQRRRNPGVLRH ATGQDTGKSSRVKETQKHSDRITVVLSIRANGTKDTS H257_10929 MALMLAAQRVPQRLLPLHRVARLRDAVRRMHVEPSQNAVEELIQ TSPVVFFSKSYCPFCVRVKELFEDMDIKPLTIELDDLEAGQDIQDVLADMTSLRTVPN VFINGRHIGGCDSVMKLAKSNTLLDTINHHA H257_10929 MALMLAAQRVPQRLLPLHRVARLRDAVRRMHVEPSQNAVEELIQ TSPVVFFSKSYCPFCVRVKELFEDMDIKPLTIELDDLGKSRRLSQRDLETSPSLRLH H257_10930 MVRTFFLALALPAIASAAVCTEADFLPLATGIIACSAASGVTPT TLQGSATPADIVKMCQFPACQTFFSSFSSLKCTDAAGNSVSQAGAACSLVGKAATTAP ATTVKSSGLAVTVLSTGAVIVAAAATLV H257_10931 MAKQMDPPAFAERRNQVGHRSLTASRRDPMCPHAVYVNHAAHSL AVGHPPYVAYLGRVRYLHVAGGRLGIPSITADGSLRGGCNHVAATPTNVSKLFYQRLP FVTTHRATQRVTRFIGLGQLGVSTDKDKK H257_10932 MLATAHLNVHEFSCIIYSLHRNGVFWMPLPFGQAMKVMRMACAI VAAMTTTKIDGALSNCTDIDYAPLELQARGCSTASGTNFEATSINLTQAVSMCQFAVC KSLFSDLGALDCTEADIPVSLAATICNSYVPPPGTTSSASSSAPPPGQSSAIQTGLCI HVALAAMIMQLWAWVNVY H257_10933 MSSIVQCLARHWTSNPPVKTVEQIEAERYLFCVPWFGNRYFTSK LVKFNRWYLFVASFLCQFCCGSLYSWSIYNTPIDTYIYKNATAGNAVYTFYIACGLLG STAALLGPWLERNGPRAGMSLGSSCFLIGYIIAAISLANESMVGVYLGYGLVSGFGLG INYISPVSALQKWFPDMRGTAAGFAVGGFGAGSIVWGKVYLPAIKAFGLPGSFLFLGI VMSSVMFFCALCMRTPPPGFNVGGINIHGVVHVEGEDDHLIEQADQVDGKEIKSIQSP GVTKDNITEYEAVNDDGGRDVHEAHAKHQDGYKNVKNMSMISCLLSADFFFMYFMLFG NIVFGLVVLSRLAPMATGVFGQTDDEGATVVSINGAFNCCGRLFVPMLSDLLVRLFHL NPPFARKCIFFTTLVTQMVILITLPTIMRNKNYDLFRFEIWLLTLCYGGGFGTIPAFL TDMFGAYNIGALHGFILTAWSIAGVGGGLGFTFNFNHLYKVDKIPLVEAYIQNIHWIV ATTIVGVVALFGVRTNPVDRFAPGYQFSICGKPILRIGQNKAT H257_10934 MTDNTVRQAKTRWARLRSAIRKHVENSTTDPHPPSAMSMFSFYP VASTLLSDRPPFHRDYEWRRYPLPRPPHHLSLHARKEQCTISVHELAVQSVDNTGNIR TWPCEDLLWRVLIDKFPDTAPPRRVLELGAGMCGVAGLALACQLPATSISHVVVTDGN ASCVENLRLNVEANIAQGHLRAHSVTAELLAWSRAMLPVAADPFDVVIASDCLFFESF HVDLVHTLCQVTRPRTGVIYLLQPSRGGSLERFVALAQEHFTVVVDIDFDAAVMAQHA HFLHDPQYIPSLHQPILVTLTWPSPL H257_10935 MDSAAWDSESESSGDDDVVIAIVLCGLVVAAQKKEVCNRGSNKG KRPKIDRNRAQYDLLLRVDYFDSEPTYDDNHFRRRFQMRKSLFLQIANDLAHQDPYF H257_10936 MVGWQVHHLAIYTTRSGRTVVAEPPAGTMELRPVNVTRTVYKTM LIDNVISAIKARRRHRQGVNVRLLGDEGEVPTAKLAGLERVGYWFLLRHPTLQQTHHS NIYENIVNSTNNAWNDVAPWSLEHNFQPCLREVNVCSGENSGTSKD H257_10937 MQEAQRAAQYDMSNATQFKFSTVMAATCDEAACTAWCMQGLCPS FAKDMTLSGTRWRCRRASCGSIERSMKFGSFEERFKLLFSKAVRLMYAWASRKSPPAR EIRGGGVEVDML H257_10938 MARDTTRPVAHRNATGRPSIKKVSRKVPFFKTTSYSVAKKLCII NAARDTSINDALDTYFPGLTGTPRKTAWKRIFRWEQCRPTIEAAANEPSNRLKKSMRP QGTSTTLDKATEEGLADWVTELRSEGIPVSNLLLQSRALEVARDLGFGPNDFKASNAW IQGCMKRWRFAMRSKSRAGQADLAQGEAKLAEFSARIRDVVATRGVETIYNADQTGIN YEYIPKKTINQQGAKTVWIKGSGHEKDRLTAMVLADSNGVNAHFTDEVVQLAEELNVI LEKIPPSFTWICQPADVAWMKPKKSALRRRWVEYLRTEVQNHREGKFKLTPPDRYDLV EWLLPASNGLLDNVCLPSDDLYEPNLSDIIRVPGRMCCPIMADKVGFMERKAPEPLDK RRVLGSARSPIHGGSAVRKIEVIFDDIEFRQTVY H257_10939 MARKWTSDCEAERVLRQLFEDGTVSSGSSPGFVRALALDIFNQF SDAVFNIHLRLTKIKSKFTSELENTATKAKTYAKIQAFAFAMQNHRQNQQTSPMSTQL VDLPLPVIPHSFEFNVGVDTIDEDVIIVEFMVQGNSSSHGNFSLQK H257_10940 MCVKGKQDQPQFARLPSNVKPIKYTVDYDVIDLDRFRFEGTERV EVDIVEATSTITCHAVELWVHSVTLSVHGQDAAPVEADEIRYISKDESVTFVFPSTIP AGSKATLSLSFHGILNDKLKGFYRSQYTQDGESRTMAVTQFEACDARRALVCWDEPAI KASFQLSMVTPVNREAISNTPVLSTLVRPSKTNPKQLEKRWQFDETPVMSTYLLAMVV GEFDFVSGFTNEGVVVRVYTPVGRSERGQFALKVATQCLSFFTHKFGIPYPLAKLDML AIPDFNAGAMENWGIVTYRESILLADEATSSFAHKLGTAHTVCHELAHQWFGNLVTME WWTELWLNEGFARFMEHEAMHDIFPQWNVWANFVQDPLALTKDAMASSHPIEVVVHHP DEIDQVFDVIAYRKGAAVIRMLANFVGNDKFYIGMHNYLVKFAYGNAKTIDLWHALEA ASGLELTSMAHTWTTQTGFPVVNVTKAANGSYQLTQSRFFADGTRDSGPNKTVWDVPL TYVTSTSRGAQSAGIWPGHCAEWELAIPSSSDSWVKVNALQQGFYLVNYSSELWKALK GPVSTQELDVVDRVALLQALFFLSRAGHVSIVDALEFAQAYALDTEYLVWKELSDNLV QIVALFDDQVWFPSFQAYIRRLYAPIMARLTWTHLATDSDLTKQLRRQVIGMLGRAND DAVIAEATRRFQQSMHQNTVLPSDFRADVFRLHITTTSEPELAFAHLTQLYHASSTEP DVKLEIVYAMGLFPQPLVKQRVLEWGLQNVRPQDISMPFAGVAATAAGASVAWAYVQA NWDSLNAQYPNPRVVGRILNASIRALKTDTDATDVETFLLPRQHAAYSRSVEETLESI RIKHAVATRDAPRLRQWLE H257_10941 MLRRHLLASSTAISSRSFSKKAARRAAAVATPAAANSSEFKVTP AAVAAEAKEVFKMMFGTFTILLGSGLGIGYAVENYKRMHPPTPPGQLLEITVNGQRSV VHAQVRGGRQGTTSKGTVLLDGSLGETSFDWDKVIAALPEDTAVVAIDRPGLAFSTPS PLPRTSETISKEYQQVLSQLNVTGPLVLVGHGTGGYHMRQLAADLAPTGGNVTVAGLV LLDAMHESVTPALDRISPHVHEALASRRQNAATLLKMSHVGVVRLVHTVQAKRNAERF SATSLPYVDYFTPSPPHRRGIVHENEGVDVIETNLTTSPLPRLSVPVVVLSHGNHTMF MSMKMEPGITNDVVEQMETQWAAGQAALAELSATSVHRILRDAGHDIAHDKPDVVAKA ILAVLHESRGDADAGLRSLDDTV H257_10944 MTTLKPAPLPASSSIACSSRVWLRRVYAVAVLGIGTCVVMWLSV LGHFGSVFRELPSSESIGVRLAANETHVFVSSQSNGTIPKLIHQSWKTANHIPSKFTP WMQSWRVHNPTWSYMFWDDADNLNLFETHYPKYASVARQVGKIHLADMTRYALLHHYG GVYADGDFESLKPFDDLMHMDLFLSFEPLVHSVLLEGATSPVLCNAILASIPGHPFWL EVLDNILATFDGGNHQDPVSLTGPRMVQHTMTNHDRAGANWNQYGIVLLDEEYFYPEV AYWNMDNLRRKCTQNQSQSVQQACAWLSEFPNGRYTNNTHAVHHWQCTWCRGDDTASY VSLQDIFPNQDIRRP H257_10945 MTTLKPAPLPASSSIACSSRVWLRRVYAVAVLGIGTCVVMWLSV LGHFGSVFRELPSSESIGVRLAANETHVFVSSQSNGTIPKLIHQSWKTANHIPSKFTP WMQSWRVHNPTWSYMFWDDADNLNLFETHYPKYASVARQVGKIHLADMTRYALLHHYG GVYADGDFESLKPFDDLMHMDLFLSFEPLVHSVLLEGATSPVLCNAILASIPGHPFWL EVLDNILATFEGGGDNRDPVSLTGPRMVHRSVQKYSSHQPPPSRSITLLDEEYFYPEV AYWNFDNLNRRCENATDLELQLPIVQEACASLKQFPKGRYTNNTHAVHRWQCTWCRGD DTLFYVTLRDIFPDKVILRPKFYQLIG H257_10946 MLHYSGHIFCFPTDERRGGPMEVHMAQGSDCTCRRTNWSQDKAS NMHFAPDVGGVGRHCRMLDRSGGQHNHSLGQPPLSREYAELARGGGKQRYALPELDGR EGRHVHLLHHATAQDRHVVLPEAHWVFQFVGQVDCRTSHLASGFDCADAVPRDFSCRE RHCRVLTRRGRMWRPHGPVVAAFSRQQLVDGVDPKLHQLVCVLRYTAVEAGDH H257_10949 MTALPRIVVENEPARIYHIHTFGGWTIDIDFNLPESSQPELERL TALVGTLVEAECPAAKCFGVTGVGEGIVWNCVTAGYTNLKFKVKGELHANPGPTIGNG KTKAAATHPDVVQSIQAFVDEYVSEARLHQGLTILDERGLPRSLKSMGYFIKWVQGDV LKEEADTIAANALNARTLIAPIAANARGWFKQAVAAYT H257_10950 MDRPIYDDRVDKPTLTFHGTVKLHGSNTGIVYLPDGTTQFQSRN CVLSRTSNFAGFVAHMTKHSEHVARLKSEILVAGGASPDDASPVVAVFGEWCGDTIQS GVALAQLPKMFVVFAASVDHKWVNMTALPRIVVENEPARIYHIHTFGGWTIDIDFNLP ESSQPELERLTALVGS H257_10951 MDPISTTYQVVEVSSATTASSLQSASSSRHRAINSGTLVRFRQV LVAVCMMLMGLTPFAYFHPAYDRWISSALIRAWGGIPALVSRTKTSGHSEMAMPTYFV CGMAFPLLIGAAIFAGMEHHNPPLSTLLSRWLQRKPKAFGHAVSNGEVLFLMIWLGGN VAVFGYQWAKRYKPQDASVGHVLEVVALNLAFNGLFNMSVLALPATRHSFWMQFLHIS YAHGIKYHRWLGVATIVAFTGHMCHYVALFAVRNSLSLLLPCFSCNVATDGYLQWTIT FGGLAYVCFVGMAVTSVPYIRRHHYAVFRSAHWLFLPATVFAVLHWGPILNWLFASMV VYLANRWLSSSSNHPVQVEVAGVFPSIQTCHVTLHCTTPYAPGDVVWLNVPTVSPTQW HPFSVASTPVHTPGLLTVFVRNVGPWTSNLYAYIQDCTAAKVDPIVYVDMPTISVLEP ATLLSSSVVFVGGGIGITPLMGQLLHVLHSPQQRPGQIVWLLWHVPDVSMLWCFQSWL QDIEALAAKHGTRLHIRLHVTQECEMGAMHNDDEGDVASMMMVDESMATFLQGEAQRM QGVHPRPYVHVNRYQQIWVLAVAVVCSGGLVASVKYGNVISKTLNPSWWPLQRFIEYV LVVLGSLVALGLAKLWGRRDAVPNIVCQLDDAATKSTSKTDVEALVLHHNVQRGRVVW PDFVQEILQGQSALSSASIGVFVSGPSALQRAVDLHFQPHPLFHVHAEEFEM H257_10952 MSTCSARLTRHWQCTPVPKSPGQIAAEQHLLCLPWFHGHYIVLS TRIRFNRWILFGAAFVSQFCVGSLYAWSIYNIPMDTYIFGNPNEEKAVYTFYMACVSL GSAATIVGPWLERNGPKCGLLLGTSCFLVGYMVATISLYFKSIAGVYVGYGVIAGFGI GVNYITPASALIKWFPDMRGTAAGFAVGGFGASSLLWSKVYLPAIDAMGLPASFLCLG GIMSCIMFACALVMRTPPPGYSVGGLNMHGMTAADPSASPHVNPDDAPPSSPHTAIFE LEADAHRPHGDLLDEDEDAHQVWLKQIKATSLLDSLFSVDFACIHITLLGNIVLGLVV LSRMSSMATIIFHQSKDQAATLVSINGLFTCSGQILVPMLSDVLVRRWHLRPPFARKC IFVGTLVAQLGIVATLPYTLRTENFTAFRVQVWVLLVCYGGAFGTVAAFLTDMFGAHN IGGLHGCVLTAWSLAGLVGGLGFTLHFNHLVNDLHLPLVDAYVENLYWVAGVVVVGLL AVLAVRTSAKDRFAPGYQYSICGTPIVRVGHKNLPPR H257_10953 MQDAHMIEFIPQAVYYTWFPEGHAPRSTADVTYFCVDRQLLYTN FYLDFGPLNLGHTFVFSQVLNHELARVKPLGKKLVFYSSADGKRKANAICILACWGIL FNHMTADQAYAPFHTVTQSLPPFHDATPSICLFKLSVLDCLRGLEKAVRFRFVDTATF NVDDYQHYEQVEHGDLNWLSPKFIAFAGPHDVYRHTAEGFISLTPEHYVPYFKSHNVT LVIRLNEKLYDESRFKAAGIDHLDLYYPDGANPPDEILHRFLTACEATTGAVAVHCKA GLGRTGTCIGAYLMKHYHFTAKECIGWLRLCRAGSVIGPQQQFMEAIEPRMWSYKTTI NRDDVASSERPRHSGELQSSPSKPIQSTLRILKPSATPITTMSGKSLLKHNMALPPKL VPASSSVLHLPPTSPNKATALKATLRLNLSFQSPKPMSLSPTKQAQSASEGSPIVESP KTQGDNLRELKHTWAKSPTHKSPTHAAVTSSSFGDHSPE H257_10954 MAKKVPAKTTAAAVTKPSTKSDASVAELEKSLRAERQKLTLFRR PISVLYHFSIVLKDFVRWSVVALATHPLTLFLALPLLLLWIGLSNTAGDHHAYLDEFN LNVEYVVWWVGLGVLSSVGLGTGMHSGILFLFPHIFLVVQGAEFCKSTDFDTRHHTWF RSFETNCGSADTEVTFFTMFTKVAVASMLWGAGTAMGEIPPYALSYAASVAGKRNEDF EDIQTSTSDFNVLNRTKEWMITFLQRHGFFGVLLMSAWPNMAFDLCGICCGHFQMSFW TFFGATLIGKALIKANLQAMFFVTIFTDATLTEIEKFIAQVTPVSWALDQKVAQFLLE CRHKFHAVADQAKADHTAGEGATEGGGGSLISQAGGFVMVAFMAYFAISCIEQFAQQH AADQDEKTIEQAKKK H257_10955 MSTAPPPAPLTPLTVTTTTPQPSGTIKAAWTEAQVKDRMFWFKG FKDNKKGGVIFDDKDVLKKQQGVVKDIMLQLGAQLLSGKLAVRLSLPIRLFEPRSLLE RIPDAWAYAPTLLAKAAHATEALDRLQHVMAFVVAGLHFCVGQSKPFNPILGETYQST FPDGTSVYLEHVQHHPPVSAYYVEGPLKLFTLSGQCEFEAHLAANTLVNAQTGTVKVQ FANGSEVQYAMPKFKMHGVVLGERMFEFTGECHFHDVSSDLRGTLDMDGNSSFLGRSH HDDITGTIVAPATKKGAKPHVVAKLTGSWLNHLHANGVVLWDMATSPVYLHVPVATPL PSDVRFRADLISLKQGDMDEAQRQKIVMEEDQRRDHRLRGHDDGGGNKRHSTPKR H257_10955 MSTAPPPAPLTPLTVTTTTPQPSGTIKAAWTEAQVKDRMFWFKG FKDNKKGGVIFDDKDVLKKQQGVVKDIMLQLGAQLLSGKLAVRLSLPIRLFEPRSLLE RIPDAWAYAPTLLAKAAHATEALDRLQHVMAFVVAGLHFCVGQSKPFNPILGETYQST FPDGTSVYLEHVQHHPPVSAYYVEGPLKLFTLSGQCEFEAHLAANTLVNAQTGTVKVQ FANGSEVQYAMPKFKMHGVVLGERMFEFTGECHFHDVSSDLRGTLDMDGNSSFLGRSH HDDITGTIVAPATKGAKPHVVAKLTGSWLNHLHANGVVLWDMATSPVYLHVPVATPLP SDVRFRADLISLKQGDMDEAQRQKIVMEEDQRRDHRLRGHDDGGGNKRHSTPKR H257_10956 MTIPQVNTGRRSFKHQGQLIYEWEQSLEEVNVFIRPPPGITAAH LACTITPTRFTLGLKGSADKFLDHEFSSQVVADESYWMLDSGELNVNLQKMKKGLTWD CVFVGHGELDPLTKGEVQKKLMLERFQQENPGFDFSNAEFNGAAPDARTFMGGVKYT H257_10957 MTRPSQAEVLLLKLFHAARSIQHDAGKDWNQREFLVLGEIAALQ DTGKVPLSVDLMQLGILYALNGADRDREPGQFEFHDLYDFVERCESEEKAAARGTHVP TYYKQSKEARCALDLWEVAVSDGVGVISTWLMQLLRENGRAIRGGYHEDSDCVASTTL RLLGRVLRDWAA H257_10958 MSWWLPLLFVAAAFVTTTSNMTAAAAFVTSAPLSQVTPSRPTSS IASASLLIISTSTTITATSTHSPLRPTTTTTTPTTTATTGETPPTVVVLALVPASTVA RVESSPPLCDPVSCRVLLTMGGCVVLAVATLVGWQVYQRYAFRREREQFQLRESELRS AVEESISSSRSLRSIMTSSTSVQV H257_10959 MQLYLELDAACDAEQAHSAAQVRSALTKCAMDKQLVPLQPFERA GFHRLVDEFWLAEVPWHDPSVVNEYLGGCTRPVQDIQAMYHAFRDECSTSLASTWAWE AWPWEFEWDWGGLEAAAPAASRYTPPSMMSRSSSPMSTPTLWEKQGQLNLGHFGLGDR VVQKMGQYLDQSSSRRQLKTLVLSDNAMCDKGCVTILHAITHSQHALVNLDLSHNRIH ANGVAALSDAVQSPKCQLQTLKLSKNNIGDLVGRALLTALANNLTIQSLDLSENSLQA CGPAIALLLRSHSKLRHLDLGWNLLRGTHAVAIAGSLGDNNALESLNVSFNSFGEVGL MALAHALPRNGALRVLNVGHNSIHALKDLSKFVKLLRANMSLQSLVLSGNPFGDAVVA SLRKLNREIDPANPTSPPPSSTQTFLHIALEGCTLTTSSTYTLPTADEDSSVVESIIR KLAHPPNVSVEGAKMRSPLSS H257_10960 MTKQAEVSPIFKGGPRESILGHSLGDAQHRVLVALDGDPSFFLN ETGFEMARQQKLKHCCSRVRVWRKNAANPLVAFKVQADMASSNITTMSQKKERRLHVA VLLNVIMDAYEQCISRYDDTLAIESLPEYVANTIMQKANGRKNGVDQVQATIASMLHA TTHPRVRAFTNLCGLQTDNTFNPPEKTIMFLRCVEKIYRVKLKAVAEWSENGGTSIAS VVFHNIGLAQAAAKAVVHELFNEPDPYWTFQYLEPTCDELHVHPQWPATAHTELLEQV SKQSSSSRGMRKIDCDLFLELLLQT H257_10961 MTKGNSRGDPVCKLILLGNGSVGKSSIIGRFVDDGFAKQYKQTI GLDFFVKTIALPRDRRVVLEVWDIGGQNIRSKMLDKYVYGADIVFVCYDVTDPKSFAD ADDWVTLVTKARDETPSSPPTTTTKQVAMKHKHKQHVYLVGNKIDLVGLRAVGVAQHD EFVKTHHLAGAFLLSAHSGDNVLRTVHQIAAQAVGVQLSEFELQFLDKPVIAYALAPS AEDDPRTADADAIEQEDLKLEAHKNNRPPRRLPCKCTLM H257_10962 MADSVAQCCRREQWEEAAVMIEAGASVDIAFGNHQYTALHFAAM HGAVACARLLIVRGCNVNATGKDGLSPLHLSVQNRHKDMSTLLLQSGADMHLGDLKGN QPFLGAPWHAMMFPCLVAPSHDAIRRTIQVSVAFQAANLDLRKQASRLHHRIAHARSN AFIARTILCDSVKHREAVEDDIAALDADIFVTESAISTNQAMLTDLCHGQVEYEALLE ETAAATTAKHDEFRAWGTAHANEKCQEAHIDNAIDVVQTHLRDKCETLACMTQLVMNE KLQEHSFRALTKLCLRPDMCRKLLSNGLIATVLNGLDVYPSNVRIQMDGIAILFQIVA KTGTFPATHLQRMAYSVSTALLILRNSSAINYATDANLAAVGSFVSFATDASVEASAL RSIHESVRVLHKQQRAIRLQCAARPNSMTFEIDEKQHSTADDATRHDVGDVRG H257_10963 MSLYLRVKRRNQTFFILAEPHDTFLKVKETLASILTLSSPNQVQ LWHTNKQKELLDAATVADQEIENDAVVYLCLKKENTEVWEDIQVAKLELDHDSNNNDH STKE H257_10964 MEPVHHQPGTEQDRRRRRNPMTAHHSDESVQLDDELVCILMEIE RGYLDFPRPLQIRIEKWVDKISQPIVHLQWKKNANYYAILLLDMVRRGVFRAPFDKTP PFGPLQTLPRHMICALDGMQKQPRQPPPPHPQNAWLKAYERVVRRTRTTTTTEATESK AKMTSLALLLPPSQLPERDPRRPSLSYVEIANERLTRLEADLAAEQATNRSLDQQLEE LTTLCKTQSATIEALKAELLAVRASHTRELDRLNMLHAVEVDELKKKHHRHMQDAIIS NQHTIATRQALAKTETFMGGIDDHDGVQDFLAYIDRFHVETTALTKSASATHK H257_10964 MEPVHHQPGTEQDRRRRRNPMTAHHSDESVQLDDELVCILMEIE RGYLDFPRPLQIRIEKWVDKISQPIVHLQWKKNANYYAILLLDMVRRGVFRAPFDKTP PFGPLQTLPRHMICALDGMQKQPRQPPPPHPQNAWLKAYERVVRRTRTTTTTEATESK AKMTSLALLLPPSQLPERDPRRPSLSYVEIANERLTRLEADLAAEQATNRSLDQQLEV QYIVTTRDDDSKSWE H257_10965 MAKKQSKPVPVKADSSSSDSSDSEAPAPAKKAAPAKKAAPAKKA ESSSDDSSSEDEAPKKASPAKKAAPAKKAAPAKKADSSSDDSSSEDEAPKKAAAPAKK AAPAKKADSSSDDSSSEDEAPKKAAAPVKKAAPTKKADSSSDSSSSSEDEAPKKAAAP VKKAAAAKETDSSSDDSSSDEEETPVIKKRKASDAAEQPAKVLKNNDGDAVAAAPADE NRDIFIAGLPWVTDEDELKAVFANVGGEITSLRLPQDANGRSTGTAFITFDSAAAAEA AIALDGADFGGRWMKIRSAEKKNHVADKPEGCLSIFVGNLSWNIDEDTLRATFEHCGP IESVRLATDRETGDFRGFGHVDFGTSEAVDEAVKLNGEDVLGRAIRVNYSEKKQFGAG GGGGGFSGGRGGGRGGGRGGRGDFGGRGGGRGGGRGFGGGRGGGRGDFGGRGGGRGGG RGFGGGRGGGRGGRGDGPPKRQPSSIQNFQGQKKTFD H257_10965 MAKKQSKPVPVKADSSSSDSSDSEAPAPAKKAAPAKKAAPAKKA ESSSDDSSSEDEAPKKASPAKKAAPAKKAAPAKKADSSSDDSSSEDEAPKKAAAPAKK AAPAKKADSSSDDSSSEDEAPKKAAAPVKKAAAAKETDSSSDDSSSDEEETPVIKKRK ASDAAEQPAKVLKNNDGDAVAAAPADENRDIFIAGLPWVTDEDELKAVFANVGGEITS LRLPQDANGRSTGTAFITFDSAAAAEAAIALDGADFGGRWMKIRSAEKKNHVADKPEG CLSIFVGNLSWNIDEDTLRATFEHCGPIESVRLATDRETGDFRGFGHVDFGTSEAVDE AVKLNGEDVLGRAIRVNYSEKKQFGAGGGGGGFSGGRGGGRGGGRGGRGDFGGRGGGR GGGRGFGGGRGGGRGDFGGRGGGRGGGRGFGGGRGGGRGGRGDGPPKRQPSSIQNFQG QKKTFD H257_10965 MAKKQSKPVPVKADSSSSDSSDSEAPAPAKKAAPAKKAAPAKKA ESSSDDSSSEDEAPKKASPAKKAAPAKKAAPAKKADSSSDDSSSEDEAPKKAAAPVKK AAAAKETDSSSDDSSSDEEETPVIKKRKASDAAEQPAKVLKNNDGDAVAAAPADENRD IFIAGLPWVTDEDELKAVFANVGGEITSLRLPQDANGRSTGTAFITFDSAAAAEAAIA LDGADFGGRWMKIRSAEKKNHVADKPEGCLSIFVGNLSWNIDEDTLRATFEHCGPIES VRLATDRETGDFRGFGHVDFGTSEAVDEAVKLNGEDVLGRAIRVNYSEKKQFGAGGGG GGFSGGRGGGRGGGRGGRGDFGGRGGGRGGGRGFGGGRGGGRGDFGGRGGGRGGGRGF GGGRGGGRGGRGDGPPKRQPSSIQNFQGQKKTFD H257_10965 MAKKQSKPVPVKADSSSSDSSDSEAPAPAKKAAPAKKAAPAKKA ESSSDDSSSEDEAPKKAAAPVKKAAAAKETDSSSDDSSSDEEETPVIKKRKASDAAEQ PAKVLKNNDGDAVAAAPADENRDIFIAGLPWVTDEDELKAVFANVGGEITSLRLPQDA NGRSTGTAFITFDSAAAAEAAIALDGADFGGRWMKIRSAEKKNHVADKPEGCLSIFVG NLSWNIDEDTLRATFEHCGPIESVRLATDRETGDFRGFGHVDFGTSEAVDEAVKLNGE DVLGRAIRVNYSEKKQFGAGGGGGGFSGGRGGGRGGGRGGRGDFGGRGGGRGGGRGFG GGRGGGRGDFGGRGGGRGGGRGFGGGRGGGRGGRGDGPPKRQPSSIQNFQGQKKTFD H257_10966 MAMNNNSLQKKREDKRFHGAFTGGFSAGYFNTVGSKEGWAPSSF QSSRSQPQSSSTENGEPSGPRKQRIEDFMDEDDDPLLGKRLGLNSQYDPLAADGSSQS QQFQAKQASTSSIPGFIVDDFIQPSRSTIGTTLLGKMGWKQGQGVGPKVRKRKFTSRF DPPEAALPTTAKPNDDDDIIFIAPKHTLNIADIFPCQKLDKYGAGYDPYMNAPEFGFL QRRRQLEASAGGPPRAMLTFADSIRGNNTSSTSTTLGLSALEEADDDDMDVYATDSKD AFDRVLTSQPTRARLMAPAQEDDLDGAVSQVCSDGSVVLTGFRLSKTRFKSPAATVHV VVPPNWVPTLRHVKLAPPRKHVTQSAADRGRVLGELAQKQPEAADRTLLHSQFRAGMM AAISSRFQTSTSTDTSVTPPPRLEMAPLVRRTKAWMPDRLLCKRFRLSVPDAAVVEGA AKKPTPDTRFEDDIMAHVPAGVVRTAADVVQVEEVLPELPPLERPGLDLFQSVFDAND DDVEDDDSSSSSSDDEEQDSAQPPKDMSHQGQRVKELGPDIKEQGPGIKEQGPGIKEQ DSGIKEQGRGIKEQGMAASNRDRTKDDNSLDEDTRRRKKHKAQKDHKAKKDHKAKKDH KAKKEKKEKKEKKHKKHRSTKD H257_10967 MQPTSNMADYLLSPRASPRGTPLSSPRAAVVHPAHMLLRNLRVD FGHRTSMDRGHTLYHVVARNAASGRMWEVVKTSRDVRALQYALTMQLKQGHQCRDVCP WLFAHVATKFPRRVSAIHPSVLFRWSAKNTTTKSSTILPHFKRYLTTVVDVFAGPPGH MTCPVLLDTISKLVIDFFYGPVYVVNDVEASWPSTLQSPRKPSSFHDASDDKTRDADE MACGVCLQSLEGDPRSMTQDEKTGATYNNSIVVQVSITTLACGHPFHDECIVTHLNAD LQCPVCSFVPTL H257_10968 MVTAGMKQRSLHDFFAKSVRTPDSISKRLELVDETDSDIEDDVA NLPPDQDDEMHVTYDDAEDDSQRDFSMPKRISFSPSQCSEPDGEPACDDDSQQQHPWS EDFAPPSFSQLYSQQSFCDFSTPQDQPVVHMSHPRTPSPIKKRPRTIPSFQSMPFLDA GAATPTAATSRGLVDHRDDMTTSDAGSFAVESPRGPSPPVAPASMTTPFTEINLNPFA PAATDRKRKKQHRRVSPPLWLGASTSSKYLDDFIERELLGSGSFSKVFKVIKRFDGWT YAIKKSKRHFRGASDKQRALREVHALVALSGSHHIVRYYDAWIEDDLLYIQLEFMHSC SLATFLGDSSSCDGVSEGTVRKVLKHLATALRDMHAMKVVHMDVKVDNILKHPVSGVY KLGDLGTVVPIDGSMEIMEGDNRYLSRELLEGSRMHLSQGDIFALGATMYELIRGEGL PSSGDEWQKIRNGELTVFRHYSSSLQHLIASMMHPDPLARPSADEILRHETVVDV H257_10969 MRTTLAWSDKVPDRNGAKVVVQDIAYHPDGTQLIAAIGSRVMIY DASNGTLLHSLKGHKDTVYTVDYAHDGKRFASGGADNVVIIWTDKAEGILKYTHNDSI QKVAYNPQSQCLASCTASDFGLWSPEQKSVAKHKVVSKILSASWSLDGEYLALGMFNG HILIRDKHGGEKITIERTAPVWSLSWSPGREEQMDILAVGCWDRTLSFYQFNGTQYGK DRKLSFDPCCISFFNKGKYLLVSGSNRKASLYTKEGIFLSDICDVPAWVWAVKARPRT HSIAIGTDNGTVASYNLVFGTVHGIFQERYSYRENMTDVIVQHLMTEQKVRIKTRDFV KKIAVYRDRLAVQLSDRIIIYELSNESSYDMHYRVRDRIQKDLPCSLLVVASLHFILC QQRKLQQYNFSGKKEREWVLESPIRYITVTGGAKGKEGLLVGLKDGSVLQLFIDNPFP IPLIKQSNAIVCLDISVNREKLAVVDDTNTCLVYNLLTKELLYEEKGANSVAWNTEFD DMLCFAGQNQLKIKTGNFPVHAQRMQGFVVGFTGSKVFCLHALAVQAMDVPQSAPLYR YVEQKEFSLAYQVACLGVTESDWRLLAWEALKNMNFDIARKGFIRVRDIRYIELVNTV EATRKGHAVAASPDVEKKNKAILQAEILAYQGKFHMAAKLLGDCDEATKAIQLFSDLR MWDDAKKYAAASKSIDVKQLVQDQAKWAEDVHDWRAATEMYLASGNVIKAVHIMGARG WFNDLMEVVQRPDCDPQVLSVCAEFLLQAGKFKQCRDVYLKIGDFDALMKMHLACQDW EEAVRLAQKHKDKIKNVGEVYVPYAEWLAAQDRYEDALAAYTSAKRPDQCMNLLEQLI SSAVAETRFKDASYYHWRLCDELLACVTADHPDATTDADKAKLRSALHHEKCADIYHA YSVIFAYTDEPFTTLLPETLFHAARFLLNTLSSKSSSISPTTITTPPGVSVARIVFTL AQHAQQLEAFKLARQMYERLHQMRVRPEWQNVVDVTSMTLQTKPYSDRDELLPVDYRS STTNPLLNPNGTGDVCVHSGHPFVRSFASFESLPLVEFQPTPDLTDEEAIALVELLPP SVGNDDEQEGGDPWKTTDAGHTQSMRLGDDADVGGGSHNDKGKPLSGALLFEKILNRQ AIARGSAYKVLQVDAKTLALLKPNEVFVVKYPTKALRCKFYKNMIPDIKLHLSPACRK FFHEDDFEFDYLRDGGCVYCRLPELDPVP H257_10971 MSSTNTAAHQAALALLRRSFGDNDTALLLGGILPITKHALWKDS LARSISRSPKLRPPRRHWKNESLKCLRTEEQASSLTTHDRTLQDSLCIAHDEIARLTH TLESETRSASRLKSIKLDVAKFDGGKSHKLLSWLLQVSTSADAQRIHDATRVVFAMSH MKGRAEVGVFQTLDGPHCFLSFAVFESELKQGKPSLQEFIHDLRFLAANIDDEESLPE PLRVTVSMDGLNQGPARTQLFRAYPDTFE H257_10972 MDVFMLAEPSDDRTYFNCGRPGHFSRACPAPHRVASAASNSHGS SRAAPDIHLSRPPSGPPNRFNRERYGASRPHFTSSAAGNGCSQ H257_10973 MSGLVAPETLPLPDDILTFTDHATGETHRTTLQHVIDFAFVIDG ENTTESHRPLGSSYCDDPSLIRALHPNPVVQPTASVTTVSFPTGPPALPPYRRQLLQP SLHIDAFLQQELPSPLPSPLEAPCISLADDQAASQAQRVSRAAARSQRAVHRTLISPT LVHLPPMELTSRLSCDPWIGFTPHPGIFTYLYDLSFGSGSLSVAHFLPLTDHERRTWN DDAAVNPRNFSASVSPPRPRSLQSAQAILYALDTLDAFFLPSAYGSPTASQYFSCTKR FCTALNRRFPIAPLSVPVVVAWLDDQFHSFGSAIATDFQYARRPSVHTQSFTTFDIDG PASYQLQMSITQAYPVSQPTRTVHHKPTRHSNTTVQSDSIGQHSTAQHSRVGIPPAVR DNILTSDGKVAPSTIVTTDYIMGPPNPIDTTVHTHTRSTNCVASLSHTMYGQSVNSCT NKDKLSLVEWPKFLHVTRRINALRASLAPNTAIKGMIGDVVSAYRHLSASCTDTVWFG LAVPEAGVIGIDMSAPFGWCGSPNIYCAFGNGISWLVSRESRALLLPKMSSDHRPFWG FNYIDDHILIEQDIGHRLSCANNALRLAMMATLGPTSLNLQKFTPW H257_10974 MTSKRPWKVSDTYAPASVPRGRSTNASILPSIGFPASVRTPCLP MPSLICRGSPTFSVSGTFRTYPQLSSLAHPYQVLEMDASDEGLAVLFPTQRRFIHLEW DAVVTTLIQQCSNPRMEKMASVNHKSDNSPQMASTQSQFSINVREHFCIALAIATWGP LLTDPLGHNTVHVETLTDNTSALAWSTSLVSSNSYRTQPVARSASSDAPTARVILAHT RGAEHQPRRRLASTSRTIPHHMANRNSRLDRVPSGTRVPPDVPSISHLHRASLAPSSN DRYSRVWKDWQSCQHSHGNSQWLPRDPILQSSAMFAFATFLWSSPTNRQNSATTILSK IASSRGTIDAPSTKLHGSTLPTLPKSEECCVSVLQSTPKNPCPSLSCSPFVNEYACQD SHDRVIWCSTVLAFFFLLRRSEYTAVDNKSTDHAIRLRDVSLLDKLGRASRNYDDIRV VQVCIRSSKTDQHSNAAAWVLIDNAQSIGASPNDPICCPSRGHAIKSDAISKCLKEAA SAIGLEDSKYSTHSLRSGGATALFRGGASDLAMAL H257_10975 MPPTTLHGYYKRVLVRHSSYVKPLLTDANKAARLKWANGFQDNA RPQVLASDNVLMAACSWYANLPIHLI H257_10976 MYHEGGREVTEDLEAQIAFGHVRFHVERLDEASCVDGQHQVLVK WLGLDDEELSWEPAANLLDDIPVVFRKWVASNK H257_10977 MADADEYFKAQKWNKKQTPFKLVHCWEILKDQPKWQRASNVASD GPGMAAVPSSVLTAPSAIALAQEKRPIGSKRAKLAQLVQACVSVWRGSLMVKGDGIKE IEEGARVQFPGSVH H257_10979 MKTIAAFAALALAPVAVEGHGRLVTPPHRGYIGKLPKYAPFVPP NWSDNSLNAGGVGATKDGQYGICGDPFTQASPRAHETGGTYGRFPQYGANVTGACYAP GAAMNLKVQLTANHKGFFEIGLCKLNDPKDVETEACFQPLVQPSGAAKYNVTPGDFFD LTYVLPPGVTCEGESHCVLRWHYTGWNNWGASTWGQEHFWNCADIFISSKCPAA H257_10980 MKTIAAFAALALAPVAVEGHGRLVTPPHRGYIGKLPKYAPFVPP NWSDNSLNAGGVGATKDGQYGICGDPFTQASPRAHETGGTYGRFPQYGANVTGACYAP GAAMNLKVQLTANHKGFFEIGLCKLNDPKDVETEACFQPLVQPSGAAKYNVTPGDFFD LTYVLPPGVTCEGESHCVLRWHYTGWNNWGASTWGQEHFWNCADIFISSKCPAA H257_10981 MKTIAAFAALALAPVAVEGHGRLVTPPHRGYIGKLPKYAPFVPP NWSDNSLNAGGVGATKDGQYGICGDPFTQASPRAHETGGTYGRFPQYGANVTGACYAP GAAMNLKVQLTANHKGFFEIGLCKLNDPKDVETEACFQPLVQPSGAAKYNVTPGDFFD LTYVLPPGVTCEGESHCVLRWHYTGWNNWGASTWGQEHFWNCADIFISSKCPAA H257_10982 MKTIAAFAALALAPVAVEGHGRLVTPPHRGYIGKLPKYAPFVPP NWSDNSLNAGGVGATKDGQYGICGDPFTQASPRAHETGGTYGRFPQYGANVTGACYAP GAAMNLKVQLTANHKGFFEIGLCKLNDPKDVETEACFQPLVQPSGAAKYNVTPGDFFD LTYVLPPGVTCEGESHCVLRWHYTGWNNWGASTWGQEHFWNCADIFISSKCPAA H257_10983 MKTIAAFAALALAPVAVEGHGRLVTPPHRGYIGKLPKYAPFVPP NWSDNSLNAGGVGATKDGQYGICGDPFTQASPRAHETGGTYGRFPQYGANVTGACYAP GAAMNLKVQLTANHKGFFEIGLCKLNDPKDVETEACFQPLVQPSGAAKYNVTPGDFFD LTYVLPPGVTCEGESHCVLRWHYTGWNNWGASTWGQEHFWNCADIFISSKCPAA H257_10984 MKTIAAFAALALAPVAVEGHGRLVTPPHRGYIGKLPKYAPFVPP NWSDNSLNAGGVGATKDGQYGICGDPFTQASPRAHETGGTYGRFPQYGANVTGACYAP GAAMNLKVQLTANHKGFFEIGLCKLNDPKDVETEACFQPLVQPSGAAKYNVTPGDFFD LTYVLPPGVTCEGESHCVLRWHYTGWNNWGASTWGQEHFWNCADIFISSKCPAA H257_10985 MKTIAAFAALALAPVAVEGHGRLVTPPHRGYIGKLPKYAPFVPP NWSDNSLNAGGVGATKDGQYGICGDPFTQASPRAHETGGTYGRFPQYGANVTGACYAP GAAMNLKVQLTANHKGFFEIGLCKLNDPKDVETEACFQPLVQPSGAAKYNVTPGDFFD LTYVLPPGVTCEGESHCVLRWHYTGWNNWGASTWGQEHFWNCADIFISSKCPAA H257_10986 MKTIAAFAALALAPVAVEGHGRLVTPPHRGYIGKLPKYAPFVPP NWSDNSLNAGGVGATKDGQYGICGDPFTQASPRAHETGGTYGRFPQYGANVTGACYAP GAAMNLKVQLTANHKGFFEIGLCKLNDPKDVETEACFQPLVQPSGAAKYNVTPGDFFD LTYVLPPGVTCEGESHCVLRWHYTGWNNWGASTWGQEHFWNCADIFISSKCPAA H257_10987 MKTIAAFAALALAPVAVEGHGRLVTPPHRGYIGKLPKYAPFVPP NWSDNSLNAGGVGATKDGQYGICGDPFTQASPRAHETGGTYGRFPQYGANVTGACYAP GAAMNLKVQLTANHKGFFEIGLCKLNDPKDVETEACFQPLVQPSGAAKYNVTPGDFFD LTYVLPPGVTCEGESHCVLRWHYTGWNNWGASTWGQEHFWNCADIFISSKCPAA H257_10988 MKTIAAFAALALAPVAVQGHGRLVTPPHRGYIGKLPKYAPFVPP NWSDNSLNAGGVGATKNGQYGICGDPFTQASPRAHETGGTFGRFPQYGANVTGACYAP GAAMKLKVQLTANHKGFFEIGLCKLNGPKDVETEACFQPLVQPSGDAKYNVTPGDFFD LTYVLPPGVTCEGESHCVLRWHYTGWNNWGASTWGQEHFWNCADIFISSKCPAAGDAK YNVTPGDFFDLTYVLPPGVTCEGESHCVLRWHYTGWNNWGASTWGQEHFWNCADIFIS SKCPAA H257_10989 MNRTGVQLAAIDRRLRHLVQQPHAVQDTTMDHVIADCRHKLDDL RRQFRAFVATELKVLPTSSVLHTRLSQWLVDEMSSMVASIDPTDEDAEFSTLDGFLLV EDVALLDQFVHQVAQSIYKLDATAAAASATGAFLTSPSATAVVDNPTLVS H257_10990 MRDPWFCHRLQEGVRQVFEQRVLTDVTLCVGSQRIPAHRLVLAL HSPYFRAMFTNGMKECHMNEIHIDVTDPQSFDAILQYMYSGRDVTLDGGNMWPLLAAC DQLQMEVREYARMHMAMELRVDNCVNIFACCDAFHLREKCAVLRSVAWTYLATYFYAV SNTESFHELPMALVRVILCSSALCVQDEHHIVASLLGWVDFDPANRQVHLRPLLRSCV RLDAVDVLAESWPPLAVRLTHHRIAHWPPMPYQAPRRSKPQVAPRCNTIPLVVALGGL IGRSISRSTEYLDLLSNSWKQFIPMLHRRAHCGAVTAHDRLYVLGGYCTRAPQFPLTT RVTTHLDSVEMLDPSTHQWSLLPPMLHPRSYLGSAYLHGHIYALGGFNGRRHFACVER FDVTSQVWEHVAPMHHSRSGLAVAVVPDRGLIVACGGFDGHDHLQSVEVFDCSTNVWA LVAPMHDVRNGGVAVALATGYICVFGGEVAHGSRVASAELYHVASDVWAPSAPLPVEV SGHGAALWQHQFVYCVGGSTDSTSRQVDCVHRFDGVTQDWTTMPTLHLRSPRSGMAMA TVEMEPTCRLLHGDMASVA H257_10991 MRIPRVDCFSPYASRQLRQACMDVGFFYLEGHRIPIALQNAVYE QMKQFFHLPETEKRKASADKNMRGWAPMYEETLDPAHQSKGDTKEAYHVCRPSLPDEV HLPLHDTDNVFPDAHTLPQFKAVTTAYFNAMSALGLHVAHLFADAAGSPGYFNAPGMF DRPMAVLRMLHYNAEKSDLEKGIIGAGAHADYGLLTLLSTDTEPGLQIRHQGQWVDVP HLENAFIVNVGDLAERWTNGLFKSTEHRVVNTTGKERYSIPFFFEPNFNCQVTCIPSC VTPDRPAQVQYIPSNLSGSKSSKHIGDTVPNPHLGRGEPPSKVQGHPRQLHLRDLSKT FLDSGRQSRGGLSRYFYACNSK H257_10991 MRIPRVDCFSPYASRQLRQACMDVGFFYLEGHRIPIALQNAVYE QMKQFFHLPETEKRKASADKNMRGWAPMYEETLDPAHQSKGDTKEAYHVCRPSLPDEV HLPLHDTDNVFPDAHTLPQFKAVTTAYFNAMSALGLHVAHLFADAAGSPGYFNAPGMF DRPMAVLRMLHYNAEKSDLEKGIIGAGAHADYGLLTLLSTDTEPGLQIRHQGQWVDVP HLENAFIVNVGDLAERWTNGLFKSTEHRVVNTTGKERYSIPFFFEPNFNCQVTCIPSC VTPDRPAQYPIPILAGENLLQKYRDTHASYT H257_10991 MRIPRVDCFSPYASRQLRQACMDVGFFYLEGHRIPIALQNAVYE QMKQFFHLPETEKRKASADKNMRGWAPMYEETLDPAHQSKGDTKEAYHVCRPSLPDEV HLPLHDTDNVFPDAHTLPQFKAVTTAYFNAMSALGLHVAHLFADAAGSPGYFNAPGMF DRPMAVLRMLHYNAEKSDLEKGIIGAGAHADYGLLTLLSTDTEPGLQIRHQGQWVDVP HLENAFIVNVGDLAGGCSSCPWCTYCILVSIYPNFGL H257_10992 MVRCLLFAPAMAYAAVCTPSDLMPIASSAMTCSSASGVTAAQLQ GADAVTNAIKLCQYPACQAFFASLASLKCTDAAGNPVSGASGVCSALPKSTAVSTFLA SGAGAVALAVAASFTC H257_10993 MYSQYEQHEPMLGKPEPAPPGNHRHGSPPPVHSMRLEKPAPKCN DAFFAILFVGHLVAIAYFAFTSGLDYLKHFESEHPSQAAHKSFTMVLGVSGGLIGFAV VFSALWIQVLMACAENMIRFALWMNVGMMFGFAIMSMFVNPFMGLFFLLGAAINICYI NAVQNRIAFASAHLKLACVALSNHKSIFALALLFIFVQVAWLVTWSLSAVGVYQLFRS ADPSCEQEESRGELCGGAGFNVTIFFLLVSVYWGQQVIQNVMTCTVAGTVATWWYNAR TESAVAGSLYRSLTSSFGSICFGSLIVAVLQALRTIVRTIKNKAAEDDNVGLACVACL AECILNCIESLVEYFNMWAYTYVGIYGFDFRSAGKAVMQLFDSRGWTAVINDDLSSTA LSIGAFGVGVLTGVIGLVVAKFAPVDWTVGFGADDTTRYVIFGGLGFIAGFSMAMILA NLVITALHTIFVCFAEDPVSFQRSHPEHYNELILTWRHFQPDALVAAYGSYV H257_10993 MYSQYEQHEPMLGKPEPAPPGNHRHGSPPPVHSMRLEKPAPKCN DAFFAILFVGHLVAIAYFAFTSGLDYLKHFESEHPSQAAHKSFTMVLGVSGGLIGFAV VFSALWIQVLMACAENMIRFALWMNVGMMFGFAIMSMFVNPFMGLFFLLGAAINIWYC RPCRHVSSHVSWTSYINAVQNRIAFASAHLKLACVALSNHKSIFALALLFIFVQVAWL VTWSLSAVGVYQLFRSADPSCEQEESRGELCGGAGFNVTIFFLLVSVYWGQQVIQNVM TCTVAGTVATWWYNARTESAVAGSLYRSLTSSFGSICFGSLIVAVLQALRTIVRTIKN KAAEDDNVGLACVACLAECILNCIESLVEYFNMWAYTYVGIYGFDFRSAGKAVMQLFD SRGWTAVINDDLSSTALSIGAFGVGVLTGVIGLVVAKFAPVDWTVGFGADDTTRYVIF GGLGFIAGFSMAMILANLVITALHTIFVCFAEDPVSFQRSHPEHYNELILTWRHFQPD ALVAAYGSYV H257_10994 MTSSSSSLLQYSHVVLTCGGTDMPSITSLDPMTQHLLGQEVFQY DFSKERSLVESSRSRRMSQDNASPNDEELAKTGMAILNMVDHHAASASPEKGHRDSLD RRNSADDVAAAIAASLKEY H257_10995 MRWIVAVLVLAVVAEAVLTPPKHDAVAAVQGLISRRLGASYLPQ FEFGVLAASSTGLDVAHVSSKGGKVFLEGSSATAMAYGLQAYLRQVVHTSTDWEDHAL HLPPSLPLPPAPILLQKQSPYTYYQNVCTASYSHWAWSWERWEKHLDWMALQGINMPL AFTGQEKVWQATFAKFNVTSLDDFFAGAAFLAWGRMGNIQGSWVRGPLPQSFIDAQFA LQGKILARMQSFGMMPALPAFAGHIPTSLVPLYPHAKVVQSDAWAGFRAPYTQVHLLD PTDPLFVRIGAAFLQTYQDLYGFTAHVYQTDTYNEMDPREASPAYLGAASSAVLRSMQ MVDKDAVWLMQGWLFSFSRFWTLSRMESYLSRLPYESLIVLDLYAEVSPQWEKSQEFF HHQWIYCVLHNFGGSLGMRGDLDTIATGPVVAREKSHSLVGVGLTMEGIFQNYIVYDL ALSMAWANSQVNVTEYVRSFAVGRYISQSSTTHHYLERAWNVLETSVYAVRHAYGGVT KDIVCLRPRWYLIQASFMPTELSHDYERVLEAWTLLLQAAASSPSLQYDDRFTHDLVD VTRQAMSDGLVQIYQHIQNMFEQRQVPLSELTAKTADLLDRMTDLDLLLNTNQDFMLG PWLRDARSLAGEDGDDVAAAYFEYEARNQITRWGDNNRNALSDYAGKEWGGLVGSYYI PRWRLWVAELLRAYAGQVEMDMEGLNGQIEEFEVTWQLLRDAFPTTAQGSAVTVSRHL YKKYTHSDAPAVKTSRPELSSVLCLLECLW H257_10995 MYLRKVERYSSKVPVPLPWRTGYKRTPLLPLHDNQSTADTTPFL KRVVLSLPLAYCRYLRQVVHTSTDWEDHALHLPPSLPLPPAPILLQKQSPYTYYQNVC TASYSHWAWSWERWEKHLDWMALQGINMPLAFTGQEKVWQATFAKFNVTSLDDFFAGA AFLAWGRMGNIQGSWVRGPLPQSFIDAQFALQGKILARMQSFGMMPALPAFAGHIPTS LVPLYPHAKVVQSDAWAGFRAPYTQVHLLDPTDPLFVRIGAAFLQTYQDLYGFTAHVY QTDTYNEMDPREASPAYLGAASSAVLRSMQMVDKDAVWLMQGWLFSFSRFWTLSRMES YLSRLPYESLIVLDLYAEVSPQWEKSQEFFHHQWIYCVLHNFGGSLGMRGDLDTIATG PVVAREKSHSLVGVGLTMEGIFQNYIVYDLALSMAWANSQVNVTEYVRSFAVGRYISQ SSTTHHYLERAWNVLETSVYAVRHAYGGVTKDIVCLRPRWYLIQASFMPTELSHDYER VLEAWTLLLQAAASSPSLQYDDRFTHDLVDVTRQAMSDGLVQIYQHIQNMFEQRQVPL SELTAKTADLLDRMTDLDLLLNTNQDFMLGPWLRDARSLAGEDGDDVAAAYFEYEARN QITRWGDNNRNALSDYAGKEWGGLVGSYYIPRWRLWVAELLRAYAGQVEMDMEGLNGQ IEEFEVTWQLLRDAFPTTAQGSAVTVSRHLYKKYTHSDAPAVKTSRPELSSVLCLLEC LW H257_10995 MYLRKVERYLRQVVHTSTDWEDHALHLPPSLPLPPAPILLQKQS PYTYYQNVCTASYSHWAWSWERWEKHLDWMALQGINMPLAFTGQEKVWQATFAKFNVT SLDDFFAGAAFLAWGRMGNIQGSWVRGPLPQSFIDAQFALQGKILARMQSFGMMPALP AFAGHIPTSLVPLYPHAKVVQSDAWAGFRAPYTQVHLLDPTDPLFVRIGAAFLQTYQD LYGFTAHVYQTDTYNEMDPREASPAYLGAASSAVLRSMQMVDKDAVWLMQGWLFSFSR FWTLSRMESYLSRLPYESLIVLDLYAEVSPQWEKSQEFFHHQWIYCVLHNFGGSLGMR GDLDTIATGPVVAREKSHSLVGVGLTMEGIFQNYIVYDLALSMAWANSQVNVTEYVRS FAVGRYISQSSTTHHYLERAWNVLETSVYAVRHAYGGVTKDIVCLRPRWYLIQASFMP TELSHDYERVLEAWTLLLQAAASSPSLQYDDRFTHDLVDVTRQAMSDGLVQIYQHIQN MFEQRQVPLSELTAKTADLLDRMTDLDLLLNTNQDFMLGPWLRDARSLAGEDGDDVAA AYFEYEARNQITRWGDNNRNALSDYAGKEWGGLVGSYYIPRWRLWVAELLRAYAGQVE MDMEGLNGQIEEFEVTWQLLRDAFPTTAQGSAVTVSRHLYKKYTHSDAPAVKTSRPEL SSVLCLLECLW H257_10996 MDRIESVDESCDPLLGSLPPAPLPLSHIHAHEAHHNGSEAWIHT SALPHFSMHDAQNMEWDFSQVTKGDALNMARNNPMRRRSSLAVGSEFGDAEQAEKKED IRVLLDPFYDVTTGRLRRLFHHFSPNGKDTVTYVEFQRGLSALGISVPRDGNFDEFVA KVDTNGDGLVSVDEFIAVVQMIKQAHLFKPDVATPLQVDAKRHVLRVVDYSPTSLRAV DPVTKLQSFMVSAKPQWATVRWVHLAGFQRTDDDLNLRRLAIKYQLHPLALEDCLNQN DKLRCKFEHYDDHSFLVVPVLRALSPDKLQVVENVLAAHRKAATAKKLKEAATENHPN AVAYPQPHKPPHAIYGSARPGQSKAAALTTTLDALDTLLRPPQQLCVFVSNDHVVSVQ EDVDTIDPSAFRLWELVVDQTMTKPYSKVRNHSAAFLVVSILNAVVDEMLPLVEVCEP TLNMLGKLVRLQQTAFDPARLARTKKQLIAMDKIIRPLLDLVTDHLTPHDQFNRGEVK NYLRDVKDHLRQMSTDLRDHQLLLATLVDDDKQARAKQQEDVMYYITVVAACFLPGTF LTGIYGMNFKGTMPELEWEDGVLNGYKLWWIVLVSVLLATMAYVKGWKQWM H257_10996 MDRIESVDESCDPLLGSLPPAPLPLSHIHAHEAHHNGSEAWIHT SALPHFSMHDAQNMEWDFSQVTKGDALNMARNNPMRRRSSLAVGSEFGDAEQAEKKED IRVLLDPFYDVTTGRLRRLFHHFSPNGKDTVTYVEFQRGLSALGISVPRDGNFDEFVA KVDTNGDGLVSVDEFIAVVQMIKQAHLFKPDVATPLQVDAKRHVLRVVDYSPTSLRAV DPVTKLQSFMVSAKPQWATVRWVHLAGFQRTDDDLNLRRLAIKYQLHPLALEDCLNQN DKLRCKFEHYDDHSFLVVPVLRALSPDKLQVVENVLAAHRKAATAKKLKEAATENHPN AVAYPQPHKPPHAIYGSARPGQSKAAALTTTLDALDTLLRPPQQLCVFVSNDHVVSVQ EDVDTIDPSAFRLWELVVDQTMTKPYSKVRNHSAAFLVVSILNAVVDEMLPLVEVCEP TLNMLGKLVRLQQTAFDPARLARTKKQLIAMDKIIRPLLDLVTDHLTPHDQFNRVSRL RCCVLVGWLAI H257_10997 MSNSSRSHHDDVGPVGGASYAALETPSTSTAVDDAAVSHVTATP ALPSIEPSSGVVRISIDPIPVEAWVPTGKLPRFTFEDAQRLEWDYSNLTNRDVHRKPS TLSMSARSVSKNNAASHRISSEFGDGEREAKEDIGVLLDPFYDVTSGRLRRLFQHFCP KGKDAVSYDEFYNGLLALGITVPAGVSFRDIVRKIDSNGDNSISVDEFIHAVQMIKQA HLFKPEHTQAEYDHVLRVVDYSPTNLHAVDPVTKLQSFMFSAKPQWAKVRWVHLAGFQ RTDDLNLRRLAIKYQLHPLALEDCLNQNDKIRCKFEHYEDHSFLIVPVLRPLHTDKAR HIEACIADHRREVFNKRQAALLKDDMLKPPVAATRETLTAKLNELKVMMREPQQLCVF QTNDGNVLSVQEDVDMEGGSSSATAAFPLWGLVFDHNMAKSYSKLRSHDENFLVVSLL NAVVEEIMPLVEVFEAKFDLQGQLLRLEGTKFDTKRFSRAKKQLIAIEKIVRPLLDLV QDQLLDQDEFNHGEVKNYLRDVKDHLKQMAAELREHQQTLAALIDEEKRISAKAQADV MYAMSVIAACFLPGTFMTGIYGMNFDHMPELTWEYGYIVWWVVLLTVVSTLLSFMKFV KGWI H257_10997 MSNSSRSHHDDVGPVGGASYAALETPSTSTAVDDAAVSHVTATP ALPSIEPSSGVVRISIDPIPVEAWVPTGKLPRFTFEDAQRLEWDYSNLTNRDVHRKPS TLSMSARSVSKNNAASHRISSEFGDGEREAKEDIGVLLDPFYDVTSGRLRRLFQHFCP KGKDAVSYDEFYNGLLALGITVPAGVSFRDIVRKIDSNGDNSISVDEFIHAVQMIKQA HLFKPEHTQAEYDHVLRVVDYSPTNLHAVDPVTKLQSFMFSAKPQWAKVRWVHLAGFQ RTDDLNLRRLAIKYQLHPLALEDCLNQNDKIRCKFEHYEDHSFLIVPVLRPLHTDKAR HIEACIADHRREVFNKRQAALLKDDMLKPPVAATRETLTAKLNELKVMMREPQQLCVF QTNDGNVLSVQEDVDMEGGSSSATAAFPLWGLVFDHNMAKSYSKLRSHDENFLVVSLL NAVVEEIMPLVEVFEAKFDLQGQLLRLEGTKFDTKRFSRAKKQLIAIEKIVRPLLDLV QDQLLDQDEFNHVRTLLSNGRCILCRLYELCVVVVGLLWWHVCRPYELCVVGLLWCIC RLYELFCGGFTDVYVIYVRT H257_10998 MRSHSDYLPLGSPSDKPPPSSSDGAKNHQNPRVFCPVAVPLTNK KSAGAAEVWEPAKLVPQLTVDEAQHLEWNYGHVLTRHRSLGGGTSPESPSTRNHNDDN DHDNGDDEAEEELKVLLDPYYDVTSGRLRRMYQHFNPHGGAGVTYEAFEKGLFALGIT PPPGMDFGQFTRKVDSNGDGTVSLAEFVAVVQMIKQAHLFKPDQSQQDNGNHDMVTCL RVVDYSPTHVRAVDPVRHLQGFMFSAKPDWASVRWVHLGGFKRMDDLNVRRLAIKYQL HPLAVEDCLSADDKIRCKYEHYDDHSFLIVPVLRPLDGLKRAHMEACINERRRALFNK DRTLRKGGALKPEDDFFNDRKFVAAPRASSMMSFFKATVFTKPVASPYKPIGKGPPTS PSSRHRKRTEIEAKLDALHVLMRHPQQLCVFVSKDKSHVLSVQEEETDNALPSSPKPL WHFVFERNLTKSYSKLRNHDASFLVVSILAATVDEMMPLVAVFEATLKMLGKLLRLDG IQFNAKRLARAKKHLIGIEKIVRPMLDLVQDQLLDQDEFSHGEVKNYLRDVMDHLKQM AVDIRDHHQTLAALVEEDKQLRAQHQTDVMYAMSVVAACFLPGTFMTGIYGMNFENIP ELKLEYGYYVWWVVLCVIVITLMFYLKVIKKWI H257_10999 MVDPQPRPPAKYVAWREDLEVALLREVTRIEPFAADHGELLQRW KLVASGLSDQVPKINYRSAREHHANMLTGTAVVDLGYLVSCSKESGTRTHRYDCGKEA KRSWSAWYMIKMLPVDVNQQMRCDGMTIANASFSRTNPSAVLRDVDDGGDDAVVEYDF DADNMRRIVVRLVMEARRGSTESWYDTDVPSKAKTDDNMISSRPIHDARTSFAHPASG DVHRSDLRDELNKVTRPLVPGWKYPR H257_11000 MPLPLVTLIGVLLNATIGAAIFQAAEDREAAKHVPADVLHASVD KWIIPCDAIEPPSYRAWPWCIHRPRTSLWMLDALDTIQDYC H257_11001 MQRRPSSKQQHPLDGGRSHWDPSTPLLDPTTASHHPPYHSVVVD VDKVRAPSGGSINACPAGGDERWTHSTNPLPTFTVEDAKSMEWDYAHVVVRSSSHSSM SGSCGGGGSEFEQLDVEDNEELGVLLDPFYDVTTGRLRRMFRHFAPHGEEKVSYDAFH KGLLALGISPPSDIPFDVFVQKIDFDHDGMVSLDEFIHVVQMIKQAHLFKPEHVAQED KFPTSKNNMITTSFSSSSDFCFPLPPSSPVIVLPSPLSVPASPMILRVVDYSPTSIHT VAPVTKLQSFMFSSKPHWAKVRWVHVAGFKRVDDVNLRRLAIKYQLHPLALEDCLNHD DMVRCKYEHYEDHAFLCVPVIRPLDGLKKKDMETYINRHRYDLYAKDQGRAMLSRQLR NQSYWNTTVRRGSLGGFGDEHLAPQRPTKVVDKASKKRQLEETLDNLKLLMRKPQQLC IFIHKHGNVISVQEESDVDTVAGFQLWQSVFNNQMGKSYSKIRNHDAHFLVMSMLNAV ADEMRPLVHVMEVQLTTLGKLLRVERTKFNPKRLTKTKKSLLAIDKIVKPLVDLVEGQ LMDQDEFNKGEVRNYLRDVRDHLKQMAVSLKESLQTLAELVEEDKQIRAKHKDDVLYI MSICATLFLPGTFMTGLYGMNFDNMPELHTEYGYFVWWAVFLMIVTTLFTFLRFVKQW I H257_11002 MALNFWQSTHYLHWMKNLRLEDLKRINPKDTSLSLAEVDSIHLA MIALIEELGARIHVNQIIICTAIILYKRFYLTQSFTDFDPRLVVCTVMVLATKIEEFP VRLPEITSPLHELTTKIPEDKDAMYDFTEKDVIECEFYVIEATQYDLVIHHPFSTLVK VYEEVEETFPMYDHSFKTAWDLCLFAYRTHIVLLRPPFLVALAVVYLALQDACYDTSD YLDRVNIKSEHILQVVAELQSTFVEVQSLMAAQSAALAKLEHIVPDPTKD H257_11002 MALNFWQSTHYLHWMKNLRLEDLKRINPKDTSLSLAEVDSIHLA MIALIEELGARIHVNQIIICTAIILYKRFYLTQSFTDFDPRLVVCTVMVLATKIEEFP VRLPEITSPLHELTTSTCFSSSTSILTYPPVEIPEDKDAMYDFTEKDVIECEFYVIEA TQYDLVIHHPFSTLVKVYEEVEETFPMYDHSFKTAWDLCLFAYRTHIVLLRPPFLVAL AVVYLALQDACYDTSDYLDRVNIKSEHILQVVAELQSTFVEVQSLMAAQSAALAKLEH IVPDPTKD H257_11002 MKNLRLEDLKRINPKDTSLSLAEVDSIHLAMIALIEELGARIHV NQIIICTAIILYKRFYLTQSFTDFDPRLVVCTVMVLATKIEEFPVRLPEITSPLHELT TKIPEDKDAMYDFTEKDVIECEFYVIEATQYDLVIHHPFSTLVKVYEEVEETFPMYDH SFKTAWDLCLFAYRTHIVLLRPPFLVALAVVYLALQDACYDTSDYLDRVNIKSEHILQ VVAELQSTFVEVQSLMAAQSAALAKLEHIVPDPTKD H257_11002 MALNFWQSTHYLHWMKNLRLEDLKRINPKDTSLSLAEVDSIHLA MIALIEELGARIHVNQIIICTAIILYKRFYLTQSFTDFDPRLVVCTVMVLATKIEEFP VRLPEITSPLHELTTKIPEDKDAMYDFTEKDVIECEFYVIEATQYDLVIHHPFSTLVK VYEEVEETFPMYDHSFKTAWLYFCLLPCWASWNFT H257_11002 MALNFWQSTHYLHWMKNLRLEDLKRINPKDTSLSLAEVDSIHLA MIALIEELGARIHVNQIIICTAIILYKRFYLTQSFTDFDPRLVVCTVMVLATKIEEFP VRLPEITSPLHELTTSTCFSSSTSILTYPPVEIPEDKDAMYDFTEKDVIECEFYVIEA TQYDLVIHHPFSTLVKVYEEVEETFPMYDHSFKTAWLYFCLLPCWASWNFT H257_11002 MKNLRLEDLKRINPKDTSLSLAEVDSIHLAMIALIEELGARIHV NQIIICTAIILYKRFYLTQSFTDFDPRLVVCTVMVLATKIEEFPVRLPEITSPLHELT TKIPEDKDAMYDFTEKDVIECEFYVIEATQYDLVIHHPFSTLVKVYEEVEETFPMYDH SFKTAWLYFCLLPCWASWNFT H257_11003 MADATSDDGGTEGLAAGNGRPSGPEKVTIQFTAVGGAPIMKRSK FTVNGIDPLSHVYIFLRKQLRLKDDDALFVYCNNSFAPTPDQRMYELFECFQVNGVLV LNYSRSQAWG H257_11004 MSFLSRFENKISTVTMHGTLRRADGVEEVRSGPMRRKPQPSAMV VTQPDNNRDHNSVSARYDDDDDQQDSSYTVVQASAKATSQHPQQLAKQQQPPHGSSPR RGNPQPLPPASLSPKPTYTTPLKPSKPPQNSPDDDDRKASISTHDYSKLSTHEDQLAF SKKARPVSYEPCTLSEYRQEKHDKYYELGKLQPDLNATELVEKRANQERVKEFSKNLR QINQSIPKKSATTVDDKASPKTMSTRDKALAFAKQNVPKPKTRKVPSPVKQHAAPRRS SKAAHVDETEEDDGGRVAGEDGAFVSNVMTELQQLQLKHRAARAQIEALMRDG H257_11005 MGGEASNFGASISPSPPPKRVQFDLEDETRHFQPTHTVCTPRLR TNGLKYRAPDARVDVSETLAPPSSVAKPNYDDSLRRVSVVLYQHIRRCEKRMRESKSG GGTLSGGFHGLFHSNSAPASSTHAAAVEDEPFPFDSSTVLNGQTVLSNLGVSRESVFD EEHFVTPQYKYQFVRLPMLHPLTYYKMDKVSCKTHIPSVDEIFRFCKNLFNRAQLSAE CTIVCLIYIERLMERASIPLLGSSWKPIVLCGLLLASKVWQDLSSWNVEISNVYPQFP LHSINRLERLFLHYIQWDLYISGSVYAKYYFALRSLTEKKDFRRRYNYTIKIDPPNSK RLEERSAAVKNELYSRSV H257_11006 MNSTSSIRSKELTKLLSSGVGGGSFQSYEGYSTDVMLDELCGPP SDGNNGPSSLSDLQATFKSAIEKLLAHRDVISAKLVDVDKQNKKIAAKFNDSLREPEK LLHLISAEMDELEDRFTKVSSSAVVIGDRLAIIDKEKNRARETDELLEAILLLNAPST TTVKSSTNQLYTTLKDPHKIHEALGIVSKLRDFADELSSPSTAVAVQEIHRLNQALET ALLQGFSDAQDQELRGVANSQDMDAMKQNAASLLAHGCNDLVTDRFVWNVIKEKLTKH AQFLRADESAADLPASSHPSDDLLQDLDSLTAKVRAIVKSQFAVIHRVFPGAVAPSVR EVLIERVCHDPAFGLLSHLERLLGGNGMSDKEYVATLSVAYEKCCGLVQSIGSFPLDT PAESDRMRTFLTVQLQVLFGGHRQRYVQIEQDLLSQGFDKTLSMIKWPPIPSGKNKYK LKEQAAKETAKGHQITSPTSGNIPSNTTSLTSPASTTALASPRDAPTANKPEPLNLFY QMLLPISMDDTMPRKFGRDLQESTARCDVVLRNSELRVELMARLYTSYCHAFGDEYLG KMANLSHELVQEPLLCLESASNFFVVLKSLMGHVNLLDSQYKVAVAPYLAQAAPTQHT ICMESKRKTMEKLEGLIAYGLQKTFQAVEKSLVALFTNGLDKGDFVGNKQVQTQSKAC TQVVQYLSHMFMHACAALDDVGGVSNRTQFVSNVCATFKDTYIAHLQKFKFDADGACL LLTDLAMYRQAFRVCRHPSIDDHFDLLHAIANIYALPRDSLVSYVTEGLQTTLGKATL HALIRRRWDYNLNGDKIPI H257_11006 MNSTSSIRSKELTKLLSSGVGGGSFQSYEGYSTDVMLDELCGPP SDGNNGPSSLSDLQATFKSAIEKLLAHRDVISAKLVDVDKQNKKIAAKFNDSLREPEK LLHLISAEMDELEDRFTKVSSSAVVIGDRLAIIDKEKNRARETDELLEAILLLNAPST TTVKSSTNQLYTTLKDPHKIHEALGIVSKLRDFADELSSPSTAVAVQEIHRLNQALET ALLQGFSDAQDQELRGVANSQDMDAMKQNAASLLAHGCNDLVTDRFVWNVIKEKLTKH AQFLRADESAADLPASSHPSDDLLQDLDSLTAKVRAIVKSQFAVIHRVFPGAVAPSVR EVLIERVCHDPAFGLLSHLERLLGGNGMSDKEYVATLSVAYEKCCGLVQSIGSFPLDT PAESDRMRTFLTVQLQVLFGGHRQRYVQIEQDLLSQGFDKTLSMIKWPPIPSGKNKYK LKEQAAKETAKGHQITSPTSGNIPSNTTSLTSPASTTALASPRDAPTANKPEPLNLFY QMLLPISMDDTMPRKFGRDLQESTARCDVVLRNSELRVELMARLYTSYCHAFGDEYLG KMANLSHELVQEPLLCLESASNFFVVLKSLMGHVNLLDSQYKVAVAPYLAQAAPTQHT ICMESKRKTMEKLEGLIAYGLQKTFQAVEKSLVALFTNGLDKGDFVGNKQVQTQSKAC TQVVQYLSHMFMHACAALDDVGGVSNRTQFVSNVCATFKDTYIAHLQKFKFDADGACL LLTYIYLDMRSLYLIVFINLVHTILYKDSYICGFAQ H257_11006 MNSTSSIRSKELTKLLSSGVGGGSFQSYEGYSTDVMLDELCGPP SDGNNGPSSLSDLQATFKSAIEKLLAHRDVISAKLVDVDKQNKKIAAKFNDSLREPEK LLHLISAEMDELEDRFTKVSSSAVVIGDRLAIIDKEKNRARETDELLEAILLLNAPST TTVKSSTNQLYTTLKDPHKIHEALGIVSKLRDFADELSSPSTAVAVQEIHRLNQALET ALLQGFSDAQDQELRGVANSQDMDAMKQNAASLLAHGCNDLVTDRFVWNVIKEKLTKH AQFLRADESAADLPASSHPSDDLLQDLDSLTAKVRAIVKSQFAVIHRVFPGAVAPSVR EVLIERVCHDPAFGLLSHLERLLGGNGMSDKEYVATLSVAYEKCCGLVQSIGSFPLDT PAESDRMRTFLTVQLQVLFGGHRQRYVQIEQDLLSQGFDKTLSMIKWPPIPSGKNKYK LKEQAAKETAKGHQITSPTSGNIPSNTTSLTSPASTTALASPRDAPTANKPEPLNLFY QMLLPISMDDTMPRKFGRDLQESTARCDVVLRNSELRVELMARLYTSYCHAFGDEYLG KMANLSHELVQEPLLCLESASNFFVVLKSLMGHVNLLDSQYKVAVAPYLAQAAPTQHT ICMESKRKTMEKLEGLIAYGLQKTFQAVEKSLVALFTYEIYYKNILYIYISSRHELP H257_11006 MNSTSSIRSKELTKLLSSGVGGGSFQSYEGYSTDVMLDELCGPP SDGNNGPSSLSDLQATFKSAIEKLLAHRDVISAKLVDVDKQNKKIAAKFNDSLREPEK LLHLISAEMDELEDRFTKVSSSAVVIGDRLAIIDKEKNRARETDELLEAILLLNAPST TTVKSSTNQLYTTLKDPHKIHEALGIVSKLRDFADELSSPSTAVAVQEIHRLNQALET ALLQGFSDAQDQELRGVANSQDMDAMKQNAASLLAHGCNDLVTDRFVWNVIKEKLTKH AQFLRADESAADLPASSHPSDDLLQDLDSLTAKVRAIVKSQFAVIHRVFPGAVAPSVR EVLIERVCHDPAFGLLSHLERLLGGNGMSDKEYVATLSVAYEKCCGLVQSIGSFPLDT PAESDRMRTFLTVQLQVLFGGHRQRYVQIEQDLLSQGFDKTLSMIKWPPIPSGKNKYK LKEQAAKETAKGHQITSPTSGNIPSNTTSLTSPASTTALASPRDAPTANKPEPLNLFY QMLLPISMDDTMPRKFGRDLQESTARCDVVLRNSELRVELMARLYTSYCHAFGDEYLG KMANLSHELVQEPLLCLESASNFFVVLKVS H257_11007 MTGRTHRGSAVTRGVDGPQIPNQPHRVVVCGGGIIGLTTCYYLA RRGHEVVCIERESQVGMMASYKNGTFFDSTLYSSWADLSLLYKKHMHQVTTNSKEKGF RVEAAAWIDPNFWAWGLKFMTNATSKRAKENGRKIRELGFYSQRKLQELLRMHPEVED EMEPTAQGTLEVFQSKAEKDDVLESDRIKHCAEFQFPLQPLDPHEAADIEPALNRSIF APGAIFSPTGTNGDVHKTCMALATLCKQHGVMFRMDTDIKDVLVVDNRVVAIQVANGD LIEGDSFVLALGNHTAAVAKLAGIKLYMYPVKGYVLTVPRHAEFPHLKCNIYAGGNAL VSPLRDNGIRISGGADFAGFNYKSDPKRVAWLLKQAKAVFPDGYLDESKVDTHVCLRP VSADDVPLIGQTTVDNLFVNSGHGSKGWSLSFGSAAILADQISGRTPELNIETYSPCR FGLF H257_11007 MTGRTHRGSAVTRGVDGPQIPNQPHRVVVCGGGIIGLTTCYYLA RRGHEVVCIERESQVGMMASYKNGTFFDSTLYSSWADLSLLYKKHMHQVTTNSKEKGF RVEAAAWIDPNFWAWGLKFMTNATSKRAKENGRKIRELGFYSQRKLQELLRMHPEVED EMEPTAQGTLEVFQSKAEKDDVLESDRIKHCAEFQFPLQPLDPHEAADIEPALNRSIF APGAIFSPTGTNGDVHKTCMALATLCKQHGVMFRMDTDIKDVLVVDNRVVAIQVANGD LIEGDSFVLALGNHTAAVAKLAGIKLYMYPVKVLYSNMEQSVTAQPF H257_11008 MNGTRRRRHSAVTRGVDAPRPQTCQSQRIVVCGGGIVGLTTCYF LAKQGHEVVCIEKEAHVGDGGMFHDPTLYSSWADLSLLYRKHMTTSKTKKNAKPFDVS AAAWLDPAFWAWGLKYMTSATARKAKDNARKCRDLCNYSERMLVEVLRKHPELEDHMD RVATGTLELFATAADKDDAWQADRIKQCVHEFQYPLHPVDTAEAAAIDPAILPTAMAG GALFSPLGTNGDVSRTCDAMVHLCRQAGVMFRLNTEIDDLLVVDNRIVAIQTKSHELI EGDSFVLALGNDTPNLATLVGVKLYMYPVKGYVLTVGRHDQFPPLRCNVYSSHHGGAV VSPLMDGSIRICGGAEFAGKSSKTKPMDPKRVAGLLHHAKAMFPTGYLDESKVDTHVC LRPVSADDVPMIGQTTVDNLFVNCGHGAKGWTQAFGAAALLADDISGKIPAINMDQYS PHRFGILR H257_11009 MAEGSDNETSHREKERKSSRKDKDRSDRSDKDRKSSRRDRKDDD KDRKSSRSSRRSRSYSPRYRSSRRSRSRSYDRRDRRESSRRSRRSVSRSNDRKRSSRR SRSRSDDRHRHRRSPSADSKPASSTAPPAATDDLNAIPPVDVAPPSNQPTITQMMLQY PSLSIQEIMGKMSQSQNTLSTVVSLKPMRELYVGNLPPNVTATQLQDFLGTIIQQVGL ALQGQPGNPILSTWISTDGMYAFCEMRTVEECNLALMLNQLNLLGRQLKFGRPRSFVG PAQPMPTVTNRTQTALVNLGCIPNPMWFAGGSAACGLKDAGAIRDNHVYGDPAHIPPD NTSNRLLMANIPTVLTEDQVVQLVEPFGALAAFTLVRNAEGVSVGSALFEYEDNAITE EAMNGLNGLDLGGQVLMVQRASKQGALPKYKKAGDDVAAAVTPTQVIVLGNMVQPSEL ADDDEFADLKEDIEEECNKFGKVVALEIPRPKAGETVLGVGKVFVRFDAVAGAQAATK ALTGRKFGGNIVQVAYLSLAKFETQDFA H257_11010 MSTTTDELKEQGNAAFEAKRFDEAEALYSKAILQDAKQHALFSN RSAARFHQKKYEEALKDAESSISLDPTWFKGHIRKGQVHEALHQPRQAQHAYELAVKH GGKKRDVVEKVAATKKAADKEDRERTIHSREDWNDIYHNISDKKLRLAILVKFWNAST KAERFSFFMRFLTILSNGGTPSRIGRYSIEQMEPIPAANYEPIELPVTWTSYFNALAL AKKSDVMEDMYDAATEAEKTTIINDMKYYIHQMYKVDDDDDDIADE H257_11011 MADDDAARGDVTPRKAPPKSAPPPGEGTRKKKAPPPPSGAPPKS AAAALRAKAGPPSSPPPKAVSVSIQKIRAEAKGGEAAQTKPKKRVPPPSSPAPTAPPT GNDSVDEKDQAKSATLSGNPPPAKVPSTAPPKPKKKPPAQDVLGDPMTAAPASSGDAK GDQPTSGDAPVMVEKRSHVQHIVASEMKPIMDTRSKPRKTATELLQEDTAFKKVTSPA LSSTEDASMLVSKNNTSMYSSVSSHTSGKAAPQSMTMRREHVEEELTVRKAIVMKKDD VAKAVLHDDDDDDDDSIDEIEDIPILDTTMMMAAPKDRADEDDDPEIVMEKAPCDEKP DDRHILDNNNNSGNAPITTSKAALTDALYQEMKQLETLGQATAPGPSVEDNDDDMDDM DDMDDMDDGDAKPHRHHVKAAAGSIDDVKLPDGEPKKRSKKPKQHAEDKKKAFELFNP KRVPYVPNQLLEFVVNPLEVGRGHVVRCFIERNRSGQNKLSPLYTMLIEINSSKGRPI LYARKKPTSRISSHFIFSLNKEDLFLSRSLRSKQFVGKLRSDTRKMEYTLFDQGDNPE DIDSDCEIDEEVRQHIRAQMAVIRYHPMKKEFPRKMEVIVPAIVEHETADEPIKSYLD WRPISRDQSFEALFTEVAEQGGQNVLERDKFICMHKRESQYDPLSSCIVDFRSRATSV SVKNFQLVHSQPTDQDKYRQAYIQAHPTYHYDDESTVSVPQEHIMLQMGRVGTNCFNM DFQYPLSMLQAFAICISRFDSKQK H257_11012 MRAFLRHSAVATRVSKPLTTRSFSASWDKPFPSILITKDGITAQ GSFAESQAKYLKPDLAAVGELDTLLAKKQLGIVAHFYMDPELQGVLSQLKWPHTLIAD SLAMGEAAAVMAKNGAKAIACLGVDFMSESVRANLDSNGYHDVPVYRLSKKKIGCSLA ESAEKQAYLAYLTNAAKTPNSLHVVYINTSLKSKAWAHHIIPTITCTSSNVVQTILQA AAQVPDVSIFYGPDTYMGENLEQMFQNIATLPDDQIRKIHPAHTQATIKSLLSRFDYF KEGNCVVHHMFGDKVTKRVRSDYADVYTTAHFEVPGEMFTLAMEAQNDGRGVVGSTSN ILNFIKDKTAAALDAGSNERLRFILGTEAGMITSIATSVQAALAKGTSSATTPQVEII FPVAADAIATEGDNVVPGVQGGEGCSSAGGCATCPFMKMNDLDALFDVAEGVDLSTDT DPLAAHHPQSYSERINNKSISEIGVVPILHMRAYMHGKKLSDQLVQDVATRTPLAGCP EARST H257_11012 MGEAAAVMAKNGAKAIACLGVDFMSESVRANLDSNGYHDVPVYR LSKKKIGCSLAESAEKQAYLAYLTNAAKTPNSLHVVYINTSLKSKAWAHHIIPTITCT SSNVVQTILQAAAQVPDVSIFYGPDTYMGENLEQMFQNIATLPDDQIRKIHPAHTQAT IKSLLSRFDYFKEGNCVVHHMFGDKVTKRVRSDYADVYTTAHFEVPGEMFTLAMEAQN DGRGVVGSTSNILNFIKDKTAAALDAGSNERLRFILGTEAGMITSIATSVQAALAKGT SSATTPQVEIIFPVAADAIATEGDNVVPGVQGGEGCSSAGGCATCPFMKMNDLDALFD VAEGVDLSTDTDPLAAHHPQSYSERINNKSISEIGVVPILHMRAYMHGKKLSDQLVQD VATRTPLAGCPEARST H257_11012 MRAFLRHSAVATRVSKPLTTRSFSASWDKPFPSILITKDGITAQ GSFAESQAKYLKPDLAAVGELDTLLAKKQLGIVAHFYMDPELQGVLSQLKWPHTLIAD SLAMGEAAAVMAKNGAKAIACLGVDFMSESVRANLDSNGYHDVPVYRLSKKKIGCSLA ESAEKQAYLAYLTNAAKTPNSLHVVYINTSLKSKAWAHHIIPTITCTSSNVVQTILQA AAQVPDVSIFYGPDTYMGENLEQMFQNIATLPDDQIRKIHPAHTQATIKSLLSRFDYF KEGNCVVHHMFGDKVTKRVRSDYADVYTTAHFEVPGEMFTLAMEAQNDGRGVVGSTSN ILNFIKDKTAAALDAGSNERLRFILGTEAGMITSIATSVQAALAKGTSSATTPQVEII FPVAADAIATEGDNVVPGVQGGEGCSSAGGCATCPFMKMNDLDALFDVAEGVDLSTVC CVDRHLSSVWD H257_11012 MRAFLRHSAVATRVSKPLTTRSFSASWDKPFPSILITKDGITAQ GSFAESQAKYLKPDLAAVGELDTLLAKKQLGIVAHFYMDPELQGVLSQLKWPHTLIAD SLAMGEAAAVMAKNGAKAIACLGVDFMSESVRANLDSNGYHDVPVYRLSKKKIGCSLA ESAEKQAYLAYLTNAAKTPNSLHVVYINTSLKSKAWAHHIIPTITCTSSNVVQTILQA AAQVPDVSIFYGPDTYMGENLEQMFQNIATLPDDQIRKIHPAHTQATIKSLLSRFDYF KEGNCVVHHMFGDKVTKRVRSDYADVYTTAHFEVPGEMFTLAMEAQNDGRGVVGSTSN ILNFIKDKTAAALDAGSNERLRFILGTEVCAYSTRRVARFNYRGSCRDMCLGWHDYID CHERPSRVGKGHVVRDHAPSGNHLPRRGRCDCHRRRQRGPGCPRRRRLFVRRGMRHVS VYEDERPGRTV H257_11012 MRAFLRHSAVATRVSKPLTTRSFSASWDKPFPSILITKDGITAQ GSFAESQAKYLKPDLAAVGELDTLLAKKQLGIVAHFYMDPELQGVLSQLKWPHTLIAD SLAMGEAAAVMAKNGAKAIACLGVDFMSESVRANLDSNGYHDVPVYRLSKKKIGCSLA ESAEKQAYLAYLTNAAKTPNSLHVVYINTSLKSKAWAHHIIPTITCTSSNVVQTILQA AAQVPDVSIFYGPDTYMGENLEQMFQNIATLPDDQIRKIHPAHTQATIKSLLSRFDYF KEGNCVVHHMFGDKVTKRVRSDYADVYTTAHFEVPGEMFTLAMEAQNDGRGVVGSTSN ILNFIKDKTAAALDAGSNERLRFILGTEVCAYSTRRVARFNYRGSCRDMCLGWHDYID CHERPSRVGKGHVVRDHAPSGNHLPRRGRCDCHRRRQRGPGCPRRRRLFVRRGMRHVS VYEDERPGRTV H257_11013 MQSPDEFLAFVQAEAPRLHPSTFRHASSPSLDADGKPRLRRGTS DPPPPTELPSSNEVDMTMELLHMTLLDNPTWANVSDRNQCSLLHWVAQRGAPPLLQLL LDHGASVDTPDDNGLWPVHWAAAANNLPVLRTLLQAHRELLNAPDSFKQRTPLILAVQ HGHLATVLYLLRQGADASRVDQSGDTVVHWSAYKGLLETLRVCYAYADALGVDTLLFH VPDGHAEIVAFLVDEVNVAMEGEDDKGRTPLALARSKGHGAAAAVLQTRLYRRRSVLR LIRHALRSRFPRLVRRLPIVFQATNLLVVPCWYGGVFSRYDGLATAMTWHSVLLAVTW FFFGCAAWLDPGTVAHDRKYVEEYTTTMQRCLLQEYEGDDASNLARNQGEQPLDERLR RFCHTCHVAQPRRSKHCNVCHRCVLLFDHHCPFLGTCVGRNNYTFFLAFTICMSIAAL SLAWMWYTTWMHMDPEATLWCVLAASYYTLVGLCAAGLFIFHLVLAAKNRTTHEFRAA GCTFNETDDLHRESGSWRQNYLGRLCVSVYHYVDMIKTQRPQHRKHVKVEIDHRRDYV H257_11013 MQSPDEFLAFVQAEAPRLHPSTFRHASSPSLDADGKPRLRRGTS DPPPPTELPSSNEVDMTMELLHMTLLDNPTWANVSDRNQCSLLHWVAQRGAPPLLQLL LDHGASVDTPDDNGLWPVHWAAAANNLPVLRTLLQAHRELLNAPDSFKQRTPLILAVQ HGHLATVLYLLRQGADASRVDQSGDTVVHWSAYKGLLETLRVCYAYADALGVDTLLFH VPDGFGQTPLHLAAVRGHAEIVAFLVDEVNVAMEGEDDKGRTPLALARSKGHGAAAAV LQTRLYRRRSVLRLIRHALRSRFPRLVRRLPIVFQATNLLVVPCWYGGVFSRYDGLAT AMTWHSVLLAVTWFFFGCAAWLDPGTVAHDRKYVEEYTTTMQRCLLQEYEGDDASNLA RNQGEQPLDERLRRFCHTCHVAQPRRSKHCNVCHRCVLLFDHHCPFLGTCVGRNNYTF FLAFTICMSIAALSLAWMWYTTWMHMDPEATLWCVLAASYYTLVGLCAAGLFIFHLVL AAKNRTTHEFRAAGCTFNETDDLHRESGSWRQNYLGRLCVSVYHYVDMIKTQRPQHRK HVKVEIDHRRDYV H257_11013 MQSPDEFLAFVQAEAPRLHPSTFRHASSPSLDADGKPRLRRGTS DPPPPTELPSSNEVDMTMELLHMTLLDNPTWANVSDRNQCSLLHWVAQRGAPPLLQLL LDHGASVDTPDDNGLWPVHWAAAANNLPVLRTLLQAHRELLNAPDSFKQRTPLILAVQ HGHLATVLYLLRQGADASRVDQSGDTVVHWSAYKGLLETLRVCYAYADALGVDTLLFH VPDGFGQTPLHLAAVRGHAEIVAFLVDEVNVAMEGEDDKGRTPLALARSKGHGAAAAV LQTRLYRRRSVLRLIRHALRSRFPRLVRRLPIVFQATNLLVVPCWYGGVFSRYDGLAT AMTWHSVLLAVTWFFFGCAAWLDPGTVAHDRKYVEEYTTTMQRCLLQEYEGDDASNLA RNQGEQPLDERLRRFCHTCHVAQPRRSKHCNVCHRCVLLFDHQYDGSISLDTTSFRWG AFHDVRFSRSCPFLGTCVGRNNYTFFLAFTICMSIAALSLAWMWYTTWMHMDPEATLW CVLAASYYTLVGLCAAGLFIFHLVLAAKNRTTHEFRAAGCTFNETDDLHRESGSWRQN YLGRLCVSVYHYVDMIKTQRPQHRKHVKVEIDHRRDYV H257_11013 MVISQRCCICSGKEPMPAGWTSQGIRLCIGVRTKACWKPFGCVM PTLTLLASTLCSFTSQTGLGRRRCISRLFAGESPIDSQLNFCIGYSHAEIVAFLVDEV NVAMEGEDDKGRTPLALARSKGHGAAAAVLQTRLYRRRSVLRLIRHALRSRFPRLVRR LPIVFQATNLLVVPCWYGGVFSRYDGLATAMTWHSVLLAVTWFFFGCAAWLDPGTVAH DRKYVEEYTTTMQRCLLQEYEGDDASNLARNQGEQPLDERLRRFCHTCHVAQPRRSKH CNVCHRCVLLFDHHCPFLGTCVGRNNYTFFLAFTICMSIAALSLAWMWYTTWMHMDPE ATLWCVLAASYYTLVGLCAAGLFIFHLVLAAKNRTTHEFRAAGCTFNETDDLHRESGS WRQNYLGRLCVSVYHYVDMIKTQRPQHRKHVKVEIDHRRDYV H257_11013 MVISQRCCICSGKEPMPAGWTSQGIRLCIGVRTKACWKPFGCVM PTLTLLASTLCSFTSQTGLGRRRCISRLFAGESPIDSQLNFCIGYSHAEIVAFLVDEV NVAMEGEDDKGRTPLALARSKGHGAAAAVLQTRLYRRRSVLRLIRHALRSRFPRLVRR LPIVFQATNLLVVPCWYGGVFSRYDGLATAMTWHSVLLAVTWFFFGCAAWLDPGTVAH DRKYVEEYTTTMQRCLLQEYEGDDASNLARNQGEQPLDERLRRFCHTCHVAQPRRSKH CNVCHRCVLLFDHQYDGSISLDTTSFRWGAFHDVRFSRSCPFLGTCVGRNNYTFFLAF TICMSIAALSLAWMWYTTWMHMDPEATLWCVLAASYYTLVGLCAAGLFIFHLVLAAKN RTTHEFRAAGCTFNETDDLHRESGSWRQNYLGRLCVSVYHYVDMIKTQRPQHRKHVKV EIDHRRDYV H257_11013 MQSPDEFLAFVQAEAPRLHPSTFRHASSPSLDADGKPRLRRGTS DPPPPTELPSSNEVDMTMELLHMTLLDNPTWANVSDRNQCSLLHWVAQRGAPPLLQLL LDHGASVDTPDDNGLWPVHWAAAANNLPVLRTLLQAHRELLNAPDSFKQRTPLILAVQ HGHLATVLYLLRQGADASRVDQSGDTVVHWSAYKGLLETLRVCYAYADALGVDTLLFH VPDGFGQTPLHLAAVRGHAEIVAFLVDEVNVAMEGEDDKGRTPLALARSKGHGAAAAV LQTRLYRRRSVLRLIRHALRSRFPRLVRRLPIVFQATNLLVVPCWYGGVFSRYDGLAT AMTWHSVLLAVTWFFFGCAAWLDPGTVAHDRKYVEEYTTTMQRCLLQEYEGDDASNLA RNQGEQPLDERLRRFCHTCHVAQPRRSKHCNLSLLGHLRGP H257_11014 MAADGTFLSTAMSHQAPTTAAPLALTTPTPMPRPCRMVAFGGVT EYRFALGHGGSAIPSLNGPAVGLIGQPLRVKSRSLRRKRPRQTGLHRYTREERVEILK KAGHQMKEIVDFCMDALDVRLSRRHERPTKRLKQSAIVLRNTEINASANICYHQDEAI LDVL H257_11015 MNNADNDTNAEIQQLLREMHNMSSKKNPATHHPTALTYHGPRPA KSSPKTKKKASSTVHSHVHGKPLDLPHSGASSAVVDLPRNHGNLSFVQQLQVKYNQVK HALAIQHAQCGDLQAMVADLAAAKSHHTTQVATLEAALASRDREIHTLRDHVHDLYSV QQSLRAESLHKDIQLQQLQQQQRAAFVDDCHSCVCHSNAPSIAWSNHPVDAAAVVVPT TSCSSSSMPVDSSPVVHTLRVELQGLESQVACQSAELARVQKALGEAIDQSQVVEDAM RQWAAALALPTNNQSMEGGNNDETMHGLALVNLLNDAITVHVQRPPPLEPYSALQSRA VHPLTTNEPDDRALNDVTGGGQEGQMPQWLADALATVEDSMDEASHALDMEVLQMELA SLRRSLQQKDDELDAFELMVDSMQGQVSALATHRDLVEERGGVQSNAVMDMLLQVIYN NQDEPEEGEHQRDVEVGNPDSCDEETLTTKEEQIQRNETWDHVEVYGTVPLDTQDMTL TLQLGIARSDEYTPRDDDVDGNREQRVVHSLLQNAIHVLCSSTTSSSISNDQTANVPL EATATHLLEQVTAHQRQFELLQEEVTATKLALDSKSTRLTQLVDEHASLSVRSEALEG ELVQRMTDIGVLEHRLLDKQVTTTSLTRELSAATNALETLGHDSATLQAELLHQSREL ADVRQRFQYVCSHLQADMAAFCAKSSVCHLLANDLVLGTVSSEKDSSMSSDIFLRNPD CLVEHTTDECGSALLGAFAAFKERVAGQLYSLEHDVETFKGRLSHAKATTAETVRYYE DQRAVIQAQEAAQALVHKDKDAMLSDRDDLIAQLRMDLETKVDASRSLTALVERELAA CKHENHTLTTSVREITSEIVVLQGKLAAQSTCTARQQTARELQLQLAQGTTQSQRLLQ VGRTTHQPLLATALRYCGESTDELMVAHTLDRVVLMCEWHGMFSALQQELASTKAQCH TLTSNLARDRNELDQCRQQLVQTREELTVAHEMQATHQDVMNMTTEMLKMNEDALEQS VAWVKLEAAESEKSICALILDKLVLQVQSIHDHEQHDLELSMAVDEWKQAYHDIENHG HDDHRQWNHMDTIQSRSSDRRSALSEAVNINAVVESAGFHQVLLGLKRCVVQEYARQK AVWMDSCRYLHDKVDAATRVSEQLREQCTSYETQLTSEREQHQYLARGWEDQHGQVER EVRHLESQLLDQATVHQHMVRTLECQQEHLKAECHTYESQVLELQTRLSQLEVEYTKK NQAQLRQETEQHDAVVRALECQLDHVNLACQTLNSKLLQQHEQHQLLVLDMEHRQEQL QAECSGWKTRLVDLSDQHQHIVNDLERRQAQLLQVECSNWEAKVAEKSEQHLAQVEAL ECQLEQLQDERRLTESHVGAQIDQHRRLVCDLQCRQDQIHQLEMEMATKQHEVGALLS ALAASDSAKTLLQERCDAANAQSLLEVAACRSECQHLKTLLDQNRIEYDTFKADRLAN EATLVASLQTEFKTLESTYEAQVEHWKTRVQSKDRTVSRLQHALWAMQLESELSLFQS KSSPSPTTTSVEDSPSPTLLVQGTPYHDDELIAVPQIADSFLKLGDDKTCQGGVFPQL EPTKRRRNNHRDSLWAIHQWRSAALEMEVQQEQYEMTLATSFQANETKSNQWQRTVEH NQAQYELATEQLKQQLDLAQTIASQKGKDSAKWVHALRSVQLEMDGALDRYQQVAHQV KTLTSLNDMHLRTIDDLAAKNKLLEGKSSALANQLAELSTLLTNERATVGSLRQREAD STAQLENQLQHRLSQCESQRGELDRLHTALIVESKASQERKQVEGKCAPLKSTNGTLQ VERTASQCRIQHKRELKWRHYVQSMQLELDGCFPTAPSSAILHDAHTLLDPRTSTPHS VVTRAKAEMSQSRLNIADSARGLSRPSQSTMMMPHDLEWTWQVHEQVLDERTKQVHSL QAQLAMATSSPPMSPTSTTDPRLTSLKRRLDAATAENHALCTRLTDLTLDNHRLTVDL LDMRASVAAAESVEIPPTPTESPQRTDHPTTQTIPELLQCHFDTATAENDALRAQLRD LTLENHRVTVKLSENDKKTLSVAQATRHVAPTTQTPESASGRRQDSGTQTAESEPDGV DAATDEHHALCWLSTAQLSLDHHSCLMQDLRGGSNTQPTESGGNTVVESESESATPES TDREFASSNDVHAMYLSIPEAIDVPLITWKALANHIEELLSHTATVVAAIKQRPQQRR CLVGRLKRRLVMTLHTHDQHDPTASHPVVDVVHGLTSLGRLLATLLDPCTTTRATSFY TSVSIRSRRDTPFLTSLSTAAAPRRPVHRPLLHPSSSSIDAATTSFNGGGIVLLDVGA TQVRASLLPLLYGTTTMSSPPSILRFENSTLDDKNDVAGKVAKALEWLGLSAAHLPFV KVVLLHKPRLEPSRKEHLTTLLLQGFQLQGVNLTTHTQVALTGHTGLVVDIGHTSTYL VPVFEDMVLEHAVVTLDGGGSAVASHILAHIRHTNPMKCFQAVSGTAHLAEIERSLME GRREDDWPASISDVFFQGSVDLAMAVRACVKHCDPFLHPALFGNIVLTGGAAALPGLA DRLKMELLANSTAAQEVHVQVVTNVFDGAASHAKNLSPYKWVLQEDFRLHGARIVHAK CF H257_11015 MNNADNDTNAEIQQLLREMHNMSSKKNPATHHPTALTYHGPRPA KSSPKTKKKASSTVHSHVHGKPLDLPHSGASSAVVDLPRNHGNLSFVQQLQVKYNQVK HALAIQHAQCGDLQAMVADLAAAKSHHTTQVATLEAALASRDREIHTLRDHVHDLYSV QQSLRAESLHKDIQLQQLQQQQRAAFVDDCHSCVCHSNAPSIAWSNHPVDAAAVVVPT TSCSSSSMPVDSSPVVHTLRVELQGLESQVACQSAELARVQKALGEAIDQSQVVEDAM RQWAAALALPTNNQSMEGGNNDETMHGLALVNLLNDAITVHVQRPPPLEPYSALQSRA VHPLTTNEPDDRALNDVTGGGQEGQMPQWLADALATVEDSMDEASHALDMEVLQMELA SLRRSLQQKDDELDAFELMVDSMQGQVSALATHRDLVEERGGVQSNAVMDMLLQVIYN NQDEPEEGEHQRDVEVGNPDSCDEETLTTKEEQIQRNETWDHVEVYGTVPLDTQDMTL TLQLGIARSDEYTPRDDDVDGNREQRVVHSLLQNAIHVLCSSTTSSSISNDQTANVPL EATATHLLEQVTAHQRQFELLQEEVTATKLALDSKSTRLTQLVDEHASLSVRSEALEG ELVQRMTDIGVLEHRLLDKQVTTTSLTRELSAATNALETLGHDSATLQAELLHQSREL ADVRQRFQYVCSHLQADMAAFCAKSSVCHLLANDLVLGTVSSEKDSSMSSDIFLRNPD CLVEHTTDECGSALLGAFAAFKERVAGQLYSLEHDVETFKGRLSHAKATTAETVRYYE DQRAVIQAQEAAQALVHKDKDAMLSDRDDLIAQLRMDLETKVDASRSLTALVERELAA CKHENHTLTTSVREITSEIVVLQGKLAAQSTCTARQQTARELQLQLAQGTTQSQRLLQ VGRTTHQPLLATALRYCGESTDELMVAHTLDRVVLMCEWHGMFSALQQELASTKAQCH TLTSNLARDRNELDQCRQQLVQTREELTVAHEMQATHQDVMNMTTEMLKMNEDALEQS VAWVKLEAAESEKSICALILDKLVLQVQSIHDHEQHDLELSMAVDEWKQAYHDIENHG HDDHRQWNHMDTIQSRSSDRRSALSEAVNINAVVESAGFHQVLLGLKRCVVQEYARQK AVWMDSCRYLHDKVDAATRVSEQLREQCTSYETQLTSEREQHQYLARGWEDQHGQVER EVRHLESQLLDQATVHQHMVRTLECQQEHLKAECHTYESQVLELQTRLSQLEVEYTKK NQAQLRQETEQHDAVVRALECQLDHVNLACQTLNSKLLQQHEQHQLLVLDMEHRQEQL QAECSGWKTRLVDLSDQHQHIVNDLERRQAQLLQVECSNWEAKVAEKSEQHLAQVEAL ECQLEQLQDERRLTESHVGAQIDQHRRLVCDLQCRQDQIHQLEMEMATKQHEVGALLS ALAASDSAKTLLQERCDAANAQSLLEVAACRSECQHLKTLLDQNRIEYDTFKADRLAN EATLVASLQTEFKTLESTYEAQVEHWKTRVQSKDRTVSRLQHALWAMQLESELSLFQS KSSPSPTTTSVEDSPSPTLLVQGTPYHDDELIAVPQIADSFLKLGDDKTCQGGVFPQL EPTKRRRNNHRDSLWAIHQWRSAALEMEVQQEQYEMTLATSFQANETKSNQWQRTVEH NQAQYELATEQLKQQLDLAQTIASQKGKDSAKWVHALRSVQLEMDGALDRYQQVAHQV KTLTSLNDMHLRTIDDLAAKNKLLEGKSSALANQLAELSTLLTNERATVGSLRQREAD STAQLENQLQHRLSQCESQRGELDRLHTALIVESKASQERKQVEGKCAPLKSTNGTLQ VERTASQCRIQHKRELKWRHYVQSMQLELDGCFPTAPSSAILHDAHTLLDPRTSTPHS VVTRAKAEMSQSRLNIADSARGLSRPSQSTMMMPHDLEWTWQVHEQVLDERTKQVHSL QAQLAMATSSPPMSPTSTTDPRLTSLKRRLDAATAENHALCTRLTDLTLDNHRLTVDL LDMRASVAAAESVEIPPTPTESPQRTDHPTTQTIPELLQCHFDTATAENDALRAQLRD LTLENHRVTVKLSENDKKTLSVAQATRHVAPTTQTPESASGRRQDSGTQTAESGLQVV VESGSETAEEPDGVDAATDEHHALCWLSTAQLSLDHHSCLMQDLRGGSNTQPTESGGN TVVESESESATPESTDREFASSNDVHAMYLSIPEAIDVPLITWKALANHIEELLSHTA TVVAAIKQRPQQRRCLVGRLKRRLVMTLHTHDQHDPTASHPVVDVVHGLTSLGRLLAT LLDPCTTTRATSFYTSVSIRSRRDTPFLTSLSTAAAPRRPVHRPLLHPSSSSIDAATT SFNGGGIVLLDVGATQVRASLLPLLYGTTTMSSPPSILRFENSTLDDKNDVAGKVAKA LEWLGLSAAHLPFVKVVLLHKPRLEPSRKEHLTTLLLQGFQLQGVNLTTHTQVALTGH TGLVVDIGHTSTYLVPVFEDMVLEHAVVTLDGGGSAVASHILAHIRHTNPMKCFQAVS GTAHLAEIERSLMEGRREDDWPASISDVFFQGSVDLAMAVRACVKHCDPFLHPALFGN IVLTGGAAALPGLADRLKMELLANSTAAQEVHVQVVTNVFDGAASHAKNLSPYKWVLQ EDFRLHGARIVHAKCF H257_11015 MNNADNDTNAEIQQLLREMHNMSSKKNPATHHPTALTYHGPRPA KSSPKTKKKASSTVHSHVHGKPLDLPHSGASSAVVDLPRNHGNLSFVQQLQVKYNQVK HALAIQHAQCGDLQAMVADLAAAKSHHTTQVATLEAALASRDREIHTLRDHVHDLYSV QQSLRAESLHKDIQLQQLQQQQRAAFVDDCHSCVCHSNAPSIAWSNHPVDAAAVVVPT TSCSSSSMPVDSSPVVHTLRVELQGLESQVACQSAELARVQKALGEAIDQSQVVEDAM RQWAAALALPTNNQSMEGGNNDETMHGLALVNLLNDAITVHVQRPPPLEPYSALQSRA VHPLTTNEPDDRALNDVTGGGQEGQMPQWLADALATVEDSMDEASHALDMEVLQMELA SLRRSLQQKDDELDAFELMVDSMQGQVSALATHRDLVEERGGVQSNAVMDMLLQVIYN NQDEPEEGEHQRDVEVGNPDSCDEETLTTKEEQIQRNETWDHVEVYGTVPLDTQDMTL TLQLGIARSDEYTPRDDDVDGNREQRVVHSLLQNAIHVLCSSTTSSSISNDQTANVPL EATATHLLEQVTAHQRQFELLQEEVTATKLALDSKSTRLTQLVDEHASLSVRSEALEG ELVQRMTDIGVLEHRLLDKQVTTTSLTRELSAATNALETLGHDSATLQAELLHQSREL ADVRQRFQYVCSHLQADMAAFCAKSSVCHLLANDLVLGTVSSEKDSSMSSDIFLRNPD CLVEHTTDECGSALLGAFAAFKERVAGQLYSLEHDVETFKGRLSHAKATTAETVRYYE DQRAVIQAQEAAQALVHKDKDAMLSDRDDLIAQLRMDLETKVDASRSLTALVERELAA CKHENHTLTTSVREITSEIVVLQGKLAAQSTCTARQQTARELQLQLAQGTTQSQRLLQ VGRTTHQPLLATALRYCGESTDELMVAHTLDRVVLMCEWHGMFSALQQELASTKAQCH TLTSNLARDRNELDQCRQQLVQTREELTVAHEMQATHQDVMNMTTEMLKMNEDALEQS VAWVKLEAAESEKSICALILDKLVLQVQSIHDHEQHDLELSMAVDEWKQAYHDIENHG HDDHRQWNHMDTIQSRSSDRRSALSEAVNINAVVESAGFHQVLLGLKRCVVQEYARQK AVWMDSCRYLHDKVDAATRVSEQLREQCTSYETQLTSEREQHQYLARGWEDQHGQVER EVRHLESQLLDQATVHQHMVRTLECQQEHLKAECHTYESQVLELQTRLSQLEVEYTKK NQAQLRQETEQHDAVVRALECQLDHVNLACQTLNSKLLQQHEQHQLLVLDMEHRQEQL QAECSGWKTRLVDLSDQHQHIVNDLERRQAQLLQVECSNWEAKVAEKSEQHLAQVEAL ECQLEQLQDERRLTESHVGAQIDQHRRLVCDLQCRQDQIHQLEMEMATKQHEVGALLS ALAASDSAKTLLQERCDAANAQSLLEVAACRSECQHLKTLLDQNRIEYDTFKADRLAN EATLVASLQTEFKTLESTYEAQVEHWKTRVQSKDRTVSRLQHALWAMQLESELSLFQS KSSPSPTTTSVEDSPSPTLLVQGTPYHDDELIAVPQIADSFLKLGDDKTCQGGVFPQL EPTKRRRNNHRDSLWAIHQWRSAALEMEVQQEQYEMTLATSFQANETKSNQWQRTVEH NQAQYELATEQLKQQLDLAQTIASQKGKDSAKWVHALRSVQLEMDGALDRYQQVAHQV KTLTSLNDMHLRTIDDLAAKNKLLEGKSSALANQLAELSTLLTNERATVGSLRQREAD STAQLENQLQHRLSQCESQRGELDRLHTALIVESKASQERKQVEGKCAPLKSTNGTLQ VERTASQCRIQHKRELKWRHYVQSMQLELDGCFPTAPSSAILHDAHTLLDPRTSTPHS VVTRAKAEMSQSRLNIADSARGLSRPSQSTMMMPHDLEWTWQVHEQVLDERTKQVHSL QAQLAMATSSPPMSPTSTTDPRLTSLKRRLDAATAENHALCTRLTDLTLDNHRLTVDL LDMRASVAAAESVEIPPTPTESPQRTDHPTTQTIPELLQCHFDTATAENDALRAQLRD LTLENHRVTVKLSENDKKTLSVAQATRHVAPTTQTPESASGRRQDSGTQTAESGLQVV VESGSETAEEPDGVDAATDEHHALCWLSTAQLSLDHHSCLMQDLRGGSNTQPTESGGN TVVESESESATPESTDREFASSNDVHAMYLSIPEAIDVPLITWKALANHIEELLSHTA TVVAAIKQRPQQRRCLVGRLKRRLVMTLHTHDQHDPTASHPVVDVVHGLTSLGRLLAT LLDPCTTTRATSFYTSVSIRSRRDTPFLTSLSTAAAPRRPVHRPLLHPSSSSIDAATT SFNGGGIVLLDVGATQVRASLLPLLYGTTTMSSPPSILRFENSTLDDKNDVAGKVAKA LEWLGLSAAHLPFVKVSSPMSSPR H257_11016 MLIRQISVLARPATAAGIMMIATSKMPLEKKLRIRTVVDEDKME SPHPRMIVENMLASSPTAQRPRTDAGQSFMCLFSSVLCDVNLFESIIAFCPGARLKDW VNAIVAARAGHLYILKQREPFLKYNTYMFSEASANGHLHIVRWCHEENKPGCTVDAMD YAAGAGHLDVVKYLHANRSEGCTKRAMDFAALRGHLHVIQWLHANRREGCSSEAMNSA AFSGHLHVVAWLHEHRKPCTHNAMDSAAANGHLNVVQYLHSKRREGCTTKAMDDAAKN GHLHVVSWLSSHRKEGCTAKAMDFAAQNGHLHVIEWLHRHRREGCTTKAMDWAAGAGN LEVFQWLHVHRNEGCTINGVAEAFKQNHRNVMEFIQHNGIYHGMHPLL H257_11017 MMSDFEYLQQRLRLHVDLMGRRLEGVSELILAPKIDSIKRIRVH CRQCVVSKVTVNGVEARFEHHDFLSEVVQESYRDWNSFDLFYRGAIVASKEGTLVIEM PPTVRIVDQNDSTVDDEKKSDIETATDDERDATAVWDSSNHLPPSSTAFAPVVVRIEY TVSNPRGGVRFMLPDALHPERVPHMYTYCGPFGGLCDGARTWMPCRDLLSDKCTFRLE LIVPASCVAVCSGRLVGQSLDAHKLHRSFRFVINTKTSCSLVGFAVGPFRMHIAEHMP RVAHFCLPDRVADLTTSTQFRDPHFVTFFETYLNARYPFATYSQIFVEDPPVDCQYFA GLSVLNQDILYGPTIIDRAPVSQAIQIKGFIGSWIGGAIGIQSTKHAWVLVGVVGYLF DLYVQSVMGDEAYGYRIQLALDALVMMELLAEGGPPSLMYEDVDVYGEYDPSYMAFLE AKAPLILRMIERKVERKHMQFALQRIVSGTSSNTKQTSPPTTTPDKDDDKEDEDDAML DGDKDSTPAVSGQTQPLSTWYLLSTVKEVAGAPGRDLCRSFLQTWIVRGGLPFISVGF WYNRKQTQAELVLEQSLLAGCEKFEGGIKITIVEDSGEYSDLRRVENMRHKWEFACHN KVRKKRRTRQKLDQSDDDYSQQITAAGMGLNDTPVYWVKIDPDAGWLSHIVMYQPDFN WMEQLNSDSSVRARVHAARALALYPLPHEKAHVMACRVLTEAMSGLTTHCNRIRAEAA ISLGIWQSLHAPHTNVNMALPEWKGMQNLVRIFKEHFFDRSADMPLPNYFLPSGGKVV LESVGAVSTNSTTREIRIQDYAEGEYEIKKAIPRGLAMVRCRTGFSPPEIETFLLQLL TQNDNSKNYVDRADESCVADDCFYLGSLILSLSVLNLEVRAAAPTAAEITRLLHYDDV HPSYRHTITVCCLEALCNLQLAGRFTDLDGVSYHKYASPAYPSVVRQAAIESILRLYF AEDPRGDPTAPRRDKSRVFGPVAAISYALKLIDADDSPRIRRFGVQVCLNCVRGFPPS VAAHVLSTRDHAYTLSIMHRIQMEVPGAFVQKSPTKDVVAKAFSPPSLAPLRDDSGAA RDVAERMWALMNTAAALDQPLRVSLCILYRKIWGDTTPICVAHTVQDKAADWAGGYES FRRLIDLSKTNMTAKKAAPSSGYVPKGASSDDKKRPSMFGSPPLSSGLDHLKGKKLKL KFGDSTIASHKL H257_11017 MMSDFEYLQQRLRLHVDLMGRRLEGVSELILAPKIDSIKRIRVH CRQCVVSKVTVNGVEARFEHHDFLSEVVQESYRDWNSFDLFYRGAIVASKEGTLVIEM PPTVRIVDQNDSTVDDEKKSDIETATDDERDATAVWDSSNHLPPSSTAFAPVVVRIEY TVSNPRGGVRFMLPDALHPERVPHMYTYCGPFGGLCDGARTWMPCRDLLSDKCTFRLE LIVPASCVAVCSGRLVGQSLDAHKLHRSFRFVINTKTSCSLVGFAVGPFRMHIAEHMP RVAHFCLPDRVADLTTSTQFRDPHFVTFFETYLNARYPFATYSQIFVEDPPVDCQYFA GLSVLNQDILYGPTIIDRAPVSQAIQIKGFIGSWIGGAIGIQSTKHAWVLVGVVGYLF DLYVQSVMGDEAYGYRIQLALDALVMMELLAEGGPPSLMYEDVDVYGEYDPSYMAFLE AKAPLILRMIERKVERKHMQFALQRIVSGTSSNTKQTSPPTTTPDKDDDKEDEDDAML DGDKDSTPAVSGQTQPLSTWYLLSTVKEVAGAPGRDLCRSFLQTWIVRGGLPFISVGF WYNRKQTQAELVLEQSLLAGCEKFEGGIKITIVEDSGEYSDLRRVENMRHKWEFACHN KVRKKRRTRQKLDQSDDDYSQQITAAGMGLNDTPVYWVKIDPDAGWLSHIVMYQPDFN WMEQLNSDSSVRARVHAARALALYPLPHEKAHVMACRVLTEAMSGLTTHCNRIRAEAA ISLGIWQSLHAPHTNVNMALPEWKGMQNLVRIFKEHFFDRSADMPLPNYFLPSGGKVV LESVGAVSTNSTTREIRIQDYAEGEYEIKKAIPRGLAMVRCRTGFSPPEIETFLLQLL TQNDNSKNYVDRADESCVADDCFYLGSLILSLSVLNLEVRAAAPTAAEITRLLHYDDV HPSYRHTITVCCLEALCNLQLAGRFTDLDGVSYHKYASPAYPSVVRQAAIESILRLYF AEDPRGDPTAPRRDKSRVFGPVAAISYALKLIDADDSPRIRRFGVQVCLNCVRGFPPS VAAHVLSTRDHAYTLSIMHRIQMEVPGAFVQKSPTKDVVAKAFSPPSLAPLRDDSGAA RDVAERMWALMNTAAALDQPLRVSLCILYRKIWGDTTPICVAHTVQDKAADWAGGYES FRRLIDLSKTNMTAKKAAPSSGYVPKGASSDDKKRPSMFGSPPLSSGLDHLKGKKLKL KFGDSTIASHKL H257_11017 MMSDFEYLQQRLRLHVDLMGRRLEGVSELILAPKIDSIKRIRVH CRQCVVSKVTVNGVEARFEHHDFLSEVVQESYRDWNSFDLFYRGAIVASKEGTLVIEM PPTVRIVDQNDSTVDDEKKSDIETATDDERDATAVWDSSNHLPPSSTAFAPVVVRIEY TVSNPRGGVRFMLPDALHPERVPHMYTYCGPFGGLCDGARTWMPCRDLLSDKCTFRLE LIVPASCVAVCSGRLVGQSLDAHKLHRSFRFVINTKTSCSLVGFAVGPFRMHIAEHMP RVAHFCLPDRVADLTTSTQFRDPHFVTFFETYLNARYPFATYSQIFVEDPPVDCQYFA GLSVLNQDILYGPTIIDRAPVSQAIQIKGFIGSWIGGAIGIQSTKHAWVLVGVVGYLF DLYVQSVMGDEAYGYRIQLALDALVMMELLAEGGPPSLMYEDVDVYGEYDPSYMAFLE AKAPLILRMIERKVERKHMQFALQRIVSGTSSNTKQTSPPTTTPDKDDDKEDEDDAML DGDKDSTPAVSGQTQPLSTWYLLSTVKEVAGAPGRDLCRSFLQTWIVRGGLPFISVGF WYNRKQTQAELVLEQSLLAGCEKFEGGIKITIVEDSGEYSDLRRVENMRHKWEFACHN KVRKKRRTRQKLDQSDDDYSQQITAAGMGLNDTPVYWVKIDPDAGWLSHIVMYQPDFN WMEQLNSDSSVRARVHAARALALYPLPHEKAHVMACRVLTEAMSGLTTHCNRIRAEAA ISLGIWQSLHAPHTNVNMALPEWKGMQNLVRIFKEHFFDRSADMPLPNYFLPSGGKVV LESVGAVSTNSTTREIRIQDYAEGEYEIKKAIPRGLAMVRCRTGFSPPEIETFLLQLL TQNDNSKNYVDRADESCVADDCFYLGSLILSLSVLNLEVRAAAPTAAEITRLLHYDDV HPSYRHTITVCCLEALCNLQLAGRFTDLDGVSYHKYASPAYPSVVRQAAIESILRLYF AEDPRGDPTAPRRDKSRVFGPVAAISYALKLIDADDSPRYSDIFRMPNKLACWDHTKF AVLIIVYVYCSKHTL H257_11017 MMSDFEYLQQRLRLHVDLMGRRLEGVSELILAPKIDSIKRIRVH CRQCVVSKVTVNGVEARFEHHDFLSEVVQESYRDWNSFDLFYRGAIVASKEGTLVIEM PPTVRIVDQNDSTVDDEKKSDIETATDDERDATAVWDSSNHLPPSSTAFAPVVVRIEY TVSNPRGGVRFMLPDALHPERVPHMYTYCGPFGGLCDGARTWMPCRDLLSDKCTFRLE LIVPASCVAVCSGRLVGQSLDAHKLHRSFRFVINTKTSCSLVGFAVGPFRMHIAEHMP RVAHFCLPDRVADLTTSTQFRDPHFVTFFETYLNARYPFATYSQIFVEDPPVDCQYFA GLSVLNQDILYGPTIIDRAPVSQAIQIKGFIGSWIGGAIGIQSTKHAWVLVGVVGYLF DLYVQSVMGDEAYGYRIQLALDALVMMELLAEGGPPSLMYEDVDVYGEYDPSYMAFLE AKAPLILRMIERKVERKHMQFALQRIVSGTSSNTKQTSPPTTTPDKDDDKEDEDDAML DGDKDSTPAVSGQTQPLSTWYLLSTVKEVAGAPGRDLCRSFLQTWIVRGGLPFISVGF WYNRKQTQAELVLEQSLLAGCEKFEGGIKITIVEDSGEYSDLRRVENMRHKWEFACHN KVRKKRRTRQKLDQSDDDYSQQITAAGMGLNDTPVYWVKIDPDAGWLSHIVMYQPDFN WMEQLNSDSSVRARVHAARALALYPLPHEKAHVMACRVLTEAMSGLTTHCNRIRAEAA ISLGIWQSLHAPHTNVNMALPEWKGMQNLVRIFKEHFFDRSADMPLPNYFLPSGGKVV LESVGAVSTNSTTREIRIQDYAEGEYEIKKAIPRGLAMVRCRTGFSPPEIETFLLQLL TQNDNSKNYVDRADESCVADDCFYLGSLILSLSVLNLEVRAAAPTAAEITRLLHYDDV HPSYRHTITVCCLEALCNLQLAGRFTDLDGVSYHKYASPAYPSVVRQAAIESILRLYF AEDPRGDPTAPRRDKSRVFGPVAAISYALKLIDADDSPRYSDIFRMPNKLACWDHTKF AVLIIVYVYCSKHTL H257_11017 MMSDFEYLQQRLRLHVDLMGRRLEGVSELILAPKIDSIKRIRVH CRQCVVSKVTVNGVEARFEHHDFLSEVVQESYRDWNSFDLFYRGAIVASKEGTLVIEM PPTVRIVDQNDSTVDDEKKSDIETATDDERDATAVWDSSNHLPPSSTAFAPVVVRIEY TVSNPRGGVRFMLPDALHPERVPHMYTYCGPFGGLCDGARTWMPCRDLLSDKCTFRLE LIVPASCVAVCSGRLVGQSLDAHKLHRSFRFVINTKTSCSLVGFAVGPFRMHIAEHMP RVAHFCLPDRVADLTTSTQFRDPHFVTFFETYLNARYPFATYSQIFVEDPPVDCQYFA GLSVLNQDILYGPTIIDRAPVSQAIQIKGFIGSWIGGAIGIQSTKHAWVLVGVVGYLF DLYVQSVMGDEAYGYRIQLALDALVMMELLAEGGPPSLMYEDVDVYGEYDPSYMAFLE AKAPLILRMIERKVERKHMQFALQRIVSGTSSNTKQTSPPTTTPDKDDDKEDEDDAML DGDKDSTPAVSGQTQPLSTWYLLSTVKEVAGAPGRDLCRSFLQTWIVRGGLPFISVGF WYNRKQTQAELVLEQSLLAGCEKFEGGIKITIVEDSGEYSDLRRVENMRHKWEFACHN KVRKKRRTRQKLDQSDDDYSQQITAAGMGLNDTPVYWVKIDPDAGWLSHIVMYQPDFN WMEQLNSDSSVRARVHAARALALYPLPHEKAHVMACRVLTEAMSGLTTHCNRIRAEAA ISLGIWQSLHAPHTNVNMALPEWKGMQNLVRIFKEHFFDRSADMPLPNYFLPSGGKVV LESVGAVSTNSTTREIRIQDYAEGEYEIKKAIPRGLAMVRCRTGFSPPEIETFLLQLL TQNDNSKNYVDRADESCVADDCFYLGSLILSLSVLNLEVRAAAPTAAEITRLLHYDDV HPSYRHTITVCCLEVQFENDASSVYLAFSIYCGFSSLDPVDSRIDATIGSSNTFVWI H257_11018 MRLADFPGIASILTRLDARNVSRTQKLLDMIKSSLVNHEHLHSV ATTTPTTPIGGVNSSNQDNVWIMSALFAILCSNELEKERDPSSDVQTPTPVTLQELME GCQVHLSPFLSSFTGLFNMLILDLSPDLLHRANVLKERLTVAAILHSKYDQLWHRYAD VNTKPATDGRRQHLYEAGWLVFVIVRSRLQMQHAGLEELYYLLLAVLNLVLSHLPNPK SVEAEVAAALSSLSSTATPSPSQLLEQLCAKPAVHRADVERAIAALSVELHALDDEAV LTSNATVKNANYFDPTVLPDNVHNLATHYRETYMVHLYDLDESHFLTPALKLTLLGPP RDEAPPPTTPAFYAPVVGSTSIDQAWQWQGQYKPTQRPVTPSRVASPRPAIAQTPVTA AVETNSWIRSVLMPLPPHPSDTLRRHFDQCASMPEAAANISQWTDELMNKLSLPTSHQ QRLLHVGSTSSSTSSSSHVEGSLTKTKAMGLGLFYKVLEALLDAEAVRIQSGDFSALL SNSSFTRALFACSMEVVLKAHSLVSLAFPQLLTTCDVDAFDFGKIIESFVKRAPQLPS ELKRHMRDLEQTVLDSLVWASSSGLHPLLSNPQLKSAAILQLFFRKVLALAANRILAL GQHLQLDAPLLNQVWTTVKECISVHHSSLLRNRHLDHMILCALYGVCKVNHVVPEVTF KRVLDGYKRVYPTVSKASIVREIPLDTPTAKGDVIKFYNRCFIPTLKAFLLQFQLHDQ QATAADVAVTPFLSNDGNKAMVISDNEVIADAATLAVERLRQTTTTATTSELLTDIQP LPRPSQRASPKRVLSSNLYISPLRQPRHQRAALTPRTHALYAFGESPARDLALINRAV NPKGIRVNALQITTDDHTTTTQSSPKRLRMTIE H257_11019 MVVLQTDGAHWDDFEAKVRVLSDKSPHQLKLSFKFKPKTSLVVR AVNEGDSRPIVLQCKSNEQTHVGRVAKLLKLSMVEVLGPLSHEVATPLSPSAKKKAKK NKGKATVSKSSK H257_11020 MQVPRQFMILLLAAGHVVRAQNATTLWGVCPETIIAPTDMAMCL QEASGAIVPSPKSARDVDLTARGIQVVGSLPSEAASLNLSNNSIRDIPAYVPNSQLTA LNLTFNAFTQASALALPPSITTLDLSHNFITRLFRWTALDNSFVTTLILKNNRLSMIR ETVFPSTLMHLCVLSMEFWLTLMVAFIGGRDLTGNPLRSFDVSPATFALLTKPNFVLK LDRPLAADVVATCVGAPMLLPNHPNTYLCVYNGSHEDVSSMAFAFLAKYTIVLVALFV VLMVVRRYFRRRLDRRMQLMPRDTYLSSNCNFLDNEPIQYRQTVQLPRQ H257_11020 MQVPRQFMILLLAAGHVVRAQNATTLWGVCPETIIAPTDMAMCL QEASGAIVPSPKSARDVDLTARGIQVVGSLPSEAASLNLSNNSIRDIPAYVPNSQLTA LNLTFNAFTQASALALPPSITTLDLSHNFITRLFRWTALDNSFVTTLILKNNRLSMIR ETVFPSTLMHLDLTGNPLRSFDVSPATFALLTKPNFVLKLDRPLAADVVATCVGAPML LPNHPNTYLCVYNGSHEDVSSMAFAFLAKYTIVLVALFVVLMVVRRYFRRRLDRRMQL MPRDTYLSSNCNFLDNEPIQYRQTVQLPRQ H257_11020 MQVPRQFMILLLAAGHVVRAQNATTLWGVCPETIIAPTDMAMCL QEASGAIVPSPKSARDVDLTARGIQVVGSLPSEAASLNLSNNSIRDIPAYVPNSQLTA LNLTFNAFTQASALALPPSITTLDLSHNFITRLFRWTALDNSFVTTLDLTGNPLRSFD VSPATFALLTKPNFVLKLDRPLAADVVATCVGAPMLLPNHPNTYLCVYNGSHEDVSSM AFAFLAKYTIVLVALFVVLMVVRRYFRRRLDRRMQLMPRDTYLSSNCNFLDNEPIQYR QTVQLPRQ H257_11020 MQVPRQFMILLLAAGHVVRAQNATTLWGVCPETIIAPTDMAMCL QEASGAIVPSPKSARDVDLTARGIQVVGSLPSEAASLNLSNNSIRDIPAYVPNSQLTA LNLTFNAFTQASALALPPSITTLDLSHNFITRLFRWTALDNSFVTTLILKNNRLSMIR ETVFPSTLMHLCVLSMEFWLTLMVAFIGGRDLTGNPLRSFDVSPATFALLTKPNFVLK LDRPLAADVVATCVGAPMLLPNHPNTYLCVYNGSHEDVSSMAFGMSSQVDVIYISYII L H257_11020 MQVPRQFMILLLAAGHVVRAQNATTLWGVCPETIIAPTDMAMCL QEASGAIVPSPKSARDVDLTARGIQVVGSLPSEAASLNLSNNSIRDIPAYVPNSQLTA LNLTFNAFTQASALALPPSITTLDLSHNFITRLFRWTALDNSFVTTLILKNNRLSMIR ETVFPSTLMHLDLTGNPLRSFDVSPATFALLTKPNFVLKLDRPLAADVVATCVGAPML LPNHPNTYLCVYNGSHEDVSSMAFGMSSQVDVIYISYIIL H257_11021 MVASVALTCAMLLGANAVLGVTTTTNSSRSSTTVSLLVSCPNRT NSSTIQRTVGRPISVCLSNGSAITSVKVIDKAINLSRRNISVITRLPPFVASIDLSYN FIQSIPSATSEAADVALLSLNLSHNALSSSISLLLASTVQTLDLSYNHIVTVSSDYAE RLPRQLKTLSLKGNGLTSIDGRHLPTSLQHLDLTENSVESILVDAPSYALLSHPEFDL MIEPDQTAKPTSPLCIQPPPRVKDNFICLIAASQGLLLTGVTVCSSYSNMIGTYSVAF VGKYMLGFVVVTVTAYALWQSMKQFQSSATANNGGVLAGIQERSTYISSNYLEPKTSP LR H257_11021 MVASVALTCAMLLGANAVLGVTTTTNSSRSSTTVSLLVSCPNRT NSSTIQRTVGRPISVCLSNGSAITSVKVIDKAINLSRRNISVITRLPPFVASIDLSYN FIQSIPSATSEAADVALLSLNLSHNALSSSISLLLASTVQTLDLSYNHIVTVSSDYAE RLPRQLKTLSLKGNGLTSIDGRHLPTSLQHLDLTENSVESILVDAPSYALLSHPEFDL MIEPDQTAKPTSPLCIQPPPRVKDNFICLIAASQGLLLTAFVGKYMLGFVVVTVTAYA LWQSMKQFQSSATANNGGVLAGIQERSTYISSNYLEPKTSPLR H257_11021 MVASVALTCAMLLGANAVLGVTTTTNSSRSSTTVSLLVSCPNRT NSSTIQRTVGRPISVCLSNGSAITSVKVIDKAINLSRRNISVITRLPPFVASIDLSYN FIQSIPSATSEAADVALLSLNLSHNALSSSISLLLASTVQTLDLSYNHIVTVSSDYAE RLPRQLKTLDLTENSVESILVDAPSYALLSHPEFDLMIEPDQTAKPTSPLCIQPPPRV KDNFICLIAASQGLLLTAFVGKYMLGFVVVTVTAYALWQSMKQFQSSATANNGGVLAG IQERSTYISSNYLEPKTSPLR H257_11022 MQRRTFRHLSRLELKWSRAFHFPKPLGLRKNMTTNAKQTTWFSE TEAMWPGQKFCLAQEEVLFHGKSDFQDVLVFKSETYGNVLVLDGVIQATERDEFAYQE MITHLPLYSHANPKSVLIVGGGDGGVAREVAKHTGVEKIVMCEIDPKVTEVSRKYLPK MSASLEDPRLTLLFQDAAEYLRSGECGKFDVIIVDSSDPVGPAEVLFRSEFYENLKNA LNPNGIVSTQGECLWLHLDLIADVLGRVGQFFPTVQYAYTTIPTYPSGQIGFVLCSLD DSVDALNKPKRIVDAKTAETLEYYTSKVHEAAFVLPAFAEKKISPVRKSHRSNP H257_11023 MSTTPISLVDVANDSRQDVPRKATQERSGVEAVESVAAAPPVGN AVNKRPTLMDVAKDNTMPASSSLMQVAASTPSTTTSTTAPSLMSVAAAPPKTLLSTVD VAAPRKSLVASVASTSSDPSALVAVAHNLRQDPTSTFGKSVKVTDVAAKMDKERDVEI TAALHASSVAVRAENDQVAKQVLPQLVKDVKQQVETKIAKAVLIETAAEVQRDQARAK AVLQACAVEVKKEVPAVLSSPSPTYSQDYEDEVVEAVKATKQGGRNDNQRDVEGPAEV ERTATSSDKGHREFLVAVFRGNMQKLRAMIESDGDLVHATDQHGWNGLHWAASQGHGD VLKFLLQIGAVAHAAEPVNLWSPLHVAVIRAHVPCVKLLLDHGGAAVSVTQKDVYGDR PIDCAVNLTGRLRTQMLALLER H257_11024 MSSKDDLNDDEIREQDRFLPTANISRIMKVSLPNTAKIAKDGKE TVQECVSEFISFITSEASDKCQQEKRKTINGDDIIWAMSTLGFDSYVEPLKLYLQKYR ESVKVEKSDKKDNVGSFGASS H257_11025 MRLTVKTTNRTLVAVPLPPATIDTHAMMIPNGKFSRSLPTMRPH RASLALAASTFSSDVSGMNARCCGAVVAANAMSSGGRVAVLNLEPPWIRCGRRRSRRA CVEASDRRLMRMPWHDLWNRLPRTSPTRL H257_11025 MRLTVKTTNRTLVAVPLPPATIDTHAMMIPNGKFSRSLPTMRPH RASLALAASTFSSDVSGMNARCCGAVVAANAMSSGGRVAVLNLEPPWIRSDADVGDRD GHVWRRVIEG H257_11026 MAQQRWTRLLPLLFLVLVLGQKCKLSTTVTKAPGVMVDRSPQQD GTTYESPTDCHWKISTLSANKVVQLDIELLHLDQDMYNDVLLINLGADVPVPQGWALY TRNNDITGQDYVGTFDYTTTVPGACTSQQGNAFDPMSCSLTDGTLMNDRNRDRSWIYF TGSGDGNYPITFLSMAPDIYIIFRSFSKSSSSSPGDSSDVSGLRMSYSFVSAYCDGLT VVAPRLDASDTSSLSTELQIKDNMAGQTKANMNCSWLIQPYRTPDGSTTSRVSFDSIW LDFRSFDIHGASIVSIYDGMSSQAPLLAQFIESNGPQDTIKAPHSAVYITYFTDDGPP SVGITIGWKASYCPNACSGRTHGTCILGMCDCNKGWAGAACNIPEGWLCAAAHYNAGD GCDCGCGLYDPDCGALDPLVVACTRSKSGGGLLYDGAMGRLFSGDCVYCPLPPVIAEQ PKLPNFNWEHNTLDQSCPDVFQCPQGTQCSPTGLCTKVSDAAPPDFTLRQGCVVSTDC PAATVCNAQQFCQAPSDYALHLTSATTAGVCSFYGIPPNTDFTIELHLQVLTTPQGTD VVLTYPGLTITQSSSLTFTVGTSPPWNSKWNVADGLWHSIVWVWDNAAGTMSLFEVTP GTTAAAVGPVASTSGIPPGVVLAPDQNFTLGPLDGALSSLRIWTQVRPPTSFFQPSTD RAHLVADYRFMEGSGRDLSPNQNDLTDPSPNLLPFGSYPPQSYSCVASPLDISTGLAV GAIVSVTAASTKAWTVGIFSAADVNLIVVTGTTNLVEIAVDGSTITSMAVPGVTAKAA LSIRLTRVTTTAMEVCINDVFCDTVTMSTTAMAYVTVNAAPRNGALGGLQSPCLVLVD SLEALAPLVSSGPTATVDNAQCTFPFAMSIRNCNYFAKYLATNSYNAATFSPLAQAYA IAQLDLEDQACQCDAMPTWLSNFKVNQVDSATPTVTATVDYVKIKTTTDSTAAGAVEC AAGCYMLEVVGHRRLDGPLPPPPPPPSPPGPPSATPAPPSPTPPTTAGPTPQPTYPSG NLARKYNVKRDFAFVESGGAWSVLNATDDGVVGTETCLVTGPDTSQVNQALTAFQCQT SGTTTLDAAPPPLAYCILNNTKATCQSDNHGCSLPNGSTTLTSLAGSFSDNYRASITA GVHVCTYIVQPAVPPHLRRFANLSYTIQTADLSANDALVVTDANARPLLFPISGPVAF PDLPIQSVVPGTQATFTLRTYGDKSSVSNDGFVVEFDTVYTFADVATSHFCNATRSVV PVTDVTVFPTSSFSPSSMAFPTLGDCTYVMQAPNATFSSIWLSFLDFTMASPSDRIEV YGDNMTLLASVTNTTFASPHYGVVFNGLADYVLSSYPLPILPASILFWIQVPATLKKD CSVASSCINNVAKRMKVLGTEFTPASPSCARFNVELDVDTGYLSMYLNGATFVLEQDV RQGTWFHIAFVFRELDNDMLGYVNGARVALSTASNYDPLLGPCPSNVLFLGGQPSLPD DRNVLFNGMLRHIVLFTEPKTIYQIGRQMTRKCDATDPTLLLCYAFTTTDTSNVVDDS SVALFGRFHGTTFSTQAPLWLSSLLYKTFTSASAHLVLRFVSSAPNSTFRFIATPKAC PVCGDHGVCRRGQCRCDVGYKGPACNVKVDACEPNLVLPSTNGVLLFPSTVQAPDQFV PPFPIDGYPAALDCSWHLRKSSSKMVLLFTELALDVGDSLAVYEGRRVTPTYYATHNL TADLAMTRASYFSRGQYAYTQVIFKNVSSVAATAVLWPVVAAPQPGTCSKSFHIVQFR RGSVCVDGSSVGLNRSWAAQLVSSYWWLQSPEAFSTQITPLQLVFNDYATDTANVTVE YSKLLLGQGKVTHPLPAMFHFRRRTQPFDTCQDGRDVGLETSATIVTATGLHKFTRPN ISSGWTRQANVVDPPVFQYAGAWSLDMTKPFSGLFRTPGFVAQVGAAPFTIVVHTTVV LSADVQYLFAQEENNANSIFLKISESRRGLGQWTFGAYASNDRPTAASPASFSRDTVM LAITVNNGVVSYFVNGLLFGIDDTNTAYQACVDQCNADGCDSSAWECRSQVPVGAFND PSRLVLGGRFQGATVLNAWKGQIFQLTVYDRELSDAVIASLYTGIDAVSTVVSKTVVN SLWSPTIAEVSTIEVLSVPVGVTRVSSTLTRRWQLRRYGCQAPMPSLEPSRLAVLLNV SSLATVVYNALDDTAALVSWSTPTSPSTLVQTSLVRSKLYGFNLTTSLIVDLLVQYGV ATDNFPYIVTSVYVNAISATSASIGFHFTDAQRNSVDATATLFRANNTWHPPDWVHRL VVPIYGEVPPSKCRDDRNNSVAITYTSGVLSDGGATESAIVAPNTQCSWLLVAPAGES IFISFTYFHVECVEGEVTIDDMDAHTSTPLCGFQGGYSNTFGANVRVVFRIGSPPTGG PGNHPLPMTSTGFFGMYVFSNDNTNLNTVEVPVAYTPWTIVRPAEDDVVVAGSTKCQL DTTDESVLNPWQIASSLIVPYLDDICYQSQSNAADFEWEVTSHDSSAGDTTTCKDNNW TTDESVPWSAVAIDIVGGTDRYVDPPSSVYHAPAFTYTKSNQSLATTYISERNALEFR VQSPLGGRGRVMIEYYMPQTFYVAPASYQGVDGSNGDGSREKPYTYSFEYLVTNVLAS GDMMLLYPGRYEGPGYCNLVMTKAVVIESISGAKWTTLDCNGLSRGWQLKHASGITIV KGLTFTRATVSTSPFTGAAVFASGDVHIEACSFDNNVHRAQGTLAFVSPSVSTVRNCS FTSNVGLSGAAIAVLSASASLDSIQAVDNYATVSGALFVSTYVEGTSMALSSPSRVTM IKSVFVRNKGLKEGAVTITRASVVSMTSNQFTATLGPAIAVDASTLTFDHNLVQSSLG SGIVATNGAVVAATYSTFASNSAKNGAALSLDTSAYQGRSNMYQGNSATVAGGAVFGQ ACQYTELDSLFVSNVVGNASLGGGALAFTSCNSAVDISTVQVLVQRCTFENNSASFGG AIHLRDVHASIVANQFVGNAAGRFGGAIRVADCMSQRDEVAVNMAGNAFERNVGARGA AVYVETSDVLRLDTNSFIKNAAASFGGAVALVSATRVLILSSMFLRCVASGGGAIYAT AESSVDISNSGFEMCSSRSNGGSLYVDNTQLGLTNVWVQGGMASGNGGAIVLMSQGTS IRATNLTISSTSANKGGAFYLIDCSLPPGQVSDVSIVNTSAVTMGGAFYAVLVSMELS RLTTTDTTAASGGMMTLEDSTATLVDSTVAHSTALKNGGAFYVIISTLYLITSLLADN QAINYGGSVYGFASQVTMADSALERSASEFGGGVYVSSSTLGIARSTISSNMADNGGA FYADLSDVHVDESTFDANAATTGGGAAYISSNVAVLTTSVFTNNMANQGGALCLSQVT QVTLDACTFVSNSVANADTTSLPLLGGAVYIDRVDETSTVANCVFQNNSADSLGGAVY AAASTPLLNPPSLLVTNSTFQSNRADSSGGAMFLDGFQTVFDSTSFALNMATRGGGGA IFWQGNTEPVGLPRQSYWANDAVYGPDFASVPVALRPLYTPPPSAVAGQLGGEGSAQP FVGSFVVHVVDKYLQTVATENSIQVTLESTTAGAFVTGTAKVTVHDGVANFTKAGVQQ MPGSNATVAVSASGLVALTTVELHIRQCVRGEVTPIGVPQCVKCPFGQFSWNTTDTVC HQCPTGGVCGGGDSIDALDGFWRFENSTGVCTDPSYPYDGCRLGTCLDASCSGYTKGD VSATVRLDGPNHTMILTIRADTIEYRANDTLYVQGEELHVVATEKIGDSTASYQHQVL VTGNTLSTTGAVDIYKRGKEKCKAGYMGNLCFQCAPGYTRSGKTACTSCPANLTLTVV VLVLGVFGVAAVAIVLIIMTINKSRQKADLYSILTKIFTSYLQLVSLAGSFDLQWPQQ VKAMFAGQSQISNPGDKLISIECLMDQYKRTMMVATPLSSLSNYYMQLLVFLSLPVCA VVFPMLFWRLRFRLASRRILRRDWGLALNAVLGRGTGSDAMIQNDELLDVLLAVKESP SDIVLDYVRSISHIGDEPQALSTVKASFLQATKDEMRDKTVLSIIVLMFLVHPGLSNQ IFQLYTCTELGYNEHGDRLFFLNPDLDVQCYDATHYKWMLFVGIPGLVLYTLGIPYFA FHQLRRRRHELDQPRTKLQFGFLYDGYKLDHYYWEIWIMMRKILVSFISVFLKNWGTA PQALGATGLVFVALWGHMETWPYEEACVNGLEQKALLACLFTLYMGLYLLQPEVTTVT RVVIGAFIITANGLFLVVFSRLMIVQVKQKAQNALAQMANQKYVSMAVKKIRNKSSAS MPPRPPSSPNAHLRNSNDYVVAPDLSTDTHVQQKLDKTEI H257_11026 MAQQRWTRLLPLLFLVLVLGQKCKLSTTVTKAPGVMVDRSPQQD GTTYESPTDCHWKISTLSANKVVQLDIELLHLDQDMYNDVLLINLGADVPVPQGWALY TRNNDITGQDYVGTFDYTTTVPGACTSQQGNAFDPMSCSLTDGTLMNDRNRDRSWIYF TGSGDGNYPITFLSMAPDIYIIFRSFSKSSSSSPGDSSDVSGLRMSYSFVSAYCDGLT VVAPRLDASDTSSLSTELQIKDNMAGQTKANMNCSWLIQPYRTPDGSTTSRVSFDSIW LDFRSFDIHGASIVSIYDGMSSQAPLLAQFIESNGPQDTIKAPHSAVYITYFTDDGPP SVGITIGWKASYCPNACSGRTHGTCILGMCDCNKGWAGAACNIPEGWLCAAAHYNAGD GCDCGCGLYDPDCGALDPLVVACTRSKSGGGLLYDGAMGRLFSGDCVYCPLPPVIAEQ PKLPNFNWEHNTLDQSCPDVFQCPQGTQCSPTGLCTKVSDAAPPDFTLRQGCVVSTDC PAATVCNAQQFCQAPSDYALHLTSATTAGVCSFYGIPPNTDFTIELHLQVLTTPQGTD VVLTYPGLTITQSSSLTFTVGTSPPWNSKWNVADGLWHSIVWVWDNAAGTMSLFEVTP GTTAAAVGPVASTSGIPPGVVLAPDQNFTLGPLDGALSSLRIWTQVRPPTSFFQPSTD RAHLVADYRFMEGSGRDLSPNQNDLTDPSPNLLPFGSYPPQSYSCVASPLDISTGLAV GAIVSVTAASTKAWTVGIFSAADVNLIVVTGTTNLVEIAVDGSTITSMAVPGVTAKAA LSIRLTRVTTTAMEVCINDVFCDTVTMSTTAMAYVTVNAAPRNGALGGLQSPCLVLVD SLEALAPLVSSGPTATVDNAQCTFPFAMSIRNCNYFAKYLATNSYNAATFSPLAQAYA IAQLDLEDQACQCDAMPTWLSNFKVNQVDSATPTVTATVDYVKIKTTTDSTAAGAVEC AAGCYMLEVVGHRRLDGPLPPPPPPPSPPGPPSATPAPPSPTPPTTAGPTPQPTYPSG NLARKYNVKRDFAFVESGGAWSVLNATDDGVVGTETCLVTGPDTSQVNQALTAFQCQT SGTTTLDAAPPPLAYCILNNTKATCQSDNHGCSLPNGSTTLTSLAGSFSDNYRASITA GVHVCTYIVQPAVPPHLRRFANLSYTIQTADLSANDALVVTDANARPLLFPISGPVAF PDLPIQSVVPGTQATFTLRTYGDKSSVSNDGFVVEFDTVYTFADVATSHFCNATRSVV PVTDVTVFPTSSFSPSSMAFPTLGDCTYVMQAPNATFSSIWLSFLDFTMASPSDRIEV YGDNMTLLASVTNTTFASPHYGVVFNGLADYVLSSYPLPILPASILFWIQVPATLKKD CSVASSCINNVAKRMKVLGTEFTPASPSCARFNVELDVDTGYLSMYLNGATFVLEQDV RQGTWFHIAFVFRELDNDMLGYVNGARVALSTASNYDPLLGPCPSNVLFLGGQPSLPD DRNVLFNGMLRHIVLFTEPKTIYQIGRQMTRKCDATDPTLLLCYAFTTTDTSNVVDDS SVALFGRFHGTTFSTQAPLWLSSLLYKTFTSASAHLVLRFVSSAPNSTFRFIATPKAC PVCGDHGVCRRGQCRCDVGYKGPACNVKVDACEPNLVLPSTNGVLLFPSTVQAPDQFV PPFPIDGYPAALDCSWHLRKSSSKMVLLFTELALDVGDSLAVYEGRRVTPTYYATHNL TADLAMTRASYFSRGQYAYTQVIFKNVSSVAATAVLWPVVAAPQPGTCSKSFHIVQFR RGSVCVDGSSVGLNRSWAAQLVSSYWWLQSPEAFSTQITPLQLVFNDYATDTANVTVE YSKLLLGQGKVTHPLPAMFHFRRRTQPFDTCQDGRDVGLETSATIVTATGLHKFTRPN ISSGWTRQANVVDPPVFQYAGAWSLDMTKPFSGLFRTPGFVAQVGAAPFTIVVHTTVV LSADVQYLFAQEENNANSIFLKISESRRGLGQWTFGAYASNDRPTAASPASFSRDTVM LAITVNNGVVSYFVNGLLFGIDDTNTAYQACVDQCNADGCDSSAWECRSQVPVGAFND PSRLVLGGRFQGATVLNAWKGQIFQLTVYDRELSDAVIASLYTGIDAVSTVVSKTVVN SLWSPTIAEVSTIEVLSVPVGVTRVSSTLTRRWQLRRYGCQAPMPSLEPSRLAVLLNV SSLATVVYNALDDTAALVSWSTPTSPSTLVQTSLVRSKLYGFNLTTSLIVDLLVQYGV ATDNFPYIVTSVYVNAISATSASIGFHFTDAQRNSVDATATLFRANNTWHPPDWVHRL VVPIYGEVPPSKCRDDRNNSVAITYTSGVLSDGGATESAIVAPNTQCSWLLVAPAGES IFISFTYFHVECVEGEVTIDDMDAHTSTPLCGFQGGYSNTFGANVRVVFRIGSPPTGG PGNHPLPMTSTGFFGMYVFSNDNTNLNTVEVPVAYTPWTIVRPAEDDVVVAGSTKCQL DTTDESVLNPWQIASSLIVPYLDDICYQSQSNAADFEWEVTSHDSSAGDTTTCKDNNW TTDESVPWSAVAIDIVGGTDRYVDPPSSVYHAPAFTYTKSNQSLATTYISERNALEFR VQSPLGGRGRVMIEYYMPQTFYVAPASYQGVDGSNGDGSREKPYTYSFEYLVTNVLAS GDMMLLYPGRYEGPGYCNLVMTKAVVIESISGAKWTTLDCNGLSRGWQLKHASGITIV KGLTFTRATVSTSPFTGAAVFASGDVHIEACSFDNNVHRAQGTLAFVSPSVSTVRNCS FTSNVGLSGAAIAVLSASASLDSIQAVDNYATVSGALFVSTYVEGTSMALSSPSRVTM IKSVFVRNKGLKEGAVTITRASVVSMTSNQFTATLGPAIAVDASTLTFDHNLVQSSLG SGIVATNGAVVAATYSTFASNSAKNGAALSLDTSAYQGRSNMYQGNSATVAGGAVFGQ ACQYTELDSLFVSNVVGNASLGGGALAFTSCNSAVDISTVQVLVQRCTFENNSASFGG AIHLRDVHASIVANQFVGNAAGRFGGAIRVADCMSQRDEVAVNMAGNAFERNVGARGA AVYVETSDVLRLDTNSFIKNAAASFGGAVALVSATRVLILSSMFLRCVASGGGAIYAT AESSVDISNSGFEMCSSRSNGGSLYVDNTQLGLTNVWVQGGMASGNGGAIVLMSQGTS IRATNLTISSTSANKGGAFYLIDCSLPPGQVSDVSIVNTSAVTMGGAFYAVLVSMELS RLTTTDTTAASGGMMTLEDSTATLVDSTVAHSTALKNGGAFYVIISTLYLITSLLADN QAINYGGSVYGFASQVTMADSALERSASEFGGGVYVSSSTLGIARSTISSNMADNGGA FYADLSDVHVDESTFDANAATTGGGAAYISSNVAVLTTSVFTNNMANQGGALCLSQVT QVTLDACTFVSNSVANADTTSLPLLGGAVYIDRVDETSTVANCVFQNNSADSLGGAVY AAASTPLLNPPSLLVTNSTFQSNRADSSGGAMFLDGFQTVFDSTSFALNMATRGGGGA IFWQGNTEPVGLPRQSYWANDAVYGPDFASVPVALRPLYTPPPSAVAGQLGGEGSAQP FVGSFVVHVVDKYLQTVATENSIQVTLESTTAGAFVTGTAKVTVHDGVANFTKAGVQQ MPGSNATVAVSASGLVALTTVELHIRQCVRGEVTPIGVPQCVKCPFGQFSWNTTDTVC HQCPTGGVCGGGDSIDALDGFWRFENSTGVCTDPSYPYDGCRLGTCLDASCSGYTKGD VSATVRLDGPNHTMILTIRADTIEYRANDTLYVQGEELHVVATEKIGDSTASYQHQVL VTGNTLSTTGAVDIYKRGKEKCKAGYMGNLCFQCAPGYTRSGKTACTSCPANLTLTVV VLVLGVFGVAAVAIVLIIMTINKSRQKADLYSILTKIFTSYLQLVSLAGSFDLQWPQQ VKAMFAGQSQISNPGDKLISIECLMDQYKRTMMVATPLSSLSNYYMQLLVFLSLPVCA VVFPMLFWRLRFRLASRRILRRDWGLALNAVLGRGTGSDAMIQNDELLDVLLAVKESP SDIVLDYVRSISHIGDEPQALSTVKASFLQATKDEMRDKTVLSIIVLMFLVHPGLSNQ IFQLYTCTELGYNEHGDRLFFLNPDLDVQCYDATHYKWMLFVGIPGLVLYTLGIPYFA FHQLRRRRHELDQPRTKLQFGFLYDGYKLDHYYWEIWIMMRKILVSFISVFLKNWGTA PQALGATGLVFVALWGHMETWPYEEACVNGLEQKALLACLFTLYMGLYLLQPEVTTVT RVVIGVWAFI H257_11026 MAQQRWTRLLPLLFLVLVLGQKCKLSTTVTKAPGVMVDRSPQQD GTTYESPTDCHWKISTLSANKVVQLDIELLHLDQDMYNDVLLINLGADVPVPQGWALY TRNNDITGQDYVGTFDYTTTVPGACTSQQGNAFDPMSCSLTDGTLMNDRNRDRSWIYF TGSGDGNYPITFLSMAPDIYIIFRSFSKSSSSSPGDSSDVSGLRMSYSFVSAYCDGLT VVAPRLDASDTSSLSTELQIKDNMAGQTKANMNCSWLIQPYRTPDGSTTSRVSFDSIW LDFRSFDIHGASIVSIYDGMSSQAPLLAQFIESNGPQDTIKAPHSAVYITYFTDDGPP SVGITIGWKASYCPNACSGRTHGTCILGMCDCNKGWAGAACNIPEGWLCAAAHYNAGD GCDCGCGLYDPDCGALDPLVVACTRSKSGGGLLYDGAMGRLFSGDCVYCPLPPVIAEQ PKLPNFNWEHNTLDQSCPDVFQCPQGTQCSPTGLCTKVSDAAPPDFTLRQGCVVSTDC PAATVCNAQQFCQAPSDYALHLTSATTAGVCSFYGIPPNTDFTIELHLQVLTTPQGTD VVLTYPGLTITQSSSLTFTVGTSPPWNSKWNVADGLWHSIVWVWDNAAGTMSLFEVTP GTTAAAVGPVASTSGIPPGVVLAPDQNFTLGPLDGALSSLRIWTQVRPPTSFFQPSTD RAHLVADYRFMEGSGRDLSPNQNDLTDPSPNLLPFGSYPPQSYSCVASPLDISTGLAV GAIVSVTAASTKAWTVGIFSAADVNLIVVTGTTNLVEIAVDGSTITSMAVPGVTAKAA LSIRLTRVTTTAMEVCINDVFCDTVTMSTTAMAYVTVNAAPRNGALGGLQSPCLVLVD SLEALAPLVSSGPTATVDNAQCTFPFAMSIRNCNYFAKYLATNSYNAATFSPLAQAYA IAQLDLEDQACQCDAMPTWLSNFKVNQVDSATPTVTATVDYVKIKTTTDSTAAGAVEC AAGCYMLEVVGHRRLDGPLPPPPPPPSPPGPPSATPAPPSPTPPTTAGPTPQPTYPSG NLARKYNVKRDFAFVESGGAWSVLNATDDGVVGTETCLVTGPDTSQVNQALTAFQCQT SGTTTLDAAPPPLAYCILNNTKATCQSDNHGCSLPNGSTTLTSLAGSFSDNYRASITA GVHVCTYIVQPAVPPHLRRFANLSYTIQTADLSANDALVVTDANARPLLFPISGPVAF PDLPIQSVVPGTQATFTLRTYGDKSSVSNDGFVVEFDTVYTFADVATSHFCNATRSVV PVTDVTVFPTSSFSPSSMAFPTLGDCTYVMQAPNATFSSIWLSFLDFTMASPSDRIEV YGDNMTLLASVTNTTFASPHYGVVFNGLADYVLSSYPLPILPASILFWIQVPATLKKD CSVASSCINNVAKRMKVLGTEFTPASPSCARFNVELDVDTGYLSMYLNGATFVLEQDV RQGTWFHIAFVFRELDNDMLGYVNGARVALSTASNYDPLLGPCPSNVLFLGGQPSLPD DRNVLFNGMLRHIVLFTEPKTIYQIGRQMTRKCDATDPTLLLCYAFTTTDTSNVVDDS SVALFGRFHGTTFSTQAPLWLSSLLYKTFTSASAHLVLRFVSSAPNSTFRFIATPKAC PVCGDHGVCRRGQCRCDVGYKGPACNVKVDACEPNLVLPSTNGVLLFPSTVQAPDQFV PPFPIDGYPAALDCSWHLRKSSSKMVLLFTELALDVGDSLAVYEGRRVTPTYYATHNL TADLAMTRASYFSRGQYAYTQVIFKNVSSVAATAVLWPVVAAPQPGTCSKSFHIVQFR RGSVCVDGSSVGLNRSWAAQLVSSYWWLQSPEAFSTQITPLQLVFNDYATDTANVTVE YSKLLLGQGKVTHPLPAMFHFRRRTQPFDTCQDGRDVGLETSATIVTATGLHKFTRPN ISSGWTRQANVVDPPVFQYAGAWSLDMTKPFSGLFRTPGFVAQVGAAPFTIVVHTTVV LSADVQYLFAQEENNANSIFLKISESRRGLGQWTFGAYASNDRPTAASPASFSRDTVM LAITVNNGVVSYFVNGLLFGIDDTNTAYQACVDQCNADGCDSSAWECRSQVPVGAFND PSRLVLGGRFQGATVLNAWKGQIFQLTVYDRELSDAVIASLYTGIDAVSTVVSKTVVN SLWSPTIAEVSTIEVLSVPVGVTRVSSTLTRRWQLRRYGCQAPMPSLEPSRLAVLLNV SSLATVVYNALDDTAALVSWSTPTSPSTLVQTSLVRSKLYGFNLTTSLIVDLLVQYGV ATDNFPYIVTSVYVNAISATSASIGFHFTDAQRNSVDATATLFRANNTWHPPDWVHRL VVPIYGEVPPSKCRDDRNNSVAITYTSGVLSDGGATESAIVAPNTQCSWLLVAPAGES IFISFTYFHVECVEGEVTIDDMDAHTSTPLCGFQGGYSNTFGANVRVVFRIGSPPTGG PGNHPLPMTSTGFFGMYVFSNDNTNLNTVEVPVAYTPWTIVRPAEDDVVVAGSTKCQL DTTDESVLNPWQIASSLIVPYLDDICYQSQSNAADFEWEVTSHDSSAGDTTTCKDNNW TTDESVPWSAVAIDIVGGTDRYVDPPSSVYHAPAFTYTKSNQSLATTYISERNALEFR VQSPLGGRGRVMIEYYMPQTFYVAPASYQGVDGSNGDGSREKPYTYSFEYLVTNVLAS GDMMLLYPGRYEGPGYCNLVMTKAVVIESISGAKWTTLDCNGLSRGWQLKHASGITIV KGLTFTRATVSTSPFTGAAVFASGDVHIEACSFDNNVHRAQGTLAFVSPSVSTVRNCS FTSNVGLSGAAIAVLSASASLDSIQAVDNYATVSGALFVSTYVEGTSMALSSPSRVTM IKSVFVRNKGLKEGAVTITRASVVSMTSNQFTATLGPAIAVDASTLTFDHNLVQSSLG SGIVATNGAVVAATYSTFASNSAKNGAALSLDTSAYQGRSNMYQGNSATVAGGAVFGQ ACQYTELDSLFVSNVVGNASLGGGALAFTSCNSAVDISTVQVLVQRCTFENNSASFGG AIHLRDVHASIVANQFVGNAAGRFGGAIRVADCMSQRDEVAVNMAGNAFERNVGARGA AVYVETSDVLRLDTNSFIKNAAASFGGAVALVSATRVLILSSMFLRCVASGGGAIYAT AESSVDISNSGFEMCSSRSNGGSLYVDNTQLGLTNVWVQGGMASGNGGAIVLMSQGTS IRATNLTISSTSANKGGAFYLIDCSLPPGQVSDVSIVNTSAVTMGGAFYAVLVSMELS RLTTTDTTAASGGMMTLEDSTATLVDSTVAHSTALKNGGAFYVIISTLYLITSLLADN QAINYGGSVYGFASQVTMADSALERSASEFGGGVYVSSSTLGIARSTISSNMADNGGA FYADLSDVHVDESTFDANAATTGGGAAYISSNVAVLTTSVFTNNMANQGGALCLSQVT QVTLDACTFVSNSVANADTTSLPLLGGAVYIDRVDETSTVANCVFQNNSADSLGGAVY AAASTPLLNPPSLLVTNSTFQSNRADSSGGAMFLDGFQTVFDSTSFALNMATRGGGGA IFWQGNTEPVGLPRQSYWANDAVYGPDFASVPVALRPLYTPPPSAVAGQLGGEGSAQP FVGSFVVHVVDKYLQTVATENSIQVTLESTTAGAFVTGTAKVTVHDGVANFTKAGVQQ MPGSNATVAVSASGLVALTTVELHIRQCVRGEVTPIGVPQCVKCPFGQFSWNTTDTVC HQCPTGGVCGGGDSIDALDGFWRFENSTGVCTDPSYPYDGCRLGTCLDASCSGYTKGD VSATVRLDGPNHTMILTIRADTIEYRANDTLYVQGEELHVVATEKIGDSTASYQHQVL VTGNTLSTTGAVDIYKRGKEKCKAGYMGNLCFQCAPGYTRSGKTACTSCPANLTLTVV VLVLGVFGVAAVAIVLIIMTINKSRQKADLYSILTKIFTSYLQLVSLAGSFDLQWPQQ VKAMFAGQSQISNPGDKLISIECLMDQYKRTMMVATPLSSLSNYYMQLLVFLSLPVCA VVFPMLFWRLRFRLASRRILRRDWGLALNAVLGRGTGTYSNLLVIPAS H257_11026 MSSQAPLLAQFIESNGPQDTIKAPHSAVYITYFTDDGPPSVGIT IGWKASYCPNACSGRTHGTCILGMCDCNKGWAGAACNIPEGWLCAAAHYNAGDGCDCG CGLYDPDCGALDPLVVACTRSKSGGGLLYDGAMGRLFSGDCVYCPLPPVIAEQPKLPN FNWEHNTLDQSCPDVFQCPQGTQCSPTGLCTKVSDAAPPDFTLRQGCVVSTDCPAATV CNAQQFCQAPSDYALHLTSATTAGVCSFYGIPPNTDFTIELHLQVLTTPQGTDVVLTY PGLTITQSSSLTFTVGTSPPWNSKWNVADGLWHSIVWVWDNAAGTMSLFEVTPGTTAA AVGPVASTSGIPPGVVLAPDQNFTLGPLDGALSSLRIWTQVRPPTSFFQPSTDRAHLV ADYRFMEGSGRDLSPNQNDLTDPSPNLLPFGSYPPQSYSCVASPLDISTGLAVGAIVS VTAASTKAWTVGIFSAADVNLIVVTGTTNLVEIAVDGSTITSMAVPGVTAKAALSIRL TRVTTTAMEVCINDVFCDTVTMSTTAMAYVTVNAAPRNGALGGLQSPCLVLVDSLEAL APLVSSGPTATVDNAQCTFPFAMSIRNCNYFAKYLATNSYNAATFSPLAQAYAIAQLD LEDQACQCDAMPTWLSNFKVNQVDSATPTVTATVDYVKIKTTTDSTAAGAVECAAGCY MLEVVGHRRLDGPLPPPPPPPSPPGPPSATPAPPSPTPPTTAGPTPQPTYPSGNLARK YNVKRDFAFVESGGAWSVLNATDDGVVGTETCLVTGPDTSQVNQALTAFQCQTSGTTT LDAAPPPLAYCILNNTKATCQSDNHGCSLPNGSTTLTSLAGSFSDNYRASITAGVHVC TYIVQPAVPPHLRRFANLSYTIQTADLSANDALVVTDANARPLLFPISGPVAFPDLPI QSVVPGTQATFTLRTYGDKSSVSNDGFVVEFDTVYTFADVATSHFCNATRSVVPVTDV TVFPTSSFSPSSMAFPTLGDCTYVMQAPNATFSSIWLSFLDFTMASPSDRIEVYGDNM TLLASVTNTTFASPHYGVVFNGLADYVLSSYPLPILPASILFWIQVPATLKKDCSVAS SCINNVAKRMKVLGTEFTPASPSCARFNVELDVDTGYLSMYLNGATFVLEQDVRQGTW FHIAFVFRELDNDMLGYVNGARVALSTASNYDPLLGPCPSNVLFLGGQPSLPDDRNVL FNGMLRHIVLFTEPKTIYQIGRQMTRKCDATDPTLLLCYAFTTTDTSNVVDDSSVALF GRFHGTTFSTQAPLWLSSLLYKTFTSASAHLVLRFVSSAPNSTFRFIATPKACPVCGD HGVCRRGQCRCDVGYKGPACNVKVDACEPNLVLPSTNGVLLFPSTVQAPDQFVPPFPI DGYPAALDCSWHLRKSSSKMVLLFTELALDVGDSLAVYEGRRVTPTYYATHNLTADLA MTRASYFSRGQYAYTQVIFKNVSSVAATAVLWPVVAAPQPGTCSKSFHIVQFRRGSVC VDGSSVGLNRSWAAQLVSSYWWLQSPEAFSTQITPLQLVFNDYATDTANVTVEYSKLL LGQGKVTHPLPAMFHFRRRTQPFDTCQDGRDVGLETSATIVTATGLHKFTRPNISSGW TRQANVVDPPVFQYAGAWSLDMTKPFSGLFRTPGFVAQVGAAPFTIVVHTTVVLSADV QYLFAQEENNANSIFLKISESRRGLGQWTFGAYASNDRPTAASPASFSRDTVMLAITV NNGVVSYFVNGLLFGIDDTNTAYQACVDQCNADGCDSSAWECRSQVPVGAFNDPSRLV LGGRFQGATVLNAWKGQIFQLTVYDRELSDAVIASLYTGIDAVSTVVSKTVVNSLWSP TIAEVSTIEVLSVPVGVTRVSSTLTRRWQLRRYGCQAPMPSLEPSRLAVLLNVSSLAT VVYNALDDTAALVSWSTPTSPSTLVQTSLVRSKLYGFNLTTSLIVDLLVQYGVATDNF PYIVTSVYVNAISATSASIGFHFTDAQRNSVDATATLFRANNTWHPPDWVHRLVVPIY GEVPPSKCRDDRNNSVAITYTSGVLSDGGATESAIVAPNTQCSWLLVAPAGESIFISF TYFHVECVEGEVTIDDMDAHTSTPLCGFQGGYSNTFGANVRVVFRIGSPPTGGPGNHP LPMTSTGFFGMYVFSNDNTNLNTVEVPVAYTPWTIVRPAEDDVVVAGSTKCQLDTTDE SVLNPWQIASSLIVPYLDDICYQSQSNAADFEWEVTSHDSSAGDTTTCKDNNWTTDES VPWSAVAIDIVGGTDRYVDPPSSVYHAPAFTYTKSNQSLATTYISERNALEFRVQSPL GGRGRVMIEYYMPQTFYVAPASYQGVDGSNGDGSREKPYTYSFEYLVTNVLASGDMML LYPGRYEGPGYCNLVMTKAVVIESISGAKWTTLDCNGLSRGWQLKHASGITIVKGLTF TRATVSTSPFTGAAVFASGDVHIEACSFDNNVHRAQGTLAFVSPSVSTVRNCSFTSNV GLSGAAIAVLSASASLDSIQAVDNYATVSGALFVSTYVEGTSMALSSPSRVTMIKSVF VRNKGLKEGAVTITRASVVSMTSNQFTATLGPAIAVDASTLTFDHNLVQSSLGSGIVA TNGAVVAATYSTFASNSAKNGAALSLDTSAYQGRSNMYQGNSATVAGGAVFGQACQYT ELDSLFVSNVVGNASLGGGALAFTSCNSAVDISTVQVLVQRCTFENNSASFGGAIHLR DVHASIVANQFVGNAAGRFGGAIRVADCMSQRDEVAVNMAGNAFERNVGARGAAVYVE TSDVLRLDTNSFIKNAAASFGGAVALVSATRVLILSSMFLRCVASGGGAIYATAESSV DISNSGFEMCSSRSNGGSLYVDNTQLGLTNVWVQGGMASGNGGAIVLMSQGTSIRATN LTISSTSANKGGAFYLIDCSLPPGQVSDVSIVNTSAVTMGGAFYAVLVSMELSRLTTT DTTAASGGMMTLEDSTATLVDSTVAHSTALKNGGAFYVIISTLYLITSLLADNQAINY GGSVYGFASQVTMADSALERSASEFGGGVYVSSSTLGIARSTISSNMADNGGAFYADL SDVHVDESTFDANAATTGGGAAYISSNVAVLTTSVFTNNMANQGGALCLSQVTQVTLD ACTFVSNSVANADTTSLPLLGGAVYIDRVDETSTVANCVFQNNSADSLGGAVYAAAST PLLNPPSLLVTNSTFQSNRADSSGGAMFLDGFQTVFDSTSFALNMATRGGGGAIFWQG NTEPVGLPRQSYWANDAVYGPDFASVPVALRPLYTPPPSAVAGQLGGEGSAQPFVGSF VVHVVDKYLQTVATENSIQVTLESTTAGAFVTGTAKVTVHDGVANFTKAGVQQMPGSN ATVAVSASGLVALTTVELHIRQCVRGEVTPIGVPQCVKCPFGQFSWNTTDTVCHQCPT GGVCGGGDSIDALDGFWRFENSTGVCTDPSYPYDGCRLGTCLDASCSGYTKGDVSATV RLDGPNHTMILTIRADTIEYRANDTLYVQGEELHVVATEKIGDSTASYQHQVLVTGNT LSTTGAVDIYKRGKEKCKAGYMGNLCFQCAPGYTRSGKTACTSCPANLTLTVVVLVLG VFGVAAVAIVLIIMTINKSRQKADLYSILTKIFTSYLQLVSLAGSFDLQWPQQVKAMF AGQSQISNPGDKLISIECLMDQYKRTMMVATPLSSLSNYYMQLLVFLSLPVCAVVFPM LFWRLRFRLASRRILRRDWGLALNAVLGRGTGSDAMIQNDELLDVLLAVKESPSDIVL DYVRSISHIGDEPQALSTVKASFLQATKDEMRDKTVLSIIVLMFLVHPGLSNQIFQLY TCTELGYNEHGDRLFFLNPDLDVQCYDATHYKWMLFVGIPGLVLYTLGIPYFAFHQLR RRRHELDQPRTKLQFGFLYDGYKLDHYYWEIWIMMRKILVSFISVFLKNWGTAPQALG ATGLVFVALWGHMETWPYEEACVNGLEQKALLACLFTLYMGLYLLQPEVTTVTRVVIG AFIITANGLFLVVFSRLMIVQVKQKAQNALAQMANQKYVSMAVKKIRNKSSASMPPRP PSSPNAHLRNSNDYVVAPDLSTDTHVQQKLDKTEI H257_11026 MAVPGVTAKAALSIRLTRVTTTAMEVCINDVFCDTVTMSTTAMA YVTVNAAPRNGALGGLQSPCLVLVDSLEALAPLVSSGPTATVDNAQCTFPFAMSIRNC NYFAKYLATNSYNAATFSPLAQAYAIAQLDLEDQACQCDAMPTWLSNFKVNQVDSATP TVTATVDYVKIKTTTDSTAAGAVECAAGCYMLEVVGHRRLDGPLPPPPPPPSPPGPPS ATPAPPSPTPPTTAGPTPQPTYPSGNLARKYNVKRDFAFVESGGAWSVLNATDDGVVG TETCLVTGPDTSQVNQALTAFQCQTSGTTTLDAAPPPLAYCILNNTKATCQSDNHGCS LPNGSTTLTSLAGSFSDNYRASITAGVHVCTYIVQPAVPPHLRRFANLSYTIQTADLS ANDALVVTDANARPLLFPISGPVAFPDLPIQSVVPGTQATFTLRTYGDKSSVSNDGFV VEFDTVYTFADVATSHFCNATRSVVPVTDVTVFPTSSFSPSSMAFPTLGDCTYVMQAP NATFSSIWLSFLDFTMASPSDRIEVYGDNMTLLASVTNTTFASPHYGVVFNGLADYVL SSYPLPILPASILFWIQVPATLKKDCSVASSCINNVAKRMKVLGTEFTPASPSCARFN VELDVDTGYLSMYLNGATFVLEQDVRQGTWFHIAFVFRELDNDMLGYVNGARVALSTA SNYDPLLGPCPSNVLFLGGQPSLPDDRNVLFNGMLRHIVLFTEPKTIYQIGRQMTRKC DATDPTLLLCYAFTTTDTSNVVDDSSVALFGRFHGTTFSTQAPLWLSSLLYKTFTSAS AHLVLRFVSSAPNSTFRFIATPKACPVCGDHGVCRRGQCRCDVGYKGPACNVKVDACE PNLVLPSTNGVLLFPSTVQAPDQFVPPFPIDGYPAALDCSWHLRKSSSKMVLLFTELA LDVGDSLAVYEGRRVTPTYYATHNLTADLAMTRASYFSRGQYAYTQVIFKNVSSVAAT AVLWPVVAAPQPGTCSKSFHIVQFRRGSVCVDGSSVGLNRSWAAQLVSSYWWLQSPEA FSTQITPLQLVFNDYATDTANVTVEYSKLLLGQGKVTHPLPAMFHFRRRTQPFDTCQD GRDVGLETSATIVTATGLHKFTRPNISSGWTRQANVVDPPVFQYAGAWSLDMTKPFSG LFRTPGFVAQVGAAPFTIVVHTTVVLSADVQYLFAQEENNANSIFLKISESRRGLGQW TFGAYASNDRPTAASPASFSRDTVMLAITVNNGVVSYFVNGLLFGIDDTNTAYQACVD QCNADGCDSSAWECRSQVPVGAFNDPSRLVLGGRFQGATVLNAWKGQIFQLTVYDREL SDAVIASLYTGIDAVSTVVSKTVVNSLWSPTIAEVSTIEVLSVPVGVTRVSSTLTRRW QLRRYGCQAPMPSLEPSRLAVLLNVSSLATVVYNALDDTAALVSWSTPTSPSTLVQTS LVRSKLYGFNLTTSLIVDLLVQYGVATDNFPYIVTSVYVNAISATSASIGFHFTDAQR NSVDATATLFRANNTWHPPDWVHRLVVPIYGEVPPSKCRDDRNNSVAITYTSGVLSDG GATESAIVAPNTQCSWLLVAPAGESIFISFTYFHVECVEGEVTIDDMDAHTSTPLCGF QGGYSNTFGANVRVVFRIGSPPTGGPGNHPLPMTSTGFFGMYVFSNDNTNLNTVEVPV AYTPWTIVRPAEDDVVVAGSTKCQLDTTDESVLNPWQIASSLIVPYLDDICYQSQSNA ADFEWEVTSHDSSAGDTTTCKDNNWTTDESVPWSAVAIDIVGGTDRYVDPPSSVYHAP AFTYTKSNQSLATTYISERNALEFRVQSPLGGRGRVMIEYYMPQTFYVAPASYQGVDG SNGDGSREKPYTYSFEYLVTNVLASGDMMLLYPGRYEGPGYCNLVMTKAVVIESISGA KWTTLDCNGLSRGWQLKHASGITIVKGLTFTRATVSTSPFTGAAVFASGDVHIEACSF DNNVHRAQGTLAFVSPSVSTVRNCSFTSNVGLSGAAIAVLSASASLDSIQAVDNYATV SGALFVSTYVEGTSMALSSPSRVTMIKSVFVRNKGLKEGAVTITRASVVSMTSNQFTA TLGPAIAVDASTLTFDHNLVQSSLGSGIVATNGAVVAATYSTFASNSAKNGAALSLDT SAYQGRSNMYQGNSATVAGGAVFGQACQYTELDSLFVSNVVGNASLGGGALAFTSCNS AVDISTVQVLVQRCTFENNSASFGGAIHLRDVHASIVANQFVGNAAGRFGGAIRVADC MSQRDEVAVNMAGNAFERNVGARGAAVYVETSDVLRLDTNSFIKNAAASFGGAVALVS ATRVLILSSMFLRCVASGGGAIYATAESSVDISNSGFEMCSSRSNGGSLYVDNTQLGL TNVWVQGGMASGNGGAIVLMSQGTSIRATNLTISSTSANKGGAFYLIDCSLPPGQVSD VSIVNTSAVTMGGAFYAVLVSMELSRLTTTDTTAASGGMMTLEDSTATLVDSTVAHST ALKNGGAFYVIISTLYLITSLLADNQAINYGGSVYGFASQVTMADSALERSASEFGGG VYVSSSTLGIARSTISSNMADNGGAFYADLSDVHVDESTFDANAATTGGGAAYISSNV AVLTTSVFTNNMANQGGALCLSQVTQVTLDACTFVSNSVANADTTSLPLLGGAVYIDR VDETSTVANCVFQNNSADSLGGAVYAAASTPLLNPPSLLVTNSTFQSNRADSSGGAMF LDGFQTVFDSTSFALNMATRGGGGAIFWQGNTEPVGLPRQSYWANDAVYGPDFASVPV ALRPLYTPPPSAVAGQLGGEGSAQPFVGSFVVHVVDKYLQTVATENSIQVTLESTTAG AFVTGTAKVTVHDGVANFTKAGVQQMPGSNATVAVSASGLVALTTVELHIRQCVRGEV TPIGVPQCVKCPFGQFSWNTTDTVCHQCPTGGVCGGGDSIDALDGFWRFENSTGVCTD PSYPYDGCRLGTCLDASCSGYTKGDVSATVRLDGPNHTMILTIRADTIEYRANDTLYV QGEELHVVATEKIGDSTASYQHQVLVTGNTLSTTGAVDIYKRGKEKCKAGYMGNLCFQ CAPGYTRSGKTACTSCPANLTLTVVVLVLGVFGVAAVAIVLIIMTINKSRQKADLYSI LTKIFTSYLQLVSLAGSFDLQWPQQVKAMFAGQSQISNPGDKLISIECLMDQYKRTMM VATPLSSLSNYYMQLLVFLSLPVCAVVFPMLFWRLRFRLASRRILRRDWGLALNAVLG RGTGSDAMIQNDELLDVLLAVKESPSDIVLDYVRSISHIGDEPQALSTVKASFLQATK DEMRDKTVLSIIVLMFLVHPGLSNQIFQLYTCTELGYNEHGDRLFFLNPDLDVQCYDA THYKWMLFVGIPGLVLYTLGIPYFAFHQLRRRRHELDQPRTKLQFGFLYDGYKLDHYY WEIWIMMRKILVSFISVFLKNWGTAPQALGATGLVFVALWGHMETWPYEEACVNGLEQ KALLACLFTLYMGLYLLQPEVTTVTRVVIGAFIITANGLFLVVFSRLMIVQVKQKAQN ALAQMANQKYVSMAVKKIRNKSSASMPPRPPSSPNAHLRNSNDYVVAPDLSTDTHVQQ KLDKTEI H257_11027 MLRRVVTAALPLRRWTSSRRCHGSVQQTPCGGASTDKSRDVCWK CGQPTDCCSFFCASCSHIQPLRAEGVCNYFKIFGIPESFAIDAKKVEQLYWSLQKKMH PDLYGSKSDVEKELSVVNSALVNQAYNLLKAPTSRANYLLHLHGIDALGDTTTFVDPA TLMAIMEAREEIEECTSMDELDRHKAHNANQIEACMQKLAEAFDSNQDFDTSKRLTVE LQYLVKLSEAILDKQDHLDQ H257_11027 MLRRVVTAALPLRRWTSSRRCHGSVQQTPCGGASTDKSRDVCWK CGQPTDCCSFFCASCSHIQPLRAEGVCNYFKIFGIPESFAIDAKKVEQLYWSLQKKMH PDLYGSKSDVEKELSVVNSALVNQAYNLLKAPTSRANYLLHLHGIDALGDTTTFVDPA TLMAIMEAR H257_11028 MGNAASDGSTSAASIFDAAQTSALDKIVSEQSYMMSDSVWVTFF TLDQPLLDMPPATLQQFFRPYAHNFARNTVRSGNFRMLIRHVTRSVRYLSPPRHHADT IVTTTTTVLATESFHVINVLCVVRHFIKHFIEHNCDVVTLFATEGNSTSSSNNDIAVD FLDALLLLLFDAPTEDTYDLHLEGINLLLVLASSTAYPSTSTSMHPTLLDVFMTHASA SDERGGGRPSQRADDLVKRLLLSYLDQLPAPRMESAAAIAATAALPPSTTSSWDNVVE FFSEIQVFPLADRSALLLLVLVTASNGGGGNPFRKALATLADRDESRMLAHSLPFSHV VAVLGRNIHIELHATLLYHALLLNPSFRDALCRPVDVDHFVPCVVYAKYILNKVWVQV LPLLEAIYIHTLSPPRLYTLLAVLLHLSENPPVVQTLHQSQVQDHQTWYQERYLCDLS AASVGVLVLCRVLKANLSVLHDSLVQSMAMALLWNVMQFAKNLHPTATQSLVKLLAHA AKKEQLLRPSPDEAAAYLATARDLLLCIQLGCTPRLLPANAQLMYSLLHASDVLNGLA MHPSEALRDDIAMVLGTVAYCRAMVDQGDDEGDGDDDGRMHDLTMEQVLERIQGGCKA LAHSVRLYVHAELSWLMGLVDMVDGQPRATRSVLSIRSARRKHHEERRWTHVLHAEAV AGDGAAYHRPRMEPRQDGVVVGVDVGNVHGRAQY H257_11028 MGNAASDGSTSAASIFDAAQTSALDKIVSEQSYMMSDSVWVTFF TLDQPLLDMPPATLQQFFRPYAHNFARNTVRSGNFRMLIRHVTRSVRYLSPPRHHADT IVTTTTTVLATESFHVINVLCVVRHFIKHFIEHNCDVVTLFATEGNSTSSSNNDIAVD FLDALLLLLFDAPTEDTYDLHLEGINLLLVLASSTAYPSTSTSMHPTLLDVFMTHASA SDERGGGRPSQRADDLVKRLLLSYLDQLPAPRMESAAAIAATAALPPSTTSSWDNVVE FFSEIQVFPLADRSALLLLVLVTASNGGGGNPFRKALATLADRDESRMLAHSLPFSHV VAVLGRNIHIELHATLLYHALLLNPSFRDALCRPVDVDHFVLPLLEAIYIHTLSPPRL YTLLAVLLHLSENPPVVQTLHQSQVQDHQTWYQERYLCDLSAASVGVLVLCRVLKANL SVLHDSLVQSMAMALLWNVMQFAKNLHPTATQSLVKLLAHAAKKEQLLRPSPDEAAAY LATARDLLLCIQLGCTPRLLPANAQLMYSLLHASDVLNGLAMHPSEALRDDIAMVLGT VAYCRAMVDQGDDEGDGDDDGRMHDLTMEQVLERIQGGCKALAHSVRLYVHAELSWLM GLVDMVDGQPRATRSVLSIRSARRKHHEERRWTHVLHAEAVAGDGAAYHRPRMEPRQD GVVVGVDVGNVHGRAQY H257_11028 MGNAASDGSTSAASIFDAAQTSALDKIVSEQSYMMSDSVWVTFF TLDQPLLDMPPATLQQFFRPYAHNFARNTVRSGNFRMLIRHVTRSVRYLSPPRHHADT IVTTTTTVLATESFHVINVLCVVRHFIKHFIEHNCDVVTLFATEGNSTSSSNNDIAVD FLDALLLLLFDAPTEDTYDLHLEGINLLLVLASSTAYPSTSTSMHPTLLDVFMTHASA SDERGGGRPSQRADDLVKRLLLSYLDQLPAPRMESAAAIAATAALPRKIWIRVLLVYI AFIYLPYLIHFIYFVSFIASTTSSWDNVVEFFSEIQVFPLADRSALLLLVLVTASNGG GGNPFRKALATLADRDESRMLAHSLPFSHVVAVLGRNIHIELHATLLYHALLLNPSFR DALCRPVDVDHFVPCVVYAKYILNKVWVQVLPLLEAIYIHTLSPPRLYTLLAVLLHLS ENPPVVQTLHQSQVQDHQTWYQERYLCDLSAASVGVLVLCRVLKANLSVLHDSLVQSM AMALLWNVMQFAKNLHPTATQSLVKLLAHAAKKEQLLRPSPDEAAAYLATARDLLLCI QLGCTPRLLPANAQLMYSLLHASDVLNGLAMHPSEALRDDIAMVLGTVAYCRAMVDQG DDEGDGDDDGRMHDLTMEQVLERIQGGCKALAHSTASQEQPEVYYRYEAQDESTMRRD DGRMFFMPRLWQETVRHTTDLAWSPDKMVLSSG H257_11028 MGNAASDGSTSAASIFDAAQTSALDKIVSEQSYMMSDSVWVTFF TLDQPLLDMPPATLQQFFRPYAHNFARNTVRSGNFRMLIRHVTRSVRYLSPPRHHADT IVTTTTTVLATESFHVINVLCVVRHFIKHFIEHNCDVVTLFATEGNSTSSSNNDIAVD FLDALLLLLFDAPTEDTYDLHLEGINLLLVLASSTAYPSTSTSMHPTLLDVFMTHASA SDERGGGRPSQRADDLVKRLLLSYLDQLPAPRMESAAAIAATAALPRKIWIRVLLVYI AFIYLPYLIHFIYFVSFIASTTSSWDNVVEFFSEIQVFPLADRSALLLLVLVTASNGG GGNPFRKALATLADRDESRMLAHSLPFSHVVAVLGRNIHIELHATLLYHALLLNPSFR DALCRPVDVDHFVLPLLEAIYIHTLSPPRLYTLLAVLLHLSENPPVVQTLHQSQVQDH QTWYQERYLCDLSAASVGVLVLCRVLKANLSVLHDSLVQSMAMALLWNVMQFAKNLHP TATQSLVKLLAHAAKKEQLLRPSPDEAAAYLATARDLLLCIQLGCTPRLLPANAQLMY SLLHASDVLNGLAMHPSEALRDDIAMVLGTVAYCRAMVDQGDDEGDGDDDGRMHDLTM EQVLERIQGGCKALAHSTASQEQPEVYYRYEAQDESTMRRDDGRMFFMPRLWQETVRH TTDLAWSPDKMVLSSG H257_11028 MGNAASDGSTSAASIFDAAQTSALDKIVSEQSYMMSDSVWVTFF TLDQPLLDMPPATLQQFFRPYAHNFARNTVRSGNFRMLIRHVTRSVRYLSPPRHHADT IVTTTTTVLATESFHVINVLCVVRHFIKHFIEHNCDVVTLFATEGNSTSSSNNDIAVD FLDALLLLLFDAPTEDTYDLHLEGINLLLVLASSTAYPSTSTSMHPTLLDVFMTHASA SDERGGGRPSQRADDLVKRLLLSYLDQLPAPRMESAAAIAATAALPPSTTSSWDNVVE FFSEIQVFPLADRSALLLLVLVTASNGGGGNPFRKALATLADRDESRMLAHSLPFSHV VAVLGRNIHIELHATLLYHALLLNPSFRDALCRPVDVDHFVPCVVYAKYILNKVWVQV LPLLEAIYIHTLSPPRLYTLLAVLLHLSENPPVVQTLHQSQVQDHQTWYQERYLCDLS AASVGVLVLCRVLKANLSVLHDSLVQSMAMALLWNVMQFAKNLHPTATQSLVKLLAHA AKKEQLLRPSPDEAAAYLATARDLLLCIQLGCTPRLLPANAQLMYSLLHASDVLNGLA MHPSEALRDDIAMVLGTVAYCRAMVDQGDDEGDGDDDGRMHDLTMEQVLERIQGGCKA LAHSTASQEQPEVYYRYEAQDESTMRRDDGRMFFMPRLWQETVRHTTDLAWSPDKMVL SSG H257_11028 MGNAASDGSTSAASIFDAAQTSALDKIVSEQSYMMSDSVWVTFF TLDQPLLDMPPATLQQFFRPYAHNFARNTVRSGNFRMLIRHVTRSVRYLSPPRHHADT IVTTTTTVLATESFHVINVLCVVRHFIKHFIEHNCDVVTLFATEGNSTSSSNNDIAVD FLDALLLLLFDAPTEDTYDLHLEGINLLLVLASSTAYPSTSTSMHPTLLDVFMTHASA SDERGGGRPSQRADDLVKRLLLSYLDQLPAPRMESAAAIAATAALPPSTTSSWDNVVE FFSEIQVFPLADRSALLLLVLVTASNGGGGNPFRKALATLADRDESRMLAHSLPFSHV VAVLGRNIHIELHATLLYHALLLNPSFRDALCRPVDVDHFVLPLLEAIYIHTLSPPRL YTLLAVLLHLSENPPVVQTLHQSQVQDHQTWYQERYLCDLSAASVGVLVLCRVLKANL SVLHDSLVQSMAMALLWNVMQFAKNLHPTATQSLVKLLAHAAKKEQLLRPSPDEAAAY LATARDLLLCIQLGCTPRLLPANAQLMYSLLHASDVLNGLAMHPSEALRDDIAMVLGT VAYCRAMVDQGDDEGDGDDDGRMHDLTMEQVLERIQGGCKALAHSTASQEQPEVYYRY EAQDESTMRRDDGRMFFMPRLWQETVRHTTDLAWSPDKMVLSSG H257_11028 MGNAASDGSTSAASIFDAAQTSALDKIVSEQSYMMSDSVWVTFF TLDQPLLDMPPATLQQFFRPYAHNFARNTVRSGNFRMLIRHVTRSVRYLSPPRHHADT IVTTTTTVLATESFHVINVLCVVRHFIKHFIEHNCDVVTLFATEGNSTSSSNNDIAVD FLDALLLLLFDAPTEDTYDLHLEGINLLLVLASSTAYPSTSTSMHPTLLDVFMTHASA SDERGGGRPSQRADDLVKRLLLSYLDQLPAPRMESAAAIAATAALPRKIWIRVLLVYI AFIYLPYLIHFIYFVSFIASTTSSWDNVVEFFSEIQVFPLADRSALLLLVLVTASNGG GGNPFRKALATLADRDESRMLAHSLPFSHVVAVLGRNIHIELHATLLYHALLLNPSFR DALCRPVDVDHFVPCVVYAKYILNKVWVQVLPLLEAIYIHTLSPPRLYTLLAVLLHLS ENPPVVQTLHQSQVQDHQTWYQERYLCDLSAASVGVLVLCRVLKANLSVLHDSLVQSM AMALLWNVMQFAKNLHPTATQSLVKLLAHAAKKEQLLRPSPDEAAAYLATARDLLLCI QLGCTPRLLPANAQLVLSFCPKCG H257_11028 MGNAASDGSTSAASIFDAAQTSALDKIVSEQSYMMSDSVWVTFF TLDQPLLDMPPATLQQFFRPYAHNFARNTVRSGNFRMLIRHVTRSVRYLSPPRHHADT IVTTTTTVLATESFHVINVLCVVRHFIKHFIEHNCDVVTLFATEGNSTSSSNNDIAVD FLDALLLLLFDAPTEDTYDLHLEGINLLLVLASSTAYPSTSTSMHPTLLDVFMTHASA SDERGGGRPSQRADDLVKRLLLSYLDQLPAPRMESAAAIAATAALPRKIWIRVLLVYI AFIYLPYLIHFIYFVSFIASTTSSWDNVVEFFSEIQVFPLADRSALLLLVLVTASNGG GGNPFRKALATLADRDESRMLAHSLPFSHVVAVLGRNIHIELHATLLYHALLLNPSFR DALCRPVDVDHFVLPLLEAIYIHTLSPPRLYTLLAVLLHLSENPPVVQTLHQSQVQDH QTWYQERYLCDLSAASVGVLVLCRVLKANLSVLHDSLVQSMAMALLWNVMQFAKNLHP TATQSLVKLLAHAAKKEQLLRPSPDEAAAYLATARDLLLCIQLGCTPRLLPANAQLVL SFCPKCG H257_11028 MGNAASDGSTSAASIFDAAQTSALDKIVSEQSYMMSDSVWVTFF TLDQPLLDMPPATLQQFFRPYAHNFARNTVRSGNFRMLIRHVTRSVRYLSPPRHHADT IVTTTTTVLATESFHVINVLCVVRHFIKHFIEHNCDVVTLFATEGNSTSSSNNDIAVD FLDALLLLLFDAPTEDTYDLHLEGINLLLVLASSTAYPSTSTSMHPTLLDVFMTHASA SDERGGGRPSQRADDLVKRLLLSYLDQLPAPRMESAAAIAATAALPPSTTSSWDNVVE FFSEIQVFPLADRSALLLLVLVTASNGGGGNPFRKALATLADRDESRMLAHSLPFSHV VAVLGRNIHIELHATLLYHALLLNPSFRDALCRPVDVDHFVPCVVYAKYILNKVWVQV LPLLEAIYIHTLSPPRLYTLLAVLLHLSENPPVVQTLHQSQVQDHQTWYQERYLCDLS AASVGVLVLCRVLKANLSVLHDSLVQSMAMALLWNVMQFAKNLHPTATQSLVKLLAHA AKKEQLLRPSPDEAAAYLATARDLLLCIQLGCTPRLLPANAQLVLSFCPKCG H257_11028 MGNAASDGSTSAASIFDAAQTSALDKIVSEQSYMMSDSVWVTFF TLDQPLLDMPPATLQQFFRPYAHNFARNTVRSGNFRMLIRHVTRSVRYLSPPRHHADT IVTTTTTVLATESFHVINVLCVVRHFIKHFIEHNCDVVTLFATEGNSTSSSNNDIAVD FLDALLLLLFDAPTEDTYDLHLEGINLLLVLASSTAYPSTSTSMHPTLLDVFMTHASA SDERGGGRPSQRADDLVKRLLLSYLDQLPAPRMESAAAIAATAALPPSTTSSWDNVVE FFSEIQVFPLADRSALLLLVLVTASNGGGGNPFRKALATLADRDESRMLAHSLPFSHV VAVLGRNIHIELHATLLYHALLLNPSFRDALCRPVDVDHFVLPLLEAIYIHTLSPPRL YTLLAVLLHLSENPPVVQTLHQSQVQDHQTWYQERYLCDLSAASVGVLVLCRVLKANL SVLHDSLVQSMAMALLWNVMQFAKNLHPTATQSLVKLLAHAAKKEQLLRPSPDEAAAY LATARDLLLCIQLGCTPRLLPANAQLVLSFCPKCG H257_11028 MGNAASDGSTSAASIFDAAQTSALDKIVSEQSYMMSDSVWVTFF TLDQPLLDMPPATLQQFFRPYAHNFARNTVRSGNFRMLIRHVTRSVRYLSPPRHHADT IVTTTTTVLATESFHVINVLCVVRHFIKHFIEHNCDVVTLFATEGNSTSSSNNDIAVD FLDALLLLLFDAPTEDTYDLHLEGINLLLVLASSTAYPSTSTSMHPTLLDVFMTHASA SDERGGGRPSQRADDLVKRLLLSYLDQLPAPRMESAAAIAATAALPRKIWIRVLLVYI AFIYLPYLIHFIYFVSFIASTTSSWDNVVEFFSEIQVFPLADRSALLLLVLVTASNGG GGNPFRKALATLADRDESRMLAHSLPFSHVVAVLGRNIHIELHATLLYHALLLNPSFR DALCRPVDVDHFVPCVVYAKYILNKVWVQVLPLLEAIYIHTLSPPRLYTLLAVLLHLS ENPPVVQTLHQSQVHTENSVIFDLHLGAGPPNVVPRAVPLRFVGSECGSSRAVSCAQS QLECLA H257_11028 MGNAASDGSTSAASIFDAAQTSALDKIVSEQSYMMSDSVWVTFF TLDQPLLDMPPATLQQFFRPYAHNFARNTVRSGNFRMLIRHVTRSVRYLSPPRHHADT IVTTTTTVLATESFHVINVLCVVRHFIKHFIEHNCDVVTLFATEGNSTSSSNNDIAVD FLDALLLLLFDAPTEDTYDLHLEGINLLLVLASSTAYPSTSTSMHPTLLDVFMTHASA SDERGGGRPSQRADDLVKRLLLSYLDQLPAPRMESAAAIAATAALPPSTTSSWDNVVE FFSEIQVFPLADRSALLLLVLVTASNGGGGNPFRKALATLADRDESRMLAHSLPFSHV VAVLGRNIHIELHATLLYHALLLNPSFRDALCRPVDVDHFVPCVVYAKYILNKVWVQV LPLLEAIYIHTLSPPRLYTLLAVLLHLSENPPVVQTLHQSQVHTENSVIFDLHLGAGP PNVVPRAVPLRFVGSECGSSRAVSCAQSQLECLA H257_11028 MGNAASDGSTSAASIFDAAQTSALDKIVSEQSYMMSDSVWVTFF TLDQPLLDMPPATLQQFFRPYAHNFARNTVRSGNFRMLIRHVTRSVRYLSPPRHHADT IVTTTTTVLATESFHVINVLCVVRHFIKHFIEHNCDVVTLFATEGNSTSSSNNDIAVD FLDALLLLLFDAPTEDTYDLHLEGINLLLVLASSTAYPSTSTSMHPTLLDVFMTHASA SDERGGGRPSQRADDLVKRLLLSYLDQLPAPRMESAAAIAATAALPPSTTSSWDNVVE FFSEIQVFPLADRSALLLLVLVTASNGGGGNPFRKALATLADRDESRMLAHSLPFSHV VAVLGRNIHIELHATLLYHALLLNPSFRDALCRPVDVDHFVPCVVYAKYILNKVWVQV LPLLEAIYIHTLSPPRLYTLLAVLLHLSENPPVVQTLHQSQVHTENSVIFDLHLGAGP PNVVPRAVPLRFVGSECGSSRAVSCAQSQLECLA H257_11028 MGNAASDGSTSAASIFDAAQTSALDKIVSEQSYMMSDSVWVTFF TLDQPLLDMPPATLQQFFRPYAHNFARNTVRSGNFRMLIRHVTRSVRYLSPPRHHADT IVTTTTTVLATESFHVINVLCVVRHFIKHFIEHNCDVVTLFATEGNSTSSSNNDIAVD FLDALLLLLFDAPTEDTYDLHLEGINLLLVLASSTAYPSTSTSMHPTLLDVFMTHASA SDERGGGRPSQRADDLVKRLLLSYLDQLPAPRMESAAAIAATAALPPSTTSSWDNVVE FFSEIQVFPLADRSALLLLVLVTASNGGGGNPFRKALATLADRDESRMLAHSLPFSHV VAVLGRNIHIELHATLLYHALLLNPSFRDALCRPVDVDHFVPCVVYAKYILNKVWVQV LPLLEAIYIHTLSPPRLYTLLAVLLHLSENPPVVQTLHQSQVHTENSVIFDLHLGAGP PNVVPRAVPLRFVGSECGSSRAVSCAQSQLECLA H257_11029 MKDDDVLEDIDFDDLDDHLEQFQQDDFIKEALAKGVDLRQYAQQ IDRELREVEMESITQYVAKSADIVDLYNQVQSCDDILARMQEMLLGFQADLGGISDEI RNLQNESIGMNVKLRNRREAEEKLRLFLDQVYIPPTLIEGIDDGDVNDAYISYLMILH SKLAYAKLSQAAPTSLGIVPATAKAVQQVDGDLEKLKLRACAQIREWLLGRINDLKKP KTNVQMVQQTTLVKMKYVLFFLNDHAPSVASELTETYADTMSRLLVGVFKAYHTALFK FVDESAGRTDVVAVEEASLKASMFSSRVKPTNAFALGDRDKVVENAVAPPILVHMAQA EGGRWPYEALFRSTQVHLVNAATSEYLFLLDFFKAAEGSNPVRVRELFLRIFAKTLSL GLEQLENYLCTCYDAIGLLLMVRLTLQHQQVLQQRRVPVLETYLNRVLLLLWPRVYAV LELNVQSVKTAKPKKLGNVELHPHYVTRRYAEFVASVLALVPDAAAAAGVYGHMAALR GALVELLDKLAENAHKTAKDKIVFLINNYDLVVSVVQERKIESDELFDELLTNSRDKF VEEELLSHYGPLIGFVQQAEAKQRTIGGGDATIPLDSAKVERIVKDFNGQWKRGIEHI NANVMKFFSNFRNGMEILKQVLTQLLLYYTRFVDLVKKSWTRPPSFSNDIVTTQEILY EIKKYSRSF H257_11030 MTGQALEAAIVAKGNEIRQLKGEKKDFQTQLGELKKLKDEFKTA TGQEYKAPAAAPKPAKAAATDAVKADGEKSKSQLKKEKKLAEKAAASASAAPKDKPAS KKPAKPSATAKPVDATSAIPSGANLVMRQAKYAHHTSLHGASSGQTVTPWEVEAEGGV DYEKLIDTFGCSTLTEDLVARVERLTGVPAHRYLRRGYFFAHREFNEILDLYENGQKF YLYTGRGPSSGSLHLGHLIPFTFTAWLQKVFDVPLVIQLTDDEKFLFKDQTLEESEVM AWENSKDIIACGFDPAKTFIFRNADYIGAMYPEILKIQKCVTYNQVRGIFGFSGSDNI GKSAFPAVQACPSFPQTFPVPFSGRTDLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPT LIFSKFFPALQGASTKMSGSNASATIYISDADDVVADKIRRFAFSGGGETKADHEKYG ANLDVDIPFQYLTFMLEDDAELAHLAEEYGSGRMMSGVVKDRLIQVMTETNAAFQAKR AAITDDQIREFMRVRPLEF H257_11030 MTGQALEAAIVAKGNEIRQLKGEKKDFQTQLGELKKLKDEFKTA TGQEYKAPAAAPKPAKAAATDAVKADGEKSKSQLKKEKKLAEKAAASASAAPKDKPAS KKPAKPSATAKPVDATSAIPSGANLVMRQAKYAHHTSLHGASSGQTVTPWEVEAEGGV DYEKLIDTFGCSTLTEDLVARVERLTGVPAHRYLRRGYFFAHREFNEILDLYENGQKF YLYTGRGPSSGSLHLGHLIPFTFTAWLQKVFDVPLVIQLTDDEKFLFKDQTLEESEVM AWENSKDIIACGFDPAKTFIFRNADYIGAMYPEILKIQKCVTYNQVRGIFGFSGSDNI GKSAFPAVQACPSFPQTFPVPFSGRTDLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPT LIFSKFFPALQGIYMVVYMMIIDDMWLGVQARPRK H257_11031 MTFLPFSQCDGDNHAPLWPEPPRDARVDRAYAACVPAHLPALHH GSARRQPDPRPRAERAVGQPRQVRVAGRALGRPRRQGAEQRHVVWGRRQQGRVGMHGG RPIDARDRGHGGVARGAAVAAALVRRVHVRVHGPRPLRPAQALPSRRRRHHRQRRDRL APRRHRARVRPGRRAHGVSIRYDGQPHRRDPRDAQRARVRAREHHGVHVQEGIVHVRA VPRRGRVDVQGRPPAVPAPRGQPLARAAGVRPRRGRRSRHGDRQAESVLHRHRPGAER QEARARGVLRRVGRVQDAQGLRRLDGLHGRRGAGGPLESRARRRQHPHHVLYAVHPEP RRRLVIKV H257_11032 MPAAPQTTKHNRLRTCSALPAESICQRFAETGACKFGYRCRNLH IIDGILNGDMIHPTTTLPAVPASSNQDENNVPSPPQFARVSPACPPIAAESSNCVEWP LPRPPPSSTKQLAASRNPRLSKPRLSGDDAANKGHAAASDQLLPSNVTCDAVNNQGDG QQVLAASLLPLPPPQEPPVFLGYNMHRWLAHEIEALVHQVDVKMHTARDKQRRATMKL SGLVRELWPHVTVEVYGSTHTQLCLPHSDVDCVLVSSKTLTTSPVDMLEALMERLEAC AWARHVELLRSARIPILKLHFVKCRHPVKMDVTCGHSPGHSGMEARGVVDQYRMAMPA LRPLVIVLKSHLHAKGLNASYSGGLSSYALVLMVVRFLQFQGDVHTAFKEELEPHTDE GRQPCVIYTFFRTGMVVWQGTIGMLLLQFLDLHIHFDFHRYGMSIANGGEYFEIDPAA TAVSFPPVSPMVYIMDPLRYNHNIGNSFRIHEIVHAWRVWRDQILQRVSLKETLT H257_11033 MATLPTTREATKEINGVETTLIVSEFSDRIFVAVTQLGTFGTIL EATSKENMNGNLLVDISVRLGKRDDPLLLVYARQFLEHFGIPRGKSIVAAVGLKDRSS ATFEVVMASLKALLP H257_11034 MGKHIDEEVNHDGKGPNRITTCKHCGGKMSSQAKERWRMHMRQC VSAPDDVRNAYPTLKRRTFHSSHDGTNATDSHAVSPHVPFKKQTAPPITQPRPTPSVA LPPQLAHKRPSHTPTAPSTSTTTTTSLHSGLSHPAMRAWTEPTLHASQLIYPLFITGR PDDNPIRGLEPNVQWGNRDKYASLVAHLEGLVAKGLSSVMLFGVVDNKDASGCMADDP STPVIEVMAALRAALPSLLLSCDVCMCEYTDHGHCGLLKPSPHGGEDIIDNAATVSRL GAIALAYARAGAHMVCPSDMMDNRIGVIRATLNAHGFAHVSIMAYTSKKASCMYAPFR DAVESTFKGDRQRYQHPVGSLSHALLAYDRDVAEGADTVIVKPSLFYTDIVRALSDKK LVPVACYVVSGEYKMLKDYGDSTGSMDAVVREAHLSLVRAGANILITYFTPFILDHVA GW H257_11035 MRPATSSAPLAVLALLLQPHAVHSIRQLQLHRSNAYDVSIKRNL HTNERHRRLDDGLYEVVPLNLGMGTHYTWIYAGTPPQRASVIVDTGSHQLAFPCKGCT GCGKHTDVPFDASKSSSLVYPTCEDVGKDTAITCASCTKNNTCEVNQMYSEGSSWKAV VVEDNVWLGDKAESDTDNNFSTRFRFGCQYHETGLFTTQVADGIMGLSTKAPTVIKKL FQDDKISQNVFSLCFTSTGGAMTVGSHHSPPRHEEDMKFAKVSFDATGWYALDVRGIR IHGEDIHIDSPRLLNGGRKVVIDSGTTDSYLPDALVGEFNRVFQKVMGKKYVTGGMDG YTEDEVELLPTIEYVLAGVDEDDVVMTIPPSRYLKKKANGRFYSSILLDEPGGGIIGA NLMLNHDFVFDADRNRVGFAKANCEFEEVVAATDASSSLGSEEDYPLVLTLGGVAIAL LFIVGMAVAVCKSKDPRWTQVNLNELDVDEEAGLVVSSVTEKEDGAASVTEEGEASYD TPPDEDDEFFNAQHEDAVDGADKAELHRMDL H257_11035 MRPATSSAPLAVLALLLQPHAVHSIRQLQLHRSNAYDVSIKRNL HTNERHRRLDDGLYEVVPLNLGMGTHYTWIYAGTPPQRASVIVDTGSHQLAFPCKGCT GCGKHTDVPFDASKSSSLVYPTCEDVGKDTAITCASCTKNNTCEVNQMYSEGSSWKAV VVEDNVWLGDKAESDTDNNFSTRFRFGCQYHETGLFTTQVADGIMGLSTKAPTVIKKL FQDDKISQNVFSLCFTSTGGAMTVGSHHSPPRHEEDMKFAKVSFDATGWYALDVRGIR IHGEDIHIDSPRLLNGGRKVVIDSGTTDSYLPDALVGEFNRVFQKVMGKKYVTGGMDG YTEDEVELLPTIEYVLAGVDEDDVVMTIPPSRYLKKKANGRFYSSILLDEPGGGIIGA NLMLNHDFVFDADRNRVGFAKANCGRKRHRWWWWLMAFGRV H257_11036 MTNVNVVGTSSGDTQWTAMEPPSDPKYMRDGVTSFCQSFANDTS VTIVAPEDTLIFEQQRSPIQGMNTTVTWTYDSPSINTSDMRYSTCVRDTCAPFLDAKN SSSTIQEVSLPGQGIFPLHLRLLWTTPSTVVQCEGIVSVDFSVPTTSDVGNNHVITIV VVITVVMGFVVLATHIYRRRRSFRSKPTDTTISVDLPPSMSLAPPAPSSTWFESIYHN DRALPASILHDDRFTRAILRSSVPSDHDQSFHSDLLDSSVASSSSMNTPWHDCGHINS SNSSTVYPMSD H257_11037 MAEATAAAPVERPGRQGTNRTAHIWAHFVKRRDLDKYFNNWRVE CRHCRDAYDKRASDDDVSPPEIVISTTVKMMAHLRWCVHARKVIGNDMPPSPVKVVAP KRKSTSSNGHDGSPSGTKKRPTLVKIQPSGEPVAKEASTPATAYSTAYIWEHFIKRTD MAKYYNNWYVECKHCHDAAAAAGTTPPKVFVSAMARMKTHLFKCAHVPADALTAFRKA DADMAPLQPRRRAKGPISISSHMVSALAVRTQHPTRANQLFHLALPLEEAIDTTTLEW LTQSSAERPAWFALSKVQATVATSDVLCQVDLVCSQDLYNLPPRSLDKIQAALMSTDQ PVASPQSSIPVVDSDATTSFLQAPCKAELAVDKEEDNSMDVENPIQDMHTRKRPWPTV LWTHFIPRAPRLGWYLGVECRHCHVGSSRLDPHSLKQEKEDLLVSSPRRMRRHMQTCR GLFKDEEVADPPPPSPEEDVAGGGLLHREEDPIEALEYAAMEYVVVRNEHDPRGYSLA QLGYDVTASMLHGAEDATVELTMLQEDEEVQQQQGKVFTFGQDERRPVKEIVCSVELH LLTTSPPHRLELPPDQLLKVQSKVG H257_11037 MAEATAAAPVERPGRQGTNRTAHIWAHFVKRRDLDKYFNNWRVE CRHCRDAYDKRASDDDVSPPEIVISTTVKMMAHLRWCVHARKVIGNDMPPSPVKVVAP KRKSTSSNGHDGSPSGTKKRPTLVKIQPSGEPVAKEASTPATAYSTAYIWEHFIKRTD MAKYYNNWYVECKHCHDAAAAAGTTPPKVFVSAMARMKTHLFKCAHVPADALTAFRKA DADMAPLQPRRRAKGPISISSHMVSALAVRTQHPTRANQLFHLALPLEEAIDTTTLEW LTQSSAERPAWFALSKVQATVATSDVLCQVDLVCSQDLYNLPPRSLDKIQAALMSTDQ PVASPQSSIPVVDSDATTSFLQAPCKAELAVDKEEDNSMDVENPIQDMHTRKRPWPTV LWTHFIPRAPRLGWYLGVECRHCHVGSSRLDPHSLKQEKEDLLVSSPRRMRRHMQTCR GLFKDEEVADPPPPSPEEDVAGGGLLHREEDPIEALEYAAMEYVVVRNEHDPRGYSLA QLGYDVTASMLHGAEDATVELTMLQEDEEVQQQQGKVFTFGQDERRPVKEIVCSVELH LLTTSPPHRLELPPDQLLKVPNVVDVVSVVMA H257_11037 MAEATAAAPVERPGRQGTNRTAHIWAHFVKRRDLDKYFNNWRVE CRHCRDAYDKRASDDDVSPPEIVISTTVKMMAHLRWCVHARKVIGNDMPPSPVKVVAP KRKSTSSNGHDGSPSGTKKRPTLVKIQPSGEPVAKEASTPATAYSTAYIWEHFIKRTD MAKYYNNWYVECKHCHDAAAAAGTTPPKVFVSAMARMKTHLFKCAHVPADALTAFRKA DADMAPLQPRRRAKGPISISSHMVSALAVRTQHPTRANQLFHLALPLEEAIDTTTLEW LTQSSAERPAWFALSKVQATVATSDVLCQVDLVCSQDLYNLPPRSLDKIQAALMSTDQ PVASPQSSIPVVDSDATTSFLQAPCKAELAVDKEEDNSMDVENPIQDMHTRKRPWPTV LWTHFIPRAPRLGWYLGVECRHCHVGSSRLDPHSLKQEKEDLLVSSPRRMRRHMQTCR GLFKDEEVADPPPPSPEEDVAGGGLLHREEDPIEALEYAAMEYVVVRNEHDPRGYSLA QLGYDVTASMLHGAEDATVELTMLRM H257_11037 MAEATAAAPVERPGRQGTNRTAHIWAHFVKRRDLDKYFNNWRVE CRHCRDAYDKRASDDDVSPPEIVISTTVKMMAHLRWCVHARKVIGNDMPPSPVKVVAP KRKSTSSNGHDGSPSGTKKRPTLVKIQPSGEPVAKEASTPATAYSTAYIWEHFIKRTD MAKYYNNWYVECKHCHDAAAAAGTTPPKVFVSAMARMKTHLFKCAHVPADALTAFRKA DADMAPLQPRRRAKGPISISSHMVSALAVRTQHPTRANQLFHLALPLEEAIDTTTLEW LTQSSAERPAWFALSKVQATVATSDVLCQVDLVCSQDLYNLPPRSLDKIQAALMSTDQ PVASPQSSIPVVDSDATTSFLQAPCKAELAVDKEEDNSMDVENPIQDMHTRKRPWPTV LWTHFIPRAPRLGWYLGVECRHCHVGSSRLDPHSLKQEKEDLLVSSPRRMRRHMQTCR GLFKDEEVADPPPPSPEEDVAGGGLLHREEDPIEALEYAAMEYVVVRNEHDPRGYSLA QLGYSTHPEAY H257_11038 MAVAIGASSHRLLVGLTPHNVCVGFLIAMYIREYEACSMSMDVH ANDGINPHHALAVFLMEHTCGSRSSGPLTFAAFCAALDTINPTWSMEFQVVMRRLSTR SVHDLAECLVTLCLPGTDDVLVASTPFGRFARMLALAIHSAFFDGLCILRDHMQSFVA PSTPSVPTTAAPSSLLDTDHSSPIDDVHFRTALQTDPTSPRLLFARYVNFQRRREFLG ALDALHAYHNIALHVATDVPDMKRFGPHYASLNLAIFYWTFGHPAKATAALHEAVRVA QSARDNVCVAYALSYLLQWDCAPFDLQRALHCMSMADAAGLPRLSLLAKLTCIQHGSS APPSTPPSVQPLRSWLAVQACGTAANAAITTPPPSSLASGEMEPKQAKLEAWVHTQVQ IGLTQAAVWRRLGFRSMQRLALARVWVMLSPPHTAEHSVHDIALLLCHQADMQLAAPQ DGSSSATSPTTTSTTTTPTTWTNSTLYAPALRQLVASVLHLDEGTTSSTTSSAHKATS DVVWQDMVMQQTVVRICFEWALATMELRKAETYLHIWEALHSTLMPLGDDVELALAKA RLYRRQDRPQDAWKLLQGVPKVELPPYGRAQVMLQLSHVTLHADAPFNALPALMECLA LCEDIGSEVLMAQAKVLLARLYVAMERAEDAVALLEEICPYVLEHGGWKLQAEVGLEM AKAYFVLQEYDKCLVVLEPSKVAAVNAEDLLLGVEVGMMIARVYHMRQDWEARDRVAD ECLRWNESMEASREREAADVVSVLEGPHALLRVLSDRGM H257_11038 MAVAIGASSHRLLVGLTPHNVCVGFLIAMYIREYEACSMSMDVH ANDGINPHHALAVFLMEHTCGSRSSGPLTFAAFCAALDTINPTWSMEFQVVMRRLSTR SVHDLAECLVTLCLPGTDDVLVASTPFGRFARMLALAIHSAFFDGLCILRDHMQSFVA PSTPSVPTTAAPSSLLDTDHSSPIDDVHFRTALQTDPTSPRLLFARYVNFQRRREFLG ALDALHAYHNIALHVATDVPDMKRFGPHYASLNLAIFYWTFGHPAKATAALHEAVRVA QSARDNVCVAYALSYLLQWDCAPFDLQRALHCMSMADAAGLPRLSLLAKLTCIQHGSS APPSTPPSVQPLRSWLAVQACGTAANAAITTPPPSSLASGEMEPKQAKLEAWVHTQVQ IGLTQAAVWRRLGFRSMQRLALARVWVMLSPPHTAEHSVHDIALLLCHQADMQLAAPQ DGSSSATSPTTTSTTTTPTTWTNSTLYAPALRQLVASVLHLDEGTTSSTTSSAHKATS DVVWQDMVMQQTVVRICFEWALATMELRKAETYLHIWEALHSTLMPLGDDVELALAKA RLYRRQDRPQDAWKLLQGVPKVELPPYGRAQVMLQLSHVTLHADAPFNALPALMECLA LCEDIGSEVLMAQAKVLLARLYVAMERAEDAVALLEEICPYVLYMLVSKCRSSDGECL RA H257_11038 MAVAIGASSHRLLVGLTPHNVCVGFLIAMYIREYEACSMSMDVH ANDGINPHHALAVFLMEHTCGSRSSGPLTFAAFCAALDTINPTWSMEFQVVMRRLSTR SVHDLAECLVTLCLPGTDDVLVASTPFGRFARMLALAIHSAFFDGLCILRDHMQSFVA PSTPSVPTTAAPSSLLDTDHSSPIDDVHFRTALQTDPTSPRLLFARYVNFQRRREFLG ALDALHAYHNIALHVATDVPDMKRFGPHYASLNLAIFYWTFGHPAKATAALHEAVRVA QSARDNVCVAYALSYLLQWDCAPFDLQRALHCMSMADAAGLPRLSLLAKLTCIQHGSS APPSTPPSVQPLRSWLAVQACGTAANAAITTPPPSSLASGEMEPKQAKLEAWVHTQVQ IGLTQAAVWRRLGFRSMQRLALARVWVMLSPPHTAEHSVHDIALLLCHQADMQLAAPQ DGSSSATSPTTTSTTTTPTTWTNSTLYAPALRQLVASVLHLDEGTTSSTTSSAHKATS DVVWQDMVMQQTVVRICFEWALATMELRKAETYLHIWEALHSTLMPLGDDVELALAKA RLYRRQDRPQDAWKLLQGVPKVELPPYGRAQVMLQLSHVLCTE H257_11038 MAVAIGASSHRLLVGLTPHNVCVGFLIAMYIREYEACSMSMDVH ANDGINPHHALAVFLMEHTCGSRSSGPLTFAAFCAALDTINPTWSMEFQVVMRRLSTR SVHDLAECLVTLCLPGTDDVLVASTPFGRFARMLALAIHSAFFDGLCILRDHMQSFVA PSTPSVPTTAAPSSLLDTDHSSPIDDVHFRTALQTDPTSPRLLFARYVNFQRRREFLG ALDALHAYHNIALHVATDVPDMKRFGPHYASLNLAIFYWTFGHPAKATAALHEAVRVA QSARDNVCVAYALSYLLQWDCAPFDLQRALHCMSMADAAGLPRLSLLAKLTCIQHGSS APPSTPPSVQPLRSWLAVQACGTAANAAITTPPPSSLASGEMEPKQAKLEAWVHTQVQ IGLTQAAVWRRLGFRSMQRLALARVWVMLSPPHTAEHSVHDIALLLCHQADMQLAAPQ DGSSSATSPTTTSTTTTPTTWTNSTLYAPALRQLVASVLHLDEGTTSSTTSSAHKATS DVVWQDMVMQQTVVRICFEWALATMELRKAETYLHIWEALHSTLMPLGDDVELALAKA RLYRRQDRPQDAWKLLQGVPKVELPPYGRAQVMLQLSHVLCTE H257_11038 MAVAIGASSHRLLVGLTPHNVCVGFLIAMYIREYEACSMSMDVH ANDGINPHHALAVFLMEHTCGSRSSGPLTFAAFCAALDTINPTWSMEFQVVMRRLSTR SVHDLAECLVTLCLPGTDDVLVASTPFGRFARMLALAIHSAFFDGLCILRDHMQSFVA PSTPSVPTTAAPSSLLDTDHSSPIDDVHFRTALQTDPTSPRLLFARYVNFQRRREFLG ALDALHAYHNIALHVATDVPDMKRFGPHYASLNLAIFYWTFGHPAKATAALHEAVRVA QSARDNVCVAYALSYLLQWDCAPFDLQRALHCMSMADAAGLPRLSLLAKLTCIQHGSS APPSTPPSVQPLRSWLAVQACGTAANAAITTPPPSSLASGEMEPKQAKLEAWVHTQVQ IGLTQAAVWRRLGFRSMQRLALARVWVMLSPPHTAEHSVHDIALLLCHQADMQLAAPQ DGSSSATSPTTTSTTTTPTTWTNSTLYAPALRQLVASVLHLDEGTTSSTTSSAHKATS DVVWQDMVMQQTVVRICFEWALATMELRKAETYLHIWEALHSTLMPLGDDVELALAKG NPCRRVSS H257_11038 MAVAIGASSHRLLVGLTPHNVCVGFLIAMYIREYEACSMSMDVH ANDGINPHHALAVFLMEHTCGSRSSGPLTFAAFCAALDTINPTWSMEFQVVMRRLSTR SVHDLAECLVTLCLPGTDDVLVASTPFGRFARMLALAIHSAFFDGLCILRDHMQSFVA PSTPSVPTTAAPSSLLDTDHSSPIDDVHFRTALQTDPTSPRLLFARYVNFQRRREFLG ALDALHAYHNIALHVATDVPDMKRFGPHYASLNLAIFYWTFGHPAKATAALHEAVRVA QSARDNVCVAYALSYLLQWDCAPFDLQRALHCMSMADAAGLPRLSLLAKLTCIQHGSS APPSTPPSVQPLRSWLAVQACGTAANAAITTPPPSSLASGEMEPKQAKLEAWVHTQVQ IGLTQAAVWRRLGFRSMQRLALARVWVMLSPPHTAEHSVHDIALLLCHQADMQLAAPQ DGSSSATSPTTTSTTTTPTTWTNSTLYAPALRQLVASVLHLDEGTTSSTTSSAHKATS DVVWQDMVMQQTVVRICFEWALATMELRKAETYLHIWEALHSTLMPLGDDVELALAKG NPCRRVSS H257_11038 MAVAIGASSHRLLVGLTPHNVCVGFLIAMYIREYEACSMSMDVH ANDGINPHHALAVFLMEHTCGSRSSGPLTFAAFCAALDTINPTWSMEFQVVMRRLSTR SVHDLAECLVTLCLPGTDDVLVASTPFGRFARMLALAIHSAFFDGLCILRDHMQSFVA PSTPSVPTTAAPSSLLDTDHSSPIDDVHFRTALQTDPTSPRLLFARYVNFQRRREFLG ALDALHAYHNIALHVATDVPDMKRFGPHYASLNLAIFYWTFGHPAKATAALHEAVRVA QSARDNVCVAYALSYLLQWDCAPFDLQRALHCMSMADAAGLPRLSLLAKLTCIQHGSS APPSTPPSVQPLRSWLAVQACGTAANAAITTPPPSSLASGEMEPKQAKLEAWVHTQVQ IGLTQAAVWRRLGFRSMQRLALARVWVMLSPPHTAEHSVHDIALLLCHQADMQLAAPQ DGSSSATSPTTTSTTTTPTTWTNSTLYAPALRQLVASVLHLDEGTTSSTTSSAHKATS DVVWQDMVMQQTVVRICFEWALATMELRKAETYLHIWEALHSTLMPLGDDVELALAKG NPCRRVSS H257_11038 MAVAIGASSHRLLVGLTPHNVCVGFLIAMYIREYEACSMSMDVH ANDGINPHHALAVFLMEHTCGSRSSGPLTFAAFCAALDTINPTWSMEFQVVMRRLSTR SVHDLAECLVTLCLPGTDDVLVASTPFGRFARMLALAIHSAFFDGLCILRDHMQSFVA PSTPSVPTTAAPSSLLDTDHSSPIDDVHFRTALQTDPTSPRLLFARYVNFQRRREFLG ALDALHAYHNIALHVATDVPDMKRFGPHYASLNLAIFYWTFGHPAKATAALHEAVRVA QSARDNVCVAYALSYLLQWDCAPFDLQRALHCMSMADAAGLPRLSLLAKLTCIQHGSS APPSTPPSVQPLRSWLAVQACGTAANAAITTPPPSSLASGEMEPKQAKLEAWVHTQVQ IGLTQAAVWRRLGFRSMQRLALARVWVMLSPPHTAEHSVHDIALLLCHQADMQLAAPQ DGSSSATSPTTTSTTTTPTTWTNSTLYAPALRQLVASVLHLDEGTTSSTTSSAHKATS DVVWQDMVMQQTVVRICFEWALATMELRKAETYLHIWEALHSTLMPLGDDVELALAKG NPCRRVSS H257_11039 MSIGDSTVDGVIRLSLQREVHYPTRRWLSGTNTTGSRTIASDKV SLGVGIGTHYAEIYLGLPPQRASVIVDTGSHMTALPCSSCVDCGEHTDPPYDVSKSTT ANYLTCAEYGHCYSCESNNHCRMTQSYAEGSMWSALMVSELCWVGPLPSTNDDNAPDE PDPSMLLSKFGVRFPIGCQTKETGLFLTQKENGIMGMSQDPNTIVPFLVKSGVLRANL FSLCFADTGGTMVLGGIDPTLHIAPPMYTPLLTTSGWFTVEVLDILIGNVSLNIAPSW YNSGRGVIVDSGSTDSYFPSSVGSSFASLYRTIANGHSYREDDAVLLSPSDQRKLPNI QFVLRGTTPGSSVVLSIPPLQYYTANADGSVANNIHFTQSSGGVLGASTMANFDVIFD MDQHRVGFAPARCDETHGHGDFAPNVSKGQWTDKSFWEVYGTLVTAVLVCSGGGLAVL LLVRVLGRRQQHWTQVALEESGTPPAPPTNEDGDLHTPVSPKRSPRSQDDSIGIHVM H257_11040 MTDTLASAPATRHPAWIATAIAALMCLGILGLNFESDFVVKSSS LAHKQQLHAAAMNHTVIVATNHTVYVDRVIEKVVLKKTLVNAVDHNIAYVSHNYDNTT VHIVYSTSCDQPNRHFLSAALQVSASKVGQRGPITEIISGCSDEEVQRFASEPSFYHD YHRHFTPSYSPHPKSGITDDYSPYNKPFALRHLLHTPPSSSNPAAVKGNMPVALMDAD FIFFQPLRVNTGADLSSFYHGEQRQGEEIQDVVADGHALAQDWTSYYGAGWFNPDNED KKAAICAGKPCMDVTSDDGREYYAGGGPPYILTKNDWLKMIDSYGDFVIAGRKLSKNW MVEMYAYGLAAGNHHIKHTLVTHLGPTWPQSEVHSWTFLDDDAPNPCDVASLDVVLPR HPPISVHYCQKYGYFDKEDAGFHFYKYHIPYDILECDAMLLQLPPPWQWTDIPSLGLE GIDLVAKRHEVWTECTLAKILNQVFLTAKEKLCPLGFNTHQGLPMSEPHQRDSALPGG APKEF H257_11041 MWTSASDQSRFVHLECSAPLFQDSYKRNNKSSGNKHLRCFPHCC KAHNASGYCGSTLQVLTAVEHADMMLFAKFDLEQAADDIQVSSVVHVSEFEKSPYLRG RRLPDPSPGHVYEINSRRNSWHYGWVSSRFVKSTVKHHLKVYILVPVDTNGNMLCVDV LMSKSFFIESTRTGTKLQAKLLKLAATQAATPPTAPSSSKPIRTRPHLVLEDPATLDP PMNRMFPRKNPILDMQSSFLPPAPLRKDSSPLTIDIMPSYHVMPLPTQATSCSHSPTT IPSVPFPWVPPQSCSLFGHPPVIDQHVLGNDSFLDSLISGMQEEDGDEDLWTSPLTC H257_11042 MMVSSPLRPAVAAVVTLMYMGVASSHRMELHRTNHGQVKRDLAT NKRLRRLTDGNFEPVPLNLGLGTHYTWVYAGSPPQRASVIVDTGSHLMAFPCNGCDGC GTHTDAPFDTTKSSSLTYPSCASHLGSFTCGVCGLDDQCHISQSYTEGSSWNAVVVED NVWLGDAVDHPSRRFNESFGTRYMFGCQKRETGLFISQVADGIMGVANTENNLIRKLH AEHKVDTSAFALCFAPQGGTMAIGTLSTAHHSTDVQYAAVTSSHNGWYGVTVIALTFG GTNLPIDASFMGAGRNVIVDSGTTDSYLPSAYADAWNDLFFKTTGHKYAAEGGGCDDT TYPDDVVQAMPAFDITMLGATTGQMVVLSIPATQLLPADDNGRRCGSLYFTEKSGGGV LGANFMMHHEFVFDPDAKRVGFSKAQCEYRGLEAELEGLPQPPSSVPPTPPPTTTTTT TTSSSSTTTTTTKSVGTIPTIPTTPRTPSPTSASSHKETSHPTSTTGKVLVNDTVGAT SSSNFRGKTDMSGEFSLLSAAVAMCLALVVIGSAYHFWYKRRNKSSLPSTGKSPHWAP VSQIQSDPDERVPLGEEPLSRRGDEEDESDGDEFFDSEGYVMSPRSIKRCRDAFDLDA H257_11042 MMVSSPLRPAVAAVVTLMYMGVASSHRMELHRTNHGQVKRDLAT NKRLRRLTDGNFEPVPLNLGLGTHYTWVYAGSPPQRASVIVDTGSHLMAFPCNGCDGC GTHTDAPFDTTKSSSLTYPSCASHLGSFTCGVCGLDDQCHISQSYTEGSSWNAVVVED NVWLGDAVDHPSRRFNESFGTRYMFGCQKRETGLFISQVADGIMGVANTENNLIRKLH AEHKVDTSAFALCFAPQGGTMAIGTLSTAHHSTDVQYAAVTSSHNGWYGVTVIALTFG GTNLPIDASFMGAGRNVIVDSGTTDSYLPSAYADAWNDLFFKTTGHKYAAEGGGCDDT TYPDDVVQAMPAFDITMLGATTGQMVVLSIPATQLLPADDNGRRCGSLYFTEKSGGGV LGANFMMHHEFVFDPDAKRVGFSKAQCGKSRIISI H257_11043 MQAKRTFAPNPRYPDEFLRKIPKTDLHCHLDGCVRPATLIELAQ EQGVELPTYDVPQLSTCLFKETYANLEEYLRCFAYTCAVLRDPAALERVAYEQAVDQY AVGVRYFETRFAPQLLAIPGKLSVEDILVHVNNGLKRATDEANAADDVQSGAAPVHGY GIIVCAMRFFMPNFGPYYEQFCHVHRYEDNHRLYGLASMALITQAHAAKEAFGLPIVA LDIAGAENGFPASDHVEAFAFAHKKFMHKTVHAGEGYGPESIFSAITDLHADRIGHGY HLFHANQVRKDMTDDQKQSYVDGLAQYVADQRTCLEVCVSSNLQTVPEIENDASRHPL TEMLNGKLAVSLCTDNCTVSKTDMFKEVRLAVDALDLSPSELRRVILTGFKRSFMPLP YKQKRAYTHGVIDYYDNLCREYNIQD H257_11044 MNDKEKEKQNKAEAAQRVRQARIADAQKVAKAKAGNSGGVIRFK TTFRNTIFDAMLRRGWKESDTDWDFYWADREFIYDVLDSVHLDVSQKVNHFRNGRELC RKDLLIKNLKRARRAQKKNEEFPYDFFPITYILPGEYSMFVEEFKRNQGIWIMKPIGK AQGKGIFLFTKLSQISDWRTDYRYKQPENQQVETYVVQKYISNPYLVGGKKFDLRLYA LVTSFAPLEMYLYRSGFARFTNSRYSNNASDIENSFIHLTNVAIQKTSDKYDKKHGGK WDLKSLKLYMMSHHGVARVDRLFYQIQMVIIRSLQSVEKILISDKHCFELYGYDIMID ENLKPWLLEVNASPSLSANTPQDYQLKCGMLNDMLDIIDLETKLKTKEDRVGGFDLVY RDGLVEKDERCTYTSLLGADFKRNKLRKLPVGTASSTS H257_11045 MEATSEKRLRPILTSILTTSANTSRNSTPKSTTSSTSITVPPMK RRVSFTNLGNPELPIPDKLEDILEADLNRTPSGKPLESTSPTRVVETTDLHHACRHLD VDRAAVFLAHASSDSVNFVSVEDGYSALTLAAMAPDSHFDVSLRLAQLLTHHGASVSL PDSQGFTALHWCAAVGNASVLQHLLAMAPSSCMDLPGANGDTALHRASRFGHADCIRL LLLHGASTCALTLDMHTPLDVAGFADGSTVYEASRTAARAAFMSSRPQLKTLVLHHPD CHEHMTAASHQESPLRLAAILDPILQHPQETIHVDVSSSSFHPSGMMVVSSDFAFVSL SVVRRVHSAKYVDTLKALHHQVQSHTDGCMALTPRLQVHVQGTAVAAAKLESICDTNF SRGTLKAALRAAGSVCHAVHAVAMGKYRNAFCVVRPPGHHAGWSGLLKDSISCGFCIL NNVMIGAQYALDTCPHVKKVAIVDFDAHHGNGTQDILTQHPRPNVLFISLHVFAEGFY PGSGGDHDYARNIYNFPIHPMWTHAKDKGSAAFRAKVTQVVLPLLRAFGPDLILVSAG FDGCHYDIGNKQYGQRDGPVGLDLSPGDFHWVTTQLMLMANLCCNGRLVSVLEGGYGR VRTEHDDKDDTSPDGAVAASPDGSQPGTSPEDNHATTAPLILDTLQASAHAHLQALTC QSYVEPPPVKTRTSTRTPAPTFKAARAKRKKLT H257_11045 MEATSEKRLRPILTSILTTSANTSRNSTPKSTTSSTSITVPPMK RRVSFTNLVGNPELPIPDKLEDILEADLNRTPSGKPLESTSPTRVVETTDLHHACRHL DVDRAAVFLAHASSDSVNFVSVEDGYSALTLAAMAPDSHFDVSLRLAQLLTHHGASVS LPDSQGFTALHWCAAVGNASVLQHLLAMAPSSCMDLPGANGDTALHRASRFGHADCIR LLLLHGASTCALTLDMHTPLDVAGFADGSTVYEASRTAARAAFMSSRPQLKTLVLHHP DCHEHMTAASHQESPLRLAAILDPILQHPQETIHVDVSSSSFHPSGMMVVSSDFAFVS LSVVRRVHSAKYVDTLKALHHQVQSHTDGCMALTPRLQVHVQGTAVAAAKLESICDTN FSRGTLKAALRAAGSVCHAVHAVAMGKYRNAFCVVRPPGHHAGWSGLLKDSISCGFCI LNNVMIGAQYALDTCPHVKKVAIVDFDAHHGNGTQDILTQHPRPNVLFISLHVFAEGF YPGSGGDHDYARNIYNFPIHPMWTHAKDKGSAAFRAKVTQVVLPLLRAFGPDLILVSA GFDGCHYDIGNKQYGQRDGPVGLDLSPGDFHWVTTQLMLMANLCCNGRLVSVLEGGYG RVRTEHDDKDDTSPDGAVAASPDGSQPGTSPEDNHATTAPLILDTLQASAHAHLQALT CQSYVEPPPVKTRTSTRTPAPTFKAARAKRKKLT H257_11045 MEATSEKRLRPILTSILTTSANTSRNSTPKSTTSSTSITVPPMK RRVSFTNLVGNPELPIPDKLEDILEADLNRTPSGKPLESTSPTRVVETTDLHHACRHL DVDRAAVFLAHASSDSVNFVSVEDGYSALTLAAMAPDSHFDVSLRLAQLLTHHGASVS LPDSQGFTALHWCAAVGNASVLQHLLAMAPSSCMDLPGANGDTALHRASRFGHADCIR LLLLHGASTCALTLDMHTPLDVAGFADGSTVYEASRTAARAAFMSSRPQLKTLVLHHP DCHEHMTAASHQESPLRLAAILDPILQHPQETIHVDVSSSSFHPSGMMVVSSDFAFVS LSVVRRVHSAKYVDTLKALHHQVQSHTDGCMALTPRLQVHVQGTAVAAAKLESICDTN FSRGTLKAALRAAGSVCHAVHAVAMGKYRNAFCVVRPPGHHAGWSGLLKDSISCGFCI LNNVMIGAQYALDTCPHVKKVAIVDFDAHHGNGTQDILTQHPRPNVLFISLHVFAEGF YPGSGGDHDYARNIYNFPIHPMWTHAKDKGSAAFRAKVTQDSTDATMTLATNSTVREM APLDWTFHQGTSTGSPRSSCSWRISVATDALSRCWKADTVVCALNTTTRTILHPTVRL QLHLMGLNLGPRQRTTTPRLPHSSSIPCKHLHMPTSKPLPANPTSNLLLSRHGRPHEH PRQRSRRHARRGRS H257_11046 MDEEGTARPAPPPPPLHVDVELETHVISATSPSTLAEVDQSVLA ELPPEIQNEVLASLRADVSAVPPRVQVPPSDVEPSTSPSDTWECPMCTFLNHAALATC EMCEFCILDDETDDDDSILPDSEAFRHAAASAKAKILSVLKATNVKNDELLHSASAAL HKVQASASKQLQQLSDKLSPRAASAKVPSFTSSAVPSSDVSLELAALRTDLKSPCVPG DEVYESMLHHLWTALAEVTDATSFEREGEGWMAIGFQRINPDTDFRGGGLLALKCLVY ACNMHPEKMLFLFRDQKPEPGKRWYPVSVAGINLTCLLAGLLKLGDGSFISTEAAYWP LFDEPNAFFEMYYLVLLKMDQIWHRSHATYMEFGEVLRATKTLVRYVLSRSPMHSLAD FACTLEAMNVDEFKITRRQEYFQDEEDLECPDPRHVLDCEDKQDDANKGDIASLRYTL HQ H257_11046 MDEEGTARPAPPPPPLHVDVELETHVISATSPSTLAEVDQSVLA ELPPEIQNEVLASLRADVSAVPPRVQVPPSDVEPSTSPSDTWECPMCTFLNHAALATC EMCEFCILDDETDDDDSILPDSEAFRHAAASAKAKILSVLKATNVKNDELLHSASAAL HKVQASASKQLQQLSDKLSPRAASAKVPSFTSSAVPSSDVSLELAALRTDLKSPCVPG DEVYESMLHHLWTALAEVTDATSFEREGEGWMAIGFQRINPDTDFRGGGLLALKCLVY ACNMHPEKMLFLFRDQKPEPGKRWYPVSVAGINLTCLLAGLLKLGDGSFISTEAAYWP LFDEPNAFFEMYYLVLLKMDQIWHRSHATYMEFGVLRATKTLVRYVLSRSPMHSLADF ACTLEAMNVDEFKITRRQEYFQDEEDLECPDPRHVLDCEDKQDDANKGDIASLRYTLH Q H257_11047 MSAKNAKRQWDQTMASSGLAVPGSAKVSRKRFSGRTVKISKAMK HVDEETRRQVRNARLDALEADNYMESTDGADEDMFVLDDDDDAKKPTKPKSKAKANKH QVPQALGRHRMVRKVKSLRQLIFEECGDGMSSTDINYVTAAVKPAKTPPRHFCCVCGL LSSYTCGRCGSKFCCVRCGNQHKETGCLKFNM H257_11048 MEARRKQHQLRLTEINDWVGKLEERDTEQHAFVQLIQIMPKLTS AQAYEMYSRLERNKDPARSSTREDIVLLMAALCKEHPVASARFLKKMVAYLNYRLRDK RHKVTDACMKATAAISLYVLPSLPQIISIDVLVRPFLNETNVMSDGAAKCIGAMLHPH TTNSLLDHATRIHPYLVTFVPHLVSRFHSAVYATYSPIFYILTEILRLAKDSHHDMQA LCAKAFLDMLLAVEGVMQFAQRDDWVNRKRGIDLLIACLQCFPHDPQISQQMTTLEQM AERGRGDFASPVRDSAAALLVLLNAYDEHGTKDAVPDKSTADVYAAAHPRPAIVPPAS ESVSPKRKKVVVQHASLKQTLRDDRVSLRSPKPVSCVIPDTNQGDVPSMDSADFAENV KVAMEEGNMELALRISLLSEDKTLLRRWLCFSQPSVQYFRETTLNALCAAFLDFLENV DDAALIFPWISCMLRDESHLQHVDARISRHMEALLAELSVMPTKEGLIAARLHHELAS CLQAEGR H257_11048 MPKLTSAQAYEMYSRLERNKDPARSSTREDIVLLMAALCKEHPV ASARFLKKMVAYLNYRLRDKRHKVTDACMKATAAISLYVLPSLPQIISIDVLVRPFLN ETNVMSDGAAKCIGAMLHPHTTNSLLDHATRIHPYLVTFVPHLVSRFHSAVYATYSPI FYILTEILRLAKDSHHDMQALCAKAFLDMLLAVEGVMQFAQRDDWVNRKRGIDLLIAC LQCFPHDPQISQQMTTLEQMAERGRGDFASPVRDSAAALLVLLNAYDEHGTKDAVPDK STADVYAAAHPRPAIVPPASESVSPKRKKVVVQHASLKQTLRDDRVSLRSPKPVSCVI PDTNQGDVPSMDSADFAENVKVAMEEGNMELALRISLLSEDKTLLRRWLCFSQPSVQY FRETTLNALCAAFLDFLENVDDAALIFPWISCMLRDESHLQHVDARISRHMEALLAEL SVMPTKEGLIAARLHHELASCLQAEGR H257_11049 MFQRQNPSKNNHRKLLGHILGAAGDSNEGQTGGTHIVTMQRLLG ISINMLRGSATDAPAAGVEGLPIEDDDMGQSTNQDDTSVVAAETVVDGGPTRERRPSI RPTALPPPLEKTTADAANPQANLKARIMQIQTNKSLTPKEKADGMQKVMMQQWTEAQE RLTPKQAESKPETPVADSDRTVVTYHNADAGIKGCSHYQRKCKLFGKCCNKFYTCRFC HDEQEKHAFDRYATEKISCMECHTIQPVGPKCINDGCGVDFARYYCSECKFYDDDETK DIYHCEKCRICRIGKGLGVDYFHCDKCNACMSITLKKHKCVERSLESDCPICHVYMFT STTPVMFLPCGHCMHVACYEDYTQTNYICPLCSKSLGDMRAYFARIDDLLSHEQMPAE YQNYRSQIYCSDCERKSETKFHFVYHKCQHDECKSYNTKVVKHFKQEGGSLHPPPAPA GGITTAVAGRSGIGRVQARTTDARRSSSSSISRRSSNPSRSDPSNRGT H257_11050 MVGRVVAVHLMKMWHSFVSYMASHFYALKMRPVSGFDPSGFMFM LAFVCLVVGLLLAVFDKRSQRRLSTYLDNKKLTRLSTVGKLVASTAIDHSARQGFIAE MLSFNYLSRLSFSIFVFGITSYLNALAAVVAGWRTPNVVILTMAKDDSGEKTLPDLGH DLFKAVMTLLYGDTDYIDWFDLPDEFIAFVGSIIAVLFLVHPRRLLILRRFTMIYAWI NFLRAFCVAVTSLPDASPMCISQFDSRKGAYKSLPIFPKAFHRAFKVLIRPSHHITCG DMIFSGHTVFLVLCALTVTTYCAKAELNTPFTRAHPWVLTLVKTATVIGSTLGAFAIV GTRLHYTLDVLIAIYVTIQTWYTYHWLSEDNRWGIRIISWLEHDRRVVSIDHNAYRMA RRSGSFNSLNKQE H257_11050 MVGRVVAVHLMKMWHSFVSYMASHFYALKMRPVSGFDPSGFMFM LAFVCLVVGLLLAVFDKRSQRRLSTYLDNKKLTRLSTVGKLVASTAIDHSARQGFIAE MLSFNYLSRLSFSIFVFGITSYLNALAAVVAGWRTPNVVILTMAKDDSGEKTLPDLGH DLFKAVMTLLYGDTDYIDWFDLPDEFIAFVGSIIAVLFLVHPRRLLILRRFTMIYAWI NFLRAFCVAVTSLPDASPMCISQFDSRKGAYKSLPIFPKAFHRAFKVLIRPSHHITCG DMIFSGHTVFLVLCALTVTTVLRGLVRVVVLVFIIIDSTAPRPSSTRLSRERIRGC H257_11051 MATIGSDPSTTAYAYIGIAVGFVVFAATLGAVFMLLYFGGSFDR MKHEASGMPTSADQVKPLLYDDLLQKAASLPLKPAAYSLEGQFVHIRPLESFSDRKAI VSTLYEISSGKAISGIYGGKAFDADAALWRYMLSGPYETVDDFERGCCIEADNQRLFV LLDASTSKPIGMVGLLNHSPTDLRVEIGAIWLVPAFQGTGVHREVVYLLLDALFREGG YRRVEWRCDGFNVRSRKAAHSLGFTMEGVLRKHMIAKGANRDTVVFAALNGEWPAIQE MLQAKLNAMLAKRPHKPKDD H257_11052 MTTTEDLWQKKKRVYAQQLTDRLKDDEAFKRSFVQTAEHVRAIH KLNLDYNNRRTVEQSMCAISAASVLLVFVDCAVDTPWIRVINTALTVALLCLLIRRYT IEVHIAIGKGTLPSDVRLHELPSSVILGFLVEFLICSLTVPPFITNGSFSVQQWITRA QVDPITHAYFCKFDGVLLGRDCYLLYSYPYQVVGLVQLVRVYMVPRFVRNMSDFYSYR IAFIGSLNNVDALGTLFAIKYLLRTNPFTLLSLGFLSSLLTTACAVWIVEAPVNPLVS TYGNAVWLTVVTMATVGYGDKVPVTVAGQVVMIVFAMVSGILLTGMLSASFFAMLELT AADVTVFGLLDKEKQAKQTANASATLIQAAWNLHSCGRHHPPPLDHANAYQAASVLLY ATAQVCRKLRKSRPLHVPSTTEQLDGHFGTVRKNMHADFASRKARLLALEAELDAVQL H257_11053 MSRVQALLNRIVEYADDPNTIKTCCGVLSILSRSEDKKTEIANA GLAVILHAMNTHMANEDLLEAACDLLWTLAFNNKPVKASISTHGGIATLLRCVDVHRL NPALLRSALGTLSNLSQLVDNQQHIGAGLPVVVAAMQLHVRNVDLLAFALDTLTSIII GHERNCRVVYELGLVDFLLDVLKDRNQHARPAVVKSTCHTLAILCELPGVGKGIADAH GIAAIIAAMSAAPLPTDVERIVTVLLFRISKDPVVMPQMIHDGVVVVLFRVLANQRAN SKCSETLLATCHILCLLTQFASSHAVDLTPHLPPSVCHGDLLLRLATENASPPLALSI FRVLAHLSRNPVPLPALVNVSTMESMLALAPFHSNPQEMLQLGLQVLVNLRRSMIAAQ PLRSPEKSLAALLLAIRTFPDDVHLLDQVFCVVTSYCLSNTITTHPRTVFGFLGAAMR FLSRFSSAAGWTPQCMVEACRFLLHLMGSKDGLDSVVSCGGVSILSQFNRDVMANDAM PPPELEKLLHAMSLRLHTPLGGSEANEGEGNQPPEQQQPPDENEIDEEDEEDVMDDDD DEENSDIDMSAIVTNTSPSNPSPSPPPPNNNHPQVDDDSVVPPLTSSSSSTTTTTPPA STPGRTPPPSTTSVPTVVTPEALVAFVLQSFAHPQDVVPSARICGSTVHEHRFTYTSD SSGYHLPKHPLGSVPSCSATSSATTTLLTTCPMHNEPATSTFASPSDMQKYVDVRTSM RAALVQSDHADAQLVYQSTRPGGDAAVASAVPDAYPYAVGFPDPSRHATPPLTFDSEF DSGNLLRAVQIADTEYDLILRPDLHTQGYTQWFYFAVANTEPDVPYTFHIVNLHKPDS LFRTGLQPVVYSVQDATCRAVGWTHAGSNVCYFANPYKQPSPVPRPSTDQLTFFTLSF TLTFSHAHDTTLVAHSYPYTMHDHRWHLARPALRCPDVVRQSVLCTTLGQQACDLLTI TDFGHGHVDGRRPVVVLTARVHPGEPQASWIMRGVLDFLVSDAPDAKLLRRLFVFKVV PMLNPDGVMYGNNRCGLAGCDLNRQWKAPRGAVHPTIAAAKSVMQTLPVVFYCDIHGH SRKKNVFMYGCDSRKHVNPIARMFPTLLSNHWIGRQFVSLDACNFQVHRESTARVVVG KDMGIANSFTLEASFCGADFGVLAARHFNLGHFEDIGLALGQTLAEYAVPDGMNRRTI YEWLQTDDCHDLHEYIESTYNQQLADDQLGAIMPLHMTTLVLLERKGSFGRLPRKAAK AKKKKKVLPPPPSPLPSVAVVVAKQLPSPSVALAKKPSAKLSSKKVSSNCLGSKSSGT PPPLALKKALSLPSPKIQDTRLKHHPTPSENNDQHHHAPTVTSRFKSPSFRRLTVFTP PNFRDAEDGVGAASSVRRRINFPTVSK H257_11054 MKWLVVAVCVALGTAAETPNLRRELRLNTWYDDASEGDYYAQDT QPLQDAPPSGYDPNGQFLQWQEAQLQQQYNQEGFGG H257_11055 MRLLLWALAALVATLAAIPSHDVLPPDQDNDDADPNQITPDEKA AEDEKAENDAMAMKHSH H257_11056 MNPLLFLYLNLVRAPQLNAKRLKKEEDERLAREAADPTLAAAES KATKTKSADGEKPQLTSCKKCEVQVLDAEVSANFGLCDKCASNPWALVTPVVVFQLVG IVVALAVFIYRFLNGLPLV H257_11057 MDRSTFALLLVPPYRSTFEIFTSVLKAPVPTFFDVTSVDRMLLV RLGRKIDSTLLHYILYLVEFHFALFAVLFVCAQAKRSYNATTNELYRMDGVTRSPLKA LVGETYQSRSTVHAFAISEVFPQKQHQAVDNHMLFHLHTAFAARWFHMVRDLLGIHEM VTYMGSSCWLHVTSSCTDPGDLTAT H257_11058 MHATTVPRLVRVEAVVVNDRPHLVEVAVVVPLLAPRGRQRRVRV GRILGRVFRQQRRKRNVPRRVVALRLGRGQEQVLALQMTDLLDHGPVLVLVDVVGMAL PHLGLALGGRGVGIQTHVRVRGVLDAVIGHVHVPPLVGVSAVARPRVDPVLAVLGVRV EALALVAVAAELLGVLVE H257_11059 MKSIACIAAALSLAASAAPASANEVAWRFYSAQDYPLSEWYSNL FADAKKDNMNEWWLFNKDTQQLRSNSNQRECLDAYPKDGKYWVHTWACDGANPNQRWY VDMANHRIQHATHPNVCLDADPTAPQRQAQVWECHSHDVNKNQYWSVVQEIGHLQRKD LLLTTTKTERNDTAGDISFAALLPKDPAENPSDPNAPLPAAWRQEWDYNRDFHQVRSV IDYNCLDAYEPWNGGRVHTWKCGDTNKNQKWQYDVYTNQLRHLTHKGYCLDINDETGA RPHLWECHPPTHNSYSLQKFDLFQTTSTFD H257_11060 MVGWGCITLLCVLSQVTLTMTEWFMRVCGPVKLRPPSSMDRSTF ALLLVPPYRSTFEIFTSVLKAPVPTFFDVTSVDRMLLVRLGPKIDSTLLHYILYLVEF HFALFAVLFVCAQAKRSYNATTNELYRMDGVTRSPLVLRGEDLYHVSLLLCESDNGRH RCHFTCLNLAITQQCSPRDEGFDVGSNLLGYRFDSFDK H257_11061 MENPAPQTPPKRTYKRIPLSAKKRIVDAFNNAVDWKRVAQANGV NISSARNWLHLGSLTPKQRDRLALGLGIEVSTSTLHRELDKRVFTYKTVHYEPLQMND PLFKQKRLEYVQAFRALSGEGKIPIWIDETNFNLFTCRTKVRSRRGTRAVVVRGGTQK GKNLHVIGAISTTNFFFCTHKRGAYKHADANQWLRTMLRAASVHYGGLNDIVVVADNA PCHSRLSAVFSEPEFQAATLLRLAPYSPMFNPIENLSSEFKAHVKTSLRERLAAFMGP PPDGQTREEFRMQYLEFVAQEVIDSVEVNRLGRFALRLDYFYGRAEQLADMQVGL H257_11062 MQGSVLPDIRRFALMDTLLHVEGCTSAFPAVWVHGKHTLEESFC DKAELGPLLSLMKSHYKAHPFLSMHEDAMTPHDKARVFYIRAVEEFYKFCKECSASYL FVYMFNNWIFNDHGSIFFALSS H257_11063 MKLPTYGISFRNETKAGLWKKLRVHVDKIKIEVVAMAGTACHTV TYTPPYHSDLQPIEIAWAIVKCQVGRQYTQDTTFRQVLVRLKEAFEDLKVSSIKGCIH KADSQLYKLEEYIKQKQYEDAWGNVSDSESDSNSDSDSSSNESSNFESET H257_11064 MKYLLNSIYVAEEEVLADAMSPDRKHLAGCPTTWIYSTHEQLSE PVAPNEDGGQLAMANVYKSYLEGMEGRVMARIDDMEARLLDRFGPTSTLPLHLEPRFD ALSTPNVVHLFKPVVQFTTEDKKAQTSHVRVSKCCTLGKAFYAIAEFLGVRMQGVDNT KYEKIVTAHEAVMMLYGRVDAIKSVELAVTLSKKVVAALTAGDVEVVACLGDFASHLL ES H257_11065 MDNDEQASKAKTTRVPEKKERCETTAPKGKRFKAGPTNYDSEHR AKVMAFSQAMVGKRVTLNTKKENNSNWKYAHDPRLTRFARLPEAICCYVGQLMLPDDA GNSPSMNVANKARAGISEFYKYNNDGYGTSSWCVKDGQGYGNPMTSPVVLGCFKGLQK EKKATHVTRRAPPMTKPMLKTLYAFLDRSNDTSKSFTLWFKAVTSLSWYPCARMSEVL GPRPVNKTPTCVSSTTSTPCTTEKPSPEMTAHIVCTIAKSSGIVIFVASTTSTRGSNT STLR H257_11066 MENLLQWRGEFGLGPGLHNYGKTCYVNAVLECLLHLPPVVQSLL PPYQPALTTQFSPQDCLSRIVHDIHVNDSTKRRKTWPNGYRMDELHSHLADVWLSFGA GRQEDAHESSKVFLDIDIPVTPDVVTVEDSLRRAFGTTALTGDNSYECTYCNRRCPAV RTSAIAEWPHALVLRVLRFAVGEKRTIKKNGQPIQYTSELNMSEFATVDHADIVYELR GVVLHLGSSLTSGHYIAYVLAPSGRWFSMDDQLSRQHIQRNTAPNAAPNVAPSVSRKA TRIIARNMAVPSTALKAPHNLVPNTLTL H257_11067 MSAKKYQVVLARHCIHEDWGFVSEERDRGRIVTSVVKDGPADRS GLKQGSAILQWSRWRIDWGKSVSKNLTRFMDKYHTNGLVLLFQVSHTLTTVQKCEHEG RNQVLRNMYPELRSDCSCLRLGILDWYVSTKILLYNHKRSMIPALGECTHEEILADIH KSDDDDETTSHKRKRV H257_11068 MTQGRLDLTNMVREAILREVLLKCQDGVVCRLPRGFGNDLATKY GCHVSSVRRIIAREKAQDIASGNMQVCVANRKKRRVGRKIKYTAAQAREKLLQVPLRE RTSLRSISAKTGEKPPERKCKSKRFITKVMFLTAVARPRLNEDTGVWWDGKIGTWPFV KQAAALRSSVNREAGTIETKVISVTKDVYREYLLGKHDNARTHVTTSDAKLQESFRQY AAQAWFLAPQPANSPNLNVLDLGFFAALQSLQHRESARTIDDLVANVAKGFKDYPFER LDHTFMTLQSCLLETIRVGGFKDYPFERLDHTFMTFRTWESSPTEDYRDGTAKLSAID AVAYERAVETELDELPTADELSTFLESMALDSEVTAALEAAGLEAIEL H257_11069 MDTDFQSLKSPRASDLQEDDVPWSERPHPLESVSWLSYITVWWM DALIRKGAQAPLTEQDVWPLAKADTVDSVYPLVTAKWDPALKPRLVVVLWQAFRYRIV MSFLLFSLYAVLSLLQPIAVKSMMQYLEQEDVTATALGLTNGYALAFVLFVVSMTSAC IMDFAGYYAAHIGINLKSAVANMVYVKTLSLSGLAKAKFSSGEVVTMTSVDLERITMG FSLGHWTFISPAMLLVIFIMLAFELGPLAAFIGGLAMAGFIYFGITSGQRVGHLRQDI LAVQAQRVKLTNEVLQGIRVVKLYAWEGSIQDQLKDIRLREISCLTKYHNLRIINNVL LMVAPVVSLACCLMVFVGQGRPLTMPVAFTALAYMNITRQPCGVFSTSVIGFTEALAS CRRLSDFFNAEELAPDLDTTHEAATVELSHADFSWTNDAMAPTLKDISLQLEPGTLTM VVGAVGSGKSSLVSAILGDVHVTSGSRHVRARFSYVNQESWIQHATVKDNIVFVSDLY DLDLYDRVIRACQLSPDLAMLPKGDLTEIGERGINLSGGQKARISLARAMYQTNADVF LLDDPLSALDVHVANAVFSECMLGLLRGKTSLLVLTSHYHLLPHAHRIIVMADGAIVG DGSYEQLKTEFPHLMNLTTQSDGMATTFQDDQGAKDEHVKMEVSKQVAPQLSQDKDSL VVKEDNVKGKVTLQTYKSYLGASGYNGYLVGFVMTVLFTISQVTLSITDWFMSVWARN GPLSLAYGWGYVALTGASVVMVYGRSIFTLITAMLCSKNFHSKVLRNVLRAPVPTFFD VTPVGRILNRFSSDLDQIDSNLPHFGLCVWQFGFSILSVLVVCAATTPWVLVMYVPVG YVYFAAQTAYNKAANEIKRLDGVTKSPLISLVSETYQGLSTIRAFAKSPSFAHKQREA IDFNVRFYFAFFLGARWFQMRLDFLGSLIVGTCAVVTVLTKSSVGLAAAGLSLTYSTQ LSVLLSRVAIFSAWLDNSMTSVERLNHYNQLESEHAEDEGADVHDWPSQGAIAFESYS MRYRDQLDLVLTNISINVEPGHQVGICGRTGSGKSSLMAALFRMVPASCGRITIDGVD IASVSVTSLRQGLTIIPQDPVLFSGSIRLNLDPTNCATDAELWTALKQVHLSGAVIPT LEFAICERGSNLSVGQRQLVCIARALLRRSKVVVLDEATANIDPESDRLIQATMRECF ENVTRLIIAHRLDTILDSDRILVLDAGVAVEYDAPSTLLANKHSAFAQLAQHAHVDLD KFK H257_11070 MQAAPTFEPEAVISAAKHQIISSNYKEVDQARSTVFSILAKCDE PTSIALYMQFADLEIELRQFKQATKVLEQAVVTHPLSPVLWKRYVGFCLERQKHSNAK KLVVRAIELLPEPSHGELWGLLEQNEHTQGDVGALKAQVAAGPVSAAVVVAPPSTTTS TLASIPTNTPVEATVAPTISPPEQKATEYFTKLPETLPITPECPHLLFDPLDTSGPLP PNISYHLEDFKLSDAVFREVLRLHDAQRQKEVDTLYRWQDLIAMQMKEGSELHRRHVT PDTAVVDSEHLIQRHEFTLRAASSQSQFIEITAMDRNNQLSAQQSALAALRVPLMAVS KDPSVVTDQRRVVHWLLEAERTWRRPPQQQAPRAMGDPRRSPPRRVDEHQYHPYGGRP HPQRPIGGGRGGRGGHR H257_11071 MPACPICDRHFSTHNIESHVNLCLTKASSSGVDEDKLSPTLPSP PGWSQAAFHTHILSSSKRPRPSTPQRHDEAPSKDSHTPKRHDHRQLDATRPMAERMRP TCFDDVLGQDDLLGPDKPLRRLLESGHIPNMILWGPPGCGKTTVAMLLAKQKKDSQRF VALSATTAKLAHVREVFEKAVNEAQLLGRQTILFVDEIHRFSKLQQDTFLPQVEAGMI TLVGATTENPSFELNAALLSRCRVFVLSKIQPDHIQHLLRRAASITSPSTPVDDDALA FLAHQCNGDARIALNALEMALLSTTSSSSDALPTPNPRNPETPNPPPVTLVHVKAGFQ RSHALYDRKGDAHYDCISALHKSIRGSDGDAALYWLGRMMQGGENPLYVARRLIRVAS EDVGLADAHALPLATSCFQACHAIGMPECDVILAHCVAYLARAPKSVEVYKAYKKVKQ TIDQGNDPDVPLHLRNAPTKLMESLNYGKEYKYNPDYEDGAVDQTYLPPELVGCEFLQ AKNWVHKKE H257_11072 MAKSIRSKIKKYWRRELRATIGKADLEKKEAKVQAELKKAIDSQ QGSSFAGLKAAFGSVKPVQVSPEVDESMTEGAVEAALLNNEIKLTNRKDNAELAKKKK GAGKPAKKKFVHFHTLRKKGV H257_11073 MSASDSIGAAWMEDDVYIALMCMVFTVCVLVSLYRRQRRKVPPV LPPPQSFATWITDSSDVVRNLPLNQVAFPGSHNSGAYNICGNVVSGDCHASLVPYIQR LPFVSSFVARWATCQRLSITEQLQAGVRYLDIRVQAFPSGAPRVCHSLCSISLHECIA QVRAFLDEHPTELVILDVNHIYVTSPSQYIVIGEQLVDQLGRHCIARRSDSPSSCTLA SLCQQRIQVVLVYHDQESALRLDLWGPSHIQSPWPRVADNVVQYAQTHLQGRNVSDDQ LYVTQVVPTARPVDLLCHLNVLTFVRPLLIHALLWLQQLAEQRGDLPTLSAVNIVMVD DCGIDQYAVIAAILNLNKAKTG H257_11074 MPKEKKPKRRHNVSTAQAAGASQNSRGRTLATPNTDLGQHFLKN PAIAAEIVAKAAIRSTDICLEVGPGTGNITMKLLEQAKKVIAVEFDPRMIAEVQKRVQ NTEFVQRLHIIHGDAIKVQLPFFDVCVANLPYQISSPFVFKLLSHRPMFRCAVVMFQE EFAKRLSARPGDELYCRLSVNTQLLAKVDQLIKVGRSNFRPPPKVESRVVRIEPKNPP PPVNFTEWDGMVKIIFNRKNKTLRASFCTKPVLKVLEDNYKTFCSLNNVAVDPTFDIK LLVEEVLVQTNYSDQRGSKMDLDDFLVLLNAFNSRHVHFS H257_11075 MLGYRLRLTGRQVLPGLSRPKVTPVQFPAWHTRFHSSLGSKHDD VADTPAAKPTAKASDFKRLMALYKPEKRNLAISMTALGLSTAITMCLPYGMGRILDVV TQPDGLDQLPVVITALGGLFVVGAATNVVRVNVMNMIGERIANKLRQDTYASILQQDM TFFDKTKTGELLNRLSADTALVGTVLSDNVSGGFRSVGQAVGSISMMFFTCPKLSLIM LAVVPPLALGAVSYGRFVKKLTAQVQSQLSEATDLAEERLNNIRVVRWFAKETFETNA HLEKIQGILGLAQKRSMASATFFGAVDLSVKMSMLGVLGYGGTMVAQNALSVGELGSF LMYTLYVGVSFAGMSSFYTEIMKGVGASQRVFDLIERSPQVIPTPPTPLSLSSFKGDI SFENVRFRYPGRPDAVIFDGLTLKVPPNQTLAIVGPSGGGKSTVLTLLARFYELQGDH CGGAIYIDGVNIVDLDPLALRSLIGTVSQEPPLFGATIAQNIAYGVTPGSSVTQAEIE AAAKQANAHDFIMALPDKYETHVGTKGLTLSGGQKQRVAIARALVKNPRILLLDEATS ALDHESERLVQDAIDKAKANRTVILVSHRLSSIKSADTIAVVAEGRVVEQGTFAELAM HPDSVFTQVVLAGHTQ H257_11075 MLGYRLRLTGRQVLPGLSRPKVTPVQFPAWHTRFHSSLGSKHDD VADTPAAKPTAKASDFKRLMALYKPEKRNLAISMTALGLSTAITMCLPYGMGRILDVV TQPDGLDQLPVVITALGGLFVVGAATNVVRVNVMNMIGERIANKLRQDTYASILQQDM TFFDKTKTGELLNRLSADTALVGTVLSDNVSGGFRSVGQAVGSISMMFFTCPKLSLIM LAVVPPLALGAVSYGRFVKKLTAQVQSQLSEATDLAEERLNNIRVVRWFAKETFETNA HLEKIQGILGLAQKRSMASATFFGAVDLSVKMSMLGVLGYGGTMVAQNALSVGELGSF LMYTLYVGVSFAGMSSFYTEIMKGVGASQRVFDLIERSPQVIPTPPTPLSLSSFKGDI SFENVRFRYPGRPDAVIFDGLTLKVPPNQTLAIVGPSGGGKSTVLTLLARFYELQGDH CGGAIYIDGVNIVDLDPLALRSLIGTVSQEPPLFGATIAQNIAYGVTPGSSVTQAEIE AAAKQANAHDFIMALPDKYETHVGTKGLTLSGGQKQRVAIARALVKNPRILLLDEATS ALDHESERLVQDAIDKYGRTDVIG H257_11076 MGLGQTLRNIKARVTMELFQIDVDEHVAPSFKGSKVHEPNAPKS IRVLSLNVWGIPVSPHVLERAAAIGRMLEARSGEFDIVTLQEVWHRREKNIILSAATR AGFGYSHYFHPAVGFPLPIGHDSFGTGLLILSKYRLSSAMYHPFLLTGRPYALHEADF IANKGVGLLRVHDGGGGEIADLYVTHLLANYNHLGKPGPGDTYMPHRAAQSYELSCFI AETSRNDLAIVCGDFNSPSDCLVLDIMRDLVDMRDAFHENDPTEAGLTFGTHDNKFSH GDHPMRMDYILFRTAPTSPWRLTDSGVFKGYFTTSCGEECPLSDHFGVHAAFALDTQS STLPPFSPKTNRSIKCLRQVQDTLSQGRVEIIHLRIVHLKRAALGLAFVALGGAVNVY QWGESWAVGTRVLWYFGLTLGLIYALVEYVVAFFVLTLEVSSFTELQNQVRLHAHNLD LNQD H257_11077 MASSRDRTKTAAGAGIGAAIGAAAWGLAAPVANAIGFGAKGIAT GSPAASIMSRAAIANGGRVAAGSTVAVLQRIGAVGLATPIGLGLVAVGCVVGAMATGN MARTNQESTVKATKVQDTSKDDRGEEDEKAWLLVEWVSPAEKPKETRFTSERDALKAF KASSSAKKQLFRPNMTCAETIE H257_11078 MPSSRDTTETAAGAGVGAAIGAAAWGLAAPVANAIGFGASGIAA GSTASSMMSSAAIASGGGVAAGSTVATFQSIGAVGLATPIGLGLMAAGCAVGGVGWGY FGEEDEMAWLLVEWVSPAEKPKETRFTSESDALEAFKANHNHP H257_11079 MRLEECAGLAGCSNVDLLAWSSHLSTPIRVAAVPLGSTRELSND SPPSDETAEQRLIRHQASVIDHFIDIGRRQEERLQALEEKLQTRAIPKRKETEVKVGQ VMPNSKLKRQKQFHASKQKKYTSKHIVAYMKLFLPDGFVLDEDSQTFRDDMLSTEAAA EIAALEFLLSNNVQSKGTSAVLKALQGTHRLGHLNARIIHYCQLRASRVIDPPPPVSL NILDESN H257_11080 MFPPLYQNPFQDVTCTTHPPFYPRPEDISDSSHAAIEIAANTTA SDNNDSMIHQIIVDSGASSHMTGMPHQLHDTQPCERRAVVANRKSTTATTMGKMRIKT PQGKTFTLSNVLVINGMPMTPP H257_11081 MATVATAIKVNEHNYRTWMTYFKGRLMAKGLLTTITSTNTAEYH QPTTKVDQIEVSKEWTKLNWNPRQETMQDFLHRFHQLTVRLNEVGIREIDYNQVLKLL TLMPWDFCHLVDRLLNGATDPQMPAVPQVGTQTTTVPWQHSQEDPAAAVVAATETEAG GAKTGNCHYCGNNGYWESDCRKKAREEGTHAGRNHDRNNRSAHAANQEQSSSNVAYLF SAMEVTHNIAENSDPESPETTPPPQLPSAPTNAAPITKPTFENLTWDWKNRPTREIAV YGERIHVMFFSPIDLESAMLTNFLSNGSPVYPRWMTHPFLVTNYDESGFPFKSLPTTY AGARYKK H257_11082 MPLQPTASTANRPRNPRGPKGGKTHLDHDERRSIYESLLAVSSS GILPRGAIVKLARQHNCHPDTVKRVWAGGQSSIREGHISADVSSKIRGNSGRKKTRTS EEIEDAIRQVPQESRQTTRALSHACQIPRTTVLRHMAECPRLKARSSYVKPFLTPSNI QERLRVAALLFSRQRHRRRQRRLEILRLRGILRERNAVESAALHDAAWYTMYRSRNTP SFLTTVSLPPDDFDDLLQVFDQEYTVLSGPGRRGRPPRIQHKHAVLAMTLHFYTAAVE HKTLQDLFGVSPSTFARVLSNAERAVGRSLNRIADAAVRWPTTRQQQHWATLTNAKEP LVEGVFAFVDGKNYRVQSPSNIDLQNAQFNGR H257_11083 MTMTNFSPSEFNVLWADIRLYVNKSWNVRSGRKCEVSNRDMLFM LLTTMKTGGSWDIVATIFKEASPTFQKRVMNFVKVLHPFVMHK H257_11084 MPQALRELSCNQRHDVIRHLHQFIKGGWLTHGAFAKTARELDVS ARVVSAIWRTFRGSGKIESNKAGNVGRPKRYTVQDIQQRVGAVPFEQRSTMRDISVAT GIPVGTLSRHLKKGTFRRRSTRIKPLLSDANKQERVQFCRWFNADKDRRTMYLLANEA PPCRSWKSKRFIPKVMFLAAVARPRFDEGRGVLFDGKIGMWPFVDLVPAVRSSRNRPA GTLVTTLVNVNANVYRDSNMHDIVHVDEKWFYLTRVKKKFYVYDDEEVAARSVKSKNF ITKVIFLAAVARPRYDPAIKKDFDGKIGIWPFVEIVAAKRKRINREKRTPVTVPQNVN GGVYKEFMLQKVVPAVLARFPVGELRRGVRI H257_11085 MLKGQKKKNLTDSECNSMVQHLLLRCTKAGSIPMGAADEVSQFQ RKTSTCGRKRLHKDLPKRIQAIPQSRRYCFRSFAHDLGMLKSTLHNYFKRGVFAKYSS VLKPALTESNKVCRLKWALDHVCDRDGAKYFDDMYDTVHVDEKWFFMNRVQKKHHLLK VMFLTAVAHPRWDETSGKWFDGKLGTWHFTEIVPAQRRSGRRDAGTPVMTTVSVTRET YKAMLVDKVIPAIRAKWPGGETKAVKIQQDNARPHVPPSDVDVVAACKADGWDMEVVF QPPNSPDLNVLDLGFFRAIHALQAEKHSSSLEEIVAATDAAWDVVSTKTLNKNFLTLQ RCLQEIGALNIVDDTDADDNLVAALGLVE H257_11086 MKLALLSAAAIAFASREHDGKRLIRLSADHSEWMTDAQVADLTL RDIGFLDDTDGDWTRVLELGAARQAEESTLHRSALLATTPYPTVATYPKLVNGAIAKI QTADLKRSLESFVNKFANRLYNSTEGAQSCGWIYDQVVELAATVVTNPNVKVTVRQFT HPWGQYSVIARVEPTTIVKDDIVILSAHQDSINSKDRRDPVKRNIAPGADDDGSGTVT ILESLKYLLSTPKWTPIRPVEFHWYSAEEVGLRGSKAVAAEYAKANTSVYAQMQQDMT GYVRPGTTPVVSLISDFTNKKLNTFLETLVAAYLDIPVSHTVCGYGCSDHFSWNATGY PGSFPFETDFKDLNRNIHSQNDTIANIDFNHMAEFTKLSIAYVVELTQNSATSC H257_11087 MPATFLYDPWVNFPAALISSSVSKIARWVVSKSLRLPHDETEKA QLLDELVAIVDGQRAIKEERQMASSAVKEKALTATALIRDETMQRASKRKSVDGDDDV TTSNKEMALILVQQAEIDLEKQRLEYKKLKLQAGVNEQALARKERAEMREIELKRHTD LRRNLRLRATSQHKHNFGGAVKSRAMLGGVLISWRQN H257_11088 MSDGDATLKFRFEVAGVVRLVHLLRIPGVFITKSNDRCLGAEAL CIVQTCRITQTPSLADHPDMQRHIYNGHKRIHCLKFQGVTAPNGLCIHFWGAIEGSRH DTTLLKESRLVAFLNNREAAFCWCTYLWRPGLRYFTVDHVGLQGQVHQRPTTHPFDTP GWSHSGGQARRWTAAVCGARRKG H257_11089 MRELEAVGLVNRIKCAMCASVPRMHRHKTRPWRLAHDHRQRVHQ LCTEPYPNLDVTRTVLVGAREFFTLDWLKGYWQLARHEDPQMYYSFMTPFGVQIPTCV LMGKTDAVRSANRLFTSYLPTYCSMASSPKSSRMYLLRREAQPEEMRLIPQGRVLWRS RLNRRSPAFHAIPSLSRRSANSSTQQIGSAKKTKLISIQLSPVGWDVGHLVWFDKIKE ALWAMVTMAHPLADVMVCLYTDTSEGCWRAIASHVPLDALALPLEEHCAFTDISKLWP IMEKEAFAVVESCKPLNYILPRPADLRLFIDHNSCSTFSILPIPQHGKAGIVGQVARE TSLTEGSLRQDPQGSRRQPQLHDAYCLWVIETVQTINGLILRAVKTLTCELRLRGTDW HLVLALVQGALNDMPSDRLSGIDPSVCLQPPSG H257_11090 MRSLGLLPRHECGFVYNAAVLPDPPSFKGSTKSERRTFIRHYNN ACMEVFTKKRVAMWDMAIRDYRGVTEADAPHERLTTAIRFDTTILDADSSFGKMIENL IREDATPATAVAVPSGPPKSGRSEGSGVSRLSQVAASSDECNDDGMHNATPNIQVPPT QDAEGERNRRDGLTKDREKRRLLLVNHYKVFSLEIGHGKPIKWSRCGYASSMKPRNDA VPVKYGLQRYPPTHTSAPRIVLKKDSGDLRIAIDRSLCHGLCRTNLDASLTVLVGDRA FLALDWFKGYWQLALHEDAEMFNSFMTPFGLAVDFVFAVLLLKRLLAWLDDMLGCAEI PEDLQRPLRLSPHDLLLLRPKVLHEEMRLLPDQGRLFVCATNWMRASIPCYTELVGPQ PLDAAIKRHQPLAFLSAAFPGTSERWPVVEKEEFAVVESCKPLSYILTRPADFRLLIS TDPALVRTQQVETRQPTWCATGHRRIEATTKAVHDVFAWSTLEADDKTIKPQELIHFD CLSMSTVTNRWQKVLVVKDDMSGIV H257_11091 MRPTGNLVMDVIQGTLNHMLNDRLRGMAPVIYFTGLSVATPLSA FVNTVTKEAADIAWLDSTCTNHMDELHEATDQVHREVGATSA H257_11092 MVAALPFPWVHAIIFSVHHLRCNPPPSTRRVRGDGPPSSMGSYT PLAATSHSAGGRQWSVTKMVDVEMVWRGPTGKEVQHHNGRPPLHTTDHRQVCASVQIT VAVHHHYRTPLSTAAATLQCPPSSTHSTARHHHPRLQKDGYRCTRRPWWTVECWIASM LEDITSTVMPTIIDALHRVILTSVSRSLRDRS H257_11093 MKFTLLSAAAAAIAFASSEHDGKRLIRLSADHSEWMTDAQVADL ALRDVGFLDDTDGEWTRVFELGAARQAKQNTPHGRTLQETTLYPTVAMHPKLVRGVNA KVQTADLKRTLESFVNKFANRLYNSTEGAQSCGWIYDQVVELAATVVTNPNVKVTVRQ FTHPWGQYSVIARVEPTTIVKDDIVILSAHQDSINSKDRRDPVKRNIAPGADDDGSGT VTILESLKYLLATPEWTPIRPVEFHWYAAEEVGLRGSKAVATEYAKANTSVYAQMQQD MTGYVRPGTSPVVNLISDFTNKNLNTFVETLVATYVGLPVSHSVCKYGCSDHFSWNAT GYPSSYPFETELKDLNPNMHSQKDTIATIDFDHMADFTKLSIAYVVELTQDSATSC H257_11094 MRLRQKPYGKFASNRSSGSDLPLCAVDAKVLVSSRRPDSPAVPT PPPPDSPAARLHEPPSGPLPSPTPCVFATHCLKSAGGFAQIFAAIPLPPALSRPLPSP TPCAFATHCLKSAGGFAQIFAAIPLPPAPSRPLPSPTPGRHLHRRTSLSAAAATLRRP PSSMFSTLHHPPPSPQIAACQCNDDKGVLYNTPPSTIFAPLHHPPPHIRRGKAVECSK DGGRRSDAAWTYGKGSAATMKYGHHCTRRQRAVGHSDGGRHRSIAQQVLQTFSRLDEL KRFIFSA H257_11095 MINNSGSLFPSARVVSTAVVPIECFSPDEILELPPSTAQKTDCL SLFFVGLWRENVAFAEALQQFEQRADWINSRWLSLIQVIM H257_11096 MSPKVASAVAPARVRGKKHLTLAQRHRIYELILEICVQGQLPRG AMLYVAQQFQCDSRTISRIWSRGRASSRNGRGVADIASKIAETLVAKERVLRTRLKPQ FAKSPKNRGKRCGHWRSSLVSLVARLDTICKRLDV H257_11097 MEKSIEINGSNEYKLPHMKKDASIANLSSFHVECDATSYESALM HFNNRLAEEVHFEAMVNSQEQVI H257_11098 MDCGYILVAKDEFSQFKWLWESDVVNAQDVARRLLQWFSVLGVC YHWVSDQGSHFKNEVIAELKLVLGRALSPLDLIPIPVNN H257_11099 MRESTNESVSNARGSARLEEVAQRRKAVAARTTETQLDERPPLH PVRSSASPYNADMPFMEAPANTTRTEDGERGTLTNHVLRGHAVTGGRQLAPGLRKGLE YGESYAPVMKEDSLHLVTTWGGLKCCQRDATNAYIYTRADREICIVPPDGFEGDHGES H257_11100 MCPILACHHMASSVSAVLTSSSSPGRMSSPRIDAASLECRVMRL ILRRVGDAEDISEGMAWSLASRTPCLHGMMQWKRARSPVMCAVMPLSMITPWCAGRLV QGTVYNRMLNQLDCKHVFVVVFLIFICIVVLFVPVWSAATPTVYCVPTVSAASSTFTV SGAATSVASAQLGCRRLLGHVLTGGRFPATRHLVCRGISQLQLWRWRSRNL H257_11101 MSQADKRRNWSYDEDIMLLIQVANDKPFTAEKGQVIKVWQTLAK TLMESEQFTRVVDARKLLNRFTILVDEHRRFDVASAKLFGSDQEEQDKHMLFDDEVCL LDDIKTTATTDKSKAIADKSNAAADKDKIEQDGLLIRELAMQTMKRSTDKPPDGESSK KKPAVESRRTSLASAMEIESERERATREKELEFQRFKFESDLKQHEMDRDERRAEREH QLAIARIEREKMSYLLKAVLEGRKQLNLLYSIDLYYMDLILC H257_11102 MDPNDQLALRVFKWAKRKNFSYATRVLLLEYGLGIPVERPLIPD IRFDLNMRDADELLSYRFDVRGVVELTDLLGIPNVVITSARDRVVGVEALAILLRRLR YPITYYNMVASFGRSREQICRIFNYMYCEAIHNKGAPLTKVWAFPDGTKIETCRINAA SRGAEGLNLQKRIYSGHKRRHCLNFQGLTTPDGLCIHFFGPLEGSRHDVTLLRVSKCK SFSHPTNTFSVVISSTAIQHIQSRSG H257_11103 MGQDQPGAPRQEDDLAAGSYMLLTIHPDHLKYVQAATMTPDNRH VGSRLSNLRKRIRETFTDQFKEFTRKLTPAGDSTPESAHINRFLSLLPPHIANSEISE LKLDDERQKVHDPNMARKAISTNVALMTTRDYHYCGKTGHFEAE H257_11104 MVSPSDHGARIVVDVVAVALWISGVTDGEWTRVFELGAARQAQK STPHGRVLQETTPYPTVATYEKLVRGVIAKIQTADLKRSLESFVNKFANRIFNTAEGA QSCGWIYDQVVEVIAKAATNPNVKVTVRQFTHKWKQYSVIARMLIATYVGLPVSRSVC GYYCSDHFAWNVTGYPSSYPFETELKDKNPYMHSQNDTIATIDFNHMADFTKLSIAYV VELTQ H257_11105 MKFTLLSATTAAVAFASSKYDGKRLIRLSANHSEWLTDDQVEDL ALRDIGFLDDTDSEWTRVFELGVARQAQKSTPHGRLRGSRAVAAEYAKANTSVYAQMQ QDMTGYVRPGTTPVVNLISDFINENLNTFLEMLIATYVGLPVSRSVCGYYCSDHFAWN VTGYPSSYPFETELKDKNPYMHSQNDTIATIDFNHMADFTKLSIAYVVELTQDSTTSC H257_11106 MDGAFGAADVERNRRVSSDRVVVENFFDRVCSLWKVSYATFTWG EKIYGVIQRTTFAKAGRVSAPLSHESPESYCHGPICSLHASFEIEVLLKKRRTSKFRQ RLRLLRLLACVVERPIIPNVRFALQTITDADSRLKFRFDVAGVQRLVVALRMPEVVVI SSRDRCLASEALCITLYRMSYPRRYYDMMATFGRSRESICRIFNDVIDFLFDKWKELL YFCD H257_11107 MYSAAVKTKGSYMDNIFGFIDGSKFETCRITQKRERVASSFADL QRLIYSGHKRRHCLNFQAVTAPDGLCEHFWGAVQGLSKLEAYLDARRDLFRDFLVYGD PANGVLEWICSGYKGSDLDDRRNEFNSSMGKLPNDGN H257_11108 MNDEVATDVTEGQQARKWWSDADDMSLLTQVNIDLPFKQAKNTT KAWDAVANNLRQVHGFGRIGLDGKKASSRFYQLLRVHSKFQESSKYLSGVEQDETGKI MLLDELIQLFDEASDERQAERATTAAKATEKEAAAGYVREQAMMRGRRKSNEGDDSTD SDLASRKRKAIFETQEREIALEHERLEFKKYKFEMELQEREKDRMERIQQREDERKRN DDMMDLIRHLLHR H257_11109 MKHYQTWEKHAVDFGLKAPTLEKLVVKVAAVCSKLLHDCFVSLP RMTTLRSKCKVFTHYP H257_11110 MFRSHLDQHTQALAKDNYADTINNNGELFREHLSLWVVLVDKGY IGLAASARAIHPKKKAVSGALDRFDMDRNKEVSSDHVVVENFFGWVDSRLPVALMPLY LEDNATICHGTLQEHGS H257_11111 MRLTCPLRQPPVQQWVTEQFGHTLHITTIRRILRDKHKIETYNI KEESAKGRYNFQGPKYPKLDKQVAEWVVVANAKNACVTGELLARKGLQITTELNLQNQ VKCFHGWLYRR H257_11112 MAAMKNLSQLGIKTLLMCFYHCVACVNNRLGGALKQVKALVSKH MFKMYFSRCELECQQYWEAAKVAWSACKVLVARDFARYFEEQWFSGDTANWQADIHPA HGSWFMRNVPSVGHNSRRDIDVQSPTPPTPPLQTSPSPSKKLLRRFRRLMQFALLEGN YFFKVKYCYHLLFALQQQINDVRGMPLPPHPDEASQPRDSLAVAEALG H257_11113 MHVMSPSNVRVRPTPMAMVLYVLIGAVQPRSVTRPKCLVASFLW QSLFASVLRHRKRFNQGQWHLSWVLQLISQQKLKQWSKRSASVAQPASHAFLNKVLPV TVDVVLLGVGQRV H257_11114 MTEGKRCSHSSARADTRTTCYGTAAPIVTRLLDHGSGTVTILES LKYLLATPEWTPIRPVEFHWYAAEEVGLKGSKAIAAEYAKADTAVYAQLQQDMTGYVR PGTTPIVNLISDFTNENLNTFVETLVTTYLGLPVTHSLCKYGCSDHFSWNATGYPSTY PFETKLKDLNPFFHSKKDTIDTIDFNHIADFTKLSIAYVVELTQGSATAC H257_11115 MVAATHPDPPLLPLTPQPANYVHPLLSAYHIDHLRDPPSSDDSN NSEHLDHRIADDLHQTAPNVPPTNTTEPRQRRRRRSWRIQRLPLPTPDMNTARIQLDN VLRRETLESEAYIGDVGTPPTPDLRVDSLRIATTNINKNTYGKLRAELATWFRANALD FLIIADADLPAHKATQLWTPSPGGAHTPSLMAISNHRVSLLYDIQRWHSRIDARSTTY SPSGRSLAITIRLGKGTLVTLLGTYCQDNPAAHKEDTDREWQWLAQAATRVAGPHHYV IMGGDFNTYGPNPLDRSAPTPRTGANKDIGIAFQQWTQRLGLTSTFRHRHPTLQRHTY ALNNTAVTLDDIYISARTAHKVGASGIWLHTINSSDHAGTPYMALNLCPGDHTPSRAY WSPAHTRRQHPHPCQGGN H257_11116 MVTVFVTRNGIPTPTLVPATGIIRAPLPSSSSEPDSDPDTTQPP ATTPLTISQLRSKIAADKFLARQDRIRANHAATRPLTHQPRPSRHNTHAHQTSTPAQY LSEDDKPIRPRPARKSSPPTTPPRDQPSKPAQDPAPYTATLTTPTSTKPTRSSSTQSS IPTAWRRTQNPDNTGPTDQAHQTIHPLPPQPINTRATNTVLATQPTCHHPTTTPHPPP TQATPLPTSCLTPSITTASPPPLTPAMLLPTNRTTIATTPTRTTLWPTGFRATTPLPC LNTAPWHPGAHNRTNVPLPADPTPHPSPHPSPRPPGIVQPEITQAQSLSLLHHEHTAQ PGTSNMHPRSVPPLSRPPPSPDNRPLPHTQPNGQTAPSVHDSAQQPTRLGPAPDQPME PMGQAAYRATSPTGSVIHLPTKRPHSEVDPDLDLAHPSFTLPPSKRHHAMLYPPTPSY QPGPLDPAQPPQDYTDPPSHEEDLDEYDANSALQFPGLRNLYDEGQPILYRTMTMYWE REAHLFQGFTPVEIADIEQHFSETMVRIQFTINPSLQQPDNPLSIVNFRKEIEAICEE RFGLTFHGGLSEHRQQLLGTPIQRTALAWAAPRRGYIFLRDISVVMLYQYAGVLSNGL SYHQLEYRNRSKLAPADILCALRALGATDAIIQSYSRMSGAHGPRDHRAAIGCVNWPT EGNYRFRLVFPSQTMAETVYANYRLHAAGTNRLDQVPPSMKLHPLRDLSWDNPSSTFF HPLSLSATKLVATKVRIGPLPTFTTPADILAALHGSPPLMWTLSTTTQPSPLTPHPRQ PSYGTSQAHMGPPASTSVI H257_11117 MSCHFRFPHFTHEWGQYSVIARVEPTTVVKDDIIILSSHQDSIN KKDRRDLVKRNIAPGADDDGSGTVTILESLKYLLATPEWTPIRPVEFHWYAAEEVGLK GSRAVAAEYAKANTAVYAQMQQDMTGYVRPGTTPVVNLISDFTNKNLNTFLETLVASY LDIPVSHSRCKYGCSDHFSWNATGYPGSFPFETDFKDLNPNIHTQNDTIATIDFNHMA DFTKLSIAYVVELTQDSATAC H257_11119 MELWLKHLHLHDCLLQNMSHPHRRLDHPCPPPAYAHLYPSASQL KLPFRSDLHFDTAPMYSPPTYLPLGQRSDHNAISALNPLRQILPPKDRSQIFPIPARD FPPFSAIAPTGTPSPRSYIQCFLLDLRTAESPPAPAQLPIHTQAQRLHTIDAYAHQIA EINCYKHGRSMLEGTPISSFRPPANNMANGWRSTLTSRHPRRPMGSSTSARPILPSSR LSPTASRPAYVPRAYLQRFKRNNAGPCLTLRALPSNKPFAESSDHSARGVGSSGTPPV KQCLDPRRPSAPPPFDFFADALHVPANPPQVHQEAHLQTIYRFSLSPVPSTEDITPPT FVGLLSSAVLLTLCPAHIRQVQSRAGACSHGSRGMGT H257_11120 MTLRQYGNPDDPASTTQPEEAQRIMAYSFSLLHVSLDIPSIQLW KLLTFLDVGILSLQRAQNVGSEGLDMNSFLVVTDSEKIPQQLVNEMHIPRPPNREMYH RDDPTRPSHHHTHGPLVQPKRPQHYRQSHLHWRTQERKFVPPQSTETQTITTAITHET GTQAAMLVDYGTTTERRTVAETEPTTTGVEQLPRPTSTAFPDQIDMDMDAAEVTVLRV SPRPWSSHRDQASPDPTQPAHTADLNSASSPGGQQAPCTAQSEHDWEMTDQLHFPRMK QPVPISPPATTLPATQTARNESEEGHRVHRNPATQSNLGIAYEGRVRRHGFVLRFHGD ARLSYTCGYFNKGRGDWREVPLTPQTRTHPIATWKQIQTCGATPNLTTSYRPDVNMED LMVAYLQTTMHDLTSPPNNGHDIAQPNACLSIDLTTEDPLSGAWLDTASDGWLSGHSG GGSLLGKVNYTPGAPHIVKEILWTEVLASLTDSSVMLVHRNDNHFDPMYYFPPSLMCD PSEPQAEPRPQTELAPPWSWPISLPPHERPPPPIVAKPKARTKDTPVSPPQTHHHMDA RQEYEGFQYVVPT H257_11121 MSAKKLVGHLATICLVSTFHWVLFAAVERTACSSAKHASTRWGG RTKGQLRKAEAPEHGLVGSNVDGTPGDVSKEAPVGAGPGATVVEQHWEHLAVVQAQPC RLVDDVAADLKARHVVESDAGQAFPVGYVGMNLFGQLTYWCPDSNRLSTPHRMAASCR ISPYPNQPNPHRSARRLTNPVAPDAIPRRHNRGLNPNAHPRRNPKLMLNTQTLLR H257_11122 MADFTKLSIAEPSNGLRFDFVVVHDKEVYSHDSLKYLSSFRSAG PFAALTSVLLSRALCTTLKSKTKLPAAPRRPPWNALQGSESPAP H257_11123 MLKVFWQGFEDVQSSWEPLKKLMRECPAVVKMYVATKKDAEDYE TLAKAMKRAKTVQ H257_11124 MKFALLFAADAAVAFASSEHDGKRLIRLSADHSEWMTDAQVADL ALRDIGFLDDTDGEWTRVLELGAARQAQKSTLQGRALQEATPYPIVATYPKLKQSLER FVNKFANRLYNSTEGAQSCGWIYGQVTELAATVVTNPDVKVNYSVLARVEPTTIVKDD IVILSAHQDSINRKDRRDIVKRKNAPGADDDGSGTVTILESLKYLLATPEWTPIRPVE FHWYAAEEVGKFGSLAVVAEYANASTAVLAQMQQDMTGYVAPGTRPVVNFISDFTNKN LNTFVETLIATYLGLPVNHSACGYGCSDHFSWNASGYPSTYPFETELKDLNPYMHSQN DTIDTIDFNHMADFTKLSIAYVVELTQDSATSC H257_11125 MPFHEDFSCPHCGDLTTCQWIVVDGTALAPMRNTVELDTLRDHP VLVGGGLWHGTKSSNRAFLPSESLQNQLKHLSMTTSTHPSTLTPPPYLAQLLQLTMTE QDGSIFFNIPEKPWYRFFHDLSKSTSVYNGLIMNPAIVAAELNMWIESKCLSQRARKV FRDYFPTLNLVIAHERDDFCPPVHLMTQPESPETLFSIFYSRFPDADRVVIYDNACNY HEYCMNREPQFFANQVTKQDRIHAKGHVGCTIGHNLDEFWWAKAVNSQVAEQGNALLD GVKKQSTFMTIGHYALFVRFVMANMNRRKITNFISRASTSALEEFREVAQAIAKINSV VFGRSSTRRCTCAFCNREV H257_11126 MQTLDVEYNVHSKVETLLYDKTEATQLWTILLMYNAAQENNREV FQGLIATSRAKLQYFVDNRNKCAIRTATTVDDHSRALDAHSESAFSFDQDYDPQSQKT ITCVVTWQH H257_11128 MKFALLSASVAAVAFASSEHDGKRLIRLSADHSEWMTDAQVADL ALRDIGFLDDTDGEWTRVLELGAARQAQKSTLQGRALQEATPFVNKFANRLYNSTEGA QSCGWIYGQVAELASSVVANTNVKVTVRQFVHPWGQYSVIARVEPTTIVKDDIVILSA HQDSINTRDRRDIVKRKIAPGADDDGSGTVTILESLKYLLATPEWTPIRPVEFHWYAA EEVGKFGSLAVVAEYANASTAVLAQMQQDMTGYVAPGTRPVVNFISDFTNKNLNNFVE TLVTTYLGLPVNHSACGYGCSDHFSWNASGYPSSFPFETELADMNPYMHSENDTIDTI DFNHMADFTKLSIAYVVELTQDSATSC H257_11129 MIQTTDLKQSLESFVNKYANRLFNSTEGGRSWHWIYDQGVELAS TEVNNTNVKVTVRPVTHEWGQYSVIARVEPTTIVKDDIVILSAHLDTINDEDWEDPVK RYIAPGADDDGSGTVTILKTLKHLLATPEWAPIRPVEFHWYAAEEFGLQGSKAVAEEY ANTSTAVYAQMELDMTGYVRPGTTLVVNLLSDFTNKNLNTFLKMIIGAYVGIPVTHSV CGYDCSDNYSWNMSGYPSSFPFETTLDDMNPNYHSQNDTIDTIDFNHMVDFTKLAIAY AVELTQNSATSC H257_11130 MAANPMPPQSSTPQDFFGGGSTLFMDEVFKAVESYVDDGSMSLE HKLLESHGGPSMTSTQAQHIHEGVSQYMDAVRTAFVKNFDKFELYMLRNVFVAPENID EIRTAAHTHDREQSTDVDLSNDANPDDVDAELHALRQDIYAATQKQAALLAAKSELDQ QVSGIHQLAVDLRFTQDIPKIAGPLGEHVKSAVALREAIGTMKGLQLHLNSKARVNEQ PRPSPIVSYESVISRFHKQPMQVPLSDLVRVNQLLGRR H257_11130 MAANPMPPQSSTPQDFFGGGSTLFMDEVFKAVESYVDDGSMSLE HKLLESHGGPSMTSTQAQHIHEGVSQYMDAVRTAFVKNFDKFELYMLRNVFVAPENID EIRTAAHTHDREQSTDVDLSNDANPDDVDAELHALRQDIYAATQKQAALLAAKSELDQ QVSGIHQLAVDLRFTQDIPKIGKNDHWYFKSHYLRAAGPLGEHVKSAVALREAIGTMK GLQLHLNSKARVNEQPRPSPIVSYESVISRFHKQPMQVPLSDLVRVNQLLGRR H257_11131 MSVKGVVRLRVLAGKAAPSPAIGQALGPLGVNMMEFCKSFNERT AQFIPGTPIPVQLTAMNDRTFTYATKTPPTTWFLKKAAQITSGSDLTGQKEVGTVSLR HIYEIAKVKQQDELLDFIDLQSLCKSIIGSARSIGLKVVDK H257_11132 MISLAHVKSSQANDAAAAAARRIAWPGGNITSNKEEALQKFLER KKAAEDRAAGITTPTAQQSSRVRSTKHPHSPSKVEKARSHAGHGARKAGGDKPQLFKK RHLVHKQPKFVKRAGKNQHTHRHEDTPTKGLPTTTKLSMSLDDIVKKQK H257_11133 MQRRQFLGQMLHGGWIQVVGLTERPALNECVHAARGVDVQHLRV PPQVTAVRADHVVDELLFMQVEHHAEEVLHGKLHQHLGPAERRDKDLVGRHDLHLGHH YLLVGTFSFG H257_11134 MLDVTIVDQSDEQHESFESMRIPVDSIGLDEHQGRAYSRRTTRH SVLKCYHDDEIMRTAIDSHDENTTTPGASVKCNHLCGAPDAPDPLVCGAMHQKAYGYT GYDYSPQHWCNFVKDALFPVWKCLEDADATLGPWANSSMSLDSNGELQCWSNDGRECY VAPEGCKATITSGAKPLSKMVCGCDIMSKFGNTRYDQGPRSFCNFAQKALNASPPNLP CTPPSTVCTFKDGDVIGLQADTGEFVGRCNGCLRKPRTTWDVILLGPLSYSTKWTVRN IPHTGRITLVLDTGAYLGRCHGCAGGATLPDQAFAVPPSGVNYLVDPNVQWTSEEAGP DNRIALKGDMGTYLSRCHGCVPIIGGVPDAMFMHARD H257_11135 MKFTLLSAAAAVIAFASSVQETSPYPIVATYEKLVHEVTAKIQT TDLKQSLESFVNKYANRLFNSTEGGQSWHWIYDQAVELASTTVTNTNVKVTVRQVTHP WGQYSVIARVEPTTTVKDDIVILSAHQDTINKKDWNDTVKRYIAPGADDDGSGTVTIL KTLKYLLATPEWAPIRPVEFHWYSAEEFGLQGSKAVAEEYANARTAVYAQMQLDMTGY VRPGTTPVVNLISDYINENLNTFLETLVATYVGLRVNHTACGYDCSDHYSWNISGYPS SFPFETELVDLNPNYHSQNDTVDTIDFNHMVDFTKLAIAYAVELTQDSATSC H257_11136 MDEEMTDVRGARPHGHPVSKGGRKKLPEKFLYAHVTYKKRQAVI DSFDAVGMASTLDKHFHHLHKVQRETARKKVYAWTKQREHIKAKALNPRTAHQKCSRE LGMGTTLPREAEEQLARWVASM H257_11137 MKFSLLSTIAFFAAAATAQTNNTVTGIDGGARTLKEEATEVDDS KLNLECHEQSGNYIPSLKAGQYSTSAFHNCFRTIKQIYEFADALVAQNPKLLSKFVIS KTYRGATIYGYKLTKGHSQSLYFQALQHAREWIAGSSIVFSFASILDDIANNKPTAAD EYDLYFVPVVNIDGYAQTWNGNRFQRKNANQVDLNRNWPTPFENPKTPPKKASIYPGL KPFSEPETAGINSWLKTKRVLGRGLQSAMGAYTPKPAHGFYLAYGVFPDYAFREFKKP ALTIEIVGKTFSVNVSTIPTHGLEVYKGINQFAKEVTVFNGGGVTSTKPSCGD H257_11138 MPPCQTMANQLSGSWACLVDMGYIGIQHSLRGIHPKRRPVNGSL DASDLERNHAISSDRVIVENSFGMVCSLWKTNFHLSLMPLRREDEAFYGLVMARYQRM ASEKKRKKAEAQ H257_11139 MRVADGDFQSVEALFGELRTLKHSINSLVSDDLLILMVLGVLPS EFFGAQIVLDTVPFRIVDVEAKLIGIFGSKSKWDIMGISDVQSRWPITPHQPVEVNNV ANGKRRSSSYVTGGTGECFYCFGKSNYPTGGAKHVKKGCPFRVKRHKAEVAVDLVEQF DRDAGYKIANPDAVGNGLLTVAAAITLRSVKVLN H257_11140 MPCETSLHHTSRLRLYCEGGRDIDEDLKAQIAFGDEGFYVEALQ DLRMSDGAWQVKVKWLGLDDLESSWEPALSIYEDVPVLFRRWAMSRLDDYGVGEMLDD MERAFGHPL H257_11141 METCSVCGADDGPTLRQCNMCSSKFHHMCIVEEAAKKGWPEAKE CQELCAVHAVPSSAPQDVPLSAKKRGRPKGAKNKAKVQDVQDESTPKKRGRPPKSSSS ANMPSTPSEVDVKPIPVGISEFTPDHKSIRSETMFRNVSFRPLREMQIHKNYNRLLAF YADCQNFMLSGGENDPNIDTPGKNTKAEAKSMVAL H257_11142 MNAGRTYLQISLFKPGDESHDLTEWAKKFLVNSAFDEETWDVIL LGPLSYSTKWTVRNKPHTGRITLVLDTGAYLGRCHGCAGGATLPDQAFAVPPSGVNYL VDPNVQWTCEEAGPDNRIALKGDMGTYLSRCHGCVPIIGGVPDAMFMHARDWRSNGPA QFTLHRLPSTPQKMLAVKASHDNSVESVDEATVAGTVVVVACCVGVVVAAMTMHRRRR VRRQGFVRV H257_11143 MLGDARYEQWFHDNLRCDQAVFRRLVDLLRQRLQPNERQSRHSF EKKVAVTLYFLVVTTVVDVLASQAKLWIRLPTYPGDWSRIERGFYKVQRFPGIVGAVD GTLIDI H257_11144 MNDDEVTLELDFDHDALAKTPKHTPLWDDDGVAALFRLRYKSQL SARIYSKNNADKKTA H257_11145 MASNIGGASSASPDEVLMIVDKILARRQFFREKQRKYCGKKNAD SAAMKAELVQLESVLDDIQATRPVSVAPREASDGPLSWHSIAIVFKREAHRVLTDRQS LVTQTQEYHSLMHAMQCFVVMNIPPPMSCRRNEDAWHSATLMADPRARNLAKEWLTQQ MYHNMHEPFALLPAMSYDDEDCFHIDVRMSDDVDPFRRLGTTQCMCPGTVHMFRRLVE SNIQSVMFLHPDDTAKECTGNTRLLHTTTSKGIFVNSLQGHFIEADRFIMVMRQVEHD EVHVCHPQHKQRHLRSWTEVRQVSPSHISVRVVSHASHLFRPATGFLSVDELAALRGI DVTDVDDGLKDEYVRRQLIQREYAEFLPWRQRLIDLMHRHRTKN H257_11146 MSAATTKAALDALLVDGGDVSNVTVYGQLSRKRLLSRGLIFGDL LLADNTLLNFMVRSAEGWLTKEQVVALKFAMHLGDLLTARGRLERTAGSNQLMFVLFE CSVTVSWESVHPGIAYSSDQSTFYTSADSGSSQTNMVTLQGRNACKHHFNNASCVRGA ECQFFHGHPDEYNDLRKEWLAKRLQLKQKVSAIQGDTHDPAEKKLKRARAHVFCDWIV ATFGQKWLQQRGGGTVLDVAGGRGDLSFELWANHNIPCTLVEPRLRKPRKQHLKALAA DPGLTLPRQIQACLDTTTMVTHSSTFDLATLVVGMHPDEATEVIVDMALRLQKPFAVV PCCVMSRLFPTRRFEHQVVATYDVFVQYLRSKHPNMQTTFLPFGGKNQVLYMLPCHYE SPPPKI H257_11147 MEQRMVLTAGNTTFPASSTTSSYGPIMETRSSRRPSTSTTCSGK DEKHDQHTPFPTMHINLGVVDKNGGTPHHHCPLSMPTNRPPSSSTSPSDHFGSESHTP TSTRSPTADVSRKQRHNLREQRRILRLGSQFDHLKATLEAAGLITNKKDKHSILQATI EYIAALEYDAHARMTPSSSQPTSISCTYGKKDQNSIPTTTPPIFTNDPMDSADLHIRS LRTHPHSIEYLWMPELVADSTASFHQVFLHASVPSAIARLDGSLVHASHLFLQHFPNS MGLSLYGLCVGLPNVHKMQSLVTTIIAGDGRSAQTKMTWQAPGTSPKPVFVSVALVRD ATGQPVNIQCTALPMVA H257_11148 MLPLVRDVYKRVLVVGRDYPLGLDYVREKAKAAFFDQAHLTADS DIKRAVHYGRWKVKEMVGVIQLKKYRAMNQRYTPADMHVLLRTLHEEAVASLSKSDPL DRTNDPRPASF H257_11149 MSSDGGPHAEKDLHHQEEHVVPWDIIARELAGPPRHFHDDFLQA LQPSFMELAAKNSMLLSDQLTHFLSREFPSDAAPSTGYATYARRVVEHIHRMRMEAPV FTSNVDQDACIKHPSTISNQYISFMELKTALQIPSMISHRLATLDPYALCPSVMSPPP VHHASSLLRPRPLIFPPAHHPSKAAPSPRDFRANVCKRSRKWLGGPPRPRILVVKGDV VELFKKGDKKKASKTVQVASIDTITSSTTSSFEFTLRMKDGTTLVLTCDSRESMRHCM AEIATTCLVHAALDTGQPASVLSKSVLRSGGVSRFLSSSTDVIGVMAANEKSFSRVAA LVAAGASCRPLLRWSFASMLFLTSLSSSPPLHRRNMLDLFLQHQLPLDAVGDDHDGGG GWSLLQYLCLVRNVSSVERFLTELRTHHDHQGHLLLRCLAHVNAANDSVLHIVFKTKS TPSLHQDYIDASERGSTSIVNPILTTVLPSPPRQKEQQHEDVDAASERIGCLLLQAAL TTVHWPIETSNQPKQMDSYHHESWVNQCDGHGDTLLHAAIRARMWTCVDLLVKLHASP TVVDAQGNTAIHLALKAHAPTAVICRLIRSSTMSRRHPPPAANNHSNNPAQPKPIQTT SSQRGFEQRDLHGDTALTLALQTRHELVVVCLLEHGAEPNPPRSIPSSSLSSSAATSD SPLHVAIKTGMPVAARALVEHGAAWHVKDCEGSSPLPLAIRYGMYALAYDLLIAMATN QEGGNAGSLFVWVEDESVVGLCLKAGQLEMAALVLDMGGLRALQEGLDMNKKHESVLH DVMKLRMSMLHNQEADGEAQPLGRRNTRPTPNPLLLRRRRVTSTSSTTTTSSHGLHHA WPGGSSHDSSNAHDYPPPPPSARTKRSRAKSKSDSDILGTLQTTCNVGDWTHPCVHDG GGGRGCIIVRVLDGMILGLLQQLNPCICLSKPTTTSTTTSSTIGPDAASSPHSMYHRD SGGGFDWSMDYPPDWYTPLHMAAAGGPRTNQILRWMLLQLNPKQPSSATPCHAILGFL AETALVGPLNETVLHAAISSSFSTWDCRNALDVVDFVSSLACTDDDDDDRGTNHVLLM QFLNATRTDGASALHLVVEKIMSIKNKSSKMMDMLHRLLQMHVDTEGWNAAGLTPLQV AIQHGGGAAILERMLMDKGGGCDKDGRAEDGRVPLMVALQANNAHAFHMLWERGANAN LVMPYTRHTLLCTYQQQQQQYHDPSIVDAMIQRVLVAKSSSQEQEDWTSPLHADAATR LPDGRRTSAAEFRSFDPVDTIGIPEKVVKHKQDVSKQAWGVLANDRHWHDLSSRFKRE EHSTLRLVAVEAKQQARTWLSKRIGKQKILADALVLRQQHVAEHGRVLDAAIAHDFAE KMFVDKHVADMVSEAKLEIEREKQTLMYEMSVQDDELRTIARHGRTVQGQCTSSTSTS STSSSTLGTSTLLHRLELFTRQRIDDETGDEVGDEYLLPLDYARNTMC H257_11149 MAEIATTCLVHAALDTGQPASVLSKSVLRSGGVSRFLSSSTDVI GVMAANEKSFSRVAALVAAGASCRPLLRWSFASMLFLTSLSSSPPLHRRNMLDLFLQH QLPLDAVGDDHDGGGGWSLLQYLCLVRNVSSVERFLTELRTHHDHQGHLLLRCLAHVN AANDSVLHIVFKTKSTPSLHQDYIDASERGSTSIVNPILTTVLPSPPRQKEQQHEDVD AASERIGCLLLQAALTTVHWPIETSNQPKQMDSYHHESWVNQCDGHGDTLLHAAIRAR MWTCVDLLVKLHASPTVVDAQGNTAIHLALKAHAPTAVICRLIRSSTMSRRHPPPAAN NHSNNPAQPKPIQTTSSQRGFEQRDLHGDTALTLALQTRHELVVVCLLEHGAEPNPPR SIPSSSLSSSAATSDSPLHVAIKTGMPVAARALVEHGAAWHVKDCEGSSPLPLAIRYG MYALAYDLLIAMATNQEGGNAGSLFVWVEDESVVGLCLKAGQLEMAALVLDMGGLRAL QEGLDMNKKHESVLHDVMKLRMSMLHNQEADGEAQPLGRRNTRPTPNPLLLRRRRVTS TSSTTTTSSHGLHHAWPGGSSHDSSNAHDYPPPPPSARTKRSRAKSKSDSDILGTLQT TCNVGDWTHPCVHDGGGGRGCIIVRVLDGMILGLLQQLNPCICLSKPTTTSTTTSSTI GPDAASSPHSMYHRDSGGGFDWSMDYPPDWYTPLHMAAAGGPRTNQILRWMLLQLNPK QPSSATPCHAILGFLAETALVGPLNETVLHAAISSSFSTWDCRNALDVVDFVSSLACT DDDDDDRGTNHVLLMQFLNATRTDGASALHLVVEKIMSIKNKSSKMMDMLHRLLQMHV DTEGWNAAGLTPLQVAIQHGGGAAILERMLMDKGGGCDKDGRAEDGRVPLMVALQANN AHAFHMLWERGANANLVMPYTRHTLLCTYQQQQQQYHDPSIVDAMIQRVLVAKSSSQE QEDWTSPLHADAATRLPDGRRTSAAEFRSFDPVDTIGIPEKVVKHKQDVSKQAWGVLA NDRHWHDLSSRFKREEHSTLRLVAVEAKQQARTWLSKRIGKQKILADALVLRQQHVAE HGRVLDAAIAHDFAEKMFVDKHVADMVSEAKLEIEREKQTLMYEMSVQDDELRTIARH GRTVQGQCTSSTSTSSTSSSTLGTSTLLHRLELFTRQRIDDETGDEVGDEYLLPLDYA RNTMC H257_11150 MVPTLPPTPTQALADGTGAEKTDCFECRATGTITCLGVATYAFH ELAKVPPSQVGHRRWLAGFGATFVGAGFVRAFN H257_11151 MSTASAATPAAVAVAAPPAAPAATTTTPAPINTSSSILEKHPRL MDELPKHAKPAALANKVLAYGTAGFRDNADILGSTFHRMGMLAVLRSKKEHKITGLMV TASHNAAPDNGVKLVDPDGGMLSQSWEKYAQQLANAPTEKVVEALDSIVRAEKIDLDQ PGNIFIAKDTRVSSEHLSELAREGALLVGGNVLDFGLQTTPQLHHYVRMWNFEQYNKG DWASETGYYNMLVDAFKQLTSGVDPKKLELRTPLYVDCAHGVGAPQLSKLAKELGDLL HVEIRNTPADGQLNHECGAEHVQKGRAPPAGFSRDADRGKRACSLDGDADRVVFHYFD DQGAWHLLDGDKIACLFADFFADKLAVLELDEISLGVVQTAYANGGAHAYLKAKQIPI GLAKTGVKYCHHKAMEFDIGIYFEANGHGTVMVKDHVIDRLQKLETAVDDPKKKAAVS QILAAYQLINQAVGDALSDLLFVEVLLLQQNWTIQHWNAIYNDLPSRQTKVQIADRAL VKTTDDETACLAPEALKDAVDALVAAAGPRARAFVRPSGTEDAVRVYAEAQTEAAAND LALRVAQAVHAHAAGVGNAPTAFVA H257_11152 MNPSTSSSGAHWSRRHGGTRAAATGPRDISRARNAQSSTSTRAA PLSQWKQLRQTVAIRQDVADIGSSSPVHSSAQSRVRISHLCPEDKQKVGKLIRQLMKV GGENEQLRKQVDDVTAVLDQAVADKDDLQIKLAQSLDMLKTYQARMHAMQAQSDMEQA KVTAAAADAARLQQQAHNHAHALQVLRLDHDDAMRKASRQWEVEMDRLREEIAMERRQ RLLLAEQLDAHQRLSEDKDHHSDISSLLNLSSTDKVRDIVLEWKHRMDAALEPVLPTP VTSSQTQTDAVHTHTIGVQVDSVAEIIRIAASEASPHHPEIAPPKRPQQQRMLPSRTS MVDSEFYDLSLLDLVQAMEGMASVVDPSPLSSPRQSLGPLTPDQLSMRHDVVDAILTF AI H257_11152 MNPSTSSSGAHWSRRHGGTRAAATGPRDISRARNAQSSTSTRAA PLSQWKQLRQTVAIRQDVADIGSSSPVHSSAQSRVRISHLCPEDKQKVGKLIRQLMKV GGENEQLRKQVDDVTAVLDQAVADKDDLQIKLAQSLDMLKTYQARMHAMQAQSDMEQA KVTAAAADAARLQQQAHNHAHALQVLRLDHDDAMRKASRQWEVEMDRLREEIAMERRQ RLLLAEQLDAHQRLSEDKDHHSDISSLLNLSSTDKVRDIVLEWKHRMDAALEPVLPTP VTSSQTQTDAVHTHTIGVQVDSVAEIIRIAASEASPHHPEIAPPKRPQQQRMLPSRTS MVDSECVCIFIIYQNM H257_11153 MLDVARWTATSTSRWELILVFVIGLCSGLLIPRVMRTFQRFSTV KDIPDACFHQRMTLRGTVVSVSDGDTFRLRHMPLWRGVGTYPTKKPTEHTLQIRLAGV DTPEMPHFGKECQPYAKEAKAWLVHELKGAVVTVTLLRRDQYGRAVCMVTYSKSWWAV KKNVSEELLRVGLAKVYRLAGAEYGGLLDTFNILEAHAKKAKLNIWSQ H257_11153 MDGDFYVERFSTVKDIPDACFHQRMTLRGTVVSVSDGDTFRLRH MPLWRGVGTYPTKKPTEHTLQIRLAGVDTPEMPHFGKECQPYAKEAKAWLVHELKGAV VTVTLLRRDQYGRAVCMVTYSKSWWAVKKNVSEELLRVGLAKVYRLAGAEYGGLLDTF NILEAHAKKAKLNIWSQ H257_11154 MRLLNPSILGCPALRQVDAMINQAFGPTLESERVRAKLLRYLRH ILDQGQCSYLITPSGSYPLKTHLPDSDIDVCLEVPDAAATWHLAVTQALIGAATPVDS DFRDFTASDWTNWTVHLAQQTRLPISPCFFWLMAFKLAKYSITRQRIQS H257_11155 MAGVVATSHAKKGSTVDAGLVLPLPVELDEGEDPTEVIVETILT LGAHILFEKYLNASVVPYTCKWVTRSLDELLAVVTMQTRCDFVLPSWDAVPTAIPLDN LARAMVPVTPVHASRYTMVLSALDSHGSTAGVDDECCIEVRPSKADSAAVNKSTDNKH AMSQNPIPRPLPDHIGRLVLSIDDDDDKDARRFEADRRRKLLQVLDEASQQDQQQKAQ PPPSRPTTCPTSPRKQRRISASAPPILKPVEHSPAPGAVQVGFAVYDVQYIDGRVHKR PVSTAGCKHQPRQVKNSVADSSRRQKGDGRTMSPTPEVPAVTNMPIPRVDYTPCIYGN VLHDLVLVPGVTMSSCATTTSTTSARNKPHASSATMSMLVSATMSTLESSIGRLDDLV AATMTSPSSHSRVRGEQNKSPKSSVNRSSMSVKTTGSSPPSNLATDRGKHDRRHPSRD EPVLRIPLSPPKADMLRQTSRPSPLVASRRPAA H257_11155 MAGVVATSHAKKGSTVDAGLVLPLPVELDEGEDPTEVIVETILT LGAHILFEKYLNASVVPYTCKWVTRSLDELLAVVTMQTRCDFVLPSWDAVPTAIPLDN LARAMVPVTPVHASRYTMVLSALDSHGSTAGVDDECCIEVRPSKADSAAVNKSTDNKH AMSQNPIPRPLPDHIGRLVLSIDDDDDKDARRFEADRRRKLLQVLDEASQQDQQQKAQ PPPSRPTTCPTSPRKQRRISASAPPILKPVEHSPAPGAVQVGFAVYDVQYIDGRVHKR PVSTAGCKHQPRQVKNSVADSSRRQKGDGRTMSPTPEVPAVTNMPIPRVDYTPCIYGN VLHDLVLVPGVTMSSCATTTSTTSARNKPHASSATMSMLVSATMSTLESSIGRLDDLV AATMTSPSSHSRVRGEQNKSPVLYRICVFNINPRSTDRPCRSRRQDRVRHLIWQRTAA NTTGGIHREMNRFSGFRCLHPKQTCCGRPVDHLHS H257_11156 MEEAISAAERDIEESRDAAGRIQRLYRGRTGRQVAAIRLAEKKA RDQLKYESARQIQRIVRGSRGRRRVVRIKGDLAKEALREVYRRERIALEDKKKWAEQL DMEQFKADTVTRKERERKLLIAQQEEEIARLDAQTSAYKVQALEAQKTLKAAQAAAWK PMNDGFGNVFYSNELTGDTTWDIPEALQPKLEYVDREEDWEELYNPHTGQLYKHNRVT GAVQMNQVDASTDDIPIPTAAAVIDKAIVAETTDKPVVEQQHHPIASQTYIQPSKEKT EQNASSVGVLCWRCKKTRAVKECVNCNPDQSLYCASCFTKEHKAVAKQTHDFKRLNHD GTHACGMCYKCDGQASYHCATCDPGFQFMCDLCYASTHATAEYAAHRNLVHFRPGSAL CSHCTKLVALRGCVECQDKFCIDCFEMTHAKGKKNAHVPIVLNVVKAPLDDVTDAYCA ECDVALCTKLCNLCGDGLCDACFAHLHAKGRKAEHTFVSWKLMTQAGDWIEILEGKVP MYYNIVTKESSADKPSVLLLGVDRHRDMIADKVRERKKAESERESELVALREQLKAMQ EAAELARRQQKELDLLHENPDVVLVKPLKKRWWKSKAQLAKDKAEREHHVVLSLLLTK QRQDQLHREAMEVGSVAYANAIVADMVQQS H257_11157 MSWDLSHLGAKYPWLQVNASTLEEFRAPFDWSKRSASRDEVDNN TAQKPPGRRRHKPPHRGSSPSHATARQVLVINTRDHLTDAVGLLQRYNSPPPKPTKAS VLLSQTSNLAIPLLAHDMKDHDETPRLMSPANSQSSTHATKQSKFDVLPSTCTTTTTS KHQLAKALMYPAPKLKPPMHKQKQQNSHHIRDVAPMQPYATVPASSMPSPAITSKHSS GHSKSAALLAKQSQDLERALTQAKADELALFKSLGDTIDAQRATIPLQFLFERNMSAY CIQKGVETILHVFSTLQAKYVCQGFTKWHQVTTAHRKQQIHAATRQRVQAKAIALLNR VAGDCLMGNTKRALYRWHRTVKRMVADERDAAATAIQKHTKRRRDSRIVQRMREERVA MDAQNVTRILQLLALEANGRKNLWTIREHAGKIRERRAYEARTREEAAVRIQSAYRGH RGRVMVQRLRRIVADAVAAAEAIKHAALLRLRNNSTLIQSIIRMFLVRLRLYNKRMQD AIRADNALTIQVDIL H257_11158 MGNAMSGRMDGVYGLSGDEKEAILRFEDAEIHLLREVFKGITQT TADNSVDKENFLKIFPMPGLLGERLFAVFDKNASNSITFNEFIGGLAILTKGSRNEKM KFIFDLYDVSDKGSISKREMTTMIHQFPQSAMILLKDMKMMPASNDNTHADFEQVDLD QLVEDAFVNHVKDKSERMTFDEFLAWCDSTPMISEFLMSILPVDEHQAATNSAVAAAK LDDSRLANPSREGASTETRLRPRRRNSYSPTRKEKTPLGRFQFSSSLNLKRDATRELL LQAKESTAAPTVLQAIENAIVEIDKLQPRQVTTTSSRIPRQSFASDICHDGYLWKKGT RLKQMKRRYYVLQGNFLYYYATKVDTKPKGVIFVSGRYVDVPSYPTMEKQGFFAFQLT ADAGAVEETRFLYAKTTVDRDEWVAELQRASCKVSIDQFYALGRELGKGRFSHVREAT HLVTNESFAVKVIDKTQLGITEKELLRTEIAILKLVKHPHIIHLKDVYENKHHIYIVT ELLSGGELFNKIVGRSRYTEAESRTVMKPLFESVAYLHKMGIVHRDIKPENILCGDKL TDLRIADFGLSKLVYPHEIMKMPCGTLNYVAPEVLSLVGYGKEADVWSLGVIMYLLLR GELPFHGKTKNDIIQKTLHADVCVDQDDSWTGISLDAKALLQNILSKQPSKRFTAHEA LQHPWFGKSND H257_11158 MGNAMSGRMDGVYGLSGDEKEAILRFEDAEIHLLREVFKGITQT TADNSVDKENFLKIFPMPGLLGERLFAVFDKNASNSITFNEFIGGLAILTKGSRNEKM KFIFDLYDVSDKGSISKREMTTMIHQFPQSAMILLKDMKMMPASNDNTHADFEQVDLD QLVEDAFVNHVKDKSERMTFDEFLAWCDSTPMISEFLMSILPVDEHQAATNSAVAAAK LDDSRLANPSREGASTETRLRPRRRNSYSPTRKEKTPLGRFQFSSSLNLKRDATRELL LQAKESTAAPTVLQAIENAIVEIDKLQPRQVTTTSSRIPRQSFASDICHDGYLWKKGT RLKQMKRRYYVLQGNFLYYYATKVDTKPKGVIFVSGRYVDVPSYPTMEKQGFFAFQLT ADAGAVEETRFLYAKTTVDRDEWVAELQRASCKVSIDQFYALGRELGKGRFSHVREAT HLVTNESFAVKVIDKTQLGITEKELLRTEIAILKLVKHPHIIHLKDVYENKHHIYIVT ELLSGGELFNKIVGRSRYTEAESRTVMKPLFESVAYLHKMGIVHRDIKPENILCGDKL TDLRIADFGLSKLVYPHEIMKMPCGTLNYVAPEVLSLVGYGKEADVWSLGVIMYLLLR GELPFHGKTKNDIIQKTLHADVCVDQDDSWTGISLDGNVMQPFECRGRSHV H257_11158 MGNAMSGRMDGVYGLSGDEKEAILRFEDAEIHLLREVFKGITQT TADNSVDKENFLKIFPMPGLLGERLFAVFDKNASNSITFNEFIGGLAILTKGSRNEKM KFIFDLYDVSDKGSISKREMTTMIHQFPQSAMILLKDMKMMPASNDNTHADFEQVDLD QLVEDAFVNHVKDKSERMTFDEFLAWCDSTPMISEFLMSILPVDEHQAATNSAVAAAK LDDSRLANPSREGASTETRLRPRRRNSYSPTRKEKTPLGRFQFSSSLNLKRDATRELL LQAKESTAAPTVLQAIENAIVEIDKLQPRQVTTTSSRIPRQSFASDICHDGYLWKKGT RLKQMKRRYYVLQGNFLYYYATKVDTKPKGVIFVSGRYVDVPSYPTMEKQGFFAFQLT ADAGAVEETRFLYAKTTVDRDEWVAELQRASCKVSIDQFYALGRELGKGRFSHVREAT HLVTNESFAVKVIDKTQLGITEKELLRTEIAILKLVKHPHIIHLKDVYENKHHIYIVT ELLSGGELFNKIVGRSRYTEAVIDNPFRYFERSDWPIYIGISNRHETAVRKCGISAQD GHCPSRHQAREHSMRG H257_11158 MTFDEFLAWCDSTPMISEFLMSILPVDEHQAATNSAVAAAKLDD SRLANPSREGASTETRLRPRRRNSYSPTRKEKTPLGRFQFSSSLNLKRDATRELLLQA KESTAAPTVLQAIENAIVEIDKLQPRQVTTTSSRIPRQSFASDICHDGYLWKKGTRLK QMKRRYYVLQGNFLYYYATKVDTKPKGVIFVSGRYVDVPSYPTMEKQGFFAFQLTADA GAVEETRFLYAKTTVDRDEWVAELQRASCKVSIDQFYALGRELGKGRFSHVREATHLV TNESFAVKVIDKTQLGITEKELLRTEIAILKLVKHPHIIHLKDVYENKHHIYIVTELL SGGELFNKIVGRSRYTEAESRTVMKPLFESVAYLHKMGIVHRDIKPENILCGDKLTDL RIADFGLSKLVYPHEIMKMPCGTLNYVAPEVLSLVGYGKEADVWSLGVIMYLLLRGEL PFHGKTKNDIIQKTLHADVCVDQDDSWTGISLDAKALLQNILSKQPSKRFTAHEALQH PWFGKSND H257_11158 MTGPSSISLRCSSHACSRLANPSREGASTETRLRPRRRNSYSPT RKEKTPLGRFQFSSSLNLKRDATRELLLQAKESTAAPTVLQAIENAIVEIDKLQPRQV TTTSSRIPRQSFASDICHDGYLWKKGTRLKQMKRRYYVLQGNFLYYYATKVDTKPKGV IFVSGRYVDVPSYPTMEKQGFFAFQLTADAGAVEETRFLYAKTTVDRDEWVAELQRAS CKVSIDQFYALGRELGKGRFSHVREATHLVTNESFAVKVIDKTQLGITEKELLRTEIA ILKLVKHPHIIHLKDVYENKHHIYIVTELLSGGELFNKIVGRSRYTEAESRTVMKPLF ESVAYLHKMGIVHRDIKPENILCGDKLTDLRIADFGLSKLVYPHEIMKMPCGTLNYVA PEVLSLVGYGKEADVWSLGVIMYLLLRGELPFHGKTKNDIIQKTLHADVCVDQDDSWT GISLDAKALLQNILSKQPSKRFTAHEALQHPWFGKSND H257_11159 MVTSKVAAPRTPLMMDAFSLDEILFLGDTLLLEAQQAQQNMYNN TAPAPQDCFIQLPAVTNAVTTPQNMIPQRPSVAPLEKPTKSMMVRPSLDALPVHSSTP MHKVDSPFLVRSEQMYSATTPYSNSGTPYSNCGTPYSNYGTPYSNCGTPYSQCGTPYS NCGTPYSQCGTPYSQCGTPYSSCGTPYNGSNGGNSTPKGICRIPNCTKRIRSKGLCKA HGGGRKCSVDGCGKGAQNGEFCIGHGGGKRCSFDNCTNAAQSQGLCKAHGGGSRCKAE GCIKSSQGRGYCRSHGGGRRCEAAGCMKGAQRGSFCATHGGFRSCHEENCTRTDRGGG YCEVHRRGKLCTVPDCKKLQKSNGMCTAHIREMQEEASADVAATATTTTP H257_11160 MTASVKMATRSALQTCITPMHTSCSIARFRTSSTPPACPLAGIE VPQNASNTNNCMTLTSCDDVDGYAEEYVEHTLSTQNCVGEKWRCTTVVSRCRVADVHP VEKST H257_11161 MGFLVAGTPLPWEDALEWLNHVRHNGILQFLETYHRVKDISGDV LKWGDELEYGIFVLDDETKTAKLSLRATEILQELLEKEKKFHREDHVEEGCNWVPEYG AWMVEATPSTPYGGFSSDLRRVEPSMRLRRARLLSVLHDNEICPTVTSFPLLGVGNDF TVPPTFSRGPIAASEYISDAIINPHPRFGALTANIRKRRMRTIDIRVPLFKDAFTHEM LEDEAAWVAEHARPALLPPVDDDHPRKPPSSPPPCTPCPLATKRRHGTSFSTKSKPLD HIEPHPGFIHMDAMAFGMGMCCLQVTFQAKNIGESRHLYDHLGVLSPIMLALTAATPI LKGRLADTDVRWATIAASVDDRTPHEMGFASSPPPPTYAKMAGGGIKRLPKSRYEGIS AFICNHKHGEDMTSSLDQYNDVDIPFDEDSYATLRANGVDDILAKHIAHLFIRDPLVI YEQRLHVDNKLATDHFENIQSTNWQTVRWKPPPLPPPSSSPPSSPSSDGGNGHIGWRT EFRSMEIQLTDFENAAFSVFIALVSRVILTFDLNLYVPLSKVNANMEIAHRVNAAVDE TFFFRRHLAPPDAAECHTAGCHVQCDNKDATHGQCVHGSESFEPMTIAEILLGKGSYY PGLLPLVHAYLDHIECDDVTRRVVDRYLNLIAKRATGELPTAATWMRRFVQCHPEYAH DSVVTSSIAFDLLDVCAKIGEGAMPCPELLGEVKVEPMRGQTGYQVPLKSDSVDAQKR GDLLRRYARRSSKDSERACCGRGSDGNAQEA H257_11162 MTMRRPVNPCRIVASIHHYCTMATPSPPPPLPMSSPKSRFEDSL TLEGLREKIEHFADDRDWHKFHTPRNLLLAMTGEVGEVCECFQWRGDDGQDVDGWSAE DKEHLGEELSDVLIYLVRLADRCNIDLATAALRKMDKNAIKYPANLVRVSFTIKGVNL LQISPYRLKAARKSILLTNRTRIRTRVHTP H257_11162 MTMRRPVNPCRIVASIHHYCTMATPSPPPPLPMSSPKSRFEDSL TLEGLREKIEHFADDRDWHKFHTPRNLLLAMTGEVGEVCECFQWRGDDGQDVDGWSAE DKEHLGEELSDVLIYLVRLADRCNIDLATAALRKMDKNAIKYPANLAKGSSQKYTAYE H257_11162 MTMRRPVNPCRIVASIHHYCTMATPSPPPPLPMSSPKSRFEDSL TLEGLREKIEHFADDRDWHKFHTPRNLLLAMTGEVGEVCECFQWRGDDGQDVDGWSAE DKEHLGEELSDVLIYLVRLAGQTPSRIT H257_11162 MTMRRPVNPCRIVASIHHYCTMATPSPPPPLPMSSPKSRFEDSL TLEGLREKIEHFADDRDWHKFHTPRNLLLAMTGEVGEVCECFQWRGDDGQDVDGA H257_11162 MTMRRPVNPCRIVASIHHYCTMATPSPPPPLPMSSPKSRFEDSL TLEGLREKIEHFADDRDWHKFHTPRNLLLAMTGEVGEVCECFQWRGDDGQDVDGA H257_11163 MLRLVLIAAVAVTVVEACTVIVAGRNATVDGSVLVAHTEDTGLG AMDLRLVRVPAMDHAHGSTRSIYSYARPGYPRIVSNERGDWYKPVNDQQALSTPLGHI PQVKHTYAYFDQDYALINEKQLGMGESSCGAKTVGWALGQPGGKNLFSINELTKVALE RCDNAQCAVKTMGDLAVEYGFYNDFNGNITHPVYMSSGEALAIGDKYGEAWVFHVLTG PGNGSAVWAAQRIADDHVSVIANGFVIREMDLSDSSRFLASTNVHTFARDMGWWDPDI DGPFDFTAAYGYSKEGPLLPLYVGRRVWRVMDVVAPSLKLQPEWGFYPTVATYPVSVR PDRLISVTDVFNLLRDHFEGTPFDLTQGIAAGPWGNPNRNGGTTYGVGGGWERAISLE RTVYSFVHQASRTPVADAIGGVMWYGLSSPHGSVYVPFSCAQSTVPPSYTSVRQSQFS RESAWWAFNFVNNWLTLRYNVMYPEVWAAVTKMQADAIERYETSRKYIVSNTTSVSDA VAYVEQAHNAFATDVVNAWWTLGDHLMSKYSGGAVVTGEDAANQITTPYPKWWIQLTQ FSSWPGKSLHVPVSLRSWQHDTSSVGVTGVSVALMGISVTGLVAMGAILYGHRRQRQY RQLA H257_11164 MKPAEETLDIQACRITLSRSFSLHIMAQTTESPVQLMSRPNLDH HLYSSMSATLHDDALSPHRVSTDFALDFGCRDRLTVMMEVVPSPPPLDTSRRCMLLVI LLLSSQLKCMEELFPPPPSNHPRPHSGPTCI H257_11164 MKPAEETLDIQACRITLSRSFSLHIMAQTTESPVQLMSRPNLDH HLYSSMSATLHDDALSPHRVSTDFALDFGCRDRLTVMMEVVPSPPPLDTSRRCMLLVI LLLSSQLKCMEELFPPPPSNHPRPHSGPTCI H257_11165 MQQSSELSLVPSMRRRGSRENERIFANVQVQVHVEPPMRRKKRS LTVNIEVDDLSATSDAEDAWWKVEYTLPPSGHLGAHQTIQPKSKDDEACHRALFESTH SGISIGSSAISIAVPATTCSAASDEYAPAYPSKKRRLSPDHAHGKTSSDQRPRRHHFQ RMIETLEPEDCNILFYTM H257_11166 MQIRSIVSVAAAAIASVVVAQTTDETTAPAVISSRPGICKGTGA SADCAKYGQGYSCVAVESKVIGATLLSQCVRGNACGGNLNGRCPTFTNWPASIRRVQP VCAFSEVPNCDNAMNADGTSSVTVNDKTVSCFGATFVSATNSSHTKKVNGIYKCVDQK LYREKNMGFLDLTEKQLRACAGNVTTNNNGVRVSLGLCNAHGTCAPKSSLSGEYGCIC NAGYSANDNCFVAVGNVCDAFGQCGSNGACDPKSGKCVCKPGSMGNQCSKCDPTAPAE SVCTNRGSCDVGGTCQCNVGFEGLQCETRSRPVLDDSTTEDSDTSAANLAVSTAAVVT VAIAMSFAF H257_11167 MQLKYIAVLVAAAFAQDDSSTDAPIAEATFKLKPGVCNAAGAEC KKFGTGSDYSCVAVQSSTASLSQLSQCVKKADLTGSNICVSKQAGVCPTFASWPAAYR QVQPICAFVPDPKCTRAVTATGEVVSARLLQEGSPTTTKKATVECYQQEVPLTNGTVA RVNGFYKCIDKELYRQKNYGLDQTQKQFKACAGNTTNGFQNGLCNGHGTCSPINAFSS EYACKCNRGYDEKDNCNVPTGNVCDGLGQCGALGECVPETGLCRCKPGSMGDQCSLCD IKSELACSGPTSGKCGLGGKCVCAPGIGGPQCEIKSVTEPPARTTGAPDGSSAAPSSA VEAAVSMAVLVTAAAALFA H257_11168 MDGDNADITQDETNDMEVDTTTTEAAVEVQDEVPREVSIEDADI GAQFGRVLKWTDLGIHLHTDKVNAVTLTLDALTQQELQVHVRALIDGHPWSYEFLCGG QGNTEASVLKRGVKGKEVDVACTFCGRICSDTTAGHYWFHVSVHPESGQERNDAIQIS MGVGSVVGDKAVLVGKDSILPRSSSVVIESIGITSGRSALKSRNVLLRSHVQPTPAVA SDTILTVMSDPSGTDLLTADQRASFAAACESARRRADRFGGTYIPPPAKHFLDSKVVR MMQRSGAVADKGFATGFDVMAADEVAKRDARRHRFNLSMDYDTKTALAISDGATEDDV RQHQDQLARRAARSAKFGNMATLDLDAASAKVAADRVDIDMTLEARPDALHMYSLDTQ FSRVRTKDILAYFKGYGPSYVEWTNDSSCTIVFHDSFTVTRALLALTWELPPSSASTG VQSPLMAQEGKEEGKGWRVGREIGAADDEDMDRRTWRVLVRRATLRDFPPEKTWKRHQ YHKSTHRRMQRPVANKRRRLEDDEGPDKRVRGGDDDTME H257_11169 MRGDGDGDALLEPLVDPSPSTGQARKEDIMGSLLHEVKMSPWEK YWYHGRVPWKVVLHVLLMLCGTLQIMLYDNQNSAYVRASYRNWAYFFLPNGASTSSFS MDTTPLEESIFTVNDTLLAVAHVRDAYFSIKDLSVATYDYHYASPSVVQPMLMSVIQY QNKTILPRREFNITPTSLGPFDDLHTSAAALAFLHSIVSIDFAFPLRDIDYGPFYFDC FDWTVRLTLAMHENSHLRMRVDECSLDVCSVNDVWATLRGRFLWLNLVVAGLTVIYIV LLGRSLLRAVASFSNPTTSRRVPWTQLPLLLLRRVLTLHHGLVMATLVLVLFNALWNI SSVVVHVPLSFGHRFVQALGPLLLWTTFVGYLEPNRRFYSIVLTLGRSLPKVLGFLVG VSPVFFGYALFGSIVFGYRVQGFGGIQEACVTLFSILNGDVILDTFASLQTDFPFLGA AYLYTFIALFIYVVLNIFVAIVEEAFFATRSQSRALDTLAQQIFTPTRPETSNESTTQ QQQQRR H257_11169 MRGDGDGDALLEPLVDPSPSTGQARKEDIMGSLLHEVKMSPWEK YWYHGRVPWKVVLHVLLMLCGTLQIMLYDNQNSAYVRASYRNWAYFFLPNGASTSSFS MDTTPLEESIFTVNDTLLAVAHVRDAYFSIKDLSVATYDYHYASPSVVQPMLMSVIQY QNKTILPRREFNITPTSLGPFDDLHTSAAALAFLHSIVSIDFAFPLRDIDYGPFYFDC FDWTVRLTLAMHENSHLRMRVDECSLDVCSVNDVWATLRGRFLWLNLVVAGLTVIYIV LLGRSLLRAVASFSNPTTSRRVPWTQLPLLLLRRVLTLHHGLVMATLVLVLFNALWNI SSVVVHVPLSFGHRFVQALGPLLLWTTFVGYLEPNRRFYSIVLTLGRSLPKVLGFLVG VSPVFFGYALFGSIVFGYRVQGFGGIQVGWLYPFLSWKSIATVLWTRRKRV H257_11169 MRGDGDGDALLEPLVDPSPSTGQARKEDIMGSLLHEVKMSPWEK YWYHGRVPWKVVLHVLLMLCGTLQIMLYDNQNSAYVRASYRNWAYFFLPNGASTSSFS MDTTPLEESIFTVNDTLLAVAHVRDAYFSIKDLSVATYDYHYASPSVVQPMLMSVIQY QNKTILPRREFNITPTSLGPFDDLHTSAAALAFLHSIVSIDFAFPLRDIDYGPFYFDC FDWTVRLTLAMHENSHLRMRVDECSLDVCSVNDVWATLRGRFLWLNLVVAGLTVIYIV LLGRSLLRAVASFSNPTTSRRVPWTQLPLLLLRRVLTLHHGLVMATLVLVLFNALWNI SSVVVHVPLSFGHRFVQALVCQTHMTKRGGSITGRRRDLCCCGRHLWGIWSPTVGSTR LC H257_11171 MRVVATNAKDADPRRDEYSEDDEGGERSPSHLDRQKSRIGARCH TANGGARVSVRDQISDLNTKCCKHHDMLREMEERQKADKALVVRLVDELEKKVAQDLF VMQTSHDKALKAANEEIDRLSKCLNVQRGTVTTLQEQCVSLHKHLHQVEDDVQTLATE VLGD H257_11170 MTLHDVAFNMAGQTAQSNQQPLDPPIAVDIRFLSGFHHRSLSRT LGTMSSKVVALGLQRRLADVSAAALIAQNPRLRLPRATESTDMYIPFLVDGFPIMDKR WRMGACVDAEFRRQLLP H257_11172 MAEADINQAVAKMMESLDKGTFRPLQRNAYVCSVKCFDNKDVSA EQLQHCIERCQQPMAQVQNYMSQEMQTFQNRLQRCAMECQDRAKDSLSSQPSESQISA AQAGMEKCVSKCVDGHIKLLPTLKKRIEDTVSSAAH H257_11173 MTLKHPPAPSPPLETKGSSSVEEEDPDSPKSRPPRVNGLAAPHS RDQFISCSGHVISGVAFYIGLGCLMLPSNPSNRAQTNHAAINMTFPREQGSVEAWQVV VFAIHIATMALLVVAWISCERCNPGEISSGETCESSSWLGVVLDGPRWDKTRYCAICR KTIPGMDHHCTWLNTCIGRRNYAQFFTIAVCGNILFMLQAVVSVYCSSWNYTAHQPTD GAVRGLAQSAFVVSAVVSVPCLVMYSTLLAFHVYLSWQGYGTYDYFLKRRDVQRAERR KKRDAQMEAQAASIQGQHHGEPATAVAVVV H257_11174 MGKSSKSKRRDESSDDSDSDRERRQQRREKKRSKKASKIVKEMG YSNDQNPFNDANLTEKFVWHKNKGRSSTSATTKSSSSSKRRHDEPDEDKREELVREIM KVRRRRDDRDAEREEMERLKNEEMRLRDASQYEDWQQKEEDFHLSQARVRSHIRIREG REKPIDLLAKNLMLASLSAVAMIDPTKALKDTLAELKHAHVELRPPEDLLADLDARAL SELKDEIEVYMELEGKTGEHFTFWNLLHVLCQHDLQRLHRRQSGRGAIHRDVEASITE MLQDKSSVELDELQHEINQTLAGGGSGIDVEYYENVMQEIAVHQAKAQLRAIHTSLLQ RLADRVKEQEANAAASIAAALPNQDSSNDMELSEKAKAKQLLEQESQVDDDSRAALAM WTLEMGRGNEDAETQLIDQVDVATARLAAWASQYRPRKPRFFNRIKTGYDWNKYNQTH YDGEESAPPKIVQGYKFNLFYPDLIEKYVAPKYTFDPIEGTTEFCVLRFSAGPPYVDV GFKIVNQEWEFSHKRGFKCVFDRGILQLHFNFKRHRYRR H257_11175 MPVSNMAATSECLPRCRPLATTQAAWMALYSRTWCLAWVPVVGG YFEVFDDILKLLKHIQDGNLLREQSAIPLASEAATASASHTKLALGTYKMWFLKYKPL AATHLTLEERSGHSSRTI H257_11176 MAISMSTRSRDRVKAVRDLEWVMSSPHLLSSEGDVFLPPAMCLH ILDDPVTIAWLEGLHVDPTPLHTFLGQQLRRIDKTSLDLGVYFTSLVEYWLRACPALC IDRLEVAASSAQLKFVFRCNESPWLSIAPCHSIHMHWEVSVKFFLLCEPTTTTTTTSS FINLGLTAGGETLASRIAKMQRKVRMCTENVSVSRWLSAHFEWTQANVVLQSHILLRG YLYYPLALLASTTSAFSSTHVELPSTINADHLRGWWSSDVEADLIRTTPPSSRFAILP KIFWLSKVSSAPSNDDDHTQHIVGSPGVPSLPLLSRADVVAACLAHFSSSPTAKPLVV AELRESEHATTFVEVSRGAVVNPNTWQPPPPPTSTTSTTSTFCAANQADTTGQRQQSR RSSRSSTSSTSSRHASHSKATRRPRTDASTATTVDFALDPLTTTTEPTQFIAQLSLAL GDDGISYSVVKAEVARVLMHRKGRYLVQCLVVLVELEDGNLFRIGQLMLDARGLVHVD DDDDDGGIDHVMATIIPDKTKWWSVRFLLKAKHVLGGAIASSPRHDQVDSVTTLWVET VVLAMLEPQHKGKWHATAVDLCAAYALPRDDAVACQILSTLLDGRDGGAAAEAFGRTQ RWDVASRRSSQLTHVYVNHPHTSAKAARRHLPATSSQIPTHDIADHAHQLSLVQATLD TPLTVHLVETSSQIDTMLTWMDRATNDKTMVVVGLDCEWRPRAFTTNPTCVDGHNDDL YDLGVTVVQLAFPNGHVFVLDCMATCVTVPAVFDRLCRPWIVVTGFCVSGDLDRLVGS YPALARTFQSPHWTCIDLRRVAMSRVRRLAGVGLASLAFTFLNHTMPKHQQCSDWAAR PLTAAQVEYAALDAHIVRVLLLYFTADLNEPSICSTSTTCDDPPPGTRVHTNSWPSSW RVVHHLQSYLGEDDVAAAVTSWGLSGSFYTLPDHPRHGVMVKTVAWTVHTRQQQTSHY LAVVLDLHKTIDVPKLQAFVATTFLTACPDTMALTSERY H257_11177 MEAFQLPVANFHPTMVQHVGTDGATVAADAKQEDPSNNNHTSHD SNSVGRHAVSLASVVDSIGDSMQAALQKVLESYGGPSTMLQQHHHRENNVDGKDDVLD LVQQLTCSRRAERALREKVATLESANARLVDSLMLHHLLRAKLKDELIHERLVSLDLK KELAACTDKLEKTASQPTATADDVWKQSSSDRPSGSMDKSAPPPPPPPTSLFHYFTSD LPSHDEDRGSLSSPLSSSRHSLMDQYLPSTLLLDSPCVTPQQPPPPPQIPEPSSHGEN SPSPTPAFSLDNHDNITAPPPPTRLAALGDLFPDIPVEQVHAALLFSRGDAAAAMDRL VRAHPSFHPSSNRHPPPPLPAAPPTAALNWKTELCVYFLQGKCNKTRRTCSFAHGETD LLPRHHPPNSAGAATSSGHFKTRLCPLYLDGGSCPRSRRDCPLAHGESDLVPAPPSSV VPSACSSSSAAPPLPPLLPLQLAQQNHLVHNGVLSSQAPPTSNGGLGPRLQNYKTEMC FYYLKGCCNYSTEECRFAHGESDLRTIESNAIHGSSTMQLSGSAAAMVDWSSSGGGGT AMNLQHQLLYQQQATSSRAHGSGMMLPPPPPPGLYPRYMAKDEMTKRRATIPARRESM NAMPTWTSHPTHQQQQQPMDY H257_11178 MDLWRVELKFQGMCCNLVGKLPVEKRHYLKDFPTKLSVSMKAKY TAIPATSFFCKFASKDATDLVEYLKRKRMAACVTFLYSKYIFTLYLVVPSDLPQLKCL QRLKKTGANVESLCRKDDGVVIGVLSREINKVAEDRLIAKQMQLQEAARSARKLGSTV GDPLLELELLLQRLPTTKSAIDHCALFMVKQKHRFDDVWSRVVDAMLGFDQGKGRLNV VFLAHEVIRKVRGGTNDDQDNAALASSGLVRAGERIFHKLLDALDTKCRHSTVVSEIL ALWAKWQVRYDADMDVPTTDPLLVDDVIPSVSTVSQISSSPPPSMSTDGSTSSQVLAV MRRHHITPGAWEIYLSRANPDELFEIDHVLTLNGNYSSFLPPNALDGSSDVLGGHNRR FSGDAFLHRYVKKNFKHLIDTHQTTSSVDPDHMRTTADVLKEALVCSWEFDAEATRSI YKSDSAFIDESWRKLEARMPCDNLNAYIMSFLKRCNHNLYATNPAFVALSAALADARM TPSTSTTSSRRLLPPSGTNNDDEEAPKTTDTPDNMDEVDQKILDGIVQVWGPQLSADA TLKLRELPAAVVRRLAKQAVAACVDGKPPAMSRLIVHEAKQQTKGKGKWWSPRMRATI QDAYRRLTGGKRLADDDMNPRPSQRAKDSTTTSTSTSSDSTDTTSTAGGPLTDDELAA LKARIPKPPTLKFHKVKRKDIQRVETNINGTMGMAVSGIPNSGRGMFNLSEHAWPAFS VVCIFGSRRISQDMHHDGLNKVARCGEVGETFVVVNGEVKEVDKFMDQYGHRLIEYDG LVDAEGSMGGFPNDRVYEYPEEHYWDASGFYNNTILCPGCIVDPAKPESKIVLDQLYL VTWKPVEPLHEFFLAYGTSYYEEDDPNHPRKVIKR H257_11178 MVKQKHRFDDVWSRVVDAMLGFDQGKGRLNVVFLAHEVIRKVRG GTNDDQDNAALASSGLVRAGERIFHKLLDALDTKCRHSTVVSEILALWAKWQVRYDAD MDVPTTDPLLVDDVIPSVSTVSQISSSPPPSMSTDGSTSSQVLAVMRRHHITPGAWEI YLSRANPDELFEIDHVLTLNGNYSSFLPPNALDGSSDVLGGHNRRFSGDAFLHRYVKK NFKHLIDTHQTTSSVDPDHMRTTADVLKEALVCSWEFDAEATRSIYKSDSAFIDESWR KLEARMPCDNLNAYIMSFLKRCNHNLYATNPAFVALSAALADARMTPSTSTTSSRRLL PPSGTNNDDEEAPKTTDTPDNMDEVDQKILDGIVQVWGPQLSADATLKLRELPAAVVR RLAKQAVAACVDGKPPAMSRLIVHEAKQQTKGKGKWWSPRMRATIQDAYRRLTGGKRL ADDDMNPRPSQRAKDSTTTSTSTSSDSTDTTSTAGGPLTDDELAALKARIPKPPTLKF HKVKRKDIQRVETNINGTMGMAVSGIPNSGRGMFNLSEHAWPAFSVVCIFGSRRISQD MHHDGLNKVARCGEVGETFVVVNGEVKEVDKFMDQYGHRLIEYDGLVDAEGSMGGFPN DRVYEYPEEHYWDASGFYNNTILCPGCIVDPAKPESKIVLDQLYLVTWKPVEPLHEFF LAYGTSYYEEDDPNHPRKVIKR H257_11180 MVFVSFEGFLDAVPSASSHHDHHHPPPADDTPSLEVVVTPGSPP KHSVNTSRSPLKAMDANYVVFVQDNAVTASTYALRKSHVQIAALHDNVRRCILEHPCT TFACCGPLRRLASARPPAIKSRFFDLSSDLKVDAVVTQRTWVVETFVNDLLDATTGRD LDCAAVGAARHALHLFLDIPSRRHVHVTHALHQLKQPQRTPRTNQNHSSNAHKLCSIC LDPATPSPRHMLEPDMPVGLCDNVCSSVDNRVVVTLGCGHVFHEHCVHPWLLSHFACP VCRTSLTSSC H257_11179 MAALFGPLPAVNREAFKWVPDKDTAKCMNCHADFSMINRKHHCR RCGHVVCSNCSPNIRRMSPQDPFPVRVCNPCYTALDEHLTSTMEWSGMSGHTPTEAER TSEPVANSGRVRIGQLYVKVVEAIGLPSTDAIANSITSDPFVKVVLTGRWSHGQEWSK ELQSTKLTKRKSRTLNPRWHETFVFNVCAPGAELVLEVFNAGQLTQQATKLGQATVPL VDLMDQRRHNKWLDLQLPPTLHRHGLNNDARAGRIHVLLHFKFARVAEFLSHFTAEER YTAPWPPFKAAILYNNFWILLDDLWPYLEVVWSISPTLNWDHPSRSSFVLIAVLWMCM YIEWVPVLVHVAMIGMTIKNLIVVSVKMATADSAVPTPPVMFHSSSNTPSTMMSTAAA AATVLTSSSYSSSSAASPVSSMGTGTSSLLTASVSSSSSSAVGGGGGTTSLLSMDGGA LHDDPPRVYSLPQGFHHITDKMAQVTTDAETKMTLQRVQNNMAWWSGIIKSIELMFSW EDFFYTLQIFVALVVSCVLHVFVPNQYLLMVFVVYLFTMWTVPFGLFTRAIYGLKQGL LSLLHQQRLRAHHEKTVETSRSAAAAAAANGAPFDSSASRVRRGLSMSRNEVVSRKEH RFGAAATALSRRFAEEMNNGASAQ H257_11179 MEWSGMSGHTPTEAERTSEPVANSGRVRIGQLYVKVVEAIGLPS TDAIANSITSDPFVKVVLTGRWSHGQEWSKELQSTKLTKRKSRTLNPRWHETFVFNVC APGAELVLEVFNAGQLTQQATKLGQATVPLVDLMDQRRHNKWLDLQLPPTLHRHGLNN DARAGRIHVLLHFKFARVAEFLSHFTAEERYTAPWPPFKAAILYNNFWILLDDLWPYL EVVWSISPTLNWDHPSRSSFVLIAVLWMCMYIEWVPVLVHVAMIGMTIKNLIVVSVKM ATADSAVPTPPVMFHSSSNTPSTMMSTAAAAATVLTSSSYSSSSAASPVSSMGTGTSS LLTASVSSSSSSAVGGGGGTTSLLSMDGGALHDDPPRVYSLPQGFHHITDKMAQVTTD AETKMTLQRVQNNMAWWSGIIKSIELMFSWEDFFYTLQIFVALVVSCVLHVFVPNQYL LMVFVVYLFTMWTVPFGLFTRAIYGLKQGLLSLLHQQRLRAHHEKTVETSRSAAAAAA ANGAPFDSSASRVRRGLSMSRNEVVSRKEHRFGAAATALSRRFAEEMNNGASAQ H257_11179 MDQRRHNKWLDLQLPPTLHRHGLNNDARAGRIHVLLHFKFARVA EFLSHFTAEERYTAPWPPFKAAILYNNFWILLDDLWPYLEVVWSISPTLNWDHPSRSS FVLIAVLWMCMYIEWVPVLVHVAMIGMTIKNLIVVSVKMATADSAVPTPPVMFHSSSN TPSTMMSTAAAAATVLTSSSYSSSSAASPVSSMGTGTSSLLTASVSSSSSSAVGGGGG TTSLLSMDGGALHDDPPRVYSLPQGFHHITDKMAQVTTDAETKMTLQRVQNNMAWWSG IIKSIELMFSWEDFFYTLQIFVALVVSCVLHVFVPNQYLLMVFVVYLFTMWTVPFGLF TRAIYGLKQGLLSLLHQQRLRAHHEKTVETSRSAAAAAAANGAPFDSSASRVRRGLSM SRNEVVSRKEHRFGAAATALSRRFAEEMNNGASAQ H257_11179 MDQRRHNKWLDLQLPPTLHRHGLNNDARAGRIHVLLHFKFARVA EFLSHFTAEERYTAPWPPFKAAILYNNFWILLDDLWPYLEVVWSISPTLNWDHPSRSS FVLIAVLWMCMYIEWVPVLVHVAMIGMTIKNLIVVSVKMATADSAVPTPPVMFHSSSN TPSTMMSTAAAAATVLTSSSYSSSSAASPVSSMGTGTSSLLTASVSSSSSSAVGGGGG TTSLLSMDGGALHDDPPRVYSLPQGFHHITDKMAQVTTDAETKMTLQRVQNNMAWWSG IIKSIELMFSWEDFFYTLQIFVALVVSCVLHVFVPNQYLLMVFVVYLFTMWTVPFGLF TRAIYGLKQGLLSLLHQQRLRAHHEKTVETSRSAAAAAAANGAPFDSSASRVRRGLSM SRNEVVSRKEHRFGAAATALSRRFAEEMNNGASAQ H257_11179 MDQRRHNKWLDLQLPPTLHRHGLNNDARAGRIHVLLHFKFARVA EFLSHFTAEERYTAPWPPFKAAILYNNFWILLDDLWPYLEVVWSISPTLNWDHPSRSS FVLIAVLWMCMYIEWVPVLVHVAMIGMTIKNLIVVSVKMATADSAVPTPPVMFHSSSN TPSTMMSTAAAAATVLTSSSYSSSSAASPVSSMGTGTSSLLTASVSSSSSSAVGGGGG TTSLLSMDGGALHDDPPRVYSLPQGFHHITDKMAQVTTDAETKMTLQRVQNNMAWWSG IIKSIELMFSWEDFFYTLQIFVALVVSCVLHVFVPNQYLLMVFVVYLFTMWTVPFGLF TRAIYGLKQGLLSLLHQQRLRAHHEKTVETSRSAAAAAAANGAPFDSSASRVRRGLSM SRNEVVSRKEHRFGAAATALSRRFAEEMNNGASAQ H257_11179 MDQRRHNKWLDLQLPPTLHRHGLNNDARAGRIHVLLHFKFARVA EFLSHFTAEERYTAPWPPFKAAILYNNFWILLDDLWPYLEVVWSISPTLNWDHPSRSS FVLIAVLWMCMYIEWVPVLVHVAMIGMTIKNLIVVSVKMATADSAVPTPPVMFHSSSN TPSTMMSTAAAAATVLTSSSYSSSSAASPVSSMGTGTSSLLTASVSSSSSSAVGGGGG TTSLLSMDGGALHDDPPRVYSLPQGFHHITDKMAQVTTDAETKMTLQRVQNNMAWWSG IIKSIELMFSWEDFFYTLQIFVALVVSCVLHVFVPNQYLLMVFVVYLFTMWTVPFGLF TRAIYGLKQGLLSLLHQQRLRAHHEKTVETSRSAAAAAAANGAPFDSSASRVRRGLSM SRNEVVSRKEHRFGAAATALSRRFAEEMNNGASAQ H257_11181 MAATKDMYYVPDSLRREFSVHEMAEFLEQFKEFDTSGDGGVDAN ELSTLMESMNIHMEKAELLSLIAIVDENGSGQIEFNEFVLMMSNLRRGKSNKLSKFVQ MSKQAFQIRQEFHALDDNPVKGCRVVPFKQDMRQWTVFLQGPADTPYDGGVFRFHFQF GHDYPYEPPIVSLQTRIYHVNFIMLLDGTAPTEWLASMWTPDWRSRTLLERLVLLFRD PKPELMIPIYNARDAPPTVGTTARSFGIDCFNQFVEHPDEFVRIATEITKQYATPPPS V H257_11181 MAATKDMYYVPDSLRREFSVHEMAEFLEQVQSIVIMQRNASSSS RNMACSSKNSTRAEMAVLVLSIMRCILSRRLIRRVDANELSTLMESMNIHMEKAELLS LIAIVDENGSGQIEFNEFVLMMSNLRRGKSNKLSKFVQMSKQAFQIRQEFHALDDNPV KGCRVVPFKQDMRQWTVFLQGPADTPYDGGVFRFHFQFGHDYPYEPPIVSLQTRIYHV NFIMLLDGTAPTEWLASMWTPDWRSRTLLERLVLLFRDPKPELMIPIYNARDAPPTVG TTARSFGIDCFNQFVEHPDEFVRIATEITKQYATPPPSV H257_11181 MRWQSSSSSSKNSTRAEMAVLVLSIMRCILSRRLIRRVDANELS TLMESMNIHMEKAELLSLIAIVDENGSGQIEFNEFVLMMSNLRRGKSNKLSKFVQMSK QAFQIRQEFHALDDNPVKGCRVVPFKQDMRQWTVFLQGPADTPYDGGVFRFHFQFGHD YPYEPPIVSLQTRIYHVNFIMLLDGTAPTEWLASMWTPDWRSRTLLERLVLLFRDPKP ELMIPIYNARDAPPTVGTTARSFGIDCFNQFVEHPDEFVRIATEITKQYATPPPSV H257_11181 MQFKEFDTSGDGGVDANELSTLMESMNIHMEKAELLSLIAIVDE NGSGQIEFNEFVLMMSNLRRGKSNKLSKFVQMSKQAFQIRQEFHALDDNPVKGCRVVP FKQDMRQWTVFLQGPADTPYDGGVFRFHFQFGHDYPYEPPIVSLQTRIYHVNFIMLLD GTAPTEWLASMWTPDWRSRTLLERLVLLFRDPKPELMIPIYNARDAPPTVGTTARSFG IDCFNQFVEHPDEFVRIATEITKQYATPPPSV H257_11181 MESMNIHMEKAELLSLIAIVDENGSGQIEFNEFVLMMSNLRRGK SNKLSKFVQMSKQAFQIRQEFHALDDNPVKGCRVVPFKQDMRQWTVFLQGPADTPYDG GVFRFHFQFGHDYPYEPPIVSLQTRIYHVNFIMLLDGTAPTEWLASMWTPDWRSRTLL ERLVLLFRDPKPELMIPIYNARDAPPTVGTTARSFGIDCFNQFVEHPDEFVRIATEIT KQYATPPPSV H257_11181 MAATKDMYYVPDSLRREFSVHEMAEFLEQFKEFDTSGDGGVDAN ELSTLMESMNIHMEKAELLSLIAIVDENGSGQIEFNEFVLMMSNLRRGKSNKLSKFVQ MSKQAFQIRQEFHALDDNPVKGCRVVPFKQDMRQWTVFLQGPADTPYDGGVFRFHFQF GHDYPYEPPIVSLQTRIYHVNFIMLLDGTAPTEWLASMWTPGTTALKPFIHRHTISL H257_11181 MAATKDMYYVPDSLRREFSVHEMAEFLEQVQSIVIMQRNASSSS RNMACSSKNSTRAEMAVLVLSIMRCILSRRLIRRVDANELSTLMESMNIHMEKAELLS LIAIVDENGSGQIEFNEFVLMMSNLRRGKSNKLSKFVQMSKQAFQIRQEFHALDDNPV KGCRVVPFKQDMRQWTVFLQGPADTPYDGGVFRFHFQFGHDYPYEPPIVSLQTRIYHV NFIMLLDGTAPTEWLASMWTPGTTALKPFIHRHTISL H257_11181 MRWQSSSSSSKNSTRAEMAVLVLSIMRCILSRRLIRRVDANELS TLMESMNIHMEKAELLSLIAIVDENGSGQIEFNEFVLMMSNLRRGKSNKLSKFVQMSK QAFQIRQEFHALDDNPVKGCRVVPFKQDMRQWTVFLQGPADTPYDGGVFRFHFQFGHD YPYEPPIVSLQTRIYHVNFIMLLDGTAPTEWLASMWTPGTTALKPFIHRHTISL H257_11182 MGGGKRPASPTELSAAHDDSTNSAPSSPREQALALAKKPRTDDN EPFPRSLEIVPVDAKVVTLTSRSDAARTSDLLAPTMLLSGHGAAVYSLAFSPLGKTAA SASFDRSIFLWTVYGECKNYAVLSGHKNAVLQVQWTYDGSSLVSCSADKTVGLWDAEA GTRLKNYRGHSAIVNSVCPVSKGPQLLVSGSDDSTIKIWDARMKREVQTIEERFQVTA VCFGETASTVFSGGVDGLVKQWDLRKPSDTNNHGVPTPVEILAGHSEIITSLQLSPDG NFVLSNAMDSTLRKWDVRPFCEGDRCRMVYYGAKHSNDRNLIRANWSPDMKHIGSGSA DRYVYIWDAETGALRYHLPGHAGSVNEVSFHPNPSEPIVGSCGSDKNIYFGELLE H257_11183 MSPMVVDDDQGLRRRRRRKVVKDLEWSLSSPHLLSSRFQVLPAD VTQGILGQEATQTWLDDLHDDPTPLYAFLSEKRRDRASLALGVYFASLLEFWLRHCPT WRVEHLVVGQQLVTAKTSRTVGQLKFVFRMFLPSSSSDFTDMHWEASIKFFLLTSIAP TSSTTDAADSSASIQLEHFVGPHQGENLAWRATEVTRKLDMCRFDVVRAWLRSHFASS SSDNDHPDAAACTSDYPDAAASTSAVLPVDDHHVQSHMLLRGYLFYPLSQLSSTTSAS TIAVTDDLDACHLRGWWSVDYAADLVRAAPSHARWAILPKLHWLSPVVAVPSPSCSFH DDKEHEGADNVWVLPGDTNLGLDPIPILDWQALCSVLASHFSHNPTAMPLLVAELHAS SSADDPVRRQDDDPAGNDMTNPIQHKRKRMLYVEASRGFVLNKYAQSSSTRRQQKSTL FNGALAESVPWSCEHHHTQPRNAESSGVLVVPQEEGVVVEMKVSDSTIQKTRDDSTLL FKGWLTDTNCDRVHDDHENVHMDLRAMQLVQHILHALTLSHKQEGGRGDGTAPTYAAI KRATVHAIWNMMRQSEYEQANAFLVKCVLAVVTLSSHTVKTVLRVGHLILDVASAISL DSQTSSDEDSTLAHQMDMAHRVACTHPDQWWSVRFLLKAKHVLGGAIASSPRHDQVDS VTTLWVETVVLAMLEPQHKGKWHATAVDLCAAYALPRDDAVACQILSTLLDGRDGGAA AEAFGRTQRWDVASRRSSQLTHVYVNHPHTSAKAARRHLPATSSQIPTHDIADHAHQL SLVQATLDTPLTVHLVETSSQIDTMLTWMDRATNDKTMVVVGLDCEWRPRAFTTNPTC VDGHNDDLYDLGVTVVQLAFPNGHVFVLDCMATCVTVPAVFDRLCRPWIVVTGFCVSG DLDRLVGSYPALARTFQSPHWTCIDLRRVAMSRVRRLAGVGLASLAFTFLNHTMPKHQ QCSDWAARPLTAAQVEYAALDAHIVRVLLLYFTADLNEPSICSTSTTCDDPPPGTRVH TNSWPSSWRVVHHLQSYLGEDDVAAAVTSWGLSGSFYTLPDHPRHGVMVKTVAWTVHT RQQQTSHYLAVVLDLHKTIDVPKLQAFVATTFLTACPDTMALTSERDLMHVFGYSRGS IGPVGLRCQARVHVVVDVSLVHEASVIYCSAGARHRVVALCPSQLIALSPRLAVSTCD VAVGSKGDI H257_11183 MSPMVVDDDQGLRRRRRRKVVKDLEWSLSSPHLLSSRFQVLPAD VTQGILGQEATQTWLDDLHDDPTPLYAFLSEKRRDRASLALGVYFASLLEFWLRHCPT WRVEHLVVGQQLVTAKTSRTVGQLKFVFRMFLPSSSSDFTDMHWEASIKFFLLTSIAP TSSTTDAADSSASIQLEHFVGPHQGENLAWRATEVTRKLDMCRFDVVRAWLRSHFASS SSDNDHPDAAACTSDYPDAAASTSAVLPVDDHHVQSHMLLRGYLFYPLSQLSSTTSAS TIAVTDDLDACHLRGWWSVDYAADLVRAAPSHARWAILPKLHWLSPVVAVPSPSCSFH DDKEHEGADNVWVLPGDTNLGLDPIPILDWQALCSVLASHFSHNPTAMPLLVAELHAS SSADDPVRRQDDDPAGNDMTNPIQHKRKRMLYVEASRGFVLNKYAQSSSTRRQQKSTL FNGALAESVPWSCEHHHTQPRNAESSGGWLTDTNCDRVHDDHENVHMDLRAMQLVQHI LHALTLSHKQEGGRGDGTAPTYAAIKRATANAFLVKCVLAVVTLSSHTVKTVLRVGHL ILDVASAISLDSQTSSDEDSTLAHQMDMAHRVACTHPDQWWSVRFLLKAKHVLGGAIA SSPRHDQVDSVTTLWVETVVLAMLEPQHKGKWHATAVDLCAAYALPRDDAVACQILST LLDGRDGGAAAEAFGRTQRWDVASRRSSQLTHVYVNHPHTSAKAARRHLPATSSQIPT HDIADHAHQLSLVQATLDTPLTVHLVETSSQIDTMLTWMDRATNDKTMVVVGLDCEWR PRAFTTNPTCVDGHNDDLYDLGVTVVQLAFPNGHVFVLDCMATCVTVPAVFDRLCRPW IVVTGFCVSGDLDRLVGSYPALARTFQSPHWTCIDLRRVAMSRVRRLAGVGLASLAFT FLNHTMPKHQQCSDWAARPLTAAQVEYAALDAHIVRVLLLYFTADLNEPSICSTSTTC DDPPPGTRVHTNSWPSSWRVVHHLRTGRVNIANELTLYDGRIVSGRR H257_11184 MDDSDDDVEIITPKKNQKRNFVDDEDEAGDVAAAMSQQTDDEVI VTSASKKQKRMVVLDESDDETKDDGDDAMPLTSFRDSLSGVGKAASPATNRRHSVPAA STRRQSSRRASRRLSDRGVQKEIEHVELKQKLKRKSLDTCLPKPASDDEFVGESDDDS EGFVVDDDVVEYMNEDEKPILAVEDEDGEDDEDAMDVHGSKEPIDWFRIYLTYIEECL LDESFETNPHIPALFKQSIQHVRSFIIIYYLFIPARFKQSIQHVERGLLQRRDSLRGN VHWPSDLVESIDTMPQVMQGEADGDQLCYACNRSRHPAHYSMSFMGVACHAPDLYRKG WRQHLFECLEADNNTRVDYDLGSMCFQRVLLYWSLNQAKRQWCSIVWRKIVEHGGKRI PADDREKVHKTEYGRYKKLLGMVDGMELKESRQLTRVGNVWLNVQQMTKHSTGKGRRR GDLALLDEQVAESTEDSDHDVLDNELKEGECSPAKSSPKPATPLPADESDMCLVCHKR RRTGGVLHGYYVHVYCCFECAKMLKDKKKPCAVCARPMERVIHLLPLNDEEAARIRHT HG H257_11184 MDDSDDDVEIITPKKNQKRNFVDDEDEAGDVAAAMSQQTDDEVI VTSASKKQKRMVVLDESDDETKDDGDDAMPLTSFRDSLSGVGKAASPATNRRHSVPAA STRRQSSRRASRRLSDRGVQKEIEHVELKQKLKRKSLDTCLPKPASDDEFVGESDDDS EGFVVDDDVVEYMNEDEKPILAVEDEDGEDDEDAMDVHGSKEPIDWFRIYLTYIEECL LDESFETNPHIPALFKQSIQHVERGLLQRRDSLRGNVHWPSDLVESIDTMPQVMQGEA DGDQLCYACNRSRHPAHYSMSFMGVACHAPDLYRKGWRQHLFECLEADNNTRVDYDLG SMCFQRVLLYWSLNQAKRQWCSIVWRKIVEHGGKRIPADDREKVHKTEYGRYKKLLGM VDGMELKESRQLTRVGNVWLNVQQMTKHSTGKGRRRGDLALLDEQVAESTEDSDHDVL DNELKEGECSPAKSSPKPATPLPADESDMCLVCHKRRRTGGVLHGYYVHVYCCFECAK MLKDKKKPCAVCARPMERVIHLLPLNDEEAARIRHTHG H257_11184 MDDSDDDVEIITPKKNQKRNFVDDEDEAGDVAAAMSQQTDDEVI VTSASKKQKRMVVLDESDDETKDDGDDAMPLTSFRDSLSGVGKAASPATNRRHSVPAA STRRQSSRRASRRLSDRGVQKEIEHVELKQKLKRKSLDTCLPKPASDDEFVGESDDDS EGFVVDDDVVEYMNEDEKPILAVEDEDGEDDEDAMDVHGSKEPIDWFRIYLTYIEECL LDESFETNPHIPALFKQSIQHVRSFIIIYYLFIPARFKQSIQHVERGLLQRRDSLRGN VHWPSDLVESIDTMPQVMQGEADGDQLCYACNRSRHPAHYSMSFMGVACHAPDLYRKG WRQHLFECLEADNNTRVDYDLGSMCFQRVLLYWSLNQAKRQWCSIVWRKIVEYVSIHV QPYYLYLEKYIYEYISTFCDSFIYTF H257_11184 MDDSDDDVEIITPKKNQKRNFVDDEDEAGDVAAAMSQQTDDEVI VTSASKKQKRMVVLDESDDETKDDGDDAMPLTSFRDSLSGVGKAASPATNRRHSVPAA STRRQSSRRASRRLSDRGVQKEIEHVELKQKLKRKSLDTCLPKPASDDEFVGESDDDS EGFVVDDDVVEYMNEDEKPILAVEDEDGEDDEDAMDVHGSKEPIDWFRIYLTYIEECL LDESFETNPHIPALFKQSIQHVERGLLQRRDSLRGNVHWPSDLVESIDTMPQVMQGEA DGDQLCYACNRSRHPAHYSMSFMGVACHAPDLYRKGWRQHLFECLEADNNTRVDYDLG SMCFQRVLLYWSLNQAKRQWCSIVWRKIVEYVSIHVQPYYLYLEKYIYEYISTFCDSF IYTF H257_11185 MTSPEERACVETIRRHGLSIRSIAKELGRNKNAIAGYLKNPEGY GTRFRGIAKIAVVGREYRLLIREASNTGQSVRRLKTDLNIDASLRTIQRRLKEAPTVT YEKRKHTPMLGKVHMQKRVKYAQGNLREPTNWTEIISSDEKKFNLDGPLDKHLAAYED WKSQDKARLVEAQEEADLIDNMGVTIREEALQALGKRKSAAMDGDGTGAGCGSGGGVV MKMMKMLHDDSTADLEFRKHQYAMDLKERETVRVMDMKPLWQLAIQEP H257_11186 MLSDPSSLTYDLTSAALPDSNAILNFRFDVAGIQTLAFLLDIPD VVITSSRNRVLRDEALCIVLSRLAIPTRFFDMAQTFRRSRSVRCDIFLHVVNELYERW NPLLYFNTNLVAKTMERYCAAINTRGAPTTRFFGFIDGTKLQVCRIGPTGSGDNLQKE IYSGHKRMHCLNYQGIAAPDDLCVHFFGPVEGRRHDTTFLHESSLLQFLSRNSNIFSE KCNCGDPAYCVSQFLLSGFKGNQINQYFDVDPPSLEICLDTLDIVDI H257_11187 MASLPPGPGKQQPTPPTLSDTTTTATPMATVIVHRNGIPTAILV LATGIIRQPHPSSSENDSASDTTKSPVDPPLTARQLRWKHAADTFLARHDRKRTKRAA NRPRHTHTRPTRPGTTSPTPEVTQLPQPAAPLSDDDRPIHPRSTRTPHTATPPRKATP LKTSPTTPSAPTHTPSHHFKPAKGSSTQSSLPDIWRQPQHNALAPPPITSPYTNAPIP APITAQHGTP H257_11188 MVATYEQIHEAIRRVEAGESKVAVVRSYPVTKTTLFHYIKMMKT TGTVERQKRGPKQLLPPGIDEDFVEWIAAMQRQLKSPLAKMFSPYRRGTPARKESVCQ RTTSGSRWQACMPLPPFLTSAKKLPLQTDTSARAGPAIQVEAEMAGQLTQDCIDEDFR TSRTSDTSRS H257_11189 MKKSAFRLHHCWLILKDAPKWSVAMEPQEAGSEPASVTLAPVSA MASDIRGMRVSKSTKAGCRSGLNQIKKWILAHGTPDMFTSIGSIDLTVFTVSNHRYPF HSEGCCDVCVFWQHKWTIYGKCVFALWLDFGPCVGTIRAL H257_11190 MTKWSMTLSQPFNFRASAAYTTMASRSCFNADDIAEVESHFADA KVRGLLPLRDLWSDNPTSTFFHPQATSAATLVDTKVHIGRLPPLITTKYILAALRGSR LLTPVVDITGDVYATLMFDTSAPMAFLWSTSGPHGDTRLYIRDIAVHLHILTGRPRPS ATRDKAANPNTHPTLTETYAARARAVPVDTAARDNSPAPPPNTPPQRTTHHRPGNSPS NATRLRSTDPTQILVYTSHVSSPHMWTNSIVSATTPLRQEVESLWATKEALTALVSAS SAAFTTLDARLLEERRLRETAELLQAEGNRLPTEAHIRLNAAVAQHESQQAALAASLP YLESSVHTLLQAMQGPDDNMGTEPDDHMASN H257_11191 MDLALCPGDHTPSRLSCLKPIWVVKTRSLTKGDVSGRPPTPPDY GTPPYAATTWSPQDTTDWLEAAVQNLYDILYTSAKIKWGETSQTRKAVDRAVAIRCTN RCTAQLRHLLRIHEAATPIGAEYIRLAHMVEWPKWIRNPNLLPSTCWHRLAP H257_11192 MADTARHQLDNILRRESLKGEAYIGDPGKAPPPRRLIDSLRIAS TNINKNTYGKLGDELATWFLATALDFLNIADSDLPAHKATQLWTPTHIGSLTPHLMAI RNYGVSIMYDIQRWHSRIDARRTVAM H257_11193 MGLAGAQGSKLLVVMEQQEVQEWQGSTSSALGVHNLWGMARVLG LWVMIYPNNPHAYSSASEKFNALQVGDQQYDDNARSSLHLEPLDVADGQ H257_11194 MEVDAGEAANVPIVCVRQPRGNVGDNAEGGAWELDGIVTSSPSH ALASLVDYTVDGMWEFDVTGTWGDGAYDTPDVTFEGSNVLDTANDNTGDEIDDDGDEM GDDVILVADFFIGPQMSSQARQHEWILFGEFDTYNSATDRVAPSTTHSTRKSRCTVCQ GPGVHEMCARYLTCVCREHCSKHLKLLCCSVNGRTLAFKRGQYGDCSAPSTSSATLAI RSQADRLFAEGITPSRVRHRLKDSVPASAMPNLKWFQNRARYYRMRNLHEHSKPVEMA RMLATSWFDPRCDETTFFSFGFDLVHGVPQIGCGGASGVFKVGITTKALPRCMHRDPS SFVFHWDATYKINALAYPVLICGITDPSGKFHPVVFFLIGRESTDEYEWAMKQLMAVY ETVVGSSLQLHYVMADAALAPVGALKTLRPELGIKAILMCFYHCVACVNKRLGVVPTS LHWNEAKVAWTACDALEGKGFVYHTPGGFPTTNNPCELINKHFKGIYTQRTVHGLCAT FQLLGSIAVEYSSFKAQPFVLRSLPSKKLQQRFRRLVKNGLLEVVPPHPGIVLRPHEV RIRGVIPELAISMAHAFEDEAEDNALFHDLHRRAVVWVACVDSPK H257_11195 MSEVVSRAFRAHCKTKHGLKVTLFKKKSIDEKKAKARQRKQQRK ATREALQAMAGKTFRLKQRALFTFAVARVRGAYHAANPIVKIDDSTVPGAARGLFANV DLSASDICTVYDGENVYEEPTDHEYACQTQLLAVHLGPLSIVKLAGGRGV H257_11196 MRIVLPFVLASAFQAALSRTFDTFNYTETTIDKLQDAIVSQKLS VKEIVQHYLDVIDQLNHKGPQLNGVIETSPTALAQAIAQDRNGTRSGLLHGIPILIKD NIATTGDGLTACAGSFAMEGNIAPRDAFLVQKLRAAGAIVLGHANMVEWANWRSVTDT VDWSARGGITKNPYVLTAPTTGSSSDPALTVAANMIPVAIGTESDTSIVAPASFQSVV GLKPTVGLVSRTGVIPLSARQDSPGPMGRTVADVARVLQAIAGFDPTDSASKDAPVPE YSQTYNALTSLKNVRVAISKQANDYTTNENLSPAHVNAFNKGVETLKKLGADIVYAPY PNAAAISKSECDVPMTTEFKVDLEAYLSTLTWKEGVTPMKTLQDITDYNAAHPDTELN VLDQSLMLQSLNSPNKTSSTYLDALALCQDLAVTNGIEKYIKDTQADVIFALTLTWSL APNSPGYHSIAGWPILSVPLGYDHSVPFGVSFVTPKYHEEKLLQNGYLFEQSTKARVA PQFLPDN H257_11197 MKLAIPHSIIQPVSVPYTSLPHFAFAMGATKTSCATALAHQSHH DIATQPSAQHNWCWQRQQRQHGYGGGCGASTSMDAALAAWTFLGKRQLDRGGNGGDCG SSIGLGMVNYDATSRPSILIKAPRTTTVVRPRLELLEG H257_11198 MIQVLTEQNKRLMDRIQDVEATLAASASTAGQSVGTTPFAKGAK RNADVPESELAPAKKSRGEAIMPSTLWFQWFTLVHEPRQRWKPSTPLGHEAACGCSLD EHKDEYRDDVLKLGKSAEVKLGLYFKEKGIKAKAGGACLRKLRELHYAGHLDGHIQRF DGLFRAGLVEDPSPLSSINQLRPLQLNASI H257_11199 MSPSMAFHGARHHQSFDIVGGDSSEEEEGDASLSNSDENAADDD VVPQRHSTRPSPPSGRPTTKPSTAENDTSSLPLDMRRTPAAAAAAADVPPLDPKAHDG PDTNNATTLTSKPSSKPKGNSGIGKFGRAVGGGIRTILLHPSGKTPPSSSSSSGDRHP PLAHVDNHHYVGGYLHKVSDGKWAKRNWHVRWFVLDMDRGVLAYYKSNPSSIVHSPHG SVAFYDDFDLHHHHTTTTSSSSTSTTSTISDTGDVTTTSRRRYHHRGGGGGGGGGSHK PHPWYRGCMDLNEFHVSLLFDKQYGHNAPNKYIFQVSSLGMGDAADAKRGFQYKLCAN SEDEFVQWTSAIAQVINRKHLPTTALPTSVSVQAPKETLQQQLHRQKLHDRAAAAALA TPPPAATSDDHTSSQEPPITPQSSTKRPPRRHTLPPTVVTPSSSVCDKVWRLQLVVDG RVPCLVVLFCVNMASFVGLNYFGFLVQVPVMALATYYFFRNVPTRQVIHHRGLLLTEP DGLLPCTATGSCCLHPPPPGSQVDALVLAGDDVDEGGGDRFQMDDTMDAGNSSGPPSS LFQFDMLSSLNQSTDDDKAEHAWSRHAAACTFNVRSKEYKKSKKKEPSQAALFEFVGV DVVRTDGKIDCIAQHVNVPPSVGNSRLFILHAQMPLYAPSLFTSSYDGPGASLIMYWT IPEAVEEALRTPDSPATHLLARFLNASDPSILDRFKVIAQVVNEADCGVTGYTKKLLT KNNGTPVLTRPQHRMYHTPAYTEVDVDVHVFSLVARTGIHALVDKTAGMLIDVAFVLQ GESEDELPEQVLGVCRLVRVDLSKAAHVADVAARSCGREDA H257_11199 MSPSMAFHGARHHQSFDIVGGDSSEEEEGDASLSNSDENAADDD VVPQRHSTRPSPPSGRPTTKPSTAENDTSSLPLDMRRTPAAAAAAADVPPLDPKAHDG PDTNNATTLTSKPSSKPKGNSGIGKFGRAVGGGIRTILLHPSGKTPPSSSSSSGDRHP PLAHVDNHHYVGGYLHKVSDGKWAKRNWHVRWFVLDMDRGVLAYYKSNPSSIVHSPHG SVAFYDDFDLHHHHTTTTSSSSTSTTSTISDTGDVTTTSRRRYHHRGGGGGGGGGSHK PHPWYRGCMDLNEFHVSLLFDKQYGHNAPNKYIFQVSSLGMGDAADAKRGFQYKLCAN SEDEFVQWTSAIAQVINRKHLPTTALPTSVSVQAPKETLQQQLHRQKLHDRAAAAALA TPPPAATSDDHTSSQEPPITPQSSTKRPPRRHTLPPTVVTPSSSVCDKVWRLQLVVDG RVPCLVVLFCVNMASFVGLNYFGFLVQVPVMALATYYFFRNVPTRQVIHHRGLLLTEP DGLLPCTATGSCCLHPPPPGSQVDALVLAGDDVDEGGGDRFQMDDTMDAGNSSGPPSS LFQFDMLSSLNQSTDDDKAEHAWSRHAAACTFNVRSKEYKKSKKKEPSQAALFEFVGV DVVRTDGKIDCIAQHVNVPPSVGNSRLFILHAQMPLYAPSLFTSSYDGPGASLIMYWT IPEAVEEALRTPDSPATHLLARFLNASDPSILDRFKVIAQVVNEADCGVTGYTKKLLT KNNGTPVLTRPQHRMYHTPAYTEVDVDVHVFSLVARTGIHALVGTYYVHIVLFTLHLD LFSIRNLVEACLYTTISIHVVLPKSWQTFVLILCQIYRFY H257_11199 MSPSMAFHGARHHQSFDIVGGDSSEEEEGDASLSNSDENAADDD VVPQRHSTRPSPPSGRPTTKPSTAENDTSSLPLDMRRTPAAAAAAADVPPLDPKAHDG PDTNNATTLTSKPSSKPKGNSGIGKFGRAVGGGIRTILLHPSGKTPPSSSSSSGDRHP PLAHVDNHHYVGGYLHKVSDGKWAKRNWHVRWFVLDMDRGVLAYYKSNPSSIVHSPHG SVAFYDDFDLHHHHTTTTSSSSTSTTSTISDTGDVTTTSRRRYHHRGGGGGGGGGSHK PHPWYRGCMDLNEFHVSLLFDKQYGHNAPNKYIFQVSSLGMGDAADAKRGFQYKLCAN SEDEFVQWTSAIAQVINRKHLPTTALPTSVSVQAPKETLQQQLHRQKLHDRAAAAALA TPPPAATSDDHTSSQEPPITPQSSTKRPPRRHTLPPTVVTPSSSVCDKVWRLQLVVDG RVPCLVVLFCVNMASFVGLNYFGFLVQVPVMALATYYFFRNVPTRQVIHHRGLLLTEP DGLLPCTATGSCCLHPPPPGSQVDALVLAGDDVDEGGGDRFQMDDTMDAGNSSGPPSS LFQFDMLSSLNQSTDDDKAEHAWSRHAAACTFNVRSKEYKKSKKKEVAIVECSSIYEM NGVVAIASGAVRVCGRGCGADGWQDRLHRPTCERAALRGQLAALHLACPDAVVRTFVV HVKLRRSWRQFNHVLDHPRGRGRGAANARLAGDAPPRALPQCVRPFHLGSVQSDRAGR E H257_11200 MTPMMATGDVVNNTVGLDLSNDVTGLGTSGRSRLHVFARKARKH AVKPAQGGGPCLLKTKVKDSSVQSATKMATGFSSASNPNAITKQLRRAKAARRASHDA ALAAFQQDMASWSKFHAIQTLSIHVGVAWSQGTRDYMEDKHVVTHHLFPTCALVAIFD GHNGAWAAEFAAAHISPLLASNEHLQQLAHQQNPLEPPDIVAIYAILQAAFLALDDDI LAYTIEHDRRDGATAVVVLVMNSMVFVANVGDSRAILVRVACHQDPSTNLLSHDVERL SVDHKPNLPAEKARVLAAGGDVVFSGCWRVTHPKASVRLAVTRSLGDHPLKGTSAKDK TPALVSAVPSIRHFTRRHGDIVVVASDGLWDRVTDEEAMQQVVDNENISGHCEERTAA ALVEMALVRRTCDNVTVAVLTL H257_11200 MTPMMATGDVVNNTVGLDLSNDVTGLGTSGRSRLHVFARKARKH AVKPAQGGGPCLLKTKVKDSSVQSATKMATGFSSASNPNAITKQLRRAKAARRASHDA ALAAFQQDMASWSKFHAIQTLSIHVGVAWSQGTRDYMEDKHVVTHHLFPTCALVAIFD GHNGAWAAEFAAAHISPLLASNEHLQQLAHQQNPLEPPDIVAIYAILQAAFLALDDDI LAYTIEHDRRDGATAVVVLVMNSMVFVANVGDSRAILVRVACHQDPSTNLLSHDVERL SVDHKPNLPAEKARVLAAGGVFWLLAGHASESQCAAGCDSVARRPPPQRYISQGQNAR AGLCCALDSALYKKAW H257_11200 MTPMMATGDVVNNTVGLDLSNDVTGLGTSGRSRLHVFARKARKH AVKPAQGGGPCLLKTKVKDSSVQSATKMATGFSSASNPNAITKQLRRAKAARRASHDA ALAAFQQDMASWSKFHAIQTLSIHVGVAWSQGTRDYMEDKHVVTHHLFPTCALVAIFD GHNGAWAAEFAAAHISPLLASNEHLQQLAHQQNPLEPPDIVAIYAILQAAFLALDDDI LAYTIEHDRRDGATAVVVLVMNSMVFVANVGDSRAILVRVACHQDPSTNLLSHDVERL SVDHKPNLPAEKARVLAAGGDVRTCV H257_11201 MAAWLLWASSAACVLLIPVLRWFFSPVTVAKHFLEAYDPKKQWT YRDPSVIPSRQVAFPSLKSAPTCYLTVVVPAYNESERILPMLHATVAYLEKRRAADAR FTYEIIVVDDCSTDSTVEVVEREVDRLATSTSPSVLKLLRLDVNRGKGGAVRCGVLRA AGELILMVDADNATEIQDFDHLEAFWTKDSYPTGLIVCGSRAHLQAEAMATRHPLRNV LMHGFHLIVSTLCVQQVQDTQCGFKLFDRKAAQLVFPPLHIERWGFDVELLYLAFQRK IAVHEVAVHWQEIAGSKLDVVSATFSMLREMLLIPCCYKVGIWRVDDGAVRLV H257_11201 MAAWLLWASSAACVLLIPVLRWFFSPVTVAKHFLEAYDPKKQWT YRDPSVIPSRQVAFPSLKSAPTCYLTVVVPAYNESERILPMLHATVAYLEKRRAADAR FTYEIIVVDDCSTDSTVEVVEREVDRLATSTSPSVLKLLRLDVNRGKGGAVRCGVLRA AGELILMVDADNATEIQDFDHLEAFWTKDSYPTGLIVCGSRAHLQAEAMATRHPLRNV LMHGFHLIVSTLCVQQVQDTQCGFKLFDRKAAQLVFPPLHIERWGFDVELLYLAFQRK IAVHVCRLQNVCNGMLVFTRGNDCV H257_11201 MTPRSNGRTAIRLSYPRGSTFGVLHIPDTFYMTGIGRVAFPSLK SAPTCYLTVVVPAYNESERILPMLHATVAYLEKRRAADARFTYEIIVVDDCSTDSTVE VVEREVDRLATSTSPSVLKLLRLDVNRGKGGAVRCGVLRAAGELILMVDADNATEIQD FDHLEAFWTKDSYPTGLIVCGSRAHLQAEAMATRHPLRNVLMHGFHLIVSTLCVQQVQ DTQCGFKLFDRKAAQLVFPPLHIERWGFDVELLYLAFQRKIAVHEVAVHWQEIAGSKL DVVSATFSMLREMLLIPCCYKVGIWRVDDGAVRLV H257_11201 MTPRSNGRTAIRLSYPRGSTFGVLHIPDTFYMTGIGRVAFPSLK SAPTCYLTVVVPAYNESERILPMLHATVAYLEKRRAADARFTYEIIVVDDCSTDSTVE VVEREVDRLATSTSPSVLKLLRLDVNRGKGGAVRCGVLRAAGELILMVDADNATEIQD FDHLEAFWTKDSYPTGLIVCGSRAHLQAEAMATRHPLRNVLMHGFHLIVSTLCVQQVQ DTQCGFKLFDRKAAQLVFPPLHIERWGFDVELLYLAFQRKIAVHVCRLQNVCNGMLVF TRGNDCV H257_11202 MNMDVLSDAQIAALNQAKCGIRIENEKYIRAHPELDGIIRALVK GVLKDRPTNVTAYAYHFFQRDVATLTAAISATSHPTTSTTT H257_11203 MESKDIFARLAADAKASNNEADGNVNADDSYLVVIGPKNSGKTS LVLYFLNPNKVDEPKPTAALDYVYARRAVAGSNKKAVAHIWELATTKKVLELLKVPLS PERLPKSTLMLVLDLSVPGDVVPSLVYWIALVRKLVADTIPTSSSEALVLAKYGDKHP DRRDVSPVAVPLLIVGAKYETFRDEDSVKRKGLIQAVRFMAHAVGATVLFTSVKDKTL ATQFRAALNAALYRTDGSGKSTKEVDKGLFVPAGTDSFEEIGLPKGARVTDFEESNLD KRIKLWAKATAELYPPVTPPPEGGKETVEDDKEEADEKYPEPSIDALRKQKREELRRY KEKKTDKKPSAKKDAKE H257_11204 MSAYNVLTNMHLTMVVLSFQSGIFDTLRPVYAYRETMLHKWPRL AHKHIGHLEDPRSVLFLLIEQNQLDGVQRLLRCRPRDWPTSPWPRGTSSSPTFVLRPH PMDSAAALGRVEILKFLHTASIGHCTTSAMDLAARNGHLEVVKFLHHHRQEGCTTWAA NWAAEYGHLHVLRFLLDNRSEGFTAYAVAHAAHDWIQRALTSHSNKSQNYDYY H257_11205 MQEVTPFRLTATVVAGFGRGGKQLGCPTANLSSEELGDLLHDIP TGIYCGWATVDGQGPYKAVASVGWNPFFQNKEKTIEPHLLHDFTSDFYGATLNLVLVG YLRPEENYPSLEALVDAIQADISQSRAWLDEPHAQTFKADTLLQSTPSAL H257_11206 MARRKADRTPAETIALAKQSVCYTRLWWLGFHVVAYAYPIYAGA WRQYFRDWTTGLVLCIALGVMNAVCYVVLQRSSPGFVLPQEEDKRLEDDYGVDGGVFH HDDTSDSLLDVEVAHVDRRHYCAHCHVHQPLRAKHCNDCGRCVEQYDHHCVCAGVCVG RDNHRLFVLYMLTQSLEAIWGMGIASFGMRMNDETDDDNSLEQWLRDSIPFMLLVVFG FLVFLIAFGLLCYHVYLISTNQSSWEHAKRSKITYLKDLPPDVLPFSQGSLMNTWLFL RGRPRNVWTYVAEEHAEASSFPSTFVEESADAASIAGDLSPMEIVLK H257_11206 MARRKADRTPAETIALAKQSVCYTRLWWLGFHVVAYAYPIYAGA WRQYFRDWTTGLVLCIALGVMNAVCYVVLQRSSPGFVLPQEEDKRLEDDYGVDGGVFH HDDTSDSLLDVEVAHVDRRHYCAHCHVHQPLRAKHCNDCGRCVEQYDHHCVCAGVCVG RDNHRLFVLYMLTQSLEAIWGMGIASFGMRMNDETDDDNSLEQWLRDSIPFMLLVVFG FLVFLIAFGLLCYHVYLISTNQVRTSIFHLQFTS H257_11207 MTAWTELKGRVRQNLHPNIVTLSVGRSGQGKPDGDAYLFGFDPN LFRRVLMHLRAGKASPIDDELSEAERDKYASMMHI H257_11208 MNVTLVVNGSAVTLSTDEFAALVASVSPKFADVASARALDTFYT LMGAFLVFFMQVGFCFLEVGCVHVKNTKNILVKNILDGCISAVCFYFVGYAFGFVKGD GFIGNSGFVFLDEVYDGDDATRRYNGKAYAGWLFQWAFAATASTIVTGAVAERISFVA YIAYAVALTAFIYPVVVHWVWSSTGFASAFNTPNHLLLDVGAVDFAGSGVVHMTGGMA ALVGCCILGPRLGRFEDGQVHDMPKQSVLLQTIGTLLLWFGWYGFNCMSTGTLVGNGA DVAAKVAVNLTLAAAAAGISCVCINAVTGDRIVDPTMANNGVLSGAVAITAGCAVVEP AGAVVIGLVAAVLYTASSRLLVRWRIDDVVDAVPVHLLCGMWGVLAPGLLASSDGMQM AYGRSDTCGLFYTCAHSGRQFAAQLIVIVAIVAWVGVTCTVLFLGLFRLDLLRVTREN ELAGLDVSYHGGLAYDASDDYKTAITKAEHIINDETEFVPVSTPGHV H257_11209 MNESLDETSLTFTHWAGEVKYTFCGEEITLVQDPTSHVLGSTVW DSAKCVMKYIEVHRPRFDAILERQRPSRKSKKAQPTSTTSSLSPASATVCELGAGLGL AGIAFAKVGFCVVLTDVAPVMPWLRANIQANCTPTQLETNVFAHEYGWGTSPTSLQRV VMSPYDIVLCADVIYEAACVKPLVQSILAISNRKTLVLFANERRTPIVHAEFMRYLNE YFVWTAIPQSQWHPEYAKDTLEMYEARRKHTKTPLDMVIPDDPSLAPPQDGLSHSDDT H257_11210 MSSPTVTKISKLGQMGQRPAQFEIFHMERKHASPRASPTAASAA NPSRTQYGSMPVVTMKRTNAWFKRHALPIAVGAAIALSFGVCIVHHVTFDASPTSSTT TSQLSSSSSFLHVVETSFDSGHLTQSIHHAPLRPSSHQKGDATSSHILVDTSTTFQHI VGFGGAFTEASALQFQRLPRHKQDDVLRLYFSPAADGGAAYSVGRVPMNSCDFSPSSY SFDDVDGDTLLEHFDMSVTHDTDAMIPLIQRALSLNPDMKLFLSPWSPPAWMKMPDAS GKLDMLGSAQPYGLNPAFQSSWALYFSKFISAYKGYGINFWGLTPQNEPQQPAPWEAC LYDDSTQAAFIADFLGPTIRRDHPHVKILIFDHNRGNVHMWAQGVYNHSAVAPFVDGV AFHWYDNTRDLDGVQNHEHVNQTHHLDPTKLLLATEAAHCPGVATGPQAWDRGVRYAH DILQDLSHHAGGWVDWNLMLDHQGGPNKLGNTCDAPVIVHPDGQDFTVQPMFHYIKHF SGYIPPGSTRIKSRVHVKFTTADSRGGDVGELAARFPAGAYGCDRSSRQRIVRTSDAK LQVANTSLCIDVVAEPWLGNRIELVECQYTSNSWTFGLEDGTISFEAIARPQISTNET QDVETNAGGGCLTHRNGALEDGGRLTLEPCMAADKAAQTWMFDGAALVNPSSEHCVTA GYAFVQAVAFTTPDKASVLVVLNEHPWEDVSFDIVVQDGKHQQSVPTVVPKGSIRTFV W H257_11211 MDANARRASLDRNGWVEVMDEHGVVMYRHLQSGHCQSHLPVVGA PSEYKSLDCSKSRRSLAHRSSSASSADDEKALQVRILRNVSKSMISDYMTEVDKFNMA KPYARRPDGTYEDAACVVCKQQPTHMVLFPCQHKCVCDACIQSLGGQSSFTGCPVCVG EVKVMFECTGREVDEYWDWVYDVKPHLSRAFEVKFKSTALKLAKPLGTGAVVVPTNDK HGSLHRRISNLLLGRSVDVGHADGPSDRRTSCMLM H257_11212 MVQRGLAAVDCRDLKRRHSFIIHVMQRIVNFDVNIAGGMISSLR RPVMRRMMSSHALPFAAASNVLDGKINTKSKDFLDSIERMNELTSELKGRLDVVRLGG GEAARAKHVARGKLLVRDRIDSLVDPGSAFLELSPLAAHDMYGTPIPAAGIVTGIGRI HGVECMILANDATVKGGTYFPMTVKKHLRAQEIARENRLPCIYMVDSGGAFLPLQADI FPDKEHFGREFYNQANMSAAGIPQIAVVMGSCTAGGAYVPAMSDESVIVQGNGTVFLG GPPLVKAATGEVVTAEELGGADVHCRTSGVTDHLAKNDAHAIEIVRRIVSNLNWTSKA SVKQSFTDVEEPVFDPAELGGIIPVDSRKPFDVRKVIARIVDGSKFDEFKQHYGTTIV TGFGRLYGRPVGIIANNGILFSESSVKAAHFIELCCQRQIPLLFLQNITGFMVGKKAE HGGIAKDGAKLVTAVSCANVPKVTCIIGGSYGAGNYGMCGRAFSPRFLYMWPNAKISV MGGEQAAGVLATVQRDNLERAGKTWTAQEEAAFKQPTLDKYEVESSAYYSTARLWDDG IIDPKDTRKVLGLSFSAALNEVPTPTKFGVFRM H257_11213 MSFAGRFKAFVNHPTGPKTTHFWGPVANWGFVAAAIADMNKPPE NISINMTSAMAVYSMIFMRFAWMVQPRNYLLLACHASNEAAQLYQLKRALVHKWTSPA SA H257_11214 MRAKLNQGRPSSQYGSVGPLMDDDVNSRRMSNAKVLLVAGAVSI VAAGFVSTLHSSPAIVDNSINSHPKVERKHVSIHVVETAYENGTPTLFRKLPPLKFSK KAKDTKKSGTTTATRNSTIYIDDSKVFQEILGFGGAFTEASALNFKKLPRAKQEEVLR LYFDEKTGAAYSFGRVPMNSCDFSVGSYSFDDVVGDVSLAHFDTNVTKDTESIIPLLH RALQRRPDLKLFLSPWSPPAWMKLPNDKGKYSMTGSATPLGINPIYQAAWALYFSKFI SAYKHHGIAFWGLTPQNEPMFPAPWEACFWEAHSEATFVGDFLGPQIRKDHPDVKILV FDHNRDAVTEWAAAAYAKASEYVDGVAFHWYNGDGRELDGALYYNHLNDTHHLDPSKL VLATEACNCPGVASTADVAWFRAQRYGHDILSDVNNYANGWVDWNLLLDHEGGPNHLN NKCDAPLLLTPDATSFIVQPLYYYIKHFSAFVPPGSKRIAADVRVSFDTPGTDHALLL KYPSAAHVCDGSIRQAVIRTPDNKLQVQGTDFCIDVVREWFGDKVELTMCIYTQNVYT FNEDGAIQFQGKCLSVAHGSTENGAAVTLEACDQSTAQQWHVGQGVVTNHATGFCLTA GYAFAQATAFQTPSNRTVVVVQNEHSQVDATFDLSTSHGVVHTVVPKRGIRTFYWDP H257_11214 MRAKLNQGRPSSQYGSVGPLMDDDVNSRRMSNAKVLLVAGAVSI VAAGFVSTLHSSPAIVDNSINSHPKVERKHVSIHVVETAYENGTPTLFRKLPPLKFSK KAKDTKKSGTTTATRNSTIYIDDSKVFQEILGFGGAFTEASALNFKKLPRAKQEEVLR LYFDEKTGAAYSFGRVPMNSCDFSVGSYSFDDVVGDVSLAHFDTNVTKDTESIIPLLH RALQRRPDLKLFLSPWSPPAWMKLPNDKGKYSMTGSATPLGINPIYQAAWALYFSKFI SAYKHHGIAFWGLTPQNEPMFPAPWEACFWEAHSEATFVGDFLGPQIRKDHPDVKILV FDHNRDAVTEWAAAAYAKASEYVDGVAFHWYNGDGRELDGALYYNHLNDTHHLDPSKL VLATEACNCPGVASTADVAWFRAQRYGHDILSDVNNYANGWVDWNLLLDHEGGPNHLN NKCDAPLLLTPDATSFIVQPLYYYIKHFSAFVPPGSKRIAADVRVSFDTPGTDHALLL KYPSAAHVCDGSIRQAVIRTPDNKLQVQGTDFCIDVVREWFGDKVELTMCIYTQNVYT FNEDGAIQFQGKCLSVAHGSTENGAAVTLEACDQSTAQQWHVGQGVVTNHATGFCLTA GYAFAQATAFQTPSNRTVVVVQNEHSQVDATFDLSTSHGVVHTVVPKRGIRTFYWDP H257_11215 MAICQGESFGMASQVQRQAIPAATASATPDFKADRQIKALCQLS NIVRSIGSFVVLSLLSLSNPSFQELFKPCSRPPVRLADARCHQYLPSKILGRRLEPLP QMC H257_11216 MMARLGCVVLVAVALQGKVPSVDASVAFKMTRLSTTHEEMFLQA LNDEGNSSSASGGGGVAKTKLLLGQGVHSVEVFFGGQPRVLIVDTGSADTAFPCSDCT NCGNGHYNTFYNFTSGSRYVSCEDNAAWGLTSCKSCSKDDKCVFAEQYVEGSGWEAFK VKDSCYFDQNPNVVADVVFGCMHTESGAFLSQEADGIMGMSRDPDALFVQFYEHGATK MKGFSQCISSTGGHMVLGGLDTSVHNPGAEMVFTPLRTTGYSYWTVSMESLAVDGLVV DVPSSVYNQNRGCVFDSGTTFVYLPSAAAGPFQLQWTAAVLAAGLDHAKFAPYREGGE YVIRSPSVLLQLPTLSFKFANNAVMQLPPTQYMVYNGDFKYTATVFFQDFAHATILGA SMLANHNVLYDMTNHRVGFAEANCDSVDAAYYSGVTALLVTDVGGDTFTPEQSYVQWL LQMPSLAGFVLGVVMLFVGREVVDVVSNAMTRNGMFVDAVEMSDQPATSRTTFMEL H257_11217 MPQDIRLRLAAISEQVHHLQLQYRGRCKYKSGKCTNERSTKDNG LPHTLCEPHRLQHNKNQRKSDVKRRRQKRLDRSKKSKEPTVARRAVTSKEATSGRRGR IQTKVATADESSIGHDDAAITPTPIGLVKWNDEGWTFEDMCILREIIIS H257_11218 MAAKEDRRRVFLLPQRMPFVSGMTNRYDDEFPMELEGMVPEAHF AQAINQINNTLTDYWPCFFCVCCGYLCCICTGGLSLLCPYMCISDAEQYTRTLIDRIN IRPCFKDADIQWKLVKRCCRSWVEISIPVKPQTHDPVVGAYQTVLAVPIAATSANSKL YGSSEAVV H257_11219 MDSNELKQVEMLCTALYQSSNEMERSMAQQSILALQSSAEHIPR CQYILDNSTCMYALLVASTSLTKLISTHWNNFTPSQRIDIRNYVLAYLAQKGPNLEKY VTTSLIQLVCRLTKFGWFDDEQFRELNHEVSKFLQATVDHCIIGLQILNELVTEMNQP VSGRNLTFHRKIAVAFREASLYHIFQVALTTVKTLQMKNIPGASPDQESRMADLALNL AIKCLSFDFIGTNPDESSEDAGALQVPSSWRLLIQEPETMQLLFDFYHSSAAPNSARC LEALMLLASVRRSLFAPDKERATFLSHLLAGICRIISTQQGLSEQDNYHEFCRLLGRL KSNYQLSELMKADSFQEWMDLTPTFTVKSFQQWQWSANSIHYLLGLWSRLVAALPYVR AERNGAASVSFLDQAIPRIVQSYVQSRLDSAQQVSQDDGLDDPLDDEGSLSEQFDKLP TICHYNYRQIGDYMLQVFDSLHAQYQNVVTNSMGGDDGNADDDDDSMTRGLNGLEMQL AWLIYIVGSVIGGHSYTSAQLNDGDELVDADLCQRVFRTMKVVEHRLINSGGARKCHV HLELALLHFFSYFRRSYIGEQHGMPSMSQLTVTSPTAASSSAENSMSAKHKSYQRMFE RMGCGDHTVVVNMIVTHVGQNLKFWGDDERVISQTLTLFLDIASGYSSGKLLLGLDTV QYLISHPTAEEFPFLAVPSNTRHRTSFHSTISRLLFTTAFDESTDRFDEYIAPLEQVL AKLMHIQNYRHPDVREAIIGMCRDLRGVFISTHNKRTYNAMFDLLYPTYMPVFTKAAE VWYDTPSVMNALLKFLQELAYNKSQRVVFDQSSPNGILLFRELSRVIVAYGTRILNHP VHRDAYAEKYKGMSLCMGILFRALGGNYVNFGVFKLYNDAAWDQALEVCLQLALAIPL DELMTYPKVKTTYFFFLEMLFRNQIVSVVSLESSVFSQLVQSLHEGVNSYDLTIAAQC ATAVDHLASLYYHETKKKKDSPVKHALAMHLQAYPSLWSTLLSSLFNILIYGDATSQW ALSRPILSLSLCSPDALTAYQHSIAASQGTDQHKAQVDDAFTRLYQEILPSLEASNRD RFTQKLGQFRNTLRSFLTIS H257_11219 MDSNELKQVEMLCTALYQSSNEMERSMAQQSILALQSSAEHIPR CQYILDNSTCMYALLVASTSLTKLISTHWNNFTPSQRIDIRNYVLAYLAQKGPNLEKY VTTSLIQLVCRLTKFGWFDDEQFRELNHEVSKFLQATVDHCIIGLQILNELVTEMNQP VSGRNLTFHRKIAVAFREASLYHIFQVALTTVKTLQMKNIPGASPDQESRMADLALNL AIKCLSFDFIGTNPDESSEDAGALQVPSSWRLLIQEPETMQLLFDFYHSSAAPNSARC LEALMLLASVRRSLFAPDKERATFLSHLLAGICRIISTQQGLSEQDNYHEFCRLLGRL KSNYQLSELMKADSFQEWMDLTPTFTVKSFQQWQWSANSIHYLLGLWSRLVAALPYVR AERNGAASVSFLDQAIPRIVQSYVQSRLDSAQQVSQDDGLDDPLDDEGSLSEQFDKLP TICHYNYRQIGDYMLQVFDSLHAQYQNVVTNSMGGDDGNADDDDDSMTRGLNGLEMQL AWLIYIVGSVIGGHSYTSAQLNDGDELVDADLCQRVFRTMKVVEHRLINSGGARKCHV HLELALLHFFSYFRRSYIGEQHGMPSMSQLTVTSPTAASSSAENSMSAKHKSYQRMFE RMGCGDHTVVVNMIVTHVGQNLKFWGDDERVISQTLTLFLDIASGYSSGKLLLGLDTV QYLISHPTAEEFPFLAVPSNTRHRTSFHSTISRLLFTTAFDESTDRFDEYIAPLEQVL AKLMHIQNYRHPDVREAIIGMCRDLRGVFISTHNKRTYNAMFDLLYPTYMPVFTKAAE VWYDTPSVMNALLKFLQELAYNKSQRVVFDQSSPNGILLFRELSRVIVAYGTRILNHP VHRDAYAEKYKGMSLCMGILFRALGGNYVNFGVFKLYNDAAWDQALEVCLQLALAIPL DELMVLSSKYLFIYSCCCLCMILDISQGQDDVLFLFGDAVSQPDRVGGIARVVGVFAA GAEFARRRQLVRLDDRGAVRDGGGPLGFVVLPRNQKEEGLTRQARAGDALASVPVAVV DAVVVAV H257_11220 MGLCWTFVALTCLGLCVTGQHTTATSAAHRIHALPGYSPPTDFD QFAGHLSLPSSNHSNQLFYWLVEKSSNATANQRDTSPLVLWLNGGPGCSSLTGLFTEL GPFVVQPDLTLLRNPYAWNRHMNILFVESPLGVGFSAPRVSSSADYNDKFKAARLTEF LVEFIQAYPWYAGRDLYVTGESYAGMYIPHLVEQLLDHQRRHANDSQEDDFVQLKGFA IGNPITDHVIDNTAFLEYYYTHGMISIEAYAQVRQACNSTALLAQYSGIWATYNLSKH PCAVAVNAAMTEADTTHLNQSQLSTAPHQRHPVRRPSVHRGATGGPCVDKYTQAYLGQ RSVQVAIHVLSDNADTFPWRSCQDDITDDLYQRTMSVLPLYPKLLEHQQQLRVLIYSG DADSMVNFMGTQRWISTRDGLGLTVTNKWKAWFGPDKQLAGYTQAYDKGLTYSTVKGA GHMVPATRPLHALYMIECFVFGLEACQRLTYPVDSLEYLTGDTATFVSDDEDDETTPT TSLIQWWHYVTWYAVILVATVCCMAGIKRKAAAAQPRYAALNNAGMGKHPQYDSATS H257_11221 MVFRLAHLVGALASIVVIAANPSIQDAHKITDLPGYNDKTPIDF NQYAGRLALPSNGQEMFYWYVESQSNPSTDPIVLWLNGGPGCSSLGGFFTELGPFVVE RDLSVKRNKYAWNRKTNMVFLESPAGVGFSQPFLNATDYNDDFTSARAREFLEQFLAA YPSLHGRDFYITGESYGGMYIPFLVHNLVSTPVSGINLKGFAIGNPYTDEAIDNAAYL DYYYTHGLISIEEYATIQSTCNKSGLAAYAGVFSDDSADTPCAKAVHAAMDEADSGSL NPYYIYGDVCLLQNDQGNALQYKNVRPMHRGNIGPCTDQFTQSYLRQPAVQAAIHVAG PHVDWKNCAGSANLQYNRSKSSLHLYPTILSKGLKALIYSGDADAIVNFIGTQRWITT EGLNLTVQTKWKAWFGPDNQVAGYTEGYAGLNFTTVKGAGHMVPAVRPLHALYMFECF VYGHDKCNSWVDYPQDNLEYLTGQNVVYTPDDGDDEVEEDGSGVTTWQYAVWYSVLAL GTVAGVVAVNRLANKPKYSALNGDAKPLYASASTTN H257_11222 MMRRSRQFFSCCHPPNTKCVAIVKRMRASLLNSPYMPSFLWAAL AALIVAADPVAGYVAEHKITSLPNYNDDKPINFDQYAGHLALPSTGQRMFYWLVEAET NPDTAPIALWLNGGPGCSSLVGFFTENGPFVVASDLSVKRNPYAWNRHMNMVYLDSPA GVGFSKPLLNASDYNNDVTTARIFEFLGVFFDAYPTYQKRPFYVTGESYNGMYIPYLV HQMVAKPKPTINLAGFAIGNAYTDTHIDGKAYYDWIYSHALISLDTYTALKTHCHDDI SECSDGTKQCSPECHAALHESRVSSDIGHLNEYYIYGDVCLLNSTKQTQSFTYHRVRP SVRGNIGPCADVFTQAYLRLPQVQQAVHVTDGLVAWIECNDDVSRVFTGSASALDKYP LILSKGLKVLIYSGDADSNTNFIGSERWLTSEGLQLPVVSKWKSWFGPDKQLAGYTQG YQGLNYSTVKGAGHMVPATRPLHGLYLIECFIHGQDVCDKELQYPVDRLEYVSGLVTS VESQHVTPAEWLALVLPLVVAVGAVTWYAWWKRVLVLGKKTLPSTKGSIN H257_11223 MASSMPLYRAIFSLGLVASYVAAGVAPGSPDHQIHDLPGYNDST PIDFKHYAGRLPLPSSGQELFYWLVESQDDPATDPIVLWLNGGPGCSSLGGFFTELGP FVVQSDLTVKRNKYAWNRHANMVFLEAPAGVGFSQPLLTSSDYNDHTTAANTHEFLRV FFDAYPSFQYRPFYIAGESYAGRYIPFLITKLLASPLPKVHLAGFLIGNPSTNYEIDH NSYVDYYYTHGLISLENYMAVGAACGDNVGRCVVSSANCSAACEAALQDGILSIDEPA LNRYYIYGDVCLLNNSQAYPYKYRNFPSTHSRVVMTPCADTFTVAYLRQPLVQQALHL ANADVIEWSSCSDPVEELYQKSASSMELYPAILAAGIKALIYSGDADMVVNFMGTQRW ISSRGLRLNVTSQWRAWFGPDKQLAGYSEEYAGGLTFKTVKGAGHMVPATKPLHALYM FECFVFGQSTCDTWMYPKDNVEYLTGDDVAYIDDSGSASNTGPRDDVVLNWSLYGMLV VFAGIAIIVLAKKLQDRRTKEYTKL H257_11224 MALPTSPGHSSAMQLIIKAATAALCAMMLATMASAANETLTAHK IESLPGYNDDKPINFDQYAGYLVLPSTGQKMFYWFVESESNPQLDPVVLWLNGGPGCS SLGGFFTELGPFVVESDLSVKRNPYAWNRKANMLFLESPAGVGFSSPLLNETDYNDVT TAARAHEFLELWFASYSSFKNRHFYVTGESYAGQYIPYLVHKLIKEPLDGVSLVGLAI GNPVTDDTIDGNAYMDYYYSHGMISLETYEDMTAKCKDQIGVYAGLYASSPCLRDPNV TCSNPCEAAVREAIISADPDDQDPYYIYGDICLIENDQIGALQYRESAALPRRPHTPC AAEFTTAYLNLPAVRDAIHVHATVPAAWGNCNDAVSRSYHRSTSSLPLYPDILATDLK TLIFSGDADSIVNFIGTERWLGAQGLRLKVVDKWQAWFGPDKQLAGYTQKYDGLTFST VKGAGHLVPATKPLHGLYLFECFLYGKELCAAFDYPTDNLEYLSGIVTSSGNDKSDVA ASGQPNGAWAVAFVALGVGLTVLGSIGYKRFVNRGRQGEAYSTLNKQPVPTYSD H257_11225 MTQSCWLFFSLFPLWLTSTVVALQRLRPPNTHQIHDLPGYNDST PIDFKHYAGRLPLPSSGQELFYWLVESQDDPATDPIVLWLNGGPGCSSLGGFFTELGP FVVQSDLTVKRNNYAGRYIPFLITKLLASPLPKVHLVGFLIGNPSTNYEIDHNSYVDY YYTHGLISLENYMAVGAACGDNVGRCVVSSANCSAACEAALQDGILSIDEPALNRYYI YGDVCLLNKSQAHPLKYRNLRPPFTPLSDAVTTPCTNTFTQEYLRQPLVQEALHLSHL KSVGWRHCSNAVGHMYVRSSSSMELYPAILTAGIKALIYSGDADMVVNFMGTQRWIST EGLGLKVTDKWRAWFGPDKQLAGYSEEYAGGLTFKTVKGAGHMVPAVRPLHALYMFEC FALGHDACNNFTYPRNSAECLTGEDLDACFGDGSDTVDLPHPANHVNWSLYGILIVLV GIAVAMLTKLRLDYRKKQYAML H257_11226 MRIGLGWMAAIAMAAILGDVCATGDEHEHSKAHRANGSNNDDEG ANNHHKVKSLPNFNDEHPIDFDMYAGRIPLSKPGVELFYWLVHSESDPRTDPLVLWLN GGPGCSSLAGLFTELGPFVVEGDLSVKRNKYAWNRKANMLFLESPAGVGFSTPLLNAS DYTEDTTAANAYEFLERFFDMYPAYLHRPFYIMGESYAGRYIPYLVHKLVLHPIANVS LRGFSIGNPATDDKVDGNALMDYYYTHGMISRQNYKKTTAACVGEVIRMCLSSRHNCS ADCTKALHAGILQVDKQALNPYNIYGDVCLLENGQANALHYPLHQRAILPRGDIGPCQ DVFTKSYLQLNVVQRALHVEGDHVPWADCNHKVTRMYTRSPSALPLYPRILSAGLKAL IYSGDADSVVNFIGTERWITDEGLNLTVSQDWRAWFGPDKQLAGYTQEYTNLTFKTVK GAGHMVAANRPLHALYLFECFVYGSVKCESFVYPSDGLERLTGETGVVVGMSILMAAA DAPSVPTAMQVEDTTETDVLGQDGREAASMTMQGALMVVVVVAVMVMAIRLANRRHHY ANYVAL H257_11227 MEATVANTDGSREEIKLHALAFVRSCNRKHRRALHDHLADKSDV RPHYPRESDVTQPIPQLLATYTTWVSSSNVETALLFERSLEQSLVQIAHSVLHGNLLV SALVEDLVASVPFPAIIAWKLHELCALDFNHVLCRAVCPGTPFRKRTHPSSSRDIDVS SAGVVQLAAALDTVPPASMRDYSAILGHILATYVDTSCSQHPRQVSPPVLRIAVHALV RHLCDVQRAGSSYLPLLQHISPALASHPAMQTLLKTQLLAHVTAPPYLLKRRVSLHHE AFVLAFRRSLSITSFAAVVKDFFWTRPWHVDPFWAALFAAFGGTTSTNHRGDSNTSST ASLLLGVAHAFGSHAVLTMNATLLHASVSLAAQVTTLPDYSVWFHSHFGSPDHATTTS TTSTSPSTFPPGEACPGQSCALVTNKRTVQFVVACFVETLPLESSRHHLDVQFQVLKR HAATYPDFVLHYIQLARRKLNALAASSSSPNHKDNNNHDLEDHINTFLDTGKVPAPIR TRLLLQDATWHGKLKPALLAARDVGSHVLSLGWMQLVHTLAREGAIRHSEYAPFVAAM HGIVQVRTASRGAECPVDQLATELVDSMAGTCTLPPMSADLGTRMVRRLQTLPHIKAK TIEFVHGGALLTATGPNWPATKPLVVDMWTDGLNHDQQLHVLTHLETTIRQLLGLGTT TTDDPDPTIATSTTTTSSSTTNDDDKIGMVLTSLARLLCIAMTTHADQVTTFLNDLVR PPIDFTPHHQHTRPMHALTRLCTHVVTCLGGAGQPTDATIGKNVPRGVVQFLQWIQAR HVYGGSGEEVVAGSLDHLPSPEDYFAIWLAYELHQPKHRNEAVVSTSLYRLDCMSTMF MQMHKTSSLQLSSAITTVCSLGKELLRHQADCFDDCHMALTPSTRPPTDHIVHPAWAA QRPSHPSTRNSPPLSHCQLESGLMLFHQVVPLLFANPGDIQPANLHTALVKTFVDAAG AVLVVGGTPGRTARVCQMLVELLHVCYAHSRVLVSRDRVLVSSGAYTPPPKLQHTVRS FVRRHLHAIAPWPPRVTSMLNLLLTDVADRAFPSSTHQDGDADDLVVACLAVSAPHLQ PPPAPVYAHLHAALCHSPSSSSSTASSLARLHTQVSLDHPAAIDMTLSVLRHWLAWTQ QHTPPPRAQDVLAHLHNTTNTLVLLERICHMYLSPTRPIAIVPAAFLTVLLQLTHQLL SHDTQRVGHWLVEYYAAAHSTHPRDHTSPPAVWFLLVLTQCSPSLLDECVRVHGTMWL EQTCRAFLAVRRLPPEHTPPIPSIALARFPSVLDEVLASARRHSVAFSTAHYLMHLGP VVSHHLQVKLQSLRILDDDDDDTHEDVRSQSPPPYEPLVFNNQLHAWE H257_11228 MPSLPPDPGKQQSINSTLSDTSTMPTPMATVYVQRNGVPTVILV PATGIIRQPQPSSSENDSAADTTECPADTPLTARQLRSKRAADTFLARHDRHSTKRAA DRKRHAHPRPTRPGTTGPSPGVTQRTPRPPLSQMTTGPSAPDCPMFHTPPIAQARHHQ SRIVQIRYALRLL H257_11229 MVPHPITLPSDTAPINTPITALHGPPAAPIPPIPSHGPHHSQPP QPADTALSPTRAGPPSVRATALTTTINPYTRPASSSTRPAFSPLQPKPAHTSEPGDVD FTSATLLPTPDPPRITFWSTTYPPHTDYLHPSQETSHSNMQHTAINPTETTIQHANND STGPPNPLAVQQSGQSHTHITPPTGSTEHPPRPQPAKRHYSDIEPDLDFFHPSFYFPP TKRHHDMRYPPTPQHQPIPYELAPNEQGATDQNIDDDDQEEYDTNTALQFPGIRTLHD EGQPIMYRTMQRYWDHEAHRFQGFNADDIADVERHFADAKVRIQFSINPSLQHPEETL SILNYRRELEDICQERYGLTFRGGLMEHGQQLLGDPLQRTVQAWAPPRRRYLFLRDIS VVMVYQYAGVLDNGLSFHQLEYRNPSKTTPGDLMCALRALGATHAIVQSHTRMSGLHG PRDHWAAIGCLNWPSEGQYRFRLVFPSQSMAETVYANFRRHVAGPDRLELVPPSMKLL PLRDLCWDNPTATFFHPQAPSAATLVDTKVRIGRLPPLTTTDDILATLRGSRLPTPDV DITGDGYATLTFDTPAPVAFLWSASGPHGDTRLYIRDIAVHLHILTGRPRPSAAHVQC RDCGRHDHQGQPCDRFTYLDPRDRARSKSQHKPSAHANTRSPDTHARGKSSHHRVGQY SRSASQHSAPEERLPQAWQLPLQRHPAQAPRPTADLSLYLRRELSTYVDQRIVTATAP LRHEVESLRADKEALAALVSSSSTAFSTLDARLLQE H257_11230 MTAYTDQPLPLAADNAEPSALGPSPHANMTDDTPASPPVCNVVV PESAPLVLLLSPLGCCHHPLSHATPPRATTTTTTHPHFRTHIRQPA H257_11231 MKIAVVLSVVAVAVATQDKIWPSVFRSLERTSTASVAVTVDDLN VQKDPTVFDWAKCNGLENELVLLCNDLIKAEIKSLAALPGVQKITTIADSSPKCRPKI TSKPITTEATPTTTEAAPTTTEATPITTEAVPDISTPCPSNHTPKPVTTEATPITTEE ATPITTEATPVTTEEATPINTEAATPITTEEVTPITTEATPVTTEEATPITTEAVPNI STPCPSNLTPKPVLTDATPITTEEATPITTEATPVTTEEATPITTEATPVTTEEATPI TTEAVPNISTPCPSNLTPKPVLTDATPITTEEATPITTEATPVTTEEATPITTEEATP ITTEEATPVTTEEATPVTTEAVPDISTPCPSNVTPKPVITEATPITTEATPITTEEAT PITTEEATPITTEEATPVTTEEATPITTEAVPDISTPCPSNVTPKPVTTEEATPITTE ATPVTTEEATPITTEEATPITTESTPVTTEEATPITTEAVPDISTPCPSNVTPKPVTT EEATPITTEATPVTTEEATPITTEEATPITTESTPEATPITTEEATPITTEATPVTTE EATPVATEEATPITTEEATPITTEEATPITTEAVPDISTPCPSNVTPKPVITEATPIT TEEATPITTEATPVTTEEATPVATEEATPITTEEATPITTEEATPITTEAVPDISTPC PSNVTPKPVTTEEATPITTEATPVTTEEATPITTEEATPITTESTPVTTEEATPITTE AVPDISTPCPSNVTPKPVTTEEATPITTEATPVTTEEATPITTEEATPITTESTPVTT EEATPITTEAVPDISTPCPSNVTPKPVTTEEATPITTEATPVTTEEATPITTEEATPI TTEATPVTTEEATPNTTEVVPDISTPCPSNLTHKPVTTDSTPITTEEATPITTEEATP ITTEATPVTTEEATPITTEEATPITTEATPVTTEEATPITTEEATPVTTEEATPITTE AVPDISTPCPSNVTPKPVTTEEATPITTEATPVTTEEATPITTEEATPITTEATPVTT EEATPNTTEVVPDISTPCPSNLTHKPVTTDSTPITTEEATPITTEEATPITTEATPVT TEEATPITTEEATPITTEEATPVTTEEATPITTEATPVTTEEATPITTEEATPITTEE ATPITTEATPVTTEEATPITTEAVPDVSTPCPSNHTLKPVTTEATPITTEEAAPITTE ATPITTEATPITTEEATPISTEATPITTEAAPTTTDAVPQLSVCEKPVDGVDYYGHDI KFTQRSNSDDCCDDCANTPGCVLYVWTPWNEGTCFLKWQAGKSAPYWGAKAAKVTKSV GSCQAAQANVDYNGNDIARISGNKDDCCGLCLTADNCKGYSHYQGYCYLKGELGYPSA KEGVTSGIRN H257_11232 MMMGWKAGVLVLSISVALSMAKVSMGVHRAFEVASTADVVVEYA RPSSVHTLMAALPIHLDHQDTSSSSPLNQRDNARRTAMYQTLVAESTKARAVLAAHFP SAQCTHVWIKTSAFCNGLTVDQVHGIAALSSVHKIDVPFTVRLHSTLSRDGRKAVRPV QKKAAADANISSDDPPSSSSSRVVEWGVATIGAPDVWHHTTGEGIVVGSIDTGANANH EAIKHNFRRVKGWFNPYNTTTDIGGDDLPMDSHGHGTHTIGTMVGSHGIGVAPGAQWI ACLGLNGDVGSQLALVQCAQFVMCPSRRDGSHSECKLGADVVNNSWGDDGGGAYNDWF QDIVAIWQYVGITPVFSAGNSGPNCATTGNPARYDNVLAVGAVGSYANDPTQLAFFSA KGPVTAGYPSSSSNATTAGGWRGKPDVVAPGFFTVSANARDDAGYVALAGTSMASPHV AGVVALLKSKQRDLTYADIYRLITSTADRAVLQPEPATWTFKNGSVLGPGAVNCGDVL DSAWPNNRYGYGRVNVAAMFREDGSLKCAEGDDDGDASPSPPHVTTSLLPRPPATTST STTRACSAAETDVDFLGHDLYGVKATNAADCCGLCMEQEACAGFSHLHGVCFLKQVMG ERVDKTGAVSGRVVVVG H257_11233 MDVPALVESLVTSVHGSPNPSLMSLSLRILSSRYGNAHEMEHRA NDMLGVTQKILRHLKESSIGYDSKLAVQRFESLNQDFITHAMLHRKAALLTLLLRLSL DTDTTTLYVPPLPVTAIGHPTIKTDPTSSPQHPHPPRSPHADPSMPQQPSISTTWHDK HMQQDTYRAAVRTHDTGAAMDIPENVLLQEVLYAFQGIDSKYTFFNPSTDRFEVARHV GVSLPMRDLIRKLTEVGWLFNRVQQFLGRSMDGGVVTQSFHHALKAELSDFYRLLAVL SAQVDVDAAKFPLPDAMPELTLKRLIVWTQDPLDRLRVMAALVDSVDGLTGGALASGI YMYMEHGDPFVRQFIQTILNQVATPVLTMIQQWTLEGLLQDPYEEFFVACDASVGDDM LWSQKYSLRWAMLPQFIPRDVAHTIFVMGKSINFIRTCCGDSEWVLDTQLHATNLTFD HWTEFQQWIAAAANETNNYVTKILLGKYQLLEHCRALKQYLLLGQGDFIQYLMDLLQP ELSKRATQIYRHNLMSVLETALNASNAKFESNDILSRLDVKLHQATSGEEGWDVFALH YKLQAPLNTVIPDAAMAEYLQMFSFLFKVKRVEYSLSTCWGRDMNLVHLISNKLPHAV AIMHRGNLVRSQMIHFTTNLHNYIMFEVLDGSWHSLVKDVTNATHLDALIDAHSGYLE RIKANAFILDANQELLRALKGIFDTILTFSKVQEAIYTTAVREGQLVNRHERLGKVAW TGTEERPTSALDATGALVRQMHTIATDFQTQMVSFLDLLKQQALGSDNLPFLTFRLDF NEYYRKSTAPPTN H257_11233 MDVPALVESLVTSVHGSPNPSLMSLSLRILSSRYGNAHEMEHRA NDMLGVTQKILRHLKESSIGYDSKLAVQRFESLNQDFITHAMLHRKAALLTLLLRLSL DTDTTTLYVPPLPVTAIGHPTIKTDPTSSPQHPHPPRSPHADPSMPQQPSISTTWHDK HMQQDTYRAAVRTHDTGAAMDIPENVLLQEVLYAFQGIDSKYTFFNPSTDRFEVARHV GVSLPMRDLIRKLTEVGWLFNRVQQFLGRSMDGGVVTQSFHHALKAELSDFYRLLAVL SAQVDVDAAKFPLPDAMPELTLKRLIVWTQDPLDRLRVMAALVDSVDGLTGGALASGI YMYMEHGDPFVRQFIQTILNQVATPVLTMIQQWTLEGLLQDPYEEFFVACDASVGDDM LWSQKYSLRWAMLPQFIPRDVAHTIFVMGKSINFIRTCCGDSEWVLDTQLHATNLTFD HWTEFQQWIAAAANETNNYVTKILLGKYQLLEHCRALKQYLLLGQGDFIQYLMDLLQP ELSKRATQIYRHNLMSVLETALNASNAKFESNDILSRLDVKLHQATSGEEGWDVFALH YKLQAPLNTVIPDAAMAEYLQMFSFLFKVKRVEYSLSTCWGRDMNLVHLISVRLFIYI YIL H257_11233 MLHRKAALLTLLLRLSLDTDTTTLYVPPLPVTAIGHPTIKTDPT SSPQHPHPPRSPHADPSMPQQPSISTTWHDKHMQQDTYRAAVRTHDTGAAMDIPENVL LQEVLYAFQGIDSKYTFFNPSTDRFEVARHVGVSLPMRDLIRKLTEVGWLFNRVQQFL GRSMDGGVVTQSFHHALKAELSDFYRLLAVLSAQVDVDAAKFPLPDAMPELTLKRLIV WTQDPLDRLRVMAALVDSVDGLTGGALASGIYMYMEHGDPFVRQFIQTILNQVATPVL TMIQQWTLEGLLQDPYEEFFVACDASVGDDMLWSQKYSLRWAMLPQFIPRDVAHTIFV MGKSINFIRTCCGDSEWVLDTQLHATNLTFDHWTEFQQWIAAAANETNNYVTKILLGK YQLLEHCRALKQYLLLGQGDFIQYLMDLLQPELSKRATQIYRHNLMSVLETALNASNA KFESNDILSRLDVKLHQATSGEEGWDVFALHYKLQAPLNTVIPDAAMAEYLQMFSFLF KVKRVEYSLSTCWGRDMNLVHLISNKLPHAVAIMHRGNLVRSQMIHFTTNLHNYIMFE VLDGSWHSLVKDVTNATHLDALIDAHSGYLERIKANAFILDANQELLRALKGIFDTIL TFSKVQEAIYTTAVREGQLVNRHERLGKVAWTGTEERPTSALDATGALVRQMHTIATD FQTQMVSFLDLLKQQALGSDNLPFLTFRLDFNEYYRKSTAPPTN H257_11233 MFSLHIHFTYIAMRDLIRKLTEVGWLFNRVQQFLGRSMDGGVVT QSFHHALKAELSDFYRLLAVLSAQVDVDAAKFPLPDAMPELTLKRLIVWTQDPLDRLR VMAALVDSVDGLTGGALASGIYMYMEHGDPFVRQFIQTILNQVATPVLTMIQQWTLEG LLQDPYEEFFVACDASVGDDMLWSQKYSLRWAMLPQFIPRDVAHTIFVMGKSINFIRT CCGDSEWVLDTQLHATNLTFDHWTEFQQWIAAAANETNNYVTKILLGKYQLLEHCRAL KQYLLLGQGDFIQYLMDLLQPELSKRATQIYRHNLMSVLETALNASNAKFESNDILSR LDVKLHQATSGEEGWDVFALHYKLQAPLNTVIPDAAMAEYLQMFSFLFKVKRVEYSLS TCWGRDMNLVHLISNKLPHAVAIMHRGNLVRSQMIHFTTNLHNYIMFEVLDGSWHSLV KDVTNATHLDALIDAHSGYLERIKANAFILDANQELLRALKGIFDTILTFSKVQEAIY TTAVREGQLVNRHERLGKVAWTGTEERPTSALDATGALVRQMHTIATDFQTQMVSFLD LLKQQALGSDNLPFLTFRLDFNEYYRKSTAPPTN H257_11234 MTTPVHLLPRGLSWGEDLQIYKASKTVIPWRPEQQQPVRHITRY EKSREEREYDLVRAQYRDVQRESATKDKEIKDQMQALVDAKVKQSRYVQKFNLINHHS AEVEPVESALRPPNTRAPYNIVNHRQLDVPPVHVAPPDSLGKKMVDSQHLGRPFSVIS NKYHTNHESRSAADAVRLQDMARTKFNKTHDFNPLLVRYYDETKETAFVAARTVQNQM HGVDRDEKLPHGEQFSAGKLYNIVNHKILRPDKYEAVTNVGNRRLNCMKSTQINKAVR ERADAFEDKTQERALNRIAHERNGQAYVHGFDPVTNQPFEGRLRKPKVPLRTQPKPAA WARADPRGGPNQTTVEGAVLPALVPSHQFGGMAPIQPEILFISHDQPVDPGGAKVAAS PMTKPH H257_11235 MVKEFQPLLSPPPISTDEWHHVFLITIPLVLLQILEYLPLPILN MYLGHLDLDQSENRVVLAAGGLSSLFFTTTAYSVVIGVSTAMDALTAQAYGKGRGLEL GIVLQTAALCAGVLCVPLALVTFFSGSILVALGQPADIANATQQLLRWYMLEIPLVFA YEYFKRVLQGQNIVWPIVAAISAGAGVALSVGYVFVLHTSLGFVGAPLGMIGYYSTAG FLLYHLMHTPSMHFDWAAAKQNLPTFLCLSANGWLMFLSEFGGIAATSFMAGSLPDAS TALSANTIYAGFRSLFGMVYLGIGFASSVRVGNALGGNLPIRAKTAAWQTVQLGSWWA AASTVSMVLVGPLYATLYTQDPAVLSEATTLFYATAPFQVTMGVWGAVQGTLRGSGRP HQGAVANVVGFVGVGVPLASVLSAYWGLVGLWVGISVGFCLCAAYGLYWLVVADWEAI ADDIALQTA H257_11235 MVKEFQPLLSPPPISTDEWHHVFLITIPLVLLQILEYLPLPILN MYLGHLGSSTPSTLMAGQHTCIASHTRSVDLDQSENRVVLAAGGLSSLFFTTTAYSVV IGVSTAMDALTAQAYGKGRGLELGIVLQTAALCAGVLCVPLALVTFFSGSILVALGQP ADIANATQQLLRWYMLEIPLVFAYEYFKRVLQGQNIVWPIVAAISAGAGVALSVGYVF VLHTSLGFVGAPLGMIGYYSTAGFLLYHLMHTPSMHFDWAAAKQNLPTFLCLSANGWL MFLSEFGGIAATSFMAGSLPDASTALSANTIYAGFRSLFGMVYLGIGFASSVRVGNAL GGNLPIRAKTAAWQTVQLGSWWAAASTVSMVLVGPLYATLYTQDPAVLSEATTLFYAT APFQVTMGVWGAVQGTLRGSGRPHQGAVANVVGFVGVGVPLASVLSAYWGLVGLWVGI SVGFCLCAAYGLYWLVVADWEAIADDIALQTA H257_11237 MRRTLGCGSGSGGGKVKLLRRHFFDKVGRDWEKAWTQQVTPWEL QGVNPSFVEGLALLPPSNNDPKVGGRRKALVPGCGSGFDLLHLHAQGWDATGLDISST AIDVSRSNLGRDADSITLVQADFFAPSTPSVHPHSFDLIYDYLFFAAIDPSMRAACAA RFHALLRPDTGRLVTLLFPLAPPPLPLSYSSISSSTSTDQGPPYVLSLDDYRHILEPA GLVLDSTHVPTTSIPPRRGRELLAVWSRS H257_11236 MHPDDWLKHKINRKMDAADLLDQYTDAIYAATYTADEVAALKAR KKLNETVMLCRTILRDESWEHDATVNELDVYLTYASALESLTRRYEAIDVLVRGLDVM DDHPMLQLALARLQFKAGLYNDALETCLLVMQAYPHDTRCSADSAADAYHLAGWVKIH GDDHTNAYALWSRGALAIPSSSVLARQHRKRQCWDNDAPDDFLLPHGLVGQGTSGLPA EGFAVPASTRAVALFDPTTQANRLVFRSSSPLLTKGECDAVIDIVDAFHRDVRHGQWG TVRHSSVNTTDVAVEDIPLLRPWLRKLLNSRVYPFLHECFPRLADHTSMRDDTTGATR CRVHDAFIVRYDELDQSLSLPEHNDTSVVSVVVSLNDRFVGGGTWFHALQQVVDAPVG HAVAFAGPLRHGGHAITSGCRLILVLFLYVDGFAYGDYLNAVYDDVASPQDTDKGFVV YNQTVELVATLNQAPTSMAVSPLDVAQDDESCKQPKHETG H257_11236 MHPDDWLKHKINRKMDAADLLDQYTDAIYAATYTADEVAALKAR KKLNETVMLCRTILRDESWEHDATVNELDVYLTYASALESLTRRYEAIDVLVRGLDVM DDHPMLQLALARLQFKAGLYNDALETCLLVMQAYPHDTRCSADSAADAYHLAGTAPSS TIYSSPCPFSLGVGWVKIHGDDHTNAYALWSRGALAIPSSSVLARQHRKRQCWDNDAP DDFLLPHGLVGQGTSGLPAEGFAVPASTRAVALFDPTTQANRLVFRSSSPLLTKGECD AVIDIVDAFHRDVRHGQWGTVRHSSVNTTDVAVEDIPLLRPWLRKLLNSRVYPFLHEC FPRLADHTSMRDDTTGATRCRVHDAFIVRYDELDQSLSLPEHNDTSVVSVVVSLNDRF VGGGTWFHALQQVVDAPVGHAVAFAGPLRHGGHAITSGCRLILVLFLYVDGFAYGDYL NAVYDDVASPQDTDKGFVVYNQTVELVATLNQAPTSMAVSPLDVAQDDESCKQPKHET G H257_11236 MHPDDWLKHKINRKMDAADLLDQYTDAIYAATYTADEVAALKAR KKLNETVMLCRTILRDESWEHDATVNELDVYLTYASALESLTRRYEAIDVLVRGLDVM DDHPMLQLALARLQFKAGLYNDALETCLLVMQAYPHDTRCSADSAADAYHLAGWVKIH GDDHTNAYALWSRGALAIPSSSVLARQHRKRQCWDNDAPDDFLLPHGLVGQGTSGLPA EGFAVPASTRAVALFDPTTQANRLVFRSSSPLLTKGECDAVIDIVDAFHRDVRHGQWG TVRHSSVNTTDVAVEDIPLLRPWLRKLLNSRVYPFLHECFPRLADHTSMRDDTTGATR CRVHDAFIVRYDELDQSLSLPEHNDTSVVSVVVSLNDRFVGGGTWFHALQQVVDAPVG HAVAFAGPLRHGGTTITHVNTVIPPNWIFLFHGYGCIYMVYNTGRFDNVV H257_11238 MSSEYSTDDDAPPPPLPTQPSATDLPPSISTGSSLLASLVKAKP APLSDASESSDDDDDDDPRSHAPSEDDDDMDEDELEQLLVKQEQQASLRNAAAADTHA ADDDDDNLRKEPKATLSSTVCEVCQEDTSNAKRALVCAQCGVRFHPTCFRQKYAKLIQ TNHLKKWFCPDCEPIKKIVVTPKGKGKAAGRRPPSSDPRATPPSRSKPSGSAAAAASS STPKATDESYARLVEVALKAGRKFNGLVLEKGEELLTLAQSLKHDVEQHLGPSCTSLK AHHSQHHHSRNDATNDTNPDHISISEEASSDHLVLLRKLAAGLSQVESLVYTLQYHAV QTEVDLIKDVKYPPNLEQRTLEREAKEMHKAKLKVKTGGGASRLYSSAQIAKLEDWYA KSSRPESSEIHAMYRIINSPAYADADLQPEGIAVKQIRIWFDNRRAKERLDYMRIKMK DVDTSGLDSESVKKMKAGYIDEAKEVLEGRVAKMRETSSGAMDIMEEAEQLIGDTPAS EDLAPPPPLPSHHHPYSIAAITTSTSLSSPEKKPVKAKQRLRMDHVASVRKAVKMARE AGLSEDEIKDERSRAIQLARDRLYMNGKPLGPHPLNKDQVTHLKLTLLKLVEDDAAPE CVMDILELLLSVELPVPVLLDTRLDRQLRLVAKAHQHNKDVVRLASKLSEVIQATTDA YYQASSCHHVEFSLDQVETLERAFHENDSPDQDTLVQLASTLNEGDPLDYKHVRSWFY KRKAAGHPLPDGHVSDHGDMGEHDDMDDDDMGDDDLDDDDKGHATSTSSITSAAKPGK SNGRIFNDKQVERMSALFESTARPPNPVMDQLQDQLNAEGDGGITKRQLKTWFSNKRA KDRLEFVKARVKEAQAAGADDLDAVKEAADLEYRQMHKEGKDEAGSEDNDDSDGDDVA AGLKRKKGGAKTHPPAKKRAKRST H257_11239 MRLCLATRPSYVVLASIGCGDRGMRSHTWDGGSCCCSSVQAARC SSAKSVNEVVVEVDAVIARCRRDAWSASMRSRRELVGGTCVECTGNAAWAGGVVGYRT RVDSPHAVTTDNRRRSKGDVDVCLYDVEVVVELLVRSQWSPASST H257_11240 MNKYTEVTFELFEDAIRALYGQDGPEQQRLANEYLVHVWNQCKQ SWEYGLRVLVRPVVPGQGDPTQTQYFCANMLYGKIRQEWFGLTTGEQEAIQLQVELLI QRIRSGSLSFAPIVLKRICMAMTALSLATDGGCARCVTDCTQHVSSLVDVHVSLELLT SLVDDTDDAYLPPSRKDALVLEITDASAVVFPFVARLFDTQQLSSDVLRLNGLTCLRV WIKAAGFSLAKLYSNMPAVFQALLQALHHPQTAPGPVKEVVVCALILSDALEINEYPP ATSKDAATAALLSTLLTCQPTIQYYLLHDAQVAHAITTLISTLGEAELDWLVLGSPDA LAFSDLVLTLTSQPRRQIACLTFDVWLGIQDYPVASRHVAFQDAAFRRLLHTLVRQSC ASDDDDEPDDDVTAFRLAATDLLVAIHHLLKASFVADMVHLITSSKVATEAAMFALTA VSSELKLRLADDLPTQQMVLHLCTSILFADVTPSVVVVASAFLGNLGPLIHAQWTTHG AADTSLLDATFQYLCFGMTVSVASSAACRAFNILCASCTSILSQRHEIVSTALGSLHA SLGHLDKQDRQWVVEGVVRVAAVSAWGRLALEQLLHSIFIRLAVPSSPTPSHVPMELH ALGTVLRFLDAPSAAAGGPALTQHVVDLSWPHITRVASAAPSLPLDVVDALCDVFSAT LHAMKHTPAFVSSVECWSLLSWIEGHSVTSSAAIPCAIVAVELYSSHLAPSSPIMHVI VAIGHDVMTHCQSVPSPRHIPDLIRAYFELVQRALVFCPSSIVSDAEFPPILHLAIAC LMDLNQREALRAVVVFVNHVVAKREAPPLVQFQSIVDTVLTAQLTPLWVAIMTLLTST GPTTVLPTVSHLAFGLLTAFGNDMHMAAVADAMLSQHHLFESTPLSLSDRRQVLSAWL QYTTNYEERKFTAFVKDFAKVCRKELPVEHLVDHFVALQDK H257_11241 MATTSTATENPIASTSVTIDIEAPIPADATAAAATSTTAAATTT TTPSAPTTTPDGGKSKAPAPKKNYRHVQDDYKIACCGNSWLDLFLVPLTAIVLYGAMI GLSLLVLWAVFLTSTTNTAHWIFFAAWLLGVISVIISVQVAEYETYLKAQQVKKQASH DTIA H257_11242 MDVQSDANYNAVHDAKTPKVQAPTASNNSHRQEEERPDYKIVCC GNNWLDLILVPFTAIALYGAMIGLSLLVLWAVFLTSSSGAAHWMFFFAWLTGVIAVVI SVQVAQYESYLKAEHAKKDVAEGTNA H257_11243 MDEETAVCAAVLAAVTAALTPFDGRSNRGPIENNFVVPNNTWLL VKSTTSLNAWFTRHLRCPRPTFNRIGIDDRVACTLNYLPHSDGYESTAAFFGISKTRA YEYCATKESLGVAAFLATLDEVHYNTIHSRSRMVVERAFGLWKNKFCFFRLSCSNIDE LHGAPD H257_11244 MTEAQLLEQVQLLQQQLSHQPPQLQGDNDVAATTTPKKRISTKP STSSIASSMATSLVDTASEAPVVYTHTMVNALLELRFTGFRASFNADLSSKQLHVLWE KLALRFYILTEQPCQVSVDLLKNKLRKLRSEFVAIQHSLTATGNDELSTPPQAELLLG VRSPSASPGAEDDVEVLEDLYAAGSMQQNKRKVEIDLEMQRLRQMRKKQHPDLAAGLN SLGEALAS H257_11245 MRVYSCFLAVVMTSFTMAQDTDPICLGFRAPYDAAVAKCEAALR VRPGPPIGLTSQLEFCRVPECKAAIDASLQWKAQAAAVSTCKPLGVQVWPGLWCAAEC IKAVPLYSNASLTYCNQSAVAQQESYCHACEAMFPLHKSMNEMCAFNSATPTSYISAN LNNFAAAMTFCRTTFKNIVFNYPTDPNVILASTSTTTYIGIGAGVLVLVLIVVGVVIY RRRQQAGKSTPATSGSGGYYSNNGTPTHGSGTYKGTTTHGGSVANDIRFDPDLARFRI PQEHIQNVTLLVKGGYGVVFRATCHGEDVAMKQLLPSKAKDQHAIQEFMNEIRLCARL EHPKIVRFVGISWSTLHDLAVLSEFMANGDVTDLLKAERKRSPKDRVFLWDPRHNNFG TSKTAVAADVADALCFLHSFMPTIIHRDLKSKNVLMGANWDAKLSDFGISRVASHDNT MTSNIGTVAWIAPEVLTGGHYSEKADIYSFGVFLSELDTLESPYAEMTEKNAGGFSNA RIAMMVSEGALQPTFTDVVPSPILDLAQKCLSFRDVNRPTARDVAATLRALCKV H257_11246 MPTPTKVCPAVYHKSDVHVCCCPPRNNFHKKAIFDGKIGIWPFV VQLPAQRNSKNRAKGTMLTVPQSVTSDVYRAIILENVVPAIKAKMPWRDQAGTIVLHQ DNASPQNCVTSKML H257_11247 MGGESPGAVPTNVVVPVQLAQLALQRRNSDALAKIDSFLDSLLA ASSSKAALLLDSAINHSASSSSSTIDATSLKKKHPATTTLDGGATHVDLHHDLGIDIL VNVFSEFEASGVTAYSSATLRDEEKKQMWLLRQSLAQQLTTTKIPQASPSKRDLLKDR YGISHATAVNGAMPTGQTRRTVFAKQSSSNFNLGMLMEVEMEHRLKELFSVASEFHTI MNKTQVHVLSACVVDVNQDMTTPLVVEDKPQRVLNTELSLLQKDEVLTFGSFKNRFLK LNPRRSVTHTQQVAWLDSVYQAMLNISALHTVPGKDISAKSPRRPQVVPPKPTAVASL SSSTKVVPMKTTTQKTTSPQGKIEPTAFPTKHRLTKLQYHDILDEEKPWMAQAARAIV TPSQQVLDITSHPSSSSLRLVHKTHGDDVYDHDSSDALDELDQSMQSPVRREKRDSVD LTKHMGVMPRWKKRDSVDLMHAKRAKEKLRPAAIVYDNTKGQAPNIRQKLQECKVMML SLTNLNFGKK H257_11248 MKFRPCIDIHAGVVKQIVGSTLSDDKDAAGPVTNFVSTLRSADY AAMYKRDGLTGGHIIMLGTSEANERAALEALDAFPMGMQIGGGITSANCRRYLDRGAS HVIVTSYVFRDGAIDMQRLAQLRDVAGKDRLVLDLSCRMKNDGLYYIMTDRWQVFSNV TLSASLLVELAAYCNEFLVHAVDVEGKRCGIQEDLVSCLAQWSPIPVTYAGGASSVDD VNLVGRLGKGHVDVSIGSALDIFGGSLSYDALVAYTKQTLTQH H257_11248 MKFRPCIDIHAGVVKQIVGSTLSDDKDAAGPVTNFVSTLRSADY AAMYKRDGLTGGHIIMLGTSEANERAALEALDAFPMGMQIGGGITSANCRRYLDRGAS HVIVTSYVFRDGAIDMQRLAQLRDVAGKDRLVLDLSCRMKNDGLYYIMTDRWQVFSNV TLSASLLVELAAYCNEFLVHAVDVEGKRCGIQEDLVSCLAQWSPIPVTYAGGASSVVR SFSM H257_11249 MNVRTCRRVVQVLTLLSMLAGCAIAYFGIIVSSSLTSTADSSSS SVTAVMLATFGVVYVAVSMVGFCGVLATKERLQFLMIYFYSTLFISVAFIVFAYMALV APSTMATWLKLHWSALPLRHHACCRTFDDAHAFISTRYAMLGALGLASIVALVTTLLC IIKIASVPVVMRHMLSVVNAMFVVVATAAIAYGLHAKEYAVLDGGWDWIAWLLVAVGI TLFVLAGFGLAGSRMKSRPLLLLYSTGLVMTLIVLWVGATGAFYYAISQVAQIGPTGD VACSGGLYRCSNCTTPAIRCPGVYESSPGQWTTGCATVTLNDSIAFCDSAKTMVSNPA SPLAFDVVQCGLCPEWRASDVRAYIAASLHLLGIVAIMLSCCVAVALAGAVVLRRSFA TYQTESI H257_11250 MGGGISTNGDLSQMIACTMLIGEAMFQGLDPRYVRFPDMYRLYD FHVGKTKFRTYKPGGTTYVVFNADGSSGVIDRVTGAPPPEAEDPPRANDVIDENDTSK YLVVNVGDDRVVLDLATINTPNKDGWTPLHASCHTLNAVDAGKAILKAILAQDPSADL NVKTSRGPGSFSSGYTPLHIACAYGMEALVVKLIKASADVHVTNDVHWSPLHEACHRG YTSIVKELLRAGAKHDVACPEFALCPFPGQTPLGEAARQGHLDTVKLLLDHGADKDGT NAIHWTALHEAAYHNRSDVVRMLVVYGADVLIKTHRGAKASDLTISCEIKTMLDDMAS HANDDDKASRTQVPTTNNNHAVNSNPGDSSSPPKAKGGSPGKIKGDSSTSPSRSSEAD GGGGVKVGPLSRKEDFALLGDLPALQRLHVPAEADQVKDGGKAHKPKKSSKRKQSKEE AVPAEFKCAITHKLLVDPVKSPYGHVFERSVIEKWIQDYGHRCPISGEPLGLAQLTPQ VQLKDDIASWNAPPLVMGTASPKKDAQAKAEGASRMNSDVKGDDDVKGNGGDENTPAL DDDDLYAF H257_11251 MVASRPIRDIRSSSPVATIAAQYMWVERRRWVLAHTARQAQRSA NNYTDNEASPEMAMALTLEADVC H257_11252 MQRCKELGELRESIHALLQSEDNQGEQHLHAGSSVCAAVEACLS HGLKRVSSTETVSLWGLLQWTNMSQLERHHVWKQRQEHVHAKEQSKDWYLDMFKEELQ QISSLKVNTSSTIDNQIDDSVNPLTPGLNASIRIVNSLLHVTTPQGRVRAWIRHCCNT HLLSSCLAAVMHPHNQAALSTYFAPGALCCDVDMREIFVGLTSTLDRLQFGFSIDRPH LDDCVTSDDHVVVKNSVAEAETLSQIPTHSIARVTPTPSTDPNANLLNHVLDTTTQAL HHLLRDTPPTVLSPRALDEHDDGRRPLGPCDALFGVAMAALVTSAATCDVAPFDCRMG VPNLVEGCCRLIDAAAATCTPALFASKILKARFAQLIGQVNCTGTLSVWSSVHHGIII LIKWLRDLPDPVIPSHLHAACLTVSQTELRNVLNQLHWSVKPTLARLCATLTRVIHAQ SGTTVDTLSDIFGRILFAPTTSATELSAQANVVRMLLTSSSDVLMDITRDLVDRRERL TRKLGRISGISLELVEALDLTKNKHALLWKLLRHSYPGVTSPDQLRGGGVLVGRCLVH FAVEHAELATQLIGQRVLGHSKQYPLPVASVHIVRMLLAVLKLHDSTVQPGTSHNQQT IDFDVDSWLRRCDDTHHQITKDGQELTKEGGGPVAIHSALALVQQGLWPLFDDPDAFY RLFGWSVLLFDRNYTRSGATCMDFNTILAETQVQVSYHLNQRPTSIPNLYQLWATSLQ AQALAPTKASAHMRTYVVAWAGGVTVRAYPSQQADVVATMEEGDHLDTVLQAGVWLKL RDVPGGQGGGWVLSKLDQVMLVDPTQAV H257_11252 MQRCKELGELRESIHALLQSEDNQGEQHLHAGSSVCAAVEACLS HGLKRVSSTETVSLWGLLQWTNMSQLERHHVWKQRQEHVHAKEQSKDWYLDMFKEELQ QISSLKVNTSSTIDNQIDDSVNPLTPGLNASIRIVNSLLHVTTPQGRVRAWIRHCCNT HLLSSCLAAVMHPHNQAALSTYFAPGALCCDVDMREIFVGLTSTLDRLQFGFSIDRPH LDDCVTSDDHVVVKNSVAEAETLSQIPTHSIARVTPTPSTDPNANLLNHVLDTTTQAL HHLLRDTPPTVLSPRALDEHDDGRRPLGPCDALFGVAMAALVTSAATCDVAPFDCRMG VPNLVEGCCRLIDAAAATCTPALFASKILKARFAQLIGQVNCTGTLSVWSSVHHGIII LIKWLRDLPDPVIPSHLHAACLTVSQTELRNVLNQLHWSVKPTLARLCATLTRVIHAQ SGTTVDTLSDIFGRILFAPTTSATELSAQANVVRMLLTSSSDVLMDITRDLVDRRERL TRKLGRISGISLELVEALDLTKNKHALLWKLLRHSYPGVTSPDQLRGGGVLVGRCLVH FAVEHAELATQLIGQRVLGHSKQYPLPVASVHIVRMLLAVLKLHDSTVQPGTSHNQQT IDFDVDSWLRRCDDTHHQITKDGQELTKEGGGPVAIHSALALVQQGLWPLFDDPDAFY RLFGWSVLLFDRNYTRSGATCMDFNTILAETQVQVSYHLNQRPTSIPNLYQLWATSLQ AQALAPTTSAHMRTYVVAWAGGVTVRAYPSQQADVVATMEEGDHLDTVLQAGVWLKLR DVPGGQGGGWVLSKLDQVMLVDPTQAV H257_11252 MQRCKELGELRESIHALLQSEDNQGEQHLHAGSSVCAAVEACLS HGLKRVSSTETVSLWGLLQWTNMSQLERHHVWKQRQEHVHAKEQSKDWYLDMFKEELQ QISSLKVNTSSTIDNQIDDSVNPLTPGLNASIRIVNSLLHVTTPQGRVRAWIRHCCNT HLLSSCLAAVMHPHNQAALSTYFAPGALCCDVDMREIFVGLTSTLDRLQFGFSIDRPH LDDCVTSDDHVVVKNSVAEAETLSQIPTHSIARVTPTPSTDPNANLLNHVLDTTTQAL HHLLRDTPPTVLSPRALDEHDDGRRPLGPCDALFGVAMAALVTSAATCDVAPFDCRMG VPNLVEGCCRLIDAAAATCTPALFASKILKARFAQLIGQVNCTGTLSVWSSVHHGIII LIKWLRDLPDPVIPSHLHAACLTVSQTELRNVLNQLHWSVKPTLARLCATLTRVIHAQ SGTTVDTLSDIFGRILFAPTTSATELSAQANVVRMLLTSSSDVLMDITRDLVDRRERL TRKLGRISGISLELVEALDLTKNKHALLWKLLRHSYPGVTSPDQLRGGGVLVGRCLVH FAVEHAELATQLIGQRVLGHSKQYPLPVASVHIVRMLLAVLKLHDSTVQPGTSHNQQT IDFDVDSWLRRCDDTHHQITKDGQELTKEGGGPVAIHSALALVQQGLWPLFDDPDAFY RLFGWSVLLFDRNYTRSGATCMDFNTILAETQVQVSYHLNQRPTSIPNLYQLWATSLQ AQALAPTKASAHMRTYVVAWAGGVTVRAYPSQQADVVATMEEGDHLDTVLQAGVWLKL RDVPGGQGGGWVLSKLDQVMLVDPTQAV H257_11252 MQRCKELGELRESIHALLQSEDNQGEQHLHAGSSVCAAVEACLS HGLKRVSSTETVSLWGLLQWTNMSQLERHHVWKQRQEHVHAKEQSKDWYLDMFKEELQ QISSLKVNTSSTIDNQIDDSVNPLTPGLNASIRIVNSLLHVTTPQGRVRAWIRHCCNT HLLSSCLAAVMHPHNQAALSTYFAPGALCCDVDMREIFVGLTSTLDRLQFGFSIDRPH LDDCVTSDDHVVVKNSVAEAETLSQIPTHSIARVTPTPSTDPNANLLNHVLDTTTQAL HHLLRDTPPTVLSPRALDEHDDGRRPLGPCDALFGVAMAALVTSAATCDVAPFDCRMG VPNLVEGCCRLIDAAAATCTPALFASKILKARFAQLIGQVNCTGTLSVWSSVHHGIII LIKWLRDLPDPVIPSHLHAACLTVSQTELRNVLNQLHWSVKPTLARLCATLTRVIHAQ SGTTVDTLSDIFGRILFAPTTSATELSAQANVVRMLLTSSSDVLMDITRDLVDRRERL TRKLGRISGISLELVEALDLTKNKHALLWKLLRHSYPGVTSPDQLRGGGVLVGRCLVH FAVEHAELATQLIGQRVLGHSKQYPLPVASVHIVRMLLAVLKLHDSTVQPGTSHNQQT IDFDVDSWLRRCDDTHHQITKDGQELTKEGGGPVAIHSALALVQQGLWPLFDDPDAFY RLFGWSVLLFDRNYTRSGATCMDFNTILAETQVQVSYHLNQRPTSIPNLYQLWATSLQ AQALAPTSIYIYIHILIFYFWLIL H257_11252 MQRCKELGELRESIHALLQSEDNQGEQHLHAGSSVCAAVEACLS HGLKRVSSTETVSLWGLLQWTNMSQLERHHVWKQRQEHVHAKEQSKDWYLDMFKEELQ QISSLKVNTSSTIDNQIDDSVNPLTPGLNASIRIVNSLLHVTTPQGRVRAWIRHCCNT HLLSSCLAAVMHPHNQAALSTYFAPGALCCDVDMREIFVGLTSTLDRLQFGFSIDRPH LDDCVTSDDHVVVKNSVAEAETLSQIPTHSIARVTPTPSTDPNANLLNHVLDTTTQAL HHLLRDTPPTVLSPRALDEHDDGRRPLGPCDALFGVAMAALVTSAATCDVAPFDCRMG VPNLVEGCCRLIDAAAATCTPALFASKILKARFAQLIGQVNCTGTLSVWSSVHHGIII LIKWLRDLPDPVIPSHLHAACLTVSQTELRNVLNQLHWSVKPTLARLCATLTRVIHAQ SGTTVDTLSDIFGRILFAPTTSATELSAQANVVRMLLTSSSDVLMDITRDLVDRRERL TRKLGRISGISLELVEALDLTKNKHALLWKLLRHSYPGVTSPDQLRGGGVLVGRCLVH FAVEHAELATQLIGQRVLGHSKQYPLPVASVHIVRMLLAVLKLHDSTVQPGTSHNQQV H257_11252 MHPHNQAALSTYFAPGALCCDVDMREIFVGLTSTLDRLQFGFSI DRPHLDDCVTSDDHVVVKNSVAEAETLSQIPTHSIARVTPTPSTDPNANLLNHVLDTT TQALHHLLRDTPPTVLSPRALDEHDDGRRPLGPCDALFGVAMAALVTSAATCDVAPFD CRMGVPNLVEGCCRLIDAAAATCTPALFASKILKARFAQLIGQVNCTGTLSVWSSVHH GIIILIKWLRDLPDPVIPSHLHAACLTVSQTELRNVLNQLHWSVKPTLARLCATLTRV IHAQSGTTVDTLSDIFGRILFAPTTSATELSAQANVVRMLLTSSSDVLMDITRDLVDR RERLTRKLGRISGISLELVEALDLTKNKHALLWKLLRHSYPGVTSPDQLRGGGVLVGR CLVHFAVEHAELATQLIGQRVLGHSKQYPLPVASVHIVRMLLAVLKLHDSTVQPGTSH NQQTIDFDVDSWLRRCDDTHHQITKDGQELTKEGGGPVAIHSALALVQQGLWPLFDDP DAFYRLFGWSVLLFDRNYTRSGATCMDFNTILAETQVQVSYHLNQRPTSIPNLYQLWA TSLQAQALAPTKASAHMRTYVVAWAGGVTVRAYPSQQADVVATMEEGDHLDTVLQAGV WLKLRDVPGGQGGGWVLSKLDQVMLVDPTQAV H257_11253 MDTPHAAVGWVESLTKQPPPSPDASLCTYLRDGRVLCMLANALS ETNEVRVRPRDRFRTYHALESVSLFLRWARDRALIDDNAMFTSAQLIDEQDEVAVLAC LQALETKFKPKSNRALPNKHGEVSKHESSVKTTSTSTTTTTTTTSTSTASVVPTAIDP VMATLPTASKTTSTTSPPQVTKATLVPPTPPSPPSPPPLSSSPHVKRSPVPLRTASSA KPSSQPTPKVAMKTPTTTTITPPSASSPGTPSSTKASSVAKSKSKLTSFLAIPNAPAT VLKAPQPPTNRHVTPNHTLSQGVSLADSLTEKVEATTSPKSRLNPSSPVTSAPGVATT TTQKPSAVASQSPSKLGPSALQKKGHFAGGGGFAFAFAADTKSVVMLVHNQLMWPHMA LESVNNVRINSGRMSLTEWQLAFQALSPPMDPLKE H257_11254 MARQRRQRQLATGMKAGSLLQYFSVQQPITEHDARVISAPLSKA ENVMIPGSPKSCDDCAEAKSPSSPLQPAVPSHASVGASPSDTTSHVVPVIQTGSSALP KGLAESTASDLVHTSPPQVIAPLDSNESASVEDPATPDEAKGALKGTLGHSSSHSHDR QNKKVKLDDSALCAYEQERLAKIRQNAAFLASLGIDHLPQPRRTSSIQKPKPRRRPPS SPPPVALRRSSRQLAAGGVDSAVSTEEPTPKQPPTRQPFDVFPDTSVLVQYLCDTPGN PTLSQSVATECSTVSQQGRGFATTPSSHDRVDTNLKRVYSMASTGRLLVAAGHNGYLS LYSCDVAYQSTPLLSFRAHQGWCSGVNFNLTSPAQTRMITAANDGFVKLWAVDPSTLG LALLGSTNLHSNSGIFSLDVQTCDAVIATGSKDYTVALSAVTQSHLQLVRTLDHHTGV VKCVRFSATEPTMLASCGNDLTVQITDLRCRSSVAATCLVQGGHTRAVNSVRWAPTCR HTVVSTGFDGKILVWDIRQHDRGPSVTYATSEFKTMFPVEFLAPNQVVAGVDRALVVY DVRTHDVRSRDDLEYDADSVLVKDRVVVAAHRQTLSTFQYMDTVQ H257_11254 MARQRRQRQLATGMKAGSLLQYFSVQQPITEHDARVISAPLSKA ENVMIPGSPKSCDDCAEAKSPSSPLQPAVPSHASVGASPSDTTSHVVPVIQTGSSALP KGLAESTASDLVHTSPPQVIAPLDSNESASVEDPATPDEAKGALKGTLGHSSSHSHDR QNKKVKLDDSALCAYEQERLAKIRQNAAFLASLGIDHLPQPRRTSSIQKPKPRRRPPS SPPPVALRRSSRQLAAGGVDSAVSTEEPTPKQPPTRQPFDVFPDTSVLVQYLCDTPGN PTLSQSVATECSTVSQQGRGFATTPSSHDRVDTNLKRVYSMASTGRLLVAAGHNGYLS LYSCDVAYQSTPLLSFRAHQGWCSGVNFNLTSPAQTRMITAANDGFVKLWAVDPSTLG LALLGSTNLHSNSGIFSLDVQTCDAVIATGSKDYTVALSAVTQSHLQLVRTLDHHTGV VKCVRFSATEPTMLASCGNDLTVQITDLRCRSSVAATCLVQGGHTRAVNR H257_11255 MQIYSVRWAPTCRHTVVSTGFDGKILVWDIRQHDRGPSVTYATS EFKTMFPVEFLAPNQVVAGVDRALVVYDVRTHDVRSRDDLEYDADSVLVKDRVVVAAH RQTLSTFQYMDTVQ H257_11256 MFRQSWGGDKARIHTDVGKEHGREEDDPSTSYTLVEDDSGPVSR SRSGDLRRAGSSMPMLESTSFRNIPLMQDRHSNRVVPLVDALSPPVRDVHDHTTRQVS EPGPPPSSSVPSLDSSFQFPKVKTSRPEHQRMSVPPVVSSPIASGGTMSPSTPSPSSY PASTLVIAYQPLNRSV H257_11257 MSTRQTIMQRGKIRQQHKAIGDVAEKPKIKKLTKEKVADEHNSA TDHLDLAHRGIETIETNAFDAATALKRLDLSANKLTRIAFTHNMSLTQLKITSNVLVD AGIVDLSFCKQLVTLDLSDNKLARLPGASLRHCTGLRALVLTKNAMTSLEWVPSLPGL TSLIVSHNRITEISAKSIGKLKGLTKLQLSHNKLTELPDLTALEQLTELRASNNQLTA LPASINHNVNLKIVDVCHNHIDTFDGLDQWTSLAQLKQLNLRGNPICGQSSTLPMELV ESAKEKEDASATVSQVDLAERKALDKKNKLYNFKMKRLFPALIVRDGQRIQDKRTHGY VAPPPEPKAPKPVKKDATASAAAETTDGQKTKKKRRKESKDEAVEDEGRRHAGETLDD VKKAVKKAKKDKKRAAQDETATDIIPTAKRSKKDREAAESKGSKDGKESVVAAKELAT TTPKDMKHGKKSEGVEGNGEHGEKPAKKEKGRKKKEKYAKDSGVLSVVHVKKSAKSAA VSSTATAPVDFTQLDTSGGVGMGGESSWD H257_11257 MSTRQTIMQRGKIRQQHKAIGDVAEKPKIKKLTKEKVADEHNSA TDHLDLAHRGIETIETNAFDAATALKRLDLSANKLTRIAFTHNMSLTQLKITSNVLVD AGIVDLSFCKQLVTLDLSDNKLARLPGASLRHCTGLRALVLTKNAMTSLEWVPSLPGL TSLIVSHNRITEISAKSIGKLKGLTKLQLSHNKLTELPDLTALEQLTELRASNNQLTA LPASINHNVNLKIVDVCHNHIDTFDGLDQWTSLAQLKQLNLRGNPICGQSSTLPMELV ESAKEKEDASATVSQVDLAERKALDKKNKLYNFKMKRLFPALIVRDGQRIQDKRTHGY VAPPPEPKAPKPVKKDATASAAAETTDGQKTKKKRRKESKDEAVEDEGRRHAGETLDD VKKAVKKAKKDKKRAAQDETATDIIPTAKRSKKDREAAESKGSKDGKESVVAAKELAT TTPKDMKHGKKSEGVEGNGEHGEKPAKKEKGRKKKEKYVRSFVSVG H257_11258 MVSPRSIAMAMALSGAAVFPSVDAHGWILSPAPTFLDPYGDPSK FCGVINGNKLYPADVFNRSPEDNTKAFLARFKVDYTSLKQFFDKNDDCGECGITKFGT AQKLPADGVVKWRNGGEGFVSSHEGPCEVWCDNTVVFQNDNCARNVPSGNMQIDVAKC SGAKKMVVLWIALHAVDWQVYKNCVALQDGVAPSTSAPPLTSTRPPTPSVTEYPVITP TPSPSATEYPTPTTSNASSTTPSPLPFPTRKPAISTTTSVPATTPPSSTTTTATPTAK PTSAPATSPSKIAGGWQRCGGKGYTGATECVRGYHCQVVIEWYHQCIPNVTGEGELKT YEQCGGSSWTGKGQCKQGDECTKLDQWFSQCMPHKNP H257_11259 MDADLVGAWVSTEAFGNTSLDWSEDVKAGKAVLYLTFTEEGSVQ FDVQGPRTYAHVLPAETLHCTAKDGLISIPGDASGLAWNYRIEDTDALQLRLVGAKRF ARCKGVDTIYLTRRQHSYD H257_11260 MKDLTSDQRRAMVDHLLLRIVKGPFKLQRGAIQDVARMFGRNRH TIADIWKRANVSLGNGDLPAQWWDGKIGTWHFTETVRAARSSRNRPTSTLELRPINVT RPIYKKMLFDNVIPAIKAKWPPKSSRSVLIQQNNARPHVAPWDAAVVSACTSDEIVDA TNKAWDDVDPWSLERNFLTLQCCLREVIMAAGDNSYKVPHMKKEALKKSGKLPESVMC SEDIFETGHGLLADQDMALVMRELSLQTATDLEMSDILTALEKVGIDVDDADE H257_11261 MLLQYISMMSMDADKSAASSVVWYLGGQHDVLGFDPRGVGMSRP ARCTKNNYTATTEWPNQSHLPFDSPTAETSLGRFGVSLKAMVRRCEMYDGDYVKYLST SFATRDMDSDPRRPT H257_11262 MHAHLVRHGCKSGTCCSRVHAVARAGFVNGSLYEKARPDFPREA LVHLIPPSLSRSSRVLDVGSGTGKFTSLLASHFDSLVAVEPSESMRTEFKARHPSITC VDGTAEHLPFPDASQDAIYLAQTFHWCANAAALHDMSRVLTSNGFLGLIWNLEDDRTS WVRDLRAIYEQFDVVAPQYRTGQWELAFRSNEHAFEYPLQHIRLEHLVPGDTTEHVWL RVLSKSYIHSQPQDVIDSVKAEVDAILDTATFERDGSGRILYPYVTDMYWTHKK H257_11263 MNPGMNLNQMGGMGINMNGMNMGGMNPGMSHHPGMSGMMSNQPG MPQHQQHGMSGMNPNAMMGMGMGMPQGNNQPGGNMGGGGGGMGGHNPMQHMSHQQQHH SGGGGQHHMMNSMNSMQHGGSMNHPMNSMGNMNNGGMLGNMHPSSSNAATMGYTNTNL SYGNNQPITSTLPGQSVAHIEWRAQFNRDQRASLIAKVYHEMVRVSTEPPGIALWINV AWFELTLFKECQTREDYINQIIKRLQHLKTQGGDIPPGPGGRHPGSGMPSHPQQPPHN PSAAAPYSMNYNPNNSLAINTGMLAPSSGHLNGGGGGGFPPQSSSSNVTPKQAKGNKP PPSNGPPPKNLSRTQSATAMTLPPPPPSAAQPSPPTTNNHLHLHQQQQHQQQHSPETM VNTLAGNNPAEYWRQHAMLKAKYLTDVSTVHSAFKKYVDHMKQDHESDQKQKLSYLLA YVQLCANVLDEDASTHPARTLDELEKVNKYVVRIVLPYLKKLKTEKDRRTSGGSNTPQ SGGGLSLHNPSNPGNNTSNMGAFEAMMGMRSNGSHPHQQQQQQHHQQHQQHHQQQQHH QQQNHFQSMMQASVPKQQQQQHPPPTLASSSQGNLLLDEYNMGGSGGGGGSLAAAAPP SNMSQYYPQANMGYGYGGGGTSSSNDDMMAGLQGGSTDMNFLDMDGTSFGMGDAQGGG GGGGSSGEDGDGGDLMNIVEAL H257_11264 MHSTTTYLSVTLLAALMPTATLAHGYLVDPQPTFVNPGGDPTGY SGTIDGNVALPGENYNLSPQDNTIEFTRQLKKSSFASLRAFIDAQGNTGGECGLTRAD GTPRSLPSDSKVKWAHGSEGFVLSHEGPCELWCDATRVYENDNCARNIPDGVMPINSS QCQGSEKLIMLWLALHTSQWQVYKNCVRLTSGDGSSPHPPPSSSSGTPTMNPRPPSSS TPTSHAPKSTSPSSEEEAQPWQQCGGKTFNGPTRCVAQYACVWMDDWYSQCTPAADEA AETEDR H257_11265 MHSTTTYLSVTLLAALMPTATLAHGYLVDPQPTFVNPGGDPTGY SGTIDGNVALPGENYNLSPQDNTIAFTRQLKKSSFASLRAFIDAQGNTGGECGLTRAD GTPRSLPSDSKVKWAHGSEGFVLSHEGPCELWCDATRVYENDNCARNIPDGVMPINSS QCQGSEKLIMLWLALHTSQWQVYKNCVRLTSGDGSSPHPPPSSSSGTPTMNPRPPSSS TPTSHAPKSTSPSSEEEAQPWQQCGGKTFNGPTRCVAQYACVWMDDWYSQCTPAADEA AETEDR H257_11266 MKRLVASIAQRYHSVLMANVGYLNARPTRYLQVKSWPIFVGNLL CPIIGRGTLVYQGFAEMMGRQVRYGEGYQSNFSPFKDTILLAAMASALASGDNPTLCY LITRRLLPQTRTDKKRSSLLLSSAAKYLFEQSHWIHHEIYYMDPASALFSGLVMLRWS ESLYVMDINLWRLLTFERGCRRHATSTYLQLAIPHVE H257_11267 MRYGSDPTSHLEAPPYLASRLHVEVLNGTLPKAIQGLHRWEMAH TEARQLRCHMHDVTNGAVYIESMLRNTDWSSCASCWGTAFDAPYRNELHKSVSGLAWL ATVQSEAWLTVPDEARPKSMGLHSTYAIENALWCRYTMRLSHSNGSFRFRDQTTFKMS WGVANDLLQVTPNATTSVAGRSLIRSSHVIDFANISFRGMLIQADAIAIPLHESFWLA ESTIGPFGSTDLQCTVLCVL H257_11268 MASRQLSEIDEGLYLVARIVMRLAAVAVDIFVSVAAESNRFNIS DANLFFNRVASTVWIGRPLLVLLGLVVVLVLSMCQVPLFQRSSGLTRLQHTPRPILST MILVGEATWLSYMMHDTWMITVSSGADSIEHATQSISNRLLDTIITLLRIPGCLGSKA RLAGLVTVQAASFVVAYVGLKVWRAPIIRETTAPLVMHTAAAVHLQPTNGKRTRM H257_11269 MDKDDGTYWQRHTLLKAAHGHDTQFVRHSFQKALRRWTKSQQER QKLESLLEYVSYCADVLDESKDGGNLPRSLQDLARVEKYVDKIVVPYVTKHACEHTTA LSSASSAVTDHGLGRSASSPSVHSDDLPSIIDSDIPSSSMSNALPRPIVLDADHEPTS LSSGDVYSCTPIQWLSTDHLNYPRKASPPDHVDDAYWAEHTALKDAYLADLLTTSHAF ALYVQNETHPIWMAQIQSILPHVEWACDVLSNDTSRRPFGDLQAVCDIVGAYVTPYKA KADRAAAEYGQGPAPFVQNTSD H257_11270 MRELRDVMQLRVVLFQQGGPVIPPVELGECDTGALAERELVTAE GDYDTAMLVVVLTDASAYIGLSKGHLEGQNMLELNRVAGLVSVLTRRHGVDSFQYGLA GTDAIADSVYRSFRVTRLAVVHDNPGGERSYLDRPTLCYLITRRLLPQTRTDKKRSSL LLSSAAKYLFEQSHWIHHEIYYMDPASALFSGLVMLRWSESLYVMDINLWRLLTFERG CRRHATSTYLQLAIPHVE H257_11271 MVHLTPLVLVFTLAGSVHSHGALLDPLPTWNTPYSDTSQFCGTM EGPSVLPGAAYNTSPQDNAAAFTRQFQASSFKTLRDLVLANPSTCGSCGITNPNGTPR QINADGTVKWAHGSEGFISSHEGPCEVWCDTDRVFQNDNCARNAANGIVKIDVAKCKR AIHLVVYWLALHVPTWQIYLNCVRLAGDGGAAAPTPSNPQSAQPSYPSAPPSYPTAAP GQGPPSTAPEYTYYVEPGYESYSPSPLNPSAPYTPPASMAPPSNPSPPYAPPPSMAPP SPSTSSPPLAPPTNSAVAAWGQCGGQQYKGPTQCVTGQECRKWADAYSQCVPQDNPSG DLKTWAQCGGKAYSGPTKCKSSDTCMSKNDFYSQCIPK H257_11272 MRVTPSIPAISLVMAIALVSPALGSHICAALPPYSLTNAVQQHP ELQQAYDTVKANAVATWYTDRGWPSQIPDLLAQCQGDIPSIVIYGLPDKDCGDGGFSQ SGDNKNADMYRTWIQTLVGQVGQRQVIYVLEPDAVGLVAAGGCGVQKGYLQNLKVAVG LLASNANAHIYVDVASWADQNQAVNVLVELKTAGRLKRNRHQHCQLPPYQRVKRLVPI VLDGDGWVALCLGRVAQFQRLAPERMVQCSVGGDGVAPHRRYQPSFGESDGECTGRSS DAMVGPAAGQFFFDGFKSLWNQGYYVVTMGLPKIREGGVSKSYTAPSSSTSPSHPSKD SPEPTYEGVKLYAQCGGLRYTGATKCKQGLDCHRWNEWYSQCISPDVGRIPAKTSVVT SPESTNFTDPPITLHQVPAWHPCGGVNYTSPTECLDGYECKSTDDDLSQCVEQVVAAD QRIAAWQQCGGVDYYGSSTCVSGFVCKPTDDNYSQCVAIATPTSVAKNPASHRQSELR VPA H257_11273 MFCSALSPVELTHDADGVADLTNYCGTIDGYKALPGDKCDDSPQ NNVFAFTHQFQKSSYSSLKALRRCTAAFTSGRRREVGTWGRRVYSNENCARNIPDGGM PINVATCNGSKRLFFLWLAMHTSSWQVYKNCVPLVGGGGVVSPPSPYKPPSAYTPPVV QSSIAPPSPPSAYNPSSSEPTSMTLAPQYRSSNGGSEAQPLQQCGGKRFKGPTPCTEG YMCAFKSEWYSQCIERTSSGGGVVDSWGKCGGQGYTGATTCKSSDQCQVKNAWYSQCV PR H257_11274 MIAPTSSPKNRVMVATGLATPVTTQERAELYGGVAYLLLTLCGT VWYMFLLSPTPSNNFPLQRQWLRDVSHRCRQHQIVGVRSIPLVDLFSADAAVPKDYPT SVMQADFAAAYSRRVFLSEHASGAPVVERPSHLRDAASHRRRSEDTRYDLTWQIQLVV GITETVENALGFGSAIKSLPVVYGTWTSLILFWNFLNNMYTCYYFNASLIRGSDNHFG MIGLPIEDPYGMQDADGNYVDQSPPAPLLALITDFHTALFGQAWVNPAYNAPTSFPQK QLSYYDNCGSQSQFIIIATNQALVFALFASGAIDIYSICALQASDGCDAALIQAKAIV DALGPLLPSPVVVADVVGQLPGIHFFQYAQNTSSND H257_11275 MMVYVSSVLVAVAVAVILVTCLNGHRIVGRNLWRFNRVWVGRSL LFLRGLTAVLMLSSTQVALEGHAPVNALQFTPRSTLATMVVAGEASWVVYVMVGVVLM LCSADMIHVASLMSSALMTSPAYAFTNMTMLDVMARNRTMRTPLYEAFTLAKRLFVAG LEILQRATSRGGSCGQALIMEFQRMKDAVLIAAATAKLERATNEDIALDCANEATPGP AKTRIWAKASRSGNDSSRRRHVQSIEMLADAAFADVALLQPSMIQYGRRDDGAPWSSL PRRYSTRKTRRLTCLIGWC H257_11276 MYALTGVVYVTGALLGVTGLVIMYILVSCSHIESSNLLELNCVV GIVWIGRPLLRLRGVTSVCLLSTATVELRRDHQVAHFVVTDLHATLVYATSSSLLVWA VAAVFSIADPATTLWHWNRPAHALPTDSLLLSSGAKYLFARDDRLHENHVYCIDSASA LLNGLVSYRTKYHILVMDIKSW H257_11277 MAPSKKKKKLQPDKQVSLASLDGMPSKAKRHNLAQLSATMTHLN DTQIQFLQRAMLDVQLQELTDQLGTAKREAATANLTFTKQLADHELVIEDLKSDVRRY ETYIESLANDKAAAENKDSDAKLTLLKANAKLNSELSAAHDRIAELKSQCKSYESQLE SLLANQLHHTNQIPPEDVAAPFGSHHTSTLSSHDSLIPPLLAALNQSPHTVEIQLDAT TALFHLLKESRNVPLFLDWGGIDLVCDAMEHHPTQSQILLNGGHIFWKLTFSRRARTA LRCSQNVRPVLVLVSALKRIALDAAKQYCWQLHHTLTQLMNDDDAAEPEHPTSDSSHN IFPDMVQLLLQLAQQSISSTPRLCLAALEAVHKVTKINPPSIDHLLSFFTSSLAALVV LPSLHLVVTRLVHMHVQVYGILELPEASRSLIDETLHAVEMSDEVAASPGLKGEVQGM IVTWQDHVGGGERGSASESEWPVLPSVHRHSLRRIDSLAQTTASRLIQLSQSLPEL H257_11277 MAPSKKKKKLQPDKQVSLASLDGMPSKAKRHNLAQLSATMTHLN DTQIQFLQRAMLDVQLQELTDQLGTAKREAATANLTFTKQLADHELVIEDLKSDVRRY ETYIESLANDKAAAENKDSDAKLTLLKANAKLNSELSAAHDRIAELKSQCKSYESQLE SLLANQLHHTNQIPPEDVAAPFGSHHTSTLSSHDSLIPPLLAALNQSPHTVEIQLDAT TALFHLLKESRNVPLFLDWGGIDLVCDAMEHHPTQSQILLNGGHIFWKLTFSRRQNVR PVLVLVSALKRIALDAAKQYCWQLHHTLTQLMNDDDAAEPEHPTSDSSHNIFPDMVQL LLQLAQQSISSTPRLCLAALEAVHKVTKINPPSIDHLLSFFTSSLAALVVLPSLHLVV TRLVHMHVQVYGILELPEASRSLIDETLHAVEMSDEVAASPGLKGEVQGMIVTWQDHV GGGERGSASESEWPVLPSVHRHSLRRIDSLAQTTASRLIQLSQSLPEL H257_11278 MSPRRHSSSASVTTLHVPVAPTGPPLAALLVHVPGRVIVRGFVP SQGSSEDVENAAANAVTMVMSGSFKLKDQVVATTEAWRNGGLAVRMYLARSASPSRSK HDANAAYHRGHRNHHVHPPWKESSARNSRGDDDDDDDDDVGSLLVEISVPRPLSYVES IAETVIDQTALSTNEASHMGLVALNNSLYVTLTRPNWTMASLSMSSFGHGVIQVDAPR IQAYAMMELKASGPEAIVAVASTHVQADVMEATANGAGSVYLTSRNVSVRSLKSTMAG EGVISYFDNGTCAIHDVSMLSSGQVHAGSMHCYDTTVYSVGSGHVVVDGGHSLTSTTI GVGRLSYVQTLPDNVAHVGFAPRGGIHRYHPGDDAGPLKWDLVPAPPRHEPKRVIVLG TLPSTAVDLEVLPPALSLAMDAEVLMGLALIVVAPMVLWTYMRRRYYQRIA H257_11279 MAVAAALVSYMQSGHHQDMLDTGCFIKAEFEQCEPPVLRSRYLL ASPRDLDRYVAEHAEAMRSDFQVHFPNGIIVCERSTWRVLVTSPTTVVM H257_11280 MSPHSTSAPLYNGPPLDDQNRTFLEWKPLFVSQADGHEFTQFYL NKAYVPADLERSILSILDVDVQVDKLKHLELYSVDADFTGDDLTARHKAIATHIQSVK SATLKTETTKALSRLRALALTFLNSSIVDSLRKLFSNISCPFTFFESIVSRFENNPLT SDPAVLNAQSQKIKYHDGDCLDTLLADVKSIASQYRAAMIPSSLEIAASDYDAFLWAN HYMPLASDYAHGTKPDGFPQDVFDKDGKKNARRLSSRNIRTPVAMTIHVVTTRTGMMA MGAVADMLVPHVDVILRPNEPLLEVEVVVVVAKIALVIGVTAATVAAAIKLLQPSMTV AQPVRM H257_11281 MHENTPNVPSATPSPLNYWWVNQRQFATSKLHCPKGKLTCASKD FGTRADQAKVSKAKKVVLALLPCSNVLNQQVVSMDVPARIVLFQGCLAALCQRHLALS DQAAVAKRRVSEMSYHSMYDIINK H257_11282 MKTTKVVGMCDGTRAQHFHQSAHNAKNNGARHYLCCDKARVKSY CMTISQAQACGHIHPGGSITAMPVHAKTRHLMYKRRKKRSNAIGSRSLTNPSMPPTTH NHHHHPSLPTTSPMPAEVDETRHARNPMLHTRKAPQDPHVDPRCDTSTSCDSS H257_11283 MAGGAIGGNVGAKKGGATDNASNMSIAHPPPATKPSAHAALKEK VKIHHVKHFLDKIIWGLEDQAIKDPYYVKFLDKTQFTMGVLGVMLTHYFVVAQPTQFW MWYLVCTPVVVALRIMYFKRVGWQYFLLDFCYFVTFLSIVHVTLAPSDDRRLFRVLFI YANGPLVWAVVLWRNSLVFHDIDRMSGVFIHIMPCLLYYCVHWHGCSSSSMLLHPPPS VNYFLPPSHPQDSLDRVDFAYAIIGYLIWQVLYFVKTEVVDRAALDARPDLLTSLRWL TTDRKNGFSLLVLGLCRYGGIMGPTEAYDPRTTKTKAIFVAAQLVYTVVTFAPTPLLF TSHFLHCMYIQLIFVAAVHNGASYYFEVFAKLYHHKLLLLQVPTAAAAASTSSKGDYT DDM H257_11284 MGCHWWPLPLLIMFVTGLGVGISLVTKTGIFATGTSSSSGGKTS PPALIPGKNGTLVPVPPVCLARNQYLKDNKTCTTCPGGKNGNTFSVFWESQADGCQEF AASEAAKYVTHIYWGFATIDGTTGAVSQTLQGNDATLRACINTFQNMCIQNYISIGGA TERKTFLMLKTQDHWNTFAKTAASLVQMFNFSGVDIDDESGNLDAGGDWVKTAQPQVV GYLSALRKELNALPRGPYPISWDEFPGSLEDGCNNPTAEYGRCFPTKILPLVDQVNNM LYNQVSAKMDGVLSSVPTTWGPTVGKDKLVIGGCVGKSGSGVCGEYGDAPTPAQLTAY ATTGADYQGAMLWTSSADWRLSKGANTLLMGKAGNYGVDW H257_11284 MGCHWWPLPLLIMFVTGLGVGISLVTKTGIFATGTSSSSGGKTS PPALIPGKNGTLVPVPPVCLARNQYLKDNKTCTTCPGGKNGNTFSVFWESQADGCQEF AASEAAKYVTHIYWGFATIDGTTGAVSQTLQGNDATLRACINTFQNMCIQNYISIGGA TERKTFLMLKTQDHWNTFAKTAASLVQMFNFSGVDIDDESGNLDAGGDWVKTAQPQVV GYLSALRKELNALPRGPYPISWDEFPGSLEDGCNNPTAEYGRCFPTKILPLVDQVNNM LYNQVSVRFLNRNGR H257_11285 MDESSNDNPEGDHTTAPSGMPGADESGNQPATAWARAQGFTVSR TGENFSEKPPTLFTVDEKQLEPDEIDRVVVLGRFNLPVSKVREIMDALYPERMFCPRL LSRLKDRGRVLHLGPEVDSMGRFFEMGYGMKATGGVFHVNLTTTLQFKSVDMQAMKRN ETQSQRADSKARTCHRSVKLPEVEAQTLAWVLCCEELDLCVTGKQWQSHNNWLCRHRV KILLEGLAAQISTKAWTHQQNLTWRSCFHAACGASTPLEAVSVGRQQILQETSGYNPQ DIYNMDTETSFFNCLAPHRSITRNRVPGTEK H257_11286 MALTTNAAGTDTVDPLFIGSAIRPRCFGDRSGEQLRFDYHASKK AWMNGEIFNSYLESLNERMVEEDCKVLMLVDNAPCHKLDEDTALSNAHVKQRQLQNAL EHIDMGDVARDTGISYETIMRKVCLLKAGKDLMPKRRGPKPLFPDSFEQDMAAWISAM QQEVMPATRFVILLKANKLLRRLDPLRTVTGSWYRRFMNRHPELTTRLAQVISNARNS VDEVGLKRLFDSMEEAIRVHGLTAERIFNMDETSFASRHKPKDVVALKEFGDMHSISK AAAISIASNAWTNHVLPTNLVSGFRTSGLFPVSLEQMMTRFERFKEGGVPETHIHAEW LERRVELRRELLCLSAAAKKRVGRKRIDVAGRILTLELMHEIDQTKKERDAAAKKTKE MRAIRAKKKKKNEITSIGADEQGLDDVDGNENNVGDDNEDGDNNEDAELAEFVCLEEA PHLTSGLWPTRSGKRTSCPKPRPATLQSSTPRRTLSATDRDARLAEIKAHTTAIQRKV IAREVTKKLANQRSAAHTFLVSAISTNLRRLYQATTCPLELFERIKTRFESNPMDNNP TVIASYLRTLKFTDESCIDTLSVELIDLVKRYRMSMTPPSFNPLDPSAISSIDYRDLI H257_11287 MGCNGVDNGKILFDNVRIPRTNMLDALSQVSGDGTFTSHVSSKR GHQLLSGRVCIASMLMGGTKLSLAIAMRYAASRATVGPKGKSDTAILHYGLQKQALLP LSTDPLDRLELVVHCSAIKPAVSWNAENVVSVCRERCGGQGYLSANRFGEILGFSHAA VTAEGDKSKTS H257_11288 MNMKSPNMVKSPGAALPRINRPGKISLSEDSAGFHWWPLPIALT MVFAIFYMGNVLYDSGWLDQLTGGLVSTQFNEENILTGGCAAINHAITWRELSRKARR CARGSYYDPLHNTCAGCIPFIADDKFMAVSWDTKTNCSKLVEEAETRFVSHVYWSFAT VNAATGAVVAPVDEQDNTPLAKCMLQLRTRCIRQIGVIGDISAQDSTFAALDDANLPT FVSTATDWVKTLKLDGLNIADPTGNTAAGVWTRGPFMLKAMKALREGLDDAALPEEPR LTLSWDEYAAAFDTGKNNTTGGCGAKNPTVRCFPTGLDTIVDTIQLQMHRRPETLPVA NITATNWTAIIPRLNEKIMYTFRNNVGLTPKDLTAIAFDGTFKAKGAALYSATEDVAT NKAATLRDMGAKGAYGVLMPTQLVDMPILPY H257_11289 MSMLLQHRVKKLLSNSSELTQAKALLDSLPDLLPKDAASLSSME LRASLKSSLDVASLDAADAVLRETEGLLSSMDALKKQADIMDRKCRHVMAFLDSTERT STQFVAQAAALRAEQDAIQLELDSTKDFLQKHQVSLDDLQLLEQAAPLTESHGTAMTS YFQLLHRLQTIRSNCEHLVATNPAASSLEYLEDICKAQALAFEKLYAWASVLCNAADA PDDHLSDLQDDSTDDVARLLPQALRFLRGHAAYYTYCVDALAQTRRTGTLRKFVHALT VGIPRPIEIHAHDPVRYAAEMLAWVHQTLVGDTEFFARLLDSDHVRDALSSASAGLSR PLQVRLHQVIQGPTTNLVQVYSVVHVLAYYDSVLSALLSVQSELATCIRETHSLAEAQ FATLWQVQLDQYRAAWTDNMDFGLGVAHPTLELTHKLGHLIDSYVNALLPHGIDAAEL AKVLDPLVQTVLLPLDASAATIERNVFAINQLACVHTTLGRLEATKVWHDKVHAALIL VVESVAKAQADGLVQRSGMSSVWLASQQHADDVAAPNDHTESFQAGLEPDVVRVSVHR FCTHVMALHVPVLDRIGAPEWRRAAASRTADLLYGAYDAVYAFVTNHRPPYPPSTLVH TPQEIRTILDI H257_11290 MEDEGGGHHPRLVHRQQRASTDGDKDDLLAFFSSKGLQTGNGAA YFKPDVSAYSPGPRTSPPTHSTPPRWPACPWRPPMDVADVVAIVKSVNPAATHDQMVQ YLMVSTDRASLNTTEPRGMDLRHRHVPGAPNRGGVWPNYGGVNVGTILRCTMTAAPPA K H257_11291 MHFNRDMSPLTRSGVLAIRSNPIDSADSGCFYNVPFDASSTNAW AQRFDRSRATQFFLVRHGDVTAATPKLCRPSLALVSSVAAGRWNYSRARAVDTWCYFR TSVALTLLSLLSPVGWRGGDGRGRPSESTRGKGDVHPRSHQWQLLRLQGYSDAVEHDS HTIGTMAGDNGIVVAPRAQ H257_11292 MINDYKPMTNLSIVVSDNSSPREIYPWWRIRSHYVRECLAEVAG TFIMMVFINGVVAQVVLGEGKNGDYTHISIGCGLAVMLGIHAAGGVSGAHLNPAISIA LAVYNRFPWKKVPMYALSQFVGAFIAALFVFIVYYPALNAMDPDRTVSKTAGIFATYP MSWEHQGSAFVCEMLATALLVFTIFSVDDPTNMPTNPIMKPLTVGLIVVMIGMSFGMP TGYAMNPARDLGPRTFTALAGWGSGVFTAANHYFWIPIVAPIVGAILGGGAYIVVISN HHDEDDDLRASFVPDLHASLLA H257_11293 MSRKQDDDHAEGFHGVDVDTLRGFLAIDSDAHEIIEELRWQKIR CPYTPRRILLRVRSPSLGRIGEDDSGGDSSGEREAS H257_11294 MTSNLNQAFLQAALSARSVAPTLSHKQQVTRLYKRSLKLLDSWI IDRRLWNEEATKIRGQFNEHKHTDIGAAQRLLREATAELEKYTHPDPYVVVHMPGGSK FMRNPPLPLEVCFPDGIIPDDVEVSPVKAINIDTSPYREDDLKQTALVDFSTKTAY H257_11295 MFGGLAKLRGDAASVANGSGDGYHLIVLYEHTLHIFQSSRRCRC CTVSASSINIPQPPPPSPSSTPASRAMYRPRHASSQLPSPHELSFQPWQQLPLESLTH VWLPYQTHGLTVATRRNDVILQWADLETGWMSFSHNQSRGEFLDYLHRQDYTLAIDHL DLDDVASPHSTIVGRNWSFLTPNYSLNPLPQTTADTPVPPSPPAAAPSDNTGLTYEDR HSSAYEASLLTLYMHLPTASTTTGSSSSSTVLPHHEHTLDIRGLQVALTYQVKHGLAL ALEGNVMGMSLLSMVVEGAICLDWGDLHALGLVGVALAECRGGQRFTAAMANIETSFR LSWESGHDVGCFVASVAQYDVQCAQLAWDAAYASLKKAQRFAPPKHKPLMHSKLQALH DRVQGKDSTAVPTGWRRVLSPRRSSNDLVISTSSKPPISNLTSVVLDDLAVSDLTYYM PNPTVLVKVTPHRQYRLSYHRHWTVHTLLSHVICRHERRTVQDMTSQLFCIVGLDIDP SQQHDQVLALHQSMASVMDSSTPVRFKAILADRPAVVAVETGVTVACTLCQCQIPIEL VEVHSHTCY H257_11295 MFGGLAKLRGDAASVANGSGDGYHLIVLYEHTLHIFQSSRRCRC CTVSASSINIPQPPPPSPSSTPASRAMYRPRHASSQLPSPHELSFQPWQQLPLESLTH VWLPYQTHGLTVATRRNDVILQWADLETGWMSFSHNQSRGEFLDYLHRQDYTLAIDHL DLDDVASPHSTIVGRNWSFLTPNYSLNPLPQTTADTPVPPSPPAAAPSDNTGLTYEDR HSSAYEASLLTLYMHLPTASTTTGSSSSSTVLPHHEHTLDIRGLQVALTYQVKHGLAL ALEGNVMGMSLLSMVVEGAICLDWGDLHALGLVGVALAECRGGQRFTAAMANIETSFR LSWESGHDVGCFVASVAQYDVQCAQLAWDAAYASLKKAQRFAPPKHKPLMHSKLQALH DRVQGKDSTAVPTGWRRVLSPRRSSNDLVISTSSKPPISNLTSVVLDDLAVSDLTYYM PNPTVLVKVTPHRQYRLSYHRHWTVHTLLSHVICRHERRTVQDMTSQLFCIVGLDIDP SQQHDQVLALHQSMASVMDSSTPVRFKAILADRPAVVAVRVYFCC H257_11296 MGKDDVTFPLLRKTASCEYEHHRFILKKKTYDQQYSHMYVSRLR ALEPQVRANIPPSSAHVPILPKIIDLAAGDQCVIIGTIFKVLAKKPNILDEFVADEVL VLQEESTCLASDDDQLLLEDESGRVALFGNFRMQELVTGVVVGLQGAMRDDGEGFDVM CVFTPTLPPQLPLPSRSDDAYVALVSGLEMGSDTCDPSKTSLVVDYLAGRVGSPTEKR FVSSIVRCIIAGNSVSKPAKNTHALPKASVAALAQPLEHIDALLSTLTSSMPVDVMPG AFDPSNFTLPQQPLASCLLRQSMQTTACHMLPNPAQVQLDSVQFMGSSGQNVDSIGQC CTGLSELQRLEMVLKWRHLAPTAPDILACYPMPLEDLFVLDSTPHVLFAGNQSAFATS LVHGDAGQVTRVICVPSFAHTGMIVLVNLKDLTVVPLTFQ H257_11297 MVRVWTSPLVQGLALARRRYYAHARYLIPRVHDVHVHHRIFSTS SDHRPPQLHSEPNPFALEKHTWAEKHAPKWMVPYIQLSRINRPAGTYMLLWPCFWSTA LAAPVGALPDPTLLALFATGSLIMRSAGCTINDMWDKDFDKQVERTNQRPLASGALTY RQAWTFLGVQLSAGLAVLLQLNPYSIGLGATSLGFVVAYPYMKRITYWPQAMLGLTFN YGALVGWAAVHGSCAWSVVLPLYAAGVSWTLVYDTLYAHQDKVDDKRVGIKSTALLFG DHTQPILNGFAAAAVAGLASAGYMADLSAPFYMGLGLSGLQLAWQVNTAKLDDPVNLQ HRFGSNKWFGAIVFASIVAGKVL H257_11297 MVRVWTSPLVQGLALARRRYYAHARYLIPRVHDVHVHHRIFSTS SDHRPPQLHSEPNPFALEKHTWAEKHAPKWMVPYIQLSRINRPAGTYMLLWPCFWSTA LAAPVGALPDPTLLALFATGSLIMRSAGCTINDMWDKDFDKQVERTNQRPLASGALTY RQAWTFLGVQLSAGLAVLLQLNPYSIGLGATSLGFVVAYPYMKRITYWPQAMLGLTFN YGALVGWAAVHGSCAWSVVLPLYAAGVSWTLVYDTLYAHQVRTSSTTSTPTKPA H257_11297 MLLWPCFWSTALAAPVGALPDPTLLALFATGSLIMRSAGCTIND MWDKDFDKQVERTNQRPLASGALTYRQAWTFLGVQLSAGLAVLLQLNPYSIGLGATSL GFVVAYPYMKRITYWPQAMLGLTFNYGALVGWAAVHGSCAWSVVLPLYAAGVSWTLVY DTLYAHQDKVDDKRVGIKSTALLFGDHTQPILNGFAAAAVAGLASAGYMADLSAPFYM GLGLSGLQLAWQVNTAKLDDPVNLQHRFGSNKWFGAIVFASIVAGKVL H257_11298 MMRRNHAPRRLLRRWPWVVLGFLCMTALYVYRECFQHINAVAGS NVGSIRHVPASHNQGDMVSVMDTARCRALLSSNAIEAFETRMAIPTDDNPHQVSLYYR LRNLRVMEGDRYMDDAVLVVVVYNNKESWGSGRSVQDFLELVRSFDYPSAKLSLGILT SSLDEYDNLKQLLHTVLTTHQWAQATIIYRNDLSSISREARHGAHVQKERRRMLARYR NYALSQSLEPWHSHVIWIDADIAIVPSYLVAKMVAAKLDILQPLCHLTGTNFDYDLNA WRGHRKTPTPLELDGLRRGDLTFVPGPLNDGFTRHMNDMRWHEYHPLDSVGGTMLYVK ADIHRQGVVFTTHHVIGSDWTFEGYDGIETEGLCYVAGLLGYKCYAMPQDTIYHHPAK Q H257_11299 MGFVAYCRPPLIVALGDSITQFGADPAFQGFQALLSQDYVRKAD VLNRGLSGWTTRWWRHYLPQLVRECGDNAPVLVLIALGANDASLASGESHIRHVPLDE YRSNLRDIVHELRTAFRECKFLFLTPPAVDNTKWNPTDKLNAVTETYAKACVEVASSL DIPVIDTWTATQGRWDLFRDGVHPNTQGNLLFHELIKSSIATAYPHLTPSALPLDYPD IPI H257_11300 MGYKAFVGAPLIITLGDSITQNGANPEILGYQVLLNNDYVRKAD VVNRGLSGWTTRGWLPKVPLLLEEWRHKPPSLIMIFLGANDAALIDSHDSQQHVPVDE YVANLTHMVSLIKTSFPQCEILFLTPPVVDDARWPSRANLETKKYAAACVNLAISLHL PVVDFWTSLQGRTDLLADGLHFNKAGNVVAHQMIVDAIAAHLPHLTPEALPSEF H257_11301 MLSSSTQLMVPPPPHNSMDEATLMHSMRSQLHHHHHIIHNDLPP TAIPLPDQVHNQWRLLQTIKRHNEILRALVQQVDISTNMFSYPIQDPQPTDMQTTKDF LAWYFSDDNATMSC H257_11302 MATDRMAFVPLELQDRMQHYHDDFWVSRTFDPSFIGQVMYAGFL PIAMQQGRHCYLLPKLHAHRCVIAPLSSLHIPKQVRKKAKGFHMTINMAFDNVVAGCH AQHGKAWLYPPVVTAFRAMLGGIPILNEGGRQVQLVSIELWNDQNELVAGELGYTNGA MYTSLTGFTGTNGAGTMQLYALGSYLHKRGFQLWDLGMSMPYKMALGAKEVPRTEFVQ LVAQLRRIDVQMIPPNLPRQCAKDLFQLYRDSHASNEAVIENLRR H257_11303 MRFDFFALVSALAAYAASAAHTSKGLHPLHAAHSNAASSTTLPS TRRRILPPHTLVGYWHNFANPSGHTFPLRDISPDWDVIVVAFGTSVGGGRVTFQVDAD AGTEAEFIADIATLQGRNKTVVLSLGGQDGAVSLANAVETTAFVDTVSTLLVKFGFDG LDLDLETGISQNLPIVTNLITAVKQIKQRVGSDDAFYLSMAPEHPYVQGGAGSYGSIW GAYLPIIDGLRDHLTQIHVQYYNNGGFVYTDGRMLQEGTVDCLVGGSLMLINGFETNY GSGWRFEGLRPDQVSFGVPSGAQAAGRGFATPDTVRNALTCLAQGVGCDTVRPSVPFP TFRGVMTWSINWDKFDRFGFSRPARAALDGLPQVDHVGSSDKKVVKNPTATLIPIAKP VATAAPAQAKNCGGCDNCYFAPTFACFSGWTKAQCRSVSTFTWCGGQD H257_11304 MKVVATALLSVAVSVASAAKLKSVVYYMEWAIYQRNFGIFDLDW SRITHVNYAFGRPRDDGTVDLYDTWAATDKRFIDHGDSWNDLGKKNVYGSFGQANKLK KQFRGTKFGLSIGGWTLSDKFSGIANSDVGRRNFARSAVGMMLDLGLDFIDLDWEYPV EGGNPQPAVPHRPDDIAHYVGLLQAIRDEFKALAFPAELSIASPVGPDNYRHWDFKAM CALVDHVNVMAYDLSGSWSEYTDHQANLYEDPTHPPGLKYSVDKAVQDYIKGGCPSNK IVLGMPLYGRSFENTDGLYGQFTAPSNQGSWVAGNGDGAGVWDFKALPLPGAVEYYDT KLVAAYSYNPDTRTFVSYESPSSLEAKLAYIHQHKLGGAMFWAGDADAPAGSARSLIT QTFTTFGKDNMDFHENNLEYPTSQYDNIRNSSIVQSSTSVRSTASTTKPPPAAPQRAP ATMAPLTVTSTTTTGTFSQCDGKRNSCVWPLTKQVVPYQQRDCKAFAAFVWCP H257_11305 MGRRVFVLVLACVVVMMTWHPVSAWLHSKDINYDAVEKAWESGD MDDELKEDVDKMHKVKQAEERKKRPNFVGDVGIPQTMLVSMKESALDEFKASYPQIPE PTLSISNMWKEMLLNGGIDAEFFEVNETPLKVVVKIKRGWLAEDLVEFLVNQPQVDEV MWDFETYYPPGVDEKQREQEKQDAIKAAQAKQQKKKAAKGAKRKAIKTEL H257_11306 MRTSTSSAYIAALLLCVGTAAGHGLLVDPKPSWVNPNGDLTAYC DLLDGPNLLPGGPYNLGPEDNANMFAKNFKASPYMSLRDFVNKNSATCGACGLTTMVG STPQSINPAGTIQWKHDDEGFVETHNGPCEVWCDDSIVFQDDNCPLHEPSGLLRVDMD KCRKAKSLVIYWLALHSPQWQVYLNCVALGGNGNSIDPSVPPISTSSSPNATKNVPST KAVIATPKPSPPSFKPTTTSYTPSPTSFKPPPTPYTPTTPKPATTRYPPQSTDPRSST PYPSTTRQSTVARPWQQCGGQGYKGPTTCVLGFECIVLQPVWFSQCLAHAPVPSKEFD TWEQCGGRGFTKSLACKAGNVCVSRNDFFSQCVPF H257_11307 MRGVWQLCVAAMAFMTAAKHAIDYDALERQWEGGDMEDELLSDD ELEYKRTGMLEKSEMVFVTLKESAIDAIVPVDPANSAVSELCASWKHMLMHGGLTVNF YELEAYKVLAGMQHGSRVKDVQAFLLDQDQVEAITWDQTTYYPKTNPSKKQRKNREKS KKTTSNVNKTPTQPDEL H257_11308 MNVASTTSHILHDSQQLTAHLPATETHATLYKSMLSGAVAGMVT DSLLLPFDTVNLRIKVQMVPPPKYTGITHAWKTILREEGVAGFFGGLGTTLKMAPINT AIYYTAYEFAKTTIASMVPKEHEPVAFFAAGAFSELCSSVSNVPTEVMKARMQLGRNP HNASGGWTKETTNYRGMVDAMTSIVRAEGIRGLYAGYTACLAVDASYSGFAFLFYELL KEHHRDSVQRPPTAVETTCIGGMAGGAAAFLTNPLDIMTLRLMTQGNTKRYRGLAHCL QKSLADEGASILWKGSACRMMSVIPGTGISFGVYETVKAMLIEDGDDF H257_11308 MNVASTTSHILHDSQQLTAHLPATETHATLYKSMLSGAVAGMVT DSLLLPFDTVNLRIKVQMVPPPKYTGITHAWKTILREEGVAGFFGGLGTTLKMAPINT AIYYTAYEFAKTTIASMVPKEHEPVAFFAAGAFSELCSSVSNVPTEVMKARMQLGRNP HNASGGWTKETTNYRGMVDAMTSIVRAEGIRGLYAGYTACLAVDASYSGFAFLFYELL KEHHRYVCSILLIIIVYISYGSIIILFPRNSDSVQRPPTAVETTCIGGMAGGAAAFLT NPLDIMTLRLMTQGNTKRYRGLAHCLQKSLADEGASILWKGSACRMMSVIPGTGISFG VYETVKAMLIEDGDDF H257_11308 MNVASTTSHILHDSQQLTAHLPATETHATLYKSMLSGAVAGMVT DSLLLPFDTVNLRIKVQMVPPPKYTGITHAWKTILREEGVAGFFGGLGTTLKMAPINT AIYYTAYEFAKTTIASMVPKEHEPVAFFAAGAFSELCSSVSNVPTEVMKARMQLGRNP HNASGGWTKETTNYRGMVDAMTSIVRAEGIRGLYAGYTACLAVDASYSGFAFLFYELL KEHHRDSVQRPPTAVETTCIGGMAGGAAAFLTNPLDIMTLRLMTQGIYIYICLLGVLL IISSGGWLHQPPNNCRTFEISYKTELKRQYKAIPGTSPLPPKVTS H257_11308 MNVASTTSHILHDSQQLTAHLPATETHATLYKSMLSGAVAGMVT DSLLLPFDTVNLRIKVQMVPPPKYTGITHAWKTILREEGVAGFFGGLGTTLKMAPINT AIYYTAYEFAKTTIASMVPKEHEPVAFFAAGAFSELCSSVSNVPTEVMKARMQLGRNP HNASGGWTKETTNYRGMVDAMTSIVRAEGIRGLYAGYTACLAVDASYSGFAFLFYELL KEHHRYVCSILLIIIVYISYGSIIILFPRNSDSVQRPPTAVETTCIGGMAGGAAAFLT NPLDIMTLRLMTQGIYIYICLLGVLLIISSGGWLHQPPNNCRTFEISYKTELKRQYKA IPGTSPLPPKVTS H257_11308 MNVASTTSHILHDSQQLTAHLPATETHATLYKSMLSGAVAGMVT DSLLLPFDTVNLRIKVQMVPPPKYTGITHAWKTILREEGVAGFFGGLGTTLKMAPINT AIYYTAYEFAKTTIASMVPKEHEPVAFFAAGAFSELCSSVSNVPTEVMKARMQLGRNP HNASGGWTKETTNYRGMVDAMTSIVRAEGIRGLYAGYTACLAVDASYSGFAFLFYELL KEHHRDSVQRPPTAVETTCIGGMAGGAAAFLTNPLDIMTLRLMTQGIYIYICLLGVLL IISSGGWLHQPPNNCRTFEISYKTELKSQSYSFAR H257_11309 MKNCHFEIGMTSLCGTPAVAALAAQDPFNWQPCPDTNDTRVQCG FLKVPLNHLDPSPDQTIDIAVRRFRVPNATKGTILLNPGGPGIPGMPWATGRVAWYLG GQHDVLGFDPRGVGKSRPARCTKNGYTATTEWPKQRHLPFDSPTAETSLGRFGAPLKA IVRRCEMYDGDYVKYLSTSFVARDMDLIRAALNESVVNYYGRSYGTVLGATYVNMFPH RVGRVVFESVLDPTVYTGPSSGMLATSTVDADETFDAFANTCEAAGPANCPLASEPHV GKRIREFLAKTEETPVIAPTAAGDDFTVVMASEIRDKILFDLYKPEKWLGLARYLNDL LRGQYVSDPVRETCPVTNSSYLGMYMEFPIYIANDGDLERAQDWNCALREAKQNSPLF GMQFAFYALPAMYWKIRPVERYSGPWNVQLPQPILILQNKIDPVTPLRGARALARLMG SNAVLVTRDGYGHGINRMRSSCIFNAITAFFNNATYPKHNSNCKVDAGPFDFNPDQAS TEKKLDEVDEAE H257_11310 MNQANGIVEVVNRLILPVVKTHTSELKLRATDWNLVLALVQAAL NHMPSDRLCGMARLYWPVCDDIPPPRARSTWMSCMKQWNSCYVNWLRQATPSPRPPSH KQLQKFAIGDFVLIANCPAKATSCPCTGVAQASSSGPSSWCNHHACRLKMYYEGGCDV TEEIADHIACGNEGFLVAKLGAKEPANSKPSSTGLVSMKTKPHGSPCAPSMKTSPSFS ATGFTNMKTKKKRSKWLRNS H257_11311 MSQGISLLACLEEQRKAKRARFPTARVEGPDIDEDSNSPVYDAY LQTQGAEGIMTLTNFSPPEFNLLWADVRQHIFRHWNVGSGRKCAVSARDLLLMMLASL KHCGTWDIVAQKFRTTVVTFEKRVMSFIEVMHPYLLRKYVHGMASKWSMHELAANGTR FEHYPYARYATDVTFQQTNVHVGSYAEKKLYYSGKHHLYGHKVEVSVLPNGLAINCTS YHKESVSDKAIFDDNLDFHRTNLTKHPSEMEMTDTGGRVEQWAVLVDKGYQGIQHEVR AVLPTKKPSGGFLTFDQQCTNDRIAADRVIVENYFGRLKTLWAVCGDAYRWNRKNYDV LFQTCVAITNVHIRFNPLRAEDGDANIQYVNRLNAIGLKKIKDKKKAQQKYREKRKTR LTLLLASESAISGNECDSETEIGSDSENDGGTSQLF H257_11312 MVTIALLLAAVTTAVSSTATSPFNWQPCADSHDVRAQCGWLTVP LDHLDPANPATIDIAVRLYRTSGHSKGTIVLNPGGPGGSGLSLAQPTFATLTGGEHDL LGFDPRGVGLSRPVMCTQSSYTGIAEANERSQKPIPFERGSSETSFERYLLSYELLAK RCHKYDGREYLPYLSTAFVARDMDLIRAALGEQVFNFYGISYGTFLGLTYVNMFPHRV GRVVIDSVLDPALYLGPTPELMSTSLADTEKPFEGFCAYCEEAGPAHCPLADTAPPLR PYLASRLRSFFDQLSDHPMVVPAGDDWTVVTSKALRETMFNLIISPSRWPQLATLLHS YMNGTASTPARATSCTSDKAVYDAGSTAWVVYVANEADNSHTFASSWEDHLKKSQQVS PLFGGSWYVPALPVKYWRTKVVERYAGPWNTPLRAPVLILNNEFDPETPLSSAQAVAA SMGPTNGVLVTRDGYGHFTAGHPSRCLHQIVTQFFHNGSLPPPNTNCPVDGNPFVLLD RAFHHAKQAMEVLADARAATPSPHQATYDAPMTEETTTTWTHWIGRLVWSVVRWIVL H257_11313 MRIVHIRNGTTPKLDRTNSNSKNVWKQRFPVHNSHGSRGGSENV ADPAMTPALSYERAGLQVSYGALIGDDQALVDFQTYVVPKSNLTAGNKSVTFHVLGGM LSGFAKLNKVLYHSVRNP H257_11314 MFRAFTTMFQTPSAERQPSSSTTAKAVAVIKARLRQLPDCIVFS HVYPPVTPPSRYHTRPEYVSGKRVPLTRDAATKWKKKHTFMSPISEWPEVHHLTQRRR RRRSGDSQKSCSSTSSSSPSSYVHFDVWQPEPSIAAAMY H257_11315 MDLALLHETVAANISAYFEIEIPHRSARDHYESMLETFKSTDRA QRLWGTGSEEEVTEQVELLQNLADRREAKDEAKKAKKEKEQKRRDAMELTGFQLCLEA EQRVAKSSISSNNTFQGHQGLVFDGMASFVPIVHYPLSLVSLRPAALSKMIFGKVGVN AVEHVQKYVAKATSSTAKHSDKVGPSIGACKTAEQDTESLDTTASGSFWGNDAWWDAK ESDKGSQGIARDRRFDFVKRNLARQSVSILHREHRIVRKIDRIQELIDSYGIAKDFIG QTDEGLLSAADERFHDPTAPGYLVEVDTIRDKCFKLCKHWDVLEKIIGDRASARLLLR SSNEDDDDYSVDMLNTSTLSDNVDENIVTANKTAEYVPGSPPIATGKRKSEPDKFGFS SGKKKLNTEFVGKRSFSVGESLMQGLKAQAQSSGIFVSREVLASRAAELELRKENEDR HFMLRKREIDLKANELRHKQIIEEARLISSMSFDNADVTEYFRDGLSKIQ H257_11324 MYRFLAVDSLLHRAANEKLRTIPTKQHRSLRAVGHHLGVSHTTV RRLIKDKQIRVSANSLKPLLKPHNAHHINYCLEFVEHSNDVCKFNPMYSDVHLDRKWF WLDELTKTIYLGIDKEEPTRACHNTNFRTKVMFLCAVARPRLVGVPALRTSRNRQAGT IETKPISVTRDVYYDMVVNHVLPAIRRIWPPSLKGLPILLQHDNASAHNIHDAMFESS MEHFDAFSTTKQP H257_11325 MPSIYGTLAVAVMTVGAQQTPFNWQPCPDTNDTRVQCGFLKVPL NHLDPSPNQTIDIAVRRFRVPNAAKGTILLNPGGPGISGMPFAESGVAQYLGGQHDVL GFDPRGVGMSRPARCTKNGYTASNEWPSRSNVPFDSPTAETSLGRYGASIEAIVRRCE MYDGDYVKHLSTSFVARDMDLIRAALNESVVNYYGKSYGTVLGATYVNMFPHRVGRVV IESVLDPTLYTGPSSDLLATSTVDADETFDSFANTCEAAGQANCPLASEPQVGRRVRE FLATMEETPVIAPTAARDDFSVLTAADVRFMILQALYDPKKWLGLARDLHNLLRGQYV SPPVPDTCPVTNPSYLGMSMEFPIYIANDGELKGTRDWHRALREAKRNSPLFGMQFAY DALPAMYWKIRPVERYSGPWNVQLRQPILILQNKIDPVTPLRGARALARLMGPNAVFV TRDGYGHGINRMRSSCIRNTLAAFFNNATYPNHNSNCKVDAGPFDPKPHQASTERKLD EVDEAARL H257_11326 MPPRATHRSQLTVRNINQEVALLESLDQQCREKRARYSTVREDE PDDDLDSASPVFDVFFNDRGADGIMTMTNFSPSEFNRFVNYPYATDVTFHQTNVLSGS YADKSVLQWVIKHNQTYGMGI H257_11327 MVRLAALYSATNGELGHNVVSKMRREVVPQKEHFFRRAVTNDLT AVLFLQGLHGFSQSLAKALPFDHQEERLIAAAGVMESYSRMRALTRSEKDYSASNPSR GVSAPTQARQWSERPCRCLNLSAQCQPASSWSSSSWSSSPRSMAASKAMMRNMACVTG FFLGLYFDTGRPLEAPGTH H257_11328 MDSSQMTMPQTKPLGRPRLKQGPTKPSKTYHNVHVSFTIKQAVI ETFDDVGTAATLAKHFSHHSGAKSGSTRKMYSWLQQRAHIRSKASNPKTSRHLCSRAI GMATPLPKESEEQLAQWVNSMRTDGVPVTPRMIQVMALGMAIDVGLYECTFTTSWSWL QGFKKRYVVKGLDDCQLDRERHVYIASWLQGFKKRYKFALRARTRTGQDTQGDGAKAL DADRVVFPRWSATTASTSSKHGSEGRQL H257_11329 MSVEHGTKRKKWTFDEDIELLRQDSASHGAIGSNWESVARILTS CSTYGCNVNGKKYQNQFNILLDKHKILR H257_11330 MEVVTRLQQLTIMGKLVRGSISTTAKHMQLHRTTVSNIWEGFKR NRRMPSGKLGRVGGKTIHTSDIVTTLVSAVPEEQRSTMRDISEATGLSMGTLSRRLRG GTIERKNTLLPLARKSLRSCRAHDSAGARASGEAGFPETPAEVTYAFDAMWDAVHLDE KWFNAENGRLKVYVVKGQSIKRRVAKSKRFIPKVMFLATVARPRHDDERGVMFDGKIG MWPGLKHLPATCNSRNHPAGTIVPTIVNVDAELYRDYVIKRDNAASHGAITEAILACV STDGWTFVVQRQPPNSPDLNVLDLGYFVSIQSLQNKLVSRSIDDVIQSTLASFEALSS ENLENVFHAVQAVMRLVLEHNGANHFPLPHLKKNAKRRAGTLLANLSCPASLLD H257_11331 MVVLQTFSARLNAIVDEHDNALIYNADQTGVNYEYLATKTLNKT GDKTIWVKCGGKAMERVTAMLLADSAAVKTG H257_11332 MSTTTTATHPSILLVGDSITQLACDPMQNGFQSLLERDYIRRLD VVNRGLSGYNTRWTLDVLPAILQDAYAHRAAPLLVTVFLGANDAAPPGSTQHVPLPEF QANLRALVELLRQWFPSSKVLLLTPPPISDTRTCGRRNDLARTYAAASVAVGTALDIP VVDLWTLLQEDRDSYLVDGLHLNAKGNMAVYNSLTQHIASLWPHLSPDNLPFVYLPWA SNVQSS H257_11333 MQAVAVTCAAVVGSVHAYSEFRYKIPNGFEVEGFSAVGHANKVG GGDALSSFGNDFIDAGYKWSKLLCAMDSDGDGATNGEELGDPCCKWNEIESDYPLRSS QLSSPGHPDAFSDADLAAIQCHLSNDEL H257_11334 MAKVCALVLLVLATAGIVAGSPCPQDCSGHGFCLKQGVCRCSES WTGHNCAIAKCPMGVAWSDFAVANDNAHNLAVCSNRGKCDTTTGVCTCLSGFTGQACD RLACACNGRGTCVSMKDYALTKDRGLGQPFPYDTNWDATKLYGCMCDAPYSGYSCQIS ECPRGDDPLTGTIYDPTGLQYNEKQIVNCKATGGAFTLTFRGHTTVPIAPSDSAATVQ AKVGALPSVNGVAVSYSGITTQACTILGNNIALEFTQDFGDLPSVVGDASQLTHSSAG MIPTLTITTAVDGTKENAFCSNRGLCDRTSGICACFMSFFSSDGNGNIGTRGDCGYAF SAITQCPGSVLACSGHGICQGPPTYTCICASGFQGGDCSERICPVGKAWFDMPFDAQG AHALAECSNAGTCDRSKGECVCDPRFTGASCNRMVCPNECSGHGTCQTIQSMAGLSVI NGDPVKLTYGAIPNNYPTWDFDQLQGCVCNPGYTDFDCSKFTCPTGDDPVTRMDTKNR PQANTIQVVQCIGTTGTFTLGFRGQTTPALSFSISAASLTVALQALPAFGQVSVVYSS GPAACTASGINSISITFRTVFGTLPTIRTTVNGVTSVTVKNDGTGGSVVGTKEDAVCS NRGTCDTLHGICICAEGFTSSDGYGGPGSRGDCGYMEPVYLNSAAKVANEIA H257_11335 MPSEEGMVTKLFAGFLCVCCWLHGATVGVAVGDALDLAQFFVLS LGVCFSISAIYPTSRRLAASILFLACAVFLSSLVKSIVFFRTPNHLNAVLPDIGHDFL PPVPFPPQVLLVLVCTATAVFVAFHPSKMVIMRRLLLIYATLIMARTVLALMTFLPDS NPSCLAEEKSVSAKEVVQHFLVSRRGFVEWIDHQRNTTLHGCRDTIYCGHSTLLVLCG MTWHTYYQRVEAPVNWVKSIVWVFAIMAMVSSLGRRETYTLDVVLSTYFAVTTWATYH RLANDVLTGHVFTSVWLIDKMIVYPLVEWMEAEGGPKTPRSSKNVRLYRRDTKDHEEW TAAVPRQKNTFRTSEY H257_11335 MPSEEGMVTKLFAGFLCVCCWLHGATVGVAVGDALDLAQFFVLS LGVCFSISAIYPTSRRLAASILFLACAVFLSSLVKSIVFFRTPNHLNAVLPDIGHDFL PPVPFPPQVLLVLVCTATAVFVAFHPSKMVIMRRLLLIYATLIMARTVLALMTFLPDS NPSCLAEEKSVSAKEVVQHFLVSRRGFVEWIDHQRNTTLHGCRDTIYCGHSTLLVLCG MTWHTYYQRVEAPVNWVKSIVWVFAIMAMVSSLGRRETYTLDVVLSTYFAVTTWATYH RLANDVLTGHVFTSVWLIDKMIVYPLVEWMEAEGGPKTPR H257_11335 MPSEEGMVTKLFAGFLCVCCWLHGATVGVAVGDALDLAQFFVLS LGVCFSISAIYPTSRRLAASILFLACAVFLSSLVKSIVFFRTPNHLNAVLPDIGHDFL PPVPFPPQVLLVLVCTATAVFVAFHPSKMVIMRRLLLIYATLIMARTVLALMTFLPDS NPSCLAEEKSVSAKEVVQHFLVSRRGFVEWIDHQRNTTLHGCRDTIYCGHSTLLVLCG MTWHTYYQRVEAPVNWVKSIVWVFAIMAMVGRHTFNSALFNHRIFHSILSIHLLYSFI YYLA H257_11336 MKVCYVLLAALGARVAATTPTDAEMDAQVQKMLDTLTDDQLLAQ MNQIDIGSFLLDVKGAKELNESQVQEYADLGVGSYLNAPFLAPQDNRVNWNTSEYRAA IGKIQSMHTSSSLKIPILYGLDSVHGANYVQKAVIFPHAINAGATFNATLARMMGLYT GRDTKAAGIPWVFGPMMEPARHKHWSRIYESFNEDPTAVSILTSAYIEGMQSQKVAAC VKHLIAYSNPIDGNDRSNVNASSYELLNYYAPPFKAAVDVGVMSVMGSYIALNGVPVA ANELTSKALLRHDLGFKGMLVSDYGEMYLLRRDHKVVTSDLDAVDMSLNKTSYDMSMT PWDITFITHGQKLLQQRRLKRARLVESVARILKLKLQLDLWNQPVPGADVVESVGDAA SKAAALAAGHESIVLLKNEEGVLPLKLPLSSLFLTGPSMDDVGYLCGGWSLYWQGTSG NGMFPNGISIRKGIETILGLNGSTLPYLGGVQMESGNITSADDFAKAKLFAQAATYTI VALGERTYAEDGGNKDPQELPPGFTTYVEALAATGTKIILVLTEGRPRLLGRLPTLAS AVLWAGLPGEMGGQAIADVLFGKVNPSGKLPYTYPQTDSFVNLASPYYMRNATHCINK VHGNVSACPTEYHFGDGLSYASFEYSSVSLSSKSLSYSSSKGKNTLTVSVTIKNSAAI AGQETVLLFMTPPPTRPTAETKLLKRFQKIWLGPGESRELKFDLQPQDWGYYSNEIGA GLATTSPSGTYTVFFKGSTDCNNQQHHQLCQTFEWSIPGSGVLPLQDPSKSPVSGGTL THVATMAVVVAAISALVAW H257_11337 MDGLNQGPARTQLFRAYPDTFEEAVRIALSESFSSSFAHARAAS PDMDVSMHVQASDDRTCFNCGRPGHFSRACPAPRRVASAALTRFLFASPSERVRVKMA DGHTASQPRIIVNFPITFDGFDSIEPFYVIDLDERWDLIIGMSWLESHQPWIDWKAKS VHKVLGSTRANPGFIGGLPIAFPHPPPIRLALR H257_11338 MKFFLALIHAAAAAAASQVGDRPITLVFDSLEPRDTTGMAISKD QSVAVQFRSPPAVGGSDTVSDHPTGLKLEFVNFTVRTIDIPTNASLWLQADLCPPDDR GLPGCTKACATPRIPIQEFGEEVTFQWFPQSPIELAPSTTYWFTVLSNGETKNKLPVW LYGTEEYSTTNDPKADVQLAYTDTKGGLWGLAGSFDVSIVPSLQVFVVKPRDILVELQ GRYLQRKHGKISTEEPTTTAVRQLRRLAAEAPEFGLLATLIAKEATGHGSGPVDGHGG ARVSNPGQEREANRSPQMSPPTDCPVTRSTLKILNQYRRFNPNPGQDQMVELFLRAAE THESFRQDEFQKALTYFRHYYTWELDVARALASELVGAPRLHEEILSRVQKDAERVLE VRRREDNLCHDGLMSSLRELLQTLQRNHHGEIRLVRKVSRDEDNSKRVAYFLLQYDRG LVSAQDEVQSKASEFESKKKQDLREALREGERSLEEEESILAEQNRYEQTLLSEQGCR QDLEQLVQEKFMTLTQERAQSGEDPSSLARNQLKRERHTNEEVLCQIEQARTRLETEK LQLRGQGATLFRERSVQGLTLSLVYAELERQRAQLQVEQRAVELQQKTLRKTNNAPFA TYGTPALSRMGSPSKGSGVTHTTFTMAPLLPVTRSNTPTHRRAFDQTCFMQQTEASPR MSPTFTVRDAMPLQFVPTASIMPQLNRNTDSYPASGDYGQLVGVEYAQFSPSDTGSGY NPPPSYGPESGGGGLQSRGGYGGGHSGRTNPPAPPGSGPNGGHYGGPSAAEVTLRDLL EDPDMEDDQRTPRVPFRCTSGHVRCSEVRAQRCRVPPASLCTIESSLDYLIERDVDID RRTEDSYMIEDTSPGNSATIEPWTAPGLGDDTKNGMASRPPQTKGVPDSAYKDMQQEG GLQQRPEDKLQTEATMLPPSDERHGPGAQPRPDLSRKTAP H257_11339 MRRLEETRQLERPRGPQVYTQDPAPGTTCVDKQTDRRQGSVKSE ENGMHVEPSKEESSCRQTEPNTHDASALPDGDSLTPQGAASNLSRTQSRVRGTLATFP EETSDAQEPGDSTNSVLGRPDPVMACSRTGTVSAITVGATRTGYSNQEVLRPDSDVTP VFAAAQIDAFSRGNMAGHPDSRHVDIEERHYPIWLVGAPVLSSQHRMSVPCGEESCQR RLSPFRGEASGLLGSCLTLLCVVAACYDPTGPRQSLDLNGGELSWGKFGCSATSRGVL ASCAPRSGRVAEIV H257_11340 MSSTNTAAHHAVLVLLSRGIGDSYTALLLSGMFPEDHAKFVRKV TWLHASQTWIHRWAADRLPPCPPPTRLVREIPVLGDLVGVNGYRADPDKIRAISDWLS SPPSRTFVAGLPLFRLLLKDAPWVWDSECQSAFDGFKHNLQSAPILALPDFAKPFSVV CDASPRQFHQTERAYPVHHMELLSMNYALTKFRIYLLGAKPFVVYTDHASLRTATNTS HMSYLSKCTFSVQYKPDKDNILADALYRRPDLELTTIGLLPSAHQDHGFFMGASPPLS IPNELWSSVSMNFMIGLPRDARGNTASRTPLAPSSIMFLRSGRSIISDSDPRFTAKFW MTLFTLCDTSLAISTSDHPESDGQTERANRILEDILRSCLQLAQSRGYTHLTVYGDSQ LLMRQMQGIYRVSHPGLRAQYLQAHRLAATIHCTWCHRPREGNQTADFLSKLAPDECA SYTSLDGPESVPLPSRQLTPLYDFLDLDLAFNPG H257_11341 MRLLRLIAYVVERPLVPDVRFDLLALSDADSTLKFRFDVAGVQA LVSALRLPVVILTSSHDRCLSTEALCITLYRMSYPRRYYDMMATFGRSRESICRIFND IIDALYSKWNQVLYFCQHIVADRLPAYSSAIVSKGSPMEKIFGFIDGSKFETCRITQK RSRRQLGFSDLQRLLYSGHKCRHCLNFQAVTAPDGLCVHFWGPMGKAHATTPRCFGLA S H257_11342 MRPNIVAAAYQSSRCFVYASFTSVSRPSAKSLAPLNQMGLVPLV SPFERTVNQYVGLRFNRGRGDPSLQRGIFGEFVDGDTRGGGAGLYALGQA H257_11343 MGDQLVLRGTLAGHGKNWVTAIATSRENPNLVVTSSRDKSVLVW QLTHDADPDNYGYARRRLTGHSHFVEDVVISSDGQFALSGSWDGTLRLWDLNTGITTR RFVGHSKDVLSVAFSADNRQIVSGSRDRSIKLWNTLGECKYTITEEGHTEWVSCVRFS PSSANPLIVSAGWDRLVKVWNLTNCKLRTNLCGHTGYLNTVTVSPDGSLCASGGKDGV AMLWDLNEGKRLYSLEANDIIHALVFSPNRYWLVAATSSAIKIWDLESKVVVDELVPE FENVGKKSQPPHAVSLAWSADGQTLFAGYTDGIVRVYAVGSN H257_11344 MAIEPTLAALAKKTNCEKQICRVCYARLPPRASNCRKKKCGHSN QLRIKKKIK H257_11345 MVTPTSRVGGGAALSFAYFVNRSQVLKQYRLFLREIRPLARDTR LEVQHTIRAKFDATRHETNPTEVKRHLAYGHSQMQHVRELVNSVSSTPMKSSRPSSPA PPKPSVSLTGTWTDSSAAADDVNESQEDIKGRVGKGWPWSR H257_11346 MFFLKQLKRELLLHPMHFGPKLHDIIRLRLIEEVEGTSLGKFGY VIAVTEVRDEDIGQGVIQDNSGYVCFNIAYRAILFRPFKNEVLDAVVTVVNQLGFFAE VGPLQVFVSRHAMSTDLNEGYDHEQSAWISQDREVEIRKGVGVRLKIMGVSIDVTEIQ AIGTIKDNYLGVISSEY H257_11347 MKDDVDERTTYLWNAVHVLERNLKVLEDQIHQTVAFREQRDVLA AKVAKALEECAAQENVPSLQRAFSTYAEATQTLSTDTRELLVVRPEQQAMVELAQIQD WAVVPMKRLLEDRDKSIKTLKKVQRDVDDMLQTNKEREKRQRLVHDQRRRVENVNALV DVHMKRFEFFRVTKLKVSSSIYYVHIPVSINAPMTTMGVESHVRVGAGPTALPLQRHR VLLQSLSNGAADRPDDRVRGLGLSLVPLPATQAIVTKKRD H257_11347 MKDDVDERTTYLWNAVHVLERNLKVLEDQIHQTVAFREQRDVLA AKVAKALEECAAQENVPSLQRAFSTYAEATQTLSTDTRELLVVRPEQQAMVELAQIQD WAVVPMKRLLEDRDKSIKTLKKVQRDVDDMLQTNKEREKRQRLVHDQRRRVENVNALV DVHMKRFEFFRVTKLKKVMSELARAQLHYHCKGIECFSSPCQMVPLIDPMTASEDLGS HLYHCQQPKP H257_11347 MKDDVDERTTYLWNAVHVLERNLKVLEDQIHQTVAFREQRDVLA AKVAKALEECAAQENVPSLQRAFSTYAEATQTLSTDTRELLVVRPEQQAMVELAQIQD WAVVPMKRLLEDRDKSIKTLKKVQRDVDDMLQTNKEREKRQRLVHDQRRRVENVNALV DVHMKRFEFFRVTKLKKVMSELARAQLHYHCKGIECFSSPCQMVPLIDPMTASEVCPS H257_11348 MSTEATPTVDEAKTEWRSGRLQWSNQAEHKLKQELNATALAKCR DRTSAFHECSKEHGLMVVFKCREQNHALNECLHEYTSKEALEALKAAKVNDIPVVIKE VPRKKYFEQ H257_11349 MATKKATGVAAVRGTRDLWAKDLAAQQHVVSIMQSTCSRYGYAP VQTPMIESTDLYMRSLGTTSDIVSKEMYTFPDNSNNSLTLRPEGTAGVVRSMLSNGLQ YAGPHKVSYSGSMFRYERPQRGRYREFQQFGIEYLGSNGPHIDIDVLAMANDVVNALG LDLTLHINTLGCVDSRKAYRSTLTAFLDPLKHELSADSQQRLTRGSVLRILDSKDSRD KELLQDAPRLLDSLTKSARTRFDVVQNGLAALGISSQLDHTLVRGLDYYSHTVFEFVD SSSGLACLAGGCYDHLVEALGGPAMSCVGWAAGVDRLTALGPVRRPPPTQIAMVPVGN VGVSAIQLAAALRRAGHVVHWIEFPQLKKQLKLADQFGCTYAILLGQDELDQGYVTLK DLGGRTQQTLPAENVVAYFDQLLA H257_11350 MARKRCRTSTPAVDKNGGMAHVLRFLPRQSLSSMAQSSRAWHAL VHRYFCLMQEDLSGGLELSLAIPVVNTVDSERYPQGFVYQTSTTSIGGMNLPPPLERH VPVEVFKHHRTGWSVRATTAIGSVDSFIGEYVGRIVRTATMDRTSRYIVSIREEDKDS GGMIWRTNVDARDVGNFTRFINHSCMPNARWDTYRSPTSFFPRIHVMSLRAIDAGEEI TVDYGAAAGIGTTHCHCGTATCRGFLPFDSTL H257_11351 MVSLKLQKRLAASVLKCGKRKIWLDPNESTEIALANSRQNIRKL VKDGFVIRKPQIIHSRARFTKRNAAKRKGRHTGIGKRRGTANARLPFKVIWMRRMRVL RRLLRKYRDSKKIDKHMYHDLYMRSKGNQFKNKRVLMEIIHKLKAEYLREKSLKDQSA ARQEKARVARDRKAGKDDKP H257_11352 MDGGGYTSAAHTLRTEAPSSDIVAAMEQLKRQRSAVEGKRMQLA QSLALDDDATKRSTDVKATEFARLFQDNVVPLPLA H257_11353 MQNVYFPQPTRAESPSKDGKSRAPRADGRSGDEFRGCFMRCGVL SHAAGSAYVEFGQTRVVCAVYGPRAETNPRQTKGQPITCEIKLPSTMATFESEFGNLM RQALEPAILVNKFPKCQVSIHAVVLEGHGSELSAAITCASLALVDAGVEMIDMVAACS AGEWDGNIVLDPTADEQTTKHVLVAFMAAQGQITHLIQQGKLSYDRVQEAISLCTDGC AGVLSTMMRASVIESLKERS H257_11354 MDEADFTRRLAQFPVVRKKTHYRVAWKREPEEKTVSTITELGEG ANKRPRLDVSFSTALETFLEEYFTPAESVRIRKEFEKVQASFLNGLCLEDMEEIAAQF KRATTEHHVDAPSPSPVVAGPPSKSTIPNTW H257_11355 MDGYLRFRQKCKEWQTGHDTLLRMLSSFQSQVESMRGKLSDEDT LMLNRPMDPFRLEELFVSIQEMPPKLESILHDMYDIYHDTRNASSHDSKKEHGAPCSR RDYIGFVGVEVDMYEAEFQHIEAVVNSLHFDTPSNVWNTYITSLSTQPFLDMDVLSAI TEKHNFSYRHRTRQLP H257_11356 MMFALRHAFSRVAVAPASASIARFSSYGSAAPALIEPVRLNNLA DNPGAARKGKRLGRGIGSGKGKTCGRGHKGQKARSGRSGPALGFEGGQTPIYQRVPKR GFNNKWATPMETLNVDRLQLFIDMGRVDATQTITMKTLVDSGLLTTSRVKHGVKLLAK GKSALTTPINIEVSQASQGAIEAVELAGGAIKSVYFNRLGLRSLLKPHKFDGKPLPQL ARPPPKKMGYYTDINKRGYLSAEIQAQEALKKIQSSSA H257_11357 MSYTELTVRFASLRRRRAEIQAKAASVMQLHVDETTNDRKASHV LHSGMRPQDMVHTFHMLRQRRLALQAKRQQVMALHDLPLYAGPASKDRAGNILGKRKE RCYEADVQKAAKTTSSCNFIN H257_11358 MMMQRSLGSVRRVSGSRWGRLMSTLSKDDDLSKKGKAKKMLFQN ALADAPQKPTGFTDNDEIEQLRKKEAAAPVFFTDEELDSEYGKFKSIMDSDDDDNEES DYVPVRGRVENEMIMADKTWPLTSGSDLWMDLIQIPKDGKLEDNERELVLKAAFERMG HTSVWDVQLPTMSVDVPEDDPDYKAFAVMKQALMNNGRIKMADKNEIMTMLVDEVTRL RADKTDLIPGLNLDED H257_11359 ISGFFLGSSSMDEHHIWKSKMLPARAIVEVALLGAAFALAWTSV RSQSKASKSKQSANKNVPKKPLPGHTQRTHSDLTDAQLVSESFSLAHRTSDGNDDDIL IIGVCGGSGSGKTTLSKAIIDDIGDSAVSYLSHDFYYKDLSHLSLEQRAEHNFDHPDA LDTALMVSHLAKLKQGIACDIPMYDFTTHSRCLSTQHMSPKSVILVEGILLFTDPDLV DLMDIKVFVETPSDVRFIRRLKRDIRERGRTAESVIEQYMTTVRPMHLLFVEPSKRVA DILVPVGVNAVALDLILSRLKSFMNKSA H257_11360 MFKRIVQSPALKKAAAAAGTAAIGGSVFTGVTAFSDDSIPALHY GFEHDGPLTSFDYAAVRRGYQVYKEVCATCHSVDKIHFRHLVGVTHTEAEAKALAADI DVEDGPNDQGESFERPGKLSDPLPKPYANDEAAAAANNGAIPPDLSLIVKARHAGADY LFALLTGYVEAPEGKELGNGLYYNPYFGGGAIAMEKQLEDGKVTYEDGTPATASQMAK DVSVFLAWAAEPEHDERKKMGLQWCLGLFAAAVLTGYYKRLRWAPLKTRKISYFK H257_11361 MRRFFSSTAAGATRKSRLEALREQLAEEASKVRDPIVSWGDNVL SAEDLVATKPSRRPSASNRKPDWLKAQPTTGENYIRLRDTVRSLKLATVCEEARCPNI GECWGGGKNGTATATIMLMGDTCTRGCSFCAVKTSRRPPPLDPNEPANVSKAIAQWGL DYIVFTSVDRDDLPDAGAEHFASTVRQLRAALPEILIECLTPDFSGRVDLVETVARSG LDVFAHNIETVERLQRRVRDYRANYRQSLAVLELAKAAQPSLITKTSIMLGVGETPED VMQTLQDLRASGVDVVTFGQYLRPSTKHMPVAAYITPDAFAHWQKVAESLGFLYVASG PMVRSSYKAGEYFLTNLLRGHKNKKQHPSP H257_11362 MIMMAYLEYHHSAYLLNTSKPMSILTGAMWVAEMLDDNEDAFID TFRMPGATFGVLLTALDITDGRA H257_11363 MNATTVPHKAAIQGSFRSKSTLRTYQTYQNQFAKFCKDVIAIDP AGATPGACTDFFHLLYSLSKTARTVDSAKTALVAYFQALKVDPNPARDVESNQYVVAH PLSVYELSLLVNSLASPHMFVGALYRFLLCASYIGCFRISEMLNLT H257_11364 MNVQDLCNAIVKSLQTNVHVLPAAVVAADVARTLPTSVAAKSQR QMTLDSFVSHAVVPTARSANEAWTQWFTGDPAVGLYQPLRSFNKQMIRVDRRKCSERL TLSLSFSNNTLSEVRKRKHEGRL H257_11365 MLAGYCMLAGYCMLAGYCMLAGYCMLAGYCMLAGNYMNHLKQNY MPDGDTSEACGYLTQLLSIATWYGWVSE H257_11366 MSLAPRSTRELTPDIKMEVVFALQDAIYNGKLACGSIQATAIRC QVGRATVRKIWRDFKSSVPARDRSTMRDMASSTGISFERLAFGRAHKVVPSIKERFPS RSKRVVLQHDNATPHGSIDEDALAAVSTDGWTFVVRRQPPNSPDLNVLDLGFFASIQS LQYKMVSRSMDDVIDATLSAFEVLSSDKLSSIFLTLQAVMRLVMEHHGDNNFKLPHLK KDTLRRAGTLMANVTCPASLLFHVISFLQQSSP H257_11367 MQTLDLEYSVHSKVETWLYDKTETTQLWTILLKYNAAHENNRDI FQGLIAASRAKLHYFVDNRNKCAILDDHTRALDAHSESAFSFEQDYDPQVVPEEDHLR RYVATLTKCNALYKIKITRVAVNDTEPTEVSRGPGISRERAYGTGKIPYKTDALMATI KACPADQAGAIFFLDASATKCGCFAIDAKCTLLQMNTPCDVLVMKVICKQCGRWKFWT GENEAIFRFSKSTAVVFELLYNELSYIEHGTVPFSALFASISSRYTMFGGEFVHRQTF IDMSWAFIAAIDMPFHEDFSCPHCGDCQWIVVVGTALAPMRNKVELDTLRDRPVLLGG GLWHRTKSSNRAFLPSESLQNQLKQLSMTTSTHTSTLAELHSFSLKAPYLAQLLQSTM TEQDGGIFFDIPAKPWSRFFHDLSKSTSVCNGFIMNPAVVAAELNMWIESKSLSQRAR KVFRDYFLTLNLVIVHERDDFCPSYLQSVLQRLASKCDLKVQDDERFHLMTQPESPET LFSIFYSRFPDADRVVIYDNACNYHEYCINRKPQFFANQVTKQDRIHAKGHVGCTIGH NLDEFWWAKAVNTQVAEQGNALLDGVKKQSTFMTIGHYALFVWFVLANMKFISRASTS ALGTS H257_11369 MSKGQYKNNLTDGECNNLVQHLLTRCTSSGKPFDCTPTTVRRIW RCASAYLSGSKTICATVHRRKKGQSGCKRIYTDISERIQAIPQSRRTCFCSIPHAPNI PKSILHVYFLRGVIVKYSSVPKPSLTESSKVCRLNCAINHVTEINGEKYFDPMNDTLH VDKKWFFMTRRQKKVYGVAWRRDVPPSEDAIVVACMAMGWDMEVVFQPPNSPYFNVLD LGFFRAIQTLQVEKHSSSLEGIVAATDMAWVAVSTTTLIKNFLTLQRCLQEREWASPR LSRAGGGGVDESSK H257_11370 MHLQQLTNADWPNVRDSRLKPSDTDVSDNDALRDKFPSQWSVLA DKGYQGIQEDVRGLMPVKRPRHGHLTMEQERANAKWSSDRAIVENFFGRLKRLWGLVS DKYTWKKDECNMYFQTCVALTNVHVRFNPLRNVDGEGYNQY H257_11371 MALLAPQLTLEQTVALVAILVHRANGRWWVLRRLLQICFNTTLD SPTAIELYRFTIDQLALLTAKLRLPDPVVTPAGDNVRGLESLTMLCRRFAEPSKLHTI ANEFGRSQAAVSRIVLCVARMIYTFHADLLYMNDGLVRSRMERYCQAVKTKGAPPPKL LGAH H257_11372 MDWDQGAVTSLAGGGQPGAPGTGGASTVTSSYDLTRRCVSFLRG FREENTFVYRAQLTSHLRRSHLVLEVELRDLTSYDAELGDMFLSKPAQMLPLLEAAAA QVAKQQQQSLPIQIVLKSAAMESLRLRDVHASQVNRLVKVPGIVISSSKVRAKCMSIS VQCKNCGHVKNLPCPSAFQGVAVPRNCDRRAQNDAEGSMGVLQQDCPKDSYVIVPDKC SYVDQQTLKLQESPEVVPTGEMPRHLLLSCDRYLVDKASPGTRVSILGISSVFNAKKQ VGAVAIRTPYLRVVGIEIDEEGAGRAKVVFTPREEEIFADMARDPNLYEKLSASIAPS IYGDYTVNIKKAIACLLIGGSRKRLPDGMILRGDINVLLLGDPSTAKSQFLKFTEKVA PVGVYTSGKGSSAAGLTASVIKDAKGEFFLEGGAMVLADGGVVCIDEFDKMRESDRVA IHEAMEQQTISIAKAGITTILNSRASVLAAANPVFGRYDDMRSASDNIDLMSTILSRF DLIFIVRDIQDETRDRNMAAHVVRVHTNQLNQPQQDTQGEIEPALMKRFITYCRQRIA PRLSMDATQALQDFYVQVRDTVRQDEKARAIPITVRQLEALVRISESLAKMHLKIQVT SENVQEAIRLFKVSTMNASQDGGTRGLFGAFHEKAAVVEEAILKMVHVGVRMDTTALY IKLESQGHNPNAIQRAIRGMVQKGSLKQTNQYKYVLRAM H257_11372 MGVLQQDCPKDSYVIVPDKCSYVDQQTLKLQESPEVVPTGEMPR HLLLSCDRYLVDKASPGTRVSILGISSVFNAKKQVGAVAIRTPYLRVVGIEIDEEGAG RAKVVFTPREEEIFADMARDPNLYEKLSASIAPSIYGDYTVNIKKAIACLLIGGSRKR LPDGMILRGDINVLLLGDPSTAKSQFLKFTEKVAPVGVYTSGKGSSAAGLTASVIKDA KGEFFLEGGAMVLADGGVVCIDEFDKMRESDRVAIHEAMEQQTISIAKAGITTILNSR ASVLAAANPVFGRYDDMRSASDNIDLMSTILSRFDLIFIVRDIQDETRDRNMAAHVVR VHTNQLNQPQQDTQGEIEPALMKRFITYCRQRIAPRLSMDATQALQDFYVQVRDTVRQ DEKARAIPITVRQLEALVRISESLAKMHLKIQVTSENVQEAIRLFKVSTMNASQDGGT RGLFGAFHEKAAVVEEAILKMVHVGVRMDTTALYIKLESQGHNPNAIQRAIRGMVQKG SLKQTNQYKYVLRAM H257_11372 MDWDQGAVTSLAGGGQPGAPGTGGASTVTSSYDLTRRCVSFLRG FREENTFVYRAQLTSHLRRSHLVLEVELRDLTSYDAELGDMFLSKPAQMLPLLEAAAA QVAKQQQQSLPIQIVLKSAAMESLRLRDVHASQVNRLVKVPGIVISSSKVRAKCMSIS VQCKNCGHVKNLPCPSAFQGVAVPRNCDRRAQNDAEGSMGVLQQDCPKDSYVIVPDKC SYVDQQTLKLQESPEVVPTGEMPRHLLLSCDRYLVDKASPGTRVSILGISSVFNAKKQ VGAVAIRTPYLRVVGIEIDEEGAGRAKVVFTPREEEIFADMARDPNLYEKLSASIAPS IYGDYTVNIKKAIACLLIGGSRKRLPDGMILRGDINVLLLGDPSTAKSQFLKFTEKVA PVGVYTSGKGSSAAGLTASVIKDAKGEFFLEGGAMVLADGGVVCIDEFDKMRESDRVA IHEAMEQQTISIAKAGITTILNSRASVLAAANPVFGRYDDMRRRDSRSQYGGARRSRP Y H257_11373 MGAPLHPVLSKRKRRLSMDMQQEAFDNLKDHPLYERISLVKTKR LKDLGYMTHLISDLQRHLVQLKRRQTSQLSWEDVTQALKDDTVGRVRDNRSLKQEVEL NSQICTYLQTWLAHVHPAAMSANYVEEHWRHSALFKGDEHARHVGLSWITRQAYHNTD RVLSAIQFPSATSADYADFVDVRTSSVNGMLILIQVATQRILPYSLEDVSEAYWHAEK SFGEYVLRHEFVHPRLMASINGDIDYTREEVGNHIQRISDKVLMGRFCDDHRAICVLR SVMNDEMYPLEANTWTTDTRQWMLAERLGPAQTRVRQYYSIDHPCTERGYVPLWEYAR MCGVTHAIDDADVLEKLQLNRQAKHLCSRAQFARHFDDTLRATADVTNDHVFNYT H257_11374 MDALLMTLTVLKHYNTWGKHTLDLGFKAPTFQKLILRVVEVGMP VFYAEFVKMPNMSELRAQFQSTERPTRRHDEAKPYFSAKHNLYGLKIEASVPPPQGLL VDMSESHCGAVADLTIMRSRIDQHVRALAKSDNELSILDHGEKKNPPRGFLDPDDVVR NRRVSSDRVVVEIFFGRVCSLWKVSYATFTWSAKFYDEIQHLMFALTNFRVSLMPLRE ADIHWYRRSVLARYESMVHATAAKREES H257_11375 MNKPNPNILRGRQLAELFFTRNAPGSTDWTCRCGVRRAQNGSGY SNLVSHITSEHPEYNTFDAMNPPAPTALFDIMVPRLVSTVYGWLHWITMSMLPFSFVS NTLARRYTKLDPISRTSFMKYMHALCAHVERTIASQLPDQFAIVHDGWSHGSTHYLAI FATFPSSDPIGYTRTLLAFAPINDEESLSADAHYEFTVFVLELYGKTWDNVIALIGDN CSTNGAFARRAGVPLIGYASHRFNLFMSDVLADHADVIDNVNHLMTNLRFTLPAARLH CLTPLVAKTNNTTRLEFDVTALLTKLEDLNAITLALQSEDCSFLDARQIFDTVIEDYP DAAARLGRSAAIVKNPAFEDGVVKVLLESEALKLKPSRRYGILKQAKAAKKKKKVMRA LSVYKDCRFMHPTSNMSERFFSATKLAVEDRRCSITPKNFEEQMFLRANIHFWTTEDV QAMMRTLE H257_11376 MTKYLPISTVEDASFCKHIKLENTTTKTVRATMVDFGFADAGKI KSDVGSCSTARAQTGRRIVCLSRIA H257_11377 MTPSPPVTSNIAVPNDDAEDSLCYHHDLVSTCHIQDRDPTPHYD FIPSPTTTSKTAPLPPRPQTVTSPHPQQQRRKSRHYTRVTKTPCSPSEPQLRDLTPSL TSTSVMTATATAITVPPPAFRPP H257_11378 MSASSSVESVPHAEPLPSMDSVLHGKPLSSVGSVPYSQSPLPTI LQLKVIVVATTIQLQA H257_11379 MVIFHIRLSFRVDEGIDVMCSQFLHPLTSVDEFGTVTMLAVQIS GKKDKKKWIVLSLYRDDQCPELWPVRAVLAWIHLSRHPGTDYLFPHDKDTTKCYSPVM FQTKCRNVCTKVTGQHGPIATHWLRKKGWLLATWGGGSGVDMQQASRHKSLEMAGRYK QDAQSLLEIAKNQRYLIDV H257_11380 MPTETHPASDIDRAIAYVKKTRSRYVSKNEILDITMANAVLRQD GTPAASRTAARLLRRKEQLVQQVWKEFIQRGTTTTKPQASRDMYHRTRLPVTSDLAKI IQEFVRHRRQDRQRTVAKDVAHFLRSENRLDFDPESESSTQAAYRSTQRALAKLGYKR RKKKRGLGLRMSDDNIQHRDMGKTNWET H257_11381 MVWAIVKGQVGRQYTQDTKFKDVHVRLTQAFAELAAYSIKGCIH KADRQLNKLAEYIMEQQEVDASDSDDDNSNDGNDSNSDSSSSESDSSESEKYIFSLFL LAASK H257_11382 MKTSEVENVDAPEIKTEGPKQSKTEGPQRKKAKKGSPNKKSKSN SESLESGYNAIKEGLMFLGTSMVQQPPAQTAQRATLDYVLDAIKAQSDTMAQLVAIMV AHTKKQQ H257_11383 MTRVIPAIKACFPSMNKHVVLQHDNATLHRVITDEVLACVSTDG WTFVDSLLPSKRCDISLRVIRATLAAFALFESDKLVDAFLTLQAVMRLVLENNGGNQL RLPHLGKKALRRRETHDQRVLSISSSLGYQ H257_11384 MRTSSNDSHFAARCAPSALANNHVQAVASEAGLPETLEFKGMWD IVHLNEKWFNADKDRRKVYVVKGQSVRNRVCKSKRFIPKVMFLAAVARSDLITSVEFD GKIGMWPCVKYLPARATAPPELW H257_11385 MDLAQTQELTYKRKMEVIIRLHQLTILGKLPRGAFTSTATHFNL HRTTVSKIWNSYSTNCMMPSSKLGRVGRKEVYDVETVTARHRRANNDPP H257_11386 MPQDAMSSPPVVSPMAKKRRLEVRRSKKGSQKQKLLFLQRRVYD LEGVLDKAKRNFSTLLPWEEVAKALQDDTLDQVRDNRSLKRQVEVQSCMYQVLHAWVV SMRPPEKLLDAHVDTWRHSHLLSGDAETRRMAQSWIVQHAFFNRDRSMARMYFPDDTA DPVMEAEVDVTDDLQFNIMAMSQCVVPYSLDEVSEAAWIADNHFPTHRHLPRLPPADD LRRLTQGDVRYGCDDEVIVPIRKQCLHGKFHEPDRTTIVFRTILHDDDAPAAAPDQWI VDMQEWTVVEHVGPRTTRVRSLYQIGHPVSQNGPASVAAVGRAVGASAFDVATVRRRI EWMHLQQRQVFLDHLDRVLVCLHGRR H257_11386 MPQDAMSSPPVVSPMAKKRRLEVRRSKKGSQKQKLLFLQRRVYD LEGVLDKAKRNFSTLLPWEEVAKALQDDTLDQVRDNRSLKRQVEVQSCMYQVLHAWVV SMRPPEKLLDAHVDTWRHSHLLSGDAETRRMAQSWIVQHAFFNRDRSMARMYFPDDTA DPVMEAEVDVTDDLQFNIMAMSQCVVPYSLDEVSEAAWIADNHFPTHRHLPRLPPADD LRRLTQGDVRYGCDDEVIVPIRKQCLHGKFHEPDRTTIVFRTILHDDDAPAAAPDQWI VDMQEWTVVEHVGPRTTRVRSLYQIGHPVSQNGPASVAAVGRAVGASAFDVATVRRRI EWMHLQQRQVFLDHLDRVLVCLHGRR H257_11386 MPQDAMSSPPVVSPMAKKRRLEVRRSKKGSQKQKLLFLQRRVYD LEGVLDKAKRNFSTLLPWEEVAKALQDDTLDQVRDNRSLKRQVEVQSCMYQVLHAWVV SMRPPEKLLDAHVDTWRHSHLLSGDAETRRMAQSWIVQHAFFNRDRSMARMYFPDDTA DPVMEAEVDVTDDLQFNIMAMSQCVVPYSLDEVSEAAWIADNHFPTHRHLPRLPPADD LRRLTQGDVRYGCDDEVIVPIRKQCLHGKFHEPDRTTIVFRTILHDDDAPAAAPDQWI VDMQEWYYAYVAVMTSCYDEDGIGR H257_11386 MPQDAMSSPPVVSPMAKKRRLEVRRSKKGSQKQKLLFLQRRVYD LEGVLDKAKRNFSTLLPWEEVAKALQDDTLDQVRDNRSLKRQVEVQSCMYQVLHAWVV SMRPPEKLLDAHVDTWRHSHLLSGDAETRRMAQSWIVQHAFFNRDRSMARMYFPDDTA DPVMEAEVDVTDDLQFNIMAMSQCVVPYSLDEVSEAAWIADNHFPTHRHLPRLPPADD LRRLTQGDVRYGCDDEVIVPIRKQCLHGKFHEPDRTTIVFRTILHDDDAPAAAPDQWI VDMQEWYYAYVAVMTSCYDEDGIGR H257_11387 MMGLSTDHRRRTPAMQVQRLQRSVYALEVMLGKAKRQHHSHDGA LPWEDVAKALQDDMLEHVREHRVMRQHVEQHRRLCVALQAWIHTMFPPERTLSSELGT WRNCYLFQGSDDARHQAQTWMVQQAYHNTRRAMSTFVFPDTMETCVDVAVTVDDNRMT IQGAVQCTFPHSLHKFSRALWVVENTFTDYVRDRRMPTRSQIQVLDAVRVIYHREEDP SPRQRIQKNSLHGQIEDRDTTTTVFRTIVHDEAFPSQSTTEWILDSSEWYVAERVGPT TTRLRALYTMQHPETCTGTVPMETLANAMQIPIDERMRERLCARLQHGHARQRRLYLA FVEKVLLALVEIPKCGETGNTILNDS H257_11387 MMGLSTDHRRRTPAMQVQRLQRSVYALEVMLGKAKRQHHSHDGA LPWEDVAKALQDDMLEHVREHRVMRQHVEQHRRLCVALQAWIHTMFPPERTLSSELGT WRNCYLFQGSDDARHQAQTWMVQQAYHNTRRAMSTFVFPDTMETCVDVAVTVDDNRMT IQGAVQCTFPHSLHKFSRALWVVENTFTDYVRDRRMPTRSQIQVLDAVRVIYHREEDP SPRQRIQKNSLHGQIEDRDTTTTVFRTIVHDEAFPSQSTTEWILDSSEWYVRSCCNSC VNPRAGTSRSAWDRRRLDCGRCTRCSIPRHARGRYLWKRWRMRCRSPSTRECESGCVL VCSTDMRGSGACTLHLWKRCCWRWWRFQSAGKRETLF H257_11388 MAMQDALISPITKPTKKPPPPSTAKPPQRIKAKKLSRKKRLQNL ERQVYDLEGVLTRAQRSRTTLLPWEEIALAFKEDTLNQVRDHRSLKRQLQRQEHVNLV LQTWVTAMLLPPPQRTLNPHADTWRHSHLIQGDDDIRRTAQLWIMQHSYHNTDRAMSR HSFPDSMESLVEVNVAVDDDGGGGLFRVDCMVQYTVDLPLQEASDVYWLAENSFTSYR QDAPTFFEDRHAVQHAALRYDRQVMSVHMAKQNIRSHSLHGQFRTPSRTTVVCRTILH DEAFPEEDAAAWVLDAHLWTVAEPVGPFTTRVRTVYSLDHPRTAAGRIVSADEMATEF HLHQSGHVRAFLNRAHVRQRQLFFDHLTSLIRCTTPAGL H257_11388 MAMQDALISPITKPTKKPPPPSTAKPPQRIKAKKLSRKKRLQNL ERQVYDLEGVLTRAQRSRTTLLPWEEIALAFKEDTLNQVRDHRSLKRQLQRQEHVNLV LQTWVTAMLLPPPQRTLNPHADTWRHSHLIQGDDDIRRTAQLWIMQHSYHNTDRAMSR HSFPDSMESLVEVNVAVDDDGGGGLFRVDCMVQYTVDLPLQEASDVYWLAENSFTSYR QDAPTFFEDRHAVQHAALRYDRQVMSVHMAKQNIRSHSLHGQFRTPSRTTVVCRTILH DEAFPEEDAAAWVLDAHLWYSKE H257_11389 MVSERCICVWALLELSACSTLRLAAMTALSTSSYSLMEPLVMKK RKLEYITPPLMHPPSVVALHSPTASDDFDFEAHPLYHSLSHIKTKRVKELEFYKRHIY DLQGQIETLKRCQTSLLPWEDIAQALKDDTLDKVRDNRSLKKEVEHNHRMYGFLKRWI ASINSPRSSVPHAFQDSWRQSTLFAGDDASRHVGITWVIRHMHRNADRALAHLVYPDE DDEYVDVEVVEVHEGVLETRVMHQFTVQYGLEDVSQACYVAEKTFAQFYLQRDFDDNY TALVNEGQDIEYAREEVGPANQSIADYLIQGRFHEDNRTMLCLKTVMDEAHPLDDTTW TVNTKQWLVADRTGPSTTRVRTYYTIEHPSTQTGFVPVEEVANVFNVDSDSVATAVDR LKDRQVATHTDQRKMYAVHLMNVLENFTSTPSSPSNIIKPETTG H257_11390 MLIRLRSKAGTWRVPDLTSASTILDVKKWVEHEYAIVVARQHVS RDPKGDGLADTTTLRSLQVGHGDMLHLDFDGDAISTGGVVHRKINADGTLTHATYDTR LGKTGFRPGMKALRDMKMHWTLGEFMEMDSEFEFKIKAQKTAHCNAVRLDAASCNGFQ SYLRNFAFQQCRCGWLYGTVGADGVVTVECIYEPPQEGNLHGFEVMDDPHADKADVVA AALGWSKVGWIFSHPPREEADFHFSSREILLASQLQCDDAAGGASSPFVSVKVTVDAT GQASFEAFQVSDQCMEMFSAGALVPVEAIPTVMGVHETFTAMVEMKATNEIDNNFFLC VVPVQPYESALHCEFPPLHREGSMRTRSMLKQILHKYGRDYKAALRDFHLLVFLADFL DLHADIPVICHALLNEDVPLDEGYKVLIDSVAGK H257_11391 MGTYLEDYLESIYMLPSEVKRNFDLMRELDKATSGLLDSLRDSQ STYLRDARERVRVRCADTTLPEPTEEELRALVGVEDDAADADVPPDLDNSPLAKLKAK RHLVVQKMDEKVAIASQSYDLIDHHIRRLDNELENYTALLKANGEYEDERVVVAPVKK QKESTAEPATQVSVAVASNKKSGAASSAAAPVTVTKKSGGRKRNAADAQLEAIPEAAA VALPLLEDLPIDPNEPLYCHCRRISYGQMIGCDNEDCKYEWFHFDCVGLTEQPSGTWF CKDCSTGALTI H257_11392 MAERTYIMIKPDGVQRNLVGEIIKRFEQKGFQLVALKLTQPGKA HLEAHYADLSSKGFFNGLIEYMNSGPVIAMVWQGFNVVKEGRKMLGATKPSDSAPGTI RGDYAVEVGRNICHGSDSVESANHEIALWFPEGITEWNATRNTWVYEN H257_11393 MTAMEVVSSGAAVLHGFFAAAGFVLLYFSYKYARKYYNQAAFLL PPFVCICVIYENMILATVGLDKDYDSVKVMLAFQSCIIPAMLLICFEVAYLVHKNRSV NFCGISFDSGHRTHRDDCKSTFLRFAMWLVGLGLLTLKLLVYYRYYDDIVFTSGIYEV NGSATVGTVLTIIPAFSLVVLAIYIGMRLWNYGSNYAYTVHSTCFNPWIWMMVGSFLL AAGYLMPDPLFARTSNGGEICMLAAIIRMFREVHKDLQEGTEIANTLLDGSAAGLHDH ATSPRSMQDSSPSTSAASSSHAKYDLMGSPRRTMEETRLTDAHTDAYLSVVIARTKEA STNPMTVVPPWKRHSNDEFVDVALNGPSRPVPMLQELKPKPGSVHPVASTGLFDDMPL MDFPPASFFGSMNEETEVTVYEATHVPLDLLAETDSVAMSPSVEVVCDSVDPAPVSQN QPKVIEAAEDVDRLALSEVIVKENSLEGSADVAVTAVDEIEQEAKVEERIDEGVVRAL EFMVHQVQQAHAAMDEQHMDTSWEHVPAEPTSRMDEGHGHVHEDTPCGFDELELSSLM EESTAEEEEPPRPSSSEQHPPSREEMDLMDVMFSMTGMEVEEEGDTSIIAVSSSSRPG GVDYDDAQSTM H257_11393 MRLWNYGSNYAYTVHSTCFNPWIWMMVGSFLLAAGYLMPDPLFA RTSNGGEICMLAAIIRMFREVHKDLQEGTEIANTLLDGSAAGLHDHATSPRSMQDSSP STSAASSSHAKYDLMGSPRRTMEETRLTDAHTDAYLSVVIARTKEASTNPMTVVPPWK RHSNDEFVDVALNGPSRPVPMLQELKPKPGSVHPVASTGLFDDMPLMDFPPASFFGSM NEETEVTVYEATHVPLDLLAETDSVAMSPSVEVVCDSVDPAPVSQNQPKVIEAAEDVD RLALSEVIVKENSLEGSADVAVTAVDEIEQEAKVEERIDEGVVRALEFMVHQVQQAHA AMDEQHMDTSWEHVPAEPTSRMDEGHGHVHEDTPCGFDELELSSLMEESTAEEEEPPR PSSSEQHPPSREEMDLMDVMFSMTGMEVEEEGDTSIIAVSSSSRPGGVDYDDAQSTM H257_11394 MQQRQAAAAATTRLNKKSHLDRPLGRGKTEVSESAFSFLFCEFV QYFQGRVLNISDLERKLEAAGYGVGVRVLELLSYRDMNGNVSKDKLRRETRLINMLQF VVSVCWKTLFGKPADALERSTGNENEYMIHENAPLTNKYISVPTDMGQLNCAAYTAGV IRGILDSGGFYCEVDAHTVEIAGGGEKTVFLVKFDERTMKREKILS H257_11394 MQQRQAAAAATTRLNKKSHLDRPLGRGKTEVSESAFSFLFCEFV QYFQGRVLNISDLERKLEAAGYGVGVRVLELLSYRDMNGNVSKDKLRRETRLINMLQF VVSVCWKTLFGKPADALERSTGNENEYMIHENAPLTNKYISVPTDMGQLNCAAYTAGV IRGILDSGGFVRLVARSTSHLT H257_11394 MQQRQAAAAATTRLNKKSHLDRPLGRGKTEVSESAFSFLFCEFV QYFQGRVLNISDLERKLEAAGYGVGVRVLELLSYRDMNGNVSKDKLRRETRLINMLQF VVSVCWKTLFGKPADALERSTGNENECNNDELMMLHFLVMARFCM H257_11394 MQQRQAAAAATTRLNKKSHLDRPLGRGKTEVSESAFSFLFCEFV QYFQGRVLNISDLERKLEAAGYGVGVRVLELLSYRDMNGNVSKDKLRRETRLINMLQF VVSVCWKTLFGKPADALERSTGNENECNNDELMMLHFLVMARFCM H257_11395 MASLLRWLIVLVFLVLQGACELPKDLRVRREMVPVGQPHRDASG RMVQDTAERITVVDPEEEQENREKEASGQVTKGGKFKARRQFIFTGLALLAKGIAVGV KVAKVAAVGAKAVKAGAGVAKMGKVAAGAGKVAAKAKKAGAVLNKAQNVVNKVHKVAN GVRNGVHQARNVAQHLRRRRP H257_11396 MTRITYPIAFKLEALKLLETLSDYKVAVLLNVARRTLRNWQKQR NELLAYKGKQEAPEVQYINDLRDAERALTTMHIINWIKRNQRTWLLDYLSTKAAGSGY KAFLQLLRRETCELRDEFALEFHRSHSAHSKECTYNVDETGFYYDMPPHYIWAVRGGS SKISAGEKHSMRMTAVLTARTARRTHRNKRGAYLPRRTLLRRPREGLDARVWKQFLRS VLHDIEECSVILVDNFEAHVSEESTKIVQEELTCVPCHRMRRLCASPWTWVSLRPSSV TYVSCGCMRR H257_11397 MVVGISRGSLHRYLKLGIFRSHSNAIRPSLTDANKYSRMKFAFN FVRANMEFDDMMDYVHLDEKWFYITKTTRRYYLVPGAKEPQRMCKSKRFISKIMFLSA VARPDATGTWWDGKIGTWPFVESVQAQRNSANRAAGTYETKALTVTKDVYRAFLVEKV LPAIVSKWQCPKRLVHLQHDKFARTCDARRREALGSRLGFFAAIQSLQHCTSARTVDD LITNVHAAFDKYPFERLDRTFMTLQACLVETMKCFGDNAYKVPHLSKEKQARLGLLSE NFRCPSDTYDSVKRSVDSVDCTVMEKKFQEELDEARSMHELAQELERIALLFCARVFF SLDWFKGYWQLALHEDSQMYYSFMTPFGVYTPTRVLIGQTDAVVFYHLHSLTRIQGLC ALAPPTTTADLQQFVCAINWMRSSIPCYTELVVPLRQLLDAATNVVGWDVGHLPCFDK IKESLLTMVSMAHPRVDMMVCLYTDASDSFWGAIATQVPFEDLVLPLEEQRHHPLAFL SGAFTGASERWPIVDKEAFAVVESCKRPQVGALGLVLSSFPYTIGYLSGEDNMWDDLL SRWDAAQAQVSTKSVRCLLAMTGETPPTGVAWNEDKNLFLDKEDRIWIPPSATDLQQR VCIIAHQGAAGHRRIEATTKAVRDGFA H257_11398 MSRPSLHPLTQPASTASASTAQLSHARLDRRTMPRSPRTDPIRL ALHAHSDEPLAEDPRHQGRHERDRETLAQRDKRRRDDGQRPPQLVHDLGQPTDPHAVK TFVNTATKEVTAFDWLNSTRKMHMDELHQAMEQLHREMAATSAKKRRQARDHLTKSKA VQLAIGGFVHVGQVSRQGHKLSLHCAAQARSSGS H257_11399 MAALTSKWPAIRTISCYIHLKRNVRRHKHIRNRFVHMSCGSTEK SIKDVLLSLHAVAVNTDVHIRDDMLALTPFSFDECGATRLKHSCDCAGHYSSGWLCSH TVAYLAIIDGFNIDARLRTLPQRKQNGRPRHPQGGLSVETRDEFFSQENLLKRISKLP LSIVGYKCCKDFKVCEDQDNSSRVWSLHTAIGVVVSHLPQKKRWTAQFEVNGERENVC YDGVKVSSAIHAVFIGGVDVTGGVDLDV H257_11400 MHYDMPPHAIWAVRGGSSKISSGDKHSYRMTAVLSVRTIGEKLP LLFLFLQPQIAQVALKRRHDPDIKWLNNTCGIRRDSSNDAATFFASDLEAFLADVRVE VVAQQNRRLADLGLQQLPQLAATVLVVMRTCAV H257_11401 MILKLRTSSTRTLAADTGIPKSNLSRWRQQTTMIHSFDGNKKRF NLDVAGRPEELPGSASLEAYKTKLRGEERAVTCTHLINFLKRNHRDWLDQYLAASASS YKSLFKLLQRFCARHGFTRQKPAEVNEVDPGRPL H257_11403 MEQRKEVRRRYTNGQRKALLAMFQVASPASERQFCRDNQLPFST WQAWRSREAKIMASKRHNRLATTGGQGHKELIPFGRELLDFMRGRREEERYVRVYHMM NWVK H257_11404 MDSDSTVTGFSVVKSPRGDHTKLLASPHPLDNANVLSKAIFGWA NTLLRDGNQRQLGPDDMWPLQDSNKAATLASIYVSVYATHGKSLLRSFFAIYWVKLIV IAVMQLFTAACDLYGPAYVLQKVVRAVQQPVFDPTATSLLVLSLYGIQVVSAFVKAHM KFMNDVIGFQFGSSLRSMLFEKALKLNAKSKKEKSAGDIANLFSTDVNSVMEFATNMN LIWIVPVQIGIVLYLLYVLVGWAIFVGLGVVFVILVINAVVAIMLGKEQDILFQAKDN RMKVVNEVFGAIQIVKFNAWEEKFLDKLIELRLAEVVSIWKYMRYYLVLMMFMFTTPV LVTITIFATFTLWMQLSLTVEIVFSTLALFKSLQDALFGLPVIIMSTAQCFVSVKRIN AVLLMDEFDPSDVQTPASNAALKAKYALDRTVLAIDQGSFGWHTPSDNTDSASKSNKK VAESSADEDNATTVLFVDVNLTIQQGQFVVLHGAVGQGKSSLCAALLGEMRKLAGTVF VGGDVAYFAQQSWIQNATVRDIILFGKPFDAAKYASVVEACALTTDIAALPAGDRTEI GQKGINLSGGQKARISLARACYSDADIFVLDSPLSAVDAIVQNEIFTKCFLGLLRYKT ILLVTHSLDIIQSPHVHRTFLVQDGTVTESTPTHKRANSPKPISSVAPLRPPTAYWAV DSQDIVDDLVVTPPRYDDHKTLMSEEHETGTLVVEEGRAAGRVSKAVVIEYIGAIGGW WSMIVMLLLTLAVEAIKVGSDMWLSHWSNQSTSLSLADFRANTNHNILIYSILISSVL VYGLRGAKKLFSEMLHSLLEAPMLFFDANPIGRVLNRFGDDILQVDMFIPFSFAPILV QTASIVAKIVTTIAITQWMGLLVLPLMAVYGFLGSYFLAPLREVNRIQKTTRSPLLSL VSEGIDGSTTIRAFGPKYLRRFNRIHDDLLEAFVGARFVAVASNQWFALRVELISCTI VFALLMGVVVMHDAISAGLIALVITYGLSIPANLAGLVNVWARMETALIAPERLYEYI QLTKEGERHTPLDAASTSWPTHGQVQFDQVSYRYKPTAPLVLQDVSFTVKGGEKVGVV GRTGAGKSSLMMSLFRMNDLAAGHIRIDGLDIADMGLHNLRSHLAIIPQNPVLFKGTL RNYLDPFVEYDDDQLWIALTKVQLADRIGADPDKLLGPVDENGENFSVGERQMLCMAR ALLRQAKIVILDEATAAIDHDTDQLLQQVVRSEFATSTVLTIAHRLDTVLDCDRILVF DQGRLVQNDTPAVLVNAGTGIFFDLVTEGGYSLEKQL H257_11405 MYSPRDDESSFGGSTPEDPAHSVGSSSDEDCPLPAPCVPVMTER EVAALVQQVWVCDEWQTLPLDCDLWAGIIPTRLLPVLFPVDCTMANVSIGHSNMPWGG NGVQVLISPIKLFVRGDQVQKLCDSIEAYSVESVFFKKDVLEDLPLLQNVRVKQRHII SFTSRHQISMATLAQCMLDVGISTVFCSNFGVKTRLMDKEWSEHE H257_11406 MTGGASSKGTKGCAFDTVAMASEQLGATSQMATPDSAVPTLGPD DTAYGPGSSTEPTHGPGASTELAPGPDDTAETTHGHDDTTEPAPGSDDTAETTTMPDG TTMPTTQSGVSRSTVALFL H257_11407 MNGPLMLLLLHIARQTQAKDCRIKPPASFQHVPVCDDATYEIFG WSADFNDICGGVGCASRGFRCPRQGDVAIDGCHSNLNSWSEQNRTCIAPEDAVCSL H257_11408 MGRSQLQFRTRGRGGRDGSNGQRGGRRDGRKVETKPLESNAYRF AEDEDDEDDGYHEVGDAVGDGATTKRNLRFNPDIQHQTLGTGGAGHFQTKTMREWDDG IQKQPLAMALDLHEIGRQLQTVAPDKRFQIDSKYCIDLPYKAQPATEGQTTLSPPSSQ IPPLPATNLPTKPSSLTAAATSTAPVPLVAASHQAHTSPQVPVSVVQGTTPAKAVVTQ VLPPPQPETPAPACEIDDELDELLNM H257_11409 MNQDHNKHQPLSLSPRNLGMDDLRDFCGPLGAFKTGLTPRFSTG LTPRTGFTPRTGFTPRDYMSRHGGFPLSPRSGGLGGMGFGQPHMGSGGYSPKGQSILK GRYQEPMSAKQDQHQGHMNPEMMHPLMYEYLNRSQQQAQHNAPSHGVSMMHHPSQQQQ QMSSSMSNAHHSSNMSNGGSSPGSDNLDKQDELDARRRLKNRERVRKCRKRKQDRLNF LEDRNNELEKENGELKTKIMRRGDGSPINKAMTEEALLELRAKQTNTLQLVQAIINEG MMSFEASAKSVWTPNATIVDGVTGEKLTNIDVIVENKRISASVFSNYKIKNFSADWQA HDKAMIKWDIEASLRPNAPSSIALSSPFHQLAPHFNNEMFPFHMVSHVTFSSDKIAEE VRFVDVAKLLSAVVSKQRDPSKMAEIVQAVASSAL H257_11410 MLRLAFKRRLQAWMSTATHTTHCVPLSTRASDDIVVLDLKKQRA PRNVLVVGDGNFSYSKALAGAFIREGITPTTIIATSLDTQNEIDTMYPGASSSISALK AAGARVLHGVNATSLGAHKASFGVHSGFDRIVFNFPHFAEGGNTRNKISKHRTLLTEF FQSCQSVLAPHGQIWVALCQGQGGSPADTLKRNEGDTWQVVPCAAAGQMILLDVVSFP YAELSLLGYHSVGYRLQDRAFHSEGGLIHIFGPESPSTGKPARFAQSWTRHISFWRGD GYSLDALQVALQHVLGPGVDIALTLHDTYHCNKTNHTSLTFHVTFESRVHNFSRQRLN DIVHVIAQKLAVLDVGIVRS H257_11411 MSRSTNNATSTALVLPPQYSTRLFRSSWLTAFSVYSAAQYDLWI CCSMTLLVLLTSLNYWRHPIHGWRRNMDISAVFFGFAYHIYRSLWCASSSHQVVYYGF VLKTLYCYSQARAAPNKDVSSRWHMTMHLVGNIGNLVLYAGGLSL H257_11412 MNKALQKILVVVGTTGAGKTKLSVELAKAFNGEIVNSDAMQMYR GLDIATAKATLEEMQGVKHHLLSCMDPVDECTVIEFKALAMETINDILARGKVPVIVG GTMYYTQSILWKAQLIEDAPGANGDETTASATSTSIPSNPDEAYQALLQVDPDMAQRL HSRDVRRVQRSLEVFYQTGTKHSDWIAKQVGENDETLFDACMLWVDCDRSVLAQRLDA RVDNMVQDGLVPEIEALMDNIQHHLAASPIALGLKGISQAIGFKEFQPYLKAKEEGSP DTTTVLAECIEQLKVGTRQYAKRQITWIRNRIVPRNIPVYKLDTTDVAQWPVNVTTPA MSIVSAFLRNDPSPVPACISQVDGENGTKKVKNMCEPCGNREFVGLVQWHEHLRSKSH RFHMKRLRLLETGQLFERPPPKKAKDKNASDQLTSGVDKE H257_11413 MSKPAVKKPKNPELPTRYLYVANCTVGGTYGVGEQAILSAFGAF GSVTDVYSQDDKGYILVTFEEVAAAARAREAMSGRDDLSGRKLFVQFSDEAPPPSSLS TSLSCTSTTAQVVIPGLSIIEGFVSPAEEAALIHAVDAQPFEESIQRRVQHYGFAFRY DTRDVDIKSPLGPMPGFCTSVVTTLAAVRPDIAPPNQITVNEYVAGQGIAPHVDTPGV FTEYIASLSLGCDIVMDFRLVSNPQIVKHVHLKRGSMCLMVGEARYLWKHGIAYRKHD LVQGIVLERGRRLSLTLRRVVLGGCDDVQTVESVQKPSAVELEHVHGVYDSIASHFSH TRHHPWPLVATFLGALAPGSLVADVGCGNGKYLGVNNQLMMIGSDRSIPLLTVCAKRA HEVFGCDGLAVPLRTGAFDAAICIAVLHHMSTIEHRLQILRELARIVHVGGQIYLVAW AFEQDELSKRKFESQDVMVEWKLQQKYIDVNEPVPAHVQMDNDRKWAVYQRYCHVYKD LELEMLVRQVPGLVVAKVEMMRSNWCLTIQRV H257_11413 MSKPAVKKPKNPELPTRYLYVANCTVGGTYGVGEQAILSAFGAF GSVTDVYSQDDKGYILVTFEEVAAAARAREAMSGRDDLSGRKLFVQFSDEAPPPSSLS TSLSCTSTTAQVVIPGLSIIEGFVSPAEEAALIHAVDAQPFEESIQRRVQHYGFAFRY DTRDVDIKSPLGPMPGFCTSVVTTLAAVRPDIAPPNQITVNEYVAGQGIAPHVDTPGV FTEYIASLSLGCDIVMDFRLVSNPQIVKHVHLKRGSMCLMVGEARYLWKHGIAYRKHD LVQGIVLERGRRLSLTLRRVVLGGCDDVQTVESVQKPSAVELEHVHGVYDSIASHFSH TRHHPWPLVATFLGALAPGSLVADVGCGNGKYLGVNNQLMMIGSDRSIPLLTVCAKRA HEVFGCDGLAVPLRTGAFDAAICIAVLHHMSTIEHRLQILR H257_11413 MSKPAVKKPKNPELPTRYLYVANCTVGGTYGVGEQAILSAFGAF GSVTDVYSQDDKGYILVTFEEVAAAARAREAMSGRDDLSGRKLFVQFSDEAPPPSSLS TSLSCTSTTAQVVIPGLSIIEGFVSPAEEAALIHAVDAQPFEESIQRRVQHYGFAFRY DTRDVDIKSPLGPMPGFCTSVVTTLAAVRPDIAPPNQITVNEYVAGQGIAPHVDTPGV FTEYIASLSLGCDIVMDFRLVSNPQIVKHVHLKRGSMCLMVGEARYLWKHGIAYRKHD LVQGIVLERGRRLSLTLRRVVLGGCDDVQTVESVQKPSAVELEHVHGVYDSIASHFSH TRHHPWPLVATFLGALAPGSLVADVGCGNGKYLGVNNQLMMIGSDRSIPLLTVCAKR H257_11413 MSGRDDLSGRKLFVQFSDEAPPPSSLSTSLSCTSTTAQVVIPGL SIIEGFVSPAEEAALIHAVDAQPFEESIQRRVQHYGFAFRYDTRDVDIKSPLGPMPGF CTSVVTTLAAVRPDIAPPNQITVNEYVAGQGIAPHVDTPGVFTEYIASLSLGCDIVMD FRLVSNPQIVKHVHLKRGSMCLMVGEARYLWKHGIAYRKHDLVQGIVLERGRRLSLTL RRVVLGGCDDVQTVESVQKPSAVELEHVHGVYDSIASHFSHTRHHPWPLVATFLGALA PGSLVADVGCGNGKYLGVNNQLMMIGSDRSIPLLTVCAKRAHEVFGCDGLAVPLRTGA FDAAICIAVLHHMSTIEHRLQILRELARIVHVGGQIYLVAWAFEQDELSKRKFESQDV MVEWKLQQKYIDVNEPVPAHVQMDNDRKWAVYQRYCHVYKDLELEMLVRQVPGLVVAK VEMMRSNWCLTIQRV H257_11414 MSSFDDIFGDSSDMMSAPTTDSVNANASISLESLGLDDLNLGDT KPSTQPQPTTSSATTEDPSDFLDWLDQKPSVKASDELVVSIEKTQAISDADMFSLDDD TPITTPTTVVATPMAPPGNPFAAPISTNVATTASSLTPTPMTPLPVTVVQAGANAFSV PPPMPAPSTKQPTPQHLSNKSETSQSAKSLPHSNSNAPLAVGLPPSNHASVTPPSVID VSTRESTPLPHSVPRVDSVQDGSKHSSFDAFTSQHSTESGQDPSMQPSDDTIKANDHL RATYRQANKIPAAERLHAWTVLLEAKPLDPAPSSSAASLTDETKKDVLASCALLFGDG AFCNVLKQEGVPLDSARLTMSDSVEHLLRALVARHKLPATPSIKLGSLFTPLLALTSA DPQRPDVFGVMDAVLARLVPSLHVSTAVVADARRPLVKLLVLYHDPTIALHLDHTLPH WSDSRSGILPDSWLASLFEGTDHTNTIPLPSLSNIWDCLIVNASATYPSIVGVFVVLH AILQSKKRLLSLTNSTSLQSVMTQLLVETLSQSPPQLMQQVQALIDKTPFSFCTKLCD AGMEPPSEKPASRTNSLSNVTTSPRTDSKEKLSTTGGPSMAKFGASLSSMNSKFFAGA SKLTASMMKSESDKTSAGNGSSRSDSFHLESIAVYFSMTISACEVIPSVFRGFKSSCT EKIRYFVVDCRPQEYLEHGRIPTSFAFNSESLVDPAAFDSVMETLEPIKSSVHICIMG HGYARHANHMVKDLNIPKSLVADMLAKDAAQTNDAVLFLAKRGFPYVSVIEGGYASTH RFLTKSRLFALSDLTDHDPKGCTLCQQDAALKARGRASSVSHLSDEEDEYIQRTEGGV CLGRFGPDGRKKRDSHLSSSTSSGGRASPASTYFNSMTNVLKDSTKTMAAVSSKTLKA VPGSDKMTDALKDSRNWMLKKTESIHLNDVSTSMTTGMRSVVDVAANPGTMLKKAANS FASTLGNAEPPMMSPTSAGSAPVVVAAAAQSTSSLSNAKKQPYSKAKEAVFSIDDDDE DDEPDSFMGEAGTDGPDHIGGSIAAPSAAIHAVAKHGVQSLKKGMQIRMGDLLPLVSS PLFSCYKKKARGTETLMLPRHVVIAEDHIVVLKADKVQDAMSWVRSCHHLSHIARMTC MKKNALMVTFYLSFDGKQKQKAYEVQQRDALIKVVRTSMELLAAQKEGDDDNDDGIHA H257_11415 MMAGPQDAGDGVSMDVWRAVRENNFEAVEYLLQEGEVPVDERNS MGETPLHIAASRGLDGLVDLLLRHGADPNTQDKESGYSALHRSFLHGHINASLLLLNG GAILNVEESVKCPLAHDRLSPLDLLSWRLQRPTTDTSSPVEGEVYTFGKVDFQLGYHL PHGDEQCTPRRVKFAPGVVLSHVSAASYHTLAVSSAGRCYSWGFGKGGRLGTGAEFNC IHPVQLHFPNHRIVVKASAGENHSLALTSTGQVFSWGSNSFGQLGFPAKQTCAASRLS PKRIDGLKGEVVVDIAAASTHSGVVTSNGQVFTWGSNKKGQLGRKEGFGTDQALHTPK RVDSLLPHHANPAVPTDATSVVATKIAVSCHHTCVVLQVAKDDRLPQGQVWQWGMGGY FPSQVLLRHHSRDETLRLLHQNVWLPRVQQYPISIVDISCAPLHSIGLCAVGNVYVWG HGPNPLAANGRNLVPLTDRATSIAAAKEHCAVVVASGDVYTWGCGTLGHEGRNWLPTP KRVANMKQAQAVVAGPHHHAVLVTPRRPMLDQSVGHDTLVDRCQHVLRQQVSLQTVVT LYAKADLLDMAALKTMCRQFVALNLDAVLEMTRNAEDWPIELTDQVSQQRQMIQRDVP RKVVPLRAAAEIPVKKDVEKKLRSLQKRLDQLGQLELVVSPTDAQKAKLKRKPDLLRQ ILELNEQLPQPNAVARGSPAMRPAFAAKSIAGGKESNLKQSTQLLPLPFEQDKRCTVP TPAVPQSKPARMDESTTTTLESSRPVATLEETKPTHQRKSRAKFVPLNSFLDAQAAKP MTPSVVPHARSGRSPSPMMSWAAPPSQPPPMKLPPRASASPASGPMASGGNASATGAF SLESFMKPCRRSKKATNDKAQPHTPWHQAAATTSTHSLTAIQAAEVVQVKHTWNLKKN QWGLCHIENVNLMDIQSIELDEKHHVDALVAQDMEFARKLQAEEYAHVRRHGGASQHK PRSKRRGTTSVRAA H257_11416 MDDLQYSFVAKPRAVQNARGKHRKKEKASNAVFNIMNDPRVARG SVYAAANSIHIKRDNAARVSQIPPNQSSGPQKSFKKQTIFEAPTTLQTCMQSPFGISH TPHATVFPVDLSANLIEHTPHVDETEVSTQTDTFLPNNKHKKPFVLKKTGIEASTEIA ESDGLFDFDVEVSPLLTVLVNKTLRQAMCEVEWEDELKHIALYLEELHTNKALDAEAV RELVAQAKKAYAIKDAEKVEQRRRVQESALVDEKVAAVSLSRLLMSQVVEDTTVALTA QGVFYDPLRRQVEGNFMAWMYSAADTIVKTKQSARLLVEDMVRSSLQHQRLLHYVDSL HTQVHDNMVTFPVANVPGLDAIGPVLYSRNVPEFDRHIDAWVHENAPTVARPPVGFLA DIVAGIK H257_11416 MDDLQYSFVAKPRAVQNARGKHRKKEKASNAVFNIMNDPRVARG SVYAAANSIHIKRDNAARVSQIPPNQSSGPQKSFKKQTIFEAPTTLQTFFPVDLSANL IEHTPHVDETEVSTQTDTFLPNNKHKKPFVLKKTGIEASTEIAESDGLFDFDVEVSPL LTVLVNKTLRQAMCEVEWEDELKHIALYLEELHTNKALDAEAVRELVAQAKKAYAIKD AEKVEQRRRVQESALVDEKVAAVSLSRLLMSQVVEDTTVALTAQGVFYDPLRRQVEGN FMAWMYSAADTIVKTKQSARLLVEDMVRSSLQHQRLLHYVDSLHTQVHDNMVTFPVAN VPGLDAIGPVLYSRNVPEFDRHIDAWVHENAPTVARPPVGFLADIVAGIK H257_11417 MYVVHRDSKSPIMRSTTSKHRQYGTLLAGMACLGLMFISGAWSH REATVIARHLTGVGHTEPPCLTDADCTGAGSVNRSNVCVEEVCRPRPLFPFNSVDLAG TLSAFICIVISSGGGLGGGGLLVPLYIIVLGFNSHDAIPLSKATIFGSAVASCLLNIR KKHPLDPQRQLIDYEVMVMMEPMTLAGTIIGVSMNKVCPEWIITMLLVALLSKTSHRM MQKGKTIWNQEAVKDRRRQETVLQQWTDVVRAAKMSPAVVACAKQWLAVTNQRRKKED EADSRLSSTEVALSMANPIDDEDSDFDDDKVSDDEFLLKKAHLMSLNGVVIKPPQVAA LEELHEYKRSIPWGDLSVLLVAWIGLFAYSILKGGHGAPSIIGLVCGSMAYWSLTMLA FPFFVSVTSYFGFKILHRHELMQSCGYAYVPGDIQWNRRTTLIYPSLCTVAGVAAGLL GIGGGMVKGPLLLEIGLHPQVASATSTAMILFTSSATTIQFIVLGMLPYDYAAWYGAV GFVGGIVGQLGLSYLIRRFRKTAFVLFVIAGVIGVSGSVMGVLGVRDILNHGFRGFRS LCYNV H257_11418 MWTQWLWAVGCVVLGLLLQTALHDQHPHPGQTMCDARKLGLVVI GDHGSLGRGLLHALDTSSQAEFCGASVHIAAIGGDRGYIKHPHGLSWDHIRTLLNHTT IFHGSGLQQGDVTVAHRPMDDETSEQVEVDADDDGDDFQRRDKLRDVLGDLAAFGHYD KFIVVDCTSTASPSHVEDLALAKSLGMGLVLANIHVVAGPWATYASLVLDTTTKTKQS SLVAFEATVGKGLPVLSTIRRLQASGDHVTLVHGTFSDAVGHVLAEMEAGNSFGDAVT TVYENGWTDDDPRDDLTGLVLARKAVVISRHLGKQVAVKDVVVEGLIPRHMLNGTVAS FFNVVGDLNEEFKAKGADAKANGNKLVFVAKISDGGGQVRIGLQSVDHTHSLHASQHG DSVVEIQTTNFPHGILVQVAKPDATGTVAAIVADIASLSCILFRRCNIP H257_11418 MWTQWLWAVGCVVLGLLLQTALHDQHPHPGQTMCDARKLGLVVI GDHGSLGRGLLHALDTSSQAEFCGASVHIAAIGGDRGYIKHPHGLSWDHIRTLLNHTT IFHGSGLQQGDVTVAHRPMDDETSEQVEVDADDDGDDFQRRDKLRDVLGDLAAFGHYD KFIVVDCTSTASPSHVEDLALAKSLGMGLVLANIHVVAGPWATYASLVLDTTTKTKQS SLVAFEATVGKGLPVLSTIRRLQASGDHVTLVHGTFSDAVGHVLAEMEAGNSFGDAVT TVYENGWTDDDPRDDLTGLVLARKAVVISRHLGKQVAVKDVVVEGLIPRHMLNGTVAS FFNVVGDLNEEFKAKGADAKANGNKLVFVAKISDGGGQVRIGLQSVDHTHSLHASQHG DSVVEIQTTNFPHGILVQVAKPDATGTVAAIVADIASLSCILFRRCNIP H257_11418 MWTQWLWAVGCVVLGLLLQTALHDQHPHPGQTMCDARKLGLVVI GDHGSLGRGLLHALDTSSQAEFCGASVHIAAIGGDRGYIKHPHGLSWDHIRTLLNHTT IFHGSGLQQGDVTVAHRPMDDETSEQVEVDADDDGDDFQRRDKLRDVLGDLAAFGHYD KFIVVDCTSTASPSHVEDLALAKSLGMGLVLANIHVVAGPWATYASLVLDTTTKTKQS SLVAFEATVGKGLPVLSTIRRLQASGDHVTLVHGTFSDAVGHVLAEMEAGNSFGDAVT TVYENGWTDDDPRDDLTGLVLARKAVVISRHLGKQVAVKDVVVEGLIPRHMLNGTVAS FFNVVGDLNEEFKAKGADAKANGNKLVFVAKISDGGGQVRIGLQSVDHTHSLHASQHG DSVVEIQTTNFPHGILVQVAKPDATGTVAAIVADIASLSCILFRRCNIP H257_11418 MWTQWLWAVGCVVLGLLLQTALHDQHPHPGQTMCDARKLGLVVI GDHGSLGRGLLHALDTSSQAEFCGASVHIAAIGGDRGYIKHPHGLSWDHIRTLLNHTT IFHGSGLQQGDVTVAHRPMDDETSEQVEVDADDDGDDFQRRDKLRDVLGDLAAFGHYD KFIVVDCTSTASPSHVEDLALAKSLGMGLVLANIHVVAGPWATYASLVLDTTTKTKQS SLVAFEATVGKGLPVLSTIRRLQASGDHVTLVHGTFSDAVGHVLAEMEAGNSFGDAVT TVYENGWTDDDPRDDLTGLVLARKAVVISRHLGKQVAVKDVVVEGLIPRHMLNGTVAS FFNVVGDLNEGYTVT H257_11419 MTSTSVQNALSLFDDDSDDEEVEATAAEINDVHLEFTTSVTSST SIDHDESSQYQPTLWTHVPPDYLGPMEFRANEDEFGGGRGYYAARDIPAGTLLIRERA YVLWPDVDDRSALLLATVEQILQCDDADEIATNLAPLHPVVLEDLPATLLDAAHEEYT ASLTALLARYGRDSDDNAIVRRWLQVVLGMQCNAFTSGVFLHTAMFNHDCNPNCVKFT PESALSVSEVRAAKAIKQGDQLFISYVYPREQSRERRQAQLVRQFGFTCRCPMCGRGD SFSAPPQAAETPQGADKTLDEIESDLGVLEDLFAEHASNNASQVLHAALEALSDLLEV VAHDHVVMIRVHKLVADSCDSLIKRQKNVQEHAILFVRSCVELLELQRLFLDHDHIDL ARTLNDISQGIRLLLSYSPQVLLDEFPEWATFRQASIVESQYTKEYKRIKALYE H257_11420 MNIFRLIGDMTHLLSFLVMILRLQASRSATGISLKSQELFFLVF ITRYLDLFTHYVSLYNTSMKLLYLGLSGAIVYFIRFKEPFKSSYDKSIDSFLHWKFAV LPCAILALIFHERLEVMEILWTFSLYLEAVAIIPQLILLQRHGEVENLTSNYVVLLGI YRAFYLINWIYRAATETQYHTIWPMFIAGLVQTGLYVDFFYYYAISKYSGKKMTLPN H257_11421 MTIAHGIKKHLLVLSTGQHVGKTTTSLGLVAHLQERFSLEVHGT TTPKKEVAYCKPVGQQHVPVGGGLRVDKDSFLFKEHFGLVHDYKDMSPVIFPDGFTRD YIDGKVTAAELTESVRVAHRHLMDTSEFVVVEGTGHTGVGSICDINNAQVAAELGMDA ICIALGGLGSSFDQLALNREMLLKHGVQLKGVILNKVDPAKLDMVQDYFSRALKRWDV PLVGCIPQLDDLSHPSMSDYSKLFHSDLISGHDAKLRYFAHVRLALAPVDSRNVFKPV PSQLVITHSGRGDVIDAILANQAEYRRRGENLHPGLIMTGWDHPSPSLIARLNEGNIP CIYVPPTTCDSFQLTAKIAGFTAKLNRYDTKRLHLATQHVRDHVNFDLLGI H257_11421 MTIAHGIKKHLLVLSTGQHVGKTTTSLGLVAHLQERFSLEVHGT TTPKKEVAYCKPVGQQHVPVGGGLRVDKDSFLFKEHFGLVHDYKDMSPVIFPDGFTRD YIDGKVTAAELTESVRVAHRHLMDTSEFVVVEGTGHTGVGSICDINNAQVAAELGMDA ICIALGGLGSSFDQLALNREMLLKHGVQLKGVILNKVDPAKLDMVQDYFSRALKRWDV PLVGCIPQLDDLSHPSMSDYSKLFHSDLISGHDAKLRYFAHVRLALAPVDSRNVFKPV PSQLVITHSGRGDVIDAILANQAEYRRRGENLHPGLIMTGWDHPSPSATSHAFTCRPR RATASNSRPRSPGSRPS H257_11421 MTIAHGIKKHLLVLSTGQHVGKTTTSLGLVAHLQERFSLEVHGT TTPKKEVAYCKPVGQQHVPVGGGLRVDKDSFLFKEHFGLVHDYKDMSPVIFPDGFTRD YIDGKVTAAELTESVRVAHRHLMDTSEFVVVEGTGHTGVGSICDINNAQVAAELGMDA ICIALGGLGSSFDQLALNREMLLKHGVQLKGVILNKVDPAKLDMVQDYFSRALKRWDV PLVGCIPQLDDLSHPSMSDYSKLFHSDLISGHDAKLRYFAHVRLALAPVDSRNVFKPV PSQLVITHSGRGDVIDAILANQAEYRRRGENLHPGLIMTGWDHPSPSVRGIHV H257_11422 MDTRPAEKVVLREYTEYRFEVHGDEEVTIRLHSGTAELFGVELA KEKEYHFRKCQVAIFTYHGCELSLQGERASAYTSSDETPMPPIVNIHTQLDQIRRHAL ETRGAGPRVLVTGPSDTGKSTVSRILLNYALRMSMKPTFVDLDVAHGSLSVPGTVAAT PLEMNCLSVEDEFILTAPLAYFYGHAEVKENPELYKHQVSELATRVNERLANDADVNA SGVIINTSSWIDGAGYQVLLHCIQAFHVDLVIVLGQDKLHSELQRDLASTASTSVIKL PRSDGVVQRSNQQRHQLRMDRFHEYFYGKHLHSTLPMQSPFEFAPHSVDYDVDDLHIY RIQDLSVSQVMLPVGQTHDMVRLRVVPADLTSDLNHCMAAVSHPPSGNGDRNDDDDEQ QLLGSSAAGFVLIKSVNVAQGKVTLLVPCPGPLPSKNLIVGTLKFME H257_11423 MLLLRRASLQTATVAVRGPRRWCHANSSKLPDHIKIRMLDMDIT QTIEGSKLLRWFANEGDKIGPGKPICEVETPDLLFSLESEDEGYLAKIFVPAKSDHVR PNEVLAVIVPTEDDIAPFLTALTEHPDEIEVHKKSSTAITASDSTPTDGADLLRVLSH LHKEGAFPSEDVYKTLKSLARKNDEQLLLVYKGSFEEDNTTSGFDKDFFVENCVDLVD EKTHEGTPSS H257_11424 MDSDAEAEEIASDEEIAGVEDDQAYSVDFDEDLAPSPVKPAMVK GKTVLPSQPPTKPIKAVVATLPPKVSKTPPIVDDDDEEPDYGDDEFEKDDDGYGDASF ENESGRASSPRHHVNLSVPTRPPPPPRAIEKSPPKPPKLSPAQLPPSPPLTRIDEAST NPPTREASRYIRQLETQLLDENDALKHQHAQLTRTNNDLKNELRFLQQRHVDEKRLRS EKFQQKKKRADERRLQHELVLVTTKQTLQDVEAKYVALESVMMAQTQAMELLQTTFEA CDAEKRVVEERHRVLSDKYQSALQDIHALNGKLEAAVDVRQHTQQKYEKAMLDHKVAL EVVEQRCLVKLQCMQEAMDKATAERHQERIELPENYRLIVEAQRERYEKLEEKLLQDK REMEDKATRERDRFDKALAMAQQQRIQAEERADAKIRDEAIKVFRERDAIDDQRRQLL TSLATQNARLDEERGKVDALRTQLEEKRLKLVQDEITVEAQAKQCHDRLLQLARDEDT VNARKREVLALSASTLEKSRSHTDVVRALEQLQIAHAELQDKFNALSRQSTQQTADHD HKFQLVEREKTALERANAALGHEKLQLAKARMECRQMMEGTRKLDYLLRQQAALGNIY VHPPSNQFKPPPSWSVAFDADKEASMY H257_11425 MQIFVKTLTGKTITLDVEPSDSIDNVKQKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGGKVHGSLSRAGKVKNQTPKVAKKEDT KKQKRGRAKKRIQYNRRFVNVVGGAGAKKLGPNSNAPKN H257_11426 MSRRHLLSLRYCDEEVVNNDEPTAAAPPATQRNSTTECPMMHTW SVDELSPPRSTTPAINEDLERRLDLPSAPKVDASTAATSPYHCSSELARLSDVKADPV IEVFRASPTPKAALGATEFRALNSFASTEAIQAACVKITTPPSNRRDATLTSRQDADA VVAFSDSDDDDIIPATQRIVGPISSHPRVASSSLQSPAVQCPSCGKVLTFLNERGQLQ HVNACLDQLEQFAHNKATSARSSATSSVAITHIGQSAPSTPAVMPCTVCGVDLVTKTS SQRVNHVKQCGRRFGVTLHTLRIDDDEAPSPPPQVDLATNKPAVSTPNAFDMLMKNAQ TSSMTVPTSTMGILQPPPPSSKKRKPSGGQRGGGAWSFKSKEGMPCPQYKLIAGSSIV VDGFQYAKPSLSKVYFLSHFHSDHYTGLSKSFSAGVIYCTAVTAKLVLLCLGVNKKYI HPLPLNQPHVLADQQGQVTLIEANHCPGAALFLFQLRGGKTYLHTGDFRYDPTMLDNR FLMRYAHQSPALDGVYLDTTYCEPQHCHPTQTVAIDEAKRLVNLHDADRALFLFGSYS IGKERLFMDIARHLQRKVYVERSKYSLLSCFDWPDEDMAMVTLESSATNLHVVPMRSL NFDVMGGLLTKHRLRFHKVIAFQPTGWTFSGKKHKLSSTRMQMDGKLIIYGIPYSEHS SFEELCAFVTAFKPTKIIPTVNCAKSQKQVDLLRQTCFHNLTHHFK H257_11426 MSRRHLLSLRYCDEEVVNNDEPTAAAPPATQRNSTTECPMMHTW SVDELSPPRSTTPAINEDLERRLDLPSAPKVDASTAATSPYHCSSELARLSDVKADPV IEVFRASPTPKAALGATEFRALNSFASTEAIQAACVKITTPPSNRRDATLTSRQDADA VVAFSDSDDDDIIPATQRIVGPISSHPRVASSSLQSPAVQCPSCGKVLTFLNERGQLQ HVNACLDQLEQFAHNKATSARSSATSSVAITHIGQSAPSTPAVMPCTVCGVDLVTKTS SQRVNHVKQCGRRFGVTLHTLRIDDDEAPSPPPQVDLATNKPAVSTPNAFDMLMKNAQ TSSMTVPTSTMGILQPPPPSSKKRKPSGGQRGGGAWSFKSKEGMPCPQYKLIAGSSIV VDGFQYAKPSLSKVYFLSHFHSDHYTGLSKSFSAGVIYCTAVTAKLVLLCLGVNKKYI HPLPLNQPHVLADQQGQVTLIEANHCPGAALFLFQLRGGKTYLHTGDFRYDPTMLDNR FLMRYAHQSPALDGVYLDTTYCEPQHCHPTQTVAIDEAKRLVNLHDADRALFLFGSYS IGKERLFMDIARHLQRKVYVERSKYSLLSCFDWPDEDMAMVTLESSATNLHVVPMRSL NFDVMGGLLTKHRLRFHKVIAFQPTGWTFSGKKHKLSSTRMQMDGKLIIYGIPYRYIC TCIGPSWL H257_11426 MSRRHLLSLRYCDEEVVNNDEPTAAAPPATQRNSTTECPMMHTW SVDELSPPRSTTPAINEDLERRLDLPSAPKVDASTAATSPYHCSSELARLSDVKADPV IEVFRASPTPKAALGATEFRALNSFASTEAIQAACVKITTPPSNRRDATLTSRQDADA VVAFSDSDDDDIIPATQRIVGPISSHPRVASSSLQSPAVQCPSCGKVLTFLNERGQLQ HVNACLDQLEQFAHNKATSARSSATSSVAITHIGQSAPSTPAVMPCTVCGVDLVTKTS SQRVNHVKQCGRRFGVTLHTLRIDDDEAPSPPPQVDLATNKPAVSTPNAFDMLMKNAQ TSSMTVPTSTMGILQPPPPSSKKRKPSGGQRGGGAWSFKSKEGMPCPQYKLIAGSSIV VDGFQYAKPSLSKVYFLSHFHSDHYTGLSKSFSAGVIYCTAVTAKLVLLCLGVNKKYI HPLPLNQPHVLADQQGQVTLIEANHCPGAALFLFQLRGGKTYLHTGDFRYDPTMLDNR FLMRYAHQSPALDGVYLDTTYCEPQHCHPTQTVAIDEAKRLVNLHDADRALFLFGSYS IGKERLFMDIARHLQRKVYVERSKYSLLSCFDWPDEDMAMVTLESSATNAHAQFEL H257_11427 MTDAPQTPTDTHAYTKADSSTNATISPFKREPSPDFEPDNTTPH NTAKPQECPPPGAATCVRRFGRWYVGDKGTTGKRYCGARISRGPFIWLHVVGVFVVVA LIVIPIFTAVVVPKMIQAKFDEAIRLNPFNEVNRSAPSSIPPTSPSSASSSMPSSFEV LPDGSAADFRFNLTLGPLMSIGGTVELVGPTTFYIADTDEHEWAAVTILHSVSFPVSS ATHLSILGNFSVFDTPSQACIDAIFPTKTIALVIHTQWTISFWGFNWYRNLPLHSRYD MPLSTTLQEQFDHVMQQHPFASSSSPKGTSSLPPSPSLNNQSVAGESTTATTFEYFSK GTLPWNFRINTTIANLTVLPGIVEIVGPTVFAISDVKGKGWANVTFDSVLFPLHKTTR LSVYGNFSIYSLPTPSVVAAIVATNQFVMVVHTWWTIKAFGNVWFPKLQLQSHFDLNS DTGAQLWSSIKCRIYTC H257_11428 MMYATGQGNIVDRTAAGTTSEDLTEGSFASNSGWWSDDSDEGER HSIMMDTGTTKLPSPPSESMASYLDKLYCMLESCPATVVSWSRHGTAFAVYNRDVFET TVLPHYMSPVKFDRFVRQLTSYGFRKAKYTVNGMAVWEFRHPNFVKGHQQQTIIRRRG RGPRAAPEVPAARLNRPPDRQLKSALIDMMAVVRNLKSELADTKALVIAYARLHHGNK E H257_11429 MSDMNEDVVDNEDEPLGAAVPDIPVGILPDLNHMEGTYLERLYA MLDQCSPAIASWTPNGTAFVVYDVEALEATVLPQFFKPIKFASFVQAPQLGKRTRRML FNAASAPEDPT H257_11430 MVYPDSMDADLSEFLLEGSFEQTSDDVGSSDDAIDYDASTESHL NQYAFPVPRVTIQEEFHAMHRPNFAERTTKYLEKLYTMLEQCPESIATWTQHGRSFAV LDANALERTIIPKFFKPIKFDSFVRQLNSYGFQKAKYTVANKAVFAFRHANFVRGESH LLQAIQHRRRGKREQLLTAPVVVPDNVVVVAAPSPDAPITLESIVSFVRTLQQELADT KTLVHALMACQPLPPTSPDRS H257_11431 MGVLNKLMNLTSLWSQGCLALNSHRLLLQQVLHQSLRVCEFSLK RLYKRHEFAQGGLDVAVLAFGNWQYRCHRRSRCIAALDASAALDGLELVRLTAHKVPV AELKHDLVLEVVLGFAEPVRVELSGKAFKLDGFEKLGQNRLLQQVAVEHGKRRAVLRP RGNCFRALLQHHVQLLQVLGRAFGKVEVLKRRRRQLFLVGTAPRCGDGLHVQERRVVV VHRVFAAGAARRVLEDAFVQ H257_11432 MPASLFTFAHSPNMDDNTLRHSLDTACDVDIQELLMACQVNVQT LDLLPSELNVQDLQLESTDVDISALLADDILEYSTGDDWLDMDGELLIDTYSSDESTD PIDVASDSLDHSTINQGPTEASEKATIYLAKIHSMLEQCPPAVAAWTNGGSSFAIYNC TTLEKTMLPLFFKPIKFESFARQLNSYGFKKTKLLASRTIVYEFSHPRFVRGQLDQLQ TIKRRRRRMQRLSAHGVEDMTDGEFRASFGDMVRFVQTLHAEVAEMKNLVKSIVESSQ NGNLAAP H257_11433 MILDTKVVELQATNQGGMQTAQGDDETKVAQGDDIDMPSSTPLN SSPRQQLLGTIHIELRSTYLQRLHNMLERCPANLASWTTDGTSFAIYNPHALEMHVLP QYFMTTKFTSFLRQLSLYRFKKTHYTASNGVMALRFQHKQFTRNDPNAVVAMVRRYRA RPSQSKAQQHNAVSREELKAILIGLLESVRTLQSELSKTKASLLAQHNEAKHASENTS VQNVPGP H257_11434 MTPPFDDLGDISDFLRDGLFEYASSDDSANQLHASSASLSPPPP RSSRSSSVDGHDDDEKSASYLQKLYAMLNECPLSVASWTCNGTAFAIYDPESLEKVII PQHFQPIKFESFVRQLNSYRFKKSKLVCPDTSTVLQFQHSSFVRGRPELMESIKRRRR VRRTGPKSVQDMNDVELRTAMTDLVKFVQTLHTELDETKALAASLAAKKAT H257_11435 MSHIDVYINDLLLDGLLDYVSCDSISDDSLDGAFATDSSGIEDT WKEELPASAMKSETPDSADKTTKYLEKLYSMLEQCPEHIAAWTQNGTSFAIYNSDALE KTIIPRFFKPIKFESFSRQLNSYGFRKSKVVVSDVVVFEFKHANFVRGKSDQLLSIKR RRRVKRSATKSVDDMNDDELRSAMTDLMGFVQSLKQELSETKALVQSLAKGANVVVL H257_11436 MSSSSPEVVGKAIPRDNGSNGAADALPALKHKKKSKKKKKKHGG LLAVSSPLGPVASNGPVVAELPIAAAASPIQLRTTKTKSKRKSIVQAKRPLEKALSEV EVASKRPRGDDTVDVAVAKPTSFEDAVRFMQKHVKQGDSSESDDDRRKPPATRSFPTQ GLHAAPGSDDDMPPHQTQRAKTSVKPVKAMTKNKIATPTAPIVQRSDAWKADRTTPPA VRVASSKQPTAATKRSAAGTKVKKGEDAMPSRVLVKGKQAQAQPKREPAYNHGHALST VIGRKPLVVKLATATAKPPPALELSSESDDDRQSAAPKPTDQVTPAAAQSTSLDALLL QKTLHFPTDNSSDESDSAAALPRTFHLPQPDDDDDDDDVDTKEACRPKPPASSGHTIM GRASSEKSNAQVVSSNVANKTPTRPSSARSDTDSHQKERLPSSRPHHTRPVFDATPPT SVTMQGEGGSDSEAEAVLTPQKFRAADPASSDSDVAEVKVTKRPSAAPARRWTNRPTV VSSPLASNGTTISKKRKMAPPTGSGAAMLDEAVKVMQKKLYPTLQGTTGGTPTTTSAL SKAGKTTFMNALIDSAALDQWNLVEMGRLVRLFCHQWGCKRKKTARFLLQNCPEFVCA EFLEGLNITLKVDQIVGLMRRGSTSMAGFGSKLCACIESDMIRLGDDAFLACVADVVD LATMTQDEIADFVSPLIESANYMADACKLLHAVCEHWPVDVMQSFVQRVLLLNAVFDD LEGDPADMSKYFPHCAFDLPNRMLRDLEDMDENGNLIDFCTGEDDIEYEQRTSADELD ALDDLIDNSPQKKQTKGAKSANDDSSDEPDSDDDDDPHVVLGDEGSDDDQVQQWHQGP RSSMNRRRKRSMFILDEASEEEEYESSDDEDDNDMDMATYNSDGEMAMPGDRSNIYAE QPHNHDQHDKSCSEDMDVDEGEYTKNRGGADSESDSDDVQVEGYRDEEDEEPTVESAK AGADGGSSGDDVAADGDSEDGEQAQTESQDDVASGGERDSSDDDDDE H257_11437 MDADARRVVKFAQRLNALPLLKKQVLVVEVFQNQRRRDHKWHRK YLGDIPTFSCRDGSISCDRFPKHLPPPPGYVWSDRWRPCLTGPCDENGWAYAAAFDHL VHVHDTSTSARDSDCVRRRRWVRTLECSLLAFPWKGERLGVSLVEPPDLARQKCVQVL SMTPLPMYLLPVGATRAAAVKYVSKEDLRAHAVLSKGDLLLRVNDVDVSAMPFHDVVS ALEAAFEAGSMCFLRFAAASGHIRIHAVTRAARKCNLAPGFRLVGLNGRSVKHLRLLD VECILRQAPRNACVLHFHQTDNDQHRLPPPFVVVHRNVLSVKERALLSPRPRGSATTV FGWGACSATTTTTSASHKWLSLGSRVSALFALSS H257_11437 MDADARRVVKFAQRLNALPLLKKQVLVVEVFQNQRRRDHKWHRK YLGDIPTFSCRDGSISCDRFPKHLPPPPGYVWSDRWRPCLTGPCDENGWAYAAAFDHL VHVHDTSTSARDSDCVRRRRWVRTLECSLLAFPWKGERLGTFSRRSILVRRACFFQWF RVGVSLVEPPDLARQKCVQVLSMTPLPMYLLPVGATRAAAVKYVSKEDLRAHAVLSKG DLLLRVNDVDVSAMPFHDVVSALEAAFEAGSMCFLRFAAASGHIRIHAVTRAARKCNL APGFRLVGLNGRSVKHLRLLDVECILRQAPRNACVLHFHQTDNDQHRLPPPFVVVHRN VLSVKERALLSPRPRGSATTVFGWGACSATTTTTSASHKWLSLGSRVSALFALSS H257_11438 MNGADSSDDENGVLYFLPGGIADDSPPRRTLDMSSPVPSSFGYI GAAQSSTTTTQNFRMTPVAATEPNNSLLSGLGGVAVPNKPAARDRPLGGGGFGSFGGY GYGGRFGGSPGESSTPANGGGVGSIGSSSFLGPNTNAGAASSQPIQTPSSSTFARSPF MSTTTSSSGGPLRAPPGLDIPLPSAAGSSFLQPQHRMLDRKDESLRAAFGFLQHSSPA PTTHLEQPPPATASNMFGRSGYQQSNKDLAELNTTSVVVVQKPPSRLHLEDQHDYGTG SNSIGVHRFRNTFLEPPPSDDLGTSPSSHPPPVPVRAGSTPRVTGGGRPYGSEPKERG KDKFHGKRHGSPSSASSFDSDVHAAPSSSTLNSTPSSPMHFVDQRPVSSSPHVAAQPQ RVVPGQIRIKQRGGDGMSPKKPTAEVPVASGPQRTSSRGAATSTAVPPPHSTRRPLYR EKFPKGAPSDGPPPLATVPKSDRSTPRRGTRADKSLVSGGAAAPPSIPTDVASTTTTT TNTRRTATKANPVQQARPHVSPRRENLYSSLLVDEPIAAPVLEVEGEGPTAPDESTDG DEMVSQDGRGDDDPSSIPAVANKASNVEDDNVCVGRDDSDEDGSAQDNVSTSADTSPS SSPREERVKAHDDAATPDDLPPLEEDVMTPGPSPIACHDESPDKPEVVASATPPQPQE FHPPSSEDDMPARPPSSSKKRSDKKSRRTTDASSAAVSGAAKGSVDKRKGDGSGLKQP SSSHKKQDPHGKNDADASGAHPAGSPPPQRTPDDDDDDDDLFAPVPRSAWSVAKSAVV STCVWLVAFSGASTGLPWLLVHAHWLASTLLSLAFHCGFHVLSFGLKFHRFVVRGLIF NRNIASCFAFLYLFPLLVQYVIPWAPPWAPVCLWYAFLVQLFCTQGSTAMVATFRILL PLVFLVEGVSHHSFLLDLNGAELLLISFILSAVKTGNLYSPVFFLSMSLQCLSAVFLG SEMFVQWIQLAVALYSLHAMASDEWGDVEDNTTSFSQSSSPFLGSDVHHPSGTSIQKT KRLDRRSMAAVVKGRKPRAASST H257_11438 MNGADSSDDENGVLYFLPGGIADDSPPRRTLDMSSPVPSSFGYI GAAQSSTTTTQNFRMTPVAATEPNNSLLSGLGGVAVPNKPAARDRPLGGGGFGSFGGY GYGGRFGGSPGESSTPANGGGVGSIGSSSFLGPNTNAGAASSQPIQTPSSSTFARSPF MSTTTSSSGGPLRAPPGLDIPLPSAAGSSFLQPQHRMLDRKDESLRAAFGFLQHSSPA PTTHLEQPPPATASNMFGRSGYQQSNKDLAELNTTSVVVVQKPPSRLHLEDQHDYGTG SNSIGVHRFRNTFLEPPPSDDLGTSPSSHPPPVPVRAGSTPRVTGGGRPYGSEPKERG KDKFHGKRHGSPSSASSFDSDVHAAPSSSTLNSTPSSPMHFVDQRPVSSSPHVAAQPQ RVVPGQIRIKQRGGDGMSPKKPTAEVPVASGPQRTSSRGAATSTAVPPPHSTRRPLYR EKFPKGAPSDGPPPLATVPKSDRSTPRRGTRADKSLVSGGAAAPPSIPTDVASTTTTT TNTRRTATKANPVQQARPHVSPRRENLYSSLLVDEPIAAPVLEVEGEGPTAPDESTDG DEMVSQDGRGDDDPSSIPAVANKASNVEDDNVCVGRDDSDEDGSAQDNVSTSADTSPS SSPREERVKAHDDAATPDDLPPLEEDVMTPGPSPIACHDESPDKPEVVASATPPQPQE FHPPSSEDDMPARPPSSSKKRSDKKSRRTTDASSAAVSGAAKGSVDKRKGDGSGLKQP SSSHKKQDPHGKNDADASGAHPAGSPPPQRTPDDDDDDDDLFAPVPRSAWSVAKSAVV STCVWLVAFSGASTGLPWLLVHAHWLASTLLSLAFHCGFHVLSFGLKFHRFVVRGLIF NRNIASCFAFLYLFPLLVQYVIPWAPPWAPVCLWYAFLVQLFCTQGSTAMVATFRILL PLVFLVEGVSHHSFLLDLNGAELLLISFILSAVKTGNLYSPVFFLSMSLQCLSAVFLG SEMFVQWIQLAVALYSLHAMASDEWVRRSS H257_11438 MNGADSSDDENGVLYFLPGGIADDSPPRRTLDMSSPVPSSFGYI GAAQSSTTTTQNFRMTPVAATEPNNSLLSGLGGVAVPNKPAARDRPLGGGGFGSFGGY GYGGRFGGSPGESSTPANGGGVGSIGSSSFLGPNTNAGAASSQPIQTPSSSTFARSPF MSTTTSSSGGPLRAPPGLDIPLPSAAGSSFLQPQHRMLDRKDESLRAAFGFLQHSSPA PTTHLEQPPPATASNMFGRSGYQQSNKDLAELNTTSVVVVQKPPSRLHLEDQHDYGTG SNSIGVHRFRNTFLEPPPSDDLGTSPSSHPPPVPVRAGSTPRVTGGGRPYGSEPKERG KDKFHGKRHGSPSSASSFDSDVHAAPSSSTLNSTPSSPMHFVDQRPVSSSPHVAAQPQ RVVPGQIRIKQRGGDGMSPKKPTAEVPVASGPQRTSSRGAATSTAVPPPHSTRRPLYR EKFPKGAPSDGPPPLATVPKSDRSTPRRGTRADKSLVSGGAAAPPSIPTDVASTTTTT TNTRRTATKANPVQQARPHVSPRRENLYSSLLVDEPIAAPVLEVEGEGPTAPDESTDG DEMVSQDGRGDDDPSSIPAVANKASNVEDDNVCVGRDDSDEDGSAQDNVSTSADTSPS SSPREERVKAHDDAATPDDLPPLEEDVMTPGPSPIACHDESPDKPEVVASATPPQPQE FHPPSSEDDMPARPPSSSKKRSDKKSRRTTDASSAAVSGAAKGSVDKRKGDGSGLKQP SSSHKKQDPHGKNDADASGAHPAGSPPPQRTPDDDDDDDDLFAPVPRSAWSVAKSAVV STCVWLVAFSGASTGLPWLLVHAHWLASTLLSLAFHCGFHVLSFGLKFHRFVVRGLIF NRNIASCFAFLYLFPLLVQYVIPWAPPWAPVCLWYAFLVQLFCTQGSTAMVATFRILL PLVFLVEGVSHHSFLLDLNGAELLLISFILSAVKTGNLYSPVFFLSMSLQVLYRQGLD EF H257_11438 MNGADSSDDENGVLYFLPGGIADDSPPRRTLDMSSPVPSSFGYI GAAQSSTTTTQNFRMTPVAATEPNNSLLSGLGGVAVPNKPAARDRPLGGGGFGSFGGY GYGGRFGGSPGESSTPANGGGVGSIGSSSFLGPNTNAGAASSQPIQTPSSSTFARSPF MSTTTSSSGGPLRAPPGLDIPLPSAAGSSFLQPQHRMLDRKDESLRAAFGFLQHSSPA PTTHLEQPPPATASNMFGRSGYQQSNKDLAELNTTSVVVVQKPPSRLHLEDQHDYGTG SNSIGVHRFRNTFLEPPPSDDLGTSPSSHPPPVPVRAGSTPRVTGGGRPYGSEPKERG KDKFHGKRHGSPSSASSFDSDVHAAPSSSTLNSTPSSPMHFVDQRPVSSSPHVAAQPQ RVVPGQIRIKQRGGDGMSPKKPTAEVPVASGPQRTSSRGAATSTAVPPPHSTRRPLYR EKFPKGAPSDGPPPLATVPKSDRSTPRRGTRADKSLVSGGAAAPPSIPTDVASTTTTT TNTRRTATKANPVQQARPHVSPRRENLYSSLLVDEPIAAPVLEVEGEGPTAPDESTDG DEMVSQDGRGDDDPSSIPAVANKASNVEDDNVCVGRDDSDEDGSAQDNVSTSADTSPS SSPREERVKAHDDAATPDDLPPLEEDVMTPGPSPIACHDESPDKPEVVASATPPQPQE FHPPSSEDDMPARPPSSSKKRSDKKSRRTTDASSAAVSGAAKGSVDKRKGDGSGLKQP SSSHKKQDPHGKNDADASGAHPAGSPPPQRTPDDDDDDDDLFAPVPRSAWSVAKSAVV STCVWLVAFSGASTGLPWLLVHAHWLASTLLSLAFHCGFHVLSFGLKFHRFVVRGLIF NRNIASCFAFLYLFPLLVQYVIPWAPPWAPVCLWYAFLVQLFCTQGSTAMVATFRILL PLVFLVEGVSHHSFLLDLNGAELLLISFILSAVKTGNLYSPVFFLSMSLQVLYRQGLD EF H257_11439 MLQTSGAKIARSFSTIAYESFFTARSLRRQPSAIRSLQPLVSLP GMISLGGGMPNPSTFPFEAISIKLKSGHSMDIRGQPLQQALQYSATPGLPELVQIIST RMEDEHEPPALSESRMLSITTGSQDALYKAFEMLVDEDDSLLVESPTYSGTLAHLHAV NCKLVSVRTDGQGLVPEHLASILDNWDNKTKKPKVLYTIPTGGNPTGVSMSFERKQQV YAIASKHNLIVLEDDPYYYLTLNGARSKSLLHLDVDGRVLRFDSYSKILSSGLRVGTV YGPKMLIERLNLHTQSANLHSSGLSQAVVLELFKQWGEKGWNDHVESVCAFYRGQRDA FLRALEKNLTGLATWEAPDAGMFVWIKLVGVDDSKALIEQKAVASKVLLVPGQVFLPD NVKTSYVRAAYSTATPEQMDMAISRLAALLKEK H257_11439 MLQTSGAKIARSFSTIAYESFFTARSLRRQPSAIRSLQPLVSLP GMISLGGGMPNPSTFPFEAISIKLKSGHSMDIRGQPLQQALQYSATPGLPELVQIIST RMEDEHEPPALSESRMLSITTGSQDALYKAFEMLVDEDDSLLVESPTYSGTLAHLHAV NCKLVSVRTDGQGLVPEHLASILDNWDNKTKKPKVLYTIPTGGNPTGVSMSFERKQQV YAIASKHNLIVLEDDPYYYLTLNGARSKSLLHLDVDGRVLRFDSYSKILSSGLRVGTV YGPKMLIERLNLHTQSANLHSSGLSQVYHICL H257_11439 MLQTSGAKIARSFSTIAYESFFTARSLRRQPSAIRSLQPLVSLP GMISLGGGMPNPSTFPFEAISIKLKSGHSMDIRGQPLQQALQYSATPGLPELVQIIST RMEDEHEPPALSESRMLSITTGSQDALYKAFEMLVDEDDSLLVESPTYSGTLAHLHAV NCKLVSVRTDGQGLVPEHLASILDNWDNKTKKPKVLYTIPTGGNPTGVSMSFERKQQV YAIASKHNLIVLEDDPYYYLTLNGARSKVCTSVRSFALTTKWRSRSFIWTSTDGCCAL TRTPRFCPLACVSGLCTAPKCSLNA H257_11439 MLQTSGAKIARSFSTIAYESFFTARSLRRQPSAIRSLQPLVSLP GMISLGGGMPNPSTFPFEAISIKLKSGHSMDIRGQPLQQALQYSATPGLPELVQIIST RMEDEHEPPALSESRMLSITTGSQDALYKAFEMLVDEDDSLLVESPTYSGTLAHLHAV NCKLVSVRTDGQGLVPEHLASILDNWDNKTKKPKVLYTIPTGGNPTGVSMSFERKQQV YAIASKHNLIVLEDDPYYYLTLNGARSKVCTSVRSFALTTKWRSRSFIWTSTDGCCAL TRTPRFCPLACVSGLCTAPKCSLNA H257_11440 MTKLSELMRMGVAKRTMALENMGMSKGKSLAALDDDPMDVPKLI AQETKKFKYRTVVNIVKVIRCSYVDSKACMERLQNANVGRALLESLRAMSKDNEDQAM EGLLSSTADLFEWDFFAKSERRLFIEEIFAIIHTRYTSCGLLLTEALSVIMTMFTTDS EGVLSELRASRKCLPLFFQIVCNMPKTKSFHFQALLVEIVYRILRMLRKSTIKKDQEL TQKILESLPPILSQGIQSVPPKEFRAGTRQLLNQFNKVVGVVQSFPIKALAFEFKSNK SITMDDVQWFDMGGMTFEVEIAINIADEVVQGIAKIHCSNIDGFATEGTSAIKLHINK SVSLADVAPNVNDSEWGDIHGLVAVLRVDSAIFENIVPTLKLRFKKIEKEVATTSIAV ETQSKLSTAAESSKAFYKLMADAKTKQKPHESARPQPSGLTAGNRATKKQEADTVTSR AIKAEGIKEANFASTTKTKQDHPKGTQRKRAADRPVPKQTPSVQIPPRQATESKPSRI KRERQDDQTWDFERTDTRPKNAYKKQKSQKATVETSSTTTTTTKSPPVNRSRMALSKP AKAAASIPAHAWKQELITQHDAPQSHRFTFNPSKSVEDDAKEIQRAKQPRATTLTTKS SSTNSRRPASDKFAMRKPSQEKVVPPPEINSSSVDASARFAVPLKSSHDEPDHRGGFK SKPYEGTNGLHAVMESLDNFSSEIKHQQMEYERAQPVSPSQSTASGGDMEIQSTTSSQ SSCKAPTPLKALPSYHVIAASPRQKRPLTHTQRQEATKVQPTLSPQDTQIDLLDRFKG LADAILDQQERYRHSQLQHVVQHNVDKFEKSLGEYANTLRARVGFHAIEAAFQDAATQ HRAQVEAVKCSLDETLFTVEGSEVVDEELLSDCATLKQFVMQSGRSMLQDRMKALNKK VESCRTKRKHQMQTKLKDIKDKFNSNDLLAMQTLLGRI H257_11441 MPRFCVIHTEDAVERWKKRTYSDMFIDGLAREGDSWTVVNPATG DSLATVLQDNYDGIVITGSHYNVRDELPWYKALIEIIQHVASTGTPNLYGGCFGHQLV AHALGGVAGPSEKFVMKAETVHFLPSSGNLLGPVPASLNLLEVHGDWVSVLPPGADLV AHSDSCANEAFVTGAARNILCAQGHPEFDVQYCFTETLWDLCKSRLGSADDIARAKAS LDAYTDVDAKRFLALISNFLRHKRN H257_11441 MFIDGLAREGDSWTVVNPATGDSLATVLQDNYDGIVITGSHYNV RDELPWYKALIEIIQHVASTGTPNLYGGCFGHQLVAHALGGVAGPSEKFVMKAETVHF LPSSGNLLGPVPASLNLLEVHGDWVSVLPPGADLVAHSDSCANEAFVTGAARNILCAQ GHPEFDVQYCFTETLWDLCKSRLGSADDIARAKASLDAYTDVDAKRFLALISNFLRHK RN H257_11442 MMHIDPRDTDVDSTRSCVDNVAYIVEQKDMPSLHRSPPRQCNDM LFLIAFLAVIGMTVAFAVQFGPKFIQETQLRDNPDTTGFKKVLNYAAMCGGASIGISL AWIVTMMFLGEFVIWASLFFMIGACVFAGIFMTKKLHEREAKVYWWPAPVFGLLALLI TLYAVCIRKRVKFAAVHLKIAGSAIVRLPMTLIVALVMVVVQLAWAITWFLGVYGLFD HLEYIKPNHHCADPHSAAKCKLHVKYGPIVGLSVPMLAVFFWGAMVVKNIVAVTVAGT VAAWKVNVSTPLITLGAWGRAMTLSLGSICFGSLVVAILEAIQTVLNSISMVAARSGN CVAACIVGCLACCLGCIKNMVETFNRYAYAYVGIHGISFLKAGRQVSQLFHSKGWTGV VNDDLTQKVFWLGNLVVGGLTSFVAVTVVADQVENQSFQFPGVKRPEYMVGLVAFLIG YIVNNLFMSVMGGAVTTIFVLWAEDPLGWSLTQPKHYAALHNAWLRIYPDEYNHGQGG NPPSELGNKPHAMPA H257_11443 MATSPQQADAPIQLPADSGGGLPPSGRQLLRELWSLGSQDEEST TVDDEEWAIRPSFQSSVSSARSSVANDQERRTFSSFENGISNLDVDLAIAPGSPHVVH DGWLIKRGHNWHTWKKRWFVLTSDAKLEYFKKSNRKKSKGKVDLNDGIVQVQFVDVHR VEHTYAFCITKGFYTLLCSCETGDEADTWVRHLRHVRLHPPPEYEGLDRHVGAFDSEA TMATLLGSETSASPTGWTAQMLRFQRRVLSSTSGFTPVTTSSILAVQSVATPLEGFKA GTILSFVHFMTQQIVTSHMSLFTTGEYRVVPFDAIMGIHVVLEDRIFLPLQDTFHRHL HDVPTSRVQARLAILQSLPAGHIFDAAVLATADTDWSDAIATFSALDTASLPTHKMRI FQRAVDMLGSKFAAAPAAYRYMCIHASVVDLAVQAAILRLVQKYLPFPGLDGPVQLLV DAVAWVADFNITTPFSPSSSPSSMLSSVASPTSVVSVTFATPDLGIQFVSHLPQDRGA RVAFVLKYSQANLSATVQPGLVLVAINDTFVAVSTLPDIVSLVRRAKLPKRLLFVADI DGFLSTACYHYLLCSAADRGDLATVRFIVDQNTPQLNLHGPCLWTPTALAFSANARPP PTTTTVPDLALHAAVRGGNVDLVRYLVDDVALDANQRTNYQLTTPLHMLVANVAEIAP LLLSYGAIVDLPDKDGRTPLLVQCRAGSKEGVVTLVGLGASVRALAWATGTSPLIECV RRGDLSLVDFLLLKGASVSAATNNKETALHVAASTGHVDVIARLVAAGASLTAGNRDG WIPAMVFLSNYRKHASDGAAFLRGFRLLVADPATMRCSDVWGRYVVHFAATSLDPKTM KDAMELCLEKGADGHVEDVFGDTAKDYRKHPRDHGEYVPPTSYMKHLHVSLVELGDLK RWRIQNGKIEDILAYLVADKSTCVHEMVSFVLNFQAYMDITDLLALFKAKFGHPDAKS KLKQAWSAWGGGGSLQRDNHCGGMLFLSLVGVLYPDVVTTDAFADCVAITRASMPMVH LQMAKLSAVYNVGPPSTLYEDLYQHMTEMYAARKPLTNDLNDPLPRLSLTTTTAFDFA RHVTLLSHALFCRIPIRQLLLPKCQDIGFLSARHWFQHLSDVVTNSILLQDSPSDRVR VICFFIDVAEICFAAFQNFDSFIAIVYALQSTPIFRLKITFGHLGREYTGKLRKLQVY TQSGSREMNRVMKSVQPPCMPFLGLFLQNIVGLNELPKYEEDSYVNFNRLRLIGTLAQ DLIKFQSVPYPFQSNHAVEEILHMSLPFPNEEERYNRSHVVESKAAIETFEDDQRMRT EPDESQASWTMRLRLNSSARRDRRGSSSTSTTLSAV H257_11443 MATSPQQADAPIQLPADSGGGLPPSGRQLLRELWSLGSQDEEST TVDDEEWAIRPSFQSSVSSARSSVANDQERRTFSSFENGISNLDVDLAIAPGSPHVVH DGWLIKRGHNWHTWKKRWFVLTSDAKLEYFKKSNRKKSKGKVDLNDGIVQVQFVDVHR VEHTYAFCITKGFYTLLCSCETGDEADTWVRHLRHVRLHPPPEYEGLDRHVGAFDSEA TMATLLGSETSASPTGWTAQMLRFQRRVLSSTSGFTPVTTSSILAVQSVATPLEGFKA GTILSFVHFMTQQIVTSHMSLFTTGEYRVVPFDAIMGIHVVLEDRIFLPLQDTFHRHL HDVPTSRVQARLAILQSLPAGHIFDAAVLATADTDWSDAIATFSALDTASLPTHKMRI FQRAVDMLGSKFAAAPAAYRYMCIHASVVDLAVQAAILRLVQKYLPFPGLDGPVQLLV DAVAWVADFNITTPFSPSSSPSSMLSSVASPTSVVSVTFATPDLGIQFVSHLPQDRGA RVAFVLKYSQANLSATVQPGLVLVAINDTFVAVSTLPDIVSLVRRAKLPKRLLFVADI DGFLSTACYHYLLCSAADRGDLATVRFIVDQNTPQLNLHGPCLWTPTALAFSANARPP PTTTTVPDLALHAAVRGGNVDLVRYLVDDVALDANQRTNYQLTTPLHMLVANVAEIAP LLLSYGAIVDLPDKDGRTPLLVQCRAGSKEGVVTLVGLGASVRALAWATGTSPLIECV RRGDLSLVDFLLLKGASVSAATNNKETALHVAASTGHVDVIARLVAAGASLTAGNRDG WIPAMVFLSNYRKHASDGAAFLRGFRLLVADPATMRCSDVWGRYVVHFAATSLDPKTM KDAMELCLEKGADGHVEDVFGDTAKDYRKHPRDHGEYVPPTSYMKHLHVSLVELGDLK RWRIQNGKIEDILAYLVADKSTCVHEMVSFVLNFQAYMDITDLLALFKAKFGHPDAKS KLKQAWSAWGGGGSLQRDNHCGGMLFLSLVGVLYPDVVTTDAFADCVAITRASMPMVH LQMAKLSAVYNVGPPSTLYEDLYQHMTEMYAARKPLTNDLNDPLPRLSLTTTTAFDFA RHVTLLSHALFCRIPIRQLLLPKCQDIGFLSARHWFQHLSDVVTNSILLQDSPSDRVR VICFFIDVAEICFAAFQNFDSFIAIVYALQSTPIFRLKITFGHLGREYTGKLRKLQVY TQSGSR H257_11444 MAGQDAAASEVAEFVQLFFVHQVDSGGCTSQSLLRGLDIMMTCL VDVGGKQKKQAAVTKQDMTEYRAGLRKPRDVMANPVFARMMAKKRRKVCGYIFKADEV AYSCRDCQHDSTCVMCQACFADSNHDGHDVSFQRTSAGGCCDCGDPEAWAKTGFCTKH PGRDNDSTSSGTVPCPETDLPQGLSVVAGPLIDAVVQFLFDALVTSEQGLRVGEGMTA LGSRLTDDMKKQWMDVASTVEPYEDDQELNYDTRLHSDDIHPFSDVIRALRTHTGATR SEAIKLANKSDADGYTQICLSTQVRAVALVVSLDKFTTSVVPYELYDMRRVPVLIEWL RSLCEISDGLAALVSRSIEARRTHPCADVMSLLAALVQRASLRQQSADKAAVRKLSID QCLKHRWKVSRDALLHVSTVLHPPDNLGEWVDCLTKKQANSFAWGALVPGCLGDCLEK VAKAYPALLDVCQETAAAFAPSPSSLAAPAPSMLDILVRNYCLLTKPAIHQVNLFMHE LILNQSMKHAMMDAFVDGYAQNTATYLKGLGASSDSIFDFATQLLTVPSLLAKYPKTL VTTLLDALASVLSTSMGVRTKPDGTTCPEFRPDSIAISHSKYKHAGDHLEFVLAHGNP TDLLGHDVNFSKWLQCLTVLQFADAQVRRGRDEPHVEYESDTWFATFNLGIKLHALFP LVLHGLTTSSSPPLCASLMRHVMRAIDQVKGSSLEVTAKSMPALAAGSSGGVASFDAT EASVVVMAADGAPRAASSLHIPLHRFVSAMLKQVLVLSKDSDLSSWKASLGLDSLADV VLLLDAPLQCLAMSSQIQANLWRRNGDENMVTQLFNYCAMPYCVNFRDADLFLLQVGV LLLGADHVVALVVDRFGLRSYFTDADDATVSPTATYDKHQELQMVDEALRLLLVLSTN VPSSTGSDHEDAFLREELVHQLLVRPSTFSELSDNVSLPLGGQDMSAPIARLEPLLQQ VASFQAPVGLEPGKYTIKADSIVQCNPYHMHLNRELHEEAREKILERPNATPPFVPAT APLPHLADVQSLLITSSTVELVKCVLRRRCDKDNNARWTEALVSTALDVLVYGAQVAS TPSYWELVAPLIVYLVELERAEDKEHRHVVSWLLEEYARRSPVCAAALTAQTTASSGG NIQDKAGDAAPETDLQARKNQAKARAMAAMAKQMAAFSQLMGDETEEDEVVGDKAQPA QLNKSDDDGKAGKTKPPSTSQPPKHKKRGRTTSVDKATPAPSDENTAAPKKWKPTCML CHDSNSDDELCMVAMVQQSTVLSTGFRPTAADALHPDKGRQSRTDVAALIRAMQLQSR GDDSRSPHFYPNHNFHHHHDQHDHHDHHHESDDSESDDDDDDDEDSEDGGDGASPRMA FADMFWDMGHDDDEVDEFDDPHRHHNHHHHHHNHLAADNEIGRREGHGRAVAPEAELA RMLGAAAVAPEEDMARMLGGAFDHAMRMLPPRRQRQFQFNVQRRSGGGPARVSKRNDD DGLNLVPVGLFVRTCQHIVHMKCVDTYMGTLHEKAMRGEEFDGMQAVDGDAPMTQFLC PMCKGLCNVLIPMIPSTSPAPSSSSSSSSLSTSWFDIVVRRDNSSWIQPMHQTHANQD TLAKWKQYYEDALWEPHGSFEKGAPYLWSACAYTVASTLAEVDALNDDGSRLLSCDGD TMMVWPSTLEKEYASMQALVTFTRWSFAMVHLTGESKVIYETVKRCCPVVQESKREYK KYTKMIGSLDACIRGTVLGLLVADTFTAFVVSMVAADSIDTIGELIPVFCAADMLQRL GREFFVLEPQRAASFYQDVPVSAAAPNNGVASSARGGSRMQTRRGGSSAPPVVTARPP QDPAVAHAKSLKLLQRHVAKATRHSKHAPTRRELQAVGALQLVLRLCEVDPTLRLKPA VDVVAVDAIVDLNALLVRRMHVVYGCLTDKSLPLPIPPPSFQDVSQVPLDALQTIWEW CVIRKASQMELGAASQDATNRCDHAEHTSEAYLANMFILRDNRTAAPLELVALPTQYD DLYSHHVRLKCQRCDRVPREPGLCLVCGTLLCCGESCCAYSHVKGNPPMGECTRHAFE CGGGLGAVLMLQQCRVVLIAGSMVAYFPSPYVDSHGEEDPGLQRGRPLKLDTNRYNML QALWRSHRLYGEVSRLRNQRDNQQPLNLTYI H257_11444 MAGQDAAASEVAEFVQLFFVHQVDSGGCTSQSLLRGLDIMMTCL VDVGGKQKKQAAVTKQDMTEYRAGLRKPRDVMANPVFARMMAKKRRKVCGYIFKADEV AYSCRDCQHDSTCVMCQACFADSNHDGHDVSFQRTSAGGCCDCGDPEAWAKTGFCTKH PGRDNDSTSSGTVPCPETDLPQGLSVVAGPLIDAVVQFLFDALVTSEQGLRVGEGMTA LGSRLTDDMKKQWMDVASTVEPYEDDQELNYDTRLHSDDIHPFSDVIRALRTHTGATR SEAIKLANKSDADGYTQICLSTQVRAVALVVSLDKFTTSVVPYELYDMRRVPVLIEWL RSLCEISDGLAALVSRSIEARRTHPCADVMSLLAALVQRASLRQQSADKAAVRKLSID QCLKHRWKVSRDALLHVSTVLHPPDNLGEWVDCLTKKQANSFAWGALVPGCLGDCLEK VAKAYPALLDVCQETAAAFAPSPSSLAAPAPSMLDILVRNYCLLTKPAIHQVNLFMHE LILNQSMKHAMMDAFVDGYAQNTATYLKGLGASSDSIFDFATQLLTVPSLLAKYPKTL VTTLLDALASVLSTSMGVRTKPDGTTCPEFRPDSIAISHSKYKHAGDHLEFVLAHGNP TDLLGHDVNFSKWLQCLTVLQFADAQVRRGRDEPHVEYESDTWFATFNLGIKLHALFP LVLHGLTTSSSPPLCASLMRHVMRAIDQVKGSSLEVTAKSMPALAAGSSGGVASFDAT EASVVVMAADGAPRAASSLHIPLHRFVSAMLKQVLVLSKDSDLSSWKASLGLDSLADV VLLLDAPLQCLAMSSQIQANLWRRNGDENMVTQLFNYCAMPYCVNFRDADLFLLQVGV LLLGADHVVALVVDRFGLRSYFTDADDATVSPTATYDKHQELQMVDEALRLLLVLSTN VPSSTGSDHEDAFLREELVHQLLVRPSTFSELSDNVSLPLGGQDMSAPIARLEPLLQQ VASFQAPVGLEPGKYTIKADSIVQCNPYHMHLNRELHEEAREKILERPNATPPFVPAT APLPHLADVQSLLITSSTVELVKCVLRRRCDKDNNARWTEALVSTALDVLVYGAQVAS TPSYWELVAPLIVYLVELERAEDKEHRHVVSWLLEEYARRSPVCAAALTAQTTASSGG NIQDKAGDAAPETDLQARKNQAKARAMAAMAKQMAAFSQLMGDETEEDEVVGDKAQPA QLNKSDDDGKAGKTKPPSTSQPPKHKKRGRTTSVDKATPAPSDENTAAPKKWKPTCML CHDSNSDDELCMVAMVQQSTVLSTGFRPTAADALHPDKGRQSRTDVAALIRAMQLQSR GDDSRSPHFYPNHNFHHHHDQHDHHDHHHESDDSESDDDDDDDEDSEDGGDGASPRMA FADMFWDMGHDDDEVDEFDDPHRHHNHHHHHHNHLAADNEIGRREGHGRAVAPEAELA RMLGAAAVAPEEDMARMLGGAFDHAMRMLPPRRQRQFQFNVQRRSGGGPARVSKRNDD DGLNLVPVGLFVRTCQHIVHMKCVDTYMGTLHEKAMRGEEFDGMQAVDGDAPMTQFLC PMCKGLCNVLIPMIPSTSPAPSSSSSSSSLSTSWFDIVVRRDNSSWIQPMHQTHANQD TLAKWKQYYEDALWEPHGSFEKGAPYLWSACAYTVASTLAEVDALNDDGSRLLSCDGD TMMVWPSTLEKEYASMQALVTFTRWSFAMVHLTGESKVIYETVKRCCPVVQESKREYK KYTKMIGSLDACIRGTVLGLLVADTFTVHNHIWLLDDKCIVGVCRVDGRRRLDRYDRR IDSCVLRGRHAAAPRA H257_11445 MQVKWTRTATFDSSMTNAGELEQGSKLRRPLGDLDDAGSTPRKT KRLMVVHSNATHATPLKHQTGTATTVSYDIHPRTSLALSTGPAITALTLSSAATIQHT AEITAPSLDPIAPIRGVNNGKKRIQGCIVSCFYLHLDPEAKLPTGVRSRLQKHQLAHF AFGGGKLKSLKLGRDMFGSAVETLNTRRLGRNHCKLTHERGTLYVERCSPGSVVVNGV HLEQHRRVALRCGDKVALLEKPTLSLVYVVSRKFYLLQASRRSIPRHDRTHTIAVCAA APLVGLDRQGNLHPIPELEVERHLAIIRECTAHRPCVHVKLHVATWPALHSLLSWGCQ VLHFLGQGNHEHVYLEDHLGLVHPLTYVDFFGMLEVTSTASKRTLKLVVLSYTPSRKL VNAFVAHGVPHVLVVNNPNCLSAFYTALTGGHSVQQSVNKSLAAVGYVASTAPSLVDA TPSDPNPPPLQLYPGGLHDEIIFRRKVGSSDPYKAPMPAGATIGRRSSRELPALPPSA ESRPADVFRLCELLATNSRLVTITSTLNDVDTEVAIALAHRVDSRHSILGFGHRIVCG FVSAFMQKRASESGNFCMWTYVRKVTRTHSTTNTTKWPSLIVLIGCDPWLADEHTSAG FRAMLQTWLDATAHLKVVLTATTAVTSTHKLQHIAEDIYPLENHSSDVSDEPTPHLKT SPASDAALVSSSQEVPLLRPADKEELNARFQTLALL H257_11445 MQVKWTRTATFDSSMTNAGELEQGSKLRRPLGDLDDAGSTPRKT KRLMVVHSNATHATPLKHQTGTATTVSYDIHPRTSLALSTGPAITALTLSSAATIQHT AEITAPSLDPIAPIRGVNNGKKRIQGCIVSCFYLHLDPEAKLPTGVRSRLQKHQLAHF AFGGGKLKSLKLGRDMFGSAVETLNTRRLGRNHCKLTHERGTLYVERCSPGSVVVNGV HLEQHRRVALRCGDKVALLEKPTLSLVYVVSRKFYLLQASRRSIPRHDRTHTIAVCAA APLVGLDRQGNLHPIPELEVERHLAIIRECTAHRPCVHVKLHVATWPALHSLLSWGCQ VLHFLGQGNHEHVYLEDHLGLVHPLTYVDFFGMLEVTSTASKRTLKLVVLSYTPSRKL GTSTRGGFAVETDDLSFFEQLMQREVVGGGVVNAFVAHGVPHVLVVNNPNCLSAFYTA LTGGHSVQQSVNKSLAAVGYVASTAPSLVDATPSDPNPPPLQLYPGGLHDEIIFRRKV GSSDPYKAPMPAGATIGRRSSRELPALPPSAESRPADVFRLCELLATNSRLVTITSTL NDVDTEVAIALAHRVDSRHSILGFGHRIVCGFVSAFMQKRASESGNFCMWTYVRKVTR THSTTNTTKWPSLIVLIGCDPWLADEHTSAGFRAMLQTWLDATAHLKVVLTATTAVTS THKLQHIAEDIYPLENHSSDVSDEPTPHLKTSPASDAALVSSSQEVPLLRPADKEELN ARFQTLALL H257_11445 MQVKWTRTATFDSSMTNAGELEQGSKLRRPLGDLDDAGSTPRKT KRLMVVHSNATHATPLKHQTGTATTVSYDIHPRTSLALSTGPAITALTLSSAATIQHT AEITAPSLDPIAPIRGVNNGKKRIQGCIVSCFYLHLDPEAKLPTGVRSRLQKHQLAHF AFGGGKLKSLKLGRDMFGSAVETLNTRRLGRNHCKLTHERGTLYVERCSPGSVVVNGV HLEQHRRVALRCGDKVALLEKPTLSLVYVVSRKFYLLQASRRSIPRHDRTHTIAVCAA APLVGLDRQGNLHPIPELEVERHLAIIRECTAHRPCVHVKLHVATWPALHSLLSWGCQ VLHFLGQGNHEHVYLEDHLGLVHPLTYVDFFGMLEVTSTASKRTLKLVVLSYTPSRKL VNAFVAHGVPHVLVVNNPNCLSAFYTALTGGHSVQQSVNKSLAAVGYVASTAPSLVDA TPSDPNPPPLQLYPGGLHDEIIFRRKVGSSDPYKAPMPAGATIGRRSSRELPALPPSA ESRPADVFRLCELLATNSRLVTITSTLNDVDTEVAIALAHRVDSRHSILGFGHRIVCG FVSAFMQKRASESGNFCMWTYVRKVTRTHSTTNTTKWPSLIVLIGCDPWLADEHTSAG FRAMLQTWLDATAHLKVVLTATTAVTSTHKLQHIAEDMYD H257_11445 MQVKWTRTATFDSSMTNAGELEQGSKLRRPLGDLDDAGSTPRKT KRLMVVHSNATHATPLKHQTGTATTVSYDIHPRTSLALSTGPAITALTLSSAATIQHT AEITAPSLDPIAPIRGVNNGKKRIQGCIVSCFYLHLDPEAKLPTGVRSRLQKHQLAHF AFGGGKLKSLKLGRDMFGSAVETLNTRRLGRNHCKLTHERGTLYVERCSPGSVVVNGV HLEQHRRVALRCGDKVALLEKPTLSLVYVVSRKFYLLQASRRSIPRHDRTHTIAVCAA APLVGLDRQGNLHPIPELEVERHLAIIRECTAHRPCVHVKLHVATWPALHSLLSWGCQ VLHFLGQGNHEHVYLEDHLGLVHPLTYVDFFGMLEVTSTASKRTLKLVVLSYTPSRKL GTSTRGGFAVETDDLSFFEQLMQREVVGGGVVNAFVAHGVPHVLVVNNPNCLSAFYTA LTGGHSVQQSVNKSLAAVGYVASTAPSLVDATPSDPNPPPLQLYPGGLHDEIIFRRKV GSSDPYKAPMPAGATIGRRSSRELPALPPSAESRPADVFRLCELLATNSRLVTITSTL NDVDTEVAIALAHRVDSRHSILGFGHRIVCGFVSAFMQKRASESGNFCMWTYVRKVTR THSTTNTTKWPSLIVLIGCDPWLADEHTSAGFRAMLQTWLDATAHLKVVLTATTAVTS THKLQHIAEDMYD H257_11445 MQVKWTRTATFDSSMTNAGELEQGSKLRRPLGDLDDAGSTPRKT KRLMVVHSNATHATPLKHQTGTATTVSYDIHPRTSLALSTGPAITALTLSSAATIQHT AEITAPSLDPIAPIRGVNNGKKRIQGCIVSCFYLHLDPEAKLPTGVRSRLQKHQLAHF AFGGGKLKSLKLGRDMFGSAVETLNTRRLGRNHCKLTHERGTLYVERCSPGSVVVNGV HLEQHRRVALRCGDKVALLEKPTLSLVYVVSRKFYLLQASRRSIPRHDRTHTIAVCAA APLVGLDRQGNLHPIPELEVERHLAIIRECTAHRPCVHVKLHVATWPALHSLLSWGCQ VLHFLGQGNHEHVYLEDHLGLVHPLTYVDFFGMLEVTSTASKRTLKLVVLSYTPSRKL VNAFVAHGVPHVLVVNNPNCLSAFYTALTGGHSVQQSVNKSLAAVGYVASTAPSLVDA TPSDPNPPPLQLYPGGLHDEIIFRRKVGSSDPYKAPMPAGATIGRRSSRELPALPPSA ESRPADVFRLCELLATNSRLVTITSTLNDVDTEVAIALAHRVDSRHSILGFGHRIVCG FVSAFMQKRASESGNFCMWTYVRKVTRTHSTTNTYMRFISIDQTIYAA H257_11445 MQVKWTRTATFDSSMTNAGELEQGSKLRRPLGDLDDAGSTPRKT KRLMVVHSNATHATPLKHQTGTATTVSYDIHPRTSLALSTGPAITALTLSSAATIQHT AEITAPSLDPIAPIRGVNNGKKRIQGCIVSCFYLHLDPEAKLPTGVRSRLQKHQLAHF AFGGGKLKSLKLGRDMFGSAVETLNTRRLGRNHCKLTHERGTLYVERCSPGSVVVNGV HLEQHRRVALRCGDKVALLEKPTLSLVYVVSRKFYLLQASRRSIPRHDRTHTIAVCAA APLVGLDRQGNLHPIPELEVERHLAIIRECTAHRPCVHVKLHVATWPALHSLLSWGCQ VLHFLGQGNHEHVYLEDHLGLVHPLTYVDFFGMLEVTSTASKRTLKLVVLSYTPSRKL VNAFVAHGVPHVLVVNNPNCLSAFYTALTGGHSVQQSVNKSLAAVGYVASTAPSLVDA TPSDPNPPPLQLYPGGLHDEIIFRRKVGSSDPYKAPMPAGATIGRRSSRELPALPPSA ESRPADVFRLCELLATNSRLVTITSTLNDVDTEVAIALAHRVDSRHSILGFGHRIVCG FVSAFMQKRASESGNFCMWTYVRKVTRTHSTTNTYMRFISIDQTIYAA H257_11445 MQVKWTRTATFDSSMTNAGELEQGSKLRRPLGDLDDAGSTPRKT KRLMVVHSNATHATPLKHQTGTATTVSYDIHPRTSLALSTGPAITALTLSSAATIQHT AEITAPSLDPIAPIRGVNNGKKRIQGCIVSCFYLHLDPEAKLPTGVRSRLQKHQLAHF AFGGGKLKSLKLGRDMFGSAVETLNTRRLGRNHCKLTHERGTLYVERCSPGSVVVNGV HLEQHRRVALRCGDKVALLEKPTLSLVYVVSRKFYLLQASRRSIPRHDRTHTIAVCAA APLVGLDRQGNLHPIPELEVERHLAIIRECTAHRPCVHVKLHVATWPALHSLLSWGCQ VLHFLGQGNHEHVYLEDHLGLVHPLTYVDFFGMLEVTSTASKRTLKLVVLSYTPSRKL GTSTRGGFAVETDDLSFFEQLMQREVVGGGVVNAFVAHGVPHVLVVNNPNCLSAFYTA LTGGHSVQQSVNKSLAAVGYVASTAPSLVDATPSDPNPPPLQLYPGGLHDEIIFRRKV GSSDPYKAPMPAGATIGRRSSRELPALPPSAESRPADVFRLCELLATNSRLVTITSTL NDVDTEVAIALAHRVDSRHSILGFGHRIVCGFVSAFMQKRASESGNFCMWTYVRKVTR THSTTNTYMRFISIDQTIYAA H257_11445 MQVKWTRTATFDSSMTNAGELEQGSKLRRPLGDLDDAGSTPRKT KRLMVVHSNATHATPLKHQTGTATTVSYDIHPRTSLALSTGPAITALTLSSAATIQHT AEITAPSLDPIAPIRGVNNGKKRIQGCIVSCFYLHLDPEAKLPTGVRSRLQKHQLAHF AFGGGKLKSLKLGRDMFGSAVETLNTRRLGRNHCKLTHERGTLYVERCSPGSVVVNGV HLEQHRRVALRCGDKVALLEKPTLSLVYVVSRKFYLLQASRRSIPRHDRTHTIAVCAA APLVGLDRQGNLHPIPELEVERHLAIIRECTAHRPCVHVKLHVATWPALHSLLSWGCQ VLHFLGQGNHEHVYLEDHLGLVHPLTYVDFFGMLEVTSTASKRTLKLVVLSYTPSRKL GTSTRGGFAVETDDLSFFEQLMQREVVGGGVVNAFVAHGVPHVLVVNNPNCLSAFYTA LTGGHSVQQSVNKSLAAVGYVASTAPSLVDATPSDPNPPPLQLYPGGLHDEIIFRRKV GSSDPYKAPMPAGATIGRRSSRELPALPPSAESRPADVFRLCELLATNSRLVTITSTL NDVDTEVAIALAHRVDSRHSILGFGHRIVCGFVSAFMQKRASESGNFCMWTYVRKVTR THSTTNTYMRFISIDQTIYAA H257_11445 MQVKWTRTATFDSSMTNAGELEQGSKLRRPLGDLDDAGSTPRKT KRLMVVHSNATHATPLKHQTGTATTVSYDIHPRTSLALSTGPAITALTLSSAATIQHT AEITAPSLDPIAPIRGVNNGKKRIQGCIVSCFYLHLDPEAKLPTGVRSRLQKHQLAHF AFGGGKLKSLKLGRDMFGSAVETLNTRRLGRNHCKLTHERGTLYVERCSPGSVVVNGV HLEQHRRVALRCGDKVALLEKPTLSLVYVVSRKFYLLQASRRSIPRHDRTHTIAVCAA APLVGLDRQGNLHPIPELEVERHLAIIRECTAHRPCVHVKLHVATWPALHSLLSWGCQ VLHFLGQGNHEHVYLEDHLGLVHPLTYVDFFGMLEVTSTASKRTLKLVVLSYTPSRKL VNAFVAHGVPHVLVVNNPNCLSAFYTALTGGHSVQQSVNKSLAAVGYVASTAPSLVDA TPSDPNPPPLQLYPGGLHDEIIFRRKVGSSDPYKAPMPAGATIGRRSSRELPALPPSA ESRPADVFRVSDFRRTGVLMIFCIALRTLGHEFSPRHNHKHAKRRRH H257_11445 MQVKWTRTATFDSSMTNAGELEQGSKLRRPLGDLDDAGSTPRKT KRLMVVHSNATHATPLKHQTGTATTVSYDIHPRTSLALSTGPAITALTLSSAATIQHT AEITAPSLDPIAPIRGVNNGKKRIQGCIVSCFYLHLDPEAKLPTGVRSRLQKHQLAHF AFGGGKLKSLKLGRDMFGSAVETLNTRRLGRNHCKLTHERGTLYVERCSPGSVVVNGV HLEQHRRVALRCGDKVALLEKPTLSLVYVVSRKFYLLQASRRSIPRHDRTHTIAVCAA APLVGLDRQGNLHPIPELEVERHLAIIRECTAHRPCVHVKLHVATWPALHSLLSWGCQ VLHFLGQGNHEHVYLEDHLGLVHPLTYVDFFGMLEVTSTASKRTLKLVVLSYTPSRKL VNAFVAHGVPHVLVVNNPNCLSAFYTALTGGHSVQQSVNKSLAAVGYVASTAPSLVDA TPSDPNPPPLQLYPGGLHDEIIFRRKVGSSDPYKAPMPAGATIGRRSSRELPALPPSA ESRPADVFRVSDFRRTGVLMIFCIALRTLGHEFSPRHNHKHAKRRRH H257_11445 MQVKWTRTATFDSSMTNAGELEQGSKLRRPLGDLDDAGSTPRKT KRLMVVHSNATHATPLKHQTGTATTVSYDIHPRTSLALSTGPAITALTLSSAATIQHT AEITAPSLDPIAPIRGVNNGKKRIQGCIVSCFYLHLDPEAKLPTGVRSRLQKHQLAHF AFGGGKLKSLKLGRDMFGSAVETLNTRRLGRNHCKLTHERGTLYVERCSPGSVVVNGV HLEQHRRVALRCGDKVALLEKPTLSLVYVVSRKFYLLQASRRSIPRHDRTHTIAVCAA APLVGLDRQGNLHPIPELEVERHLAIIRECTAHRPCVHVKLHVATWPALHSLLSWGCQ VLHFLGQGNHEHVYLEDHLGLVHPLTYVDFFGMLEVTSTASKRTLKLVVLSYTPSRKL VNAFVAHGVPHVLVVNNPNCLSAFYTALTGGHSVQQSVNKSLAAVGYVASTAPSLVDA TPSDPNPPPLQLYPGGLHDEIIFRRKVGSSDPYKAPMPAGATIGRRSSRELPALPPSA ESRPADVFRVSDFRRTGVLMIFCIALRTLGHEFSPRHNHKHAKRRRH H257_11445 MQVKWTRTATFDSSMTNAGELEQGSKLRRPLGDLDDAGSTPRKT KRLMVVHSNATHATPLKHQTGTATTVSYDIHPRTSLALSTGPAITALTLSSAATIQHT AEITAPSLDPIAPIRGVNNGKKRIQGCIVSCFYLHLDPEAKLPTGVRSRLQKHQLAHF AFGGGKLKSLKLGRDMFGSAVETLNTRRLGRNHCKLTHERGTLYVERCSPGSVVVNGV HLEQHRRVALRCGDKVALLEKPTLSLVYVVSRKFYLLQASRRSIPRHDRTHTIAVCAA APLVGLDRQGNLHPIPELEVERHLAIIRECTAHRPCVHVKLHVATWPALHSLLSWGCQ VLHFLGQGNHEHVYLEDHLGLVHPLTYVDFFGMLEVTSTASKRTLKLVVLSYTPSRKL VNAFVAHGVPHVLVVNNPNCLSAFYTALTGGHSVQQSVNKSLAAVGYVASTAPSLVDA TPSDPNPPPLQLYPGGLHDEIIFRRKVGSSDPYKAPMPAGATIGRRSSRELPALPPSA ESRPADVFRVSDFRRTGVLMIFCIALRTLGHEFSPRHNHKHAKRRRH H257_11446 MATSTRPYRGGDRDWFRVLFGFRELDFDYEEVQGKFELVDNATT LRSTVNGKSYGIGTFECLSLAALRVAGLDTAVGGDTKLRHEASTDVFLDHCDSANQHA LFQAASQLNCLEFMSPRSVPEQGVTRYDRDPTQGPACALAAGPGTVFRNYFASVNGKP GQTAENQLNNLDAVEAILSNHKHKYLDVVNGYTDSTPSRLAKLNTTVLHDHATRDVLA NAVKIGLHWNVQVPFSSRYATTNNQHFVSQAYCSAISVGYSAASQSDWAPFAKLVLQA SYEATLWAGVVNYHRTGCNKVFLTALGGGVFGNRVDWIVDAIAAAVAAVARHGLDIVI VHFRRVDVSFKRDLALALAEHRRGQC H257_11447 MTAIELEELEDKLVEPLLPSPPSDTGDLIPLELHPAVLLADDEC QQVGGDNIVQVVEDADVHQPVVEIRGSDARVVFNCHNVMQFLVLFVKNLDAYFALDIE VVDDTKTYRTFHITNSRSLARVQASACQMPLVFGKGGTWRHVCLDVHRMCLDAFGTHH VATVQVTVHATCRLLRVFFQDVDYSDAELPPMLALLD H257_11448 MSANAAFNANASFFDRHRLLFEYDTYGHRGHPKTTEGFIAAMQA LMTVNSSAISSYDHLAALIHVAQPNTSLIKNQRQPLLGAPTHTTQQSQGSATAAELPS LFHRYNPDQPLTSPPPASVQPAHGLASMGHPFHASTVLVDPITTPTNKVAIPSLVPPK HLPQRPYGMNPQPHSQTAGHPNVHTQPQPQSSQAPSQATTTPLLDSSLRVMRGRKVDL AQKIEQLVGPKFGRVVGPSNHNLPTSIKRRLDAYYRLDDRKEALYQLLRAEYVALQRG RQHLQPAQGR H257_11449 MASSTTHQRGGDVQKLLDANDKMLYTWNNLLESRPTLDKKNEYK EWHVKCVRYKEQIARSLERLAALADDQIAKKDSNGPTAATATSPAAPSSSSTAAGNVD PSATQQQQHLQKQKMLQQQHLLQLQNQQNQQQQQLQAAQLQQKKQQQQLHQQHHNMQQ HATSTTSAPPTGFPPGTDLAAMQLAMSQMMPMNMNQMMAGYPTMNNAAADMSNLFLMA NGNAGGTNGAGNSNVDGMNHMNLFNYNMQAAAAAAYGNQLYGANFNPALVQNMMGGGG GGGYPAQAVMQAQMAAGNGSNNTQGFPYSTVATTTNATSTSSATTATSAADPSLFFSD NDTVRNVMDDFMSSSE H257_11450 MLSTNGSVTANASVPEEAKALPKCIYDVMQLLDQVLRVEITDGR ILVGLFHCLDKDKNLILTETTEYRYANNHVKQDDSPTPSVRSLGMTLIPGRHVLKVSR QATPPSS H257_11451 MGLLLRLYAAMAALHVVAAFITSVPASTRYCFNEEVKTKRTAQL RIAVLESYDPSDYGIRVTAFGPFLSSPSESQADMNFFDTIVNTPPKLSSTGAQTPSSG NSFSFNSEHRGGWYKFCVENTHTSFQKKVLFHTSYGLTTEGEWGKEDEVEAEMKQMHI KVVGQTLSNLGLLFDQIKFEQTYLAERNNRHFETLDSNSSRLFYWTLLQVLLVAVVYG TQSYFLKLWFSSNGLIGTTDRRWA H257_11452 MLGKAFVVVTAWLVGVSTALTVKVPGGQRECFIEHIKTKRTAYL ELAVLESNDLYDIRLTAHGPYATTPSMAQKDMLFFDSLVTTASLDETSKNVQRNGFNF DTEHRGGWYKFCLGNEHSSKNGKKVDFSVRFGLTKEDELGHEDIAEASTKDMHIETVK ASLAHLQDMFSSIQSEQTYYLQRDKRHSKTAEGNQSLVFWWTLVQVLLVAIVYGLQSH LMTRWFSGGGLLTSSGSSSSNSRRGWAL H257_11453 MGKPRDEMVIPWPSGGDRPLGQASKTSLVPNGEDAGDWAQFSPG ANETCLLVDGVLALVDAVVDRYVACGYALVRPPGHDALQDMGFCNFNSIGITEHYLLQ TYPSSIRKVVIVDYDVCHGNGT H257_11454 MVRPSGLVLALGAVAVTIVALSISKLFSKDDGSSFEEDDESAEE SNTSGSPVTKKQLVRYLNEVAETIEGIVAQLPDLAKMVMEEANALGQYVPEDQVQTIL AQRLSEAVRMAEASLADKYSLAELDIKHAVHDMHTDPDVRRAIENFQRVIENSPLGQA PPVEAAVDVPSHVTAEYTLTAMSAVLDGVGRAMEEALAEAKAAGLRDVTADAHMWQDA YVEKSTAYTAELHALYRVSEPILNAAVAKYKDNTSFQAKLQTLLGKHQENFQRMGL H257_11454 MVRPSGLVLALGAVAVTIVALSISKLFSKDDGSSFEEDDESAEE SNTSGSPVTKKQLVRYLNEVAETIEGIVAQLPDLAKMVMEEANALGQYVPEDQVQTIL AQRLSEAVRMAEASLADKYSLAELDIKHAVHDMHTDPDVRRAIENFQRVIENSPLGQA PPVEAAVDVPSHVTAEYTLTAMSAVLDGVGRAMEEALAEAKAAGLRDVTADAHMWQDA H257_11455 MFRAASSSAMRHLHTKRLTPAAGAALSIPGTTMKSSISGFRGVA TSSASCPRAFVEPAHVRGDVTVVAIAVAAVLGAAGVGKIWWDASSSQTGVTKDNLASY FNELADIVDELVSQMPQVTQAVMEQARSTGQQITEDQVKAMLVERLGQAVQMADQDLA RKYHFDQESIEKAMVELQHEPKVQAAIQRLQSVIENSPLGETVEVPEDLTADRTLEIM TLVLDGVSRAMEEALAEAKQAGMKNVNLEGHLWQEKYMEKTYAYTAKIHEQYFVTEPI LNAAVAKYSQENPAFQAQLQELLEKHQVNFQRMGLQVE H257_11456 MTKAHCSSIQHPQQTQQLRRHISLHDPSMNAGFESNHVRTHKYT TATFVPKFLLESFRKAANMYFLAVCVLQCVKSISNTNGLPTTLPTLVFILLVDAAFAI QEDKKRHVADHVANHRMVHLLRHPSSSSSICQSSTGTDHSRATSDVVFTARPWADLRV GDYVQLFNREVIPADILILAVHSRDQRPQCSSSHNDDGGHRPFNADVRGAIETLCYVE TKSLDGESNMKVREALDVTATRCRGPADLLKLRGHVLAEPPNNHTHSFSGVLTLDTRS PTTATTSKEGTVSTPITLTNMLLRGCTLRNTEFVYGVVVSTGGDTKIMRSNKPTASKV SRLDSCVNQYIGVLILMLIACCLMAATGNLLWLYFHESRTPYLALLEPPSSSNTTAPS SSATTSALTTYGTTFFYYFLLMYQFIPISLYVSIASVKYIQACFMMWDVDMYHAASNT PALVRCMALNEELGQVTHVFSDKTGTLTCNTMEFRKCSIGGVSYGRGSPPARYLSWPP PSPLPKPLPTTTTPHVNFHGPELYAHMDGAVGADQAKRIDLFLTVLAVCHTVLPETPS LMTSIRPSDVDSDQVSKADCSDPALTAVTYSAASPDEQALVCAAAFFKYAFVSRTQKT TSVRIHDSLVTFDTLAILEFNSTRKRMSVVVRSNSPSRTIYLFTKGADGVVMPRLAPP RGTADRTLVDLTNGHISAYAKEGLRTLAVAYKELSASAFSKWSVTYDAAVASLDDMER FKSGQLPNAIDECMDELEVELHLLGATAIEDKLQDGVPEAVGTLIDAGMKLWMLTGDK DETAINIGYACNLLQQSMRLITLTAKKFPTAAATSKEITDQLYQISISGSAPISAPKM SRAPSLLRSSRAPSLRSRSRTKSHLTLSQSRISLRNTSADPTALVVDGDCVYHVMRHC PTTFLNLAQKCKVVLACRVSPAQKAALVALVKENVPSSRTLSIGDGANDVPMIQEAHI GVGISGQEGLQAVNASDYALAQFKFLRRLLLVHGRANYERLAKLVLYIVYKNVLLLVA QFAFSMQTGLSGQKMYLEWGVQVYNVLLTAAPIVALAVMDHDVRDEIVLRLPALYRSG PLNVHINHRMFGLWVLSAVVEAVTIVLVSVAALENSSGVGGESPGLWFLGNIVMTLIV VAANTKLVFVHHRFNGLNPVLLVGSVAMWVATAVTASHFPLLAGINWYNMVAFTLAQP VVWITVPFVIVVVTGYSFVVRAIAVTWYPSASDIVKEFVLVQKTAKKSPSQRVSKIAP HTNGPPGPVSGRAKLSGTPQAGNSRLRSVVELEA H257_11457 MMAPKIATSAALLLLLAATLTLADGPTKPTVWKQGTLTVVPPSV VPPSTSTIPPTPTPAIAASSTTPTSVPPTTTDVANATTSIPNATNATNATIGYVPTNQ LPAQGVFTYFDPTFGRTSRVRIVESLPLGDFDLAPLPNTIGTAEALALLFDSAIRQLD ICAMYWNLLGWADHTAFSPDQMKQFGADRGVAVLAALRRAIKRGVVVRIVGSTTFAPF PELDGLDVELRLWDASYWYGGGIMHQKLVLVDQQHAYLGSANMDWKSMAQVMEVGVVV ERSRAIVLDMQRLFNLWWLWSDPVLINPLDPTGVLAIWQPVDTFVEKFQAVVRLPPWT SSLVQSGWSQPNPFNATFVSSQFNKDNQITAVWNGERSQVFVSAAPEAATSSTRTFDE DGLIYTIQQAKKTVCLSVMDFVPYSTYKLDSHNEGSIYWPALVDTMLQVVYARPVAIR ILVSRWAHTSAVMIKHLKILQTQSRTCPCAGGVCLGNITVRLFEVPGWDDTGDHRKWP AFSRVNHAKYIVTDGRANVGTSNMEWGYFYNTAGTSFNTDNTEVIDSLQKIFDRNWDS PYAIPLYTYR H257_11458 MGRNDLPAPVSDAEWAIRVELAAAYRLFHKFGWDEVIYGHLTCR VPSEADDRDVENAHFLINPLGIRYDEMTASKLVTIDIKGNYINRGSTGWDINQAGYVI HSCVHEARHDMHCVMHCHTIEGSAVSSMKFGLLPISQLSHVAMSGGVSYHDYEGLAVS DAEKPRLKADLGPENKVFILRNHGLLTGGRTIAEAFFFMYYTVHACKIQVAALSAGIE NVSYASDEVARNFKIGMAPFVKAGVGTEMFDALKRGLPLDYAT H257_11459 MGRNDLPAPVSDAEWALRVDLAAAYRLFHQFGWDEVIYGHLTCR VPNDAADDDVQSAHFLINPLGIRYDEMTASKLVTIDIKGNYINRGSTGLDINQAGYVV HSSIHEARHDMRCVMHCHTIEGSAVSSMKCGLLPISQLSHVALSGGVSYHDYEGLAVS VDEKPRLKADLGPDNKVYILRNHGLLTGGRTIAEAFFFMYYTVSACKIQVAALSAGID NVSYASDEVARNFKLGLAPFIKAGVGTEMFEALKRGLAPEFAT H257_11460 MAAMTMLWCAVYGEKTMFPVTILLEDAYVSDLQKAIITEMKDVD VLPAKVKLYLARKQGEWLRGGYDLEAFLQSGVSSEYPKMLPLWKLTMPELFGSNFEPA VNVIHVLVALPSEPPSKKAKVDSSGVGLGGSIVGTNHAQPPQATWKPDVPPAFCLLND DMFFVDRDDAVKQLQEIHRHTYIRASSLGDQYVQRCRDAWKDLDKPTDFHKTLMRCHT VRLVFKSGALVESSFDAVLIHLLVRQLRDMFEVPPAILSTPPPSVVDFLELLTDEVGP LFIALDEIGAAFTCDSWTDLKSRDRLMAFCRAIVGNWLLIPNMLLVLLGRGPFLGLVG KIPTQVKIQASPFTIFCRLRLRLLRQGPIKTIMAKTFVDLDGTATIKGAYGLEDDQVE AVAQHLFQQTCGHPRSLLMAFTTCQSYAELMAYHACTSRD H257_11461 MLTVIKCGESTNLTAQVKDPGGKLISLDQIASNCCIAWQGTVVE VDDVLLTLGLAVKNYSTAKVSQGTLASECDKFNRMFEGCAAEPKRLNVLVVCATKYDK GFSDEFGNRKAMVAPSDAYPNIAQVILLNLSTPDNRAEFFCLNDAPDLASMLEKRIAK VEVEFNN H257_11462 MFDATARHGAAGSVRTRKKKTVNRMLQALRSGPTSCSKANQEPS ANDASSEEDGTRDDDDDGDLVTQRHTGTRATWKTK H257_11463 MSEEIMAAEDHESLEEHMQLQRVFRILTIDVPDKRLARKLQVVE AKLAELYHASLSDSVVDAKTRDRHHREGKRLEECKAAYLAERHKLHAGGQDDRLATHR ISKDVLAEVLERLGMPVSAAEVEDMVWEVNDGLDGAVSWDEYKRSFLRCKHDKTYLEP TLLFHITCFVMYDRDCSGKVSMDDAMHMLFLKYGNHMEAEMEALFGKRLRDDEFVMTL TFPQYVEALERRRIDQIDQLGVWGKLGAAKRHAKAAATLSTPVKPPV H257_11463 MSEEIMAAEDHESLEEHMQLQRVFRILTIDVPDKRLARKLQVVE AKLAELYHASLSDSVVDAKTRDRHHREGKRLEECKAAYLAERHKLHAGGQDDRLATHR ISKDVLAEVLERLGMPVSAAEVEDMVWEVNDGLDGAVSWDEYKRSFLRCKHDKTYLEP TLLFHITCFVMYDRDCSGKYVEALERRRIDQIDQLGVWGKLGAAKRHAKAAATLSTPV KPPV H257_11463 MSEEIMAAEDHESLEEHMQLQRVFRILTIDVPDKRLARKLQVVE AKLAELYHASLSDSVVDAKTRDRHHREGKRLEECKAAYLAERHKLHAGGQDDRLATHR ISKDVLAEVLERLGMPVSAAEVEDMVWEVNDGLDGAVSWDEYKRSFLRCKHDKTYLEP TLLFHITCFVMYDRDCSGKVSMDDAMHMLFLKYGNHMEAEMEALFGKRLRDDEFVMTL TFPQLTSH H257_11464 MDGGEDFIKRVSRCAPVNHRLGLHVQFFAVFAAKPVALGVLGAF GKAAHGERNGHVVALLALQFPHRNQQLLVSRAVSAALPHLEAPIRAARARGLRPRREL GSVQLVGMLETHVGCDVSLVTAPWKPTRNAHDMAVAIEAIPMYDFGGVLAPRKLTILL GILDQGSIGGRWC H257_11465 MQITSDVLRPSDVSSIQLYIVDQCNRQGKTMYVMNALHSGTRAK WAVEHSYSEFLSLRNKLNMCIKFARHRCPGCVSYSRMLDRFPFPPKKLFHLLNGVIVQ RNLQLSRFMANVMSHTFTSTPKCNLCGGAAFDLTKAFLLDGATSMNGAFTMTAIADSL TPRAFFVEYCRSASKIECYKGRTIVKVVQVQRIPLAALVPRPQPPSPSTAPTSVCEDE EFSTLAHILDGELGHINSVSVV H257_11466 MKTSSTPRSSFMSTASPRMSSSPSLNLDDVNIKTWILNSSLIDN ITYYRILVRRGSTNVIQETKRRYNDFLEFRDNLLDLFEAMPTCPRCMNIAKAVAAFEF PKKHFFSAKSKVVINYRMQAFRNFISMVVTKVFNPSPKCPTCGGQVITLVLRFLLRHA TILPENQIPRDMPRHTITTPSSTRSDATAASFCNFPRSTSAPMSSLRGSITTSTSLSN GPAAYPSSAHRPLVHAASAGALLPSSTLTAAPASKFSSLQRYSVPQEEQEDMYDDFDE DITKQKQLVRPPATFDSFLHDKDSNRSYSAVSDDFDDLHADGTTSATAGAVEQPEGER TSDSSDDEIEMTGVFTTIK H257_11467 MERVYSAVFGEDAQKAALIHETQTKHTEYMESQHYEDVGLGIDS DDHDASCEVPVQSLTPELLEQHNLQMQQGRYHDHSLPPSPPSHPLASTSAATPIRRRP LSKDGSHATELSELGGALKAGARAFVLAYGAKAFTAVLLASRKWGVDDTNSYADALRL LSQSDTLRFGGFVGALVGSFRGTEVLLNYVRGPCAPDDATHKAIAGAVSGLSLFLDTP SRRPVIALYIFIRMLDIYLRHAPWQARLGLDDLLLRKHPVLTKYTTEILFALANGPII YAAAYNPRLLPQSYYNFILNAGKLTHHGTDYVLRRRYRGEIDAVTNQLVEFTPCQPHF HRESCVVHTVKDWLTDGVVRAASLYIPVHFTPLLLFKHRQLVATPATTLSQTAFATFR SSAFLSSYQALVKVFLCAARNICQEDYALSALGGGFVAGLSLFCEDPKRRNELMLYTA VRSLDMLWAMVKQHKTVAVPRCLRHFDVLFFCVSMSVILSRRPEHIKPTYLSLLRFMF GPAVVMMMSPPDEANHPPSHTPQDDKDRQHPPPPRHTVLML H257_11468 MEDHHHHHHSGAVEAKTETELPPSQHHGGDGSSSVDGRGSSDGA SSTSFTCKAIACEVAVTAPDTTCSVHAARPRRNRPASARASAAPTSPPQVPAKKPSSS GPNSRPKAALPQTLDALPTATIELSGDASAQKCSYHDCPNRAKVSQSYGVFCNRHAVV FPCGFPGCRDKAPTNGTRCAKHQEQGTTMLDEALAVRSQSIPVCRTKGCFKNDQGRGF CRGHEKLMMATGQLPHAINKRRLNSAYTMCCFPGCGKHSQRNHLCRIHGNDLIKQAQQ LVENQQTTQTFDEALATLQRELRRCTHPDCDKNAQRDRLCTTHYHLRGQAEKGLDIPS LALHSSDTSKEKVLKFCSEPQCTQAVYCNWLCQPHYEQREKKSGSKQAASKLSAHSNT GSGSSLKQQPSGKLKPDYLTNNPLATPGASNAASASSGMIDLLDHHASQQQQIQQQHQ QQQQQHHLSQQSREQHPHQLYADELSLHDDYGKKPTDHPNHPHHHQQQQQQQHPSDHR HQQPASNTSTSYWDQTSSYQPTSASRHQQLHHSHPLASSYPRYGFIEYNEPSAAAFFQ DAAAPYPSSRASSTCANPSCSRQVLGKGVCEMCLGVSPHVHFPLSTFASSSSSSSFDA KPQGPHPSPPPPPPPSASDHFAWPPPHASGSFAYADSSSAKAGAATTPPNATASSFSP PTRACNFDDCQTVTKAPLCLLHSNATLCVAPHCEEMVAVPGLCTDHALDNSCAVPGCT VAILGSNPTTCVRHASAPRCAHSQCYKYATKASHCVLHDGPVDHCKLCALYDMVCPLL GNHHHHQHHRNTSLAKENNAHNNTAASPHFTTLSGHKLSL H257_11469 MTSTGLRTSATALLKGNSITNSTISTAHSDDALHDFHTAPSHEI KPGEDYYVSWTRNEAVDGCMVCNTRFTLFLRRHHCRSCGDVVCGLCAESKREVYGLVG MHRVCDSCLTNGVWMDPVTRRRLMKIEQSQSLAQEYDDEHSHIMEDSCPNHDDCDNDG RPGTPRDEGTNVGEWLTLTKGKSNDEGGQDEGSEDEHDHTLAKIKEFYTTFAPQDLHL ATAQLAHYGPHQRTLMWRMLQAHYNVSFESAMKCMWNVDDIHIHGQDEPVNPDRDASV RQPVGGCRTILPEVECANNAQADDGSEERVVEPFVAELNVTNDVEEFEQQPEEEDVPP FTTTIDEVPFNQEPLVTNDKSKITPQPSIEYEEHLPEDTDHTAIIQMFELHQPLPTVQ EAHEVVYSPSSNGGSDPSDARMPNNEGIAPLKSLQRLAEEANLAVIIAPPLENCAECE IAEERTLTASSSTNDSAATSTEDTLQTAPSSTNSQSLNKQSKAALPLSQVAVVAFGRR QWLWAGGAFGLMYLSKRSTTWSTWIRNVAMLSLVAPSLRALVIALLIQPRTTPTPLPA TSTSTL H257_11470 MPTSQTTSSVQPQHEPPSSRMTSPPRLLTKQTSEITPQSVRVAS IFQRHTSMESSSPSRLKMQTKLHSPTHAFKVSPSEDKVTSPTKKIKKHLRQGFRLFAN ASYKDLREPEMQWNRVPAPPKDPRSDEPYAVWWVEDEGLLGCMICHVPFGMYFRRHHC RSCGDVVCSDCSKARKEIPGLLGSSHRVCDACMCDGTWVQPTGQETTESAQRLQSSDD DTTQVEWVEFNDPLPPPPPESSSAWGLQERQHSYAAYDEGNSSPGLCAPPSNLCLPMP VPVVESAWVADFEDGTAVIGTKVEGQYSMDGLDVTLPISPLEVNDMSACCGIRGCCVI S H257_11471 MPTSLEEPQSPPLATHAAYFDLSRLPCRLWRSRFSDLHEHSITE YYRQECLLAYYNLHQEPPSHAESEPLGRKRQRLSRRVSFRSATQVMGVADPNVDRRPT SVARVSPVEMQDLILSRVIPPQNQ H257_11472 MKWLGVVSMLATRIDATVTTIACTKVTSFEDLLAYWCICSPCHL CTYSLTQGCTVVDSNAVTMSDGSDVRTRQPSLDPTDWFFTNADITRTRNGIARTDISP YTSNNSVLVFDATSDFFRAMYQDLLGTTANDALYFNAWTLGNVPYIPDIDPTKTVQAV WADLVARNVSLNALVFENAQESKPVAEMFNWFQQGPSKGSSQMALDNRITAVSGSVHQ KSTVLRTTGEWVAYVGGVDHCRDRWDTKFHNSTALRKATNVQVGYDGWVDVHTKMQGP AVQDILNNFLSRWNDPTAPIITPLFSLLTSLPTAYNNLIRPLPPVALPPPLKVVNGTH SVQITRTYSCQYKGYQNFAPQGETSILASRIKAIHQAKNYIYIEDQYFVHVPELLAAL EQVLPTIQRLVVVTSLRSSFSSAAGYDKYLFDMVAPLQTKFPHKFHLYRTIDSIYVHS KVVLIDDVYLSVGSSNWNVRSMTSDAELTANIVDSATVQDPSGDTTVTKLARNFRLAK FGELTRFSIDFSRMTVVQATDALVLYATSPSSNPFIVPYVVTYELMFELYSPAVQNFF EGDGRCLNVSDDFCATVSTSDYEIVQIACQCAKDKVNLDVCPAMLQYNHDQTAKNLTS ARFQKILAICIAVVVAVVALLTTAIVFVYKRYRKQSKVP H257_11473 MAPPIHRQQGWVGWRHLAILLWTLLVHDAYAQTSYSPGRADTRV EQLNLNNSFSCSGGPTLCPDAGTFKYAGGNLTLTIVEMRNLPQLDSFGPFSLTTDAFI RATFGVDILSSDPLLNSLNPVWPPCVQRGCQGKTDIKRDLAFGYRTSGTPFLVEVFDY DDGMEFGNDFIAALTVHVIYCSAFSSIVQFTPNAGEDSSFAMPKQPVCVEEVWLPLAA GVCIDASGNFTDVPCMRLRQTVVPFQVKVEETFVTSARVAGGMGGFYPDVPVSLYTSV YGRVWTPGDQRLQNYYKMSKSQGGILVRPDNTRGMNNNPGNQSLIPIYGFAPFARVTM NFDAEMYVFRRQTDIETDTLLGPLEWLDPTLGWVKQQESAQVVNVAEDFDAVSRNFTA HPVNKYGDALGNGIILGVNIRQDNPDVTLSMYFAVLVPKVPGLGTPPVYSKEFSRGAF FLSALQFGPSVVVLLYMSVQYCRKMHCRLDRVQSYLAGIALQHHQQDTDDNAKGASTV MTTTTPPSVSVPAATAKPKPTKTTKTKPLPVVAMLFLCYDKSPHNEDYRRHMYYATMA VNVCVLAPFCILITWGATAVSTVTPPAVGFFLIFIGGGGLVGVYACVKWQRLGWRMTR EIMSCMAAACICGFLFLFCSIFADPRVFYGGTPIEFFSLTAFSLTLNMLPMIWLTFTN DKKIMKSLAQVLAVVTVGKKVGLLKKKFKSLGTVGLKLATATESLRHERGIKPVSPFD ALLGPYYSVVRTIPGFALADILQSAFVNDKPTKANRRLYLTALAILVIYAIVGYVRSD YPTQGIGILVTILLLDATLALVLRGHLTWSAGYISLLMGLTRVSLVATCGRYWLLGQT MSFAIFGIALCREIVGRNLPRMSSQEAGGITFFGHEYQHEKQLDLSATPEFGLGFLSF FFLFLLVAVAFTTDSATGVKLPMFGQFWPLWVFGVLSFVIVLFTGIALASSRAFFLMK QRLLGEYAAQVYLYKPGFRLPFILAAASELMVVMSGLFLWAATKSTFIFLLSIFSPIL LMLSTVVFVQWRKNDHRLVIWPPEDEDDEVDDEEFDEEAEFAKEADAMRNTFVLPSLR GGQLSDDASNEFKMPPLPVLKGGGALLGLGGGAASVLQLAGKAKQHPKNTIEDDADDA MEAAANEANDVEKQQKSDTMLDLEAGDAPVDPTGPGDANVDAAASPPPAEGGTTTTPT PQKTKSTWRERLRQWMDRINCRRRGGKYQQVTSPRDIPPAEIDFEKMTLYQAFRQGYL LQEDYLTLGSFAGLLACLFVFGVLASFTEAPGWFGHLLWVAAFVLIFSVSPVFKWFHV LDITSDIRQSVLFSTSLAWIMGLYLFFVVQDMHLYDVQSLWIFTVLVFYPLGLLLIVA LCKWRDDNWVFSNFIRQAFLVLSVVLPFFLFEMYIWVSVPVGGGFTFVVFTAYTTIYF LRQWVLNDYYLAPRYQTIANQIIVTCAVGFVTLAVLFGVNIFFCFSIAMIVLLLKFVI NIVAIRMSREPDAVVFYSPYVFPVFSYNAATNNVTDENSETMNVYHALLTAFVWGCIG VMFFDPLGFGIGLSSFALLAFIGYTANLCSVTPVRMGIAAKYVNESILHEASAVAKGV FDDRRQPLILESAEFVDRERREKEAELEYQRLSYGKRKSAVDVAALEDIGRVVATPPR KSAADTALEIDDAIWQCSNRLLDDGSTGKRRDALFTYADIVRDMLQHGRGPFGYVGLF GFGFKALVKVKNHRVTQLVQRMVMKKGQELHTAAANSKMLQHAKSSVVATTAKLNKTI KATASAKTKEVEPPQPTSPGTNTNNPSGNLSNIDVDMDPESGNAVGIGGDSLPLIVAP SAPVHVIEESYEFVDSLGHLHGLPAKDATLDLEFFEETRCIIHFQLMLLNAADARLSR ERVLFQKFLRENRFKLMSNGINPPADIFKTSSHASIDIPLVATWLISLTREERQRFHA LKAAFSVEMDRKDAIVDAEDSASVAHQSEVRAYWKTRESDMCRKMYEESVARRVRREQ EGIAVDESVLEAVTNAHEAISEIESGYACNVGQYGRSLQFVDPEFPPTFASLAGCTNE AEVVDWRVSTAINITAGLFDGGTDPDDVRFGRLNDGWFLSAVSILAASGGVDDGKVDP VIDNLFITKQTSLTGAYAIRLFKNSQWETVIVDDYFPVLSDSHKMDISAGAAFAHSRH FEELWVPLLEKAYAKYYGGYAALEQGFVHHALEALTGHTSEEIFLSQASRGAKKKTLW KQLLGNKSNRFLMGAGTITSDNADHEILDTGLVFGACYCIYDIREIDGHQLLKLRNPP GDHAEWRGDWGDDSPLWTRRLKKHLGVLANSNDNTFWMSFDDFCNAFRCLYVCKYYDP DKWTKLIRHGAFSIKCDTASGLPTRHNPDCTLENNPHYSLGVTRPTEVIITVTQVDKA GLAPVTVLPIAVYIVQGAMADRASRVKVLDKLHVIKHSGAPVRERQVSLQCVLQARTY TILIAAYKKDMEGPFQLTIQSNYGVEVDQIWPAVWREPKAMNHMEKMAMKLKETVEDT AAGKKLVANATKYKNRIAAGLEDALQDEGDDVAKLEADKVVEEQKTKKSPWIEQWDDA QNKPYYFNKETGLSQWDIPPDM H257_11474 MSDVVTEFKVGMTCEGCSGACERILNKIEGVTKVACDIPGQQVL VTGTADVTVMLEALLKWSKASGKSVELVH H257_11475 MQNCDPALLAPFLGLVVDLTFIPRPPRFRMDNNLSYYGGNVLCF DNLPTTTRVFYKPDLPATNVAMSLLVSVYYMDAASAVLNGLLAVRWGHRTWYVMDIKL WGCFAMALDDNFPQLISYAIPLTD H257_11476 MTMDGDPSGVLLRGQDIMLEMLRQVQGYFRTNDPSKKTEGWRNL DRAAMTAICSSRISHILDSEDDPAMLTGTDNEEDNQGQQVLEHAVIQEILLGLVEKRL HTTQLQVTQGPVDVQFLKQQFVTMARDSEHMHKELSVSNNNSDDVRDAKVLAFWALDD LDKQSSVAHLRDTIRSQDATIQAMLRELNALRTGSYGSNDRQMSLTGDNDDSVGTIGK FNWSPTSSTYGDDDQPHTSHHRNVDASIEELRSSVHANYRDNVDMLHEHIQHLETQLQ DAARHVADVTKLNHQLKLQVAQQVAPSNGNNATGYVDAMSGLQRQVQDLEVALDAATA HSRALESELAVPSANLAYLSRQNALLQQRVVIYKKELDDQVAGQQLLHKHVNAEGLEH STECHHRFDGVCNDLIESLRAEILHGRDLHRSDLIDLLDQLSDLTRENHALRESGSVE PGQSSPTKAIDDTLERVARNLHAENGQLTEQLRSYEATIAATDGQMAQLRQEREAQEV EVDLLLQKLNPMVAAHEAVMEERKAMEADLARREQTIQALQTNVYEMKREIKIISADV DAIVEEKEIMQEELDELRKRPVGGTATSTNEPCRACAGLHQQVNELSAELEQVHESLE AAEAETRPLRRSVSMKDQTIQETKARVAELERRLNNDKSEYAKAVVERDHAIETLQKK VFEYKREVNVLTDDLDSIYEEKHEVEQKLDQVETQLVNAQEELAELDRVVAELRIDVD RWREAYELARMQHEEERQHVMRWDAVVRAQLESMQGTSQEWAATQSETRAKYDSDVAN LKGQVLSLQDSLALLTTSEEMLMKQLQDMAAAKATIESDKSSLESQFAVLEGQHRAKE AAWSVELRDLQRLHDDAVTSWDATWQSTVQAHDAAISVERDEKAAVVADLQRAMDELN ASRLALSDDVDRLRLEKQAEVDGLKATRDEFLAQSDELATEVAKWKAVVDALTLEKST ALERVETLEQHLDESLRQCAQFEAEMERHQKEFVEGRNHLNATVAALTESEQRLQQNV DELSNAKAVADDRVTDLQRQLDAATESYNVLHGEAAAHVADKTALRDNVESLQQLSEQ LQAAHDAVEAQLTHSDSVCKALEVSVAEKKQAAATQDKEIADLTARLDQLSSSEQELL QKLQSLTQEKSVLEQQVPETVRQLATLSRSHSELETMSQSVQSENNRLKDLVETLSKE KAAEEHKMANLQEVAEQFESELAAVTFDRDSSMTKVSVLESAVAKKDGDIAQLEVLLQ RFKDKVATLKTSQSKLKADIDAANTHLATMEHDHETTVQALEATEMELEGQLQTKEWE IQGLQTQVVELTAAWTATKDTMAADKQRFEANQLHLEGQIQANELKIQDLLAQLSALT AKWQAVVSTNESLASEKQRLTTTLEQAEGLVQAKDAQVQALQTQLDHVTAQLNDVAMS KDVLTSEAQLRQQEDMSKLESLERALAQSKADLDAAATDKHDTVARLQQLLDRFKDKV AQLTASEVSVTNQLDVVSAELKAKTGAASDLQRQVDTLGSDLEDALHQVDVLRRENAA FSDESVALSAQIVSLTAAQVQSQEQVAELTSSVSDKVNELAKLQALVDRFKEKVAALT SSEAKLMLELTQLHDDKQTLEMTLPEQMEKLASLSRSHGELEVAKKVADDANEQLKAK LAAVELEKATVSAEREVLASQLAAQVATYKDLEASLVQKENQISTLQVLLDRFKDKVA ALTKSETSLAQQLTAVRDEKDELEMHLLPATQEKVASLSRSHSELESSSSRIHADNQR LQEALDTLTRDHDDHIRACNARDASMTAERRGLVDQITELESEMNDNHTKRMELQASV SYKEDQVAKLQLLVQRFKEKVSALTKSEDTMTKQVMLLAQEKTALEMSVPDHQAKIAS LSRAQVELNDAIQALQTQNAQLTSDLDAFKAQKAQWVATDAAFSADKAALDAKVADLE AQLEASAASREQLDATKEAQDQLTHRLQEELKTLQDELKRTIDERDAWRNQVPEKDHE LASLSRSHSDLESSAQSLGRQNDRLTAELERLVSELDTRTEELNDEASRCSEAKAAEV RVAEQLVAAQQQWNVQTTAWQAELVAKEEEISHLQELFNDEVRTLKADEALATDRYDE LAQVHMELSRVHDELADKCNMLELQLDNATKELAESDATYKLTCAELNTKLFTLEELL SKKDAVVLDLHASVTTLTANVASLQQLIDEKVALIGQLERLLATRPTTATTSEPLSLP DEYALILSENEQLRLGQENLNTIVGVLKDQLTDAQDVPAQGALPSSVASFVQDVSPVL GLQATMSSDRDWSLEDLQSSLQNVQASIHAISASKSTRMTTTLDDDDAMMNVGDLDGS TNSNGSSGTWLKAIEEANDLNDKAQSLNQQVHRSRDIGGGEQPVAMASLFPDGVGKDI MSVVLEIVATFDDKDTDTSGHDEGDTAPNQNNIARTLRSAWQFRQRLLRQLVAAMHEV HPLSPSLPREGQVDTWQQDMARSVVVSEAQHHALNHAFLTMHHLLYPAQVANASMDLA KWADYTTSAEFADNLRQYSTRMDALERDSDDVRDVVLPFLAGFTTTDDENENDVQTND VHQAEATSKRGPLAKGVHQVQEVLDHMSEALATMHTALTNASTAENSTASAIGLPQNP EGWIQYIRSQPFAELVHTHQALSKQLCKALRVLCDTHTNAVSVPTSSATPPLATSNKN PCSTPILKQEVATTATLDVPSRDDDIAGWGEFVSSQAFFNWVASLQGSTHAASTSETQ ALCDALQNMHAVLWPREAMQPSMDASDWAAYIHSQPFVDMLRQCAGQGHHKAICAALH KMHLAIATTADVALSTQSMDLPRWTEYIASDKFDSHLRQYTTRLQDIERESQVLCDEL DEMHHHLMAPNRPDDLVDDVPHGRKDMAQWHQYIQTQEFADTMAQYAQRQEALEADSH DLHAKVVPLLIKHVPTSTSTNVDDLVRAFESTQAPSSDEWTRQDAAITTLTETVARLR QLCGLAATTSGSGPPSQMKTTPTLSMHTHIEQLDVLVNDLTEVETTLATLPSPPSDLT QLVAMMQRQQGTAVLSSSADENSVSPDDAHVLQLRNILSRLQQYQKKWTDWRRHHQDG TVSEDTMNDASNDDEIEKQAEFHVNASEVLQAMELANECQIAAIHVLETHNRSCVAKT RVEIATKCSVQRAFLRWKHKSAMDSVKKSHADELKSLKDKMKPHATSSDEIEKLKEIQ LLQLIRFRKQALAEQAKVRADTRAATTEEMIELYRRREAQQQGHCTCQWRDKIRNSRD DEEWWKHQTNTHQANAKDEDDGCSVASDESKTSGSRRQQQLSRSQLRQPGYELRRQQR RRDSLSGQSSVGAPTPVKKVVTHERVGFGSGVSRHFTPPPPAHSKSTDPSSTSCLTTI EHWKQQNAKITKHVERTMKR H257_11477 MSYHRSGRTRRRLPQEEVHSGHGEGVVDDTVYLLAGSGQRGCGD GRAASASFNAPTDMCCLGDDFGTLVVSDSQNNTLRFLVPPSSSFNGDNDTEPRVQSLR HARFSSPRGLAVTSSWDRTYLLVCDSGHHSIQWGQLPSTCPLDEMAFDTFAGTGFRGH HDGPADTATFHHPCGICVDDTETVYVVDTGNHCIREIRRIKKHVTSSRSGKSTHHWVV STIAGCSGSGGIRYKAVVKNERGRVSNHASGYADGPGDRARFRAPTGICMGQGSGELL VADTFNHCIRVVCRSNALNAWTVHTIAGGIQSGHLDGGCDAAMFNQPVGICRAGDSSL FVADKGNHCIRHIGGWIGKLKYSWVRTISVGDLAPSWRFSKGVEPPFLLPKGLTVLPP RHRWYSPSQHNHHENNNKSAPQHVVVGVCDTGNHLVRVVSLEIEDSTTFDNSNAERQP KYVGVSTTPSATAQVDWQDQDVWLVATPVPQSPPLSHNQATDELERLRQENHRLRLEN AAIHETLATAADSIEHLTRLLTGRTALTL H257_11478 MHPDLITVNLLTFCNMTTTSALFRAGLVGFGTAATFFHLPLLQA SGRFEVTHVVERTQSLSRSVLPNATIVRSVEALLAATPPVDVVVIATPTNLHYAQAKL ALLAKKHVVVDKPFCVSHAEAMELVALAASQGVLLTVFHNRRWDSDFLTVQDLLRQNL LGHVEYVEIHFDRFRPEPKHNWKEDPAIGGGGLLYDLGSHLVDQMLVLFGPPASIQAK VETQRHYGSSSVGTDDYFHLTCQYDSGLVVVVTAGMLVAEIGPKFILRGTNGSFEKYG LDAQEASLRIGGSPATDPTFGVEDESLWGTWTKGPNAGERVPSQRGNYVAYYKGVADA IQHGTPAPVRAEDAARVIEILEQAKAHHVAPPASTANRTTALTG H257_11479 MAAVAVTECTIALLLFGIGAGLSDSTKFHMALGSQVHSVGGGFV FLSLLYPVVAGIGFIGAKYHNKFLLLVHMGGLVALAVMQTSIATSGLVLASPDYSYAF QDACLTNNFLNNQTQRELCQPFFLSDTFGGLRLAWQTFYVESLADQTAGAGMQKLQDA NVCCGLGPPRHCQNDTRPFPSSRPSTDWPTQQVCPTTTKNSGDYMPTPLCYAGGSCSF DYPIGSCGMSGAGLFAKGCASALHRNMASTLQGLCITVQALLFFTVLFGCSTMCLMFK RKDEDVLPSGTQISIRPKETKVYCSREIAQLEKDF H257_11480 MGNKKKQNQRKKAAATGECGRPAASASPAEHALPISEATLDACK AMQDEEMEVLQAIYGDDYSERKSIKGFPSFAISISKQGETLSSNGSGDIQGVATVSLR LQLRRGYPVADVPDVDVESSDGLTDSEVATLEEMLQDLSREKLKLGEGAVMVHDLIVA TEEFLLRHIKDQRSFFDQMVKRHQKQAERDAEEHRRTKALEQEQAMHEEQQMQKVIQA DIEKKAKMKHRGGQQHHHHHHHHHQPPTATAVDHATSVRTTAAGRYDSSDESSSGSSD GSFGDSDSDSRVVPAQHSTSRYWNDFKEDKVLGRGGGGEVLKVQNRLDRQWYAVKRIK LDSNDPTMKKKILREVKTISRLQHRHIVRYFQAWIEGSGRHGDDSDDDQSDGWSDEDE TSEFMSSSDNSSNSEEEEDDWLGHSRSRHHSTTTLTSTTAAAARTKPRATSNAAMLIQ DDDGSSSSSSDDESRSGGVKRGQVVVTDGWEWTVDAQAREANVHHHHNGGGGGGGGGP VAGGPRKPKKTTNEKLFIQMEYCGGNTLRDVIDQLSLWKSEDKVWTLFRQILEAIAYI HSEGVIHRDIKVTSRDLYYFILSI H257_11481 MTFASTNVSPRPRGSITTPATLRPSTSWTWDATTTRQSWPWYMY MFVCTCFLLACWFVILWVSSLTAVSSIATTRLVDQCCTAAVVVVASALLYACLSWLLM HVPTTADFGFKLWSRLFHLSMTSPSIHHPLDDGYMRPLIEICTWVAIVLGTYVMYRKP VVAVLVGGISGIWVVAVGDFFVVYVRIHSEFQRRQHHDENAASPWRLQYAITTVSLGY MVLGVVRLVYSLIPSTTSVDDDDDGQKRMAWQYLLSVLMGVSLIVTSELLMLYEPTWH AGMVLQARVVNAKANWMVHPVRSMAEVAFVCGVAVIVNDYTDNMVLSLQLGTVICTCF VLCGEYVVYPTLRGNSFDELNAPTMVPRDDHWIKVLPLLSLAAFMLYYVGRLVVAFVF VGHSDMLTSVVVPSLLDLTLLAVVSRTCRLSVVWSQMVSLPRHSTLQPLRTSLVVLAH VCFVVLLRATPTTTHLPRWAHSVATLMFDIALVYMQLLGPAIWDAVYSVEYATSWKIQ VDLHRAMSCTISDSTTRHLIWDVPDELSVEQGHDQHEPGPRQHNLSHGIRASTLINVC VAGIIMPVAGWRYGYSLLHRLSGVSAVLAISVLLASCSGVLIGVLTCSTHPKFRLFRR VLVLVVTQQFVLHPVQVFVQAVVCVGVLVGTYATSGSWSTSVLLAATSLATVMGGSHW VVRGRFVLTAATSSVPSSDQSTVAVLAFMAVLLTYAVGLSLFVIYYHIADRIEVAFCL ATLSGVVFVAASELCIMWAPTRAAGIVLQTRVTHCLRNWQLEPLRSFLEFFVWLGVTY GTFVLYQDVVVALHLGTLSGIVVTLAGEVFRSRWWHPFPISGASGADSPPDESSVHQQ RPKVLPLLLLFAYVGAGTFQWIFEHLRRLEVTVVLATVAGIVFLCVADVLAMWQPTRW AGVILQDRFLNASEHWQVHPVRSFVESGCFLGVIYGSHAIYGDLTVAVQCGTLSGMLV TLIGEQLKSRRRTNLLAHSPTISDKQILPFPIISVLGLVGCVAFNTIYTHLRNIEMAF VLATTSGVVFVVLGDVFVVWAPTRYVGLVVQERVLHFSRDLRSWSWRSYCELLLCTGA LSASYCYLWAGDLLVAIQLCTFTAIVVCVVDDRIVRSIHEYEQTMVQQGQWHVQDKSD LLALPYDVLFEIARCLPPEELLGVRTTCHKINALLRAESKRFWLHATLRRQFGLTKAR QATALNPYHRSLIMDAWAAMVSKLFAPREPSAVLSSTVAHNQALKWVFLNADWIRRQN LPLLTTPATTLELEAHDAGFAVFRHIPDKVLLGIEVTKNAQYVTTRLTVPTRVYASLQ ADPFSFVVSETLYEVEGLSTWSLGTVVFVAMAVVCLGHATSGVALSLWGS H257_11481 MTFASTNVSPRPRGSITTPATLRPSTSWTWDATTTRQSWPWYMY MFVCTCFLLACWFVILWVSSLTAVSSIATTRLVDQCCTAAVVVVASALLYACLSWLLM HVPTTADFGFKLWSRLFHLSMTSPSIHHPLDDGYMRPLIEICTWVAIVLGTYVMYRKP VVAVLVGGISGIWVVAVGDFFVVYVRIHSEFQRRQHHDENAASPWRLQYAITTVSLGY MVLGVVRLVYSLIPSTTSVDDDDDGQKRMAWQYLLSVLMGVSLIVTSELLMLYEPTWH AGMVLQARVVNAKANWMVHPVRSMAEVAFVCGVAVIVNDYTDNMVLSLQLGTVICTCF VLCGEYVVYPTLRGNSFDELNAPTMVPRDDHWIKVLPLLSLAAFMLYYVGRLVVAFVF VGHSDMLTSVVVPSLLDLTLLAVVSRTCRLSVVWSQMVSLPRHSTLQPLRTSLVVLAH VCFVVLLRATPTTTHLPRWAHSVATLMFDIALVYMQLLGPAIWDAVYSVEYATSWKIQ VDLHRAMSCTISDSTTRHLIWDVPDELSVEQGHDQHEPGPRQHNLSHGIRASTLINVC VAGIIMPVAGWRYGYSLLHRLSGVSAVLAISVLLASCSGVLIGVLTCSTHPKFRLFRR VLVLVVTQQFVLHPVQVFVQAVVCVGVLVGTYATSGSWSTSVLLAATSLATVMGGSHW VVRGRFVLTAATSSVPSSDQSTVAVLAFMAVLLTYAVGLSLFVIYYHIADRIEVAFCL ATLSGVVFVAASELCIMWAPTRAAGIVLQTRVTHCLRNWQLEPLRSFLEFFVWLGVTY GTFVLYQDVVVALHLGTLSGIVVTLAGEVFRSRWWHPFPISGASGADSPPDESSVHQQ RPKVLPLLLLFAYVGAGTFQWIFEHLRRLEVTVVLATVAGIVFLCVADVLAMWQPTRW AGVILQDRFLNASEHWQVHPVRSFVESGCFLGVIYGSHAIYGDLTVAVQCGTLSGMLV TLIGEQLKSRRRTNLLAHSPTISGICLWNL H257_11482 MSSPPPPTAAIAGLAPLESIRAVPEHEKGYYLPNDAEAEPTPQD PVSPSDSHSKKRKLDDEGTSDVVPPAAPHVAPHVAPTVAPPVASPVSANVPSYQTYIP TEDDVAEGKAIARLLSPFTREQIVSILISAALQHKSIYNEIRTMASVDVAHRKLFVRG LSWDTTSASLQGVFETYGKVTECTVIMDRTTGRSKGFGFVTFEDMDSAEKVLSIQPLD VDGRKCSCNLAAVPENNTNAALAIKHHIKTTPQSTYGAHPYQQQQPTYGYTPTPHAPH GHHGGGKVLYADLGPGGDENDRKLFLRGLDYNTSTESVTAEFAKYGDLEEVTIAKDRT TGKSKGFAFITYRHMGSAKRALAQPQKFIDGRATHCNLASLKQAYAPPQYGHQHQVAA PAPQVYRPPTVAAAAPQAAMGYPPQVYQQPPAAAPYLAYAPPAAAPYAQPPPQDMYRM MAQYAQQQPMQAPQAPPAPLAYYHHPRGPNAAAKPQ H257_11482 MSSPPPPTAAIAGLAPLESIRAVPEHEKGYYLPNDAEAEPTPQD PVSPSDSHSKKRKLDDEGTSDVVPPAAPHVAPHVAPTVAPPVASPVSANVPSYQTYIP TEDDVAEGKAIARLLSPFTREQIVSILISAALQHKSIYNEIRTMASVDVAHRKLFVRG LSWDTTSASLQGVFETYGKVTECTVIMDRTTGRSKGFGFVTFEDMDSAEKVLSIQPLD VDGRKCSCNLAAVPENNTNAALAIKHHIKTTPQSTYGAHPYQQQQPTYGYTPTPHAPH GHHGGGKVLYADLGPGGDENDRKLFLRGLDYNTSTESVTAEFAKYGDLEEVTIAKDRT TGKSKGFAFITYRHMGSAKRALAQPQKFIDGRATHCNLASLKQAYAPPQYGHQHQVAA PAPQVYRPPTVAAAAPQAAMGYPPQVYQQPPAAAPYLAYAPPAAAPYAQPPPQDMYRM MAQYAQQQPMQAPQAPPAPLAYYHHPRGPNAAAKPQ H257_11482 MSSPPPPTAAIAGLAPLESIRAVPEHEKGYYLPNDAEAEPTPQD PVSPSDSHSKKRKLDDEGTSDVVPPAAPHVAPHVAPTVAPPVASPVSANVPSYQTYIP TEDDVAEGKAIARLLSPFTREQIVSILISAALQHKSIYNEIRTMASVDVAHRKLFVRG LSWDTTSASLQGVFETYGKVTECTVIMDRTTGRSKGFGFVTFEDMDSAEKVLSIQPLD VDGRKCSCNLAAVPENNTNAALAIKHHIKTTPQSTYGAHPYQQQQPTYGYTPTPHAPH GHHGGGKVLYADLGPGGDENDRKLFLRGLDYNTSTESVTAEFAKYGDLEEVTIAKDRT TGKSKGFAFITYRHMGSAKRALAQPQKFIDGRATHCNLASLKQAYAPPQYGHQHQVAA PAPQVYRPPTVAAAAPQAAMGYPPQVYQQPPAAAPYLAYAPPAAAPYAQPPPQDMYRM MAQYAQQQPMQAPQAPPAPLAYYHHPRGPNAAAKPQ H257_11482 MSSPPPPTAAIAGLAPLESIRAVPEHEKGYYLPNDAEAEPTPQD PVSPSDSHSKKRKLDDEGTSDVVPPAAPHVAPHVAPTVAPPVASPVSANVPSYQTYIP TEDDVAEGKAIARLLSPFTREQIVSILISAALQHKSIYNEIRTMASVDVAHRKLFVRG LSWDTTSASLQGVFETYGKVTECTVIMDRTTGRSKGFGFVTFEDMDSAEKVLSIQPLD VDGRKCSCNLAAVPENNTNAALAIKHHIKTTPQSTYGAHPYQQQQPTYGYTPTPHAPH GHHGGGKVLYADLGPGGDENDRKLFLRGLDYNTSTESVTAEFAKYGDLEEVTIAKDRT TGKSKGFAFITYRHMGSAKRALAQPQKFIDGRATHCNLASLKQAYAPPQYGHQHQVAA PAPQVYRPPTVAAAAPQAAMGYPPQVYQQPPAAAPYLAYAPPAAAPYAQPPPQDMYRM MAQYAQQQPMQAPQAPPAPLAYYHHPRGPNAAAKPQ H257_11482 MSSPPPPTAAIAGLAPLESIRAVPEHEKGYYLPNDAEAEPTPQD PVSPSDSHSKKRKLDDEGTSDVVPPAAPHVAPHVAPTVAPPVASPVSANVPSYQTYIP TEDDVAEGKAIARLLSPFTREQIVSILISAALQHKSIYNEIRTMASVDVAHRKLFVRG LSWDTTSASLQGVFETYGKVTECTVIMDRTTGRSKGFGFVTFEDMDSAEKVLSIQPLD VDGRKCSCNLAAVPENNTNAALAIKHHIKTTPQSTYGAHPYQQQQPTYGYTPTPHAPH GHHGGGKVLYADLGPGGDENDRKLFLRGLDYNTSTESVTAEFAKYGDLEEVTIAKDRT TGKSKGFAFITYRHMGSAKRALAQPQKFIDGRATHCNLASLKQAYAPPQYGHQHQVAA PAPQVYRPPTVAAAAPQAAMGYPPQVYQQPPAAAPYLAYAPPAAAPYAQPPPQDMYRM MAQYAQQQPMQAPQAPPAPLAYYHHPRGPNAAAKPQ H257_11482 MSSPPPPTAAIAGLAPLESIRAVPEHEKGYYLPNDAEAEPTPQD PVSPSDSHSKKRKLDDEGTSDVVPPAAPHVAPHVAPTVAPPVASPVSANVPSYQTYIP TEDDVAEGKAIARLLSPFTREQIVSILISAALQHKSIYNEIRTMASVDVAHRKLFVRG LSWDTTSASLQGVFETYGKVTECTVIMDRTTGRSKGFGFVTFEDMDSAEKVLSIQPLD VDGRKCSCNLAAVPENNTNAALAIKHHIKTTPQSTYGAHPYQQQQPTYGYTPTPHAPH GHHGGGKVLYADLGPGGDENDRKLFLRGLDYNTSTESVTAEFAKYGDLEEVTIAKDRT TGKSKGFAFITYRHMGSAKRALAQPQKFIDGRATHCNLASLKQAYAPPQYGHQHQVAA PAPQVYRPPTVAAAAPQAAMGYPPQVYQQPPAAAPYLAYAPPAAAPYAQPPPQDMYRM MAQYAQQQPMQAPQAPPAPLAYYHHPRGPNAAAKPQ H257_11483 MRRAQSTKQLRLVFSFGVAVGSMGTLLALYEEDNLRRSPPDGSP SLPPQDTSSTLPPHEATRFGYPSTCNVKVRDGYVLGYNRRLRNAAWVAEYITPDSLKK ADDVNRVKASFKADMSTPDLFRVSPADYLNSGYDRGHLAPARDMSSSQESVNASFLMT NISPQVGKGFNRGYWSRFEGFVRHLATHYGGVYVVTGPLFLPARTPQGDSYEVQYPVV GSPPTAIAVPTHFFKVVLVQKPSTHSNAYLAAGFVLPNQAIPDHTNLTTFVRPIEYIE GVSGLLFFDQLRYADTSRRVSIGQLCNDLSCALPAAVEYKTAIASKNSSNKEASK H257_11484 MEECRACAHNDIEADMRAKLLLHELKLTVSTEAEDRKSINFKAM ARSLVAVVRTQHHVDTTAPSDVPFGLTSETVRDTWGLNDTEQMSMMKEAMRVRPPHCP IQSLDYDQVLLPPEVEAGLRAKCELLCDTFYPLNSHTPLPASQLHRLPQELAAFQADV TAMEAKRDVLEAAVEGGLEEHIRLLTDMTKHIVSMIKYYRLDDVTIYSRLKMEFVQSC VAAMQKQIELLTTQLLLQTYPPHKLRSLAQLRLHLDQRYRMASQRNWEMRKELDKYRT STSKDLRLLHERIATAQAKLNLGARHNIPLHPSQHEVDN H257_11484 MEECRACAHNDIEADMRAKLLLHELKLTVSTEAEDRKSINFKAM ARSLVAVVRTQHHVDTTAPSDVPFGLTSETVRDTWGLNDTEQMSMMKEAMRVLLPPEV EAGLRAKCELLCDTFYPLNSHTPLPASQLHRLPQELAAFQADVTAMEAKRDVLEAAVE GGLEEHIRLLTDMTKHIVSMIKYYRLDDVTIYSRLKMEFVQSCVAAMQKQIELLTTQL LLQTYPPHKLRSLAQLRLHLDQRYRMASQRNWEMRKELDKYRTSTSKDLRLLHERIAT AQAKLNLGARHNIPLHPSQHEVDN H257_11484 MEECRACAHNDIEADMRAKLLLHELKLTVSTEAEDRKSINFKAM ARSLVAVVRTQHHVDTTAPSDVPFGLTSETVRDTWGLNDTEQMSMMKEAMRVLLPPEV EAGLRAKCELLCDTFYPLNSHTPLPASQLHRLPQELAAFQADVTAMEAKRDVLEAAVE GGLEEHIRLLTDMTKHIVSMIKYYRLDDVTIYSRLKMEFVQSCVAAMQKQIELLTTQL LLQTYPPHKLRSLAQLRSSPSPAIHIIDD H257_11484 MEECRACAHNDIEADMRAKLLLHELKLTVSTEAEDRKSINFKAM ARSLVAVVRTQHHVDTTAPSDVPFGLTSETVRDTWGLNDTEQMSMMKEAMRVLLPPEV EAGLRAKCELLCDTFYPLNSHTPLPASQLHRLPQELAAFQADVTAMEAKRDVLEAAVE GGLEEHIRLLTDMTKHIVSMIKYYRLDDVTIYSRLKMEFVQVRSLLCCFRTRSRLYSC GRSRA H257_11484 MEECRACAHNDIEADMRAKLLLHELKLTVSTEAEDRKSINFKAM ARSLVAVVRTQHHVDTTAPSDVPFGLTSETVRDTWGLNDTEQMSMMKEAMRVLLPPEV EAGLRAKCELLCDTFYPLNSHTPLPASQLHRLPQELAAFQADVTAMEAKRDVLEAAVE GGLEEHIRLLTDMTKHIVSMIKYYRLDDVTIYSRLKMEFVQVRSLLCCFRTRSRLYSC GRSRA H257_11485 MATTTSSVPATPSEIATTREASSLSISPAPITIMRHYIGVDIGG TSVKCGVVSSEGVLLSRNQRKIEDDRSSDVVVGLCIAIVKECVEEAGISWSHIAGLGV GCPGQASNGVLVAAANFETWKDVALERLLNESLHIPCTLVNDADAAVAAEHWVGTASK VKNFIMLTLGTGIGFGVVNDNAILAGGTGMIEGGHVIVVPNGRACGCTQKGCLERYSS ATALIQQAKLKATDKSLNTKLSDLKIDEITAKQVFETAATGDSVAKELIAEAADYLGF ACVNFCRILDPELIVLSGGLAENGEYFIQAIRDAYTKYTWTKLPNPVRIEKASVGYDS GIIGAAAFAFKKKAEV H257_11486 MNFVGVDIGGTSVKVGIVSSAGVMLARSQQDIEDRSPEAIVGIA VNLARQCATEANVEWTDIAGLGVGCPGQVSNGVLVAAANFATWDHVPLELMLHERLHV PSVLVNDADAAVAAEHWVGTASNVKNFVMLTLGTGVGFGVVNDDKIVAGGTGMIEGGH VIVVPNGRACGCTQKGCLERYSSASAVIDQAKLKAVDPTLTTSLSQLKVDSITAKDVF DAADAGDQVSKAIIEEVAEYLGFACVNFCRTLDPEMIVLSGGLAEAGEAFIQQIRDAY TKYTWTKLPNPVIIEKASVGYDCGIIGAAAFAFKANKTN H257_11486 MNFVGVDIGGTSVKVGIVSSAGVMLARSQQDIEDRSPEAIVGIA VNLARQCATEANVEWTDIAGLGVGCPGQVSNGVLVAAANFATWDHVPLELMLHERLHV PSVLVNDADAAVAAEHWVGTASNVKNFVMLTLGTGVGFGVVNDDKIVAGGTGMIEGGH VIVVPNGRACGCTQKGCLERYSSASAVIDQAKLKAVDPSTTASLSSSHAPTTRCSPCI IRLALTTSLSQLKVDSITAKDVFDAADAGDQVSKAIIEEVAEYLGFACVNFCRTLDPE MIVLSGGLAEAGEAFIQQIRDAYTKYTWTKLPNPVIIEKASVGYDCGIIGAAAFAFKA NKTN H257_11486 MNFVGVDIGGTSVKVGIVSSAGVMLARSQQDIEDRSPEAIVGIA VNLARQCATEANVEWTDIAGLGVGCPGQVSNGVLVAAANFATWDHVPLELMLHERLHV PSVLVNDADAAVAAEHWVGTASNVKNFVMLTLGTGVGFGVVNDDKIVAGGTGMIEGGH VIVVPNGRACGCTQKGCLERYSSASAVIDQAKLKAVDPTLTTSLSQLKVDSITAKDVF DAADAGDQVSKAIIEEVAEYLGFACVNFCRTLDPEMIVLSGGLAEAGEAFIQQIRDAY TKYTWTKLPNPV H257_11486 MNFVGVDIGGTSVKVGIVSSAGVMLARSQQDIEDRSPEAIVGIA VNLARQCATEANVEWTDIAGLGVGCPGQVSNGVLVAAANFATWDHVPLELMLHERLHV PSVLVNDADAAVAAEHWVGTASNVKNFVMLTLGTGVGFGVVNDDKIVAGGTGMIEGGH VIVVPNGRACGCTQKGCLERYSSASAVIDQAKLKAVDPSTTASLSSSHAPTTRCSPCI IRLALTTSLSQLKVDSITAKDVFDAADAGDQVSKAIIEEVAEYLGFACVNFCRTLDPE MIVLSGGLAEAGEAFIQQIRDAYTKYTWTKLPNPV H257_11487 MATKRKLEDTSVHVDGEHDDAAAMDAAEWRDVHLISTTSSGNDV VALPDPLRTFQSTPFSAAVVAALEHAGFTAPSPTQAQSWPVALSKKDLISIAKTGSGK TLGFLLPSFHHLAKATRVQQTRTKAKHKYAFPVRSKDPRMVVLVPTRELAMQIEKEAK RFLKAFHPALRAVAVFGGAEKKAQLEALEMGVDCCVATPGRLLDLRDSVSLAKVDILV LDEADKMLEMGFERQLQALQELLPSTTRQTLLCSATWPLVVQSLAASFVRPDAVTVIT GAFQVNPAIRQTFELCSNVDAKAEQLVSFCHKHKTTKTLVFFKTKQGCDAMEKLVKQH LTAGDDDQKRHKAADVVVAVHGDKPQADRTMALNQFKNGSCLLLFATDVASRGLHVHD IHTVINYDCPDSEETYIHRIGRTGRAGATGTAISYVTDKDRPLVKKLLRVLKEADQVI PPAIQAWCRAVHPSTEATTPATTTSNKHPRTSKVDQGIKKVAAHKKHNKKKTPPQQPK EKKKKQVVGKRKQRLCL H257_11488 MIGYERQAMIRAIASDPAIQRDRLLRVYKCLRECRATIDIADAV VVHQGELVHWYFTSKDGEVREKQPYALSLLELKKVFVKQGLQQTSNVARTLAVMFQEA HHQPQQDQHTTFTMLQDVQFNTHVCNPNSRLWMSTYLVTPYICGKFGPNHATYTGKYC RPSGNKSTVKFFKPASYFFGTDEVVSDNDEGRHLTHLTTLPVDSIYAKELGSAYDDAL KHDILKLVQYIEAGTRHQILQLFADFVLTTSCRLILVRVSNVVFDGDRRGAPVSMAPP PPSIQAKPRPKSAHPSTHPHHHHGPPFERPQGHCPGHFCMVAVATRRHVHSSQNVISQ KSIHVAQQECAFLAAAAAHPDANEQLANEVCHLVDMATFRNTIPNLRGVDALIQMRNR LLKELHWFDQQAQDVAAATKRVPAFYDTIHVCALCYIMYQRLDEARRGCTETISKTAS HSLSTSALSAKSGRPKSAATSSSRLRQLAQPKTKATVRHRVHNNTLSFNEDKATTTPT IIDQGDRTALSTSSIAAPPPLAKCLRGSLALASRDAPVLSKSLALSASLADLRPIVPR RKL H257_11488 MIGYERQAMIRAIASDPAIQRDRLLRVYKCLRECRATIDIADAV VVHQGELVHWYFTSKDGEVREKQPYALSLLELKKVFVKQGLQQTSNVARTLAVMFQEA HHQPQQDQHTTFTMLQDVQFNTHVCNPNSRLWMSTYLVTPYICGKFGPNHATYTGKYC RPSGNKSTVKFFKPASYFFGTDEVVSDNDEGRHLTHLTTLPVDSIYAKELGSAYDDAL KHDILKLVQYIEAGTRHQILQLFADFVLTTSCRLILVRVSNVVFDGDRRGAPVSMAPP PPSIQAKPRPKSAHPSTHPHHHHGPPFERPQGHCPGHFCMVAVATRRHVHSSQNVISQ KQECAFLAAAAAHPDANEQLANEVCHLVDMATFRNTIPNLRGVDALIQMRNRLLKELH WFDQQAQDVAAATKRVPAFYDTIHVCALCYIMYQRLDEARRGCTETISKTASHSLSTS ALSAKSGRPKSAATSSSRLRQLAQPKTKATVRHRVHNNTLSFNEDKATTTPTIIDQGD RTALSTSSIAAPPPLAKCLRGSLALASRDAPVLSKSLALSASLADLRPIVPRRKL H257_11489 MLFSKEYLLVAVHTSGALELVLAFILFVIGISLMCSTHYRMALG PPVGSAGGGCLFLALLYPVPAWFAFYASKHHNKFMLLVHIALLSGIAALQLIIGGATY ASTFPTYSYDFAETCLGNAYLRNATLETACHAYFQSDEYAGLTLAWQTYFNETLITQT ASNMVTLLQDASVCCGLGPPEHCQPDDRPFPSNFPATDATIRRVCASKRGYYPPTPLC YKGGSCAYDYPMGSCGLVGVAGNSMGCAKAFHQYFAATMSTVSIAVMAMTCLPILFAL VSLCLLFKRKDEDVLPSITMWPSRARVYVAADIRRMERLDL H257_11490 MVASEGQPTRLYVKGVFMGYKRGFTNQHAHTSLVQIQGLQDRKD VDFYLGKRIAYIYKAKALKNDSKFRVIWGKVTRAHGQNGVVRAKFRKNLPANAMGKPV RVMLYPSRV H257_11491 MRPTIALRAALTKHAIDGWKAETFAADPARTAAWKKHDEKLHQF LKASVPEALAHTGDAAFDEHLIGVQSVLRTFGADEDVCTAGLFHSLYGTEGFQGFKLP ILRRPEIRQLIGPRAERLVWQFCVVDRKVFDDAVLLRSDSTCHLPPQLIARPELGRFP LPVASSTADWLDFVELVLADWMDQVAGAAEKANPLFLWQQGDAWSYRRLAYAKMAAVL ATHRNEDGRGAHIQRIVQDVYASEPVPTRGLVQQVTPPMSDADKDARDALRSVDL H257_11492 MESIEKLQLLGKGGVGRVYLCKDNLTGQTVAVKQVVRNSPSRIK RLDAEKAALGRILQRSFDVDDSTYLVMDVLPGEPVYKSLWRHQRGFPEAFAKQCAAQV VVALLDLHGRGFMHRDVKTGNVLLDPATGRCHLIDFGFAKPLVRDDGTLDGRAMSFVG THYAMAPEVYRCSHQQRDNYYTVAVDWWALGVFVFELLHGSPPWPYKCEDGELVRYAA TLEQPLEFPSHSHFANPHVRDLLSQLLCVDPSARLTGVAVQRHPWFCDVNWEDLVVAC STAEMGLLRDIISSSTAPDSAESLTSAENALFAGF H257_11492 MESIEKLQLLGKGGVGRVYLCKDNLTGQTVAVKQVVRNSPSRIK RLDAEKAALGRILQRSFDVDDSTYLVMDVLPGEPVYKSLWRHQRGFPEAFAKQCAAQV VVALLDLHGRGFMHRDVKTGNVLLDPATGRCHLIDFGFAKPLVRDDGTLDGRAMSFVG THYAMAPEVYRCSHQQRDNYYTVAVDWWALGVFVFELLHGSPPWPYKCEDGELVRYAA TLEQPLEFPSHSHFANPHVRDLLSVLFMCSQLLCVDPSARLTGVAVQRHPWFCDVNWE DLVVACSTAEMGLLRDIISSSTAPDSAESLTSAENALFAGF H257_11492 MDVLPGEPVYKSLWRHQRGFPEAFAKQCAAQVVVALLDLHGRGF MHRDVKTGNVLLDPATGRCHLIDFGFAKPLVRDDGTLDGRAMSFVGTHYAMAPEVYRC SHQQRDNYYTVAVDWWALGVFVFELLHGSPPWPYKCEDGELVRYAATLEQPLEFPSHS HFANPHVRDLLSQLLCVDPSARLTGVAVQRHPWFCDVNWEDLVVACSTAEMGLLRDII SSSTAPDSAESLTSAENALFAGF H257_11492 MDVLPGEPVYKSLWRHQRGFPEAFAKQCAAQVVVALLDLHGRGF MHRDVKTGNVLLDPATGRCHLIDFGFAKPLVRDDGTLDGRAMSFVGTHYAMAPEVYRC SHQQRDNYYTVAVDWWALGVFVFELLHGSPPWPYKCEDGELVRYAATLEQPLEFPSHS HFANPHVRDLLSQLLCVDPSARLTGVAVQRHPWFCDVNWEDLVVACSTAEMGLLRDII SSSTAPDSAESLTSAENALFAGF H257_11492 MDVLPGEPVYKSLWRHQRGFPEAFAKQCAAQVVVALLDLHGRGF MHRDVKTGNVLLDPATGRCHLIDFGFAKPLVRDDGTLDGRAMSFVGTHYAMAPEVYRC SHQQRDNYYTVAVDWWALGVFVFELLHGSPPWPYKCEDGELVRYAATLEQPLEFPSHS HFANPHVRDLLSQLLCVDPSARLTGVAVQRHPWFCDVNWEDLVVACSTAEMGLLRDII SSSTAPDSAESLTSAENALFAGF H257_11492 MDVLPGEPVYKSLWRHQRGFPEAFAKQCAAQVVVALLDLHGRGF MHRDVKTGNVLLDPATGRCHLIDFGFAKPLVRDDGTLDGRAMSFVGTHYAMAPEVYRC SHQQRDNYYTVAVDWWALGVFVFELLHGSPPWPYKCEDGELVRYAATLEQPLEFPSHS HFANPHVRDLLSVLFMCSQLLCVDPSARLTGVAVQRHPWFCDVNWEDLVVACSTAEMG LLRDIISSSTAPDSAESLTSAENALFAGF H257_11492 MESIEKLQLLGKGGVGRVYLCKDNLTGQTVAVKQVVRNSPSRIK RLDAEKAALGRILQRSFDVDDSTYLVMDVLPGEPVYKSLWRHQRGFPEAFAKQCAAQV VVALLDLHGRGFMHRDVKTGNVLLDPATGRCHLIDFGFAKPLVRDDGTLDGRAMSFVG THYAMAPEVYRCSHQQRDNYYTVAVDWWALGVFVFELLHGSPPWPYKCEDGELVRYAA TLEQPLEFPSHSHFANPHVRDLLSYELSSIESSSS H257_11493 MRGPSTNTFIAAALLCASAIQGARVCRVATTPPQPTAQRTPVPT TSQLYVPVPTSGAIETLLPVTNYTTTSVPTTKVPTNATVSTLPENTTTAPASSTVPTT TTVASVTTSSPVAHRQLAVDDALPSTTPVKSTLAPTTTKATSPVPTITTSSLPPPPTQ PTTSTTTISPVTTTASPPATSISTTHSPTPTTNSSSTSIITQPPPARTTTDMVCDEQF YSKWHTHNITCNGSPLDINLAVDAASCVAYTDISTCRALCAFPSCDGTNGWTFSTVAN IPTSTTNSIITTSPTPPAFLSAVYATVDVSLLVPLSGASFRPAAFANGSCSNAYPVNN FLAQDCSCAALPVSNSSWLPTETNGTTTTTTTNDNGTTTTTATTYMPNRTSDRPSFND KHTPGHFDPSTPLPRMAQISQSTATASVAVTAVAVVSSTVLGATSSGAVAASSAVAAS STILVTLDIVQFGSLLNQLPLREKSAALASLGSSMKYAVFNFVEVGPGPPPSSSYRRA LADETCADGICDYAVTLGISKFNLFVTTLVGIVVVGAAMCVMYGLAAGVATIVAPAKG YAADWFHHLVGAMVVLGLASQYAIGVTATYQVYLSITTNTYMYSFYLALASLLFLAVG ILVFGIYIVRKHEAELVDVDDIVAHTKKPVARRYGALYDEYTFENRFFFAPKMLLALL CGMTTGAAFLSHAAQVVLVLGFHILFLVHLERCQPFQTPFMQHSMAGLTVLKILTLVL SIFLVSTVAGLSSSFHDGVSAVIVAIQVLVLVGLMGRQVVLLYQKYKAVQVLKATETQ QLHKSALQPLNSEQVHHMRLGRL H257_11494 MDDQFLAPDDCTATTVVQRFPHAATGIDAFTGISNKLPWATLFS LCLPVASRQCSTEQHVMRKQATFSLTLRWAVEVTGLQADRAVAMMEVTKVSIADVVVA RDMKRTIVVRFAAARELRVGALQATRRRRRLLARGKCAPAFITLPVSPVNNLAMSVRW FPTRPLIEGSYYSLELYFRSKC H257_11495 MEKLSVDLQLQPPHMTNTSEPTPSKKLGRGKAWKDDESVNLARS VGMTGFDSIHGADQKAAPYWSKIYVAFVELSGSTDRTEKAIRNQWGKIQAQINLFIGV HATIVAVERSGWVPDDYINASLDLYKRMH H257_11496 MTFFHSSKTSMLTFFSGDRKRVTICPGNIPILTEVVSAPLLATT DGDSSLLSDVVDSQSTLGVPSVAGIKPQKCPSPSKFRRSKLPSAIQRKIEHFRQHTGS LYGLALLAGGNVLFSFMAVLIKSAGKYLSSEETVFWRSTVALVLNVSIQLRLKIPPFT VAPEFRKLLLCRALVGYVAMTLSFYAYSTMILSEAQVIICSSPIVTFILSVCFLGEML DRVDFACVLVSFVGVVCVARPASLFGDVATSSPFGTSFVSMLCAVLAACLIGVINILI RKLSALNTWTLVTYFLLACSVLSAAKITLFEQVCTIVCHCDDFMIRVGALDPNQRHTC ADDSWHWCVGLCGSSDDHQRVSSREGWHWFGHDVCQHSVRHDMGRDALGRKPTRMECL WCCHYLHGGAHHRVPQIQEAIVR H257_11496 MTFFHSSKTSMLTFFSGDRKRVTICPGNIPILTEVVSAPLLATT DGDSSLLSDVVDSQSTLGVPSVAGIKPQKCPSPSKFRRSKLPSAIQRKIEHFRQHTGS LYGLALLAGGNVLFSFMAVLIKSAGKYLSSEETVFWRSTVALVLNVSIQLRLKIPPFT VAPEFRKLLLCRALVGYVAMTLSFYAYSTMILSEAQVIICSSPIVTFILSVCFLGEML DRVDFACVLVSFVGVVCVARPASLFGDVATSSPFGTSFVSMLCAVLAACLIGVINILI RKLSALNTWTLVTYFLLACSVLSAAKITLFEQGLSIPTNATHVLTILGIGVLGCVGQV MITKGFQVEKAGIGSAMMYVNIVCVMIWDVTLLGESLHGWSVFGAAIICTGALTIAYR KSKKP H257_11497 MKHAVEVLSQRLSEVLPTMGTTDMDPLILDGDDMTTNSSGTASS SSVLPVPTTAVQLHPAVQRKHQRVGLCLQVIGAVCLSFMAVLVKHTSRAVAVETLVFW RSFLALGANVSLQRHYGMPYFTIFIDDLQPKVQLQSVLGYLETTLSFHAVAALPVSDA SVVLGSSSVLTCLAGYKLQQLRNKGNSKVSISPVEWALGALPLFGLLFVQGPLCLLPQ SAGIDLNSSNLAFLGGFAAAILTGVMWVVMPHLHEISPLPVITHTLALSCVVSGLKTF LFPEAVAATDEARPLPRLVTEVVLMALLGCVGQISVTRGCQLYQIEPLPLVPFATGLV CMLALDAVVFKEYLPIGPTVAVMVAVGATCTLIYRKNAK H257_11498 MARVDHPSPPGVNHQFEDWLQGEPLVATNPDEKRAKWHQYYRTM QVQQIRTLLSNVLPDQFVAQMKESFSEKKPIYRLWAEIEKKYGVSNVTTMKTTTRKLM RLADGDFQSVEAHFGELQALKLTPKPALNASRVSREWALDGGCGHHLTYDSSSFKATK PDTSL H257_11499 MDNTTTLEYDDDVVTELSSMYSSSSPVYSPISSVDDLSGCAMEL QPTLEEIEGELEDIFDNFSKMLEGRALDVSSGFLRHYETCCVRLFNTAHLLIAPSNSD LYFQCLDRSVEVAGRLVRAQGTHLAAQYQSYRY H257_11500 MLTMRLPLHLPPLPVVKGAVDQQCLHPEMDVVVALELVGRVRCP LPNLLHAAGQPSSASSGPPINIRRTSPTTTTGTDGLGSNTPRALFPSTAPPTPTATPY TPNPPIPANHILPQLLTFLPHTGPAQLTPAQQAILGSPGVPGVPGVPGYAGSSGSGLV LRKGLHLLHHHPRWVHQQFQRKSLHFKWPRHRLPQPTQCLLGHLGMAGVLGRKPPVNR QIRLRV H257_11501 MASHGNKDPVDLEERVSYIHSNTAKDDNGYVEAKSPKDLEEGAL AEGGALSLFSREAYALFIQYGAIGIIFNIIPAVQYPIFNIYLNLEGYQTSSYRVLMYI GWSFKVFFGMLSDCVPIYGYRRKSWILVGWTITMSCLSVMAFSPFGEPFCNREKTKFC ATPLEKVPESELEYFNLSAPDNGTLFILLSMFIAFGYVLAASASDAMVVEYAQREPAA IRGRIQTAIYTVREITGILSHCVSAFGLNGSNYGGSFSFALSPNAPYAISLAPCAIAV LSTIFVLVEKKSEAVSFPLWWGKFWESLQSRVLWQVCLFRFLSNVFFSVRTTATLPIQ TYWAGVEPLNDALSNIFGNMMFASVLAIVGKWGLNWNWRWTIAAGTLGTVVVDGFTVF LTIWDVVRNQWFFNGVGLAEQFPYGLRFIVSTYVAVEIADKGNEGATYGLITTVSNLS GPFASIFYKYVNSYFKVSQNDVKTDTLEVRWDVTYVYFISYGFKTASLFWLFLMPPQK AEAKALKERGGKSKVAGYILVTLFLFCLSFAVSSNIMSIYPSTKCYRVAGGNGVLDPN TGKCPVK H257_11502 MGCGPLLTEVEVGMVLALRDHGFTHRAIAEHVETSTKAIRTVIN QREAYGSNFKGQKPAKLIGRELRLLIREASQTGLSARSGLSARSLATNHLAWAKF H257_11503 MDQRMIVEEELEKLDVLGRRVQESTAMARQDADKYFLHMATVHT TMKESARYSLHGFVEWVADTFRTKNVEGLRFLVRAYIARDLELPVEKFLEAAENVTIF SLARTKPVAPPPPEPEPAVPNPVTPQSSNDEPSPVVPIEIAPSPLPPTTLASLPTTPS TPPPPALQPAVVQVPPTSPPALHIQIEETTSLRQVDKVPPPSPHPDAELLPSRTKTKQ HRRSEPPRTPRPAKKAKVTSRQSKRVTSAKRKQSAVVEVISIDDDDDDDGDEDGDEDY EEPPKRKSDKPALPASSPTTATATASAATTAEATVASPVADIDSNDRSDGKPSQPTST TSDLPSKRSKKPRQNTSGATASSSVAGRLHLLTPRKHSNSAQRQQQHKTPATSEPSVA SEQKTELTDTRVLPPATEPAKARPLLNQLLHDSMGVDDAKMDEASLASVDDLQELTSM VVASKPWTKWGQRLRSFLPNDTPERQAWNSSLADYFDNHAFTLWHRYFHMDVPNDLKA QQDDATSHAQAALYELAHRLHAMEGDDVFKFLKRAPHPAWPSWLPQPIQLRDLNDDDA VKYLKTQSGRRWPELPLKIALNGQPFKPLFDPLGILTTTPDVAFFKTHNISPTWAHDL LRRLEADSVYDVSKCAYVGVTMFGESPPQHLPNQVASAYVWDWKLETVVHRVHEL H257_11504 MSSEALDDDKVDGEEKGNTTQMNAQVPSGGRNNVVVKVGMVGDA QVGKTSLMVKYVEGKFDEDYIHTLGVNFMEKTITLRNTEITFSIWDLGGHREFISMLP LVCNDAVAVLFMFDLSRKATLTSVKEWYRQVRAINKNAFPFLVGTKYDHIATCSPDEQ DDVTKQARKFAKAMKAPLIFTSASHAVNVQKVFKIVLSKVFDLKCTIPLIQNVGDPIL EY H257_11505 MSAATKAADDEVKLLVTQTLESQGILGQIKAQLRAAVYNAIHNV THEPTKSKRDLVATKDASLALQVVVEFLRQFNLQQTLSVLTAEASLTEADLSRTRRDV AASLNLSTQQPSSSVTLLIDLINNQVRGHGEHDLPSCQNPSTISNVSPNTSNYARESQ DDPLPVKTPTTTTLDSKQQPFASKSPNILAFASHEPPPTKEVPPAVSSMAKAVNQDRE TTSIALDDDEGEDEESIASSVYENSIESPAKPPPPQVAVGDLKLDSEASKANDVPPTA PTSLLGTFPPLQSASTLTIPTLANDDVAPTTHDGDDDDEDDDAERLRALDASLKAMEA EDDTGTLSKLKASLQHELEAKSDDDGHYGSDFEEDFEEDAIASDVEEDDETTAHESDN DAPAFKPSGTDKLVASRAALDAYDYVEDVVRP H257_11505 MSAATKAADDEVKLLVTQTLESQGILGQIKAQLRAAVYNAIHNV THEPTKSKRDLVATKDASLALQVVVEFLRQFNLQQTLSVLTAEASLTEADLSRTRRDV AASLNLSTQQPSSSVTLLIDLINNQVRGHGEHDLPSCQNPSTISNVSPNTSNYARESQ DDPLPVKTPTTTTLDSKQQPFASKSPNILAFASHEPPPTKEVPPAVSSMAKAVNQDRE TTSIALDDDEGEDEESIASSVYENSIESPAKPPPPQVAVGDLKLDSEASKANDVPPTA PTSLLGTFPPLQSASTLTIPTLANDDVAPTTHDGDDDDEDDDAERLRALDASLKAMEA EDDTGTLSKLKASLQHELEAKSDDDGHYGSDFEEDFEEDAIASDVEEDDETTAHESDN DAPAFKPSGTDKLVASRAALDAYDYVEDVVRP H257_11506 MLVRRLCLAKDGLLKQLHRAIGIPASHQHGHFFSTEASNGKPEA KELIYEAPLARPVRMMKAVSVTSCTLTSIGMPVTCIYGSVLSSVVAQWAMCSTIMFFG MGTTALFHVLFKPYVLRLWIDRDSELVTVETLNLLAAKTTSAFQLTDATFPDKSMHPM INFKAKDKHYFVHPEAFDEADRAVVEKLLGRPLEELLPKPDEDKDE H257_11507 MSRKMKRTVVKSQHDLEIRRAKDVKDKLAKKRENRKATQAKTGV KKLSSKVLRRIQARKERDGDDDGNKSDKDQGEETIMETE H257_11508 MQSTSNVSNAATAAALSSLSIPNAKAIGLFNKISGGRKPGTSAA SSLVTPTVTQGGVIAVSRLNERTVLADNHFPDFFQTVKHTKPQKKKFAVAASSATARG STVKWTGNNEMQQSVGTAPHTGRHQPTNSSAAGGSTRRQTTIVDKSKVAVKLDTWKDV KNSSDQAKLLHRGGAGIGQSSSMAAPERPSTAGGGPTSGGLHSFQSQQQQASNNHTTH PLDKQPIKGYVRAIHDRVNVHHAPSVDDDAKASVISVSTSQTQRNRPRGTTDQSGLRP SANSPPRPQIFGADTSKPEPAMSIVHTIDKSNHRRAPVVDKDADNADVCFGETPDVPG VPVVYRSQRAKTANPERLNLDRRALKVVPLLDGEHMLRLLNLQNNTITAIGNLHGLPN LIFLDLYNNKIEKIDNLHVVPNLRVLMLGKNKLQTIENLACLPKLDVLDLHSNEIDKI ECLDQLNELRVLNLAGNRITVLENISTLTLLTELNLRRNLIDAISTTTLGRLPTLQRL FLSNNKLPSKESLQPLFQLVALTELRLDGNKFNEVESPDYRSLMIQNFVSLRNLDLKA VTDDERRDAFSLTQKATEKRREQQREENQEAQRVRAITAVRKKWEEKFDMDVMAANLD DPWGATPMLVDKAQHMSMKRLLAATATMANHASHPIQIGFSEVEVSDQSRTLFVYGDA LEALDSVKIHAIVTAIVFKYIPFDVIAKAVSTSSSTSSNNVYLQSFTALKHVHFAYNQ LTSLDQLHWVARLGSRAEEVTISHNPVCHLRLLRPYVSHTLKNVQVLNGQPLSLETQL MGERFFDMALQPPHPSPSSVPRKGLPQQPLPPMLRQGSCAMDRTTNALISKYLTEATR VDEQLKYMNDKWHSMVYDVIKETLEEVEDMDKYMSKCLDRL H257_11509 MGRWSVLASLWSVLHANPCPTTQTDTHTWDFLQGSGLGFHARDG HASTTFLGQIWVVGGQTDSYTTRQLIDTTRRSDVWMSVDGNTWTSIIDEAPFPRRYGH SLTVFPEPTLSSAPIMVLMGGFSPIPANDIWYTRDGQTWTMVKSPVPWSPRGWHCAFV FNRRLWVTGGSPLNNEVWSTDSVLQGHWVQMPSPAWPARAAHSCVNHQLKNATLGDVS TQDVVFLLAGWGQNSNLFNDVWSLDASNTWTLLTSAAPWPARAWTAALSFNAMTQGDA VQGPRLWIFGGGRIGNGVHAMFTYSDVWQSRDGVTWIGTSSDRLGQSTVQWCQVTVGD NQVCVGKWGHTVLLAQRNLSTSANVCGTKCATNDQTSVLSGTLIGQCNSSIPPPAATT TSKLVDNIYQLSTQTSDGCGSCATARYYNELTVPAVLFIAGSSGDHKVSDVFRSTDFF LCELHGQVCGNQGYCSIGGVCVCDPGFCGDYCDQILPPSRANNLRSSLSSWLVFLLGA RLLVALE H257_11509 MGRWSVLASLWSVLHANPCPTTQTDTHTWDFLQGSGLGFHARDG HASTTFLGQIWVVGGQTDSYTTRQLIDTTRRSDVWMSVDGNTWTSIIDEAPFPRRYGH SLTVFPEPTLSSAPIMVLMGGFSPIPANDIWYTRDGQTWTMVKSPVPWSPRGWHCAFV FNRRLWVTGGSPLNNEVWSTDSVLQGHWVQMPSPAWPARAAHSCVNHQLKNATLGDVS TQDVVFLLAGWGQNSNLFNDVWSLDASNTWTLLTSAAPWPARAWTAALSFNAMTQGDA VQGPRLWIFGGGRIGNGVHAMFTYSDVWQSRDGVTWIGTSSDRLGQSTVQWCQVTVGD NQVCVGKWGHTVLLAQRNLSTSANVCGTKCATNDQTSVLSGTLIGQCNSSIPPPAATT TSKLVDNIYQLSTQTSDGCGSCATARYYNELTVPAVLFIAGSSGDHKVSDVFRSTDFF LCELHGQVCGNQGYCSIGGVCVCDPGFCGDYCDQILPPSRANNLRSSLSSWLVFLLGA RLLVALE H257_11509 MVKSPVPWSPRGWHCAFVFNRRLWVTGGSPLNNEVWSTDSVLQG HWVQMPSPAWPARAAHSCVNHQLKNATLGDVSTQDVVFLLAGWGQNSNLFNDVWSLDA SNTWTLLTSAAPWPARAWTAALSFNAMTQGDAVQGPRLWIFGGGRIGNGVHAMFTYSD VWQSRDGVTWIGTSSDRLGQSTVQWCQVTVGDNQVCVGKWGHTVLLAQRNLSTSANVC GTKCATNDQTSVLSGTLIGQCNSSIPPPAATTTSKLVDNIYQLSTQTSDGCGSCATAR YYNELTVPAVLFIAGSSGDHKVSDVFRSTDFFLCELHGQVCGNQGYCSIGGVCVCDPG FCGDYCDQILPPSRANNLRSSLSSWLVFLLGARLLVALE H257_11509 MVKSPVPWSPRGWHCAFVFNRRLWVTGGSPLNNEVWSTDSVLQG HWVQMPSPAWPARAAHSCVNHQLKNATLGDVSTQDVVFLLAGWGQNSNLFNDVWSLDA SNTWTLLTSAAPWPARAWTAALSFNAMTQGDAVQGPRLWIFGGGRIGNGVHAMFTYSD VWQSRDGVTWIGTSSDRLGQSTVQWCQVTVGDNQVCVGKWGHTVLLAQRNLSTSANVC GTKCATNDQTSVLSGTLIGQCNSSIPPPAATTTSKLVDNIYQLSTQTSDGCGSCATAR YYNELTVPAVLFIAGSSGDHKVSDVFRSTDFFLCELHGQVCGNQGYCSIGGVCVCDPG FCGDYCDQILPPSRANNLRSSLSSWLVFLLGARLLVALE H257_11509 MVKSPVPWSPRGWHCAFVFNRRLWVTGGSPLNNEVWSTDSVLQG HWVQMPSPAWPARAAHSCVNHQLKNATLGDVSTQDVVFLLAGWGQNSNLFNDVWSLDA SNTWTLLTSAAPWPARAWTAALSFNAMTQGDAVQGPRLWIFGGGRIGNGVHAMFTYSD VWQSRDGVTWIGTSSDRLGQSTVQWCQVTVGDNQVCVGKWGHTVLLAQRNLSTSANVC GTKCATNDQTSVLSGTLIGQCNSSIPPPAATTTSKLVDNIYQLSTQTSDGCGSCATAR YYNELTVPAVLFIAGSSGDHKVSDVFRSTDFFLCELHGQVCGNQGYCSIGGVCVCDPG FCGDYCDQILPPSRANNLRSSLSSWLVFLLGARLLVALE H257_11509 MVKSPVPWSPRGWHCAFVFNRRLWVTGGSPLNNEVWSTDSVLQG HWVQMPSPAWPARAAHSCVNHQLKNATLGDVSTQDVVFLLAGWGQNSNLFNDVWSLDA SNTWTLLTSAAPWPARAWTAALSFNAMTQGDAVQGPRLWIFGGGRIGNGVHAMFTYSD VWQSRDGVTWIGTSSDRLGQSTVQWCQVTVGDNQVCVGKWGHTVLLAQRNLSTSANVC GTKCATNDQTSVLSGTLIGQCNSSIPPPAATTTSKLVDNIYQLSTQTSDGCGSCATAR YYNELTVPAVLFIAGSSGDHKVSDVFRSTDFFLCELHGQVCGNQGYCSIGGVCVCDPG FCGDYCDQILPPSRANNLRSSLSSWLVFLLGARLLVALE H257_11510 MYRIRVRSKGGASVLEIDPSCTFLEFQQKALAAASLPGPPSAFA YRGGFPPKEIDASPDEPVRSVFQSSDTVVLEPVVTSTATTTRVPRQLKGTKKFAGVGV KLGAAGSSGDAPTTPQPSVDVVAAAAAPGEPSSRSNAKKAIIKVVPNRKRFHGAGISL NQAAQAPLIHPPPPASTTKRPRTTAIHLDSKADVESKLVMAVSGGSGSQSTTDKFLRK ATRRAVAHQYDMTLANARLKAAWSTKFTVQVLAHGRMKVRFLAGVRAWREEEVDCLQP TELRTALKYVALAGGGNKEMLKPFNMAQVSPRVFWSLARLYDGDVGRGLQTLLPEEDW SFLDTRTRMLSAKALEAQATNGGRWRRHEEGPPPRTEPAVAAVSIDLTSDSPDEDNTD ILDHRSMRNAAAKAAMARLREATTAMNRQDLSPTISIQSTLSPHTSTTALPILFEAPA TAINDKFEEAAASVTVLCDACGKARIVLAADAANNGLLDSIDEQSTTWTCAQLRDQSS GGCAKPDDEVLGVVQGDMEVAQSLDAVGMKSRKALANADADALFSTWRRTCPRHVTTL DALELIVQEAQRYELDECMQQHVLQDAPDGVLAALEAAKLATPHDLARTPADLIVREL EMFHVAEAMVATWQALANDAMEMSRWMEDWRSV H257_11511 MASRAQRTLKSRRESSLLIGGFVLLGGLAMYSLPYFIVKGKQGQ NTLAKEGPLSKTEVRRGAFLNSGSKDIGPDPDWSFVTNSYQGRRSAYPERPKQSEA H257_11512 MRSTSIGAWALALLCMSTYVHGCTNVSVEGDATYCVEGAICGDE GDACPNQGDVAAANCVSNIKSFVANGQCVAPVTATCQRIKSGARGCVFSTSPSTPTPI TTLPTVPPTTEAPSGSLPSSTKTTTTAPTSATPTPIITPVNSTKSNSTGRAIETITFP PQMTTTAPELLCAEKWSQCNGQNWPNGVCCKDQGWQCVYHSDVYSQCLPN H257_11513 MALESLPWAPFVVMATVGGVAAFFLDLLVFYLSYSFTSRHWFFI FVGTFVMAVIDEVTKYIAYIGSVRREMKEQLSRECICWLMVRAAAGYSILLALVLVIY ASLYGDESQLPLYIPFILLDIVNIVSCSAITGTWLYVRKHHKHATHHTVPTQLEDLCM DSVIGPAILLRYLYYMAAFAFVFMGDDPTTEIVVYIGINLVYAIFVGAMVALSFRFLK PAASSQATESDTRETYDRTGLEAAMVVFPDGSKA H257_11514 MKGLNLHGVSSFVHAVTRSPKLLIPHLSVKDLNDIPFAELHAMG FKGVVFDKDNTLTVPYARQIVPHVSDALRDSQRIFGSDRVVIFSNSAGSSDDLPDFAE AATVEIELKVNVLRHGVKKPLGIDQMQQVLHLRPDELIMVGDRYSTDVLFGNSNGMLT IRTEQLSVAHESSLNALMQTIESAILSRLRSQGIEPPAHRLYKPLSMNE H257_11515 MSSGAPLQVDTNEDPSPHDPNSIGDVDMQTPTIEASTSPVALSA LVHQTVEMLSDGTTVQGTSTHPDFRKLTPDDATVVLSESAQDVLREAESWLSSCPVQS RQWIIYEAKLRPDVSMSALHDLVSTILLTHGFQLDTVSSDDPSPLSSGLYRRMIESST AFQSPAHDLVYARIGVSSSKLRVLRIFVGVVGGTGVPFISTSSSATIDAQLHRTADAI FTHVQAAILDVGYALAMLSSPSFCDASDDSTSVALDDDYVKDVTVAFDMEMKATLRQL SLPLEEYAHAHEVATAHLLSLVEPLYLQFHLEKPQPPRPSDAIRRPPQATLAKEELLV SGTPTTAKIVAAMSRDGESRGRRVTQLVHALWEAQSTRVKTIVDAKVREKQAQIGRRV EYTKHLRHMAIHTIVQSAQAKPMLQRAMGSAAKAAWEGAVLYEGAGLWGKLPVKFYVT FEYMLLTSYYDNFLPMLIRGEMILPSRVVIKTGVFMFASFKDIAFDTIVRVTKPHVLG ISVISLHTQNDHQHAEVQLTLASDVDRVFELLYQICIMHNMPEITG H257_11515 MSSGAPLQVDTNEDPSPHDPNSIGDVDMQTPTIEASTSPVALSA LVHQTVEMLSDGTTVQGTSTHPDFRKLTPDDATVVLSESAQDVLREAESWLSSCPVQS RQWIIYEAKLRPDVSMSALHDLVSTILLTHGFQLDTVSSDDPSPLSSGLYRRMIESST AFQSPAHDLVYARIGVSSSKLRVLRIFVGVVGGTGVPFISTSSSATIDAQLHRTADAI FTHVQAAILDVGYALAMLSSPSFCDASDDSTSVALDDDYVKDVTVAFDMEMKATLRQL SLPLEEYAHAHEVATAHLLSLVEPLYLQFHLEKPQPPRPSDAIRRPPQATLAKEELLV SGTPTTAKIVAAMSRDGESRGRRVTQLVHALWEAQSTRVKTIVDAKVREKQAQIGRRV EYTKHLRHMAIHTIVQSAQAKPMLQRAMGSAAKAAWEGAVLYEGAGLWGKLPVKFYVT FDRVVIKTGVFMFASFKDIAFDTIVRVTKPHVLGISVISLHTQNDHQHAEVQLTLASD VDRVFELLYQICIMHNMPEITG H257_11515 MSSGAPLQVDTNEDPSPHDPNSIGDVDMQTPTIEASTSPVALSA LVHQTVEMLSDGTTVQGTSTHPDFRKLTPDDATVVLSESAQDVLREAESWLSSCPVQS RQWIIYEAKLRPDVSMSALHDLVSTILLTHGFQLDTVSSDDPSPLSSGLYRRMIESST AFQSPAHDLVYARIGVSSSKLRVLRIFVGVVGGTGVPFISTSSSATIDAQLHRTADAI FTHVQAAILDVGYALAMLSSPSFCDASDDSTSVALDDDYVKDVTVAFDMEMKATLRQL SLPLEEYAHAHEVATAHLLSLVEPLYLQFHLEKPQPPRPSDAIRRPPQATLAKEELLV SGTPTTAKIVAAMSRDGESRGRRVTQLVHALWEAQSTRVKTIVDAKVREKQAQIGRRV EYTKHLRHMAIHTIVQSYDMMLMAFTSPIISCLILRGLFVVCAVIFIGFWIPLR H257_11516 MEQGDGGDSPALWIGRVVGIVVLVAMSALFSGLTLGLMSLDKVG LEVVIGAGEDEHATEKEKAQADAAKRIAPIRKDGNLLLTTLLLGNVAVNSLMSIIMAD ITSGFLGFIVSTVVIVLFGEIFPQAACSRHALAVGAKSIPVVKVIICAFYVFAKPVSM VLDWLLGQDVGTIFTKKELWKMLDIHVKQEMIDDEESWIMYGALHYKSQQVAAVMTPI DRVFMLVSTAKLNADTMRDIYHSGFSRIPVWRKTRNDIIGLLFTKDLVFIDPEDAIPV EEFIQIFGRGVHRVWPDANLGDLLKAFKMGRSRLALVQEVNNTGAGDPYLEAVGIVTL EDVVEEILQDTFRNEPNVDMRRHRKECRHVDFGKMRLLGVDVDMDQDMFLTPDEAADV AAHLIKHQPVFQLEKPLGGGALTSGDVQTMLLKCPLVAYGKSTANGAEILSKAHVVNH CVFIMEGAVKVSTRHGLFKEMGTWSVLAPECLVSSENSYLPDFTATVGVHHAKIMCLH IPRMEFQQMLHPINLAGVRSVNNVRPRAVTEPTPATKRFHLPSLQIHPIPPSSSSSPF SSNAADDDDMFDVKQSLLGGDTRSTLVGK H257_11516 MEQGDGGDSPALWIGRVVGIVVLVAMSALFSGLTLGLMSLDKVG LEVVIGAGEDEHATEKEKAQADAAKRIAPIRKDGNLLLTTLLLGNVAVNSLMSIIMAD ITSGFLGFIVSTVVIVLFGEIFPQAACSRHALAVGAKSIPVVKVIICAFYVFAKPVSM VLDWLLGQDVGTIFTKKELWKMLDIHVKQEMIDDEESWIMYGALHYKSQQVAAVMTPI DRVFMLVSTAKLNADTMRDIYHSGFSRIPVWRKTRNDIIGLLFTKDLVFIDPEDAIPV EEFIQIFGRGVHRVWPDANLGDLLKAFKMGRSRLALVQEVNNTGAGDPYLEAVGIVTL EDVVEEILQDTFRNEPNVDMRRHRKECRHVDFGKMRLLGVDVDMDQDMFLTPDEAADV AAHLIKHQPVFQLEKPLGGQCVLLTKLPTFKSYYDVPTWHS H257_11516 MVLDWLLGQDVGTIFTKKELWKMLDIHVKQEMIDDEESWIMYGA LHYKSQQVAAVMTPIDRVFMLVSTAKLNADTMRDIYHSGFSRIPVWRKTRNDIIGLLF TKDLVFIDPEDAIPVEEFIQIFGRGVHRVWPDANLGDLLKAFKMGRSRLALVQEVNNT GAGDPYLEAVGIVTLEDVVEEILQDTFRNEPNVDMRRHRKECRHVDFGKMRLLGVDVD MDQDMFLTPDEAADVAAHLIKHQPVFQLEKPLGGGALTSGDVQTMLLKCPLVAYGKST ANGAEILSKAHVVNHCVFIMEGAVKVSTRHGLFKEMGTWSVLAPECLVSSENSYLPDF TATVGVHHAKIMCLHIPRMEFQQMLHPINLAGVRSVNNVRPRAVTEPTPATKRFHLPS LQIHPIPPSSSSSPFSSNAADDDDMFDVKQSLLGGDTRSTLVGK H257_11517 MFVFGYSDMAGSFHLLCVCITSQRTHADVAWLLRSLQEKFTSLL NYAWAPTRLMGDADKAQFLGMTNALQPELPLLEYLMCFFHVLKNCYDKRQGMTSEEWT SVTFEIYLLHMSTSEADLVNNMDTAHANWEGSRTLRKFRTYFFNTWLPYHAVYSTNRG PRFWKWQVFHSHRGCSYTNNPNEHFNRKLKGVCI H257_11518 MADETRNDISVSIATRQQSTTVQDLRDNRLAESSQKGYRSCVKQ ITIWMQASGRAHMLNADGSINLEL H257_11519 MGAPNSSWRLVASCGVLSLCLASLVHHKAFLVTALPPKHPLLSS VLFGDASAAAIVGANVALTSQTIQPTGIPPHVDLHSQIDQSLAVVRALPSAIRESIGV SGFRPVHYWGGRWHLLPETFELPSVDVATAWHLWWCGSPARDIPPLFKISSRDLTKKE GKILCEWNFAVVELQKVGATGGKRTFVGASENKWEQVEASG H257_11520 MTNEFNIVLGSLCWQNEGSLDLARLNELGKSHAFPQYYVTDHDK VLRHCCFTSDSVMTFDRPHPTKTKCMTLPLNAFVGGIYGTDSVAAAMALHPKPIMRDH FKSHNDPVVSSMQYLEKYPAIFSSTLAIAGVRSVQNVTHSAECVMDSTHKTNASGFEF NGGLDWREIVLVAHGSSNSSTLHEGKEFPDIRRFAPTNTLLHVEGNTPAFLAVWVHGT HVLEESFCEKADDATPPHDKARVFYIRAVEEIYNFARNAAHHIYMSLPSWESRMNAQW KVFATKADEGDVYDTSMLRWTCTYPSTQHQGSNYIFHTPGVNETSIIRCRRVTRSSLR LRVRLALLRQQQRSRLTIPEITFTLDDYSDADCIDKFRFTKAFLLRLSACLRIPSRVV TTERTSCSGIEALCVLRHFAVPDRWSDLISMFARSQSGLCNIFLHVLGHTGIHNEFDE ILFLDRDRISAQPVEYSNAIIAKGGEIQNVWAFIDETVRECCRPSGNERQRTVFNGHK RRHAVKFQS H257_11521 MASHGNKEAMDLEERVSYIHSNTAKDDNGYVESKSPKDLEDGAL TEGGALNLFSREAFALYVQYGAIGVIYSIIPALRYPIFNVYLNLEGYQTSSYKVLMYI GWSFKVIFGLLSDCVPIYGYRRKSWILIGWTMTMTCLSVMAFSPFGEPFCNREKTKYC GTPLEEVPERELQYFNLSAPDNGTLFILLSMFIAFGYVLAASASDAMVVEYAQREPAA IRGRIQTANYTVRTLTGILAHLVSAFGLNGPNYGGSFSFALSPNVPYGIALVPCVLAV LSTIFILVEKKSEAVSFPLWWGTFWESLQSRVLWQVCLFRFLSNVFNGVSTTAVLPIS TYWAGVEPLNDALSNIIGNLLFASVLVIVGKWGLNWNWRWTIAAGTLGMIVVDGFVVF LTIWNVVRNQWFFNGVGLADEFPHGLRFIVSTYVAVEIADKGNEGATYGLISTVSNLS GPFASIFYKYVNSYFKVRQNDVKSDTLEVRWDVTYVYLISYGFKTASLIWLFLLPPQK AEVKALKARGGKSKVAGFIVVSLFLFCVSFTVSSNIMSIFPSTKCYRVAGGNGVLDPN TGKCPLK H257_11522 MGSMGSFITGATFPLWGYLLSRCFVMFSNFKLTANEMKLEGLKW SGYFLILGATYWVGNVAQNYGFSVVSECFPTAGVGVCRHVAPRSRLASLSTDCALIQK MSVDLLKNVLNLVLANDVCVIQAHFIKLMAMAGADNHRAADGISMMFFAMVSSFGLGT AAQALGGMEKAKQAAANVFAIVDRMPTIECITNDGVKPTQVVGRIEFQSVEFGDSLMY KDYNLVIEAGTTASISLLGALREAIVSKVLYCRC H257_11523 MQPNTATKIDTWCTGAPRKLAVSIDVDAGALVASGDLSGRDVER QVNAVGGAVRQRDEMFGPAGRGHVVEYIGREQHLLLMGEVHLHRRRLPRGVNGLTKLV AR H257_11524 MDIAPTYRQPGFGPSSGSRNRRDPPQDHTYATATSSKKIPPTNI ELWRREWYTAHQRRDSPQPEELILKALQATPQKRRALIPSSLCATEGSREFWIPAPGL TQAFPLAVIMDSLFTSSEPAWIEARPFLFGFALVRGKGIRFFSNNLEVGTTLRNLEMN ICGYDHVIHAPSLVGQFYWIQLRAPSTQKNTDEPLREIFFLEDQPPTFVVHKISALND FVPPSIKGKRRKVPVQQPQADHPTSHATANHPTSHATANLPTAPATSSIPEPVSPASL PTLKDSPSIWHKKLKSRAKTKLNASTSTRNWEVPLSNRYEILTLDLDSNIPDFDLKVF LSPTTLGISPTVSKPNSKLLLKKLASQFHVDNISKEDLTSLVSEFCVQTLEEMQYGDN FLQTLQAQPTYFRSVLDRPGLEDFWINKATSHALCRLLSNTKPAAFKIDGRNLPIAQV LDDFCSLFEDELGDRLSPGAALDRLCSQETPDFSAQNHIQLALWDLFAMISAPSIYFD PSKMTHASQPSLLVSLECSKLLLWSDDTLAEWVNSELGKIMISSATMRPFSAAFQALT STNVCSSP H257_11525 MQFPVYINAEMHHMTVVDIPSNMKRLVWFGVTNNELKVSTADVQ RRLDQWPHPMLLPSPWFLSPAIRDLCPSLLGYCLFRLQPSTRCTALVAIQACTSSSRG DSAGRRTQQHIYMLLGHGVRRGAELTSANPLTLQDHMLSMTNDVVVMLSIRPPMQVVI VLTGPCDFTSAINTADYLARLDTSVY H257_11526 MDDTVHCVNCGGWGLDLVTNIEKLCLHCARETKAFFDPATSSFC ADGYVDISPKADGGVLKKIIKEGDSNGKFIEEGCPTFIQYIGRLDDGSIFDTTRDVVD GKHAGGTDDAFEFHVGRGKVILGLDVALLTMNLGEVARFIIKPEYGYGVLGLAPKVEP NETLDYEIELVSFGKPLPKFPSQAELAESRKRQAEEDRKMLEDNPPATVDERLHSSNE QKEEGNVLVKKGDYEGAQKCYDTAFVHIFYGKDEWEVLVSAEDKIRINNHKVPLYLNR ALCKIKLNKWADAEWDCDKAIEINNTLPKAHFRRSLVFLGKLNDELAKEDRKEFWVID KATKFLVEAETSLQRAVALTEGVDDAQIVKTQIDLKRAKLTLQKYIKNYHEAEKKLYK DNIMDRLVADNKKKQASELQHELEHAFEDMPSLE H257_11526 MDDTVHCVNCGGWGLDLVTNIEKLCLHCARETKAFFDPATSSFC ADGYVDISPKADGGVLKKIIKEGDSNGKFIEEGCPTFIQYIGRLDDGSIFDTTRDVVD GKHAGGTDDAFEFHVGRGKVILGLDVALLTMNLGEVARFIIKPEYGYGVLGLAPKVEP NETLDYEIELVSFGKPLPKFPSQAELAESRKRQAEEDRKMLEDNPPATVDERLHSSNE QKEEGNVLVKKGDYEGAQKCYDTAFVHIFYGKDEWEVLVSAEDKIRINNHKVPLYLNR ALCKIKLNKWADAEWDCDKAIEINNTLPKAHFRRSLVFLGKLNDELAKEDRKEFWVID KATKFLVEAETSLQRAVALTEGVDDAQIVKTQIDLKRAKVRCPRLHSM H257_11527 MNSSGAGHLITLEPADSLSFSLSTNSTPQAALTISNPSSVENVA FKVKTTRPMRYLVRPNQGVIGPNSSATVLVILQQKDCDELLRLDQAERQLSNDKFLVQ SVGVEAEFCDLLTKKSSKEVNDDLTSLWNQAEKAQISNKKLRCRFTEGTIGGGSSNDL STPQQLSNALLDNSPTDGAASSNTSSVHPPTSYGSSSSNGRSNFATASYASGPTDDAA ARTLELASEMAVLRKKYDELVAFTVQLTAQRDTLINDLDKFRQQNQKLTAEQTRLKRQ TTEMGTLRQRRTTTADADASTPRHPDAVSAPAPATKPITFFHVLVCAVLFFLVGRFYA H257_11527 MNSSGAGHLITLEPADSLSFSLSTNSTPQAALTISNPSSVENVA FKVKTTRPMRYLVRPNQGVIGPNSSATVLVILQQKDCDELLRLDQAERQLSNDKFLVQ SVGVEAEFCDLLTKKSSKEVNDDLTSLWNQAEKAQISNKKLRCRFTEGTIGGGSSNDL STPQQLSNALLDNSPTDGAASSNTSSVHPPTSYGSSSSNGRSNFATASYASGPTDDAA ARTLELASEMAVLRKKYDELVAFTVQLTAQRDTLINDLDKFRQQNQKLTAEQTRLKRQ TTEMGTLRQRRTTTADADASTPRHPDAVSAPAPATKVCPSIHRDMMIYAALHGLYV H257_11528 MKFGKVLQQSIELSSTDWEHSWVNYKQLKRIIKDCAHVSKHDKL KKEKLEANKLQNGNNDTIRQSPDELNFFRTVRAEMAKITQLFMKEQSRYGVSVAEIDA EFKLVQAELDTNQATKTSIMGGCVSLFKEMLLLENFALINYCGISKILKKHDKWTGYN TRSKFVESVLNKQPFAAYSALLSMINCVEQIFMKATGSTIVQHDTTADSSRTGDRAVE VQCGYSMLQLNADNAKQTTPAAAATLSCPADPTDMPLKRPVSLHDLSLLRDEGFRFKR TEEETLSPVNSCPSDDGYDAAYDACEEDALPPPRRRSKRPRETDPNVPVTAAAGRKKM HVSTILN H257_11529 MQAPVEPSLLTSMAHRLRVVKAQLRAQTIDLQSKQHEIDNLKAQ LNQAVAPRGWCADHQAAAVRYEKQIADMTSFLSDHGLMWTSTTRPSRGKHDQTESSAT ESDEVVYFDTILARIQQLNNATIARETAVTVIQDNTHNYVHRFQEKPDAMPLTLFRDG MMLMHGPCNS H257_11530 MTYDKRHESFIIPPGHAMYGQRASNVPTRGLADVGHPLLQLSTE TFLSQLPASTIVHGHIHSIRQEIGQLILPPVDIPVECRVVCVPGEDAKVATLGIRMWR GAQTIQLHVPYTITLHQVKEYIATESGHCLDTFDMASSFPSKAYENELQTVETAGLVP SATLHLKMR H257_11531 MKLSSLTRRCRSWRELKAHAVIEVKVLLLEWKVVLFGLAWQYIH NIFHNIAYWMQTKLSVAQRVPLYDLGFELLPELSESASHVSEYLVFGGIFGPSIVLFV SVLFIKASSTTSSSSPPRFFALIFKRVLLQTALCLMLRCISFMVTSLPGPASHCRPLY NQTCLDAFPSDPTASYRCVVGNPDFQPPMTVGSILGHVDALNGCGDLMFSSHTTYTMS MILAVWKYWGSVPVLVVMLVLQVVTAFFIVAARKHYSLDVISALYVVPMVWFMLEAYH KDLNHKDAVVTREAMRSAYGVDIPDEISADSNLATLVNDDSSAFRPTLTPLAIP H257_11532 MDKDLFGKKGKDHRKSKSGAKVNKKKKKNQEQLAAADKNAKHNP KAFGVAKIGRAKRTIQRNLDKAHQKEYVPNVNRVEDTPPPTCVVVMGPPGSGKSTLIR SLVKRYTRHNIGDIKGPITVIAGKDKRITFFECPNDLNAMVDLAKIADLVLLMVDASF GFEMETFEFLNILQVAGFPKVMGILTNLDKFKNNKSLRTTKKRLKSRFWTEIYQGAKL FYFSGIVSNKYPKGEINNMVLYISRMKYRPLTWRNSHPYLLVDRFEDVTHPDVIQQNA VADRKITLYGYLRGTHLKPGMKIHVAGAGDFFMASVTSLPDPCPLPSRVNEVTKKHLS DKDIMLYAPMSDVGNITFDKDAVYINLGHINHSKRETLDADEDNTDDDNEKNKNGYTR GKGGEGTDMVQHLQAIESGLGIDERLKGATLSLFKHTAAIRADELDSDDDPDNASDDD DSSDDDDSGDDDDSGDDDEASRGRQGTTKPSEQLERDATGRVRRRAIFNVTDANENEE TMETLGDDDDDSNDDDDSNDDESDDEGDAVPAAESSQMRWKDNLVNRAAKNFLEREEQ DINLMELVYGNPGKLHVADASKGQRGHDSDDDDFFTLKSSKKGNTNNGLAAQDDENAL DCSKFRPARTDMKAWDMPDLLESLRNKFVTGNWEKKREGDEEEDGSDGSDVDGSFEDL ETGTVHVGKADEESPEAMRKRLGDEKAKKRATLDDLDDDKNDDDIDDEMTEIMVEAKR LQETQALRNAEEFGNEGENTRLQLEGFRNGLYVRIELHGVPAEFVTGALPTLPILVGG LLPHEHALGLMRLRIKKHRWHRKILKTNDPLVFSIGWRRFQSLPLFSIEDTNDRHRFL KYTPEHMHCGATIYAPICPPNTGVLAFQNMSNSVDGFRVSATGVVLELDHTFHVMKKL KLIGHPTKIHKNTAFVRGMFNSELEVAKFEGASLRTVSGIRGQVKKAQRGDKGDFRAT FEDKILKSDIIFCRTWVPVEPKLLYNPVTSLLQSNWRAMRTMRELRAANKLAIPVNPD SVYKPIVRPERHFNALKVPAKLQAKLPFASKPKLDKKKSSTSYAVKRAVVLEPEERKK YTLLQQVNTLRRDKQTIRQAKNQARTDASNKRKAQEDKQFEAVHRAEKKAKYRAAGKD AKYKASKHA H257_11533 MRLVAGLTVLFLSGRSVVVGTKDQLKSQPPFLSQIQSPKTRYCG TPLEELQYFDLSAPDNGTLFILLFILLSMFIAFGYVMAASASDTMVVEYAQAGHTQQV LAGQPSKNLLPSAAASRIQTTIYVVRSLAGILA H257_11534 MASHGNKEAADLEERVSYIHSNTAKDDNGYVEAKSPKDLEEGAL AEGGALNLFSREAFALFVQYGAIGIMYNIIPAVQYPIFNIYLNLEGYQTSSYRVLIVI GWSFKVFFGMLSDCVPIYGYRRKSWILIGWTITMTCLSVLAFSPFGEPFCNREKTKYC ATPLEKVPEPELQYFNLSAPDSGTLFILLSMFIAFGYVLAASASDAMVVEYAQREPIA IRGRIQTAIYTVREITGILSHCVSAFGLNGPNYGGSFSFALSPNAPYGIALAPCVVVV LSTIFVLVEKKSEAVSFPLWWGKFWESLQSRVLWQVCLFRFLSNVFFSVRTTATLPIS TYWARVEPLNDALSNIFGNMVFASVLVIVGKWGLNWNWRWTIAAGTLGMVVVDGFTVF LTIWDVVRNQWFFNGVGLAEQFPHGLRFIVSSYVAVEIADKGNEGATYGLITTVSNLA GPFASIFYKYVNSYFKVSQNDVKSDTLEVRWDVTYVYLISYGFKTASLFWLFLMPPQK AEAKALKERGGKSKVAGCILVSLFLFCVSFAVSSNIMSIFPSTKCYRVAGGNGVLDPN TGKCPQK H257_11535 MAKHIKRLHPLATTSPEPRLLALAITVSKTVYDGAVCSNHGQKG HLHADQDLDQATVGTIARGVEVLMNRTLQETHESQQINSGQLQTGRSAKAVPTLRFSS VEWQVI H257_11536 MAQFVVGDYVLYQAVWAHLRQKLRTKWCGPAVVTKVASNWVYDI ENLLTHDFRRVHASRLKFYADCDLDVTSELLTHVAHNSEGFEEETMEEARYVPTTKMY ELLIKWRGLQDVENSWEPADNTFADVPVMFKAFCKAAKLP H257_11537 MRSCQIVMGPAGTGKSTYCKNMHEFCAASGRLTYVVNLDPAADH FEYPVAFDIRDLISLEDVMEELGYGPNGGLVYCMEYLIQNLDWLQDLLLEYSDDDYFI FDCPGQIELYSHLPVMKHLCTALQEWGFSICGVYLIDSLFISDPAKFISGILCSLSAM VQLELPHVNVLTKCDLVDEQELEKYLDPSSGYLLETLSSATTDKWQPLSRAINDYSMV AFVPMNIQDEESIEMVLHHIDHAINYGEDIEPKEPKDENSDD H257_11537 MRSCQIVMGPAGTGKSTYCKNMHEFCAASGRLTYVVNLDPAADH FEYPVAFDIRDLISLEDVMEELGYGPNGGLVYCMEYLIQNLDWLQDLLLEYSDDDYFI FDCPGQIELYSHLPVMKHLCTALQEWGFSICGVYLIDSLFISDPAKFISGILCSLSAM VQLELPHVNVLTKCDLVDEQELEKYLDPSSGYLLETLSSATTDKWQPLSRAVCNVIND YSMVAFVPMNIQDEESIEMVLHHIDHAINYGEDIEPKEPKDENSDD H257_11538 MAASVDQKKVDEKHIKEIRDFQKTSTANRRCFDCNEMGPQYICL DFNTFICTACSGLHREFSHRIKSISMSTFTDTEVKNIVKFGGNEAAHKFWLARFDVNS QPSSNLNSRDRIRNFIRDVYVDRRWVFEEPKPKAEPVKAEVKKPSPLLATAAAPIDFN PFQIAPPASATPSPAVAFGDFSSFDKQQQPAASAVDFADFSAFDAHQASSAQAVGFAD FDSFNSSSSIDFFTSPTAAAAAAASLSSRSAPPAFEPFGEPLQATPSVDPFFAAPFGS PPPAMHKPVASDNIFADFDAATTIHDPFQAFTSPSPSSSASFPSSSVQPATASTTSKA PPLDDPFASFEAPIQPKPSNKPTDNSTNLDPFNAFDTLTPLTSLQPPTTTPALALDLW GQPPPPSSSKSQPHGHALGGIYHGLKPPTTPFDSTNYSTNQGSSNPAFSSNVSSFVNK EQKALAVDPFASLDIGIKRSSAATTTHATPFHGQPTSSFSHHFQSNSTGVPVNQQHTN PYYSTNQPTVAYHPNNIVVAPVTQKPASSNPFDMF H257_11539 MTTTLPAPSADHSLLSPPSAPSVMSPADDSGSRSSGLPPRRPPS ITTSSTDPTEAAANESAAAAVPANETRNQSKYDFVKVRVWVGDHFYVLSRYLVSRALV STKINSRDAVTISLELKKTLVDLALTDIEQEQFENFLYKTMLVYGYGESHVECYRMMS SFHRNRTPLLIILAGTSCLGKSTLATKLADRLNLSSVLQTDLIFELMCNFSGKSETSL SDTVFASDEALLAQYTEDCSIVRKGVNSDIDKCLKEGKSLIIEGLHIDPRLYQAEVGH TVPSGGIVIPFLLTIDPEDHKSFIESSPDPRYKLEKAANCFKSLQVVQTYLKKDSAPF IEVPVDIHSLHGTLDAMHDVVLQRIEQVYRSTGFGTFLAYVIAVSITNSLTTTNMLER FGPLAIAAGIMVVGLAALTTTSSTHTRSARLVKARTSRPCRLSASAQPAKQRRSYRRS RPANISLNDRTLRLAPRRFPLYAIPEDEVSTLSKPSSTPSKTDMDIAWNIHAMTKSPS FRDGDLFASTPSVAVS H257_11540 MLPLRRAANRTASHTYPHDVYAALAATLDAWLAEFELTRLLFDS LEDMHAILLLHAAYSGCVDVVDSVE H257_11541 MPSGFAGRLFSCLDRCDQLHLMQTSTRVAIDSKRDELWELVALE RFRLRSGDQHSAGWWHVCARLYYALDDSTALCTYVQQQNAFSLGYINRDIKERIQHQL LSMVELTANGRDVGSRRQLLDLHVIKCLLRLLSSNIMGICDLVCGALANLICIQDNPH ISSCLGNDNADNLKLQMRQLVEQSRGDRALRDMLLSPQVCESGPGPTKHAARVLLNLA FHNDQVLCHVVDIWEGYISSKPSHLTIDNDLCFQQPQGSTSKWHIMYRHGSGRAYLDT TTVDLTLSKRGDTMQGTGSTAKPQASLTLHGQMHSRPGIHNCEFHIAFDNRGRPYGPL PIAHIGYWSSMHADKMWGVWEVASSPDQFKLGTGGVFLMKKVSL H257_11542 MIEIEGIGEEVIVAAIVFVVSTACMGLLAFHVYMPSQVKATAKT PVATAPTRPRETQPTCPICLSDVQLACETNCGHSFCTSCLTSYFASRRVAYPCPCCRQ HIHLVHTFYSPDEMSSQEGHACLLKLDQFNLRARRQAASFVQQLTDLPTLLSWAGRAR SQSSLTLWSPMRMACCVVTLLYIVSPVDVIPEAAFGLFGYMDDLFLVLVILLGIASAI RDDIVHQASTRGHREVVPPLPIPSSE H257_11543 MDDVSRWTRRLVCKGLDIDDEAYNRVFEENSKSAVKKLPTRDLF RQFFSTDTSAGAVLFFFTSKVDVDIEVEIEEEVEVATTLKAAVEGAPLKAEGESSEGS GDSLPEEPLHVDNNGGVTVDQDERALNLSTGSSSGDLAAPEVMMMAPRPVTQKITRKV LQRESRVLCNVSLNVLPPDTQVLDRPCVFFIKSGDGSVMIQAQTDGSDDVATNIEVGC STGDLLTNLEGVICHVFIPILDPKLIGEAGYDNEVTNTHQALKVIDAVRNEFRGNLLK FASQISNAMQQIQGDVHLTIPNVLIDKPDACLDDYELINTIEQALEEWYKVVAMVVDQ EARKAPKRKGPLAEIEFWRERNATLSTIFEQINMPNVQKMLALLELVEASMLSTFRYH FSELSKLYIEAKDNVKFLTTLERHFKNIASGSFSTIADTLPSMMNAIRMVWIISRHYN TDERMVPLMERIASEIADKVAVEVNIHTILRKSPEAALHAIEEAKMVLELWHATYMKV RERIEASGTDHRWEFDRKRLFDQTNYMAKICENLQEVATVLDQFHKFLGPELKSVTGD SQGIDDVMARVEGLISPFENVPFRIFDRGYKTSWESVMVQFREKVSEIEAMTRKFIDT SFQKLRSAEGAFDLLQNFQNIQSRDSINKQMMEKYKDILMQYSKELEKLSEQFDLYKA DPPIYKNHPPVGGAISWARALYHRAKKPIMRFRAMNDLLKSPHGEDVKDKYLVFARAV DAYIKGLHHEWKTRVPSLTNEYLKQPILGPALLETTKIENGTPVMKLPPPPYFPNFAP ELSMIIREAKYMDRLGFDIPEEALNVTLQEDKYHQIVHDIKMMLKQYDALLESLSAVE MHLLRSQVKDLDDVLRVGFYPLNWNSQRIVSFVESCLKALNQFSNIVSQVHKSSKMID EVVVAIERTMLIKISDYEDGVVTEVGEFYELMERNRTTRIDELVQHYRSIGPLLIKVE EVVAGVNTGTSPKLATYYMYWERRIFNAITKMIIGSMTTFQALLNVHQKDIAKASDQK LKRPPLCKIKATMNGKDIVVTPSLSDMYKYLSKCVKHIVESAKSFVRWMHGTCRETEP QQINEDDEPVLFTFYSDISQNPYVIKMTLSLNQEIHKVFNIINKYLDSWRRYDTVYSL WNAKRRSALDKLGEKKPSCVYFDTRMASYARLAESVRNQPTEKETDFLQINCLAVAVT IAKQSDKWKDDYGKILHELSAKKLAAISAKMDAFELDLQSDPQDLASLKALLNTIAVI SAAGMEMELEYTDIVERYRTLQTYAIDLPDNPLETARAFGLENRWRALVIAGKTKDLR LLRVKDQFRVVTKQDTVTFAMECKDMRSEFFASGPGATTADLDKGLDLVQDFKKRLGA FKSRRQELVNAENLFALPLSAYPELQEITEALEKQETVYALYTEQKDFISAMASVLWV ELDVGFMTKGIDELEKKCRKFPKDLRAMSTFLEVEKQILAFKESIPLIASLKNDAMKP RHWEDLMGVTKVKFDMNVKTFTLTNLFAMQLHRFSGEIAEIVNAAMQETKIEQELSKI EDVWAKAAFEVAKYKKNGTDRGWVLRTADELKLTLEDHMLNLQTMSGSRFITSFTDRV RKWEKKLNIVNECIDVWFVVQRKWMYLESIFIGAEDIRLQLPEEAKKFDAIDKAWKTI MAATYKNAIAVDACTSDNRTETLQSLSERLDKCQKSLSDYLDTKRNSFPRFFFISDDE LLSVLGSSDPTSIQVHMLKLFDNVKVLTFVRNNRQVSAMESSEGEGFAFRTPSVVEGP VEAWMTGVEDEMKVTLQVIAKEGVFHYARTPRTQWLSDVLGMVGLVGSQIWWTWEVED VFHRVAGGNKYAMKELEIKLTHQLNELVRQVREPLIKTTRKKVNTLLIIDVHARDIVD SFVRDSILHEKEFAWESQLRFYWDKDVDDVVIRQCTGAFRYGYEYMGLNGRLVITPLT DRCYMTLSQALTFKLGGSPAGPAGTGKTETVKDLAKSLALPCYVINCGEGLDYKAMGS IFSGLVQVGAWGCFDEFNRINIEVLSVVSAQLRAIQNALNYDKPTVDIGFGTEISIHR TAGFATCGFFITMNPGYAGRTELPDNLKALFRPVTMIVPDLLMICQIMLFSEGFENAV ALAKKMTVLYKLSREQLSKQYHYDFGLRALKSVLVMAGSLKREYSTMSEDLVLMRALR DSNMPKFVFEDVPLFHGLINDLFPGLDCPRVGYAALKDAIDADLEAGDYKSQDAAVCQ DQTDKIIQMYETMLVRHTTMIVGPTGGGKSLVLQTLANASKVALDELVKMFVLNPKAQ SVAELYGTMDPVTRDWTDGVLSKLFRELNQPLPPGKENEKRWLIYDGDVDAVWVENMN SVMDDNKLLTLPNGERIRLQSHCSMICETFDLQYASPATISRCGMVWVDPKNLGYRPH FERWLKRRNNKGDEKQILAELFDHYVPKCVDYVLEGMVGKEVVGKLTQVIPISNMEMC KQLCNAIDSYLPSSSGSDVALERTDLEGLFVFCMVWSLGAALVDASRKRFDEFVKTIA QSNLPTQSLYECLYNHETHKWQTWDSKVPAYAEPAPFNFSNILVPTTDSVLYSYILHA CTKADGRPILFVGESGTAKTVTIQNYLKELDPQTMNSLAINFSSRTSSTDVLTNIQAN VDKRTGKIYGPPAGKKLAVFIDDMNMPKVDLYGTQQPIALLHFVVSKGCMYDRGKELD LRILKDLQYIGAMGPPGGGRNQVDPRFVALFNVYNLTPPTKDVLRNIYGSILTTYLRN FTPTVKDAGAKLTDMLLRLFDVIVEKLPPTPSKFHYIFNLRDLGRVCEGVCMATTDKY DTPSKLVRLWRNEVLRIFSDRLTGTADIATVDAALSTLVKDTFPAEADAVLVNPSIYG DYMDCRNRLTSAGEDLRLYQDMDSYKRIRSIFDEVLETYNLDNKPMTLVLFEMALEHL SRILRIIRNPLGHALLIGVGGSGKQSLSRLATFTAGYDLFEIFLTRGYGEAEFRENLK DLYRKLGKTPVVFLFTDAHAVEEGFLEFINNMLTTGMVPALFEQDEKDQLGASIRHEV KAAGLVESSDNCWKFYVQRCRQHLHVILAMSPSGNTLRVRCRNFPGLVSACVIDWFFA WPEDALRNVASYFLMDEKIPDEFRPQVVNHLVHAHLRVVTVAHRFELELRRHYYVTPK NYLDFISNYRQQLKENNNTVNASIARLKGGLTKLVEASLAVDRMQIELSEKKIIVDEK TVSCEALIKNIEEKSTVATKQQEVAAVTQIECEKATVIINKEKEEADAALLEALPAVE AAAQALQDLSKSDLTEIKSFASPPALVMSVCMCVLILKPTGQELDMDWKGAKVMLGNP NLLGLMKEYEKDKITPKMVSKIKTFFKNPDLNIDNMKSISKAGTGLLVWVVAIVKYYD VARNVEPLKLKVKTMEKEQAVKEQELLELKDTLERLNKDLGELSTAFEAANTELQALK AQADQMQKRLSAASKLLAGLASEKSRWTKDIESLNEQGGQLIGDCLLTASFLSYTGAF SFDYRFELIYKDFFSDITTRKLPLTDPFKLESSLTNDATVQKWVAEGLPADEHSVQNG ILTTKSSRFPLCIDPQQQAVSWIKRREEKNNLTVKTLNDGDFMKHLELAIQFGNPFLF ESVDEELDPILDPVLEKSTFMEGTQRLIKLGDKNVEWDANFRLYFTSKLANPHYSPEV MGKTMIINYSVTQGGLANQLLNVVVAHERPDLEEQYRDLVRDMSENTQMIVELEDMLL HELSTSSGNILDNEELIATLDETKNKATEIGSKLEQSKFTKDEITKARAVYTPVALRG SIMYFAMSSLSTIMKMYEISLTSFLTVFHSALDNAKRDVVLEKRLRFMIQSITEMMYD YTCTGIFERHKLMLSFQMTCMIMASAGDLNRPELDFFLKGDTSLESASVARPDDCHWI STAGWKDLLCLSKMPGPLEHFVNEVTSKQLDWQRWYALEAPETSPFPSEYNLKLTPLQ QLLLYRCFRQDRVYNAMKLFVISVLGEKYVQPPVLDYSRIYAQSAPTAPIVCILSPGA DPQSDIQTLGEATGFSGHRFKFLALGQGQGPLAEQMLEAGYTRGHWVLLQNCHLLASW LRTLEKTLLAMHKPHKDFRLWLTTEPTDRFPLGILQRSLKVVTEPPDGLKQNMRSLYS KLDQSMLDECPHPSFKQVVFVLCFLHAVVLERRKYGKIGWNVSYDFNESDFNISRKLL SLYLHKAYVDGDEVLPWGSLKYLIGDAMYGGRVSDDYDRRVLTTYLSEYMGDFLFDPC QPFFFSRSGYDYCLPLGDGPLETYVQMVELLPLTNSPAVFGLHPNAEIGYYTNMTKSV WRDLISLQPRSAGSGGGISREDYITNIATDIETKVPEPIDVALIRKRFGVPSPTQVVL LQELDRWNVLTAKMAVSLGDLQKALIGEIGMSDELDAVGSALFDGFLPNMWRSLCPKT EKPLGSWMVHFMSRFRQYMDWIDKGDPTVMWLSGLGIPESYLTALVQTTCRLKNWPLD KSTLYTTVTQYRQPSEIKSKLESGCYVSGLHLEGASWDIAHSCLCQQLPKQLVEELPI LQVIPIEANRLKLQNTFRTPVYVTQSRRNAMGVGLVFEADLASTEHMSHWVLQGVALC LNTDS H257_11544 MDSAFAGKWTLDAKALDTDIQNIKVALAELDSLKPRKQAYLQRG NLFFLTKPEVATRAKEAELEAKQARRHDVGLKRTQL H257_11545 KAMLVRLDGMQRTGTVTVTLTLNPTITSAVLVSLSAILALLLIC VACRCMRKANTPPKRHIAQGTGYNRFAAASTTGSSHDYTHPFLGPPDYEQPYDEHSPP AYHELPSAPPLDVM H257_11546 MAGRNKLLYHPSIGVPLTWMAIAALFTRSPIVEFHSDQKPPQAW RGTLPSRFDLSGSSSARS H257_11547 MAPQSVPVAAAPIATMEPLEVNAPTSSPPSSSLQSVLVRSHRFA LYEYECLVQCFRIPSAPSTSACLMVFYSFGLVVCFGAYAYVLERLTIDGNGPNEFVLM FVCCVVYAILSYVGKVLAREQHVDTAPWYVFLVLSFTTFSSTFLSTYSLRYVSYVFRV LGKTCKPIPIMAIGLALGKRYPPRKYLSVAMVTVGAMLFFVYKSAASSSSHHHSTTVD ATAASSHNAADNATMNAEIGALLLVISLFFDGATGALEEKFMTQYQMGPFTMMHKINV VSTLLSAVLIVVTSQEATLLHVVANFSVSRDLLLLGLCGGVGQMFIFLMISRFGALMT SVAGTARKILTLCVSILAFGHVLTQMQYVGLAVAVAGMCVNLVRGHGSPTSAKQTLSQ EALDTEETTGFLQDDKDGDDADHDERRRRDDDDDEDNVPPSKGVFPDVRGNLVPKQDE YGIAIDIAFRATTSV H257_11548 MGLSTTTVVRACSIVALALFLWRSAVHSIEGDIRDASRRTPNLL TPMDASFSIWGVIYAWLIVFVLREWFVPSAALESSIYALHLLFIASSVCSTLWMELFV TGYTRLSFAPIFGSWLILFAAYLYVESHIEPIVVTSILASRNADYVFDSTSRADFWCI RVPFTIYWAWTCAATTISLNILVEECGVHAMGFYVFWCGLWVLANVLILIGVGDVPFA AVALWTLVGIAVRNSREKHVHDADVQWVAEHYALEVMATVGAFVFGSLFLFLVLHKWW RGLKRPVNGILNTIPSTATTYGTAV H257_11549 MVKKKVDARVRTLIENCVKTNHRSFFVLVGDHGKDQVVNLHYIL SKTVVKARPKVLWCYKKELGFSTHKQKRMKQIKKQMARGLYDANQDDPFELFISSTDI RWCYYKETQKILGQTYGMCVLQDFEAVTPNILARTIETVEGGGVVVLLLRTMSSLKKL YTMSMDVHARFRTEAHQDVVARFNERFILSLSSCDKCLVLDDELNVLPISKHARNIEA LPPVENDVTPAQQELLDLKESLKDTQPVGALVAESRTMDQAKAVLTFVEAIAEKTLRS TVALTAGRGRGKSAALGMALAAAVAYGYSNIFVTAPSPENLGTVFDFVFKGLDALKYK EHLDYEIIQSTNPEFNHAVVRVNIFREHRQTIQYIQPTDHSKLAQAELLAIDEAAAIP LPVVKQLLGPYLVFMSSTINGYEGTGRSLSLKLIQKLREQQGSAAAAARHAASSVHGD NKTRKGERKLHEERWQAASSAAHAQLGGQGGGGTGRVLREINLDIPIRYATDDSVEKW LNDLLCLTCSSPRIGGGTPHPRDCELYYVDRDSLFSYHKLSESFLQRLMSLYVASHYK NQPNDLQLLSDAPAHHIFVLLGPQAEGQGNAGQLPDVLCVVQVALEGEISKESVQAQL SRGQRASGDLIPWTVAQQFQDNEFATLSGARVVRIATHPDATGMGYGSRAISLLTKYY QGDMATDAAGTNEDEEEVEKSKTTGDDDDLSEDETTQLRREKVKPRKKLPPLLLPLTD RPAERLHWFGTSFGLTLPLYNFWNRAGFKSVYIRQTANPLTGEHTTIMLHALNCADLP ASPADGWLHEFVADAKRRFVSLLAYEFRTLPVTLALSLLTDPGTSSDEQLLQRAATGL IPASELQVTLTPFDVKRLQSYAKNMVDYHMIVDLVPLVARLYFLNRLPDTTLSYLQRA ILMSIGLQNQSVDVLTSELNVPSNQVLALFNKAVRKFSTAFQAILEAEVAATMAVPVA VAPMVPTAETLEEDLADGKTQAINALKQKELLESLNLQKYAVRGNDDDWAAALDGVKK DEAADLKSVQVKKIKKRKDNDQDNKVAQPDSKKAKKGSKKPNSKYANLK H257_11550 MIQQHGGMGGGASSSADWSTNEWVLRVGAIVVLVMLAAVFSGLT LGLMSLDKVGLEIVVATGEEPKATAEERSNATYAKKIQPIRQDGHLLLTTLLFGNVAV NSIMAILMADMTSGVVGFVATTVVLVIFGELVPQALCSKHPLAIGAAALPVIYTLIAI MYVFAKPIALLLDWLVGKDMGTIFSKSELEKMLDIHVKQQKLDADELGIMKGAMHYKQ TPVSTILTPIADVFTLPGSTILNQNTIEHIYTMGFTRVPVWGRDLNDILGLVFVKDLI FVDPEDNGTLLDFLHLFGRSVHRVWPDSSLGDVLQAFQLGRTHLAIVHDVNNWSEVDP YYETQGVVTLEDIVEAILQADILDEGDLVSDSEMAGRNKLLHHPSFDTGVRHIMDNNM EWKSIGEPEAMKLAKHLVATQPVFQVPNSTGVRLTWMNVAALLMRSPIVEFLPDQEYP PLYEKHVVAPPHCMIVVQGSVSVVAHNDDKPIVAGLWTVLGVQGLLGVEGTVGLSDVT ATVPTSNYTRCLRISRLEFQRMLRPMQLAKTASELSIKRRQSSHKVNTSGGRVPRQRR NTNTVDAVEIVQYV H257_11551 NLLSPRSSAAPPSLSMEEPTRMLGEPVSETENNATLAVSLPGKA VCEDAVEDVQLVTCQVCFDTVLSQDAMTRICRETCHGIMCGACMSSYLNVCTESAPHG VLSRLKCPICVRPLNMHRLITRASTSNDATSICDHATLFRERVEASCEMLCPECHRTT NILYTPPSPDGAIDNKIDSNDWPKSVLACRRPDLVPDIVAACIRYCNHDLTADALLAY LARALDDEDIEFVQNLLGHMFDPERRVGLFLATMKRDSFKHTPCCDAEVCFVCKREGH HPGQPCATYLPEIEDMAQCSQCDLMLVKGDGCSSITCFCGHEFDWDVEVAQYRLKVCT VQALSPRHRPAFVAIATYLRHQAFRRKYAVLVIAQLPMFVLHKRLADLAPVLFYPPWS TSFRTGLQACMARRRVIRQTIAHRASFQQLVVHHVGVRVQQIRLERLTSAVAQPHSIW RTLFKALVVRSVTRRRFRKVLLDISMVVEQRQMKQERAIFMQTLAAAARLRRHSRLHQ APWMAKVKAALSSWATTQQHCALHKRQYGAAIMAQVPQAVAGRQLRRIDLVMLAQKNS PSPWWGQTMATAVRRAIAKRREAQVAGKMLDGVGVAQGVWQQCVGPSSNQTMSAA H257_11552 MQLSAIVSVLVLAAVQTAHAAFYVPGVAPESWEEGEKLPLNVNK ITSTKTLVPYEYYYLPFCAPSTPEEQQENLGEIMSGDAIMDSMYALNMNKEVRCQVLC KPMTYTPEKSALFVEMIENEYYVQWVLDNLPVLYEDASFGNEGAQNSDPNAPLSAAYH RGFPVGEVDDEGKYFLYNHVRIVVLTHPDPYADVGVPKWRVVGFEVVPTSIRHEYSGT LTSGSELESSTCGKFVQVDEASESRRMYLDPTATNTVLYTYDVQFVKSDISWENRWDR ILSSRASNDQIHWFSIVNSLMIVLFLTGMIAMIMLRTLHRDIARYNEVQTTEEAAEES GWKLVHADVFRPPSYSPMLFSVVVGTGVQVVCMSSATMVIALLGLLSPANRGSLLTTL LLLFVFMGSFAGYHSSRTYKMFHGKDWKRTMLLTAVLYPGALFVVFFFLNLAFWAKTS SQAVPFGTLFALLVLWFGISMPLVCLGSYFGFKQPAIEQPVKTNQIARQIPDQVWYLS TPFAVCVGGILPFGAVFIELFFIMSALWLHQIYYVFGFLFVVLVILVATCAEVTIVMC YFQLCAEDYHWWWRSFLTSGSAALYLYLYSFLYFFSKLNITEVVSAFVYFGYMAMISL TFFFLTGTVGYFACFWFVRKIYASIKID H257_11552 MQLSAIVSVLVLAAVQTAHAAFYVPGVAPESWEEGEKLPLNVNK ITSTKTLVPYEYYYLPFCAPSTPEEQQENLGEIMSGDAIMDSMYALNMNKEVRCQVLC KPMTYTPEKSALFVEMIENEYYVQWVLDNLPVLYEDASFGNEGAQNSDPNAPLSAAYH RGFPVGEVDDEGKYFLYNHVRIVVLTHPDPYADVGVPKWRVVGFEVVPTSIRHEYSGT LTSGSELESSTCGKFVQVDEASESRRMYLDPTATNTVLYTYDVQFVKSDISWENRWDR ILSSRASNDQIHWFSIVNSLMIVLFLTGMIAMIMLRTLHRDIARYNEVQTTEEAAEES GWKLVHADVFRPPSYSPMLFSVVVGTGVQVVCMSSATMVIALLGLLSPANRGSLLTTL LLLFVFMGSFAGGTLDVFIAFVLCCIIYFADPTYLIFFFFTFCIPNHSVVFDPKLILN TLNCFQILSN H257_11553 MVKTKAGRGTSWCPSSVDLLLDITVAVLPLGKNQWEKVAQRFGT DATAQSLPHRDAEALKRKFLLLKNVQKPTGHPDCPPTSCMQNVCKEKSRALWRSCRWM QPFRWMMNLTTATTVAMAPFSRPRPSQLRVDFGRTGLQPSQLQALSDKLKRKQSDTGG LPSFTAKKRRSIDKYIEGASESDAKASSDMMSFLMVMRERDAKREEMRHERQEKTDRL REERVEKADRDREAREARCDELQFLLGNIFGKNESS H257_11556 MVELAAKQDAILESKANKKRLSLGGQGRHELMPFAKDLNAFMNE VHDQEHHLTHTHLITYMKTHHQHWLTDNLSAKKTEDRAYHIKQGELREIHDKFASDFW AKFASTAHADIIYVDETSVYYDMPPGKTIAKVGGSSKVDRSQKHSNQMTAVISIRWNG DKLPILFVLKGKPGGDIER H257_11557 SVINNNGELFQDFPASWSVLVDKGYIGLTASTRAIHPKKRPSNG SLDRHDLERDANVSSDRVIVENFFGRVCLLWKISYATFVWGTKCYDAIQRLTFTLTNF HLTLMALRQEDQHQYRAVLAHYRRMVEENNAKRAAIQRRYAVRRAERMATESLRSSFA ARVSFSPSANTRR H257_11558 MVNESAVVAPRGIVETSVEKTLAGDFTLAKKLGIDLVAACTASL FVSPIITTIDRAIMENASGKRVLAQGLREISHDFVRNPLAFIKRKDFLLIYGLYIATY GTANTIDTISEFAGTDSGMPKLIGTTAVNVTLCVAKDREFARMFGVIAPTKFPLASLG LFAMRDALSVGATFIAPPVIAKYFEKAGMDKTTASSSALLLCPSLAQLVSTPLHLLSL DIYNHRSQPMKNRVSFISREYIRSATARISRTIPAFGLGGIGNKHLREELNAKLLLHS S H257_11559 MSDIVVLPTPAAIVDSSADVVHEAASHWSSAVLDVSVAKKVVID VVAAAAASLLVSPTITAIDRAIIENASGKRVLIQGIKDISMDFVRNPLSFVRRKDFLL IYGLYVATYATANVIDTVSDVIESDSKLPKLIGTTAVNVTLCVAKDREYARMFGLFAP TKFPLASLGLFAMRDALSVGATFVAPPMVSHLFEAQLGMSESSAHSTALLVCPSLAQL ISTPLHLLSLDLYNHKVATPHARLAFISKEYIKSAAARISRTLPAFGVGGIGNKHMRD DMRSLWL H257_11560 MRVGGVWCRRQMATRATGSSFHPVCDAFHAIIRQQSTLLHQLSD DEYTYRCPTLQGTTGGHVRHALDHLRRSIDLSADAAIHYDVRDRLTRIETNRVAAMDE MNAIRALAARVADDKFLARHVHAAFRLSAEGEEVTLRSTVEREMAFAVHHAIHHHALI KVILTTHFPHVPLPFSFGVAPSTVHYEAHLAKPSS H257_11561 MDSVASSNAPVTTIPSPPISADDHTSSPSGPSPVAIHSVTAAMT LNNEAILVDWSYTLANNSSPRNISSWSCLVPFQVHMFTDELATSSNVSVVAAIEPSKP MQTGHVRINASSTIPLRFNHTYTFQIVFPSLNTTTSPTMQDHMCSWVTTSHLSSSSLN STPLVWPLQASTRSDDSLATDNATSWLLFLGALGVLFVLFVLRLLVFHMFLRQNNLDP FATLPPTELDLEQPSSSRRRLPHRPTGIQKNTTSQSKRALKLIASAADSGAPPSPHLA MQIKLYNGEYHPEVERMAKAIVAIEKQSQLDHKNRLRDQLRHADVLGHHLSSAERQHT KHQAFVIHLHDGVELQMLHVRKPSVKPMVVYANDNLTTIKWQVARKPSTKEFVNGGAH TLHFVDVQSIAPWTNELPPLFEAKYRHLLKDGADEHCFYAVLIVYLHAKKRTKQKHLL LQAKDIDDQTQLADTLAKLVASAKRRRDSPVTTPLPPAAVVVAEPTNIVAATPTIGDE H257_11562 MHKPPFTRSMENEASPPPVVHQPPPLSSPARRHTSPDKANSSKR FRLTKRASDYLVRAAAQPSAAPSSVIQAKLIRGDYHTDVERMAKAVVALEKNRLLASK SARRSEMRHADVTCSAWSPEDRHQALHQTFVAHLLDGIKLQLLNVRVRSKKPTVVYGN DTLTTLKWGATPARKPSVTEFARGGTHTLHFMDVVSIAPWSNTLPPSFALKYKHLLST KAGGEVQQESATSPPDAGGGGLHTVLIVYIHATKRAKEKQLLIQVQGMEEQTQLVDSF SKLVAIAKKK H257_11563 MSCPHSNHNTAMLPHTLGPKASSFCSTKSMSPTKLLDPPTPPAP PSPQPNQPQPPARTNQPHLQSHHLLTRQHQMQRTMRAEDFRGMMALKEDAEKVAHRSF VRRLVDGRTQIPMLNHRREGYDLMNVTIDAHLTTLQWSPVVVMATPDALHRQGQTVQT LNLEDVVSIVPWTHKLPTKKPSMTELEMAKKGARWYFGLCVAYPKRHRTQQLTMLCTN AAEQEQLVASFRTLTRAAKQRQSALQLLERTKLEYVEPVRPTTTVHRPSIPSSLGHK H257_11564 MALPKVPSPRGALPNVSPTSSPRTPSPPKPRLEEVRPPPSPNTS SQPQLPSQNHVARPCPVNQQRTMRPEDFRGMAIKEDGEKAAHRSFVRQLVDGSMRIPV RNPRRGDYDIMQVSIDAHLTLLTWSPLLSSPDARQASSAQTLELEDVVSIVPWTHKMP TKKPSAAELEMASKGGCWYFGVCVAYTKQQRPQQLMLLCHNAAEQEQLVLSFRTLIRN AKQRRSSLQLADAARLTPGMTASHMTPTQPAMPSHHIPLLVRR H257_11564 MALPKVPSPRQQALQQQQQPVTLYSRGALPNVSPTSSPRTPSPP KPRLEEVRPPPSPNTSSQPQLPSQNHVARPCPVNQQRTMRPEDFRGMAIKEDGEKAAH RSFVRQLVDGSMRIPVRNPRRGDYDIMQVSIDAHLTLLTWSPLLSSPDARQASSAQTL ELEDVVSIVPWTHKMPTKKPSAAELEMASKGGCWYFGVCVAYTKQQRPQQLMLLCHNA AEQEQLVLSFRTLIRNAKQRRSSLQLADAARLTPGMTASHMTPTQPAMPSHHIPLLVR R H257_11565 MSQRVAHASVHAAVSKSLCVLSAKLSVDSACPSSKLARTIEQVN KQHRIAQQVDRRTRNQREDVSGRRLTDDEKAMAAHHTFTLVLMDGMRLVSMYVENNKQ LQRVRQVPATPTQPHQVEGGPMMAIDSQLTTLSWTPTTTGDIGWWRSWLFYSSPVVQV SFESVTSIERSDEWPHALTKPAAPEVSPVHGVWVDTPTLRLWLQCHDEAEQVQVVTSF QRYVQSAKKRTADNNSTRHK H257_11566 MPITAYKGVVVHSLALGQLEMLNPGLIGVNEKGTIEYVVDLATH SLDSVAFDNLVDYNDKLLIPGFIDGHAHAPQYSFLGVGMHLPLLDWLNTYTFPHEAKF ADPAYARNMYTKAVTRHVLNGTTTCSYFATIHLEACKVLADIVHDIGQRGYLGKVNMD RNATPELTESTHGSLADTTSFIEYIATKHNSLLTPVITPRFVPSTSSALMTGLAALSN THTLPIQSHLSENAKEIEWVQALHPDCSSYAEVYDRHGLLNDRTYMAHCIWCTHDERS LMKNRGTSMIHCPNSNFSLSSGVLNVRQLLNEGVKVGLGTDVSAGYSTSMLDAIRHAV IASKVTALTSPTHQPLTYAEAFHLATVGSAACLGLHDVVGNFVPGKELDMLVVDLGSI NSAVDIHDHDDCSSRFQKFLFLGDDRNIVHVYVRGRQLVHLVQTNGTGSIK H257_11566 MHLPLLDWLNTYTFPHEAKFADPAYARNMYTKAVTRHVLNGTTT CSYFATIHLEACKVLADIVHDIGQRGYLGKVNMDRNATPELTESTHGSLADTTSFIEY IATKHNSLLTPVITPRFVPSTSSALMTGLAALSNTHTLPIQSHLSENAKEIEWVQALH PDCSSYAEVYDRHGLLNDRTYMAHCIWCTHDERSLMKNRGTSMIHCPNSNFSLSSGVL NVRQLLNEGVKVGLGTDVSAGYSTSMLDAIRHAVIASKVTALTSPTHQPLTYAEAFHL ATVGSAACLGLHDVVGNFVPGKELDMLVVDLGSINSAVDIHDHDDCSSRFQKFLFLGD DRNIVHVYVRGRQLVHLVQTNGTGSIK H257_11567 MSSPKKPRRVGGTSNHGRIPIPEIWHMIVRMCDLDTAFNVCLVV FSTPSLWDVATDVEVYGDLIETHFGAKRIFPPAALCPPASGVPNFRACKGFHPSDEFV EFCETYGERTLFSKVDIRQGDIGRVVDIDGAPLDCLVFPTNYTLRNAGSGAAVAVFRR AGSGLDEYVESLHFQGRESNAVVTPGFNAGVSHLIHCVGPSPHAIGSFALLYQTYLNA FEQARRRKVRCVAVASIATGALGFPLSAATKLAMRAVRDFVKTHRWDAKVVFVCWDVE VTNSMRAAKDEILDEFNDQAFQVLTVV H257_11568 MKLNVHAPPFYPTLGWKTAITDDVIQAFNIPYSPGVVNADGFVI LDQEFYTREVPDEELFDPAFYPFTQTDLRELEACDQMNELLAELELLDMQEELHRKLA DKCHELKDNRRSQESTIWNILMKTSKDEEAAFRALRNKKLTPTHQQHAKSPRFSRGLN QPRHFN H257_11570 MKLNPKAASFVPTFGTWGVPPPPVPTDNKVYYDEYVEESYDPNA YPSQDYDDDGGDDEMNELLAEIERMQMEADLTRELESLKAQGRSDDADLWNKWMAGAP SPRAITYQDDHTPLYAQSGHHNHHQSNSSPRHHKKASPPYAQHTSRHHFADNSPRQSP RNSGAPQYQPSSQPRSSYTSRAIYQPRASNY H257_11569 MTSYPNGFRECWGDYREEEDLEVASQQLYKHQKSLPKLPVPSLA DTCALYLQTVRPLTTDAEFVATKAAVEAFLKGPLGPVLQKRLEARAASRPNSSYLAEW WNTLGYLHVRDPVVFNVSYFFHFSDSVHLAQRSQVGRAASLLVASMAFRNQVASGTRP PETLGKGQTPLCSTAYKYMFNACRIPRRDADSYRIYDPSTHHHVVVMRHNKFFKVHQG PTPLSFLEWTSILTHILDVAGSIESSVGVLSSENRDVWADARTQLLADGNAATLRDIE SAVLLLCLDDDAPTSRTDVSRALWHGNGRNRFYDKCIQLVVFGNGKAGLLAEHSMLDG MAMSVYADFILTGLHKQTIDLGDTTLTHAALVARLPAVTPLKVHMSAATLQAIASAER TFDATVAGHDVHVESFFGYGNHAIKSFQCSPDAFVQMAIQLAGRKHWGKSVATYEASQ VRVFLHGRTETTRSCSSASHAFANIMVQTSPVDQLSVKAGLCRDACNAHVKYMKIAAQ SKGVDRHLLGLRLCLQPGESAALFDDPVFARSKYWQISTSHLTHDLFDGWGWGEVVPD GVGIAYSIKKNSVHFNIACRKSIEGQPSVARSFGHLLEESLLEMRHVMEADQALKLTA KL H257_11569 MTSYPNGFRECWGDYREEEDLEVASQQLYKHQKSLPKLPVPSLA DTCALYLQTVRPLTTDAEFVATKAAVEAFLKGPLGPVLQKRLEARAASRPNSSYLAEW WNTLGYLHVRDPVVFNVSYFFHFSDSVHLAQRSQVGRAASLLVASMAFRNQVASGTRP PETLGKGQTPLCSTAYKYMFNACRIPRRDADSYRIYDPSTHHHVVVMRHNKFFKVHQG PTPLSFLEWTSILTHILDVAGSIESSVGVLSSENRDVWADARTQLLADGNAATLRDIE SAVLLLCLDDDAPTSRTDVSRALWHGNGRNRFYDKCIQLVVFGNGKAGLLAEHSMLDG MAMSVYADFILTGLHKQTIDLGDTTLTHAALVARLPAVTPLKVHMSAATLQAIASAER TFDATVAGHDVHVESFFGYGNHAIKSFQCSPDAFVQMAIQLAGRKHWGKSVATYEASQ VRVFLHGRTETTRSCSSASHAFANIMVQTSPVDQLSVKVTLGLFYPCIYNYECPIHMC KQTHCSSVYFWLLLPEQQTILLFHGTGGTVSRCMQRACQVHEDCGAIQGRGPPLAGPS AVPATWRVGRPV H257_11571 MDERLTQYKPALTAYFDIHPGPEVDIQILLSRCPQFATLLIQNP TMCLPVLESAFAQGLSTTVSSSPQQLQLTNVPPCLKKRVSALRSKEAVGLMGVEGTVV RIGMTRMLEKVRQFECAKCNTTWEVTSKPEEQNRMVVPRACISRGACKSTNIREIKGS KKCVDYQTIKLQEQVSKLGVGSIPRSIMVILEGALVDSVKAGDDVVVVGTLIKCWKPV IKDVRCDLETVIQASSIRLKNVNGAARAMVTDDLRREFDQFWHAHHDTPLAGRDVILS SICPQVYGLFIVKLAVALTVIGGCAYVDDRGMKTRGDSHMLLIGDPGTGKSQFLRFTA ELSPRSVLTTGIGTTSAGLTCTAVKDGGEWMLEAGALVLADRGVCCIDEFSSITSHDR ASIHEAMEQQTLSVAKAGLVCQLNTRTTVFAVTNPKGRYDPNADVSVNTAIASPLLSR FDIILVLLDTVHKEWDERVSGFILDQAAVTADVAMPSSSSSSSSSLFTSEPYNSDLSQ PPHPCPSSLDHQKRWSVAKLQAYFCYVKESFHPRLSRSAMTVLQRYYQMQRSSDMRNA ARTTIRLLESLTRISQVR H257_11571 MDERLTQYKPALTAYFDIHPGPEVDIQILLSRCPQFATLLIQNP TMCLPVLESAFAQGLSTTVSSSPQQLQLTNVPPCLKKRVSALRSKEAVGLMGVEGTVV RIGMTRMLEKVRQFECAKCNTTWEVTSKPEEQNRMVVPRACISRGACKSTNIREIKGS KKCVDYQTIKLQEQVSKLGVGSIPRSIMVILEGALVDSVKAGDDVVVVGTLIKCWKPV IKDVRCDLETVIQASSIRLKNVNGAARAMVTDDLRREFDQFWHAHHDTPLAGRDVILS SICPQVYGLFIVKLAVALTVIGGCAYVDDRGMKTRGDSHMLLIGDPGTGKSQFLRFTA ELSPRSVLTTGIGTTSAGLTCTAVKDGGEWMLEAGALVLADRGVCCIDEFSSITSHDR ASIHEAMEQQTLSVAKAGLVCQLNTRTTVFAVTNPKGRYDPNADVSVNTAIASPLLSR FDIILVLLDTVHKEWDERVSGFILDQAAVTADVAMPSSSSSSSSSLFTSEPYNSGTTD AAAAACDHHETRICGQTCRNPPILALPRWTTKKDGAWPSCKHISATLKNRSIRDCPAV P H257_11571 MDERLTQYKPALTAYFDIHPGPEVDIQILLSRCPQFATLLIQNP TMCLPVLESAFAQGLSTTVSSSPQQLQLTNVPPCLKKRVSALRSKEAVGLMGVEGTVV RIGMTRMLEKVRQFECAKCNTTWEVTSKPEEQNRMVVPRACISRGACKSTNIREIKGS KKCVDYQTIKLQEQVSKLGVGSIPRSIMVILEGALVDSVKAGDDVVVVGTLIKCWKPV IKDVRCDLETVIQASSIRLKNVNGAARAMVTDDLRREFDQFWHAHHDTPLAGRDVILS SICPQVYGLFIVKLAVALTVIGGCAYVDDRGMKTRGDSHMLLIGDPGTGKSQFLRFTA ELSPRSVLTTGIGTTSAGLTCTAVKDGGEWMLEAGALVLADRGVCCIDEFSSITSHDR ASIHEAMEQQTLSVAKAGLVCQLNTRTTVFAVTNPKGRYDPNADVSVNTAIASPLLSR FDIILVLLDTVHKEWDERVSGFILDQAAVTADVAMPSSSSSSSSSLFTSEPYNSGTTD AAAAACDHHETRICGQTCRNPPILALPRWTTKKDGAWPSCKHISATLKNRSIRDCPAV P H257_11572 MMDPSVEMSPTTSKSKDRLGATSASPVLSGSEKYMKQLQSPSVR KLFSENQTVQNLHVIHNLKKNYRHRKLFEIWAFVVALVGLVLMLVENEVVMVAESPST PLSEALKTAVSISTALLLVLIVCRYQSHTNIYKLQNILPPTASMMSVYWPVLLLELIV CGFHIPPGLSGSVPILQFRHTVEANATLCRHPKNLITRIQGNSCYLSYSYFYDVFGVF MVLRIYLFGRYMRSSSPLYSQWAAFIGTLKNVNAMSPFFHFKAIFSTQPARLVAPLLV FVTFVTAAIIRILEVPAQPVLLNYWTAVWMTTASITSVGYGDYAPVTYAGRGFLTFSG ILGGLLILSLVQSIFFGALELTDNESRVKYIIDKSRWDCQRREAAAKLIQTQFRLKKQ QQQHGTNPRLVEALTLHLFECMEHMHKFVRGEPRNVRTFEEEMDAHIGGLLRDMDDMQ RQEDAILARIQDKIRRLNAACDCILSSQAS H257_11572 MMDPSVEMSPTTSKSKDRLGATSASPVLSGSEKYMKQLQSPSVR KLFSENQTVQNLHVIHNLKKNYRHRKLFEIWAFVVALVGLVLMLVENEVVMVAESPST PLSEALKTAVSISTALLLVLIVCRYQSHTNIYKLQNILPPTASMMSVYWPVLLLELIV CGFHIPPGLSGSVPILQFRHTVEANATLCRHPKNLITRIQGNSCYLSYSYFYDVFGVF MVLRIYLFGRYMRSSSPLYSQWAAFIGTLKNVNAMSPFFHFKAIFSTQPARLVAPLLV FVTFVTAAIIRILEVPAQPVLLNYWTAVWMTTASITSVGYGDYAPVTYAGRGFLTFSG ILGGLLILSLVQSIFFGALELTDNESRVKYIIDKSRWDCQRREAAAKLIQTQFRLKKQ QQQHGTNPRLVEALTLHLFEYDDGCDDEEMRSCDILCVDAWNTCTSLCGESRATSGRS KKRWTRTLEASCGTWTTCSAKKTQSWRVFKTRSDDSTPRATAF H257_11573 MAPRDQVLASPSSVSKINQLKDRLCVQPMTPSKDQSCLAFTMWA NRAAMVMFFLLAVSIVICLVTMLLPPTSTLMPPSTTEPPRIVVGMTMTSIPPRVVNRR IRYEPLIK H257_11574 MHSAVRESLLFLVAGVLEIGGGYGVWVFVKNKDYASYRHILFAV LGSIALVAYGWVQSLQAIEFGRLFAVYGGYFIVLSLFWGWTVDGKKPDVGDWVGSLIA FAGVLVMLYWPRPATP H257_11575 MVATTTAKIVKPAGQVADDFEKQVAQELVALENSAAEIKADLKD LYITAAKQVDVPGGRKAIVIFVPFRLLKNFNKIQARLVRELEKKFSGRHVVIIAQRTI LGKGHARGHNVSAPRARSRTLTAVQDSILDDLVYPTEIVGKRTRVRLDGSKLLKVFLD PKDQVNVETKLDTFATVYKKLTSKDVVFEFPVQQE H257_11576 MTEKSKILIKTHNSTSDAADAMYRTARVLHQSARYMRRHPNASR VPSMNMKGPLGGGMGRSNAIRVLSVSTLDLLCCRVHPLDLRVQALEFHTLQDDDGR H257_11577 MGRIQRSEHVFPYGWDVVTAAFWRKYPHPMLPHVEKMDVISRFI DEQGCLHTARLGVCSPINVPSWVTYILGTHYSHVYEESVCNPVSKTLQLRSTNLSYRS IAVVDEICTYAAAESILGPSQPSTLYTQVSRVNALLPFFSQSFEEYWVERGTATARQG VLAMDELCSGKSSC H257_11578 MKRREKSFDTNTHNQKLPEYNALADHNLRHFFENRKLQHHLYDV GMIDKAGRVIDPDKHKGKLAILQQEFKHAEKAELLRQREEDEIRRRVQLRRHAALNEA RKEEKIKKIKDDRMLTKQIVAAAKEYATPPPPSSSKSTSSSSGPRSCLSSGERSSSAS DNNF H257_11579 MDGAAFDQSNAALAEFHAEYERKIAETALEHEKVGEENREKALA AMEQFKTERQRLRDSKVLANRTQEQATVEKLTADLTNENPWERVVSLVELESQKSKTA KRLAVEAKARGEAVDNNKAAADADEVDLTRMKQLFLQLKAEPLDLTRAQANGIASH H257_11580 MTEAKARLGSLFGSNGTGDNTNDPLRYSAPKEPIKAAATAAPAA SEAAQNIIYSSTVNLFKYDVSKKSYASCSPSPVGCVILGNNATYSLLLYNAAKEHLSR SPVTVALQATLQPGQYVNFYDASGDNFSARFSTDVDAQTFIRTLFLTKVHVGIWGGNA GAAPTISATALTKEELSSHDANARAIVDGDSVGVALSVWRVVGNIGSSPLDVVTKYAP FEKVSATDVRKFRVGDKAERISALEEGVVGMKKGGTRIVLAPPSKTNGKDWYILQIEL VKVKPHNATDQPSSSAAASSRRSSESPRGGATSSSNGQAATTSDLVVFEQQKEEMEKQ RQSLLELQKQVQQQQQQAANVTPGVNSSPQPPLHQPAHMMYPPHMMYPPQPYMPSQSP PPSSSMYPWGGMMGGKSVDVLVLELHSKVDHLIRTSSSSSSSSSSTTLLGHTDAGHTL RGVERLVQENERLVHQIGLQSQQVNAFETKCDDLQTTVTKLMAEKSQWKEQGHLQLAE TANLTAARDAALHQASRLHQEVQQLRYALYQTSQSSSGQDARVTELQMERDAALANES AARAIAEQELALVKKQAVNLTSLHASEMQSLRLSHDQEVARLQTAHHNQLEALEGQLV TQVAQQQQPSPSVDARLHAENDQWKEHAAAVEALQAENALHMERISELEQLQAFHAQA RETEVLALKAQVAALEAKVVHEGDEDDGLAGKEGSCAHCAEADAKAHQATVDASVKEA AARQALEAADALKKEAQELLLEAPLPSPNVSELFKDVVNDIFYRFQDIFEDEVALDGN QVLKDIKKVLKQSTKEVLAKVEGSSSPAPA H257_11580 MTEAKARLGSLFGSNGTGDNTNDPLRYSAPKEPIKAAATAAPAA SEAAQNIIYSSTVNLFKYDVSKKSYASCSPSPVGCVILGNNATYSLLLYNAAKEHLSR SPVTVALQATLQPGQYVNFYDASGDNFSARFSTDVDAQTFIRTLFLTKVHVGIWGGNA GAAPTISATALTKEELSSHDANARAIVDGDSVGVALSVWRVVGNIGSSPLDVVTKYAP FEKVSATDVRKFRVGDKAERISALEEGVVGMKKGGTRIVLAPPSKTNGKDWYILQIEL VKVKPHNATDQPSSSAAASSRRSSESPRGGATSSSNGQAATTSDLVVFEQQKEEMEKQ RQSLLELQKQVQQQQQQAANVTPGVNSSPQPPLHQPAHMMYPPHMMYPPQPYMPSQSP PPSSSMYPWGGMMGGKSVDVLVLELHSKVDHLIRTSSSSSSSSSSTTLLGHTDAGHTL RGVERLVQENERLVHQIGLQSQQVNAFETKCDDLQTTVTKLMAEKSQWKEQGHLQLAE TANLTAARDAALHQASRLHQEVQQLRYALYQTSQSSCTSKPWLVDCCWTHMLEGCGVG GDHVISRRLASLAMTELCYRRAVLP H257_11581 MSAASRGSRVHHVRKTPNPFFTTSSGIVGINLHEPTEFLAPTLD DHYVARPTDNNQSEEAKLVKFMYQTTTSSIGDGIERRESPMTQRMDKFSVKREIQQSG LVKIRSVSVISPEEVLARAKADLLHQDPTKQQAVDIQLIAAPRGEHPMYTTRYTPSNT TCEDYTRRRCSTRVVGEEKGAIQVTTERIVKPGTFTNSFNGFRFRDYGLNTAVTKSKI CDQLDHS H257_11581 MSAASRGSRVHHVRKTPNPFFTTSSGIVGINLHEPTEFLAPTLD DHYVARPTDNNQSEEAKLVKFMYQTTTSSIGDGIERRESPMTQRMDKFSVKREIQQSG LVKIRSVSVISPEEVLARAKADLLHQDPTKQQAVDIQLIAAPRGEHPMYTTSTRVVGE EKGAIQVTTERIVKPGTFTNSFNGFRFRDYGLNTAVTKSKICDQLDHS H257_11582 MWSRALTSMRQHAGVATAAAAGAGLMYASMAFESKPLMANEVAP RDTTRGMLREVISKLNRIESAVANPKREGPGVDVVLGAQWGDEGKGKLVDMLSQQYDI IVRVAGGANAGHTIVCNGKKYKFHLVPSGVLNEEAVCVIGNGVVVHLPSLLAELETLK AVGVDCAGRVLISDRAHMVLDLHQEVDGLMENRRGRNKIGTTKKGIGPAYSSKMLRNG VRVGDLRYFDDFADKLRAQVQFYKDNYPDLELDPEAEIEKYRKLREQILPITVDSIEY LNKSYISGKKILVEGANATMLDIDFGTYPYVTSSNPSIGSICTGGGISPNRINGIIGI VKAYCTRVGEGPFPTELFDTVGHHLGSVGAEFGTTTGRPRRCGWLDIPQMKYSNLVNG FTDLNLTKLDVLTGLAEVKIGVAYWHKGVKLSGMPSNLQVLEESTVQYETLPGWSEDI SKCRTFDELPENAQKFVLRVEALLGTHIKWIGVGQDRTDVIERAHPLQKVAAPVAV H257_11583 MLAAPDTQVHSTTGQMQANASSMPISQLDVSGGLVKATVATQSD EATTTPTSVSPHITLDWDVTYMANHANDVSLGDVLTCQDSAPSTTSCGANNLDVPPSS CRSSPSEPTMCRFVPMETVLDDTSRKMYSIVSSHLAHCKSSLESDPYTTTLQRRLDRA DQRIHELEVDNGRLIDALATADDLSKRIAEENASLRQTLDASRLDGLLHQANVQHVES LRHELTALQEQQNLPIHPSQPPSQEHQKQNPGSMWPPEIHNKLAHVDRLLYLLQSATS SPRTKTLPSESSSLPQSENTLAHGHPSWQQYYPSQYDVGHAMEARIHQLFQDMHMASQ QVIEFQTTMSDQALNQLLDVETVLRRELDTLTAGKPTQVPCKATATSLPIERFRKCTV PDCPKGVRSRGLCKGHGGGKRCEVRGCVKSNQGGGFCIAHGGGRRCAVDGCKSAAQIQ GTCKAHRQTSAATRQSKSRSTSPCQQQAGDARAGHDVQAGEYRRRVMHHNDDGHATST H257_11584 MTSCNTTGTIISCPSTMSNAAPSNETLVYGGSVYVHKYDAATQG YIPLSESALGLALMGGSTSYRLFCYNRSQAEVFTTPLGVHVKFTPQQDHYVNFYDASG TDNYSMRFKDDAQVQAFLQAVACIKLSLVSRGSISATHDDIHPGDGGYAVQLGDIVGI KIQAWAFDTAVDSSSSTNPVDIVASPPVMRYLDVDDLLKVKLGDATTEGLVGLSGQVV GMQKGSVRYIYLPSSSSATTWILAHAELVKVKKDKRPNSAAVASAPVISLPPTGSEDD ELKRDDLVTRMAHLSRMGSGHAALPTAASRRSSHPDDPHQPTHAAADKVATPIVLTMP QSPSPPPLHSHPSSSLASSFPSSMPPPSEAAFAPTSSTSLQPPPTTEADQSSLALRQE QQRLLAEQEDIQRQRQALTRQQQIQSPPLSKARPPTAHLSSPPTSLLPPSPPTLDAYY SASSVTSANYLQQQHHVAAPLTSSSSTGGFNLVPFVPATPLPPPQAYATTSTSVPSAS SALFTPSNVEMDSTLQRVHRTTLAMEGMLIDLQRKIDRVIVPSSYSSGGGGGGSAYSR RPDQSTTASLLKSMERALNDLDGLHDANHRLTSQVTDLQRHNRQLEDDVDRLQADLRR HTAASYSQVQAALEHAKQRCAQMEADVERWTAAVEAERRQRMQLERDLATQRLSSAQQ SADGVVAAQVDEARQVATMAQKQMQAEKLKHDAAAAKMAADVEALQTTYESRRVEMQR EMDILRTQMAAERASHVEDAEAVKVHVEALMQERDVHRAKVAATERAAADMEDRWRRE KEDAAAARDARADLFKELMNDIYFACQDAFDEDAEFTGKEVAIQIRKILKQHTNDVVA KFEK H257_11585 MVSWLPPWEVQGVLACVALALLASIFRTAAKSQVIISELTAGAT NGGTKQLLLVIAHPDDESMFFLPLLLNLRSKATFHLLCLSTGNFDNLGAIRKAELAAV WTSLRMQPDTLTTLDDPRFQDGMKSVWTSEDVAATVAKYANEHAIDAIFTFDEYGVSG HPNHISVHHGVKRALHHQLPSAVDAYALESTSMWRKYIGALDVIFTEPSEAVQFVSFT PWENYNAMALHHSQFVWFRRLFVIFSRYTYINTFTQLRSASEKKIA H257_11586 MTEAAAVQKLLLSHVGLGPRLPHRHLFTLPSFSSLESKQALLAH ACLSQCSAVVEDVLLFLSQTLSEPLFLRELRLPQHQFAVDHWANYLRQQQRLHASSYA ALQDYPLVAFFRGVGRYTDMTTEILQLLLAQSDVARVQEWAREADTLLDSSHQPAWLR DQVGQYIQLQLWIRDTEAKDAAIAPPEQTLSGWADQRQIGSQGLKWGKRHVQLTATYI AIQKHEPDKVERSVNPFLDKRQECISLAADMQVQCRHHASSTHATSLDRPYCIELVRP SSCDTLSTPTVIVLLLDMWSERAQNEWLAAIQANIARLTLDPIWRTFPRNGLAPRTTT VAHLWHYMALYHTSLDHHRFSDTFAVDPTRIFYQHLRVSGLKQQWDALAELTTRRLGK VKMLFSSRAGPPQSAIGFGPIVDICVDCNAPPEVLQTYVALYEKQPHKGWRARRRWG H257_11586 MSLPMQRRRRRRASLPVANAVRAAIPSRTSPSSTPVCRRPLGQL PSAAAAPPRTFYGASIGLIPCHVKASSYAALQDYPLVAFFRGVGRYTDMTTEILQLLL AQSDVARVQEWAREADTLLDSSHQPAWLRDQVGQYIQLQLWIRDTEAKDAAIAPPEQT LSGWADQRQIGSQGLKWGKRHVQLTATYIAIQKHEPDKVERSVNPFLDKRQECISLAA DMQVQCRHHASSTHATSLDRPYCIELVRPSSCDTLSTPTVIVLLLDMWSERAQNEWLA AIQANIARLTLDPIWRTFPRNGLAPRTTTVAHLWHYMALYHTSLDHHRFSDTFAVDPT RIFYQHLRVSGLKQQWDALAELTTRRLGKVKMLFSSRAGPPQSAIGFGPIVDICVDCN APPEVLQTYVALYEKQPHKGWRARRRWG H257_11587 MPTCVLEDKITCCYGLYKNNTHCSVGNTVASLSRAKNDALRIGL LVFGVAAFIACLCKLYSIRRNGGSTIQRRAYMLMAVASFTFVARAPDPRSHERIYHPI VSGLFVDICSAAIYGVIILYAAFYARLVAPPARTAESEHYIRGFSILAFFLTGFIFLI VRPAYLARRDRNIFDSWHVLVQFSMAPVVLFITSSTALHFGLQAYRRLSAIRKTDQRA DDIDAIRQLTRRQLHDLRGSTTPAATTAPPAKRLSSATSVPAPPPSSQHGSQAVPSPT THGETCPQPSMMQTSSHEDAQAAVGPVMMAHLPPIETSSQDNQRVLKVLVLMELCAVV NIALQIVLVVKYIQDGCQLKQDLLLATTGIDGGHDYSFDWPTFSVLQGIAVCVVYWSF RKTRRGGDVQRALGTHDDAPVETESSLDVRPRWVDI H257_11588 MAKECFLVAGKTCCYGIVMERSRCDVDNTYANLHRAEYDAYVVV CAVVGIATFLACVHKLYSVHQSKGSPIQKQVYYLLVLASVTFIARAVDPMSYDFIYPP VVSGLISDVCTASLYSVLILSVAFWARIVIRPTDLVRAEIPIRASTVLGLFLTWFVFA CVRPLYLLPAWGDTLRIFRSWHILIQYSMAPFLLFLVSTLAVIFGMRIHCRLKSIRET NERSMAIATLRQHALKAHPTVVRSESSDELPPRILWASSSSSSNTDDPRAPKRDSRIL KVLVLMALLSAVVIAAQVYVLVDFIRRGCMLEHEYVCSSAHALPKSTDGSPRPLCDLP FPFPMLPLTQLLGIVVMYWSFRKTRPLTAETVTEEMCLNSSTGRLEHGAAARTTSAVS LASSASGRFI H257_11588 MHRVPLQRLVRASSAFLGYLMPNANACFGGGRILSVAFWARIVI RPTDLVRAEIPIRASTVLGLFLTWFVFACVRPLYLLPAWGDTLRIFRSWHILIQYSMA PFLLFLVSTLAVIFGMRIHCRLKSIRETNERSMAIATLRQHALKAHPTVVRSESSDEL PPRILWASSSSSSNTDDPRAPKRDSRILKVLVLMALLSAVVIAAQVYVLVDFIRRGCM LEHEYVCSSAHALPKSTDGSPRPLCDLPFPFPMLPLTQLLGIVVMYWSFRKTRPLTAE TVTEEMCLNSSTGRLEHGAAARTTSAVSLASSASGRFI H257_11588 MAKECFLVAGKTCCYGIVMERSRCDVDNTYANLHRAEYDAYVVV CAVVGIATFLACVHKLYSVHQSKGSPIQKQVYYLLVLASVTFIARAVDPMSYDFIYPP VVSGLISDVCTASLYSVLILSVAFWARIVIRPTDLVRAEIPIRASTVLGLFLTWFVFA CVRPLYLLPAWGDTLRIFRSWHILIQYSMAPFLLFLVSTLAVIFGMRIHCRLKSIRET NERSMAIATLRQHALKAHPTVVRSESSDELPPRILWASSSSSSNTDDPRAPKRDSRIL KVLVLMALLSAVVIAAQVYVLVDFIRRGCMLEHEYVCSSAHALPKSTDGSPRPLCDLP FPFPMLPLTQVGTYFCIQYIGNVYT H257_11589 MTFVGTAVGAVLGLNTKLLVNTLQKVPLLRQPWEHLALIGLGAV VGNLATNNVENDKKEVEALRALLGNVEQRKAVPSAQD H257_11590 MANKKLKTSAAAAVPAAVASKVDSEEDVVAAAVAPIASTATTNT DSRNAFLKVFWDLAELNPATRVAAVESIVQHLNQANDAEELAYTLKRLIRGLASSRDA ARQGFSTALTALLHHFPAAVPVESVLDLLKSTMDVSASMKGMEQRDHMFGRLFGLLAI HSSGRLSGADDLVIASLLDEVITLSKWKKWFREACYEGLLAIVESLPAATFESVALPK LTALLSTSSVAQFNADQVSLAIGLHTYVHAHNLGAAAALDAIATIVSRHHFHHLVDPL KSSTSIYPRLHSSWRRLVEHFTSTAKLDEERFQEMWSVLVEGMLVAPGSSHERRGTAL KLFEALVPTLPPPTLRSILTPHFIKVIHNNAVSKKTYLHEAALGALHTFVSAQPLEFF RFLQHQFLHPLTCLVSHLPDDDDDNGDNIGDDKNKKVGFEALLALEEDKERADFQAKR IASARMWALDTMYTATLKLPAASTSDDVWKESLGFFVTHAFFEPSSDVKPSKKKKKAG SSTLDQVPTPALSASVVAALSKRVYALVGLGNQVLKDPSVALDTSVPFRLWQTWGDLT NDGQLVLKAPLSTAHAAQRTAVGKQLKSLVAQVASAAEDKKAALRLKGFTLLNVCVGL QLLDPAQRDDASSVLADLARCLAELNAPAPSKKKAKKAAKEAETEQEQQQPLAVLTDM LLSMLAQGSSVMRDIVTHVFRSIMEQLDAASVQSMVDVVVSSADEETSMLDMDEVDDD DDDGAPIDPSKLTTTTSTTTSVDDDEDVDHVMEDDDENETIHLADLQREDAALSAMVS QVSEKKKAKQHAKRLRIAALHFKVRVLDLLHVYAGSPGAAHVINTIEPLFKAWTTLKP HRDTLVWSDRVGAVLQKIARRTKEAAASFETDAVVGALAAVLAVASGTVVDKKQAAVA ASLVTYLVRTGLQNSSGDAVAAALVPSISTLLTKKHAKLPRVVLDHLVTNAPALAGAL LFDTLAALAIDDAAAADDFARAEVVRHLTALFKTKGAVPVSGLAATGPALHAALVDAL GKVKGKRLKGVANCAQALLKARVDAKDESIDAIALAKELKALLVVQGGGGEVDKKEGG GAVSPVLKGMVAQMLQVLAGPNSSKNGDKKKSKAEKKRKRTEAAEAPTSQE H257_11591 MDLQALAEKHGGISAAVVGKAQELHRLLDLKLQGGGNAVPMLDR PAACLELACEVLQEPFDSRKLYMLSGGTSLGKHKQCVRNISGILRLQTTTTITTASLC VKFGCPGLKDYVASVHDEYKHRMMAKLTATQQRYVDVTRPLFPAAVFYTCAQKASYRV DKNQLLQLTMSQPKEFGSVVSSIEALCQHALTKFVPAATACALGRKRKRNEVDASTQD AVDKENNSKDASNAVSNTRSLDQIKKLIQQHAAAAAQSAIQTPRPTRTQQPPTSPVQA STAPSSAYADWKMNILSKRMQNADTTT H257_11591 MDLQALAEKHGGISAAVVGKAQELHRLLDLKLQGGGNAVPMLDR PAACLELACEVLQEPFDSRKLYMLSGGTSLGKHKQCVRNISGILRLQTTTTITTASLC VKFGCPGLKDYVASVHDEYKHRMMAKLTATQQRYVDVTRPLFPAAVFYTCAQKASYRV DKNQLLQLTMSQPKEFGSVVSSIEALCQHALTKFVPAATACALGRKRKRNEVDASTQD AVDKENNSKDASNAVSNTRSLDQIKKLIQQHAAAAAQSAIRKDLKHGVSSCISPSIET PRPTRTQQPPTSPVQASTAPSSAYADWKMNILSKRMQNADTTT H257_11592 MQPGESKALLVKAPSQRASSVPLVRQMSDFAESTNQANVNKHVE AVLATVGADPADKLTSSFVYTLLVLAITVPFFLGPLLVYFKVDNVVSWSWWLVLLPLW VFNAVWLYSTCFFKGIDPVDREDHFDDSQDVTRVQDGATEGSTTDVVEVTAPVEPKPE NRVFNVFLVLMYILTEVFICLKLEGSITWHWAALMTPYYLVSLMQGNCAAFANVAQVV LVATKLDGTLTWSWNAVFFPYWIAVVVSIVLVPLGVFAAVKFSIANASSLDDDPQKPP SAAWPVVAAVSSLVLTVATFSPFLLLMYKLNWGDLATIVVFAPYFGVLLVACLSLLVF GLVSLYRGTVYEEAEDNVV H257_11593 MADFDAPRGVTVRDVKAADFIKEYAEHLKRSGKMELPIWWDIVK TASFKEYSPDNADWYYVRAASIARKVYLRENTGVGALKKVYGGAARRGALRQQYQKAS GGLIRHILHQLEEMKVVEKCPEGVNKGGRKITSHGQTDLDRIAGQVARA H257_11594 MLVLFETAAGHALFKVQDEGKLTNVDDIHKHFATADKANSFVKL KAFNAFKDTTEAVAAAADCVDNTVGKSLKKFLKKHVKDAGLSDKLAVVDKAFGGVIKE KLGIQCVHDASIQELLRGIRTHMNSLISGLDDQDLKSMTLGLSHSLSRYKLKFSADKV DTMIVQAIGLLDELDKEINTYSMRVREWFGWHFPEMGKIVTDNLQYARVVLKTGTRPH VKNLDFSDILSSDVEASMREVCEVSMGTDISEEDVLNIGSLCTQVISLTEYRTQLFDY LKNRMNAIAPNLTVMVGELVGARLIAHAGSLMNLAKHPASTVQILGAEKALFRALKTK HDTPKYGLIYHASLIGQTAPKHKGKISRVLAAKTSLAVRVDALGDATEATIGFDNRAK VEARMRQLENGFTGVVSGKGKAKNEVKKYVKEPVAAVKSYNDAEDIKLVKKEKKEKKR KAEVEQVEEQVEEVEEAPKKKAKKEKKAKKEEAVEVEVAAATDEVDTKDKKKKKKKQK AE H257_11595 MPVSPRWFCPHLLSYPVILKVVEQPTDAPPPSDERAATTALLTV WCRNNCAPKRKARLARILHLQGQGMMATTKYQDEHPFALPTLVEPLPMEIRHRCCLAS MLSLTTRGA H257_11595 MPVSPRWFCPHLLSYPVILKVVEQPTDAPPPSDERAATTALLTV WCRNNCAPKRKARLARILHLQGQGMMATTKYQDEHPFALPTLVEPLPMEIRHRCCLAS MLSLTTRGA H257_11595 MPVSPRWFCPHLLSYPVILKVVEQPTDAPPPSDERAATTALLTV WCRNNCAPKRKARLARILHLQGQGMMATTKYQDEHPFALPTLVEPLPMEIRHRCCLAS MLSLTTRGA H257_11595 MPVSPRWFCPHLLSYPVILKVVEQPTDAPPPSDERAATTALLTV WCRNNCAPKRKARLARILHLQGQGMMATTKYQDEHPFALPTLVEPLPMEIRHRCCLAS MLSLTTRGA H257_11596 MSLFMIVGSKEPLYSLDVKPRKEETAHVDEFVLHSSLDVVHESM WTNNNMWMKVVDKFNDQFVSGFVTATTIKFLLLHESRNEDAIKLFFQEVYDLYVKLLL NPFYAHDSLITSPDFDLRVRALAKRIL H257_11597 MLQASIVVAVVAVAVCLWRVWCILPRRNGATSSSLLHTPGKPSS GNQTSTTSGSTARTLVVLGSGGHTTEMLKLIKRLSMDTYAPLAFVVAASDHTSEEKAR VERKDDGPLHFYTIPRSREVHTVHPTMSTSPSHVQFLVGRAVVGVHRVHDSILVSVQC MGGVSLPARRVSLQWPWHMHPHLRSAARPPLSRAPTIVQADLLRVICACAASVAVRST SVPRRRRFRRALAATAGKIPTCHVSWHDLLDYY H257_11597 MLQASIVVAVVAVAVCLWRVWCILPRRNGATSSSLLHTPGKPSS GNQTSTTSGSTARTLVVLGSGGHTTEMLKLIKRLSMDTYAPLAFVVAASDHTSEEKAR VERKDDGPLHFYTIPRSREVGQSWVSTVFTTAYSFLYSVWVVFHFQPDVLVCNGPGTC IPICAALLVRRFLGHQPSSKLIFCESFARVQRLSLSGRLLYHVADAFVVHWPQLQAKY PHATYLGTIC H257_11598 MSKINKSKRNYLYSPYDMQVENADEDDMMDPVLSGLPSNLTRNL WDDWNEDNALQDDTTLANFASGMDMTYVDDKQPATSSSDALLDSLMAESNIKAENGGG FDDMMSPPTSVQNFTHQDVADMMLPPSAFGYNPAVAIPSKDTSDITSHPSASSGRPRR SSNPSPYPNNSPHPPSQYGSSSNGGVHGRTSSASSSSGAAAAPVSPPRESMANMPVYS PQAAVSSPVVPASSGYQHTTAALGGGNVFNPVHSVGGYSTMHAATHSSMFASHPPPSS STNGSSAFGPTSFHMPSQHQQLQQSQHHGSGGGGLFLNTHHSGGQQFAPPPPSAAANP LQMAHSPQGQGHTPMFGHPSSTSSSSSFGHASPYNNMMIPPGFIMYNQGPHHPMPPQH HPPHHGQQPSQQHFQPTAAASMMMNPFLNLPPSMLNGGGGVGLNPMPFSMGMPPPGGP SSIAAAKPPLKPHVPLARKPGTGEISSMLQSLLDEEAEKRDKKLERNRDSARESRKKQ QKYVEVLEDGIQHLQIAKESLGRFRFGRSPPAQLDLLCGLRPSLPSFAVVMHASRQRR MLGHPKHPLLDKVFSALGRTLALLQASLLDMTMLWDAASNGLADVLRLSPTQQQQLDD LAAVVRRREMPRLALLVKAFKVLRRRAFELGAFAPSLDVYFRAVLTPDQLAKMVTWTE MNRSDLLRLQSTMTSDHYAKPMLVKS H257_11599 MKSVRTNSASQPSSVPEEDEEVDVFDSSVHVPLTADSPPKPSDA CDIDVVDATAEEMVTIDVSGSPPKPAGATNNRRVAGSLDQVVADVSSPSGGKTDTVLR QRRHAPRKTHNALIALSSLGDQGPVDSCINTLSLEIQVAFRVKVLGLFTLHLLALSLL VIIFTYSPLTTDSLTRFANNDTGMALGGSVILSLVVLCALYVAKHTCPFNFVLLCVFT CIEAIAVTAFGLFFDTKASVLACLVCFFVMVFMTFFSTRRRFVKKTRHIELCHSVVAG IAAYVLVTTVACVAFGARGTGLMSGTTFGLTMLFAFPVIMWFAFDAHCMYQIMTPDEY MSGVIFFYTDLVLFLLFVVVMIVCIAACDGGAPMACFGGSCGVNIDPEHDTLPPPQPD SVGSPSTASSPVGGIETV H257_11600 MSDNEDNKGGDDEKKQTITIRVKDQSGEETFFKVKPHTKMEKIF SAYAQRKGVPVTALRFLLDGTRIGGDQTPKMLELEDQDQIDCALEQVGGASF H257_11601 MSYFIPPVNYGMIEEDLYRSGIPNELNFPFLERLNLRKIVYLAP EEPNAQLLSFVEEQEIELIVLGGNTKLENRRKAWEPMSEETVLAALDIVLDRGNYPLF LTCHLGRDRTGAVVGCLRKIQQWHLSSIFEEYRRFAGSKVRLQNEQFIELFDTDLVTI PANPPNWIKTHMYNP H257_11602 MMFTVGPPEKQATVYLDKDQLDRETIKQIEAINAHESVTNVRIM PDVHKGNGCCVGFTSKLTGSVLPGLIGGDIGCGIAMHPLPSSLLSKKNALTKLDKVIQ RHVPMGNGYDRVHATPLMQPSQYATYFALAQQDATSFATAFETAFNLDIRPHMPTYSF EWWRSRCDVLGSNFDYDLRSLGTLGGGNHFVEINDGHDGGGYITVHTGSRNFGQRVAR FHQGGGSTDRTRNVLLDDNNTHDSPRRPSRALHGAAASAYFFDMIWAQTYASMNRRAI LSVVLNAVGVEFDEASIVESVHNYIDFRDLVIRKGAIRCHAGERCVVALNMRDGVLVC EGKGNTAWNMSGPHGCGRIRSRQAMQLHYGSAVNAAMRRFRQEMGDVESTCIVPETLD ERPSAYRSADVIEQALRDTASVVFHAKTLLNVKGY H257_11603 MTMPFVADALHLDGPSQPQTHAGKGSLQLHRTTIFAIAWVLTLL TSGGLCFGFGPFYSRLVKEHQWHELCPDNTTSVCSAQEVQLQTVYSTGILMTVLGQTV FGLLLDTIGPRYMTLVAYVFSIAGNVCLAVGDSRDGTDGLLVAGYALIGFGGMRILYA SLQLSTLFNEPALYTSLLVAAYSFSGYIFVLLELDVARQSFFVGYALLVAASMVLAYA VFPVHHILTQSPTVTTPGFAVVRSHVDRPKLDQLWVGLKRQVKRRDYWVYVSLGSMLF LVVIFGGGAMPSIIAASRVPANDSQHDNHDLQRVYTNYLYPLISNSSFLFSPLAGYLV VHFGFRKTFYTTIGIFALLCGSFMLPSLPAQNATFVLMAAANAFLTTMQYVYIMTCFP HELYGVLSGVTTTLVFVYGLLSYPLMALAQYSFDGNNTYVFLILLGTTVAAIFLVPFA REEAECFDADLHLDLLEHASRIEQAQV H257_11603 MTMPFVADALHLDGPSQPQTHAGKGSLQLHRTTIFAIAWVLTLL TSGGLCFGFGPFYSRLVKEHQWHELCPDNTTSVCSAQEVQLQTVYSTGILMTVLGQTV FGLLLDTIGPRYMTLVAYVFSIAGNVCLAVGDSRDGTDGLLVAGYALIGFGGMRILYA SLQLSTLFNEPALYTSLLVAAYSFSGYIFVLLELDVARQSFFVGYALLVAASMVLAYA VFPVHHILTQSPTVTTPGFAVVRSHVDRPKLDQLWVGLKRQVKRRDYWVYVSLGSMLF LVVIFGGGAMPSIIAASRVPANDSQHDNHDLQRVYTNYLYPLISNSSFLFSPLAGYLV VHFGFRKTFYTTIGIFALLCGSFMLPSLPAQNATFVLMAAANAFLTTMQYVYIST H257_11603 MNDHGTRIYLHLRRFGPFYSRLVKEHQWHELCPDNTTSVCSAQE VQLQTVYSTGILMTVLGQTVFGLLLDTIGPRYMTLVAYVFSIAGNVCLAVGDSRDGTD GLLVAGYALIGFGGMRILYASLQLSTLFNEPALYTSLLVAAYSFSGYIFVLLELDVAR QSFFVGYALLVAASMVLAYAVFPVHHILTQSPTVTTPGFAVVRSHVDRPKLDQLWVGL KRQVKRRDYWVYVSLGSMLFLVVIFGGGAMPSIIAASRVPANDSQHDNHDLQRVYTNY LYPLISNSSFLFSPLAGYLVVHFGFRKTFYTTIGIFALLCGSFMLPSLPAQNATFVLM AAANAFLTTMQYVYIMTCFPHELYGVLSGVTTTLVFVYGLLSYPLMALAQYSFDGNNT YVFLILLGTTVAAIFLVPFAREEAECFDADLHLDLLEHASRIEQAQV H257_11603 MNDHGTRIYLHLRRFGPFYSRLVKEHQWHELCPDNTTSVCSAQE VQLQTVYSTGILMTVLGQTVFGLLLDTIGPRYMTLVAYVFSIAGNVCLAVGDSRDGTD GLLVAGYALIGFGGMRILYASLQLSTLFNEPALYTSLLVAAYSFSGYIFVLLELDVAR QSFFVGYALLVAASMVLAYAVFPVHHILTQSPTVTTPGFAVVRSHVDRPKLDQLWVGL KRQVKRRDYWVYVSLGSMLFLVVIFGGGAMPSIIAASRVPANDSQHDNHDLQRVYTNY LYPLISNSSFLFSPLAGYLVVHFGFRKTFYTTIGIFALLCGSFMLPSLPAQNATFVLM AAANAFLTTMQYVYIST H257_11604 MAFKVKRSAYLQKIFDNFANVKGVPVETLRFFYDGARLKGDITV QSLGLDSDSRIDCFSEQVGGHVPVLISTTVRRCERGAEYFIERQG H257_11605 MRQQQHSILMIKTAYLAGYNVVSAVGWAYILWHLCSLLYDDADV VLSSAKLWSRIAIPLEYLQTMALLEVVHALVGVVRSPVGSALMQVSSRLFVLWVVLVL CPSSRYHWGFLLTIGSWSMVEVPRYAFYALSVIGWVPDWLFFLRYHLYLILYITGLVG ELTCMVNALPFLSTGIYSIELPNKHNIAISLHAVVCGMLVLYVVCCPIMYKHMTTQRI NAYAKKHGDNNVKAN H257_11605 MRQQQHSILMIKTAYLAGYNVVSAVGWAYILWHLCSLLYDDADV VLSSAKLWSRIAIPLEYLQTMALLEVVHALVGVVRSPVGSALMQVSSRLFVLWVVLVL CPSSRYHWGFLLTIGSWSMVEVPRYAFYALSVIGWASSLHMSYMMMFSCCVAGIGAGL AVLLALSFVLDLVHHRARG H257_11606 MGFVKTAYLVLFNIASSIGWAYVLGQTFQLVYTDQDIALSSAKL WGIIETPLTVVQTMAVFEVLHALLGLVRSPVGSTFLQVSSRLFLVWAINVLCPDSRYH WGFILMVASWSLVEVPRYAFYALNLLDAVPDWLFFLRYHLFMVLYPSGVTGEVSCMLK ALPFLSSGAYSIQMPNTHNISLSLYVVVLLTLVVYAPGLPFMYTHMNVQRKKAYAKKN EATKTLKKE H257_11607 MAFFGAASPRGGGDSKFSSSSSSSESESSDSMSTRLVKTLPSVV APRSKIVSFTLTMDLATSEWRRPRWSSSVTSSDAHLVSWAASTSSKVFDLFEACVDW H257_11608 MSGLILNPKPFLAGLTGKAVVVKLKWGMEYEGFLVSVDSYMNLQ LANTDEYVNGNKTGHLGEVLIRCNNVLYVRGVEGKSKDHHQDMGP H257_11609 MSVAKTGSLASKLPSAASILPPPPLHRSSSSHDGRVATTKPSSP VSSHDQPAAHHHPNEATSAESGISDTSQPGSSGRKYERRTKRFIWPDELHRLFVAAVF DVGLKNASPKALLTLMGTPACTNGLTTEHLKSHLQKYRLNYDRSRVEFLKFFDESVSE STKHQKRKGKVMPQGAVTSMFPIMPKRKRSLGDMNEGSGGESSSDGEVHPDEKVAKVV AATSSISRQLDMQSKTLKVQAQFQEEIQQQLYEQAVLQRQLQERLAEVTAHKATSPHN HGHHHPVHTHPVTALTQNNIAVTRNPSSSYHHAPAASTTTTTHPFHQPSSRPTPTTIT NVNNPLQEQLNQLAQLGDDPTKDRAMSWSFPLMPAPNGAYMSPVLPPGDPSTSSSTGF LLDLPHPTSSAAQPALSSSVQLHAPHIQMQMTMHQQMRLHQHMLQRKVEVSQVSNMSN TQAALLESPPVPPTTKEPPTFRSQLNADWASDPKDDDVDHGGGVEPVSMTEGFAWDLD DDMNDDLFGFLK H257_11610 MMAIMIVRFMWLLCASILPPFLFIEGQSTEIPKSCCATCLNTIT AFHYDPTKWSECVKETACCFCSTPDPGSPTFDPVPPLVRNIPQVKQGEPLRFVWPGVV NVTYVFLQGNKTALPKLNDAFLKHDGDAFSVCFDSVGTMYFRGWSKDPCMSASSEKVV RIVAGTSPDVSCSASTTPAPTKRGACNLQRAALRANGDCVCSWLEYSNPPDCTDPSIY KIGAITISATAGFIAVLSALFKCWKKQRRRRQAKLDAENSSCVDSTTTSTTSTDRAKY TSHHRTTATS H257_11611 MRVVERVQEDRPGSTGQERGGGARAASTAATVINRDSTCPVLIR VFCNSSHNRPEAYQNMHTKSLTNELHVYTWPDATLREIAELVQDANDDARKHNRLAIS LVYVDGRGKFAVKKAGVVNTSRKTPDEDKTLAGLGFQNGDYLDVAILT H257_11612 MAEPQHPGTKQGIYLYQDIEVATTASPVSGSGQNASTSSRGHAS NDPVTGLRTNFMTTLHQQQSVDHDEVDKLNNPLSPANDQGPFGGPKELLAHLPQDFGP AAPPSHAISIIVGIGVGVGLGLLFYYLKIGPKYQQLVMLPGDLFVRALRCLMVPLVFC MLTIVVAETVARGHTSILRWRTLVPYVLSTVLATVQGMLLAIVFQSSFTLSSAAALAH PSTNITPVNTLFNLTLQCANGLYVAASTDNGTLACADATPTAFLAMNRSLVVGVPLPT KGKATLVDALVAIANVIVPDNIFAALSTSALLSIVMFTIPLGAAVAVSASDGQDNVVL HVLRQLRNVFMSMLNGLLWVTPVAIAFLLAGAACNIDAQSAPTVLSQVAVLVLAVLIG AVLHTAVVLPLVLYAWTKTNPFAFLRHIIPAYIFAFGCASSMAAMPMVITCIERANIS RSLAHITMSFGTPLNMNAAGIYYPLAVVFLANMSGNPLATMEWVVVFFVSILGSVGTA PVPNAALVYMITLWQTVFPAEPLPVSFAWIVAADFLFDRIRTVVNVNGNAVVTRILAD DIDETFEARAQQHV H257_11613 MQTKPLPGAQAGGANPTNREGILLFEASPTDYFNRYTNVLQSAP PRTNFMTNGESGSDAHFDEYSQTPSVVLLGDDKDDQVPPHQRRGRFAATPDHDFGPTP PPFQSKYILLGTVVGVGVGVGLYFSRLSDEIEALVALPGDLFVRALQCLIVPLVFCVI SIVVAETILKGQASILRWRTIVPYATTSVLASIQGIALAVAFHASFNVLTTSSSSAGL EAMALVPTVSTSFNLSLACSNGVAVDNQAELTCAKQDATTNSSMLRATVVGSTVTNLD TLTLVDQVVGIVRHVVSDSIFTSFGQSDLLSITIFALPFGVAIALCHDDPAKPNVLLN LCRQVRNIFLLLLHGLLGVTPVAIVFLVGRAVAQFHSDQFAHVVVQVTTYVVVFTVGQ LGHMLVVLPLYLYIRTRENPFGFMKHLVPAYIFAFGCASSMATLPVTIACIQRANVSR ALIHIAMPFGTPMNLNASGIDYPLALVFIANMSGFGDQLTAANFVLLFFVTLLGCVST APVPNAGLVYHVTVWQTLFPTHPMPAAFAWIVAMNVIVDRIATVVNVNGNAVVTRILA EEIDEAFDARAAATTGGHPSQWRTWT H257_11614 MDSSAIQFGMMNSMRTSNVILDTLICLLIPIMFKCFFDSSEQLT VAFAYVRDCFRRKGNEVVRRIELKTHFNTWGKVQDTEEHNHILQKAISIYLSDHLVMA KKSGRYELLDKVDSPETDATELAEPEPEDDPYGLNESLELQKLKIGALPPLNEWITIE PELEFYHEISSNDGHNGGGGENNALKESTITFILRSTAVDATDRIDAFVNRAFESYQA AVVAKHKKDKARYMYMTAATAGSSGAGDGGGGGDAGASVAKYKRYGLSEDKTFDSLFF DDKPKLLTLLDNFQTKRGKFGIRGFPYKMGLLLHGPPGTGKTSLIKAVAQHTNRHIVN ISLSKIKTNQELMDMMFDLKFGLDGEDLPVKLRFDQIVFVMEDVDCASNVVLARTDAT SLSQHDTTSITRGGTATTSPPPHVLCNGDDNDMIGIGECGFEGGGSPRHRRQPRDEEG GEELDPLVDMVMGPKNYNNNSTNRLSSWGPKDKLNLSGLLNVLDGVVDSPGRILILTT NHPEKLDPALIRPGRVNKQVQLGPINGRQTMAMMEHYFACTLSPNQSAVVDRVFERAT NSGISPAQIEQLCAEHDDVDDMLEELATLFTA H257_11615 MVRFKNRYVIVDMTSMRKLPNVQPRDIYALVIGAIGNNFGDVGA GLMQQSTQVVYYNAATHIVVVRCGREYATCIQACLTFITELHNQDIKFETLRVCGSNR TCKDALLAISLQRIDNATARDAITREIDALEQKD H257_11616 MASGTSVFYWLALLACLNVHAGGQTSCPYAKYASTFTSNPALCK QPDAILCIVDNQCNELPGKSFSMKNVTKDSTATDVLIITETAEHLASLPLIGNTYIQF FGPGLKSLGNLSMSNVSLLDFENNPGISYADAIFPKGMTRLSVARSGLTELPPTIPYG QLTEFFGWENQFTKIEHVDFRQASEVKFNGIPTLTSLTNVSISSRLIKFYFDVSDFTT FLVDDPTFQVLDSVATFQVRSIDVSKSCVPPNAPKRLKAGYTVCVSSVPFLQSSPSTP LNARTTTPTATESPFKLPMFLKCVGGGVVILGVLVWFLVYKRKHQQTTKDHSTANDFS YQATTANNLSTLDSIHFNMEELALIRLDEQALVKIKVVAQGAYGEVFIGNYKGETVAI KRLLPGKNSKHTVLLLIDEIKISFKLECPHIVRTLGASWVTPSMLEMVVEWMDQGDLK DVLEDTKPATQSTHSTSFPWRQKLECLLCIVEGLVYLHSLDIIHRDLKSRNVLMDSTK GTKLTDFGTAREATSDTMTIGVGTYRWMAPEVLKENYYTVAADMYSLGMVISELDTHH IPYVDLTNGRGKALVDTAIMSMVIHQEIRPTLTALCPPWIKQLALRCLEYDPEDRPTA LQVSALVRKHLKLMGDDNQGGYQHL H257_11616 MASGTSVFYWLALLACLNVHAGGQTSCPYAKYASTFTSNPALCK QPDAILCIVDNQCNELPGKSFSMKNVTKDSTATDVLIITETAEHLASLPLIGNTYIQF FGPGLKSLGNLSMSNVSLLDFENNPGISYADAIFPKGMTRLSVARSGLTELPPTIPYG QLTEFFGWENQFTKIEHVDFRQASEVKFNGIPTLTSLTNVSISSRLIKFYFDVSDFTT FLVDDPTFQVLDSVATFQVRSIDVSKSCVPPNAPKRLKAGYTVCVSSVPFLQSSPSTP LNARTTTPTATESPFKLPMFLKCVGGGVVILGVLVWFLVYKRKHQQTTKDHSTANDFS YQATTANNLSTLDSIHFNMEELALIRLDEQALVKIKVVAQGAYGEVFIGNYKGETVAI KRLLPGKNSKHTVLLLIDEIKISFKLECPHIVRTLGASWVTPSMLEMVVEWMDQGDLK DVLEDTKPATQSTHSTSFPWRQKLECLLCIVEGLVYLHSLDIIHRDLKSRNVLMDSTK GTKLTDFGTAREATSDTMTIGVGTYRWMAPEVLKENYYTVAADMYSLGMVISELDTHH IPYVDLTNGRGTVVTLY H257_11616 MKNVTKDSTATDVLIITETAEHLASLPLIGNTYIQFFGPGLKSL GNLSMSNVSLLDFENNPGISYADAIFPKGMTRLSVARSGLTELPPTIPYGQLTEFFGW ENQFTKIEHVDFRQASEVKFNGIPTLTSLTNVSISSRLIKFYFDVSDFTTFLVDDPTF QVLDSVATFQVRSIDVSKSCVPPNAPKRLKAGYTVCVSSVPFLQSSPSTPLNARTTTP TATESPFKLPMFLKCVGGGVVILGVLVWFLVYKRKHQQTTKDHSTANDFSYQATTANN LSTLDSIHFNMEELALIRLDEQALVKIKVVAQGAYGEVFIGNYKGETVAIKRLLPGKN SKHTVLLLIDEIKISFKLECPHIVRTLGASWVTPSMLEMVVEWMDQGDLKDVLEDTKP ATQSTHSTSFPWRQKLECLLCIVEGLVYLHSLDIIHRDLKSRNVLMDSTKGTKLTDFG TAREATSDTMTIGVGTYRWMAPEVLKENYYTVAADMYSLGMVISELDTHHIPYVDLTN GRGKALVDTAIMSMVIHQEIRPTLTALCPPWIKQLALRCLEYDPEDRPTALQVSALVR KHLKLMGDDNQGGYQHL H257_11616 MKNVTKDSTATDVLIITETAEHLASLPLIGNTYIQFFGPGLKSL GNLSMSNVSLLDFENNPGISYADAIFPKGMTRLSVARSGLTELPPTIPYGQLTEFFGW ENQFTKIEHVDFRQASEVKFNGIPTLTSLTNVSISSRLIKFYFDVSDFTTFLVDDPTF QVLDSVATFQVRSIDVSKSCVPPNAPKRLKAGYTVCVSSVPFLQSSPSTPLNARTTTP TATESPFKLPMFLKCVGGGVVILGVLVWFLVYKRKHQQTTKDHSTANDFSYQATTANN LSTLDSIHFNMEELALIRLDEQALVKIKVVAQGAYGEVFIGNYKGETVAIKRLLPGKN SKHTVLLLIDEIKISFKLECPHIVRTLGASWVTPSMLEMVVEWMDQGDLKDVLEDTKP ATQSTHSTSFPWRQKLECLLCIVEGLVYLHSLDIIHRDLKSRNVLMDSTKGTKLTDFG TAREATSDTMTIGVGTYRWMAPEVLKENYYTVAADMYSLGMVISELDTHHIPYVDLTN GRGTVVTLY H257_11617 MMRRGLSLAPRAVLLPLSRQNLPHARASLFSSIPKVPAAAAAVP SHDVSAAIAQAMAEEEARKKRKPEHMPIRAVHLARKMDIVSLFQRLYTDRFKVSHYLY KDSIVLRLSATSDDRNAAWLSNLNLNMKPSTFPAMTPSAPPSSESSSSPSTTEVPSRR AKDKWVVYFDYGAVVFFNCDDSLTETLVKHAKKYCSDAFDIRGHDEELLLVTDPVQTT WSELKENNIRVQEIDHVNIQVIAGVLAQTVALEHYERQVDAILAEFEKLNTLVEKKGP QTALFGLTFLGTQSTEREQHRKLFQIVATNNTLLIDLVSKLRVIDRKRPGDAAWSHTR YHSMWETLLEEFELNERFNNLNFKLELIQHNTKFFLEVLSSHKGTRMEWYIIILIAAE LAISAYELAMKLH H257_11618 MEKYSRWSDLTTGINPFVPPPHQLPANAILRWTQVFFGGILALL RWILLFPLVLGLVLLSAVHTILDHVPFLGRVIHRATDWIVLGLILVVTTIFIKDEAAN ARRLGLLTPGTKPPALGGIKAGDVIVANHSSVLDILYFGFRYSPVFVFPCASDASKNL VQTFGLLGAFAQAMAPPLTSLTRPVKLQDVLRRTSSPVVVFPEGTRSNGKAVLTFLPI LDSLPPPTRVHLVAIRYESKAISPTHTCGSAGWHLFCRVLSHAYHTVKITTLASEFVP KGSTSQQLQALLASMLRTKAVHLTCADFASFNAYWAHVNGGGRQPASAFTSRKAPHEH AQWKTSDD H257_11618 MEKYSRWSDLTTGINPFVPPPHQLPANAILRWTQVFFGGILALL RWILLFPLVLGLVLLSAVHTILDHVPFLGRVIHRATDWIVLGLILVVTTIFIKDEAAN ARRLGLLTPGTKPPALGGIKAGDVIVANHSSVLDILYFGFRYSPVFVFPCASDASKNL VQTFGLLGAFAQAMAPPLTSLTRPVKLQDVLRRTSSPVVVFPEGTRSNGKAVLTFLPI LDSLPPPTRVHLVAIRYYELVLILASMLCTSWSIYRHRPSLGIVDTNPKRFRQPIHAD RRGGISFAACFHMRTTLSKSRRWPRNSCPRGPLPSNYRRCWRRCCAPRPCT H257_11619 MAVTGKRGVGIPTILLHDGEGTIVTVEMKNGDLYRGYLDETEDN MNCLLKDAIRTDVRGITTHCEHVYLRGSQIVFIVFPDMLKHAPFFKRVKLWKKHRGSI PALGLGGGAHIGLGSGPRGQTGAHMRSTQMRR H257_11620 MKRRHDESHGKAKGDEKRLHKPTKNKQKEGGGDEQLRVGNFKKY YAFRLGGAIEGKLEEDSRLSVFKKEWFEGKKALDIGCNSGDMTLEIARRFSPAFIMGI DADPDLITQARASLKEYISKLAVTEAFRDVQKEGHSDKPQDDGNTEDDELPLSFRLWK PATHHVSSADPLPNIGSFASGVCFPYNVVFKRENIVDDTHTGKEYDVITCLSVTKWIH LFHGDDGLKQVFHLVYALLMPGGRFVLEPQKWKSYFNRKHTNATTQANYDRIALRPKD FAQYLCHTVGFSSVELLKVCSTSTHGFKRPIYLYTK H257_11620 MKRRHDESHGKAKGDEKRLHKPTKNKQKEGGGDEQLRVGNFKKY YAFRLGGAIEGKLEEDSRLSVFKKEWFEGKKALDIGCNSGDMTLEIARRFSPAFIMGI DADPDLITQARASLKEYISKLAVTEAFRDVQKEGHSDKPQDDGNTEDDELPLSFRLWK PATHHVSSADPLPNIGSFASGVCFPYNVVFKRENIVDDTHTGKEYDVITCLSVTKWIH LFHGDDGLKQVFHLVYALLMPGGRFVLEPQVRRGCMTTASWTC H257_11621 MGKKEKKTAVAAAAAVLSDQPLSLPILETIKFSQQQNGLRFGDY TRYRQHCARRLRRLRKGLKFLHGRGKQFIPKDVTPENASEVRHLMLPLYHSERAWSYA MQLREDERNDKEEHGDEASSRIKFHLLGRLKKAVAWSDKLTALCVERADVRTNLEAEA YASYMGGNLALYQEEWKVALEKFSTAQRIYSELAKVGTVVQRDLLHQILDEISPFMRY CEYNLGGTSSSLSLQELRESTTSALLQAKIDQVFHEEAKTKAKDLAAITWRGRAIPIP SADVSVALIRPDEHLAKLKKGTDNDKKRDAIYVDLFGCYDTILRLLAAEKTKSDTMKS GFMAEAQRENIAFLDEYIRYVKQTHVIERNVALLTQLKARLEVDLGPGDLVHILDMLI RNVDDMAAIPGAADHAPFLKYRALQLIFHALRCTYVAQVYLGLNKFSESAALFDQAHA YFTQAQSLHTDDAVVREFLADLEPQVVGAHSRVNALGFLHDATATEAVRVGLGQLHVH PPTTAAASSKSLLERQHEYASGNPATHYDLVTLPPTLQPIPVKPLLFDIAFTEMDDPN VDARVQDKATGGGGGFLGWLRGAA H257_11622 MAEEAEFQVPPEPVAPWNWSNIPDYGPLKQYQHAAAFFIIGLTL TGLYEFTTYFDQIPRELAYPLIWVFIFLRMLGKLGPSEMSPEEELAHKEREDARQFKA TLHRIATEKDDDDEDGGEGAASVDDERQAKKTQ H257_11623 MLLRLADQHIPQFALMKVPSSLVVAAAFAASSVAALDAKFYGIN YDTRTSEWGGCKDFHTIAEDFTVLKEVTDYVRIYSMNFDCTKRVLEAADNRGLKVWLG MWSEVGTSDVHDSFPSEMANLKALVEQTQAIRNDNVLGIQVSSEALYRYYVQGLGNTT GSSDRHGIDTIRSHLDEARSYLRGRGFTFPVVITDIMDMYSKFPELYEAVDVVSVNQF SFWQHISPEDGAHFTFTRFQEEQTRAKRAGKLIELHETGWSAAGENPVVTEASPRAQG VFTQDFLTLAARQNLNTFYFSAFDLTFGTSEIERNFGIHYANRVMKPEVKAAHVGAPL QAVRLWAGGNVIKAHRHWNADDSVNEKVGRVYAAKPSVGRSGVLDDEIWLWDAESSIL YSKSSNVCLDSYGDLNTQTLHTYYCSKANRNQKWSVANGNIASQNDANFCIDVDVNRP TTPDGNLVVAMYRCNGHPNQLISMVPAADEPLEIGIKTNGGVLTEWYGKVTWETNRKD NADCHQWFYDPVTQLIASKSHRGMCLDAYERKNDGAVHLYYCNAANINQKWVVNDITG QIHHATHIGFCLDGPDNANGLVHLWSCYKTEANQQWSIKPVKALA H257_11624 MATPTKAGKSAKWTDELDAEFVVLYAEAAAKSEYVASGGKQLKS KGWSDILVRLGGRGNITNASQLQSRWKRLKEDYVDYKWLMLKFSGDGLVGVSEDTWAE LDKHPRSMPLSRFRERPFLHYDAIAEIVGDAMATGEYIRGMPTAGAEVAASGVLDMSE PDVLSLSAAQKRRKLINDSMKQKRIKRDEESAASLAIKQKNSDTLASMCTTMQMMTKI LAAKNNLQHLLDNDE H257_11625 MDDEDGIMLQAMSTAIAACTMEVDDTEFAQQPQQKFIRPVHGYM DFLDIQEELPHGGDVLYLEQFRVSKDAVGLIVQLSKPHLPATLDARIVLLVTLQWLAS GVSVRSQEQLFQDHNHVTLAYYRQLGVRAITKGLVDGGFYGSDPHEPDRVRSSCEAFR QEHPTFNKCLGALDGTHIPIVVSAEMQDRLRNRKGHTSTNVLGVVDELGRFVAVFAGG EGCSSDSFIYSQTEFERSVPSGYFYLGDAGYRLSKVLLTPYRNQRYHLREWAANTDGR PKTAKECFNYRHSKARIVVERAFGMLKIKWKVLSSNLRLQLEYSIDVIHVCAALHNLC IACNPSHMDDISDLVMRSNQDDDFDLHQDALQTMNHPQERWREAIANTMWEAYVEYLD ELEF H257_11626 MDMYTMFPELYDEVDVVAVNQFSFWEKKTAEEGAHFTFKRFQEQ ETRAKRAGKLILLHEAGWSTAGEDPVVTEASPRAQGVFTQDFLTLAARQNLNAFYFAA FDLTFGSTLIERNFGIHYSNRTLKPGVDAVHVGPPLQAVRLWAGDNVIKAHRYWNADD DSVNENFGHVYAAKPSVGRSGVWDDEIWLWDAESSILYSKSSNQCLESSSENNTQTLR TSPCSKDNRDQKWSVANGNIASQNDANFCIDVDVNRPTTPDGNLVVAVSPCNEQPTQP ISIVPAADEPLKIGIKTNGDGLTAFSGNVKWKTNRHSDSESRQWFYDPVIQSIKSKSS SQCLDASKGVNGGDVLLANCDPANVNQKWVLNDITGQIHHATHFGFSLGAPDDVDELV RLLWSDKNNVNQQWNIKPVKANA H257_11628 MKVPSSLAIATALAASSVAELDAKFYGINYDFRAAESGKCKSSH AIGDDFNILKRVTSNVRIYGTDDCAKTLIGVARNAGLNVWLGLWSEVGTTFVRDGREQ KARE H257_11630 MKQLLPSKAKDLDATAEFMREIHLCARLNHQNIVPFVGIAWSTL VDLAVLSDLMPRGDVHELLQTERRFERVQNRRFHWRRAASPNEAADEMTTKTSVALDV GRAVSYLHDLSIIHRDLKAKNVLLSASFEAKLSDFGISRVSKLDETMTANVGTIAWIA PEVLTGDRFGAFLSEMDTLGTPYATETSTNCEGFSNIL H257_11631 MKVPSSLAIAAAFAASSVAALDAKFYGINYDARTTIDGGCRDFL TIAEDFNVLRRVTDYVRIYGMDFNCSKSVLEAARDNALRASPSYRTNQ H257_11632 MESTISWFRNGISQGRPWLNFTTDEDCQLVRSWSNISQDASKGS SQKSDQFWSRIETNFNQYSDGAIQRSGRSLSSRWATILDQCNKFVGCFATVRSKLTSG ESDGPGDAELVRRAKELFAKKADARGKQSCFMFLHAWEILRTVPKWQDLRSQQPGNDR AKKRMKLDENDDIVSDDDVRQRPLGVKASKKLKASRGIMAGRKCRRQ H257_11633 MERGRDRLIPELVNDDTKLINNDNILGIQVSSEALYRYYVQGAG KTTGSSDRHGIKTVLGHLKTVRSYLRDLNLAFPVVISDIMDIRTGGDALIS H257_11634 MKVPSSLAIAAAFAASSVVALDAKFYGINYDARTSEWGGCKDFL TIDKDFTVLKDVTDYVRIYGMDFNCSKSVLEAARDNALKVWLGLWSEVNTTFVRDGRE QKVVDSFPSQYDALKRLVNDDTKLINNDNILGIQVSSEALYRYYVKGPGNKTGSSDRH GINTVLGHLKTVRSYLRDHNLTFPVVISDIMDMYTMFPELYDEVDVVAVNQFSFWENK TAEEGAHFTFKRFQEQETRAKRAGKLILLHEAGWSTAGEDPIVTEASPRAQGVFTQDF LTLAARQNLNAFYFAAFDLPFNPTEIERNFGIHYANRTLKPRVKAVQVGRPLEAVRLR AGKNVIKAHRYWNADDSVNENFGLVYAAKPSVGPSGVFDDEIWLWDYKTNILYSKSSN QCLESSSENDTQTLRTSPCSNATNDQKWSFQNGYIMNHNDAKYCIDVDVHGSCEATPN GNLVVKMSPCNVATITLPKISHLARIELIEFGIKTGGVLTELSGKVTWQTTRQLDKAH HQWFYDYITTQSITNRFSSTCLDAPKRMNGGDVLLSKCNATNVNQKWVVNDITGQIHH ATHLGFCLSASDEVDALVYLLWCDKKDTNQQWNLKLVKYEA H257_11635 MFEMFGVLPSTFATMLCNNEVALGLALENTDQASVHYTSKGAQW APQVADREPLVHWVCPSSAYLQNAMFNGSWHRIFMTGTLLFGADGTFGCQKQE H257_11636 MKGTLGTPPCSNATNDQKWNFENGYIVSQNDAKFCIDVDVHESC QTTPNGNLVVKMSPCNVTNKITTLPIISDLARIELIEFGIKTDGVLTELSGKVTWQTT RQLDKAHH H257_11637 MNVTSFIIDRCIIGTIRLPRPAHYSAYRARISPESIIVGDAALS SVPPPEARALPQTIHELCKAVVGRWNAAAKRSKLHQSDRPVCLQTGSPPRPTASARLT ASYASTLTHPVNRFLHRLWVKRPPRPATRRWHPLDHDLDLLWHGLTSLANSVN H257_11638 MTNNTSTATSTSGLTFDAAVGAKLAIDFAAAGAASFFVAPFITT VDRAIIENASGKRGLSTALKEISLDFVRNPLSFVRRKEFLLIYGLYTATYLSANAIDT VCDVVETDNRLPKFVGTTAVNMGLCIAKDREFARMFGVIAPAKFPLTSVALFAVRDSM TVGASFVAPPVIATYFESNGYANNATSNSLAQVLCPAMVQFISTPLHLLSLDLYNRKD ASPQSRASFVSREYLKSTAARIGRIAPAFGFGGIGNRYVRDNLRATWCLDDVAV H257_11639 MAPPKPQPSSPTAVAATTDDILVDESSTVHFSKIAVDFLKKCVL EEKLTGLKVDVERRQEIAALLNCPESRVTNWIRNFSQTLKKSEIPSRPALTTSPKSTT DSTKPHRGSTSSSSTATASPSLIVREIRAKGSHVASEKEIEARRRITSSTSATADVAV HVTKELLGRWNPGAEVSIPNDDDDVGTPSKSTLMPPTEGMSTRERVVWKKQLRGTLKR TLDALEELGCPSILATFDADTHAGTYEPRGAIAVHATEHVRNIHDLNLDTLLPDIAVI RRQFPALYPAPANPFKQQEKVWAHVLGALNDELRRMGQPSRKQIPWHALVSGTIGVKA RNGTEKRKFDLVNWPAQVPKRKKLDEAQCALLLQNLPAVHVAISDTSLAGEGADDDDG NVGGEVSKEGADEEHDDDATEDGDSPKV H257_11639 MAPPKPQPSSPTAVAATTDDILVDESSTVHFSKIAVDFLKKCVL EEKLTGLKVDVERRQEIAALLNCPESRVTNWIRNFSQTLKKSEIPSRPALTTSPKSTT DSTKPHRGSTSSSSTATASPSLIVREIRAKEIEARRRITSSTSATADVAVHVTKELLG RWNPGAEVSIPNDDDDVGTPSKSTLMPPTEGMSTRERVVWKKQLRGTLKRTLDALEEL GCPSILATFDADTHAGTYEPRGAIAVHATEHVRNIHDLNLDTLLPDIAVIRRQFPALY PAPANPFKQQEKVWAHVLGALNDELRRMGQPSRKQIPWHALVSGTIGVKARNGTEKRK FDLVNWPAQVPKRKKLDEAQCALLLQNLPAVHVAISDTSLAGEGADDDDGNVGGEVSK EGADEEHDDDATEDGDSPKV H257_11640 MFRDFAKRIATGATILTVSVGGTAATIELCIYHTDATAKEERLD WETNLLPLRAIAQAKLIEVDDSSNTADKETLQHVLDRVASGEAAVQGREADVIEMKQS WTEAKDAVRRFLHVSPPPP H257_11641 MAKLCPGVAPVNFAKAKSDYPDLRYAIEAVESKPVATWYTDRSQ YLAEAIATLAACAPRNGRLDTLPTFVVYGLPNKDCHGTFSGDGVNQNAADYMAFVSKL ASLVGTQDALYVLEPDAMGLLAESPSACGWDNNYLPNMAMAVKLLTQNNPAARLYVDV GWWTFKEDARVTSLVALMKILAKAGAVRGIVLNTSNYRSNDELLQWCKVFIDATPGMN FKCVFDTSRNYHGASPTGEWCNANTAGIGLPPTDQTGSDLVEYFLWLKTPGQSDGACN VGVSADAMPGPAAGEFFEKGFSMMFDNGFFVEKGILPKIGKYTVGNSTGTNGTSISGA TIGIVVGVVVAVAALVLGGGMWMKKRHTRSKNPPRKQPNHNTSRPRTGLETKV H257_11642 MNGQLEGQRKDDDVDAEYEELIPPENFAMVERGLYRSGFPKKKN FTFLRTLGLKSILTLVLEDYPLSNNEFNKLNNVTLLQFGVPGNKEPFVDIPEKGIAAA LSAVLDQRNHPMLIHCNKGKHRTGCLVGCLRKVQRWAFSSIFDEYIRFSHPKPRMMDQ QFIELFKTELVKESADNRPAWPGL H257_11643 MKRQDSDTFQRNSSFGDTAMLPVPGDAPVSKGKGKRIVIIAAAA VLVAGGVTAAIVLSSGNGSGSDANSTSGNKGTNTPVVSPAKNVTAEDLLGTTNPPAPG ATTTPAPSPASDPEAGKAALTMLAIGDWGSTTGKKSGNTLDDAGDPGSCCKTYGGSGA NANKVNPSKARIKVDYWAQLYVSTILAQSAGELKPKPARVIGHGDNIYWDGVGPGDIA YRMEETFEKKYAQPALAGIKWVNVAGNHDIGGSEYICGEKDYNFVKCKDTAEMLKYLD LKFDLQAQYKSPNQDRWLMKDHYYVESVKSEDGSVSVDIFNLDTNHADSHGLQQVCCQ CYGYSRETKTKCTGNEMPGDANCAGGDKAMFKACQDKIEGWAKASLDGAARDLAKSTA TYKIINTHYSPHFHMGEPKMLTWYNLTKTYGVHAWFNGHTHGFNHDVAKWNTHFFENG GGGGIFTDTSTEGKNDFVDTLWVAGGNPYGFMELSFTKDWMKVNFATFDKSWDFGGFN YEATKSGGIARGHCWYIPSIQGTKGVKCKASNDLPLGAPIMPDNA H257_11644 MLLARMHHDQLPYGAVSDVARHFQCHRVTISRLWKNGRLSLLHG APMADIAAKIRARRSVEIIEATIKAVPQEDRQTQRSLAAHSGIPQTTIMRHMAATKKP MPHSSHVKPFLTDANKTKRLHEELAHRAAKIQTIIAKVMFLAAVARPRYDPHLRQEFD GKLCIWPFVQRVPGARNS H257_11645 MVEFDPNGMNMYTRFRWSVKSGTVRPNQEVSQSFAELNHRKRLL LNIRPSLARTLESATEERQRFMSLVICRHREILGGDFSYNCPPKTLTCIDVVAHHLIR ATASACPINSRAGVMTSTGLMKE H257_11646 MSPEVQARLVEGIGSTIKLSVAESTVASTTAHATLEEQMAQMTS YGRNLEDYPLVARETMATLEEQASAMLTHDRTLQWIPSDSPKIRMRTWRALKNAQRIS DQATCVAFAISHLKERAEDWVISKRLMDPLCFPSFATFEVELKAMLLPLNSDFRYRSQ YLACKQGKRFLQEFIHDLRFLAAIVIDEESLPEPLRVAIVMVDLNQGPASTQLFRAYP RTFEEAVRIALSESFSFAHARADLSGAGTRWPSFQQQNVWAQATEQREPLAVKRSVRW DDESVAELFRLRYKSHLTTRFDSKNNAVKKIVYVMLASEISVAMEWDLSAAQVQDKFG KLKTSWLLTKPSNPSETSSDTDYDSDKPPGRRKKRAKVSKASTHGEALEVGFLAIKEG LMHMGT H257_11647 MRPPLAALKRSAPNWNDKDVTQQFNAHNDVAFARDWEACKRKFT FLKSVKKPTGDPNCPPLVVRAKRIQHDIDSRAAVEIDVTDNIIFKEEIDTSQSLLPRK PQKTSLQEKPNRSGWSQDSLHHDGKQLRKASEGTPSASSLSAVAKRRQSLDAFFDQAG KQQSASNDMMSMFCLMEDAKKSAKTSAKNVRGNNTSSAKSENISNSSCAMSVKSADGA MKTSTALAKNNYRWQSR H257_11648 MTDKAVKESGPEGFRVLTNFTPDEFESVWSIVESTLTCRQNDGR ELVLKHYQTWDKHALDFGMKAPTLEKMVMRVIYTVQPILCDHFVTMPTMTDLRGKDAV FRNYPYTNLPIVQRVASEKEKLYFSGKHKFYGVKIEASVSPEGFLVDMSAHEPGSVSD ITMFGDRHDVHLSALRKLENETKINDNGGLFQDIPDSRAVLVDKGYVGLTGSTRAIHP KKRPVNGVLDRADLERNTNVSSDRVIVENFFGRVCLLWKVSYSTFVWGTKCYDVIQRL TFALTNFHLALMPLRL H257_11649 MQEASTRDVSADTGIPKSNLARWKKQSSEILHFEGTMKRFHLHG AGRPVLIPNADGLEAFMHKRRDAELALTCTHLVNYLKRNHKPWLEQYLSDHRSGYKSL LKLLQQFCARHGFTRQKPAKSKQTQEQLEKVR H257_11650 MIKVRDAERAVTCRHLVNYLKRNHKDWLDEYLAVKPYGYKSLLK LLQRFCARHGFSRQKPAKAKRNQAELYLTRSTFAREFHKAFDGFSPDVIINVDETAMT LT H257_11652 MNLALVLVASRRQRLRRIMYALRIRRRLKERNFIKSVALIESQE MSPWYTMYKARDAQSFVATVSVTPDAFDYILYYFKHEYVVLSRPGKSGRPPRIPKKHA VLAMLLHFCTAAVEGKTLHELFGLAPSTFCRVLRRAEEALARTLRRVFGFVDGKNLRV QEPSNVDLQNAQFNGWLHCVFVTGVLCYGVDGTLIWGRHNCPGSWNDGEMSRGLQDIL ADDTKVGPGMKVASDSAFPVGGRCAGRIITPLKEGDLERQPANCRLAMQTMSDCITSL RQAAEWGMGSATKVYRQLLLPLPYNPALRSVRLDSIFRLYNFRVNGRVPHGFMKALCE KYNVTRQAISRIWIQGQRSKHVSGCGNVASRKAGNCGRKAKYTLAQLEASVKAVPPHS KAIRRRSSRLKPTLTEHHKAQRMSFVRGFIKSTRDGGHSWHDMLDRKVMFLTAVARPR YDPAQRKMWDGKVGTWAFVETQQAKRTSKNRVRGTPITVPMTVTKDIYRRHIIEHVIP SIRLKWPGHRGNTIYIQQDNARPHVSIRDPDVVAAGALHGWDIRLDSQPPMSPDFNVL DLVFFNAIQSLQHQKMSRCIEDLVAAVHEAYVEMDWKILDKTFMTLQNVMEEAFKANG DNVYALPHASKDKTRKTSGVIMQPSCHADSLQHQKMSRCIEDLVAAVHEAYVEMDWKI LDKTFMTLQNVMEEAFKANGDNVYALPHASKDKTRKTSGVIMQPSCHADVCAAIDAMQ RRFDYEDRIESLVDSFDSSLSMAPSNLDEICEMVGNLKC H257_11653 MKRPDSDTFQRDSSFGDTAMLPVPGDDAPSNGKGKRIAIIAVAV LLVAGGVTAAIVLSSGSGSGSDTNSSSGNKGSSTTVAPANIVTAEDLLGTSKPPAGAT TTPAPTPASDPETGKAALTMLAIGDWGSTTGKKSGSTLDDAGDPGSCCKRFGGSGPNA NKVDTSRPRIKVDYWSQLYVSTILAQSAGELKPKPARVIGHGDNIYWNGAAPGDIAYR MEETFEKKYAQPALAGIKWVNVAGNHDIGGSDFICGEKDYSFYECKDTAELLKYLDLK FDLQAQYKSPNQDRWLMKDHYYVESVKSEDGSVSVDIFNLDTNHADSHGLQQVCCQCY GYSKLTKTPCTGNEEPGDANCAGGDKAMFNACKDKIDGWAKASLDGAARDLAKSTATY KIINTHYSPHFHMGEPKMLAWYNLTKTYGVHVWFNGHTHGFNHDVAKWNTHFFENGGG GGIFTETSTLGKNDFIDNLWVAGGNPYGFMELSFTKDWMKVNFATFDKSWDFGGYDYE ATKSGGIARGHCWYIPSIQSTKGVKCKASNDLPLGAPIG H257_11654 MNRRTVVYLDESFIHHYYNKSDISLYDLSDELEIQSKPKHKGRR FCFIAAIVDGGPSNSVVLAYEKFVHGKQTKDYHGMFDHQYFAAWFDRLLDALDVAGIT KTIDVMGNAKYHKSVPDDTPRFSWRKADLLDVCVALGIEHNPGDLKVRLDAAFEAVTS AMEFGCIKMS H257_11655 MDARYNLDDLEDFTFDSVMLVTANDANCLLWCMSVGLLKSSMIC PHCTKDMKLSAATHRRRATCGSIERSVKSGSFLPKSNLPVRTIVRIMQHWASRRPVAD VIEDLRVASATATNWYKFCRNKKSKCNCGTCHPECWLFGGVDQATKKWFGVLTYEDRT KPFGSYVSTNESHTLVSNPALTGMDYSHQLVNDSEDFVNPANGAHTQGIESVWEMTSS FGGRSFPPWSVW H257_11656 MARRASLQGSQAAPPLTSRNISQGLALLTNLDQQRQAKRARYST VRAEEPDENLDSTSPIYDAFVDDQGPDGILTMTNFSPSEFNILWADIRQYLSKHWNTG SGRKSEVTGRDLLLMMLTSLKHCGSWDIVAAVFKQKSATFQKRVLTYIGVLHPFFMRK YVAVPADKWTMSQLAPNGDRFTNYPYARYATDVTFQQTNVPAGSYAEKKSYYSGQHSL YGHKVEVSVLPNGLAINCTKHYKGSVADKSIFDDSLEFHANGLAK H257_11657 MILSEEVRAVLPTKKPIGGVLTADELRTNDRIASDRVIVENFFG RLKTLWSVCSDIYAWKRQNYDMLFQTCLALTNVHVRIHKLRAEDGDANTQYVNRLISI GSKIVKNKKAASRTYRSKRKVRLSLAMAAESAFTAADPGGSDTEIGSHSESDSGRLFY H257_11658 MATEHTKPKTNLSNDQRSALYHTLLKRSTNGVLSGADMLDVCAS FHVNQLTVWRIWKRENGPAAAGPCADICSRKQQTGRKKTYLIQEIERRIKAVPLAKRQ TFRALAAATELSTWTLWNYDNGHFSYGDAYREYLLTKVLPAIKSKWVWPEDEAPTDVY VQQDNARPHVSVSDAGGHRFIGGQCNGWAIKIINQPPKSPDLNIFDLGFFNAIQSLQQ TNECKTVEDLIRVVRCWFVELRAATLAMTFGTLQRVVKACVEAGESNVFKIPSSKDGA DISALDLMHVRLEQVKRMDDLCGLLESCSVDI H257_11659 MLYHLSYDWTKYMRFVRRACRAPTPVQGDVWLRLILHMLPVNSR FAYKQLTDPEAITCVYGCGNVETEHHAFHTCNEVFPTWQFHAVMSKPSIMPSTRAMKS FRHGNFTQEPGVGLGPTCAANKPALFKLWTLLTASVLHTIWTQHNAIKYDDKTPWPQR VWEETTFIGWMAAVRRWLRLQDPTDALRINVLAQLAKLKRQRPYNTLWLKYPNCLILE FSARTP H257_11661 MLSRVHGGPPKMDPPWHDLHGRCSTCAIFVANLTTRWFKCTLVV NTVQAHPIASFWIPTGKRGNLTSQRVKQCDVWRRLCAVIALFAQRTMSMKIDACPIIH ASNSADFFRCARF H257_11663 MPTDGIDGDANIQYVNRLNAIGLKKIKDKKKAQQKYREKRKTRL TLLLASESAIIQPRVIAQVLRHLYRMHYSRIKAECDLYWHEAQEAWGGCEVLVSKNFT RYFEGQWLGGDCCNWHLHATAIHGLCATFVLLGDVAAEYSTSKKLPFELAALPCVKLR RRFKVA H257_11664 MAALPQRSNYMRKWTCNITDDVACDKVSATQTTDSRPMYKRYMA WNGRAMANLLVLPMSHLVRTLADCKASGHSSKSLEHVSILEEWTGS H257_11665 MEVVLEADGPALDQVDLDGDLPQSFVPYDMSDVGEFLWHAILKA TMDEDTCVAWCMKVGLLPNAATCPKCDLAMSFALKSKRWRCRRAACAGGGSVERGMRF ESWFKVIAEEEVARESGVDWYQYCRDLCSAEMLRAPMLVGGEGVTVEIDETSMKKKSK YNRGRYYPEHWKWFGVITGADRTKPALSRLIKKHIAPGTNIISDKFCSYVSAMNATT H257_11666 MQKRTIVIVVGLVVAIAVAVVLILTIGKGSSSDTSNSSGGKTPA NGTPALAKNVTAEDLLGTSKPPPGATTTPAPTPASDPETGKAALTMLAIGDWGSTTGK KSGNTLDDAGDPGSCCKTYGGSGANANKVNPSKARIKVDYWAQLYVSTILAQSAGELK PKPARVIGHGDNIYWDGAGPGDIAYRMEETFEKKYAQPALAGIKWVNVAGNHDIGGSE YICGEKDYNFVKCKDTAEMLKYLDLKFDLQAQYKSPNQDRWLMKDHYYVESVKSEDGS VSVDIFNLDTNHADSHGLQQVCCQCYGYSRETKTKCTGNEMPGDANCAGGDKAMFNAC QDKIEGWAKASLDGAARDLAKSTATYKIINTHYSPHFHMGEPKMLTWYNLTKTYGVHA WFNGHTHGFNHDVAKWNTHFFENGGGGGIFTETSSEINNPFVDTLWVAGGNPYGFMEL SFTKDWMKVNFATFDNTWDFGGYNYGATKSGGIARGHCWYIPSIQGTKGVKCKASNDL PLGAPIMPDNA H257_11667 MAPKRPGTYSESGLSDTDIIPILDERFSYNKDDRAPSGSKVIKI ALASIGVLLIAGGIATAVVMSTSDSSSSAGTSSSRNKTTSPNDVASSGTTSDSSSSSS SSSSQSVTKSSTSVAVDPETDVAALTMLAIGDWGSTTGRGNDGSSPGSCCKLYSKGPN AGKVDTSKARYLVDYHAQKWVAELMGMSAAMLKPPPSRVLSHGDNLYWNGVGPNDIQY RMEETFEKMYTAPALMPVKWVSVTGNHDIGGSAYICGDDNDNFRECTSVDEMLSFLDK KFDLQASYVSPNSNRWLMKDHYFLERVTQNNVTVDILNIDTNDAAVHGASQVCCQCYG YRWKYTQAPGDTKDPCKNTVRGDQVCAGGDVEMYDKCMERIDSWAKASFDGATKDLMA STADFKIINTHYSPHFHMDPPHMQKWYDLTKTHQVHGWFNGHTHGFNHDVAKWNTHFF QNGAGGGIFSESATTVANNDQVKTTWVASGQPYGFLELSFSKSWMKVQFVSFDKTWNF KGFDFGDTTKGGVARGHCWFVPKVLDSPGVECKSSVNGVVGMPT H257_11668 MTALFNFTGMLTMLLLIICTCTYIRLKMPTIFDRGQLPGKHEGF TGLCWKASRIGERKSEYVAALLLAMAVHRLLFAA H257_11669 MARSRSPVRHRSRSPAPRHRSRSPGRNRSRSRGRYDSGRKRDRG REPEKELRDVHRPKRVRKNTDDNDDLTSKGKPSSASSSSTVDAKSSSSAPAVISSSDK NGEISMTIDETNKLRISMGLKPLRLTNASKEKEVNLSKSREDVAEEARQKALTDALAK SKQKRQFTEKLKGQSLGETLKQADGGRASALDWVRQSRTKKAAAPASSAPSASTYGAA DLKGLTVAHDARAFEEGDEVILTLKDQRVLTDDRNDVNDGGDELENVDMRDEDRRLER EARLKRASGPVYSGFDDDEFTTILGPKKATKKTVRLLAQYDEDEDMAALREANKFALS DTGSHLVEAIPQRDVDGDKGSVSLASHKKQYMEEYFTTAELAVFSKKQSKKLRKKKKN MRQRDDDDFVQQLEADAVSQTTTDHGKRRLTTKEGDGGGDDVAARDRFERARHKANVK AQVMVERMKQQHAEEDDDELGASLARSRRLALVVAATAAAKEDNDRRVLLQVSGMSQG SHGGGDAAATSGHQYGHIHKDDPSSGGENTTMVFGESTDFDVRVKNAMDERDAARAAA TATAAVGGGAGKHRGGVVTTVEEDVDDDQEGKKPMVSTVEDEVEEEEEEESSWGVDEP LVQTGMAATLALLRNRGDLRDAIQIRQAGRANDHRERNVEEELQIKDGVKLDYRDEFG RLLTKKEAFRRISYRFHGHTPGKKKQEKRLKQIKEELAQQKNLGNVVGRMETLDKRQK VAKQAHVVLSGK H257_11670 MHASLVRHCRTHRRHATAALGQGIPCMMMMSTSATQIPPPSPRS GIFNTNFDVTQPKHQERMERWKWVLDLLGYYNEDARISSNSALLYHSCVNQAAHPSFY RALDLPTDFRAQQALLMVHVWIVHRRLISTKSSGKNDPGKVLQEALFDRLWDDTTFRI RHQNVSELTVNKHLGEVQQRCFSQCVAFDQHFNAAGSKTVLNDAISRHVLNVEPNVKP RQAALLASYVLRELKSIQKASTEDLESGAISWGLPLDAGQRTDAVATDDDYDADLIGQ KYGDWRSALDIRGKKYFWNLTTRMSAWEKPTKAGQ H257_11671 MPATLFKDIGKKANNTISDDYDFSRKLKIKTKSTNGVTFTTEGA LGANKSILAKLGASFSHSSGLNVTKLQVTTQGRLIGEAEINNALVDNLKLGFKLEDGG KNAKQVAIVDLKYTQDAFTTHTEIDVVGNNVTQNGVFHYDNFVVGGTTSFSLEKQAVA DYGGAVAYKAADFEASIVAKKFCKNLVTSFVHTPSKDVTYSAVYDFDSKTGGNSLTVG GRYAADKDTTYLAKVDSEGILSLASIQKLRPFVSLTTSAQVDVKNFEGDAHKFGFGIT LG H257_11672 MERVSSTVSRSHPRSSSLWMFFLCYVILITSSGIDAQVTAADST DSCCATCLAQPRVGSADALDFTACAAAQTTCCFDKTCQPATFGPPTYDLTLMTFADTE TRFPAGNWLRMQWTTAVEVKYLALKTGQPKTTQVTNASVAATPKGGGFFFVCPALEGK LYLRAFAHNGCTASNELSITITAGNGSTCSEDVPTAPIQSGDCDAVRGAMLNGVCTCL EDFAGPPQCLSNSFWKRWGQIITYAAGGLSTITAMFGFYRFYKMRQATKDNNRRSVMA AAATTPLPTNHPTGRSTSPQYTDAVHMTTPPVPTKKQLSFRDFHHVPTSQHAPLTQVR LAVLSSMDDRQHRAPSPLRYDDDEESLRSSVSDGVLLAGYHHDAETADGRTSHEFTL H257_11673 MLPFKSFSAPPLPAHTVDHAQASRETSTVNADFHRINESQLASD I H257_11674 MARERAAAIEKVLQAVTTIYSDAEPDKVKALVAQVAPLPTFALL FVFTVAVAALAQDQIVPAKIAWGDEAPDDGFEILGGQEAQFGQHRYVVGLKASRDGET VCGGSLIAPNVVLTAAHCFRDFLRYVVVGTHYLTGFADGENATVIQEITHPDGTDVGI VILDRNITTIQPVAVSFEFVPADVLTWVRGWGAAEIVGPKSQVLKELNVTTWNNTRAS AALFPAQVTDTMLGAGVEGQNSCHGDSGGPLTIEENGAVRLVGVVSWGFECGERGTPG IYERVSAARAFIEPYLPN H257_11675 MGNEASRAVDLSPGPSSLAAPSSSGMSAPMGSIRRMDKVIRKKV RGEITYNMKLLIRGERGTGKTSLVARLQGLPIPETHVPTREIETASINWSMKGSSEES VKCEVWDVVDVGLTSKDDSDAADAAMLDSESQGRHQVAPVDAQNVDVYQNAHGVIFLM DISNYASLEYVKHQLDMVPIHIPTLIIGTFRDLRRADGEPLKRAIFKEDVQALLYGTA KDMKNPAFRRPVEQHYFEASLSNCYGLKALHTYLSIPFLHLKVATVKQQLKLLETDLA TAKLNVDTTISTQKYSHFVHTIAAGADIRTGRRHVSSTTTSSTNPSSRPASASTTTTT TATTNPTLGAGGDLDDDATSTDAEPSTPRPRDPRPPSPPTPTPLRGPAMPDMDDDDED GGGADRGRADRVQEDEATARNVDHVDDDDDLPARGADDVEPEAPSPLRTEHIQVKPSH TSTSSKKKDNLPLPPTPSSPPSSSTGRPTPPPAPPSSSSASNAGKASAAGGARRRSWD KAETLEDFTVSTDMDRFYSDASSNDDDDDEDVVVRTLGQQPRVYRKQDFLYSDSSSDS DDDDVEVPPDVPAYVSVQQVARQSTRPPPPSLPPPAIVVVSDKQQPPTSPPPPPSTRS PTKAGLQAETTPTPLNDDGDDEGMDDVTQTKPRSSDSEAKPVTRPHSKTRPLVVESDD DDKPDVVMSSSPASVTRKQESSNDSNQSDTDDSIAHATTRATSPLSPPAAASNQRPPP VVDSSDAEASPLQPDDADEGGTVEDELAADSRPAPSPPPHLPRREAEPLVLSPQHGKD HAKDNEEQLSSDDDDDVFLDVILDDDNEDEDVPPLANHHPCDSPESSDSDVPDDITHT AKHFAQLPVTRTKGAQLPATPVATIPVSTTSTMPSLTVLNVMSSTPVDVVPPVITSPA RSSSSSFKATTRPIKTRLHMVDSDNDLSSSPVAATSKAMLPSTSGDHEFVVEKSSSFW SDDDDDNDEDEKVFVGNTNSPAVGRNAATTATAARPFKPTAAAAPPAAAATVPLNASV LAAIEQARRAALEMLPSTATISTPASFGSRGGVSDEEVALPTRKVKADKPKKKKVGAS STKKTSRKSSASRMHVVASDED H257_11676 MNLFGRKAPAPVRTSPADTAETIRKLREQLDTLEKREAHIEKKM ELQLDEAKKKSAAKDKRGAIFCLKRKKMYESEVEKLQGARMTLETQVMTLESTQVNMA TFTALRSGANQMKAIHGQMNVDSVDDIMDDIQEEMATADEIGRAISQPLGNALYDEDE LEQQLRELDDLAMEEQLAASPVAAPVQARPQTVAQQPAQAMFNLPEVPTHAVSQVKVT GKADADELEELRRLEASMAM H257_11677 MRVIIADETGLVKNVAVEAATATVLAGTAQSRSTSARYLAWSEK DVVVARTNGDVDCVGVHGGSPWSFTREGSPVGMGVIAEYGSIVRCNTAGHVEVVHPLH VKPNPPSFNVGNDIQTLRVNPFASNVIGIGGKERDANLWDLQTQKAIFKAKNITHDNL DMRVPVWVKAMTFLPPTSAAGGSEGHRLVVGTAYHQIRIYDTSAKRRPVSETSFGDLP ITAVLVQGNRVIFGDTAGNVNAIDMRTFKHLGRYHGPVGSIRDLALHPTLPYVASVGL DRMVHVHHVETRKAVHTYYAKQRLNAVLFTDEGIKAAPVAPVVKAEAEENDDVVEMGS DDDEDDEAYEGLEIDDNSSYMGSDNDDDKDDDDDDDDME H257_11678 MHVRGLLVLFALVLVTDAYRLRRTADDDECVTECRAFVDNFQED TCEPFRYRVPRPALYSRCTEAYSAATAAGCSYCSATAAKLAHITDSVFHYCDQWGRGH DRGYEQACKDGYLTSTNHVKRFLKTSQYEPVQDDRVDSRPKDESNNPRRNTDDDDMVE SAESIVQRHLNEARREAINDFEHRDRHDYRGL H257_11679 MAGKLLIAAGSLLLVHAGYYTLQYEEYVKLAEVPDASLPPLAAK VELTISFLFFLVGVLLTAGDFAPIRSTQFFNTKSFDWIASNPEFAVFNHRGKYLPKKK DT H257_11680 MSSASSRALALYRRILRVARTWEGPEKERLYIKQEARRQFEGNR QLRRMDEVENAILQGEQRLEVGLHYKIPYPRPMYADPGTVGGDNNFQRQSNRHKAKGG QLEKKSSLNAFKWK H257_11681 MLPLSLLQTAQGAPMLVELKSGDTYNGQLVNCDTWMNINLKEVI CTAKDGDRFWKLPECYIRGNTIKYIRIPDEILDMVVEEDLTKKDRNSLRGRGRGGRGG VGSRGDGGGRGRGEGGRGRGEGGRGRGEGGGRGRGEGGRGRGDGGGRGRGEGRGRGGR DGSSRGGRGSSRGGRGYQGDTA H257_11682 MADAAVKEVPPSTEDKDVVSEPKVDGSAESKTQDNDDTTEAKNA EKVADQSAAKLDVQSLPIRAYLDQTVVPILLQGMSSLVKERPPNPIEWLAAYLIKNNP QGPSTNSK H257_11683 MAFKNIQARTRDERKAVRDKETWEKDRLRARKEGYIRVDTSISG SAMTVQAAGSQGYMSDADRFHTDVAGEEKVVRESRIAKHQMSYDTRRRDNQVREDQRW KAMDEKATEEKKRWDHLRDDGGKARRNKSSCEFNPITLKYNDGKDGERLKQADTEIRH RASVRAANLQFNSSRGGINPITGDPIKRVQT H257_11684 MSLAAIPTKALLAELETRLECAGKKERRTVFIGPPGCGKGTQSP IVKDEYCLCHLATGDMLRAAVRDGTDMGKKAKAAMESGALVTDEIVIGIIKDAITSPE CRRGFILDGFPRTVVQAEKLDEMLVETNTQVDKVVNFNIPDQVLVERISGRRIHAASG RSYHVKFNPPKVADIDDITGEPLIQRKDDNVATLGARLDAFHKQTQPVIDFYARQGKL VQVDANTGMDVVTKQIRASFGTN H257_11685 MVRVQISKNNGFLEYKEAEVDIPTEEEGKLSIEDVKLRWAHAIY AEEDLFTLEGPHEIKLYHMPLGHDVDLLKPSQLHEWISTPSSQWVLDIFARPLITSSI GGAINIDTTTTDSPRLVITRANATPKVAARATARHPFSRRDPHWHVGTWVPQLNTSLT TPRRDSNTSTGSATSFIGGIMGTRGHLQTEYEDILQTTFRAQETLRKFASIGKPVTTH SIQTTLLPLAMACPSAISRHGPNVLYQGVYNLVDAELQRGFVQAVRIWFSFDNEHTVE VAKRDGSLGLKPVRQQDGWIVVQAVPGSPCALAGMPDDEVFLTHVNGVDVSPPQCPAS ADKARQSNGNVSWAGNVVPLVEPCDVCVFTYF H257_11685 MVRVQISKNNGFLEYKEAEVDIPTEEEGKLSIEDVKLRWAHAIY AEEDLFTLEGPHEIKLYHMPLGHDVDLLKPSQLHEWISTPSSQWVLDIFARPLITSSI GGAINIDTTTTDSPRLVITRANATPKVAARATARHPFSRRDPHWHVGTWVPQLNTSLT TPRRDSNTSTGSATSFIGGIMGTRGHLQTEYEDILQTTFRAQETLRKFASIGKPVTTH SIQTTLLPLAMACPSAISRHGPNVLYQGVYNLVDAELQRGFVQAVRIWFSFDNEHTVE VNNPPTILLRLTIGMQVAKRDGSLGLKPVRQQDGWIVVQAVPGSPCALAGMPDDEVFL THVNGVDVSPPQCPASADKARQSNGNVSWAGNVVPLVEPCDVCVFTYF H257_11686 MTTRGITATSAVEFLRICGQLKRLKRTGWVNHHVNGPESVADHM YRMAMCTLLLDGDSSLDKTRCIKMAIVHDLAESFVGDITPHDGVSNEEKHRLELNAID NICSRLGHSQAALEIKELWHEYEDATSDEAKLVKDFDKFEMILQADEYEGAQDNLQLD QFFAGTQGKFKTPLVQSWVAELDAQRHRRRTASTPKAPTTTS H257_11687 MSWPRRGDSRKDGSDKVATDASWPRREATSTVESGKTQPAWPRR ETKKVDAIKSHQSKKDEAWLLQVFEKRKELKKKRKLEAILLEARVKEDVAEQAEQKRA MTTAPSIDEPPPTTIVVDAISDAVIDARPSTLDIDDRSVPVVRSNTSSLPLPTVHAAP PSSSVHHRPDLSKTPASSTSAKFNPLALTPPPLRPVKTLDWKPTSSPSTAYSIDADVT AWKEFAKKEVHREKGPSSVSDNFVRLTMRKRVRGSTGKAKKRPQYLHATMYSNNNDNN NTHQPGNPTPKQGDSAAEATDAMISDGVDIVDECLAQPPHTLPPRPSTDLLVPPTVTE AAAAPPLPPQLHPTDDMLDIPIPCCAHGIPCHRLVVSKKTKNHGRAFFACTRRLDEGR CDFFLWEQNHPAAVTLAWTSSSSDLPPPPPIPRFVSALHALRVVFGHGDFKPGQEWAI HRLLNEPSSKSLLVLPTGSGKSLCYQLPALYLPGLTIVISPLISLMQDQLTKLPPPLQ ARAASFSSSRFKADQAAVIKALVENRLKLLFVSPERVVTAGFARLLARVHVSLVCIDE AHCVSEWSHNFRPAFLRLGRVTRRATNVLALTATASVAVTRDIVRILEIPTDRGIHRC SAYRPNLDLHVQRIQHDDDRYAALRTLLTTAPLNKGSVIVYVHTQYAAAQVAALLTTE ANIKASAYHAGLSSDVKEKVQKGFASGKVRVVVATIAFGMGIDKANVRGVVHFHMPTS MEHYVQHIGRAGRDGKKASCAVLLLQSDFVRFHSLAHSDGLSMPQAHALVRTLFCRHN TSMSSPSITHPIATLEQDLDMKHSVVDTVLTTLELQGMVELLPCLYATCTISVHQSQM SKWTVHPMYPNVMAIAAVSAVQDGYLCTTSFVYNVVEYTHRFASNSTNDASAFIELRR LQQLGIVQYKLSDYAIHFRILKCPSSSDAALDDLAHAIYVHHQAQEARNVHRLEALYH VLSAACHIPLNKSPVGKKQPSDPLNAAIEAYFEDNGGIRTAEEEEEHDDWPRTPLTPA ETLAIQAATTRLLQDDRVACRLVSAQSITRILHGLSSPRFPSDDWRDHPLWAKFAAMP FPNVRAVVHDVVTDHKKNQTAMTGDDTNVCIDHPDQNQEGWS H257_11688 MASQLMSLNFGTAALTTFLVDESSFRALDALPTTFRVGSIDVSG SCRLPNVPRFVKKYTICDIRDPSTSDDAIGSGRPSAHPNFGLIVALALGGGFVLGTAA WVVYTRRRHVTDALHNHLEKNDVQRPTHITDHASTGGFSYQDTSSQRNLLGPSTSSPP TSTFLHMEELALIRQEESKLNKTRVVAQGAYGQVWYGEYKGAPVAVKCMLPGKHEKTD VQSLFVSRYIVRTIGASWSTPATLEMVVEWMDRGDLKHVLDQSKPSIASVQPRKDETT FFPWSDKVQCMLAIAEGLVYLHSMDVIHRDLKSRNVLLDSQTGTKLTDFGASREANSE TMTIGVGTYRWMAPEILKENYYTVAADVYSFGMVITELDTHAIPYANKINGKGSHDKR WDA H257_11689 MVGAAAATATDAMLRKFQIAEFSDIRFTSHVGRPFDVTMATMNG NLPLRLWLRCVDTDTKWECTVHDTTLEATGKVFVWDSSHVINALHVALSQSKAMCPGA TFVQLALVLLEQGSARMVLTIDPDSPLGSTYTFPLTRSVVAPMTLMQTAMDKLEREND VLRRAIAAPVRSVSVVATSKLKVPRDTFLTWSVLGWLDPAQFALTPLTDAVVLVQSGK YCVTVQGQFEHRHGHNAMVLFVNGMAAAAPPGSKAVDVMNITHVMDVPAHAYVQVLHR GGNFLKAGATLGLYWLGPSTT H257_11690 MMKPSLRSDAVAAASFDRSAAFMGHEYALEAEESAQAGNLRRAE QLERLASSSYLRAMEAVRQEDNKTRAALKLIADNHEQRAMRWHRTLTLNQSPHASSVP SHITIAATATPTTSSVPLDHLTSPTTVAPPPSTAPTTEDYNLPLQTAASEMEELFDRL KALGLGPLPSTRHSSQSHPSPGHHPSQPWMKQHLSSDLGDSFCLLPKASHGKGASSSS SLAAHRVDGGATLKAAAAHRMKNQREMLMEKQGSGGGSGRRSASDSTTAEKPSMRRPS ASAGAFDGSLTTDGSTIMDAEMEQLRTALAHQKFEANRLMHTIKTLGSENAKLIQVCP RRYINSNVWQDTESMARLREENKRLVHAMDEFKTEYHQKFAVLKRALEEWRRQEASRQ TTRSHDLARLELSSSESKLEADMQILRDELAAATDASRQKDVQLQRYETWFKSLKASA KAKQQSRDYSNAADKDDRNMSFDTMSQMPPPGAASSSSTRRDL H257_11690 MMKPSLRSDAVAAASFDRSAAFMGHEYALEAEESAQAGNLRRAE QLERLASSSYLRAMEAVRQEDNKTRAALKLIADNHEQRAMRWHRTLTLNQSPHASSVP SHITIAATATPTTSSVPLDHLTSPTTVAPPPSTAPTTEDYNLPLQTAASEMEELFDRL KALGLGPLPSTRHSSQSHPSPGHHPSQPWMKQHLSSDLGDSFCLLPKASHGKGASSSS SLAAHRVDGGATLKAAAAHRMKNQREMLMEKQGSGGGSGRRSASDSTTAEKPSMRRPS ASAGAFDGSLTTDGSTIMDAEMEQLRTALAHQKFEANRLMHTIKTLGSENAKLIQDTE SMARLREENKRLVHAMDEFKTEYHQKFAVLKRALEEWRRQEASRQTTRSHDLARLELS SSESKLEADMQILRDELAAATDASRQKDVQLQRYETWFKSLKASAKAKQQSRDYSNAA DKDDRNMSFDTMSQMPPPGAASSSSTRRDL H257_11691 MSSAKNAKLDTKPQVIVVLENAALETVKTTRGYQLLNCDDHKGI HKKHNKDPTASRPDILHQELMALLDSPLNKAGHLKVFIRSSKGVLIQVSSQMRIPRTY KRFAGLMVQLLHALKIRSSDGKTTLLKVIQNPVDAHFPPNCKKYGCSVTGDLVDPWEF ATELPKEPVVFVLGAMAHGHITMEMCPYIDEMLSLSEYPMSGAQAIGRLLNAFERHYG IL H257_11692 MLRFHWLTRVRKIKYRDLSTHRGHEDFYFDFGTKTIGSMRKTAK AAVSAAVATWLLLTTATTGVHASFCSPGSGYDAATDSCMECRAGSWADGSTALCQRPV KCTTGQGPKAGATFVTDCMTCPPGYYSDHDDETPCEVIQCTPGFYSDAVGATDATKTC TACPASTYSTGLNDVCHDCAFGEFSLQGDGVCSPLECPLNSEPTAHATNATDCLPCAI GTFSAGGTNTCEPMQCPRGTEPKAAPSSISDCDPCALGKFSTGGSSVCEPTTCLPGFV AVDLAWDGVDSCKRCDAGWISPGGAATVCTQCGNGTYANADSICAPAKCSPGLFAPPG SSDPIGNCVACAVGTFSTGDSAICKPVACPVGTEPHALATQVDDCVACVRGYFSPGGV VACEPATCPKGTEANDHAGGPTECSKCPHAQNSLGNSSLCMSPPCDPGFEPNDDGETC SICTAGRFSPGRGVPCQDSKCPPSTESLDGASDAVANCVACDIGYISEGGSDLCAPCP SGTYTLKNMTTCEPTTCPVGFEPKSPPLHAFDCVECLNGHYSPGGNATCGHATCPAGS STVDHAETPTDCVLCAAGTYSSGGNTTCKDAACPPGFGAPAGASTGDACAPCGAGSYS FGGSFPCTPTTCRPGSSSNATTATHPSDTCVECAVGFFSPGGHASCQPMQCAPGFSGK PNAVDPVTDCKSCADGHSSEGTSSPCIPCARGFFAAAGDATCQPATCAAGWQANEGAV HATDCKGCPWGTYASGGSALCDAVSCPAGSFAPEQTNSCSLCRGGSYSTADAAVCKPA LCPPGQATVEGATSPTDDCLDCPVGTYGLGQNQPCKPTTCPSGYASSTTGIHLEKGSC KLCPVGWFSAGGGDQCEPGTCAPGWFLPEGKGDCTICPAGKFSLGGDKQCQDTTCPLG TAAPSGATSATGQCVACVAGYFSPGGTAACTPCTCDPGTGSVAGASSPRDACTVCERG YFSPGSSHPCVPTTCAPGTASATPGAVNERDTCEVCPAGQFGHGGSSQCANTTCAHGT SALAGAVDPVLNCTICGAGTYCEGGGSVTKATSCLPGYASPEEADSPDGSCAICPQGS FSPGGAVQCQPTLCPPGTSAAAGAKDAVTDCVTCVAGSYSPGDTSACLHPTTCLPGFA SSRGANSSNGSCVECGAGQFAAGGADQCAKTTCEPGSGSPAGAASATGSCKECEPGHF SPGGGFDCAPMACPPGWASNVTGAYRSVHKCQVCSAGFYSPGGSVECKPTNCLAGYSS PAGSDVEVEQCSPCAAGYLSLGGSSQCELAKCKPGYATPPAAAGGCVQCASGYHSSGG ATKCIACSCDPGSTCLSPDSATCSPCPNGTTTSRLLGGCVKTSNDQEAYVNVVLSVDG YSPPYFNQQVVNSFRDGLMSFLNDDEPAASSKVASVDPSAIVVDAEVDSTSDLGGSLV TLRLALASSVGNIYSSLESLTTSGGALTGSSTLASFIQHAGLVRVRNVQVQSVQLWQQ GHETSRSIWQSESPSYDNETNSIPSTSPNTTKSPNGGASSPVASTTITSAAAARRGML AMLLILLCACISS H257_11693 MMVAMATAQQLGTVTPPSLRTIYVCSSSDASLNGVYQVEESLRS DDVPVFTRTDQDGDDLATHDYRLFRHQGYWSFANFASWPPDVMFRCDPYRNHHEDNVA CEKGQAVPPLSGYTARQADTTLTAPPTLQLLPCALPLAISDL H257_11694 MDSITAQYGGRLMVPATSVEHEGRECVSVLTYNVMRQMHATPDY KPYCDPAVLTATKRKEQIFQELLSYNADVLCLQEVDDFTLWWVPRLNEAGYDSVYHQR TGHFDDGLVIAFRRMYFQIFHTLRLDLNDLCNDPSVTANFAAKLQQDNVALVVALQPW EQCQFPSALCVANVQLASHPDLDLVRQHQLAYLCPQIEAFNADFHLPIVVAGSFNATP MSHVYHTMRTGRPRPAPEPPARMSPPKASDPSTSTITLSWDVAVSSHGPILGYRFVRR VNGSTTVGFTHEIELDDPAQVEFKVTMLSAGNTYEFRIAARNAAGWSEYSLPSQPLQT VQSQRTRQDLLKPPLVLLPLLGMPPIAISPFKVSYNSGKTPRFTDGQIRTDICPRPSH LIPFPKGDPYDSVHVRGDREAKLVHFEVFDSAYAQYYNGGEPDFTYSDHVFTGTVDYI FYSKKELAAVALLSLPPLESLVGEDAREPVSVPDADYASYAPERWHGCAGDATSSSPR RQGGHRKGPLYMGEWSPDVLQVPNPRRQHHWLPNQTFSSDHVALMAKIAFRAEELAVA WN H257_11695 MERTPPSPTRAPAAGSPTRLSPKKPSIKDIASTVPDIPLDYSFM NLSFILDILKEEPVSGRKKAENAGVAIAPLPVAMDAATTGSSPRTPFKPPVSIRLNNN SLTHIDDLDKALDAVFVTPARLQWIDLSGNAIESLRASSFLPYLELTTVHLHANELCK YTDIDGLAVLTKLRHLTLHGNPVEEKKHYRNYTIYHIPSLLELDFSCVTKLDRERAET WSITYRKKLARRRGEDVDD H257_11695 MEVDILKEEPVSGRKKAENAGVAIAPLPVAMDAATTGSSPRTPF KPPVSIRLNNNSLTHIDDLDKALDAVFVTPARLQWIDLSGNAIESLRASSFLPYLELT TVHLHANELCKYTDIDGLAVLTKLRHLTLHGNPVEEKKHYRNYTIYHIPSLLELDFSC VTKLDRERAETWSITYRKKLARRRGEDVDD H257_11696 MTMGGRGVTAVALVIPSLYALYGWYKCCVQEQQDVAADDDSEDI TPTYSNGPVSVISAARIDVTGDTHVRFPAPSRPSHPTASSPPLSTVLYTSRGDVAVNV QDDNLLSNAARDYFSEVTDVNGQHQEKNDHVTLRRSDFDAMMGFVANHLRDLPPHIAL STTSYSIAPGTSLGTMLSNIATTAGQAAITPLSQIILVVDDSDMHSSYCSSSGMAVPP PKSSVARDMVQSYQASRGLSSVSNTVEAATLSTTCHHMPATPPTTTTLVHVKGVPDSR ALNQIGRPAVTKERPASNSLGIWERLFGSSALQNNADQSYFVFH H257_11697 MAAGDPTSPFVVATLCVLASSCIYVLQSRRRKQPSAVDLTTFQL EDITKAMDSELCVVGTFPGSPDKVLLVLQKQKFGRGDALGLIHGLTLRRTHENDVYSN HIGVLSGDNDALRVTMIYPATAAHIAKHTEQRFYMAVETQAVYEDITLPYIESIPTDK IQWVYNILERTSETEKLLLEDRDAKTGFVLLPDTKWNAPYHVEGLYCLAIVMDRSIRS VRDLRASHVPLLENLRAKGLALIWEKYGVAASSIRCFVHYQPSYYHFHVHFTHVKVAF GISVGKAILIEDILFNLSVQSDYYERATLSYLVGSSQHGAFFQSLVNANVITL H257_11697 MKLTFHDTTNAPLGDPTSPFVVATLCVLASSCIYVLQSRRRKQP SAVDLTTFQLEDITKAMDSELCVVGTFPGSPDKVLLVLQKQKFGRGDALGLIHGLTLR RTHENDVYSNHIGVLSGDNDALRVTMIYPATAAHIAKHTEQRFYMAVETQAVYEDITL PYIESIPTDKIQWVYNILERTSETEKLLLEDRDAKTGFVLLPDTKWNAPYHVEGLYCL AIVMDRSIRSVRDLRASHVPLLENLRAKGLALIWEKYGVAASSIRCFVHYQPSYYHFH VHFTHVKVAFGISVGKAILIEDILFNLSVQSDYYERATLSYLVGSSQHGAFFQSLVNA NVITL H257_11697 MAAGDPTSPFVVATLCVLASSCIYVLQSRRRKQPSAVDLTTFQL EDITKAMDSELCVVGTFPGSPDKVLLVLQKQKFGRGDALGLIHGLTLRRTHENDVYSN HIGVLSGDNDALRVTMIYPATAAHIAKHTEQRFYMAVETQAVYEDITLPYIESIPTDK IQWVYNILERTSETEKLLLEDRDAKTGFVLLPDTKWNAPYHVEGLYCLAIVMDRSIRS VRDLRASHVPLLENLRAKGLALIWEKYGVAASSIRCFVHYQPSYYHFHVHFTHVKVAF GT H257_11697 MKLTFHDTTNAPLGDPTSPFVVATLCVLASSCIYVLQSRRRKQP SAVDLTTFQLEDITKAMDSELCVVGTFPGSPDKVLLVLQKQKFGRGDALGLIHGLTLR RTHENDVYSNHIGVLSGDNDALRVTMIYPATAAHIAKHTEQRFYMAVETQAVYEDITL PYIESIPTDKIQWVYNILERTSETEKLLLEDRDAKTGFVLLPDTKWNAPYHVEGLYCL AIVMDRSIRSVRDLRASHVPLLENLRAKGLALIWEKYGVAASSIRCFVHYQPSYYHFH VHFTHVKVAFGT H257_11697 MKLTFHDTTNAPLGDPTSPFVVATLCVLASSCIYVLQSRRRKQP SAVDLTTFQLEDITKAMDSELCVVGTFPGSPDKVLLVLQKQKFGRGDALGLIHGLTLR RTHENDVYSNHIGVLSGDNDALRVTMIYPATAAHIAKHTEQRFYMAVETQAVYEDITL PYIESIPTDKIQWVYNILERTSETEKLLLEDRDAKTGFVLLPDTKWNAPYHVEGLYCL AIVMDRSIRSVRDLRASHVPLLENLR H257_11698 MLTRAVARGAAARAPSMRAVTCASFSTDSSVKKPTFNEVVDKAA NLFFLGEIARATWLAWEIHTQRKSTINYPFEKGQLSPRFRGEHALRRYPSGEERCIAC KLCEAICPAQAITIEAEPREDGARRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFE FATETHEELLYDKAKLLANGDKWEFEIAHNLSVEQLYR H257_11699 MLRQVARQAKGCVRRFSSKESTPPHVNTSNTGPITWASMALAGA IGAGALAYYHTEKERLQTQTTGKVTSVGKPLLGGPWTLVDCESGSCVTDASFHGKHTL LYFGFTHCPDICPNELVRLGDVLDKIPNVDIEPLFITVDPARDTVQQMEAYKKDFHPK LRMLTGTPDQVKDVTKAYRVYFTKADESDVDDDGEDDEEYLVDHSIVMYLISPSGEFL DFFTQSARVDDIVKKITALTQ H257_11700 MSGLRSMETTHKSTLVLRCKVVVVGDGAVGKTALLQSFKSNGHE YPKNYVMTSNAELVVKPVPIPDTNVLVELYLYDCPGQSIFNQREFGSVHFEGASMIML VFDVNSKESFKSCTKWYQDVAKPSSNHTLPGVLVGNKSDNKEGNRDAISQKEAEEFAD QNNLKYYECSARLGTGVDVPFVHLANAFKQKYDDFAERAENN H257_11701 MADASSDPAGQQEYIKLISAEGHEFYIARKCAMVSGTIKAMLTG HFIESKGEIRFQDIGASILEKVIQYMYYKKRYSNSNARIPDFVIEPEIALELLMAANY LDC H257_11702 MDYRKSKHTIILVQYTEDPNSRTYLDFESVNSAMDGVVKMYEAK LKQLNPNRRNITYDIQDLYNYIDSLADLSTLVLDLETRTYLPCGKEWMKKKIFQTSCA MTD H257_11702 MDYRKSKHTIILVQYTEDPNSRTYLDFESVNSAMDGVVKMYEAK LKQLNPNRRNITYDIQDLYNYIDSLADLSTLVLDLETRTYLPCGKEWMKKKIFQVLRN QAQ H257_11703 MGGVFAKCRLPRCFKNVLDRLSGKAKARKIYMVGLANAGKTSTL YKFKFHEATATVPTIGFNVETFKYNKILFTAWDFSGREQLRALWRYYFDNTIAVIFVV DSTSKVLLQEAAEVLHGLFQVEELHDVPFLVLLNKQDVENCMSVDELTDGLRLGSIVS HRWHVQPCSAHSGEGLYEGMDWLCRVVA H257_11704 MGAFMTKMKSVLDSFSNKRRRIIMLGLDAAGKTTILYKLKLNET LHTLPTIGFNVETFQYKAIEFTAWDIGGQDKLRPLWKYYYQNTDAVIFVVDSNDKHRI QHATDELHRMFGEDELRDSKLLVYANKQDLPNAMSAPDIGAKMDLRSVTKNPWYIQSC SAITNDGLFEGLEWLSKALE H257_11705 MTATTTARRLLEEIFASKRVQCAISLTGGGGNVSGEMLGTCGAS STLLEVSLPYYQQSLVEFLNLSPDVVQREVPTRFSFSSQEVSILMAKKSLERARALVP LDDAAKCVGIGCTAALVSLQPRRGSHRAFVTLCSIHGTYNFNLNMHKGARSRKEEDEC VGNLIVLALAKAARVDGASLQTALTVHELDTLTEHATEFDDSIPSSLMSTSSNSTTTT IALFPNNVILRDMPWKHMLVLPGSFNPVHQGHLEFALAAQRLLQSIDNKVVYTPLFEL SLQNADKGALADVADLSRRVRALVDTHNQRVVLTNASLFVDKAALFPSCVFAVGADTA VRLVDLKYYGNDPAKLWLALATISSHKCRFVVAGRLVEGAFVSAQDAVSRVPAPFEHL FVPIPESTFRLDMSSTQLRQQQSKRNAQVL H257_11706 MAAPLYKDVSKKATNVLNDDYDFSRKLKIKTKTANGVTFTTEGA MAANKSILAKLGASFVVPQIGGLTVSKLQVTTQGRVIVEADINNALVDNLKVTAKVED GSRKTNASQVTKLGLEYKQPTYTLTKEFDVTANTASVSALAVVSGVTVGAHGAFNVNK SAVSDYGGALAYNGGDFQVTVATKKSLKTINANFHHQFDANTIYAASIDYDVQTAANA LTLGGRYAVDKDTTYLGKVNSDGFVSLAVVQKVTPFLSLTTSAHIDAKHFEGDSHKFG LGLTIG H257_11707 MGGLVSGQALPVKQICQISIMFSRIALPLAKQVVRLNATAPRMA IRAFSAQTFLDRAEVSDRVLNVIKNVGNKVDASKLTEAAKFIDDLGLDSLDVVEVVMA IEEEFIIEIPDVEAERLLTPAQVIDYVAAHPMAK H257_11708 MQRHQGGTGSGLSLERFIRGKARPNKGKGETASGKRKRVEKAVL LRNYRKEKQRVNPSAPSSSAAADDDDGASSNAPKSFYDKFFATLHDDGGDDSTAARST PKAPSTHKPDPLFKAKRKAQQVKDERTVKRQVIEQKVKEKEKKVEKRKKRHVKMSLRT KTGQPVVKHQIKDILAKLKSSK H257_11709 MVATRRSTRSQGRATPVADPVPPPPAPTPKRQTRGKAKVVLSAS AESPAKTPAGTKDNDEVDAQDVTPSKRKHTPATKRASPSTRKKTTPVKQVETPSKAST TDPHKATTARSIAISSDDADVETKPAINNEVVVTTTPSKEVPSKKKASPAAKQTPKAK AGDSAAVASATATPPIAQQKSKSTEVIPSDTESEGDDEDVEAMVNLALTAFTSPSNDE AAPIQDAEVPVMKELVSNRLHSGVTTRDLYLKFNGNKCANGAQLASERKLVEELKQFA KTQKVQAVQDVANGKAHTTTTDAGKNQTSSKWFNMVSNELTAEAKRDIQLIKMRNYID PKRFYKSSDHRKSAMPKVFQVGTVIEGAAEFKSARVSRKDRHQTFTEEILHDKKIGGY TKRKYGDIQAAKANPGKNKFKKVKHHANRK H257_11710 MQIQIKTLTGRKQTFNFEPDNTILHVKQALQEKEGIQVDQIRLI YSGKQLADDKTLQEYNVAAGGTIHMVLQLRGGC H257_11711 MSWCTIESDPGVFTSLIEDIGVKGIQVEELYSLDDASFQHISPV FGLIFLFKWTPGHAPAPATGSGLHHLVSPDLFFAKQVISNACATQAILAILLNLESPH VVLGDTLSEFKAFTREFPSDLKGLAISNSDTIRTVHNSFARAEPFVIDEDKRPAKDDD EVYHFVAYVPFQGKVYELDGLSEGPVCLGDIASLDPTAWLKVATPVIQRRIEKYASSE IRFNLMGLVRNRVQLAQDHIAALAEQDQTAAVVGQIQSWQQCIATEAQKRANWKKENV RRKHNYIPFVIKLLQTLAKKGQLDPLLQAQLDKAIAAQQNDTNKA H257_11712 MDNMDTTDGALLPVHVRIFQGLVPQVASQATSLENILRTLCQKI ETLESCLASINSGVVEMDMRLKIIAHNIEGGTNDEAFNAASTFMPSLASPLPATKPSK SAVVSHVMSALAMGHVAKPLEKPKKRRKKPPPALSSPAGLVTSIPTAELSAGPTETPP TLNRGHSSARLLRDSPRSRYIPDQRDVPHTARSKANVHAVPMEHIALSVQEATTPRAA ISKDILNDSQQTIVTAPTKGLSTVEDIGIEQVHAEPWENIAPTDMRLLVDVEDKKDDL INSTDPNRHVTASKGPTISVGYEEASKMEADSIDRSVPTGVSNTTQVDKIHTPTADGS NVPSIQLERRRPSHPEPPPSPPPDDAAAVHTIPRLPLSRLVVENSAGKSTQTTPSVAK PHATSMQAAAAPTTTVAAERQTLLANAQPQSTGSTATTATTTTITTTTTQPQSYSHTA GMPLPLATTETTLVPKETAVGPHSTRLPTSSPQSPREQHRHTITAAIDPSPVNLLSQE KWPPQEDAESSDESSDSVDEEVQPSEEELQRLKEAGQHGWKVVRSKLATIKRPKNILF TKKKQLFTIANRLELLERKSKELFAGEKQLTLLLEKQDNDLSTNILAAVELKLKALHR DILKITDDKAEMHLVVQFSHRIRDLEENVRHVNADMADKLAGKASQIDMDRKLDKAQA SAQVRHDSLQEQLREQAMQSSDHGTRLRLVEEHLVQSNQRTADQFHDLVAQLQANQAA VDDMRRLLRKKADVKVLKGLEESMMLKPKDPMDQQQQQPCAARCMSCMKDILVDLPGG DPENDVADAHDLVHNGLTRKVNIGQFASKVYRSSVPLNAELVGVREEAPHPISPLEKL NITAHKKLLKKRPGTAPLKPAHSKGLKS H257_11712 MDNMDTTDGALLPVHVRIFQGLVPQVASQATSLENILRTLCQKI ETLESCLASINSGVVEMDMRLKIIAHNIEGGTNDEAFNAASTFMPSLASPLPATKPSK SAVVSHVMSALAMGHVAKPLEKPKKRRKKPPPALSSPAGLVTSIPTAELSAGPTETPP TLNRGHSSARLLRDSPRSRYIPDQRDVPHTARSKANVHAVPMEHIALSVQEATTPRAA ISKDILNDSQQTIVTAPTKGLSTVEDIGIEQVHAEPWENIAPTDMRLLVDVEDKKDDL INSTDPNRHVTASKGPTISVGYEEASKMEADSIDRSVPTGVSNTTQVDKIHTPTADGS NVPSIQLERRRPSHPEPPPSPPPDDAAAVHTIPRLPLSRLVVENSAGKSTQTTPSVAK PHATSMQAAAAPTTTVAAERQTLLANAQPQSTGSTATTATTTTITTTTTQPQSYSHTA GMPLPLATTETTLVPKETAVGPHSTRLPTSSPQSPREQHRHTITAAIDPSPVNLLSQE KWPPQEDAESSDESSDSVDEEVQPSEEELQRLKEAGQHGWKVVRSKLATIKRPKNILF TKKKQLFTIANRLELLERKSKELFAGEKQLTLLLEKQDNDLSTNILAAVELKLKALHR DILKITDDKAEMHLVVQFSHRIRDLEENVRHVNADMADKLAGKASQIDMDRKLDKAQA SAQVRHDSLQEQLREQAMQSSDHGTRLRLVEEHLVQSNQRTADQFHDLVAQLQANQAA VDDMRRLLRKKADVKVLKGLEESMMLKPKDPMDQQQQQPCAARCMSCMKDILVDLPGG DPENDVADAHDLVHNGLTRKVNIGQFASKVYRSSVPLNAELVGVREEAPHPISPLE H257_11713 MMEPDAAPDEKDDQVSEVLPVTPPPPTLPVDSSRFDLQSFSTPP SALAGDLMAMASNTRRKTIQNLWGHIKGKKPDTVVKPRSHYRRGTIFLQHLERQQIAK GGGDNKFFERIKSHYEHAHMSTSTMIGLSIFQNGVNPVDDPFIVDNMPLEIQIGFRRK LFELFSMQLLVVVGLIAAFSNIAGLKAPFVEFWPLVAIFAATIVLLFALYLKKYNYPT NFVVLGVYTVCMSVFLVGVDAYLGMHVSLFVFALTFVVMSVLGVLCTIKKADDTLYGY MPSVAVAFAVAFAVASLVYFTALRNVFSTTTFFMCCATILVLSFWFAYDASCMNQRLS PDEYMQGMIFFYTDMVMFVMFVGMVAFAFMACEGDVCCCGSAEIMPVYPLRGGAAEDD ENVQPDGHGEATPPSAVENEDIVDR H257_11714 MNKYLEERCIGRGSYGCAYLVTEITSGHKYVVKKIPIELMTDKE SKQAFAEVELLSKLRHSFVVQYKENFVEGTVLHIVMEYCDGGDLTARIKALKADDGAL FPPTLVLDWFVQMALAIKYLHQRHILHRDLKTSNIFLTRQNIVKLGDFGIARTLDSTM DHAKTVVGTPYYMSPEVCESKPYSYASDIWALGCVLYEVCTLKHAFDAPNILMLIVKI IQHDFPPLPPCYPVEFTSLLQALLQKDPAKRPSIDAILHMPMIQAHVQAIQSNARDVP DPLASHLMDENVVESANTPHSMCPSSGNVPIETTTYEYLSSVDDEEFSLLGATPTLVV HGSPPQCLAVEGNFPRRNPERGLAWVVTTTTTDEVEEAIDTRHSGGDEVIKPNYESAY SEECSDSIHIDYGHKGTRRRQALTLDHRQPPPSSTHALPRHRVEPKKHHVLRPHVVGK ALQPSDQQLPPRHPDSPRLKHAGVKLASSITKRNTSSMSPSSSMSLDEDNMLEARTSQ GIIESGDDDGPYTSMSECDAEENFYSDDSDFDDEDLTLETSVDEVVACGGGGGWLSSA RQVSAAVELGYSDDFDDTEAEVIEYDNEEFVDDGSSGGSSDEEQGGGDKVDWYNYDEF ADPSPPPLYMATPSRPIA H257_11715 MSRFTKKGRPPPGYEYIQPVMDALESELRERTTDPHEGLRKCEA MWPIQQINWQRSRYVYDMYYKYSKISKEVYEYCLRMKLADANLIAKWKKPGYERLCST FAINSKNYNYGTVSICRVPKHQLADAQQIQERHSGCRGCASGPGGYHNIFGNKYGQHL AAIQIMRERRGGDGVWAQNEAADVSDVEESDDDDQASVPNEVDDETKIDPDEEEKEEV DEEAAAPNAKKRRTD H257_11716 MQNDAGQNIDIYIPRKCSWTNRILSAKDHASVQIAVAKVDANGV YTGQNDVYALSGYIRAKGEGDAALTDLVRKAEEKN H257_11717 MDDTNLTAQQKNEVIARVRAEVQQQGLQELTQAVQEKCFNKCVT RPQERLDSKQQQCLSMCIERYIDTMKVVSASMMQRGQRG H257_11718 MAAAATSVVGDVVHCIRHKNVDQDVLHAFIQEIERSTNAKVLAL RTLSQQLGLVSDLTESEVSSDTSTRDVREVVPCGQDEHAASSMCEEEVIVFFQFGLPL VQAFHPVLHECESAIHTILSAWASVALKWCGQGSTLELQSVLEPFLVAVCVLDTQSTT SHHTCLKALIDIVAGQSSTCSSVTQVSDRSIELNALLHVVHAKPSVFYTAMHLLMSPD HQLVPTPATMHRWVLLGHVVIQPWFDSVTFVSTPLWSLLVQPPPPRQGVATVVLQMWF LALFLPAAAASIWTESSSAIADVLELLWHAIAACAAVLPRPLVPPSDVTMWDHAQLAD AIPIFKPDQVHSAFATYWTHWATKPPIPIPTTLDDPLPTTTFHDSVPRGYGLHQIELW IGSAKSNTTGGAGIRALRVTLHDEFGGVHVLPLRGDAAALHDTFRTTRQVLALDHHEF ITCVDVSNYEYHHQQNPRSRRTFVGAIRFTTSKHEYPWIGTPSRLANLDVSVRSSNKQ TSDEVLVELTGKFDEDGWLVCLGGSFAQPTTHDDSTGRRPEGTSVVESTSLGLVAYVF RCVYALAPWECVSYFHTNLTSTELFPPATGSTVQALFLQLRHHPRLFAHPTLSSSSSP ALVDTSAGLVSWLAVQPSHDTPPSVDSPSSSAAASKWKHDAKTDPVVSWMMQPFYSFA RDDMHANMLKVRAHAAALRGSHAKEWWYQRELKVEKEVNRCLHKQMHGQRTQILSFEK ERRKWEHEVQRRISKYATDRKLSSEQNLELHGQVEALKLELATSHGQLKALTASSAAL EGAVDELRRRTAHTQQLETQVDALTKQVAEWDHFHATEMDRLRQSHVLEMQDLKYTWS TALQKNGARTRASSSSSVGMIPHEPSHEDHRVAELEKTIKQKDHAIQALKAMLERQQS ISDDKVNLANTKYDHVKAINVVLQTRMVGGSTRS H257_11719 MAEPQLNEQQVIATYKGMKNEVRQLAEKHAELELELHEHQRVAE TLNGYEGTRRAFRMVGGVLVERTVAEVLPAVASNADGIQKLMVQVADLLKQKEATANA WQAQYNIRSQ H257_11720 MWRWNHAPIYGVILVALNVLGLVYGQGQDFRYKENAKQYMMADN VTPLERRLGTHLPALQLVSVHTSPEAERVRVLCWVNTFNATHDRARAIKATWGRRCNK LLFMSNVEDATIPTVRVVAPPTHEHLWQKHRFALRLLSREFDAASFDWILKCDDDSYV IIDNLKSLLARHAGTPSEPVLLGHRMTLQPWIMHHAFGDKQHMPLDYRYFLGQVTKAT KEQGGLYYTPGGGGYAFNAAYLSAITQVLDEPFCIPNAVVPDDWAVSFCMLHLQVVPQ DTRDGVGRERFHQYSPEQVYYWPNDTDVLDRQNWHSDHVGIGWKNGSECCAADSVTFH YVHDMALVEAYLYNT H257_11721 MSRVTVLYEQAFKAAEDGHAAESENDRPSAIQSFEQAVQLFSRL AMVETSTKRTLLEEHIRGFQQRIQDLRGAETKDDHDDAAAAAPTTELVIPSPSTGVLP VSTDSSCRASDADDDLHGDIRWQNASRLEQLAQSTEVDGDLSISIDLYMKSADGLLEM LRDKNLSDAFLCQRVRTKVESIIDRITALKERHDLDMEDDLLMEEDLLMEVALGPENM KLKQPSQQLHPPLPQHANPNQFASSPSSTHLTPPPHAKYTAEEVDVLRRSSVINGRLF QPWMASDTHVDVAADSLFVDPDGFLAMSPKQLDKLAKWSRPSDYGTNTPRMVSQISPY AIVQDVVTDCSFVASLCITAAYELRFHKQLITNIIYPQDQHGVPIINPAGKYVVKLWA NGVPRKVVVDDLLPLGHSGTLLCSCTTESNELWVSIVEKAYLKVNGGYDFPGSNSGID LFALTGWIPESLAFGDQSQSSSDIIWQRLMSAHNFGDCLITIATDDMPKPVAKRVGLV PSHAYAVLNVVETSNRLRLLLVKNPWNRKRWRGPFGMDDKDRWTDDLQRELNFHWHAA RQSDDDGLFWIDFASVQVYFSALFLNWNPDLFRFRYTVHKHWPVDVGPQNDTYNLGYN PQYRLTFFNPDRHTKSLSVWILLSRHVTAAAVDTPQQFLTLHVFKQAHRVFYPNHAFT RGTYSNNPHSLTCVDIQLTADESETTFMLVASQFEKLAPLDYTLSVFSTDGPFELTDA PETPPHRINLMDAWTSATAGGCPKHATFLDNPQYQLVVESFMERMLLTLEAPVDLAIN LRLVGGDGQRVGSVSKKSLRGQSGEYRPGFCYLDLDAVEAGLYTIVASTYEPQCMGSF SLQVAATSPQFQVFALPPEGHNMVPFVCTGKWNPDAGTAAGCSNYGQYLQNPQYLLHV PVKCQLFFRLRPPRSTLPSECSINVSVYECSPSGQLPDATANPTTAFLTSAKGAYTNS TCGVRTPLAHVPPGYYLVIPSTFEPRRGDFDLHGYANLPVTTSRLR H257_11722 MGIPRFYRWISERYPQINQQISDVSLLPEFDNLYLDLNGIIHQC THPSDDEVCEELGEAHHIPAIFAYIDRIVSHIVKPKNLLFLAVDGVAPRAKLNQQRSR RFRAGKELYEKNLSLQRDDDDDNESSEAPKALFDSNCITPGTEFMYRLSSHLQYFIRK KLKEDPSWRDLTVIFSGQDVPGEGEHKIVEYIRRTKMQPGYPPNVRHCMYGSDADLML LGLMAHEPHFTLVREVVNFGGGSSRKKGKDDNSAKKIIARQTKEPEWQLVHLSLFRQY LNMELSVPVAWYDMERALDDFIFLTFLLGNDFIPHSPTLDISEDAIALLLGLYRDLLP QWGNYLTEAGVVTHPEHLEGLCQVIGSMEEAILTKRVHEERKFRDRKRYGNGYGRNGS SPVRAKVVSAHDDTELDDDDGNDRQFEQDLLHALSFQGEEEEGDDVVVLSGSPEFQAT KWAYYGAKFGLRDTSTELLTAIKVAYVEALVWCAGYYFHGVPSWSWFYPFHYSPMLSD LTDIASIVASIHFDVGVPFLPFQQLLSTLPPTSATLVPPGYQRLMTDPTSPIASFYPI SFEIDMDGKRNAWEGVNILPFIDASRLVDAIHLHCPEADLTDAERRRNRPGTAYVFKH DLGAMDTLPSTLPGVLDDIACCHSSVQVYELPPPRRNFRCTLTDGLTMPIAGFPSLYS LPLASSALSKIGVNCFGMSSKKDTLVLYVAPPSADDQASLLTLDQAKLVLGTTVHVNY PHLHEAKVVAISTRDGVITSSWPSSNEVHVHGHSPSEQTDWAKRAQVEASRYLSGRGV PGTGGVSIGAVTCLLHVLPLQGMVVDPGTGAMHKKFGRDPVKIPFQLAVLHHDLLDLR FQETAAAAAPDRFPLHTSVVVLRGPSKGLTGTVVRHHAKDQATIGVRVSPRSPEPPFG YAIAAAICDKYYSSFVLCQKLGIQPSTLGRITGSLLVNPGRYDIGLNIKFKKELMLAG YCRYIRKEGGADDMAWTRGDSVSLVVGNDDADNDGKDGGIWEYTDKAFYTLVAFQRKF PRVFAALETLPYSAVYDGAAFLNVANPSAVKSVLESIKTWLSQLDIAPLPLIPVSSAT LPRAAIHAIQAAGDSRTAAASPSSTTGDVEITVESSALFRPVESNYDLSASSATGQRP PLLGDRVVSLSARGVPFGLRGTVVASHQATACVEVLFDAKFSGGTTLGGTCSAYRGKL VHWSSLLVVSTPPPPPTNHHHLHRSKQLLPQQHQPKQSNQPKSAEYHPAPNQPTSSSS SSRPKSPQSPPHPPSLVAPLIVLPTDVVTPPPPPSTEKMHSLIAKWAEKEESRDLHAP AHSMAQYFNKLQARPDMAPPPPPPARAAIPPYQQQQQPPLPPPYQQQPQGPPKQPQAA KKTGGLLLPAQVLKSKLTKHAQ H257_11723 MKFLAALVHAAAAALPIQWDFNNPAQGDEGVYIANEKWAVAFRT PASGTTICLGETAPKKMKDATPPPNLIPDAGVRNATAQFSSAM H257_11724 MKTWWNCRVTIVASLGAALASSLSDNAPAVPSTSLVNLPSTVVG NWSTTVPVVAPTACPPLLCNDTSSIECVISSPIEFPCVNADLYCVWESAKDVILQTTV GTSVYPPKCRVHLSWLVHGSFHMTSNSSISVTSLDLTALDLFMDDASLLTIEESVAVN VSRKFRLDQDATIISGGSWPGHSGGHVNVTTSSFILHGEIRASGGEGICIDGKCTPGG NGGLVTLLYTSVATETGSIVVSGGANPLGSAAAPSRDLTEHDDTDDALYFPSDCLSGA AGQVLRIFASNKGVVDGYLVISNGFNGPTSSTSHHTQRRCAAVVFDTDSIDPSVKRVL IQGESLVRFEGSAWGLHGDSELIIEDATLVGMSNSPLSIMVKQLVVRGTSILHASAGL LVSADIVSIDYGATVSWTNTATSIFKVADGVQISGNITTTTLSLNDTDTADGYLVIQS GGNLVVDGMIATSSLFAASRTNMLLTGARIQTHGRATQASLTYPPCSDAVYANPQLLN YSLVLASQGSIDLGQYPKPTSVTGSSILLCSNQSIRVGHKSVVSSSGLGFSANHGLGA GDCTTNGGGGGGGGYGGDGGDSVQLLLQSHAASTVHADGGVAYGSRSSTGFLGSGGGC VDGGSGGGLVMLGAVRIELNGSVMANGQSGRAARSGGGSGGYIGLTISNGIVGSGHLS ASGGNATCSQDGLSHTNLSSWICGGGGGGGRLRLLGCQNFSDCTTSFSGTYSVVGGRP DKVKAPIAGIGTYFGFPCPPGYGGLMCRVCSVGTFKQERGSSECLACTNGPSNAHFTL NGSTSSECVWSCDPGYTGIHCLSPLDDFFDMFGGKVVFLMVAVGTLGAIVAMGYLCKR DPYTPDKSKDHLLVPKRPWYQLRLARLVWPRVSYPKLQENELKIHMARIYLTGNNTQE APLTLHPDVPRGLEQVLDKDKYKNLADHVNSILAFSTGGSVLFNITRFLFYPLATDVM LFRRHKKFNALKRWMSKYNHECMLGPRSRAMANAIKLGYCTDYSLAYIELLYVENNPS NCMPRQLVGKPRLPLVLLFAGLGSYESPLYLDPNDLLVRSLPQSPELTAFIDEAWIEI IADLNAMLRVVNLADKSLRGLIDVATFCEHKNGHHLRQSNSFKTTPSLGGLRMQLGRF FPGDKDHDRWGLFVTCVGIQTSVQIPPAQANMTIIGIREQALLETPNVWSPTKQQYSG SRGGWDAAIDDTLPIPGILLNAEALEDRQSHVVTSNRRGVLWPASIVCPSNVMKPGTV SQSWLIYMSIIVSILLDLATTLGMLVNLKCVKNGTEVRACTNSVLWPVLLIYPLAIVF APVTGLVTLATSSPTFGRKYGLWNACSLVNIAVAIIICYAKSDMLVAPYVTRPLPLFP AAALVFKLVQVALVDYYIADMESTRRRRGWRGLMKRRDSDSSTPPTSPYNSPSRRLHE SVPFKPMESSRRGSSTLHYGTG H257_11724 MKTWWNCRVTIVASLGAALASSLSDNAPAVPSTSLVNLPSTVVG NWSTTVPVVAPTACPPLLCNDTSSIECVISSPIEFPCVNADLYCVWESAKDVILQTTV GTSVYPPKCRVHLSWLVHGSFHMTSNSSISVTSLDLTALDLFMDDASLLTIEESVAVN VSRKFRLDQDATIISGGSWPGHSGGHVNVTTSSFILHGEIRASGGEGICIDGKCTPGG NGGLVTLLYTSVATETGSIVVSGGANPLGSAAAPSRDLTEHDDTDDALYFPSDCLSGA AGQVLRIFASNKGVVDGYLVISNGFNGPTSSTSHHTQRRCAAVVFDTDSIDPSVKRVL IQGESLVRFEGSAWGLHGDSELIIEDATLVGMSNSPLSIMVKQLVVRGTSILHASAGL LVSADIVSIDYGATVSWTNTATSIFKVADGVQISGNITTTTLSLNDTDTADGYLVIQS GGNLVVDGMIATSSLFAASRTNMLLTGARIQTHGRATQASLTYPPCSDAVYANPQLLN YSLVLASQGSIDLGQYPKPTSVTGSSILLCSNQSIRVGHKSVVSSSGLGFSANHGLGA GDCTTNGGGGGGGGYGGDGGDSVQLLLQSHAASTVHADGGVAYGSRSSTGFLGSGGGC VDGGSGGGLVMLGAVRIELNGSVMANGQSGRAARSGGGSGGYIGLTISNGIVGSGHLS ASGGNATCSQDGLSHTNLSSWICGGGGGGGRLRLLGCQNFSDCTTSFSGTYSVVGGRP DKVKAPIAGIGTYFGFPCPPGYGGLMCRVCSVGTFKQERGSSECLACTNGPSNAHFTL NGSTSSECVWSCDPGYTGIHCLSPLDDFFDMFGGKVVFLMVAVGTLGAIVAMGYLCKR DPYTPDKSKDHLLVPKRPWYQLRLARLVWPRVSYPKLQENELKIHMARIYLTGNNTQE APLTLHPDVPRGLEQVLDKDKYKNLADHVNSILAFSTGGSVLFNITRFLFYPLATDVM LFRRHKKFNALKRWMSKYNHECMLGPRSRAMANAIKLGYCTDYSLAYIELLYVENNPS NCMPRQLVGKPRLPLVLLFAGLGSYESPLYLDPNDLLVRSLPQSPELTAFIDEAWIEI IADLNAMLRVVNLADKSLRGLIDVATFCEHKNGHHLRQSNSFKTTPSLGGLRMQLGRF FPGDKDHDRWGLFVTCVGIQTSVQIPPAQANMTIIGIREQALLETPNVWSPTKQQYSG SRGGWDAAIDDTLPIPGILLNAEALEDRQSHVVTSNRRGVLWPASIVCPSNVMKPGTV SQSWLIYMSIIVSILLDLATTLGMLVNLKCVKNGTEVRACTNSVLWPVLLIYPLAIVF APVTGLVTLATSSPTFGRKYGLWNACSLVNIAVAIIICYAKSDMLVAPYVTRPLPLFP AAALVFKLVQVALVDYYIADMESTRRRRGWRGLMKRRDSDSSTPPTSP H257_11724 MKTWWNCRVTIVASLGAALASSLSDNAPAVPSTSLVNLPSTVVG NWSTTVPVVAPTACPPLLCNDTSSIECVISSPIEFPCVNADLYCVWESAKDVILQTTV GTSVYPPKCRVHLSWLVHGSFHMTSNSSISVTSLDLTALDLFMDDASLLTIEESVAVN VSRKFRLDQDATIISGGSWPGHSGGHVNVTTSSFILHGEIRASGGEGICIDGKCTPGG NGGLVTLLYTSVATETGSIVVSGGANPLGSAAAPSRDLTEHDDTDDALYFPSDCLSGA AGQVLRIFASNKGVVDGYLVISNGFNGPTSSTSHHTQRRCAAVVFDTDSIDPSVKRVL IQGESLVRFEGSAWGLHGDSELIIEDATLVGMSNSPLSIMVKQLVVRGTSILHASAGL LVSADIVSIDYGATVSWTNTATSIFKVADGVQISGNITTTTLSLNDTDTADGYLVIQS GGNLVVDGMIATSSLFAASRTNMLLTGARIQTHGRATQASLTYPPCSDAVYANPQLLN YSLVLASQGSIDLGQYPKPTSVTGSSILLCSNQSIRVGHKSVVSSSGLGFSANHGLGA GDCTTNGGGGGGGGYGGDGGDSVQLLLQSHAASTVHADGGVAYGSRSSTGFLGSGGGC VDGGSGGGLVMLGAVRIELNGSVMANGQSGRAARSGGGSGGYIGLTISNGIVGSGHLS ASGGNATCSQDGLSHTNLSSWICGGGGGGGRLRLLGCQNFSDCTTSFSGTYSVVGGRP DKVKAPIAGIGTYFGFPCPPGYGGLMCRVCSVGTFKQERGSSECLACTNGPSNAHFTL NGSTSSECVWSCDPGYTGIHCLSPLDDFFDMFGGKVVFLMVAVGTLGAIVAMGYLCKR DPYTPDKSKDHLLVPKRPWYQLRLARLVWPRVSYPKLQENELKIHMARIYLTGNNTQE APLTLHPDVPRGLEQVLDKDKYKNLADHVNSILAFSTGGSVLFNITRFLFYPLATDVM LFRRHKKFNALKRWMSKYNHECMLGPRSRAMANAIKLGYCTDYSLAYIELLYVENNPS NCMPRQLVGKPRLPLVLLFAGLGSYESPLYLDPNDLLVRSLPQSPELTAFIDEAWIEI IADLNAMLRVVNLADKSLRGLIDVATFCEHKNGHHLRQSNSFKTTPSLGGLRMQLGRF FPGDKDHDRWGLFVTCVGIQTSVQVREFKT H257_11725 MQPPPRETGRVLDEAIMSVPLTQGTSTMINPDTATSLSGLDQED RSECLDRLKSDKLHSLPVKLPQVPIATLPQASERVRAKTRTTHSATISRSPAMATSLP RQRRHPTMSKILQVQHGANWGPNRPTRPGDAGATNAKQGTTSRLQQVPGHVDDKPSTQ QRHRAEVYAINARMRAFSQDQLASYVKSQGGRSTLHVSPMGV H257_11726 MSRKNRVDIGDLNAPAKKAKTDSSSLGGEDPPAVNPLTGALYSN KFKSLYAKRRTLPVYQFLKEIQDDVRKNQVVVVEGETGSGKTTQIPQFLVQAGYTDNG KIVACTQPRRVAAMSIAKRVSEEMDVVLGQQVGYTIRFEDVTSDKTLLRFMTDGMLLQ HAMNDPLLSKYSVIILDEAHDRTLSTDILMGLLKEVLVKRPDLKVVVMSATLDALKFQ TYFDNAPLVRVPGRMHHVDVFYTPEPQRDYVEAAVRTAVQIHLCEPEGDILLFLTGQE EIDTAVRQITAECHALDQSKVGPVEVYPLYSTLPPAQQQRIFNAAPAPAFPGGPPGRK IVVATNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRISSLLVSPISRASAQQRAGRAG RTRPGKCFRLYTERAFKSDLQEQTYPEILCSEMSTVVLTLKKLGIDDLVHFDFMDPPA PETLMRALEMLNYLGALDDEGDLTELGGQMAMLPVEPQLAKMLLTSGSYNCVSEVATI AAMLTSGSEPFVRPKAEGKAADEAKSQFAHIDGDHCTLLNVFHAYKLNHEDKNWTYEN YLNFRALQSASNVREQLLRNMQRLGLHARQGDMQASDYYENIRKCIAAGFFMQVAHKR STGGYLTVKDNQEVHLHPSCVLDDKPEWVLYNEFVLTSKNYIRLNTRIKGEWLVELAP HYYDLENFPACEAKKELEALYRRLHAKLQRK H257_11727 MAASDEAAATAKYFADLIQDKPIRFGYAEGKGKALFAPTEFSAG QAIFSEVPLVAMQHRANRSTTQGCDNCFAVVGTIEDQVAHLLSIGTEAVPTVPVALKE PTSSSSFQHETTIVSCACGDIYCSKACQVAAWERYHCLLCPAHPDTPMQAFVDYSTGR DAVTCRRTTTSSPDSTPPSAMIYVFPTETNEIFLLAAQVLCSIVVRYAVSPDMADARR PVDVFCKLPWWEVVAVNAELEEGQTLDEYCSIFRDLLEYTLSLFLHGLKFNVNHLVIH DNHPDPDSCFLATVDLDGAMEACEAAGVFDLDFFAQVVGMFEMNNISLEIRHPLNHII MEEHHPDTSQEVMTWLATVSATVQAKLELDHPHTEEDGEEVDGWEFPDLDGTALFSLI CMMNHSCTPNVAVTYETGVATVVALDDISAGDELCISYIDTDLDVDDRQAELSEYHFA CTCDRCNEELMLQ H257_11727 MAASDEAAATAKYFADLIQDKPIRFGYAEGKGKALFAPTEFSAG QAIFSEVPLVAMQHRANRSTTQGCDNCFAVVGTIEDQVAHLLSIGTEAVPTVPVALKE PTSSSSFQHETTIVSCACGDIYCSKACQVAAWERYHCLLCPAHPDTPMQAFVDYSTET NEIFLLAAQVLCSIVVRYAVSPDMADARRPVDVFCKLPWWEVVAVNAELEEGQTLDEY CSIFRDLLEYTLSLFLHGLKFNVNHLVIHDNHPDPDSCFLATVDLDGAMEACEAAGVF DLDFFAQVVGMFEMNNISLEIRHPLNHIIMEEHHPDTSQEVMTWLATVSATVQAKLEL DHPHTEEDGEEVDGWEFPDLDGTALFSLICMMNHSCTPNVAVTYETGVATVVALDDIS AGDELCISYIDTDLDVDDRQAELSEYHFACTCDRCNEELMLQ H257_11727 MAASDEAAATAKYFADLIQDKPIRFGYAEGKGKALFAPTEFSAG QAIFSEVPLVAMQHRANRSTTQGCDNCFAVVGTIEDQVAHLLSIGTEAVPTVPVALKE PTSSSSFQHETTIVSCACGDIYCSKACQVAAWERYHCLLCPAHPDTPMQAFVDYSTGR DAVTCRRTTTSSPDSTPPSAMIYVFPTETNEIFLLAAQVLCSIVVRYAVSPDMADARR PVDVFCKLPWWEVVAVNAELEEGQTLDEYCSIFRDLLEYTLSLFLHGLKFNVNHLVIH DNHPDPDSCFLATVDLDGAMEACEAAGVFDLDFFAQVVGMFEMNNISLEIRHPLNHII MEEHHPDTSQEVMTWLATVSATVQAKLELDHPHTEEDGEEVDGWEFPDLDGTALFSLI CMMNHSCTPNVAVTYETGVATVVALDDISVRRSCVDIYF H257_11727 MAASDEAAATAKYFADLIQDKPIRFGYAEGKGKALFAPTEFSAG QAIFSEVPLVAMQHRANRSTTQGCDNCFAVVGTIEDQVAHLLSIGTEAVPTVPVALKE PTSSSSFQHETTIVSCACGDIYCSKACQVAAWERYHCLLCPAHPDTPMQAFVDYSTET NEIFLLAAQVLCSIVVRYAVSPDMADARRPVDVFCKLPWWEVVAVNAELEEGQTLDEY CSIFRDLLEYTLSLFLHGLKFNVNHLVIHDNHPDPDSCFLATVDLDGAMEACEAAGVF DLDFFAQVVGMFEMNNISLEIRHPLNHIIMEEHHPDTSQEVMTWLATVSATVQAKLEL DHPHTEEDGEEVDGWEFPDLDGTALFSLICMMNHSCTPNVAVTYETGVATVVALDDIS VRRSCVDIYF H257_11727 MQHRANRSTTQGCDNCFAVVGTIEDQVAHLLSIGTEAVPTVPVA LKEPTSSSSFQHETTIVSCACGDIYCSKACQVAAWERYHCLLCPAHPDTPMQAFVDYS TGRDAVTCRRTTTSSPDSTPPSAMIYVFPTETNEIFLLAAQVLCSIVVRYAVSPDMAD ARRPVDVFCKLPWWEVVAVNAELEEGQTLDEYCSIFRDLLEYTLSLFLHGLKFNVNHL VIHDNHPDPDSCFLATVDLDGAMEACEAAGVFDLDFFAQVVGMFEMNNISLEIRHPLN HIIMEEHHPDTSQEVMTWLATVSATVQAKLELDHPHTEEDGEEVDGWEFPDLDGTALF SLICMMNHSCTPNVAVTYETGVATVVALDDISAGDELCISYIDTDLDVDDRQAELSEY HFACTCDRCNEELMLQ H257_11727 MQHRANRSTTQGCDNCFAVVGTIEDQVAHLLSIGTEAVPTVPVA LKEPTSSSSFQHETTIVSCACGDIYCSKACQVAAWERYHCLLCPAHPDTPMQAFVDYS TETNEIFLLAAQVLCSIVVRYAVSPDMADARRPVDVFCKLPWWEVVAVNAELEEGQTL DEYCSIFRDLLEYTLSLFLHGLKFNVNHLVIHDNHPDPDSCFLATVDLDGAMEACEAA GVFDLDFFAQVVGMFEMNNISLEIRHPLNHIIMEEHHPDTSQEVMTWLATVSATVQAK LELDHPHTEEDGEEVDGWEFPDLDGTALFSLICMMNHSCTPNVAVTYETGVATVVALD DISAGDELCISYIDTDLDVDDRQAELSEYHFACTCDRCNEELMLQ H257_11728 MATSDKYDRQLRLWGARGQEKLMATKLLLLNAGPTGSEILKNVV LPGVGSFEICDDHIVSESDLGNNFFVTAADLNRPRAQVVTEWMLEMNSDVTGTFLVKR PSDVIAKDISYVNGFNLVVATQLVEPSLSALAKHCQEHAIPLLVLHSFGLFGYLRLQI PNHTIIDSKPDTPFHDLRLASPFPELQQFAASFDLAKLNAHEQSHVPYVVILIQCIQE WQASHHGAFPKNFGEKDAFKQCIRSKCHGSFGQQVNFQEAFDNAFKAYSLPNDAIPDE VTSVLQYASSLAVTPTTPSFWVLARAVAEFVTSHDSLPLSGHVPDMTAFTHTYIALQQ IYVRQAAADCDEVLATVEMLLTTAGGDPKRIARDEVLEFCKHAASIRVVQTKPLADEY KQVDLQQVDFEDENATQSPLIWYFMIRAIQSFVEEFGKYPGVDSNTPAEAQWLVDKAR HIATSSATSADTFPIEWITLDHGIEACRNSEVEVHNISAILGGVAAQEAVKVITHQFL PMNNTYIFNGITGCAATYML H257_11728 MATSDKYDRQLRLWGARGQEKLMATKLLLLNAGPTGSEILKNVV LPGVGSFEICDDHIVSESDLGNNFFVTAADLNRPRAQVVTEWMLEMNSDVTGTFLVKR PSDVIAKDISYVNGFNLVVATQLVEPSLSALAKHCQEHAIPLLVLHSFGLFGYLRLQI PNHTIIDSKPDTPFHDLRLASPFPELQQFAASFDLAKLNAHEQSHVPYVVILIQCIQE WQASHHGAFPKNFGEKDAFKQCIRSKCHGSFGQQVNFQEAFDNAFKAYSLPNDAIPDE VTSVLQYASSLAVTPTTPSFWVLARAVAEFVTSHDSLPLSGHVPDMTAFTHTYIALQQ IYVRQAAADCDEVLATVEMLLTTAGGDPKRIARDEVLEFCKHAASIRVVQTKPLADEY KQVDLQQVDFEDENATQSPLIWYFMIRAIQSFVEEVHTRTYVQ H257_11729 MSYASLDEALDIALGFSPKSTPEGRQLAVNYLAQYKTFPYALQF LQTSTNEKQLWFATSTLEELVRSRVDLDCSPLITLLWSVVMEPSTNLPKYIVDKLRLV LVYAVLRHNSLSELCVQILDAVDTLQSNGTVRQPTLDVWSCLCEEMSMSQNESTPGVF VELTRDRMAHHAQFEAHVMSFATEVVKLLRHFQHQVAVQSPSFSDAALATTLRIAGFI STFRFAGDGAADIVRDMIVLAMEFAAYPLRQHTPSSSQSSSIRLALSSNAACNALCTI VATKWPRDVTVVVVNCALDGLLAWLALLSESAVQRAVDHDHDDAYLDTVSAFVETFLS HHLRHLHHARYIASLSALLGHVVTLTSLQPHVSGLFHCLTVWEVFVSHVEDIEENEMG RQSNDGLLRSYEVGLVGVMQLLVERILFASNGPQLGELDEEEGGGNPTTTTTRSTYDE YADKEDDIATGALVDQDQTSTELSDLKAFVFECFSLVRRITRLPGCAQPLLTTLLPSV KASVSLFKTIHHTSIPADGTAERHAVHDLTVQCALLSCVSAQHVTNSNVVADKSTGWD ILVLFVDLAEYITTHRVHTRGAAFVDLECEVLSCVRLCMSCVPFVYENGGSDPLKSVG ESMVRLILATLDTSIVPSPLNVMQSALNLLAGMGSVFPYAIQVEIPSLGHLYANLQDF CLHLSPSVQCQLYTALAHNVLSTGLSVASFSSLVTPVLTSVVESVVTMQQNAQRVLEP ALLAQLMRDISICRALARVVLTKPKQVKLSFYSQMHGVLPYTLDAVRVYMNVLPQCPP SQLSSAVGAIHDLIGFYSDLFRSIRKELPTDVVGATVTTLVELFQHGQFASKLEALGA PGTAVLCAFLKLLRILVEEYSPTLAGLLHR H257_11730 MSCVPFVYENGGSDPLKSVGESMVRLILATLDTSIVPSPLNVMQ SALNLLAGMGSVFPYAIQVEIPSLGHLYANLQDFCLHLSPSVQCQLYTALAHNVLSTG LSVASFSSLVTPVLTSVVESVVTMQQNAQRVLEPALLAQLMRDISICRALARVVLTKP KQVKLSFYSQMHGVLPYTLDAVRVYMNVLPQCPPSQLSSAVGAIHDLIGFYSDLFRSI RKELPTDVVGATVTTLVELFQHGQFASKLEALGAPGTAVLCAFLKLLRILVEEYSPTL AGLLHSILDLCFNTLHEVIFAHQHYDTVVPFFIALMEEILENHYRYFVTTQTTFDASG QRQKVFTSDAAGQYFMMILQAIGTILQQESIPPPLCKQIVVALERLQSSHNIFHFVAF KSQVRMAYLHTLLTLLTRGRVGLLQEELGLLLYHIADVDMPSFFHECLPQFVGDGGAD SLQCWTGQVDEPTFVKELGHFLIDFRVGHARQ H257_11731 MDPVVAASEFIAHRWHKPTQSRTSDATASLHGATHVYDFHHPKR DHQQQQHVHRHFHQHRAKHAQQCDPSASRLDDDATVLFAYQPLYCEEFMEAHSDAVEL SRAHFAMSRTPRERSCATVPPRRKRPQSATVKRVTPVSCRAVDSTSSRDPAAAMIYCS PGFKQKALQRLEAETYRLLDHTRTGSALMAWETPTHDTSSRPRGPGLSAVRIRNNKTA AAASTCLASQRPTLSEMQRACHVIYKFVCRSYLRRKRDRLVLMLHTSYPVGEFSDGVV SACKQFASTRRRAGLSTHKHTLAHSIHQQKREEDDDEKMAKTNVSAAVVGVGQASARK QQSVSSKHTACRPKQHPPSNKAPTAPSIDTPHGGVDIAFKTPTPKSSNKTAKPRKSAQ SAHCTMERSDPLTKLRRQVLLHQAKATKHDAALHSTLASLSEQHDGIGGGDAYVKGTA ALLAIDMYLRQNQRQTKKRCGGATRRTRRLHLPKVAPYEWTRPAPPPIHTTVPPVTHA VLAPSLHEQDHIHLESNWTLLESQPPATCALDNSGSHHLHSYNSPNTMAMTWPQRNLH STSRLDECVLLCVAANSLDTAVERIMPCQDAMTPLTAVHSSDKVDVIEGTDSSPPDAN DLVGSPGGYNNTISIQHMPTVCPHLANASPRGGGPTSPLNSPNSILVSSLSTSSECPS TRTIEATTTPSSPQPAPSTQETHEMELVASMYRHFYAAKRIQRWYCRQAALCHSSVHP IDDTALHQPMSLEQVQSSKTTSQSLVLLSPLDLCSNHSPQSPPIPPFNTAHTTACSSS SNSPQSPTALSHTNSVEPSSVDRTCHATHTIQRWWQRHAISRPPHDNAILTAAALTIQ RQYRVFVNRADMRGALTALLRAHRRHTRKLMQTTPSPSKLAAPRIEGDPKPKLTTRIR PWRSAVWALVAVRRLIQRRRAMDKAARTVQSQFRTHGRRHVIAAGMRLMLLHRARQVQ WGAFTEKGGANTTDRGTEEMVEDTRSQRHGGDDTRWDEYTDPETGAPYFYNPTTGETK WKQVETTTKMALQQPVAEVASDDGDYMIAGLATPSVVSTPRSPSSATSNHETTYARVD GGGTWTQAADENGCAYYYNTATNAMSWNPSSAQHPLLAMETWECFTSKDGVPYYYNAL TGETTWTLPNM H257_11731 MDPVVAASEFIAHRWHKPTQSRTSDATASLHGATHVYDFHHPKR DHQQQQHVHRHFHQHRAKHAQQCDPSASRLDDDATVLFAYQPLYCEEFMEAHSDAVEL SRAHFAMSRTPRERSCATVPPRRKRPQSATVKRVTPVSCRAVDSTSSRDPAAAMIYCS PGFKQKALQRLEAETYRLLDHTRTGSALMAWETPTHDTSSRPRGPGLSAVRIRNNKTA AAASTCLASQRTLVRRPTLSEMQRACHVIYKFVCRSYLRRKRDRLVLMLHTSYPVGEF SDGVVSACKQFASTRRRAGLSTHKHTLAHSIHQQKREEDDDEKMAKTNVSAAVVGVGQ ASARKQQSVSSKHTACRPKQHPPSNKAPTAPSIDTPHGGVDIAFKTPTPKSSNKTAKP RKSAQSAHCTMERSDPLTKLRRQVLLHQAKATKHDAALHSTLASLSEQHDGIGGGDAY VKGTAALLAIDMYLRQNQRQTKKRCGGATRRTRRLHLPKVAPYEWTRPAPPPIHTTVP PVTHAVLAPSLHEQDHIHLESNWTLLESQPPATCALDNSGSHHLHSYNSPNTMAMTWP QRNLHSTSRLDECVLLCVAANSLDTAVERIMPCQDAMTPLTAVHSSDKVDVIEGTDSS PPDANDLVGSPGGYNNTISIQHMPTVCPHLANASPRGGGPTSPLNSPNSILVSSLSTS SECPSTRTIEATTTPSSPQPAPSTQETHEMELVASMYRHFYAAKRIQRWYCRQAALCH SSVHPIDDTALHQPMSLEQVQSSKTTSQSLVLLSPLDLCSNHSPQSPPIPPFNTAHTT ACSSSSNSPQSPTALSHTNSVEPSSVDRTCHATHTIQRWWQRHAISRPPHDNAILTAA ALTIQRQYRVFVNRADMRGALTALLRAHRRHTRKLMQTTPSPSKLAAPRIEGDPKPKL TTRIRPWRSAVWALVAVRRLIQRRRAMDKAARTVQSQFRTHGRRHVIAAGMRLMLLHR ARQVQWGAFTEKGGANTTDRGTEEMVEDTRSQRHGGDDTRWDEYTDPETGAPYFYNPT TGETKWKQVETTTKMALQQPVAEVASDDGDYMIAGLATPSVVSTPRSPSSATSNHETT YARVDGGGTWTQAADENGCAYYYNTATNAMSWNPSSAQHPLLAMETWECFTSKDGVPY YYNALTGETTWTLPNM H257_11732 MGESVRIEARLTCAYFHAGGAVRGYVKIDSPRHLYIEWGVAQVH GHLCVDSNVLTVPVVPVAAMDESFMKSLNLPDVKTFSGPTGICIYQSKPTVLYSEIDV EHSTTSHFAIGLPPSMCPSFKGTSARVFYALSFTFKVQGTAAIQSLHLPFDMYASTPS FQFAPPIDTSSPSSHPGTLLVPPAVGFLPPTDDVRTVPVAVRRGHEIPFEVKPRPMHG RVDIERVGGTHTRHYTIGQAACHLVQLTFFKQTYMPGDVVLVAFDFTGATQPCASISA SLVVSESLGALSLDPGRAVHTHTLQTAAELTDDALHTHMRFALPVDATPTIDTDVVRH EYVLAFEFESTERLRWQVPVQVVPPIVPDPSHFNVPDEVYQGPSRVRKLAVLNSL H257_11732 MGESVRIEARLTCAYFHAGGAVRGYVKIDSPRHLYIEWGVAQVH GHLCVDSNVLTVPVVPVAAMDESFMKSLNLPDVKTFSGPTGICIYQSKPTVLYSEIDV EHSTTSHFAIGLPPSMCPSFKGTSARVFYALSFTFKVQGTAAIQSLHLPFDMYASTPS FQFAPPIDTSSPSSHPGTLLVPPAVGFLPPTDDVRTVPVAVRRGHEIPFEVKPRPMHG RVDIERVGGTHTRHYTIGQAACHLVQLTFFKQTYMPGDVVLVAFDFTGATQPCASISA SLVVSESLGALSLDPGRAVHTHTLQTAAELTDDALHTHVRLAACVRS H257_11733 MAVEKVVRWHKLGLGNFIVHELKRDAFRPFFYGGIASFFICGVL PTLGASDEDKAKSVFWQRVNGKYDWAAEHH H257_11734 MDQPVKHAKVIKVLGRTGNTGNVTQVRVEFFDDFGGKEARSLIR NVKGPVREGDILSLLEWEREARRLR H257_11735 MRRQALVAVSFPSVGIMVWNRLRFPNMAVTYVAKTPKTRLRDNE HIFRVETNYTKHDIKEYLQKVYKLPVVKVATMNYEGKFKRAMQGRFVYKEKDWKKAIV TLDTAVPKSA H257_11736 MSSKKQSAAAPTSVVTDPRFIKVHNDPRFARNSKKKNKVQLDTR FKAVLTDKKFQSVQGKYDKYGRRVEKQDNDMKKFYNVEGEDSDDEDTGEVASKKPSTA AERRIAYLNKKARGELSGESSSSSSEESSDDDGDDIEEDIEEDNEEEDIPLGDETKRF AIMNCDWSRMRAVDLLALFQSFLPAAGILHSVVVYPSDFGLARMADEQKFGPQGLWAD KPEKSGDESDDESGDEIDEADPLGIKNSAADADDGFDKEKLRRHELDKLKYYYAVATF NSVSAASAVFDACDGLEYETSSNTLDLRFVPNDVTFNNPPKESATAVPDTYTPSIFAT LALQNTELECTWDEGDGARVDKLTRPANWKDLKDDDFAAYVAMSDEDDAADKHEDDLD ALKLKYRKALLGSDADDDDDSEGEEDGNKKSKKTSSHADEFGDGDMEMTFNDSMDVLK AKQARDAAANETPFEKYQREKKHEKNVKKHEKRVAGKAAHAAQLDTMKNAAKTVKAKA AAVGDGDVEEDEEDKRDFDMKFISKLEKHKDKKGKRHKTAVDKLKAHATGLQQGFAFN ATDNRFAQLVTNHPDYSLDPTDARFKRTEATDAIFETRRQLSKSKPTQGLDKADVVPQ QSSEVNAIVANLKRKAAAGASNKPTKKSNRF H257_11736 MSSKKQSAAAPTSVVTDPRFIKVHNDPRFARNSKKKNKVQLDTR FKAVLTDKKFQSVQGKYDKYGRRVEKQDNDMKKFYNVEGEDSDDEDTGEVASKKPSTA AERRIAYLNKKARGELSGESSSSSSEESSDDDGDDIEEDIEEDNEEEDIPLGDETKRF AIMNCDWSRMRAVDLLALFQSFLPAAGILHSVVVYPSDFGLARMADEQKFGPQGLWAD KPEKSGDESDDESGDEIDEADPLGIKNSAADADDGFDKEKLRRHELDKLKYYYAVATF NSVSAASAVFDACDGLEYETSSNTLDLRFVPNDVTFNNPPKESATAVPDTYTPSIFAT LALQNTELECTWDEGDGARVDKLTRPANWKDLKDDDFAAYVAMSDEDDAADKHEDDLD ALKLKYRKALLGSDADDDDDSEGEEDGNKKSKKTSSHADEFGDGDMEMTFNDSMDVLK AKQARDAAANETPFEKYQREKKHEKNVKKHEKRVAGKAAHAAQLDTMKNAAKTVKAKA AAVGDGDVEEDEEDKRDFDMKFISKLEKHKDKKGKRHKTAVDKLKAHATGLQQGFAFN ATDNRFAQLVTNHPDYSLDPTDARFKRTEVG H257_11737 MGPNEGRRTEIDFTMLRVAALRTLASTRSAVARPIAARTFTSEA AGISKDPDVVIPELSETLEWTLTSPPPIHQFEESPIIVETWGPTDPYHH H257_11738 MFGSTATSFGAPATTTAAPSSAFSFGQPPNTPASGPSSGGFSFG SATTSQQQPAAATPSGFGFGTSTTPAAAPGNAFSFGGSTTPAQPPNSSVSAFSFGGSA PPSTTASGGASGFSFGGSSSTPAASATSTATTTTPSFSFGNTTTTPASSGFGFASTTS TPAAPSAFSFGGSSGFGNTANKPATAFGFPSATTSTTSTTTSANAASSSMQAAAFANS PLDSLQGVRMAYTDPFQSRFKYMFYNAVDPAQKHLYTRPPHVGEKLWIQAQRDNPDPA NLVPAAVVGFKELSTRIQLQQAHIKKFHGYAKDLVAQVQDMEKASRHTDVKLTQCRQQ HIALFHRLVQLMRKLELFKQLRKPLHPSEHHLAATLKHVQSLLDNPTQFKAHMHELMT LQSMQAALPNQQRPDAQLTDEDMATVFRVLDKQREGLEHLTRILNQDLRDVQIMKKAL EDDSA H257_11739 MVRAKRTGAVAASTPSIGRPTTPNAPAPAVLLPEKSAAHVAKNA ENTVEFLHRTMEAEGFWTPNADLAVYLRQPLLSLHSMGRDEINVIWTTMLVLIHCMTN LVDYHQYWMKLADTARAWLFRQPFFEVAYVDLIKRGCVLMGGVDPKAMLASVFSKDGS TTDVDALLEPRRCGNWVDMYLDTPPYSKYYWNQVTNETRWDHPSEYSTTQPSAAEREA QVRAQVLATAKAERVAKVLPMRITINRKPYMPPKPEACESCVAKEAKTASVFCQACDL YLCDGCCDAMHLHPKRANHCDADFRFAVCVGYMGFPYNRHKKN H257_11740 MTAEDKQVVQQGSTPLKSFLSGGFGGMCLVAAGHPLDLIKVNMQ TMPTPKAGEAPLYASATDCARKIIAKDGVKGLYRGMSAPLVGVTPIFAICFWGYDMGA LIARKAAGMSDSDKLSMNQIMFAGGFSAIPTTLVMAPGERIKCLLQIQSQAVARGEPI QFNGMSDCAKHLYKTGGLRSVFTGWEATLLRDVPGSVGYFAGYEGVKRALTPAGQSPE ELNAFRTFIAGGMAGVINWVVAIPPDVIKSRIQAAPEGTYASGFSGIADAYKKLVAAE GHGALFKGVGPAMARAFPANAACFLGVEVSKKVLTLLGLNF H257_11741 MKPVGRFYYDIISPFGYLFLKMRAPLEKKLTLKPVPIFLPGLLR AQSNIGPAEVDVKRAYTYATVVWKAKSLGVPLTFPRRHPFASASAQRLLLSLNADMAT VDRAFAFVWADGNDPETQWEDFCAALDLPRTTPKPEDKAIKQALIQNTADAATAGVFG VPTVEVNGHVFWGCDHFDWLVEYLDHPDMFQDSAYSRALATENPLAKAKKL H257_11742 MSGMSKTHVAPGPADKEVMEEPEQSPPHVSITDGNAGKRKRHES AVVVCNMCPRADIKYRCPKCERITCSLACCVAHKKQFSCDGKRDRTKYIAMADFQDAD ISSDFFFLQEISRSTSAVHLDVAVKPPPPPKKAKRHQKGSYVAAGPTTLSVNPELPAD YLKRFPPQVQSFVQQAKKRGVFVHLHAPGMSKHKTNTSTFNSKADCLYWRVEVHFALD HVTIVEPKWSERQSLCDVVAKRLAITLENVPVRTKLKSYVHADVQSEWLFVIKKQFTP ASTPLYYELDPTKPLADNLRHLAIVEFPTVLVTLQSRRHEYTFAHRAIEVVDATPPQT TPMEVISSGL H257_11743 MVAAHMQVPPQLSASSRLGSFGLIADVQYADDDDGWNYRQTNRR YYRHGLQVLRWAAAEWIDEAATVSPRMRFAVDLGDVIDGKNEPVGQSLSALRATTAIF DEFQDTVGPVHHCVGNHELYNFSKATYVEELIKHTQSRHVGAESLPPPGTSVAYYTFT DPTLPSYLFVVLDPYGQSVIGSPVDSPEYANAVEFLNVHNPNVNKNSPLGMPRDETLR CTEFNGAVDDAQLAWLAQVLATAASQRQNVVVFSHVPIHPDTCRPGGVLLWNFADVQS MLEQHAGVVRAVFSGHSHRNGYTEDRGIHYMVFHAALECPPSENDDANRAYATVDVYA DGLHVRGAGVIPTRTLAWSAK H257_11744 MSEVELEFDESKVWTNDERQAYLDRIPEMSLFDDHILEDDVMVD AMMALVDEGETPETLALACKTKGNQHYSDARKFNKNYYTYAIDEYTKGVSYALKAVSD PTDPEVLQGYNFDEPIVLTQLLAAMFNNRAACHLAIKNFGSCRSDAARALKLEPSNIK ALFRGAKASSMLKKPDDTLRYCKVGLKVDPTHKDLLSLQATGLVQLEEQRVEAELDAF QRQKRRAMTNKYKQLCNLRHVRVGPAVISDRRVTDFEGKADLNPDTGNLAWPVLFLYD EYGQSDFIQQFDDQDMFVEHLANMFPEEGALCMWDARGDYKASQLVVYAVANVVVPFV TDDAWHVALSGEVETDVAETLRLREEEKHSYKHTWWLEVSAFCTLATLLQHHQYVVPG IPVFNVMVRGTKHHTTFLASIEHRVVQVQAPGFP H257_11745 MSLVSLVDHFKKKKVKRSRGSMSRATSSTLDDADASALAAFDLC LTSLQVAAETRDVAFMIPPTPLGSPIHTSTRFAKRSRLPSVDDEQEVDAAEGDAAGSA LVILSSRLEDELGLLQKREWKRTKKSHQQLGFYAITSAFHRALAERNAAQPCSTAE H257_11746 MRLLCLHGMYQNSAVFRSKTEHLLRFLPHGVELVYLDGPINLVP KAVTKPIDTSAFRAWWDPQEGLNPHTQRQVINYVAEALHNRGPIDGVVGFSQGASLAS WLCSQVAQDDLDWTPSVAIFLGGYMNPSDGIFSRGLVPDIRSFHVSGMNDRVVPTSKS EELAALFEQETHPHLVSRHTHAQGHVVPKCEGSMFALQNFLHATPAAAASEATNLDDR SYRVRMSTG H257_11747 MLSLPWTRTRAAPSMKEERSPTSSSSSSTLLSESRETTAARPML STERRDELLQMARAKRIAWVEGTTGGLGRRRRGSDSSKQQSSTMPSHVMELMKCADDM LHFVESFDVVATHPPLASDARKDSSSNASPISILQEIVASSEHLPSSNDPSDLPPLRL DPTFQRAYNQLLDVLKHPDAADLVHSIQGFVKSFHETASSSSRTASTSSRGDKVHAFI SHFLHLMQLSPLIKTLEASSPDALSDLRDHDMRRETLEAFVMEKLHGAAFGACPQEDA ALSQRIASLGFLSFEHLDITATSDVARWTCIQARLSQLPRFLSPRRQMACILQVCHDL THLLKDHLGGKYPGADDFLPALIYTILKANPPNLHSTVAYIQMYRHPSKLMSEPGYFF THVVSSLSFLEHLDDSGLSISPEEFHLGLQQVSMEGDMQPQAAVDVQGGATSSTSSSS TDNSAALKDKQDGNMGSVLDVWHRRARKKSSTAMQVPLFTFHLPPLMMPPPPAAAAVT TTNSFVDMAPDDLRVQDVPHLLAEYKLLNVLCHQHGSVRSSQHNNNMAM H257_11747 MLSLPWTRTRAAPSMKEERSPTSSSSSSTLLSESRETTAARPML STERRDELLQMARAKRIAWVEGTTGGLGRRRRGSDSSKQQSSTMPSHVMELMKCADDM LHFVESFDVVATHPPLASDARKDSSSNASPISILQEIVASSEHLPSSNDPSDLPPLRL DPTFQRAYNQLLDVLKHPDAADLVHSIQGFVKSFHETASSSSRTASTSSRGDKVHAFI SHFLHLMQLSPLIKTLEASSPDALSDLRDHDMRRETLEAFVMEKLHGAAFGACPQEDA ALSQRIASLGFLSFEHLDITATSDVARWTCIQARLSQLPRFLSPRRQMACILQVCHDL THLLKDHLGGKYPGADDFLPALIYTILKANPPNLHSTVAYIQMYRHPSKLMSEPGAFG H257_11748 MATKLVLPRRRRPRSMSTAVLVMKKARVGPRRTVHMHLFRSVAL AGDLMALITSFQCGIFADLVPYDHEGRYMARMRRGTAPLVLPGRFFKAYGKKSIDLSF LCLDCSSQVYLPLHLAIFEGDEHRVRQWLACKPHWLTPRAFDAAAFHGHMHIVQLLHS LGGAATTAAMDLASLAGHMAMVEFLHRTRGEGCTYRALDEAASAGHLDLVKFLHEHTH GGATVRALDGAAARGFLDVVIFLHHHRHEGCTTSAMDTAATNGHLEVVQFLHSHRRER CTRAAMDGAATNGHLEVVQFLHVHRTEGCTTSALDGAARRGHLAIVQWLHFHRTEGCT TDAMDDAATNGHLEVVQWLHTTRCESGTDAGLKEAKDRGYGAVAAYLQTHCQSTVRST SSFTMHDAVALRRHWAYDNGSDSDTDDSDHDSDHSDERQLGWPFHV H257_11748 MSTAVLVMKKARVGPRRTVHMHLFRSVALAGDLMALITSFQCGI FADLVPYDHEGRYMARMRRGTAPLVLPGRFFKAYGKKSIDLSFLCLDCSSQVYLPLHL AIFEGDEHRVRQWLACKPHWLTPRAFDAAAFHGHMHIVQLLHSLGGAATTAAMDLASL AGHMAMVEFLHRTRGEGCTYRALDEAASAGHLDLVKFLHEHTHGGATVRALDGAAARG FLDVVIFLHHHRHEGCTTSAMDTAATNGHLEVVQFLHSHRRERCTRAAMDGAATNGHL EVVQFLHVHRTEGCTTSALDGAARRGHLAIVQWLHFHRTEGCTTDAMDDAATNGHLEV VQWLHTTRCESGTDAGLKEAKDRGYGAVAAYLQTHCQSTVRSTSSFTMHDAVALRRHW AYDNGSDSDTDDSDHDSDHSDERQLGWPFHV H257_11749 MSVLREECFEVIGQSLGLDRLAPGCAAELAPEIELRLREIIQDA MKFKSHARRDRLTVRDINQALSSRNMEVLYGFGAGNSTKFRKISPGLYITDEVEVSVA DILNAPLPTIPFEPTVHMHWLAVEGVQPKIPENDVADSSSSVVDNPLPTVTMNAPDPS SSGVERKPLVKHVLTDEMQLYFDKVTDAIKSDEYARQHAAYASLTKDPGLHQLLPYFS KFIYDEVKHSQRDLTLLTAILRMARCLLSNSSLRIELYLEQLIPSILTCVLNRQLCEN PADDHWAVRKSAAQLMAQICHRFGGSYESLQLRVSKTYHEAFLDPTRPFTSQYGAIVG CLYLGPLVMESLLFPHVATYLARLEPVMSPQNPNLVQRLEALHCVGILEQAAGQYLSQ HIQPGQHATLSRECLDTMHVLDAVFGETLTPYICPSLGDQATTYMDLTW H257_11749 MHWLAVEGVQPKIPENDVADSSSSVVDNPLPTVTMNAPDPSSSG VERKPLVKHVLTDEMQLYFDKVTDAIKSDEYARQHAAYASLTKDPGLHQLLPYFSKFI YDEVKHSQRDLTLLTAILRMARCLLSNSSLRIELYLEQLIPSILTCVLNRQLCENPAD DHWAVRKSAAQLMAQICHRFGGSYESLQLRVSKTYHEAFLDPTRPFTSQYGAIVGCLY LGPLVMESLLFPHVATYLARLEPVMSPQNPNLVQRLEALHCVGILEQAAGQYLSQHIQ PGQHATLSRECLDTMHVLDAVFGETLTPYICPSLGDQATTYMDLTW H257_11750 MAAVSSKSFEVNLQGEVSKNEYSAFEERIRGLCGNDTEKEKFEY NEYVYNIDAQSPQGVPNEVRARNVIYGSCTFSGAAVEMPSSGGGQKWELRHVGKWERK KPSEQTPQHTRGHFAVPYRSQTAMSASDNVGVFLTTLGFRQTFQYKRSGTRWVFPNGI TVEATRMKELDKVESKDELPLDSVPFDAYLVEVYSVTTDDKIDDVSHQINRFAIDLEP YLVARPSEGKDFQIHKETLLAESKKKKQKLR H257_11750 MAAVSSKSFEVNLQGEVSKNEYSAFEERIRGLCGNDTEKEKFEY NEYVYNIDAQSPQGVPNEVRARNVIYGSCTFSGAAVEMPSSGGGQKWELRHVGKWERK KPSEQTPQHTRGHFAVPYRSQTAMSASDNVGVFLTTLGFRQTFQYKRSGTRWVFPNGI TVEATRMKELDKVESKDELPLDSVPFDAYLVEVYSVTTDDKIDDVSHQINRFAIDLEP H257_11751 MSAIVGEAEARTHGYLAQIAATPALSSTELNLPGPVTKHVGKVR DVYVLPERKQVVLVSTDRQSAFDRQLTSVPSKGQVLTLTSSWWFNATRHIVPNHMIAN PHPAAVICKQATVFPVEFVVRGYITGSTSTSMWTNYAKGSRDFCGHKLQDGYKQHQKL PENLVTPTTKDDVHDELISGAEVVSSGRMTQAQWDYCHTKSLELFAFGQATAATRGLI LVDTKYEFGLDSTTGEILLIDEIHTPDSSRYWLAASYDERMASGISPENIDKEFLRLW FRDHCDPYNDAVLPEAPKDLVHELSRRYILLYELITGNQFEFRNPSLQDTVGPFFG H257_11752 MGCEDNASHETYSLWYVGVILGIGASVCTNMGVNLQKYSFMRET KKPAATKRGYLRQPLWMLGLWLVIFGSLGDFVALGFIPQSLAVPVGGSTIVANVFFAH KFLHEAFSRRDGIGTALILTGIVVVAAFADKSNGCHTLDQLIALYSQPAFVVYVAVVC VAMVVFYYCVRRIRFIVRTFGKTSTQYKRFATFHSLVNPALSGVFGAQSILFAKSVAE LVKSSLDGENQFTTVGTYAIALAMFACIFLQIHWLAQGLEHFDAVFVVPIFQCVFIST SIVGGAVYFNEFATMSTTTVVMFLVGVVITLSGVGILSRRDMTSLKPKQKLRACVHMV IFMKRMQKCKGHKYQWVASDSKHITTAPTSSSSIWKLKSHSIHPVNATAQDSPFSLNV SAKSHPSCNDTVN H257_11753 MPVGGISKLQAIMADPNKWLGLLRWSMQQQDGTHPTEFKAMDPK DKLWLEKVMKECVIDEVERMYQIIRVWAGEDPRDVLPKLIADPPANPYTPEEIEDYKE ALLDEMLTRIDQIDNAQTFIKIGGLASVLHLFDSPRASIRSGAAEVFATCAQNNPPVQ KAGLDGHMLEALSKLAQEDVDTTVRVKAILGISCMIRGLDKTAEQWFVQKCDGLRILQ ACIQTGDLRLQRKALFLLRYLANASATNAQQLLDQGVYITACSSFIGKDDVDLNESSL QALAEFAALGPAFKAACKQANLVQLVQARVAAIDALTSDEDREFAQEEKSFAALLLET LDVLE H257_11754 MAAREIIRFLKKAEISFSSFDSRASGACEFYRQLNAGNTKKVNP KCEVVYTVTVHGKADPLIKLTFINDKQHKFEVPGRDVRDIFNDVEYHCSLIESELEAQ GKSMD H257_11755 MHLFGGWIAWGVVAAVAEGVPSPTTGRPTTPRRTITSISPSTPT VVPATSKISLPTTTSAPSMRPTTAVGITARPKMTIAPTIATTTVTTSIPARNATSSPT VSRISPPPSRIGIIVLGTVGTCIALVFALWVCKVARARRRRFVQFMDSARPHAESPAD PLAISSSLQPLVTPYSVVVATGQCRQSQHSPRSPPSSIPTGETLDCTPCPLCGSPMTY RPTTSTASTMNHLVSRSRLSPSCIDRHNRVVHQLQRNSGRDPPNPSAFSIWDHRRGHS LPPSLVCEGCYGIGTDTTLSIRERAAKASGAPQAFSDAKHRLMMELRDLLNELR H257_11756 MEPNTTHPDPPSKKGIFLFEESPRPRRFSYKSNPSSSKPSLSNK SAGNVRTNFTSSQPPESANVLSSNAYDSCFETPVLENNPDTTPGSTKPKLNGFTAPMF QSFYVVVGAFVGIGLGFGLYYLHIGVEMQKTLALPGDLFVRALRCLIVPLVFCVMTIV VTETVTLGRSSIMRCRTLLPYVASSVLSTVQGTLLALLFKEYFVPQATRITGTSGDGG TTTGASFNVTLQCTNGKLLAALADGSLGCVESAANASALLFLAANHTMTTSNVSSSSS LGTQLSLVDQIVGICNLLIPVNIFESFVDGSLLSIVMFSIPLGVALAHSAPANDNLVL GIVRQLRNIFILLLNGLLTITPVAVVFLMAGGIAKFDTQDLSEVMSQLAYLLLSFVIG AISHALVVLPLLMYVYTKANPYKYLQQLIPAFVFAFGCSSSMATLPVAIECIQRAKVS RTLSHIAMPFGTPVNLNASGIYYPLAVVFMATMSGLGDQLTPTRYVIIFFVSLLGCMG TAPVPNAALVYIMTLWKTCFPSADLPPAFSLIVTADFLLDRISTMLNVNGNAMVKCIL ADQIDETFEVQADLRV H257_11757 MALYRSSSSLRLSYPVHLGAEPVWIENPDFVIGSALPRYVKAHL VPPQSGVDSAPPHNVVYVQTTVNGATMNLELSRDRVWPREEDEPDNGVDNLVNLTHIN NPCILHALYTRFMAKNMFTHVDNILLALNPWGMSKGQCDVTTQNYEPLRAMLKGALRK MTPAVAGGGTYHHSVLLLGDSGSGKSYIANAILHHLVEPSSPTKNHVNVTSVAMTEGI TSPLSLGSKLLAAMTILDAFSNAATDANTESSRFGRVVKVGLDSQNALVAATIQCFQV ESSRITGFHTLTKSGNTTAFHVLHLLHQTHRKQDEQFVEQHSNNNDTLGQLKTAMATL HLSPSVISSVFKLLEAIVLLTRLSTNDNERAVGEGIDDTRLELNQAEEWVGVPVGTLC DNFKPKSGASLLEVCRVLYIRVVGYVLKSINTVLEIDNQLTVKSIDIVDMPGFEALSS THSFDSFCINYADEKLTQFFTQYIFKLEYRIYATEALTGVRRVPFYDNQRLVDLLDAK ASSILQVLGEMSCDTASDTKTELVEKLTARFHHTQSPYFKPSSELPYSFVIQHTSADV EYSAADFYQAYHAATSLPCEWTRAFKLSIDPIIVAIVCPGDVTSKDFSQSQQQLQPPT TRSSRACADVERLLLSLCKSEPHFVQCIKTNTTKARHVFDYNVVQQQLQRHDILHTLL LRTDGYSYRATYAEFLDQYLCVEAFTHPRLMAMQPSDLVA H257_11758 MRRQLCSMQTQLAIAAARRLQAAARGFLVRHEASGKALQHMLVD LERHADIHTQHEGEDMVQHNRRVLELHPPPRLASRHTLLAVKTTTPGNVLHQSTWSLQ FSKLQDMLLDQGRHMVSFEIGDGHAVALTDLGQVYTYGRNDQGQCGATISRSMGDAKG SCPRPILVHPRWFQGAKIASIATGEDHTVALTDAGVVFTWGGNQFGQLGLGHFQRSLA PQQVTRAVGVLRLRRVTSVASGSFHSIALLETGSILAWGTTTNPASTDEAMSSSATQP AIPKLVKAAADAPVKFKTIACGSSFSVAICDRGQLYSWGHDSASVGALGLGESIVHRS SPTRLPIGTLDGRESNNSGVPSFNQVRCGVHHAAAVTTCGTRVFVWGSNRHGQLGVPA NQRGRWSGVRLRCIENMGAVLDVLVGSYSTAVVVSTKKGRHAVYAWGEVGQVSVLEFD KFDVSISSSPLSPDRPKSPTSQFMNDGRVLLLDGSNSRRQTSADAVPPKVACSWSART CVYWTTLATGKSYHKRSRKRSEAVCRPPLVSSTPAEIVRSPEYAEVSSASSNKASSVV EVLAFESCCGGATTRTNTKSSVTHAEGFDQARHSNVDTNEQQKW H257_11759 MSSPTASVVGPASPTKTSMATRINNVKTMELPKVLRLMRVCNVG CSLLQIIAGITGLISIVTLNITGGLVSVYVITFGLLFLLFECRLTSMETRIRRNFGFL YSYKGRAGFIFFIGFLDFGMNTTLGTVAGVFMCCNALLNLFIMCQHPEFKLGHISASA DPTTGYTTGNQEAATYLSANPQVAMQAGTFALSAVGTKK H257_11760 MEPAPQDDAGESEPLLKYERVGGHLHTLLRDDALSCIAGHMNFI CVGTFSGHVLMLELNGRYIRRLHQHHKRVHEISIDESGQHIVSCSDDGTVAVYALLPM STELDASRVVIPTSGGEVNIYNFYNAVYSSQLEPGYATKRERSFACGGISGQLIVNKK GWIIDKENTVHEGEGPVHCIRYHDHLLAWVNDWGVKVYDTATDTRVTYIERPPNCPPL ELCRAHLVWHTLTSGDLVLLVGWGHTLRVVKFTASTSSTSSNDDTTTTPPATTPPSKA TPAVVFGSAALSAEVVSYVTFDFFVAGVSPWGDSAVCVLAFRPPGSASSTPLPSTPSV SRQGQKETGEGLVEATPCPEMHVIDWRGTQMAVDMLPLRGFEKLRATDYHALCLTFPR HTTNSPVLFLCTPKDVVVCRVRDVDDRVAYALSQRAYENALAIALTDVPSLKRHALDE LVEYYLGELVLSQQYATAADVCRRLLSPHLWEKYVYVFAQKGQLSAIAKFMPTSNPRL PTSQYEMVLKHFLDTDPAQLLQIIRKWPKPRMSDRRPSSNGNSNSNGNGIVAAEYTKT ASLFDPLYDSSAWILQLETVVRRRRLAETDVDRMTMETSYLMEALAELYTATEQYDNA LRIYLSQGSLCTNKDHAFKLIVEHNLWDSIQNKVVNLMLIDRESALRMLVHQIKSDQL KVHAIVKQLEHKKELLHEYLHSLVVHRLADYNAEMYASLHELQISLYAEFMPSYLVKF LQTSAFVPLEKAYQFCSERSPPLWDAMIFILGRMGQQKKALDFILTQLQNVKQAIQFV QDNGDELWDYLVEISLTNRGYIEELLEYASDHQIDPIKIMRKIPDDMEITGLKAKVQQ IIANYRIQLSLCHGCTKAFEADRVELLGRLVTHRRKARRVSPVTSCGVCFTPMKPIPN KSTHQFCVFECGHTYHMECLEEKSMLWKQPPHDSMDLRCFQCDHSTLRMAAPTASGAA AKGLTDQQLELARLTIAQG H257_11760 MEPAPQDDAGESEPLLKYERVGGHLHTLLRDDALSCIAGHMNFI CVGTFSGHVLMLELNGRYIRRLHQHHKRVHEISIDESGQHIVSCSDDGTVAVYALLPM STELDASRVVIPTSGGEVNIYNFYNAVYSSQLEPGYATKRERSFACGGISGQLIVNKK GWIIDKENTVHEGEGPVHCIRYHDHLLAWVNDWGVKVYDTATDTRVTYIERPPNCPPL ELCRAHLVWHTLTSGDLVLLVGWGHTLRVVKFTASTSSTSSNDDTTTTPPATTPPSKA TPAVVFGSAALSAEVVSYVTFDFFVAGVSPWGDSAVCVLAFRPPGSASSTPLPSTPSV SRQGQKETGEGLVEATPCPEMHVIDWRGTQMAVDMLPLRGFEKLRATDYHALCLTFPR HTTNSPVLFLCTPKDVVVCRVRDVDDRVAYALSQRAYENALAIALTDVPSLKRHALDE LVEYYLGELVLSQQYATAADVCRRLLSPHLWEKYVYVFAQKGQLSAIAKFMPTSNPRL PTSQYEMVLKHFLDTDPAQLLQIIRKWPKPRMSDRRPSSNGNSNSNGNGIVAAEYTKT ASLFDPLYDSSAWILQLETVVRRRRLAETDVDRMTMETSYLMEALAELYTATEQYDNA LRIYLSQGSLCTNKDHAFKLIVEHNLWDSIQNKVVNLMLIDRESALRMLVHQIKSDQL KVHAIVKQLEHKKELLHEYLHSLVVHRLADYNAEMYASLHELQISLYAEFMPSYLVKF LQTSAFVPLEKAYQFCSERSPPLWDAMIFILGRMGQQKKALDFILTQLQNVKQAIQFV QDNGDELWDYLVEISLTNRGYIEELLEYASDHQIDPIKIMRKIPDDMEITGLKAKVQQ IIANYRIQVPASHT H257_11761 MLVWMDIFTDDEVVSDSHKVYEAKDKEGNLIPGMLEVASKTVSK GGVNVDVGCGDAFGGGDNEVDDSVETVNNIIDESVGFGYTETGFNSKADLKTYLKSYF RKIIKHLKATNASDETLDSFKSDAQEIVKALVGLYDDLQYYMFRSMDSEAGMAFSYYK EGEATPVFLYIKWGLKEVKF H257_11762 MTASEPISSRTTSSVRRRSLIDKVMNSAASLRIKTTTASGKSAT STASTVGPRKRNLGTPNPSPAKKRAKAESPMVPSTAKPLSDERSKAIDLTFVQHDVTS VYQIIHKQTGALGGNGAGGAIYGEITKNSMAKILDYMVDNCELTDQSVFLDIGSGLGK PNFHAAVAPGVAISYGIELEDQRWELSLHNLRSVLTSAAVAKKVRPIIFTRGDITDAS SLDPFSHVYSFDVGFPPAVMAHIAECFNDSHESRYFVSFHGPKKVLGQYGFDVEELGR LPTSMAGSSEGHAVYFYRKVLQTTTTPPPPPSSSSTPADVIEIDPLFRAGFQVVQQGH DKVTAWIDNHLLLRRNQGRTRRQLLLAKRNEKVPVAATTTTLDQYYRRVRSATGPKIQ VK H257_11763 MAAAAPSQGTSAPPSGSAAGLRNRKPATKSVNSGRGMGGSSAGV LRFYTDDAPGLKVGPTTVLVGALLFVGFVVLLHVWGKFRG H257_11764 MAADRYNINRQWEHLQAKYVGTGHADTSKFEWAVNQHRDTLSSH IGHNDMLSYFAVAENESIGRVRFNMLEKMIQPCGPPPKKQEE H257_11765 MARGLVAQPSGMQKESSQSNIWKHVRASQNQHLVQLLEASLQKE DRRLARLVAATGNAKAKRRLEMHFNVERDRERKLFELVKQDHDVTLRSKMKAARVQKT LSTISTTNKQQQPTDASRRPPPKPPKLRHASSSSDASTKKHPCHQSSQVVQIRANAIP SRLRPLTTSSANNVARERLTPVQVDAFRMYVTQKLENAPTTKLRDRRSSAWLTADNVH EHTTRMSSTLLVQKCHLLKQLHDIVTKQQRILLQDDQCTVRSAVSSYKSTTPTTNTMH DYLYVPFASIP H257_11765 MARGLVAQPSGMQKESSQSNIWKHVRASQNQHLVQLLEASLQKE DRRLARLVAATGNAKAKRRLEMHFNVERDRERKLFELVKQDHDVTLRSKMKAARVQKT LSTISTTNKQQQPTDASRRPPPKPPKLRHASSSSDASTKKHPCHQSSQVVQIRANAIP SRLRPLTTSSANNVARERLTPVQVDAFRMYVTQKLENAPTTKLRDRRSSAWLTADNVH EVCDDVHIVRRLVTCVNRDFYY H257_11766 MADDSDSKGMIAQEERELRRVFEHLAGYRQKKKLSHLVTTLKER KGQLEFSNSNFSSNSAPIFDATGKKMTQAEIVLELQEIEASIDASHAELQTLNSNQAA TTSVPKNIKSEDLFDAIKALGKVCSKKEISDMIWEADENLDNAVDWDELRGMFNRNLL DKTELEPVNLFNVVQFMTYDKKMCGTITADDTMAILFARYGQSQLETKMKTLFGDSDE LSFVNYLDRVGKQRKPSAAKH H257_11767 MAGYDELIRRLGETPLNEGSALANLENVDQLARGTDPEDATVIL EEAVEKTLRCADLLDKAIRLSHKFNHSMHDNAEDIHGDAYASAVRELIAADESVDAVA QKSQAFHDASKASRALLAHADPNVASSNDDAFHDLYLEEFATVFGDELDRFRQDDTFE AKDVSYLISCIKGGADVYSPLEKALFLAPHST H257_11768 MGDLNELIKRTQEVLQPLIAKPKLAEKLLQKPPFRFLHDIFAAV TASTGFAKGLYTDFELDSANVKEKHQKLQFLDKMIYFTGQCHGKEIDVRSAKIVAGLE PENTNIFLTELALAASNSSLDWNGAVQKTQVAYPPLAETLAGSGGGGGAAAADAKQLP SEDKPSTAKETTAAVAPPARDEAKEAEEKAKADERAARDKARRAKEEREKEAAATAQA SASSEPKPPSSESSSRPSSNSKATRNENNDAGGEYAAQVRECNGDVERTKEMVETIIS KPKMSAKLLSKPPFRFLHDIVSEVTRSTGFADGLYAGDELDSNAIKEKQPKIDYLQKI LHCVGCQLNVEVDAKPAKIVAGLEPDDTNKFLQLLVIAAKAGNSAAAVQRVLAGDTAP RAPSAESKAKRPSVVAKNPAPQDEPPAKSSETKQAAKVDSTGPVKAMAVTAQDDDGDL ASDAKSAGNEDDSSAKPGTANRTARPTTARRRPPKLKENVKEVGRLVRDDKVTPTVGI MKDGDNADSDDDTLDAAEKGTNPHRDNMLQHDDTTHGKLIRDILKDQSVAADDDEEAN RKSKEDEDAKGNDSGIRLGKRRKSFKEKTKGGTSTAAEINDLRVSIQKICQATNPVGK CIEYVYEDMEAMARELDVWKKEYEKKCDVLEDEKKKSEDALQPLSAQLVEVDEQIKEQ VHKINTLKATIAKNEDKMLKLLRMVVTA H257_11769 MNKVLEGVKVAGALARFGSLVFCVLQVGDVVLCVGPSMLPTLNE HGDVVLLDKLSPRFRKLENGEVVIAMSPTNFRQTVCKRIIASEGETVGLKHRYNPSKI ELKKVPKGHVWLEGDNKHDSHDSRYYGPVPYAMIQGRVLFRLWPLTQLGRLDTVATSL AATVPSEH H257_11770 MGTDQQPSESSMSALQENNDHVEISMMHIPPGARTSESEGPENQ VAPIPTDKKFQLEPQHDDDAAHQSDEKDYVDPTLFSAEVSRHSIDIAVRRARNLEQEL RDIEKNAPPPLPPPKASYTSMLTTAVSSTLLSAVKRISSSASTTRYSLLDPNASSSEG GGIVGERDVLEGDGMQLSPVGGGSSDNEDLYRRTGPRPALRKISAYEKPISAGMVPTL QSAKKSIVWMRLPHETADDDIDVENALEGHSLIHQDQVKITITSQQQERPKRQQKPGV IRRLSPVEKEALYELRPDLKIVPNWAQKYREEMTGNQDSMQCNNWLVCLILFLMVLLV FLFYMIGVTKPDVR H257_11771 MLRLVSKHSVAILRNASLRASSQLMLATADDAVLGAPYMTTRAK STLPTQSQQSTASPAAADSGSVMPGSFIYDDATSSEGAAKFPFQTAVYVVPGKHNQGK KVSEWMFTLLEQSMADGNGQLNFEDMTSLIIMLAERQYYREAMEALHFSRQNNCKPRI AAYSKVISSCYAHERFELALQVFDVMRRDGFNPSFVTYSRALSSASKANQHEMVLELF RELMHDWPDLTSDLQSIACNTVLNSCARNGDYDTATWILQEMKTRGIPMSQITFNSFM ICMSKAGAIADVREVLVLMEEAGTSLSANAYMCAIQSCAKWKRWDTVVTLFEMMRGEH ESVFLVTIAAAMMGYVKEGKPEVTMALYKECLANNVELNPFAKQAIVTAHLHMGTYEE GLEFCEGMLSEQHVKDGYRGLVYKLKVQMLIALKRVDEAIALLEATELFMDKTVNCYR PLIGHFMEARQYDMATKYSQVLFQKNQYVSASDWIQALSSSIALPDKTAYWDFRRTLE VRGPDVLASIPGELFLESSKTHQKPRGMPPRTLLSPATTVPTPPKQQLKV H257_11772 MSLSQTLARRVNVSTRFALVQMKGQQRRNGGSLNKNKNIEVWNG GRENCDREFAFNASNMGKFLLGTVLPAAIVYHFVMEEQIKHDQIEGKPFNPRRYL H257_11773 MVFGSDSYHRIKEMQPQAQQQRACVKCHSAHVLVLEQRQLVVCG SCEYVAPVGQESATTPRLHNATLLIYDRPVLHIFLSYGHDRYQKVALALKDHLRSRGH VVWVDVEKLTPGCDWESGIADALTWVKEAQENGRVLLLMTPHALRRPDGYCLNEIARA SSLKLNIFPVLVCDSEPPQSISMLPYFDLQSSLPRESPMAASEWDDLVATSMTSIPFQ TKVLKLTGLLEACESMRSAMVTAIGGLDNLHLFHGGPTDTIDDARTPTYRKVLSPKHP CPSLHQPPTSAILDATPQPQATRYVFVFDDTSAPLALKLHADLTAQGFNIHPHVAPSP TDPHARRDAISWAAPGKMILFLTPQSVGRPHGVCLNDISAGMASGVGFVPVMVRPCEI PLSICRIQWLDLSDCLTHQLTNNNVVNDVKYAVRLPQLVTALRGNLDHDGQQARLFSI FSPFSFQAEISKFTQGFAGREWVMDQLTEWKASSSQTFWITGQIGTGKTAVAASVIQN QPEVRAFHLVSKEDEQTQNHRRCVLSLAYQLTTQLPDYAAFLQQGDQPLEEIVSVSCV AELVHSLLVVPLNAIAQPSTVPLVILIDGLDAFQDSNAVENCFVSSLAAAVRNLPPWV RWVLTSREDPSVMQKLQGLVPQVALDKCGHQTRDDMLKYLQLALVQFVANADKDVPAA TLRFIVERSEGLFLYASHIVNALSQKRLTLDKLESFPVGMGGYLRQYFEDQFTALHYE TSVRPLLEVLCAAFEPLHMSTLHNIMKWDSYAHRDFLGSFKSLLYVSDENELKPFHTS VFEWLEDAHAAGRFFVCAANGHERIGLWAWNQYDTVLRATTDISNINFELEPNESNAD LFDELRAPIYIIRHALNHLHLAKTERSIECMQKFSSDENFQLARRLARLRDSGLESFF HGDIDRAVAHTLLATEGTQGAFLIRYSAKQKCYCASFIDKVVDGLPLIKHNIIYHLDS GAYCAVQPKEVQKATPIYPDLVSFVEAYQRKGILITAVPRDKGASLQVAVAKSE H257_11773 MTPHALRRPDGYCLNEIARASSLKLNIFPVLVCDSEPPQSISML PYFDLQSSLPRESPMAASEWDDLVATSMTSIPFQTKVLKLTGLLEACESMRSAMVTAI GGLDNLHLFHGGPTDTIDDARTPTYRKVLSPKHPCPSLHQPPTSAILDATPQPQATRY VFVFDDTSAPLALKLHADLTAQGFNIHPHVAPSPTDPHARRDAISWAAPGKMILFLTP QSVGRPHGVCLNDISAGMASGVGFVPVMVRPCEIPLSICRIQWLDLSDCLTHQLTNNN VVNDVKYAVRLPQLVTALRGNLDHDGQQARLFSIFSPFSFQAEISKFTQGFAGREWVM DQLTEWKASSSQTFWITGQIGTGKTAVAASVIQNQPEVRAFHLVSKEDEQTQNHRRCV LSLAYQLTTQLPDYAAFLQQGDQPLEEIVSVSCVAELVHSLLVVPLNAIAQPSTVPLV ILIDGLDAFQDSNAVENCFVSSLAAAVRNLPPWVRWVLTSREDPSVMQKLQGLVPQVA LDKCGHQTRDDMLKYLQLALVQFVANADKDVPAATLRFIVERSEGLFLYASHIVNALS QKRLTLDKLESFPVGMGGYLRQYFEDQFTALHYETSVRPLLEVLCAAFEPLHMSTLHN IMKWDSYAHRDFLGSFKSLLYVSDENELKPFHTSVFEWLEDAHAAGRFFVCAANGHER IGLWAWNQYDTVLRATTDISNINFELEPNESNADLFDELRAPIYIIRHALNHLHLAKT ERSIECMQKFSSDENFQLARRLARLRDSGLESFFHGDIDRAVAHTLLATEGTQGAFLI RYSAKQKCYCASFIDKVVDGLPLIKHNIIYHLDSGAYCAVQPKEVQKATPIYPDLVSF VEAYQRKGILITAVPRDKGASLQVAVAKSE H257_11774 MEAPAPAAQTDARACHTCGETGHLRRDCPLNAGENATNASGAAC FACGKTGHIKRDCPSSSTRACHNCGSAAHFRRDCPEEQRPRACHNCGETGHVRRDCSK DGQESRKCHNCGGSGHLRRDCPEENDATTDKCYHCNQTGHWARNCPTKN H257_11775 MSSMRPAGHTKKDSEPFGKKKLGRNVEVFIAREEQLSTAMRNTK VSNHIKGRAVWEDKQGKRGVTYTRQRVDKQITEEIEMANRELLAIRSERIKAYYTNCY IAMPYQVVPGLAVITLAFTLTGAGIGFVNRWYAKGNQKKLILRDDWDHLLDARDKRLK DRAAWEAVLEKERQQQH H257_11776 MQRLNLQQPQDDIEHESKVDEARRLQEEEIEITFELPDQSEARQ KFKKGLTVVVLKSYLEAEFDLHMPSIKLVYNDTVLLDPYSLTDYPDFEHKDYARIVVQ HARK H257_11776 MQRLNLQQPQDDIEHESKVDEARRLQEEEIEITFELPDQSEARQ KFKKGLTVVVLKSYLEAEFDLHMPSIVGSLTTFHEVNGGSFHV H257_11777 MLSAAEKLLLEGSVSECLGIGSATISRIVANWNQFHDPTFPPTS DKRGHRPRSVAHHFTTEIREIIKQANDTCTPVSATTICDDLKRTYNVDVAVRTMRRVL GRMGFKHQKGKTRFYLAETEANVAFRAKYLRKKINNRVQESGADAMPALPETWVDESR LRKTKSGKGPRVCIVGAGIVKLEGDKLVGRMVESSLKMWPSQRRAKRKNRNISNDDDD DYHGNFNAELLEMWFANLCSTLRDTYGPTNIHMDGARYHKRNTTASPTSVNKKVDIQA WLTIEGIEFGQELTKAELLELVKARRRPPIYAAQVIATNCGHTLYYTPPYHPELQPIK LIWGAVKNKIARRPSKTVAELITRLHAHFNELDDELWVSAYRKVQGFEDAYLETVNET PLVEDDVVSNDGDDPDVSELLDEFDDNVEY H257_11778 MSMESALNGAPLKGLVDATERTPLVGKAQAISSSLSPSSYKSCS SPAASYQALVGSPYLDAQQPENSPSPPEGECILYLDDGLELSKSPSQLTTVQSLFFDS CLAGDAFQAQRLVESVAGDDELRSLVTVVHPKYHMTVLMATAFYDQPLVMRYLLDLKL CRQAVNAQAGVERHNATALMLVQSVTCGLMLLQAGAFVHSQNSTGMTPLHYAASAGHA GLVSLLLTRGADPNAVDHRGATALHWAVYEGFQYTAMLLVGQGTDMSVQDTQGQTALM IAAALNDAFLVKQLVLEGAPLKAMDRKGRTALVIATQASNRECIHALTSGSSDRWIAT MSRKGATVVFFWIALVSTTVLSLLFAVPCMASFPVGLAGVVLALGGVTCVSYVYVWLA DPGFVAQTTSQPVYELLAADSVPCPSCATLKPIRSKHCATCKRCVHRFDHHCPWINNC VGQHNHRGFVVFLASLSSLCLLLALISLLVLTGVVPLVPADSTAAIWEAHLPHFLYSI RPSQAGYTLHLIHVYILVVGVAFGGPTLVLLGLQARNIAVNLTTNEMFNKAKYSYLKD MDDEFYNPFDKGVGANCLAFWLRRN H257_11779 MSSYRVSEEAQLVMGLHAAQYPQFSVTGLLVGRDSGNTIEVLKA FPVCHQSPTAPLFEFASTSIEVEAAKLKLKIVGLYVANSRVDDSSLGPVHARIASTVE ANATRSCVLVLDNQALASANLWLKDIKRGWVRVDNRLVYEDDDGKLLVSSLEKRSQLA SIADATLQVVDFDEHLEQLAKDWRNPQVLALARLQV H257_11780 MAAYDDQGLQAKSIAKINQHTLGKYLQPCRGPDAKYKPDTDEVD GLVALSYIQLSVHNDHLKYIQHVVTTCDTWNELKAIYENTSEVSLVTLQMKMYKLDWS EQIDLESFADQFQELTRKITAAGDGIPERSHVIRFLCLLPPRFANTVSYITRESRDTT KFATIECRRRQTDEAKGVQRHSVQDKPHGNSGGRGGYVGAPGGGRFSGRGRGRSFSNG RGGGRTSWRGTDQGNYAEEDEMEVLFMMEEDIPVSSRPNDEDSWWLTDKDPAVDFETG TVSMELNQYATDETDDGATAHMTVDIDLLHSVVACTRGVRLADGHPIPVTAMGDLKIK SEETGRTANFKNVLYVPTLKKSLMSISRINRQSGDASLVFKRDRQTTGS H257_11781 MQTQHVDDYPGLLKMSASEMTAFWASVTNGIIGELMFKKEDEQT ATDQEEDDDVDDAVVQAEMLAARAANSKRQAMDRAGGRVTAEAVGMTHRTCNIAKLAG VNDTIVGQWVRIHRSLHATDR H257_11782 MLEFLRIPHNFALMTGQASKGKSVKGGQRLTKAHGHALMAEYVN MIVRDSKRTWTTQDAKSRNEQ H257_11783 MRLRAGLAASTIQLFGLPLHLHCNLCPTSTRTSTKPATLTFPSA TTFSPLTACPPRVELETISSTPVTRVFSSFASMGTGLKGRWSSLALLPVHKGLIKITR LVNYIKS H257_11784 MVRVLALASIATALASATPSLNVHQFRISDFLDEVDPVSLETTE AAVDQELWFSNQKLDHANPSNSNVWNQRYFVNSTFYGGPGSPVFLTIEGEWTASLSTV TSGGYYFNALAKKHKALIVSLEHRFYGKSQPFANLSTANLKYLSADQALADIANFQDF FSKSQNITADSKWVAVGASYAGMLSTWLKLKYPTRFAGTWASSAPILAKEDYFEYSDH VSEGLRYFGGDQCVNRITAATTELHRLVASSKPDDVASLNKLFKPCYEFTSNDDRGVF EGQIYGAFQGPAQANDYAKKNLDFVCKAFANTTVSPIEALSAYIGNNVPDKCTYNSLQ GYIQYYQNVVIAPVDTGARQWFYQTCSEFGFGQTTSTSSGAFSPLQFGTVDVVQRKLC AAVFNITDTAARVARTNAKYGGLKVDVPNVVSVTGTIDPWDALALTNATGAVNAKTDV VEILATSHCRDYYTPRATDSGHLVWAHQRIDQAIDRYVNGHASC H257_11785 MIMDERSRNTDMIDDKPAAFIAVNDADLSSVSTCSIPYMLLVAL PRFAIMMGWAAQWAVLGPLLEILVSSSVVQIIQVVGPLCGLLVVPMLGVLSDNCLHPC GRRRPFLFWGAVTSILAYVVLMFAADIGTYFGDTATSRPAMTGIVILCYIWTDITLNI AMVPVTLLMADVVGDRQVTGSVVTGVLSSGGLLVTAVYIAAFGPAHQSLKTFLSILIG LLGATSGTTCWFVTEVPLQREEGPPIIQGRLQDALVAVYTGIRQLPSPLGVYILLVML TTYGYTSYNGAKGQFFGLVVKGGTSSGADVCGAACSPAQVAFNDGVRVAGLTDSLQVV AFVYVLGLPCLVHRFGAKRVVAASIVPQMFLVLMAMSKNVAVDVAIAATCSLTQATIN LLIVPLIVHVKGHGQDNSLGLFNGALNSALCGGQLLNYVASAAMVTSSMGYALPVLVG GIVSAVAFCVALFKFHISMFTV H257_11786 MASEPASEPPATATPRDISGEGVYVTRKRSIFSKWLHGKGAFSS PANQPAPVFRSADVIQEGYMLKQGSRFRMLTKRYFILRLEEKHMTLGYYTSKEALVLC SETPIGPGHALYDISVEGHHRLELRHGTTSLIVEVETEADFVKWKNCLQEAVRWHQAM VFDGANKVTSYGKKCLDDEAAEALARQEAAKKQRETVAKKAQAAATANANKPKFLPST RPGYQCFTVSNTKFEIPVDYSYVKTIGSGAYGVVIAAEKDGRQVAIKNIQRAFDDLTD AKRIVREIKLMRHFTHKCLLGVDDILEPVEIATFDDVYIVSECMATDLHRVIYSRHPL SEEHICFFLYQMLMALKYIHSANVIHRDLKPSNILVNANCELKVCDFGLARGVVDNLE LTEYVVTRWYRAPEIMLGCMKYTRTVDVWSLGCIFAEMLSRKPLFPGQDYIDQLHLIM NALGVPSDDELYFVTNARARKFMNTEYHTRGHAPLKPLAALFPDISADAMNLLERMLV VDPHKRIEVEAALGHPYFASIRTVEDETVASTSFDFEFESEELTKRRLQELIWDEMRV FHPIVS H257_11786 MASEPASEPPATATPRDISGEGVYVTRKRSIFSKWLHGKGAFSS PANQPAPVFRSADVIQEGYMLKQGSRFRMLTKRYFILRLEEKHMTLGYYTSKEALVLC SETPIGPGHALYDISVEGHHRLELRHGTTSLIVEVETEADFVKWKNCLQEAVRWHQAM VFDGANKVTSYGKKCLDDEAAEALARQEAAKKQRETVAKKAQAAATANANKPKFLPST RPGYQCFTVSNTKFEIPVDYSYVKTIGSGAYGVVIAAEKDGRQVAIKNIQRAFDDLTD AKRIVREIKLMRHFTHKCLLGVDDILEPVEIATFDDVYIVSECMATDLHRVIYSRHPL SEEHICFFLYQMLMALKYIHSANVIHRDLKPSNILVNANCELKVCDFGLARGVVDNLE LTEYVVTRWYHKYIYI H257_11787 MSTQESADLESNEERVEQIVVGALCCVRSRLVQLACVGLPSGLC LCIYLFSPSPRPNAALIVGLVPVGLFALVLLCLPGLVFCSQVNTDHNDAHRAHRVLKA KNMAYHAAGQVACDAATDTTGDTRPPNPFALTIEAAKTNSVESMAWSLGQGQSPDETD HLGRTPLHWACCTGSDDVAEMLIKAGAAMDLHDRLEGFTPLHYAAFYGHIKLTRLMVT NGANMEIACNV H257_11788 MAYPGKLANATSSTGVTHELEELRVGVSELAECVACLLHTILFT RAPGPVRPAEAHCRFRPITYAYCPVAEVTRKVDAAIVQFQRHMTRHHSGGGHRITVMF FETRVNKALFGLVQNEEKVVWEKWTLPIRVLVHPSANPDEYHMQLESQLRHGMLQIVS TVQTDTQHIPIGIYDYELLVNDDVL H257_11789 MSGEQHQHVVGTEGRPPPATVTTSTCTTSTPIDSSTGSPKPSPP SSPKRSPRAPKEDLFYWVKQTSFLQRDVSYICQNINGPCPLLAICNVLLLCGHVSIDE HLHVMGPSNFIFARDVVSIVENRLVHSNPHLNEAERVMLDEVVALVQTLQVGLDVNVQ FHDIGAFEYTNACAIFDLLDMRLVHGWVVDPQDTAAYSLLAHKSYNQVVDRLIDYHSI ESKAQSIPILMKERSLSMDDAALKVDQITSEGPVIDAFLADSASQLTYVGLIQLHEDM KERELAVFFRNNHFSTIFKYDGALYLLVTDTGYYDEPHVIWEKLEHIDGNSEYYTKSF VPLVGAHTKQQELLSLKTPPPLSPRSTASLPPPVPSNSPLASTANTLADDFALALKLQ QEEDNAAADTRQATGTSTPSRNTSPKHAPNATQRTSPREASSSNTSPRSTAAVPQPVQ PVQTTTEDDSSLLNLTDEELAMQMEAERFFNEQRLRNLPGNAAAPQQQAGRRRQGSST TGGDKCTLM H257_11789 MSGEQHQHVVGTEGRPPPATVTTSTCTTSTPIDSSTGSPKPSPP SSPKRSPRAPKEDLFYWVKQTSFLQRDVSYICQNINGPCPLLAICNVLLLCGHVSIDE HLHVMGPSNFIFARDVVSIVENRLVHSNPHLNEAERVMLDEVVALVQTLQVGLDVNVQ FHDIGAFEYTNACAIFDLLDMRLVHGWVVDPQDTAAYSLLAHKSYNQVVDRLIDYHSI ESKAQSIPILMKERSLSMDDAALKVDQITSEGPVIDAFLADSASQLTYVGLIQLHEDM KERELAVFFRNNHFSTIFKYDGALYLLVTDTGYYDEPHVIWEKLEHIDGNSEYYTKSF VPLVGAHTKQQELLSLKTPPPLSPRSTASLPPPVPSNSPLASTANTLAYVMYLVDVDF LTVQPAIFWLFLCADLPNPSIDRLFVCTGF H257_11790 MRLWTTLVVVAALSTSSAWASQRAHRGHVDPSTIMTATNARNTM GWWSTTFGCADDCNVSNTCASDVLYRSVVDNLVSGGYVQAGYTWLYVEDCWAQRSRDT FGRLVPDPHLFPDGIVGLTAYAHAHGMQVAVSVDLGSQTCAGLPGSLGHYDLDILTLA GWSVDRILVTTCSVAPTSNTDTSLSLYALLSAFTSLAWSTAHLASHCVLPNATNRTLL HAISRHCQHIQLDPRIQDDYTDVQRHVVTALHELDTQPFFNTSYGPVVAGGFGLTAGQ ARVQLSAWLLLQFPLLLAADVRSLPLEAHDLLVHPTFLHVYKAISQSNASASTRNPTR WTGSQVPRGVDVWLWRLENAVVLSASRVNNHQSPYVPVSFDLSWPDLGFKSSAVCTVT NVWASAAPTHQKHRVTVTVAPFDAALLVVQPED H257_11791 MHRGNATPPRRAGDGVTTKLSDLEKSLESIQLSQANPASNAAAP LSGGAALLQHLQQGGRTAPPAAPAVSRAGGIQVHPSRTFPNQSVKIAVQLPASPHPRT LVLGLFRASLKDQSRPIFLRSLHFQGTTATRIQVRAPKTIGEFEFRVFDELSPDALVL PSMPLAVLVDLPYFEESSTTLDTKLDHAATSQDIQVVVSAIMAYARVLEAVPTLYPTH GSRLSGLLTRLLELRWDMDEWHDKADDAESSVHGALRSFFHTVEANRHVWDIVSPTTH QAIDFAQRHEYCGVFDRYFSSFASKQDYWSVHLGVRPQETPVSAWWPPLLDAANQWMA ATCQQLMPDLASFSATRQAIYERVSAVVHTIPTPPNTTVALDVFGSSNNFFGSMASDM DMCLVVQPPVQDPKIKQRLLQLLVARLPPDQFDNLDTARLTARIPIVMFRDIPSTIEC DVCVENALALRNTRLLRTYALADPRVRQLAYLLKHWVKQRGINNAADGTLSSYGYIIM LLHYLQRTEPPVIPVLQTLSPAWQGEIRCGCLRGGVEGCRFRSPACALSERMDDTPGL PSVKFQGHETYFFDPVQDVQWQWLHQFGAANTQSLAELWLGLFRYFDSTFDYATHVVS IRMARYVISSLFDLVRLLKYILILTFCVFFDVHMYSPLFKAEKPQWKYHARLMIEDPF ELDYDVAHVVKGAKFKLMRQEWAKMHWSLSQANVDEATEADSVLSLLFHKPSESSSDN DIPNA H257_11791 MHRGNATPPRRAGDGVTTKLSDLEKSLESIQLSQANPASNAAAP LSGGAALLQHLQQGGRTAPPAAPAVSRAGGIQVHPSRTFPNQSVKIAVQLPASPHPRT LVLGLFRASLKDQSRPIFLRSLHFQGTTATRIQVRAPKTIGEFEFRVFDELSPDALVL PSMPLAVLVDLPYFEESSTTLDTKLDHAATSQDIQVVVSAIMAYARVLEAVPTLYPTH GSRLSGLLTRLLELRWDMDEWHDKADDAESSVHGALRSFFHTVEANRHVWDIVSPTTH QAIDFAQRHEYCGVFDRYFSSFASKQDYWSVHLGVRPQETPVSAWWPPLLDAANQWMA ATCQQLMPDLASFSATRQAIYERVSAVVHTIPTPPNTTVALDVFGSSNNFFGSMASDM DMCLVVQPPVQDPKIKQRLLQLLVARLPPDQFDNLDTARLTARIPIVMFRDIPSTIEC DVCVENALALRNTRLLRTYALADPRVRQLAYLLKHWVKQRGINNAADGTLSSYGYIIM LLHYLQRTEPPVIPVLQTLSPAWQGEIRCGCLRGGVEGCRFRSPACALSERMDDTPGL PSVKFQGHETYFFDPVQDVQWQWLHQFGAANTQSLAELWLGLFRYFDSTFDYATHVVS IRMARPLFKAEKPQWKYHARLMIEDPFELDYDVAHVVKGAKFKLMRQEWAKMHWSLSQ ANVDEATEADSVLSLLFHKPSESSSDNDIPNA H257_11791 MHRGNATPPRRAGDGVTTKLSDLEKSLESIQLSQANPASNAAAP LSGGAALLQHLQQGGRTAPPAAPAVSRAGGIQVHPSRTFPNQSVKIAVQLPASPHPRT LVLGLFRASLKDQSRPIFLRSLHFQGTTATRIQVRAPKTIGEFEFRVFDELSPDALVL PSMPLAVLVDLPYFEESSTTLDTKLDHAATSQDIQVVVSAIMAYARVLEAVPTLYPTH GSRLSGLLTRLLELRWDMDEWHDKADDAESSVHGALRSFFHTVEANRHVWDIVSPTTH QAIDFAQRHEYCGVFDRYFSSFASKQDYWSVHLGVRPQETPVSAWWPPLLDAANQWMA ATCQQLMPDLASFSATRQAIYERVSAVVHTIPTPPNTTVALDVFGSSNNFFGSMASDM DMCLVVQPPVQDPKIKQRLLQLLVARLPPDQFDNLDTARLTARIPIVMFRDIPSTIEC DVCVENALALRNTRLLRTYALADPRVRQLAYLLKHWVKQRGINNAADGTLSSYGYIIM LLHYLQRTEPPVIPVLQTLSPAWQGEIRCGCLRGGVEGCRFRSPACALSERMDDTPGL PSVKFQGHETYFFDPVQDVQWQWLHQFGAANTQSLAELWLGLFRYFDSTFDYATHVVS IRMARYVISSLFDLVRLLKYILILTFCVFFDVHMYSPLFKAEKPQWKYHARLMIEDPF ELDYDVAHVVKGAKYCSQIYIYGVLMVFSYIFVTVGSSSCGKNGRKCTGA H257_11791 MHRGNATPPRRAGDGVTTKLSDLEKSLESIQLSQANPASNAAAP LSGGAALLQHLQQGGRTAPPAAPAVSRAGGIQVHPSRTFPNQSVKIAVQLPASPHPRT LVLGLFRASLKDQSRPIFLRSLHFQGTTATRIQVRAPKTIGEFEFRVFDELSPDALVL PSMPLAVLVDLPYFEESSTTLDTKLDHAATSQDIQVVVSAIMAYARVLEAVPTLYPTH GSRLSGLLTRLLELRWDMDEWHDKADDAESSVHGALRSFFHTVEANRHVWDIVSPTTH QAIDFAQRHEYCGVFDRYFSSFASKQDYWSVHLGVRPQETPVSAWWPPLLDAANQWMA ATCQQLMPDLASFSATRQAIYERVSAVVHTIPTPPNTTVALDVFGSSNNFFGSMASDM DMCLVVQPPVQDPKIKQRLLQLLVARLPPDQFDNLDTARLTARIPIVMFRDIPSTIEC DVCVENALALRNTRLLRTYALADPRVRQLAYLLKHWVKQRGINNAADGTLSSYGYIIM LLHYLQRTEPPVIPVLQTLSPAWQGEIRCGCLRGGVEGCRFRSPACALSERMDDTPGL PSVKFQGHETYFFDPVQDVQWQWLHQFGAANTQSLAELWLGLFRYFDSTFDYATHVVS IRMARPLFKAEKPQWKYHARLMIEDPFELDYDVAHVVKGAKYCSQIYIYGVLMVFSYI FVTVGSSSCGKNGRKCTGA H257_11791 MHRGNATPPRRAGDGVTTKLSDLEKSLESIQLSQANPASNAAAP LSGGAALLQHLQQGGRTAPPAAPAVSRAGGIQVHPSRTFPNQSVKIAVQLPASPHPRT LVLGLFRASLKDQSRPIFLRSLHFQGTTATRIQVRAPKTIGEFEFRVFDELSPDALVL PSMPLAVLVDLPYFEESSTTLDTKLDHAATSQDIQVVVSAIMAYARVLEAVPTLYPTH GSRLSGLLTRLLELRWDMDEWHDKADDAESSVHGALRSFFHTVEANRHVWDIVSPTTH QAIDFAQRHEYCGVFDRYFSSFASKQDYWSVHLGVRPQETPVSAWWPPLLDAANQWMA ATCQQLMPDLASFSATRQAIYERVSAVVHTIPTPPNTTVALDVFGSSNNFFGSMASDM DMCLVVQPPVQDPKIKQRLLQLLVARLPPDQFDNLDTARLTARIPIVMFRDIPSTIEC DVCVENALALRNTRLLRTYALADPRVRQLAYLLKHWVKQRGINNAADGTLSSYGYIIM LLHYLQRTEPPVIPVLQTLSPAWQGTEIDIL H257_11792 MPSRDSTPHLTMTSSTIHHEILDMPPVLEASLDGGSSSNDDDLC RPKVHGCSIPYMLLVALPRLPIMMGWAAQWAVLGPLLEILVSSSVVQLIQIAGPLCGL LVVPTLGVLSDNCLHPYGRRRPFLFWGAVTSILAYVLLMFAADIGTYFGDTATSRPTM TGIVILCYIWTDITLNIAMVPVTLLMADVVGDRQVTGSVVTGVLSSGGLLVTAVYIAA FGPAHQSLKTFLSILIGLLGVTCGATCWFVVEKPYVYIWRSNTGHHVKIAVTAVIAGI RQLPSPLGVYFVLILLSTYGFTSYNGAKGQFFGLVVNGGDPNGADLCRPSCSPRQAAF NDGVRVAGLTDTLQVLAFLYVLLLPTLVHRFGAKRVVTMSLLPQGLYVVMAYSKHTAV NVAIAVSCSITQATMNLLIVPLIIHVVGHGPDNSLGLINGALNSALCTGQLLNYVLAA ALVTSPMGYALPILVGGLLSLVAAVVALLCFRVSMYSL H257_11793 MVVAAGMFAVSSRPTVPLALQTVVLQAVERGAKAAKTLKELATV VQADLDDKQGRGWHVVAGKDFAVDIRYRKGCCAVVHNATARIKLVVYRTTVATTAPPA AGSIVLPSAVATTDSIKETVMESDMLAPFQSEVLSVCQRLAATPDDSDVLCSTLKNWL TQQYGHTWHVVVSTGSRDLVGAVHANPGTLLDVVFAKSLSTVSQTKKTPQHQQHVRFL VYQHGGFEASLDLITLLHRVCLVLAAMAGALFLFYRLSYRPECIENDTTCTDSDHAKA IAGDFGQFVATIVVVVLIGTASLLRVSRNAIRQKVKHV H257_11794 MTPPTLAARLEQEARDPIEVLRVRLKDVSETSVLNTQQLLEIKS DYLHRAESTKGQLDGFVQAQIDEIERASMLLSFDASVAKVSLSLRNMGTSCNRMREEL GDEGVASEVSIARRNLKDLHTQMQFYEDLPAKLAEMEHTLQANLGELVSVFGKFLVMD DWRQKMLLQLYMASKDNQDDTLNSKHVSKALAAILPRLNDIDHIGNRILDGTWSIVIN CIEMVQFDRKRLIDAFQIVDHLEKRKRKRVDMKKLYLDNSLQPINEASSAPSMFQKCR DHLQTSLQARVADLFVYPPDQDFAQAFNGMLNNASNLLLDLEYVERDVAPCFPPSIDA VQVFVTSYNSALEVQFAQICGKAELGVAQKLQLVQWLDYYNTQVGKYRSGTVSDVLDQ TANLVMRLYLDGIQDQIHTWVTNIYNRDEEAVVGPSGELHSTRPNDIMNILSSQITIA QEWLSGGLLARVVLTCLTALMDQLKARALRFASTLTTTTDIEALCSFINDTDVLQSKC MELIDTIQFPSSANQIEEVAQLTAGLGDTLNTTSADIVALAVHACGLIVHKIFDEIEA DTTGHWFGKKWDDQDPVVENLLVTLEDFFKDLQAWISSSFFYAKVVRHALDRCVDEYT NRFVARTAVLSNVELAYRVMDQDVKHVHGFFMKFESELRRSGLRTADDLTKHLEPMSM LGALVSRRMTLDDLARDLYDLDQQGLMDDASVKRSKLLKDLMVATKRLVPALSSSSQG TMSQGGKATTTKQTKPKKATFFKKAKDKADDKAQPITTTTDVAATGDFEVKTTSLDAF LSH H257_11794 MVQFDRKRLIDAFQIVDHLEKRKRKRVDMKKLYLDNSLQPINEA SSAPSMFQKCRDHLQTSLQARVADLFVYPPDQDFAQAFNGMLNNASNLLLDLEYVERD VAPCFPPSIDAVQVFVTSYNSALEVQFAQICGKAELGVAQKLQLVQWLDYYNTQVGKY RSGTVSDVLDQTANLVMRLYLDGIQDQIHTWVTNIYNRDEEAVVGPSGELHSTRPNDI MNILSSQITIAQEWLSGGLLARVVLTCLTALMDQLKARALRFASTLTTTTDIEALCSF INDTDVLQSKCMELIDTIQFPSSANQIEEVAQLTAGLGDTLNTTSADIVALAVHACGL IVHKIFDEIEADTTGHWFGKKWDDQDPVVENLLVTLEDFFKDLQAWISSSFFYAKVVR HALDRCVDEYTNRFVARTAVLSNVELAYRVMDQDVKHVHGFFMKFESELRRSGLRTAD DLTKHLEPMSMLGALVSRRMTLDDLARDLYDLDQQGLMDDASVKRSKLLKDLMVATKR LVPALSSSSQGTMSQGGKATTTKQTKPKKATFFKKAKDKADDKAQPITTTTDVAATGD FEVKTTSLDAFLSH H257_11795 MPRVTNFVDDIEGSKPCMKPYVYFHKPPTVSVDGSTSKRLHPGI WNKGENPQFMQLPIEGSSPSHTGFTTNRIVNPLTPSYKLPHCEPAPLIYPKFLRDSYQ TADIDGTRAAPRHTLPPRDTMNLNDIAGATAAWRPRGVKTSKQKDNMQVADIIHAGFK SQRVTDALRPVHVVNGFRSADDPLSFPRAPYEATKHPFYPLETHDIQGANPADSLKGI VGNIPHVKRRHFRSTNNVQDIRGAQANTVHHSIVSNRHVDPIFPAYTDLDGDSLETGL PVPKNVQFPDVAPRVSERKSNNVSTIQLGMKLPKDQRKPPSTAVGVGAKHQAARKADI EAVRGLK H257_11796 MTAKLREAEENLHKAEKHLKTTMFRWSADYMSATPYLEKAAEGF RAGQDFARASSTYVRLAEIQHKNQATFRAAMHMETAAKLHLQYAPKQPGAAKEYYQTA AAYYGETGELGKAAEMLLKGAAALEEVGYTDVEKMYLEACDLMEAQDKPHFAVDVFRK SASFFLKRKAYDDVVANYAKQILLFQAIDQKENMYKAFVSIVVLHLAKPDVVAADQAY MRHLQDDGYLHTDECALSEDLIGAFKRGDEEQLKVVLKKPHWQYLDTPIGRLARTLTM YSTNKSRPAMPQPRNNPVALKPAPAVASPPVTLPVPQTSHQQHEELLEKLHPEMVPAQ KPAHEQEEDVSFDLT H257_11797 MSSTIPKRVLITGAGRGIGLAFAKHFTAKGWHVVAGARTPSAEL LDLGVESLVSLDVASEDSVKEAAQSVGASTPIHLVINNAGVFTPDTLKSATKANLMRQ YEVNAVGPWLVSRAFLPNLELAVKQSNVAVVAQLSARLASLGLSGEAGSFPGLYGYRT SKTALNSLTRTLSLDVKAKGLVCVLLHPGFVKTDLSGHKGKYTADQSVAKMVDILARV TAADNGKFYDIDGSIVPW H257_11799 MKFGTNVAALLSILALCVDHTEGHGRVVKPPHRGYLGRLPKYSA FVPVNYDDDGLSAGGLGATRGGKHGVCGDPYNGAREHETGGKYGLFPKHGSKVIAACY APGATVDIEVDITANHWGYFTFGLCKLDTRESKETEECFQLLAQPNGETKWPVPYGAP GSDATANLQYKLPASVTCEGESHCVLRWWYTSGNNPGGVNEQEQFWNCIDIYIGNSCG ATPPSSPTAAPATTTSKVPVTYPPVTTTKSPATTVKPFPSSYAPITPATPTPSPFTRG PTVKPTVPPPAGTCGGCTNCYYGPTKACFSGWTKQDCSTNAIFTWCGA H257_11800 MKFASAVATLSSLALWSHSVEGHGRLVSPPHRGYIGKLPAFQGL VPVNYDDDGLSAGGIGGTQGGKHGVCGDPYTGVREHETGGKYGLFPVHGNRVIGKCYA PGAAIDLTVEITANHWGHFEFQLCKLGTKDAKETEECFQNLVQANGQKDWEVPRVGKA TFNMQYKLPAAVTCEGDAHCVLRWWYISGNNPGGLNAQEQFWNCADIYISNTCGAPAP PTALPITSTAAPVTTAKPTITTTTAPQPTTAAPKPPTAAPATTPAAPVTTPTGPITAG PTPAPPVGACGSCTNCYYAPTNACFSGWTKEVCASVSSFQWCGP H257_11801 MGMLRFDGQVALVTGAAGGLGQEWARSLHARGATCVLVDIDPRV LQLVKPASSSSDAKWECVVANCANEKTGRQVVENVLTTHGRVDILVHASTQVQDAAFR KMTRSQWDAVLENDLTSAFTMTRAVWSSMRQQNYGRILLCTSASGLYGNFGQANYATT KSGIWGLTKALSVEGRKYKIAINAIAAVAGTSLTQSVMPDNVYRRLKPEYTAPMVVYL CHNASSENGGVFETGGGWVGKLRLQRSEGVGFPLSATAEEVATSWDQVTAFSSPTYPT STQDSFGPMLTNVNHPPATLHTPHSAAVVAVFHRLRQTLERRSTPLRESGGHLHWTIG AATYSISLASNAVVVVDDTATAASSIPAVDLSLEMTEQDFLDLVAGTLRLQQAIAGKK LTLRGDIKLAMRLQPLLKLLQQPDAALSKL H257_11801 MGMLRFDGQVALVTGAAGGLGQEWARSLHARGATCVLVDIDPRV LQLVKPASSSSDAKWECVVANCANEKTGRQVVENVLTTHGRVDILVHASTQVQDAAFR KMTRSQWDAVLENDLTSAFTMTRAVWSSMRQQNYGRILLCTSASGLYGNFGQANYATT KSGIWGLTKALSVEGRKYKIAINAIAAVAGTSLTQSVMPDNVYRRLKPEYTAPMVVYL CHNASSENGGVFETGGGWVGKLRLQRSEGVGFPLSATAEEVATSWDQVTAFSSPTYPT STQDSFGPMLTNVNHPPATLHTPHSAAVVAVFHRLRQTLERRSTPLRESGGHLHWTIG AATYSISLASNAVVVVDDTATAASSIPAVDLSLEMTEQDFLDLVAGTLRLQQVLLYLS NR H257_11802 MSFAHRLNTFKTLGTRDSSASTSSSSVVQTRPGGPGRRVASVLD KSKPSVIDVTCPTTEVEWEGYLYKQSKIVKTWTPRYVTLKDGLISYYKSKKHAVERTQ NRGSWSVSAVQKTIPSTGFGGSKLHASTLGFSIVTTNQLLVHFVAISPAEREMWLHML EKCCAAAKVQTNVAEGLDEASRPSESYFHLDPSEASVMLYSKFIRVLSDVGVPDLMTA LPVFVQHLSQDVELKFNFDPFDAAKYAFCHGVYYAREGFVHFVSLFRQCFALVEASTL PTLTAKDPEIIELVAPQQLTRLSSKEAAMPGRLHVRFNFSPSGRISRLSVYFKQDKSL APVPTACVRSRTLYTLASHPQNFHLCYKTKRSLLLSFRDLNILGVLGQGGFGTVVLVQ RKSLHDELFAIKVVEKSQGSASALKERRILSTLRHPFLARLRFAFQTKSKLFLGLDFY TGGNLYYHMHAATMADGTHVETSGGKRLAVERARFYAAELALAFAYLHTHGIIYRDLK PDNIMLDQEGHIRLVDFGISKQLFQEESTGTLAGSPAYIAPEQLNVQNPLYGYAADWW SWGVMLYEMLYGSTPFHDNNVSVMYRNITDADIKYDNHFDLDEEAVDLLQHVLVRDPA TRLTFAQIQAHPFFASVDWVLLLQKQVPPPYVPMTRDVFDHVAQHFRKMNVNSLDDTP TIGVMSSTSTKESDQQHFDEFSFCYERPDVRDEAYDLMIQVKETFANDARPAISTVLE HQTSEEILDDDNDMDPEVDLVISELSDIPTSEQDEASGDHDDE H257_11802 MSFAHRLNTFKTLGTRDSSASTSSSSVVQTRPGGPGRRVASVLD KSKPSVIDVTCPTTEVEWEGYLYKQSKIVKTWTPRYVTLKDGLISYYKSKKHAVERTQ NRGSWSVSAVQKTIPSTGFGGSKLHASTLGFSIVTTNQLLVHFVAISPAEREMWLHML EKCCAAAKVQTNVAEGLDEASRPSESYFHLDPSEASVMLYSKFIRVLSDVGVPDLMTA LPVFVQHLSQDVELKFNFDPFDAAKYAFCHGVYYAREGFVHFVSLFRQCFALVEASTL PTLTAKDPEIIELVAPQQLTRLSSKEAAMPGRLHVRFNFSPSGRISRLSVYFKQDKSL APVPTACVRSRTLYTLASHPQNFHLCYKTKRSLLLSFRDLNILGVLGQGGFGTVVLVQ RKSLHDELFAIKVVEKSQGSASALKERRILSTLRHPFLARLRFAFQTKSKLFLGLDFY TGGNLYYHMHAATMADGTHVETSGGKRLAVERARFYAAELALAFAYLHTHGIIYRDLK PDNIMLDQEGHIRLVDFGISKQLFQEESTGTLAGSPAYIAPEQLNVQNPLYGYAADWW SWGVMLYEMLYGSTPFHDNNVSVMYRNITDADIKYDNHFDLDEEAVDLLQHVLVRDPA TRLTFAQIQAHPFFASVDWVLLLQKQVPPPYVPMTRDVFDHVAQHFRKMNVNSLDDTP TIGVMSSTSTKESDQQHFDEFSFCYERPVQFV H257_11803 MEHANDTVYHPPYEDDPLDQVTMDGVPVNVVLHDMVDVADASWH LVCADEETCIRWCMEISLLAESMSCPQCLGAMSFAMNTKRWWCHRRACEGGGHIELGM RFKSWFQGTRIPMTKLVRLLFAWASRMPLGVVIAEEEISIEAGVDWYNYCRELCSSEV LRWPMVVGGSGITVEIDETSMKKKTCGGLSRGESYEHPVAAPAIPLCIVGSSCGLITR LD H257_11805 MPPTRERGKYSKDLLHTAVQAVINRSKAPEVAKRHNIPIATLNK RVREQRSGQALVTKRRGPKPTLPDSCEEDLVAWIVAMQRDGNPTDRKTIIVKANQVLR RLDPTASLSAGWYRRFIVRHPQLTNRVAQVISSARNEVDDVAVTTLFNSLVNAIVTNK LSSDRVFNMDETSFSSRRKSKDFFDGIQRLTFALTNFHLGLMPLREDDQHQYRSVLAR YARMAEEKRTARAATQRRYAQRRAERLATDMLRSSFAARAPFMSPSGRR H257_11806 MGLLKISITNTFDESSVMAQNVVGGVTIVAVVVVGVMLWHRWRL AKAAKSSSKDMWELHM H257_11807 MSDDLHYSDDDFQEEKSPPKPATHVANGLPTPDTTVVPSTSADV DYEDDYDEPEASPLDDAFEDDPDDIEMHSNVIATPQDLYDVDEFGDSPPSVVAVEVAA HTALDESYGDNDFAESAGAELVTTPTTIGTQLALASTVEDSYNDDQDFASVVAGDDDN GQVADIPPTSTVNRESSAASNAVEASSTLLSTHAQDNAQSTNDVIQSEVMQVIAELTN HHLESNVVVVEAANEDMSCSGIPESKKEEVQLLEPAISQVTEPADVFGVELETSSDAP ESEEPRASHVLTEKEPPIEAVESASNFKPAVQEPLSTFEPTSTVDLDHVNVLTAPPPD VQPLKEALSKQTIDETLVPSTSNDSEVSVVPVVVVDATSNDSEAPAAVLPVVEQSPND DVVTTNAATGDDNADDMHDVETTATDVSTINSIRSECAATPLTPTEVLPLLSIDTQVV ASAAATPILQTEGYPSDSTTSFRDPNITVHNDSSYSTAALSPPQGTTEQVSTTTSDIE VTPKDEIVSTSFDVIGQSNENTTGETEPSFAHGLGQMNESTTGEAESSSTVIESAPTI PVKYSDAVDDVPAHEDATPVVEAESEAEFAHGAAPQQPQEFLQLATTNALSPNPPLDS ALSLPTGRSVEQNAPGPERSSSNPDTLYDCDADEVADTSAARLSIATSDETTTLSPRT TSETVEDDYMAYAQTSVFDDDAYMSGGEAPTKLSATPSGNDIYKNVDAATEVEDNTAA PLVQDTGGDLSQLLQPGTDVEPSSNTFDVPIKKQDDCKEEAPSVERTGDQGAKQVDGE SSSQSIVLPLVGKKESKLKTRLPPKQAQLQPTPIPPKPRPPPQQRKPEPKAPSSDSSS PMASDFVIHSSTSPPLEASGGGMVFHSPQKDYRPWRQQEVKPQMSPPKPRVALKKKKA SPSPTATTCLSSPPKFHFDPKVDKMKEEWLLLNMFRPGDASKYESFCIVHKPVVAPAT STATHHRPSSADRNYPSHSARRLVPPKRKQEMHTLQARERNWVVNGSVQHNAIPAYDS ILDKYCHTITNPLVQKQIYNSVELSPQLAYVLEKRVQATRQAELAFVMEDHTYAKTYK PKAVADTTRVPTKPTPRQPPQQPLDSDIVSLKWSTAKLKASGTN H257_11808 MEAAAPSIPPGRVSSSATLGAAWGLWQFTSPTHTMPFDSVDGNS FPSNNRTTNDVPVLSPAPGPLYRLAPALGAVELTMRLLMDFLEVNLDTVDDQQHSAFC RSPRRSYVHQINRMDGWTRNLNTQLQLIRSMIDMDLNEATYATDYLVSTLQSQGSLAQ RHHWWWHSQPAASGMLDRVQSLVQCHFQGLPFGPGVLTTHELHVPYDDVVLPLHIRTS CREADAEFVEDHFFATVHQIVEAWCCVMERQLDAAQAEVDLVLQRSSLASSSSLDDEP VEDLLQRVTRRYRWAGHCWEYLIDHISMLSEMDARDYLSLKFHLHGASGGQSVRLRQL TARIPHLLPWGPPLSYIDPFDPSELRHVVAVLSHVQANVTEIPLQAELVAMFHAHVSP SSDAVQMLQAVQMLENAVRRFYFGHQQLAIMVLGADASGTQELTVKALERGWKVCHRY LCVERAKEVMSKQLSDAQSSMLKGRIVRTRIDDAKLRHHNLVIATQDPSNDRGGGGQK MWQASVAPTSPMSTSRSCRSTPSSPSSSPFRAAFARSLSTHPPLASVQHTHALLSRDL NEVWPEFFQYDVPTAERYVLESLGLSPSEILDSQDNKDGVGSNVPTSCSQHHVNFGSN VHEFLVRVFSCLLPTTRPLVVVTSDAEFVSLTRQLATWTNSGECIVHQVPLQPFESFG TRVCYRVTSLRPHLVHVSAVYSNSQFRFPDVDIAPLADAIENVPMQLPRTSPALVVVG SGIKHCTAGPGLGFVVFPRNASWNRPVDTGWIAHVQDLQSPPTSLAPLEYNADVAFAV DSIEGNVAGEYITGHRAGTRASD H257_11809 MSYNNRFGNGGRGGGGGYGGGRGGRGGGRINRIQNRGPGAEVCA FYLDDRCTRAGCNHPHFVKRLGVAHGHTSAVKDVVLWEGKQQAFTCSNDGTIRLWDCA TWKEIAQIPVCNVELDIRPTERDKKKMSEGIAALHLEGSHLFVGYEEPIPQLPGCPVG KIKCWNLDNPAAPPLEFMVSPEMPFAHYRNVWALTVAKNPATGELIVLSGSGDGRIRY WTFDAAMGGFKCGGLMEGHSRGITRLKTVQLGGTMALISSSMDHTIRIWDLSTFKCGT VLTSANEGHANVVMDLDVWVNGAEQYLISGGLDKQVIVWNLAPPFAKVFADTVDLPIL SLSVATDGQDAPLLLMGHDDGTISVKELPSFNYKMSFGKVLNNVGHTQPVRRIIPGPL HTFFTVSMDNKMMAWQVTGKAADIPVSN H257_11810 MFSSSETPARSVAGRRRVTSKHIYKQHCSIVLVMTRRILSNTTA LGFVIAIVASTTAAVDKNWLTHFYGRVKADSYEYFVVDVPRGVFAVDVSMVLSELGAR TPPTLFLQKDAFATESSYDVALNTTRKSPYISATLTNLKHGRYYATVWGGNMPGTVTT FGVGPSTNMWWYLDFTFRACQHVDMLGPACTTAPVALPSRQQHGYSGSNDADGRGCID STPALFSFELAQPAASLDVTLSIQDPSILVAWALYLDTATPFPSDALPVRNGTSATRS FHIPRPQSGKWMVRVALPQPEETIGKCNPNIDQATGGIPFKVSWSTTETCDPLDGDLC AASWTPLNQLRNAANPLDDYVVDASFSSNQPLKPSMNVSNVVVAYDVQVEPVYAGTNV VLHMATTALVSNFSVFIRVNGWPTLTEYDYTYNASQASRIGGVGSQSDPINPSVVAFD ALKQEATSSGGTQFLEFPPIVFPKIGHWYIVVRPDTTVATANNKNEWGVALQLQTNAC PPHNVCSNHGTCVVKNSYQGMVYGECQCAYGYGGRDCSVLVHTDAQRTGRTWLLLLSN AAILPAAILSWTRKLYVEAVLFAGLGVISGVYHACDLNLYCMFPYAFLQSMDFTFTFN AIMLGFIHLSGAFKHVKAGMQVFVLVALVFMTTYNATSMKNWVALGGVIIVQFVATWS YYLTLAKHRLHTSMFETLKRFVFYSDNFDFRFLLLGVALWGSAFGCFFTESGNSYWLI HSIWHFTAMLAAFAFMGLRKNIRYRCVGEDGVDVLSHEATESARLDKPAFTTTNVVLM SEHDMEAAPGTTQTCTST H257_11811 MSWVKFFRSMIRRGPFDPRHHIGPPSPAYLKQQCHALSQSSHAG AVWGPPQPKAARADGEVDTHLFMLYFLITETTATFLWLSIAAHCLHGSRVPFLSLPMR FVIGGAYCIVKLALLEYAFHASLYFHISFMVAAVYMVLSTVAWGWHRGPLWFLYYMKP PRLFQPFSFRGCIQHVVYLLFQCVASPASSITSNSLPTQSEMNPRPSTCVLPGLAKHK VATATTATTLTVHVLGNPRLHIPLRMDIWNSTLTEHWRQALLDYGDDRRHRRLTRDRS AIEARLLVAIDSTGLVVSFYLMAPDATTVHALSSSPLSSAKASPEPTRAICEDNVVAT TVVSVLELVLRYHNHSSSTRSTTDMQFQKTPYIYYATNPISSPRLTPMQRSALIQLLQ RLNVNMAIGHEIGLRMLQCPNFLTLAPTKAATGSRHHRRSNLSSLLD H257_11812 MDNDDHPCDGSPSSHKKRAQAAAAVLASSPYQHNDLFTSSVRAS PGGAFRYSPMAPSSMSYSPFLMDSYHFASGGGGASTVASFSSPASFFSGCPDAISDDD MYSSLSHLPSHITPTASSAHHSSHHDRQSHWSSPRLASSSSPRHLDVADDESSNDDGE PNDASSDPSSVVGLWNCRVCTRLNQNDQCVFCGHDKDAPFRPVTNAGGAATLSYTTTK PTHTAIGYDDRMLLHREVQPRDLLDMHPERPDRIAAIFTQCQTDGLVQRCTHIPSVLV DKSDLLRCHDADYLSQLDDVCQLPQHHLTPDTYCCSDTGVAAHMSAGIVLSLVDKVVH GVARNGFAIVRPPGHHAEPTHAMGFCMFNNVAVAAAAAVAKFGLKRVLILDWDIHHGN GTEHMFEADPTVLYCSLHRYDDQGAFYPGTGAPDSVGSGAGTGFNVNVGWPGGGVGDA EYLAAFDSLLMPIFRAYAPELVLVSAGFDSALGDPLGRCRLTPPGYAHLTHMLSSLAE GKIVLALEGGYNLKSIATSASACLSVLLGDPLPRLAADMGPPMASALHAIARTRRCLQ PYWPCLADVSDSSDDASEDHLSDDLHQTNPPNVRRRRRPSVDNTPSSSEEEEDDEEVV VEEPPCATECDDSNLRRRRWDAWAAKVDLAARRDPQIAVDLRAKLRQRKRLGHGKNAQ RRYHPFVPVHHHHHHMQ H257_11812 MDNDDHPCDGSPSSHKKRAQAAAAVLASSPYQHNDLFTSSVRAS PGGAFRYSPMAPSSMSYSPFLMDSYHFASGGGGASTVASFSSPASFFSGCPDAISDDD MYSSLSHLPSHITPTASSAHHSSHHDRQSHWSSPRLASSSSPRHLDVADDESSNDDGE PNDASSDPSSVVGLWNCRVCTRLNQNDQCVFCGHDKDAPFRPVTNAGGAATLSYTTTK PTHTAIGYDDRMLLHREVQPRDLLDMHPERPDRIAAIFTQCQTDGLVQRCTHIPSVLV DKSDLLRCHDADYLSQLDDVCQLPQHHLTPDTYCCSDTGVAAHMSAGIVLSLVDKVVH GVARNGFAIVRPPGHHAEPTHAMGFCMFNNVAVAAAAAVAKFGLKRVLILDWDIHHGN GTEHMFEADPTVLYCSLHRYDDQGAFYPGTGAPDSVGSGAGTGFNVNVGWPGGGVGDA EYLAAFDSLLMPIFRAYAPELVLVSAGFDSALGDPLGRCRLTPPGYAHLTHMLSSLAE GKIVLALEVLIHIYLDICAETPNLSIDSLYRIL H257_11814 MVESTERYIVGTTPPASPLRNASPIVVLKSRSRGVVATWERMAA IAQMDMHRLKTEICRIRTRCDISMLCHTQPKFHFLEWMLDWVMAICEVLSFQGNTDLV NVITTAAYALSSLANPLDVSVNVASDIRYVCVYVTCILIGFASFATVYRVTNRGYVEG LALSD H257_11815 MTTTEAGEAALGLSYLVFTLVLTLYYLKMLSPVLSNDLWWERFN ASGAQSYVIDVYNGQLNLVTHGTVDFTSPVFGISKDYSTYYTPIEVTPTYPRMVAHAH SHDIAAIITNLRTFSGPEALDTQYCWLDFNRTWEVAHTSMRQKRCQTRYIQNAAMFWE TTARLVNWKTFMDKREGEWNVTVADGLRKTPEGRAWLARTPNAFKDLPTEMALWKQAG LTYFTLQYMNAVTWSVTETMSIANAFGSHEAISNKRMTGKDRDWSLWMYQWSPGNDIY VAQACGYSFIRSDSANQQFTYPCDYADYLVNPANYTCDPCNSPWNPVPGDCAPDWEWM MGMPDVPIVQMVRSNVGPFGSIDAFFVPAPASLKTLYATFHTHLTELAQSNQAFHNAL VSIPGYHADPVPPSWLNPSYEYMGGDPSCTDRQPLPFVQSSMAFDIACTDQSRHMMLL HRFNTLFALWASKASPRTICSLCPTLASVCLSVVVPSATALDLFNNNAVAPPSDSLVQ VAKADIVTLNVGTLQFAFNIVDSSNVFLTQPLLASTLSTWDAFGWVYLYEWAAGIREV VSIEGDRGVFPVVSNTYDPIINEAGALEVPKSACHYLWIISVTVSAILVSVGAIFTFY TVLMRFRIVGRNLFRFNRIVGAVWLGRPLLLVRGMTAVVLLSTSPLDFGVIHGFTRFE FAPRTFVESMIVSGEAMWISYVIHDVLLLFTRHYELHFAPISTGLCWLVYVIIDVASP YKIEATLDQLCTVDYRICGIRCTSGAISMGNVKRAMMLLVIQVVCIAVAFCVVKVWQC VRPTQSAKSAFTGHLLLSGSATAFLHKETTEKGAWMVDRAACVMCGLLTFRSYFFDTK LWLLLVDQDANEVVKWNKKLFEPPELNLALRDDEADVSMYASKVVQIRLETSSRKRFF AMAAGLGYVFATIFASVTYLTLTSTNMTNDFWWANYNASREHVYVARMYNSQLLYRPH GGTVSLDSTIFVDDADYNSSLAKGVEVTMVSLYVSQVKSTDGSDMATVVRGLRHMDAC LAPWISTQYCWLDFEKTWEMANSVQRQVRCSQNYTANGAVYLESVLRNVNWNRLQSCW GSSLSTAIGTPLRQSDKGTKWWASIQSTSQTMTESDEVSYWQSFGVSLFSTDWQNYKS IGIIDTFNVQNAFGISYPMTLKYTNGSMQLSTQTSLKMYWSFASDLWAVTSSTSGMGG ASLLRGTPHFAFASKSMADILVLNGTLAASDLVTGALSTFGQAIGPLGSVDLKRIPPP ASLIAFILTVKDAIAEMRAQSAVVNSEYLKLKANLQFPYSPDAWLVDNPAQLTVGGNI FCNEVPAASVVSGLFVFSGGIRSCSSQLGETLVPPTMARLVAVVGAQYTRSTPLTTNQ STAICSQIRDTMAVKCPTVLLNQPTGFLRNTSLLPDPALVTMWEDLASTAQADMYQTK VEIMQYGTTPTSPATTLLRHEVFDPMFPNFHYLGWLLAYDWALNYREVISFQGDVDTI NVMTSATYDSTSLVDPLEIPVNVAYYIRYACIYVTCVIICVAALAMAYLVLNRGRVEG LNLFELNRVAGIVWIGRTFLFIRSMAAMSLLSTQVLSLVSVNNLWRFVSPSALQGESS ADRTAIRIFTTILAAGEVSWFVFVLNDVLMVFTQQYTTAYVFKCKYLVWGLSVILSLA APSTHTATFDRKCEYAQVDFQLVCSSGTVAIGSYIRFWTLMGICIGSVLICYLYERVA QPKLPPPPQNSLFLASSAKFVFDPERWVDHEVYYIDPASAVINGILSVRSNTTFYIFD LKIWRMFVIQESEAKRKQLEQDGDLHLLSAIPLTD H257_11816 MTATVVLGVDLGTTAVKACLLSGHAQVLDSSTIDYLAPSLYPPD AKPSITDVSCILVTVRAALQALAISQFPPTAIALCGQMHGIVWWSAKDLARGVDHLFS TSSPTSTSSALPWSSLISWQDDRCDRAFLDACRHHAHADLSPLASGYGLATFAHVMQH TPSVVNGLDTCGTIMDLVAFALCGHTTSAQATMDVTNAFSWGIFDSTANAWPSSSVAA LGIPVEMLPQVVPSGTVVGVVVLSNAFGDILNISKANNVRVYVPMGDHPCSVLGLLQA QNIESPVDVAMINIGTSAQLCFVTPPPTDNIPPSLLGNSASSFEIRPYFFQQHLHVAA ALTGGNMFAYFVDNCLAWTNVLGSVNHPSKSVGDIKMQQDNMYAKVIAEGLNHTNTTL ICRPTFGGERNDTADNKGGVMLNMHLGNWSIGDMSAALARGIVANLVALFPLDKQAEF SRRRLLGSGNALLRNALLQHFVQVELDSTTLELCPSSDAAAGAAALVVQLERARCEHI IE H257_11816 MTATVVLGVDLGTTAVKACLLSGHAQVLDSSTIDYLAPSLYPPD AKPSITDVSCILVTVRAALQALAISQFPPTAIALCGQMHGIVWWSAKDLARGVDHLFS TSSPTSTSSALPWSSLISWQDDRCDRAFLDACRHHAHADLSPLASGYGLATFAHVMQH TPSVVNGLDTCGTIMDLVAFALCGHTTSAQATMDVTNAFSWGIFDSTANAWPSSSVAA LGIPVEMLPQVVPSGTVVGVVVLSNAFGDILNISKANNVRVYVPMGDHPCSVLGLLQA QNIESPVDVAMINIGTSAQLCFVTPPPTDNIPPSLLGNSASSFEIRPYFFQQHLHVAA ALTGGNMFAYFVDNCLAWTNVLGSVNHPSKSVGDIKMQQDNMYAKVIAEGLNHTNTTL ICRPTFGGERNDTADNKGGVMLNMHLGNWSIGDMSAALARGIVANLVALFPLDKQAEF SRRRYESNQ H257_11816 MTATVVLGVDLGTTAVKACLLSGHAQVLDSSTIDYLAPSLYPPD AKPSITDVSCILVTVRAALQALAISQFPPTAIALCGQMHGIVWWSAKDLARGVDHLFS TSSPTSTSSALPWSSLISWQDDRCDRAFLDACRHHAHADLSPLASGYGLATFAHVMQH TPSVVNGLDTCGTIMDLVAFALCGHTTSAQATMDVTNAFSWGIFDSTANAWPSSSVAA LGIPVEMLPQVVPSGTVVGVVVLSNAFGDILNISKANNVRVYVPMGDHPCSVLGLLQA QNIESPVDVAMINIGTSAQLCFVTPPPTDNIPPSLLGNSASSFEIRPYFFQQHLHVAA ALTGGNMFAYFVDNCLAWTNVLGSVNHPSKSVGDIKMQQDNMYAKVIAEGLNHTNTTL ICRPTFGGERNDTADNKVLLSF H257_11817 MFRVLPTRWMASSARRITSQASSPVVPIVDLANKEQASVDLHHA FSTFGCCYLKGHGIHTVDEERVMDAAHAYFALPQHVKDKYHRPSSSNGFVRGYIGLGA ESGSSEYVEVKEGFSYGYEWDASIPPSNPLQGPNVWPAELASGHTQTLQALFTSLVDV SGLVCDALSIALHKPSSYFRSFCSQGDTISILRAFHYFPYSTFSRTSCFHLFSTGQGD SNLIGSSPHTDWGFLTLILQDKVGGLQLFHDGSWHDVPHIPGTLFVNGGDYLSLLTEG LWKSPVHRVVNYDERMSLVFFYYPDFDAKIPPVTTPTRHQEQNLDTFNTLLDNTHQPS SDQAPFGEYIVSKWADVQR H257_11817 MFRVLPTRWMASSARRITSQASSPVVPIVDLANKEQASVDLHHA FSTFGCCYLKGHGIHTVDEERVMDAAHAYFALPQHVKDKYHRPSSSNGFVRGYIGLGA ESGSSEYVEVKEGFSYGYEWDASIPPSNPLQGPNVWPAELASGHTQTLQALFTSLVDV SGLVCDALSIALHKPSSYFRSFCSQGDTISILRAFHYFPYSTFSRQGDSNLIGSSPHT DWGFLTLILQDKVGGLQLFHDGSWHDVPHIPGTLFVNGGDYLSLLTEGLWKSPVHRVV NYDERMSLVFFYYPDFDAKIPPVTTPTRHQEQNLDTFNTLLDNTHQPSSDQAPFGEYI VSKWADVQR H257_11818 MPFSPLPTPMAYLPAGCMRLLLVVLAYGVHSALSSRLPVYDFRT SSLTPDPFAYNETHAIYVGRIVSVAYCTKQHVQNWTCPPCEYVSKLPDLIVINDAKEN FQGILGYTGTHIVVAFRGSMDLRNWIDNLSFVKTHPWPSLPKVAIHLGFYWVYQSIHD QLLLALASLFALHPSAPLLVTGHSLGAAVAAIAVLDLHASHNITASEMLTFGEPRVGN AAFVMRLLQVVPHVHRVTHWRDIVPHIPLEWQGFVHESQEIWYTEDSTAFKLCDPNNG EDPLCSKQVPTISSFADHVVYLNITMSHLIC H257_11819 MPSSMPILSALAAPAARFLAITCLLCLSSSMALASLDARNPPSR PTSSLTVNDALSCASTLDSSKLNDNYCDCTDGSDDEWLTGACGVGDFTCTLSQVRISS SWVFDGVCDCCDGSDEAPTTCVNRCATVAATSEARLRAVLQDAVKGLQAKESYVDHGA ASSRVSSWKASLAEAVDHWEDAVEAAEDQLDALTHRFDSNPSLTPTDQDHRLYQQLHG HVEHAKSQLHVYTTLATASFGADDEFATLLGHCFDFEVNEKELKGGTSNTIARTYVMV YCPFVNVTQTEPGYHAWRLAQKQAQVGDKYTVQDDAKVPDIQRPILLGLWHTWLPQPK DDNVAILFPAPLYVQGVPHEAETRVTSDMRPPHQLYGQGEVCGGRDDPRRRSRRVTVE MHCASHNHIKFVEERAWCDYVLGFGTPAACTDAYIAHLENAFKSAAPHDEL H257_11820 MAAAGTTTSVAMKKSLSAQRSKPPCLALQPSLVQDNLPCEVVPK LWVGSVHAAFNFEAIKERKISHVLNVSGTVATYPQEFTYLTVDIRDKDYTNLLSCVPI ATVFLESGMQHGGVLVHCAGGRSRSPAIVVAYLMSTLGTSFDEALIKVRTARPVASLN SGFEDQLRCFEKAKRDVYVAHQLLLQTKIVRARLRRSQQLDVDLYPPPKASSSAKKAD MRMLLGTLPRGFYLSRPTSPKAQTFVPPLRSMGSQFGCAACGKLLFCAANVLRHSGTT DAALWTVAIDRKRAISCPETPRGRQGASLPLGRPFTDTGFDDDDDEETAHHDMLQASV VPSTCHDDDTLDMTLGAAIQDVVIGSTQADEKAIRPATTGDDASGRRRPVDGGSGGHI TSSSPPPLPHNAGLSGSPPSSEMTSKNLGNSIQQSKKHWRSWTSLRPSSFGFRKANVV TSRSDVMSDELHTWRHQMKALEKHGSRHQIRRVSAAVAEDEKQFVAVMGSSGCDVIFI EPLVWFGSSLHPENGDLMCPNSECRATVGHYTWSDTQLKHQCACGGQVCPGFAVHKAA VKMLPAPTQLPTSLSSGTHDTELT H257_11821 MAQRDSTTTPVAGHGIHIHTTLLKKGDGTPVSLRRLKDDLDNAR PHTSSSSMRPTLAPSLSSRAGPETSSISAAAAILEPLERRPKSSATSSSGDLRRLSTH AHASLVSRQPSQQLLSQHPSDLHHHQPFFQIKTSSSVHRCFGCGGSPEMCLTCFSECK KSDMTKYKQSLAKGVEWLFAKATTRAFHHMSITMSRMIFGVWKFYVQHKRHHRAYVVR FQTRVRVKRLFLGWRMLTYERRTYGAMLYAAEKQKRVETLELETDQLHGTVTELTKHS HVRSQLDDEKVAKLHATIEVERQRVAEKNKELAAVKMQLTAALATIEDLRSKAKASEA LAPLEAELFDYKKACFQMANEMLTQMERQLEDYSLFEGQQNLADILSGDVVNSLDFAE HPLLYDPTAKFAKENKLTDKTTKAPSPKKSKTDSPGTMSVATIDRADRILMQWANAMV RKSSLDWIKPSRINNCNTNLQDGKSYAVLTLTLHDAMCKMKSRKKDFSMNPLQRENGM ALTDQAAERYVALMTHEVDDQRRIDFMMNTIGQAMWLPSEFVQADDILAGDTDFNLVF LGYLFCTSSPNLDDAHHQHVTDTSRDLTFERAKWRELKDAADATTKDQTVSKKIKLAL AHLFELKKKLDGDTRKAHDGHVLWWKSARIVLRKCFLTLSLLAHGKSGFMCSADKSAE NEGFLVVPREKLKSVLFANEDSKWELDMLQGYLNSVFNDLARIYRGYASRSTNADDDV AVMSQGDLLELLSECNVPDANFALADMADILVDVTQTKSAAENGVVDVNRALLPVEFI EALIRIARKRYSGVTKKTALSESFCLLVDNQILPFAYQSDADKFRKQMESPGIRSLMI KYLDDLKTLFGKYSFIDANGGGNKKMRKQLRMTGHSLVKFVADKSIEDVAFTNDRVMQ VVGHVCQGRTLSKTELMQRDVTFEAFQEAMVAMACHKFPDPYLSVENRFEKFANLYIV TMRDGYAV H257_11822 MDQHRPSFNSVGLGPPFPLAKFGAVLPCDVVMQRISDLVSATDD TVPASKPRRTSVSIPPRASLSRQDTLCDPSIKDMTMTKTEMAEAALGLSYLVFTLVLT VYYLRLLSPVMLNDLWWAGFNSSGAQSYIIDVFNNQLNLAGTGSIDFTSKSYGLAKDY STFYTPIEVATAYPLMALKGGTGDLAAVIAALRDTDGPIDLPTQYCWIDLNRTWEVAH TARRQKRCYDRYTANGGVYFEVPLRLVNWQSFMKSNEYNFNQTMGNALRKSAKGLEWI RQTTNNAFMDVATEVAYWNKMGITTFELEYNNLFAWGMDEKIFVQNAFGGNQAISIKN LVYGYRGSLWSTAIMCWGPWNDMNIWGDQGLSLVRNDPDNQRFTPPCSYADYTADPAG YACDPCKAPWNPEPGDCLYKDFESYFGFPPLPGVNMVSANIGPFGSVDLYLVALPPSL KTLVSTFQQLVTVLAQSNDEFNKAFMAIPGYNADPVPPSWTNIQYLYMGGDPSCPVRQ GLPYVQSSFSFDASCSEQERHTVLLNKFNMLFALVSSNLQSPVDAAAICSMCPLLAAV CSSVVTSAATALTILIKDYTAKEALQGQINAVRADIAALGVGTIQLAVDTTDGNDAFL SQTLLTTAPAAWDVFGWVYLFEWAVGVREVVSFEGDSNTLSLVSNKYAPVINQAHALE VPKSACQYLWVVSVVVSAILVSVGAIFTGYTVLVRVRIVGRNLFRFNRIVGAVWLGRP LLLVRGMTAVVLLSTSPLTFGVHHGYTQFEFTPRTFIESMIVSGEAMWISYVINDILL LLSGNAPPYFAPISTGVGWIIYFVYDVASPYRITASINRKCEADYRLMRLSCESGQIE LGSSSRAITLVIIQLACIFGSFVGVKLWQCNRPSNSQGMNGHLLLSGTAMAFLRKDTL AGGTWVVDRASCVMCGLLTFGSYFFDLKLWLLVQDPQATRTVKWGKKVFPPPQLNNAH RRESIQVKSAPTQSLSPLESSFIKSAPANRIVAIAGLFYVFATIFGSVTYLKLTSTNM ANDFWWANYNASREHAFVANLYNQQLVLRPKAGAVALDDSKFIGDADYNMSLPTAVAV LMTPLYVTRVKATDGSDVATVVRGLRNMDACLAPWISTQYCWLNFEKTWEMANSAKRQ LRCNQNYTANGAVYLESMLRNVNWNRLASCWGTSLTTAFAAPLSQVDKGSQWWNTVKA TRVSESDEVKHWTSFGVTAYKVDWQNYKSVGIIGTFNIHNAFGLSYPMTLKYTNGTFK LTSQTSMKMYWTFASDLWAVTSATSNMGGASLIRNTPNFAFATQSMETILVGNGTIQQ PSALTGDTYGAFRNVIGPFGSVDVKHMPPPPSLTALVLQVQDDIAIMRTKSKALSVTF SLLSAKMEANYVPASWIDAGYVYTVGGNLLCSSVSASALGEGVYLYTGAESACGLGMG EFLTTPPTHARLIASVGANIVRPDVTTNESNAICTQIKSFSNMCLSDFIQAPTAFLLN TTLFPDSSVVTKWRAMAVSVQEEIRVLDVNIAQYVMKTPESNITLVRQNLFDSALPAF HYIGWLLAYDWAIAAREVMSFQGDVGSINVITTTIVDLGSLVNPLEIPVNVAYYIRYA CVYVTCIMICVAALAMIYLLANRGYVEGLNLFELNRVAGIVWIGRTFVFIRSIAAINL LSTQVLQLEPLNLVYHFVSTNAVVGETATDQAIRHFKTFLAASEVSWLVFVLNDILMV LTQQYTTAYIVKCNFMVWGLSAILSFASPTTHTASLDRKCEFTQVDYQLVCSNGTIAI GSFVRFMTLVGICIGSVLLCYLYERIRHPSLPPPNQNSLFLASSAKFVFDPQRWIAQE VYYIDPASAVINGILSIRSKNTFYIFDLKIWRLFVIEEPVEKRQCLERDGELHLLSAI PLND H257_11823 MEVHFLCAIMFRQPQSGNWADACDEDDERLTVPQQHASVPSTTN APSSSRTDEYPSRPVVPAAPAKAPAASNYWVTRGQQAAAPAPRADTSNFRRGDDHPSS RGASYDRRDERGDYQDRRRDYDDRGGRRNYDSQDSGRFGRQDNNGRGYDRQDSNSSYG ARGGGYGRDHQDSQRPAPSGRWGQAANAPRHEGFVTNFREDGGFGFLHCLELKQDVFF HESEVPARATDASTSAQQPSDTSSTLLSHVQVGDELSFELTTHPRTGKESATHIQRLA KGTIVLEDVSDQLTHGVVTKSLPPKHKPSHYHSTSSSRQSADATGTIDVVVAADSSKD VAASEATTTPAPTPLRKPIVRFNAQSFPSGSHPPRVGDDVQFRIAIHRQTGLKRAVDL TVTLSAVAKRNAAIEAVLTSLVRETGVVTSIKGGHTGTIRCLSRLQDATFAISNEEKK GMVLAEGDSVSFFVVPDELQDPNECTPPKHLTKASGRARVTAIRVEKLVDQPVVFEAV VATNVQGTVVVAPKDLSRTNGHHDSKSHTTPRGNHNGGLGQIQPIVNVVADDDDDVTT RSNPPTTTTTTLPVQWSEVSYAAKAGDVVMYDVLQDFRRGMQFAVHVRWHQLHPDGRE VGTVSSLKDDFGFIKCVDRPGDAYFRVSDVLLSAGGWSSQPTLSLRQGVEVSFDVIPN PKAAGGARSDGIRAVRVQVLPKHTIVWEVVVHQQTTGVVVVAASTPSHRKSDSGYAPD GRITFQTSTCPLEPFPELRRQLQTTFADHVPDDDVALVLKQLTPAQRTALDLYARLVG LSIVVGHPSADDGKAKGEVKIVLSNRPDWTAAVAPHDADADFFAPESLHDARYELQVG DEVTCSIVRTKRGQHLVAKAIRVVKSSTSITSSGWVVLVKTEGYGFIESTDGERVYFR VTDVADKGSTPKLREGDEVTFTIKSGADRKPKAVAITKVPQGTLPPKQVRIIDQAIVA RASYRSSQKSHHHHHHKKHQTSAVTSTAGKLKQLCVAADNSSTADEIADDDNQGGCDD GGEDDGGDGGRHGWMYHVEDQVDPSAVLRPGDIVTCQVVGKSKQATQVTLVSSSARTG VVELVNVQGGTIVVAAAGTATSSEQSAALDQERVAYVNKSLLLLHGGHHDRKALNVGD KVEFAIAQPLGDKPAMATHIVRVEGAERKGTGVNSSLRRVMKENGGGGTAAARMAKGP DGTKGFATGWKSPSVDTL H257_11823 MEVHFLCAIMFRQPQSGNWADACDEDDERLTVPQQHASVPSTTN APSSSRTDEYPSRPVVPAAPAKAPAASNYWVTRGQQAAAPAPRADTSNFRRGDDHPSS RGASYDRRDERGDYQDRRRDYDDRGGRRNYDSQDSGRFGRQDNNGRGYDRQDSNSSYG ARGGGYGRDHQDSQRPAPSGRWGQAANAPRHEGFVTNFREDGGFGFLHCLELKQDVFF HESEVPARATDASTSAQQPSDTSSTLLSHVQVGDELSFELTTHPRTGKESATHIQRLA KGTIVLEDVSDQLTHGVVTKSLPPKHKPSHYHSTSSSRQSADATGTIDVVVAADSSKD VAASEATTTPAPTPLRKPIVRFNAQSFPSGSHPPRVGDDVQFRIAIHRQTGLKRAVDL TVTLSAVAKRNAAIEAVLTSLVRETGVVTSIKGGHTGTIRCLSRLQDATFAISNEEKK GMVLAEGDSVSFFVVPDELQDPNECTPPKHLTKASGRARVTAIRVEKLVDQPVVFEAV VATNVQGTVVVAPKDLSRTNGHHDSKSHTTPRGNHNGGLGQIQPIVNVVADDDDDVTT RSNPPTTTTTTLPVQWSEVSYAAKAGDVVMYDVLQDFRRGMQFAVHVRWHQLHPDGRE VGTVSSLKDDFGFIKCVDRPGDAYFRVSDVLLSAGGWSSQPTLSLRQGVEVSFDVIPN PKAAGGARSDGIRAVRVQVLPKHTIVWEVVVHQQTTGVVVVAASTPSHRKSDSGYAPD GRITFQTSTCPLEPFPELRRQLQTTFADHVPDDDVALVLKQLTPAQRTALDLYARLVG LSIVVGHPSADDGKAKGEVKIVLSNRPDWTAAVAPHDADADFFAPESLHDARYELQVG DEVTCSIVRTKRGQHLVAKAIRVVKSSTSITSSGWVVLVKTEGYGFIESTDGERVYFR VTDVADKGSTPKLREGDEVTFTIKSGADRKPKAVAITKVPQGTLPPKQVRIIDQAIVA RASYRSSQKSHHHHHHKKHQTSAVTSTAGKLKQLCVAADNSSTADEIADDDNQGGCDD GGEDDGGDGGRHGWMYHVEDQVDPSAVLRPGDIVTCQVVGKSKQATQVTLVSSSARTG VVELVNVQGGTIVVAAAGTATSSEQSAALDQERVAYVNKSLLLLHGGHHDRKALNVGD KVEFAIAQPLGDKPAMATHIVRVEVSHVSDLFMIHRGVCIYCD H257_11824 MQLTWHETAVTAKTSLTEFEFPLLHTIPKRSVTFRLMLALALSS SSSHAWWSLSATLLTDVSAGRWEVVLAAVQTSPRLGHEVDEHGMSLLHYMCMHPALPV DVVYSYLKAVPGAIETLHRGKALRHVTQCSDEVLAALAAAGYPHCAVRHPVTLPSRWK EKPMCGLCFTAFSIVNRRHHCRRCGESVCSTHSKHKGHVLGGGLHDLARICDRCHAQD NMTNQLGHN H257_11825 MMTGQQSGQSSRSFIDDLVDIISEPVLAQTPKDPIPMLSNVKQH LHDGPNDPKAAVAYPCRSVLPAGFWSHVTNPHDSPSTLTTQAPLQHVNMLVPQPADAA PCHDTTQHDKLDQNDQGNDGTTAILYDYPPTVLASLWTKDGSHEQSKQSRWHDHQLPP SAANVVVHGWVESNGEESGVSDSGNFPPQTRTTTTTVDIECNETNAVDIALSQFVHTN VDEKDAEIDRLTKQNECLVHLLRKVTAKVMQNRTDLAFYRNKLRVLMRPVPKPATSEM SVQTHDDDLADEVDGGRPQSADVGSPQIEALTWQLKVASAKILSLSTCLDAAKAQLDA DSTSTAALTKKYQAEAVAWKAQLMEAQKEASAHRSTVQSQDALASVLCDYVGRCGIAI DPSMPATKAKALLQPHRVVRRTHKVVADGLYDQLTDSMTRELAFLSKLDAFDPTDSTA CHSLLNRRQHHRGGSTHDDYEY H257_11825 MMTGQQSGQSSRSFIDDLVDIISEPVLAQTPKDPIPMLSNVKQH LHDGPNDPKAAVAYPCRSVLPAGFWSHVTNPHDSPSTLTTQAPLQHVNMLVPQPADAA PCHDTTQHDKLDQNDQGNDGTTAILYDYPPTVLASLWTKDGSHEQSKQSRWHDHQLPP SAANVVVHGWVESNGEESGVSDSGNFPPQTRTTTTTVDIECNETNAVDIALSQFVHTN VDEKDAEIDRLTKQNECLVHLLRKVTAKVMQNRTDLAFYRNKLRVLMRPVPKPATSEM SVQTHDDDLADEVDGGRPQSADVGSPQIEALTWQLKVASAKILSLSTCLDAAKAQLDA DSTSTAALTKKYQAEAVAWKAQLMEAQKEASAHRSTVQSQDALASVLCDYVGRCGIAI DPSMPATKAKALLQPHRVVRRTHKVVADGLYDQLTDSMTRELAFLSKLDAFDPTDSTA CHSLLNRRQHHRGGSTHDDYVRVNC H257_11828 MDESASKLILELLQSKDDAIEELNEEKMVLMEQLEQALWDLEHA ITHNNSVAARASLDHDDVALHIDGQLRALEHQVSDLKLDVATANTQHGMPVIDHTTSH QQGGVTYPCSENASSYTDLDEQQLHHVEEMEPKPTQQQAVSTLDTDKLQRHFASNKTT SSIVRAASVGLDLVPHPAATQTIQNATPAIAAPTNSTYSRPSLAPPVAVAHQEPLDES AHLRRQLDALTLQWQQSQTDHGVRIQAYESQVQTLQEELAESQRQLHASETEAMTLLQ SLQRSSETVRAIDMQHQRALAVQAHEHATVVATWQAKVTQLQLDKQQLVHHAALVVQA AEETTSSTNIMASPPPTPRPQVKTTGTTTDDLHGLALSRHAIAAAPHIPPLSSPVPTV GYAEPQFGVESISHHPSKHQSGGLDDVGSTIPDQPLIHKQLLHQLQDLQAQNKSLAQD IALEMQTSRVGRDHIARLERQVDDLAAVVAAQDNVQEALESRASCAIALAARALHPAT MAVSPPVSIDDWVSILTDLWEQQCAATNIIAPANPLENVYATTLCVCACPPRVQQNSL TNLQPPIKSMECHRSLPTEDENAPDNQHHMCTWYLQAKKWQLDVQTLQLAQCSHEETL AELRQAKASSDSDATAARAAHLTMRKKMSILQADVDATKQALVQVKSELRASARDRSD MEHMVSRLRDESSRLKDSLRRKQELVSHLKRSFEEAKRALDEEKNQRKVKPVVVVGGV VAPSSHPSASRVITQQQPGTTTDANTRCHQLAQQLQAQVVTLKTDKEHLRTRCATLLH AVKLKDKLLQEHSTVAAQSAGESGGGGGGATLQPLDANAAMVKEMKRRLHQKQVLVEA LKHKDAAVKGQLLALQKQHDRLQAKLHWSKLDAIAPSIVVEPTQADVDLRRCLDGLRA CVYDVAHDLLFPTSDSTPVQKRIDVPSTPGTVSQQKLQALGLTYFSPTDLEALTTVPT NQNSGTSHRKKTQVLDALEQALEGRPDDCRHALLEVFHAATDNSSPRLRP H257_11829 MSGESPTNKEKERISKPAHAACTQVLAMLLGHETLQSPSLSTAA RSALLDGTYANIDAVEPADLKAKLTRKTMGLDVVQKLLGSKPSRCRFKTCERFSKDVR LVFQNILLYQSYLKDHAPKVYDPTLFETSQRLLQGFEMMYAGELARHVTTLAAQPSPS VSPEPSPAAPSSHKPPSTTLSHRPSSSSSLSDDDKAKCHGIVQRIMKYKEMGVAMAAP FFNPVDVGMYVDYKVKIPHRMHLYGVQQKLSTGAYASAAAFVQDMRLIFANCLVYNSE VILSAKIREHAVKLMHVLEQLVEQASFDQTPNATWTGMAHTDRWKCHQVLQDVLAHRS PGGIETAQWFKHPIVTYFASPDQAPFNYFKVIKRPMDIGTVTSRLHLGEYGDVAAFVA DLRLVFDNCIKYWKASVDGQVYCDAAKTLLATMESSAVKVFGSAMSASLFPAKTASHE KRTTSATATTTTAAVPAQPSSTAISPKDIKSSSKKNATDLSKPSTSTSATSTSSSSSS SSRREFADKDKCLQMLETLRQHKMRGAMGKDIHTAYPFLHAVDITRYPDYAKIVSEPM DFNKIDRKLKSNRYTSLSEFSADVHLIFSNCLKYNSDPVEGADIRTMATTLRDCFIQL YQNLESGQVPGTPAIAASSSSKKNRSSSGRRDTPSDNNNDGDDTTKKRSSKKDKKEKK KKKDKKKDKKKHHHHRDKHSVEAVATATNTLSSPPIPTPSPPLPNVVVVAPPTSATPS KSSSKLSSKVKLDLSPWEASCERLVSRILKLDFVTAMHFDAPLVQKFPDLAKIYKSIV AEPMDLGTLRHLLITHAIADPVEFVRLGRLICDNAKTFNAGPDAASVRVRETADHLRW LFDSLCVEMNVLPDAEPLRRQWRTDRFSAVQTLKFTESKPNKECVKVLRALMSQKQVK DRWPFMEPAGVLFKDLPPTYYEIVKQPMDLKTVGEKLNALVYKSYGEFIGDIRLTFEN AMLYNQLDKAKDEWTVYNAAKRLHELTTELWGDVTIDIVERLRRQVMEHKEAKIESDK SRAVEKARTATDDAARVKDYHAKLEQQKKDEAEAEVRAANERAAARDKAAKDARLAQM DKLSKAERKIEDKRRKREEELAHVERRNRTAVVATEEALKEAELRSRMRAKARQRDEA KKMSLVSNGDGDGHDSESKGKHGAMRMMAMMHPVDKPRKRRGGDGSADGATKFWKLKR RKLNVASVFVQSADEVQDQPE H257_11829 MSGESPTNKEKERISKPAHAACTQVLAMLLGHETLQSPSLSTAA RSALLDGTYANIDAVEPADLKAKLTRKTMGLDVVQKLLGSKPSRCRFKTCERFSKDVR LVFQNILLYQSYLKDHAPKVYDPTLFETSQRLLQGFEMMYAGELARHVTTLAAQPSPS VSPEPSPAAPSSHKPPSTTLSHRPSSSSSLSDDDKAKCHGIVQRIMKYKEMGVAMAAP FFNPVDVGMYVDYKVKIPHRMHLYGVQQKLSTGAYASAAAFVQDMRLIFANCLVYNSE VILSAKIREHAVKLMHVLEQLVEQASFDQTPNATWTGMAHTDRWKCHQVLQDVLAHRS PGGIETAQWFKHPIVTYFASPDQAPFNYFKVIKRPMDIGTVTSRLHLGEYGDVAAFVA DLRLVFDNCIKYWKASVDGQVYCDAAKTLLATMESSAVKVFGSAMSASLFPAKTASHE KRTTSATATTTTAAVPAQPSSTAISPKDIKSSSKKNATDLSKPSTSTSATSTSSSSSS SSRREFADKDKCLQMLETLRQHKMRGAMGKDIHTAYPFLHAVDITRYPDYAKIVSEPM DFNKIDRKLKSNRYTSLSEFSADVHLIFSNCLKYNSDPVEGADIRTMATTLRDCFIQL YQNLESGQVPGTPAIAASSSSKKNRSSSGRRDTPSDNNNDGDDTTKKRSSKKDKKEKK KKKDKKKDKKKHHHHRDKHSVEAVATATNTLSSPPIPTPSPPLPNVVVVAPPTSATPS KSSSKLSSKVKLDLSPWEASCERLVSRILKLDFVTAMHFDAPLVQKFPDLAKIYKSIV AEPMDLGTLRHLLITHAIADPVEFVRLGRLICDNAKTFNAGPDAASVRVRETADHLRW LFDSLCVEMNVLPDAEPLRRQWRTDRFSAVQTLKFTESKPNKECVKVLRALMSQKQVK DRWPFMEPAGVLFKDLPPTYYEIVKQPMDLKTVRIY H257_11830 MEPVNLPHHSGAGIFVTEDDGEGGLYAASKSTTPATTTSGNSSS PWQKRSSTFLPTTSSFASRPSQSSSTSLAGSAASLPVFRHCSALPEYFWRVLDYRQMD LEATYYQMVTLCISPTKVYKSAYYRKQTKNRWARDDPAFAVIQVLFLVVASLAWTVAF EKTSSLAFLLLCDVLVEWLILGLVTSTLTWWCANQFLRIGQTSTTTTSSTSSSRPATS LDTFFVAQAVEWQYAFDIHCNAFFIFFLVVHVLQFVLLPWLLSSALGSLVLANTLYAI GCGSYVYITFLGYMALPFLHHTERFLYPIVAIGGLYLSSVVLKLVFGATVNVALVSAT ARRRAGMDNIFTGETCEQATEKHNLRMDYAAVLQEQIRLQNAKKEQLKQQKLDEQRLE REEMDRMRGNNNNMKQHHPQLGNQGQQQPPPPSPDKTQPTSQPQRNNLSPVKSPPPSV HPPTTTHGSPATFVPQYESPMQSAFNNAPLYTAPMIPQMNSNPWRPPAATPELDAIQR LRQELEDARKLRTMAQKQVYQKPNFMLASSTPSVTSSAWKGNSVYSATNVSPRDQTIP KDVYRRQHSRPATPVADDIEQELGMDAGNNNQLESSSSFVAVTIPEKHAKEPRGGGME LQVESCFVPLAATAHYHAPLSHQIQQTHGGVGGKQLHPESHREHFLSGEACKTNRGIM HCSSLEDSIDDIDAILHAFLAKTRG H257_11831 MSSAAEQLDTVIATLESNLGLAPNEPVDKPKSPKAQAPAKREKK EKKEKAPVAAAAPAEEQAEITKLDIRVGKIVNVWKHETADKLYCEEIDVGEDAPRQIA SGLVKHYTLEQMQGRLVLVMCNLKARNLVGFKSHGMVLCAVATNADGSESVAFVEPPA GAVVGERVTYDGVTGGEPWTPAQVEKKKVLVSAGEGLVSDAQGVAKWNDHVMLTSAGP CTSPSIRSGILR H257_11832 MQLLGKLWFVDMPMPVEWHPKWETHFRDTHHSILKDVVASRNKL AFKIDHELPPTNQEHDDTVNLTCVESDLQQYLHLVDGLNDVRATLPRQNTPFQTWTWK HACLNQPTDPTEPYSLEFYLDTERGMVVFAMACVCALQAEQRSSVPPLDDDTSSSSTT VKDTWRRAAGLFHEATARLPPSSPLHPFLHIWVQLCVVHAHAVEMHHVQAGLDTTSHV QIARYESALSRGGHSACVAAQSFVAHMSSPTSTTTCQQMRWLVLVYKTLCKASYCMSE VVLYESKHPDIALLFCDAVIKSKLPMPPQYPHRACVYFTKLMQTLVAAHHDSVAHAMD IKSTLQGTAKSVSWTHALRPEHVHSFLSMEPLQLQSLQPIDVVLPDHVVDAPVRAAIR HAFMQHPDALLIPNTSKSPVERPNSSSRTSKTVVYQDHSTRNPHDPSTTWATSPLLCP LQVSPAKGPPVVHFDPNTSTSRDIRVAMRAHNLGLLPDSSAKKNRLFEWEYGVEPFTP RLDARQLTRGLSLPALPLAISSAVDAQHTTTLTPSHGRSLLPTTSTSSTSSSTEANDQ FDNNGHHHVNDDNITPSTDDLPLTLLKLDCLVGAETFKQDHHSLLSSMHSSLPSPCDA MGTTTTTIPKLNRNMVTSTRKCCALCTRPFPVVNLVGVVLMKRILELRATWGLATAGT PKCAPASYLYRDVRVCVLCTDILYGQPDFRLNSQAPPSTTLSLSSSSPSISPSSLLPL HPLPTSSSSIQHTASISKHVQHLRRLSLSAHTYSTTTTPTATTVTPPPGFDKDILRQP QPLTNSTTNYVVDEVGYMIHQRILNDALLLRAPSQVLPCVDLTQRRHRGVVASQSSVL MLGVASNAINPVDTHRGIHTHEEQAPWWEVDLGSHCEISTVEVWNCADSDPQVAARLF PCHILLLLKPGHRRPLVELLGVAVDSVVLAAPSQPLRWRPKTGSVCRYVRLVVDKLTY LHVERVHVFGTALKHDDLVPTTNPLRPATASTAAAKSTTLPSSPASNLRRGQRHHTPD NHPNLPHHSIQPPFNHISMRFQQDRRHSSTSSTSGSPHRPKSAGFLLPTASSHMRDQL THALRDQLAIDDQVNHPLMHSPHYRQHHRLPPSSTSSPCQ H257_11832 MFLGWERVLLPTDIALLFCDAVIKSKLPMPPQYPHRACVYFTKL MQTLVAAHHDSVAHAMDIKSTLQGTAKSVSWTHALRPEHVHSFLSMEPLQLQSLQPID VVLPDHVVDAPVRAAIRHAFMQHPDALLIPNTSKSPVERPNSSSRTSKTVVYQDHSTR NPHDPSTTWATSPLLCPLQVSPAKGPPVVHFDPNTSTSRDIRVAMRAHNLGLLPDSSA KKNRLFEWEYGVEPFTPRLDARQLTRGLSLPALPLAISSAVDAQHTTTLTPSHGRSLL PTTSTSSTSSSTEANDQFDNNGHHHVNDDNITPSTDDLPLTLLKLDCLVGAETFKQDH HSLLSSMHSSLPSPCDAMGTTTTTIPKLNRNMVTSTRKCCALCTRPFPVVNLVGVVLM KRILELRATWGLATAGTPKCAPASYLYRDVRVCVLCTDILYGQPDFRLNSQAPPSTTL SLSSSSPSISPSSLLPLHPLPTSSSSIQHTASISKHVQHLRRLSLSAHTYSTTTTPTA TTVTPPPGFDKDILRQPQPLTNSTTNYVVDEVGYMIHQRILNDALLLRAPSQVLPCVD LTQRRHRGVVASQSSVLMLGVASNAINPVDTHRGIHTHEEQAPWWEVDLGSHCEISTV EVWNCADSDPQVAARLFPCHILLLLKPGHRRPLVELLGVAVDSVVLAAPSQPLRWRPK TGSVCRYVRLVVDKLTYLHVERVHVFGTALKHDDLVPTTNPLRPATASTAAAKSTTLP SSPASNLRRGQRHHTPDNHPNLPHHSIQPPFNHISMRFQQDRRHSSTSSTSGSPHRPK SAGFLLPTASSHMRDQLTHALRDQLAIDDQVNHPLMHSPHYRQHHRLPPSSTSSPCQ H257_11833 MMLIVLAAVAISSDGVRSVLEETFAWLVQVLVDNTQEFFWGLLL LTCFCLLSYIMEPEKPKGEPRAESRNSSSNKPRWEVFRVTNYIVSAMFVVSLSLLISN QNNHHAIDSSSTSTSKSPSSSNPMVFYASACGCIMSLTYFFAFFAVGFVSNQVHEDSS EDEKDLDDSKWKQPKKANASPAIATSCQPPMQPIAPAKAPASLLTPPLSTLDADDEAI YQKLTTKNPVTGRPLLALHDLEKTLQDYERAVRLRRHYFEAQSQLDFTHLPIHGYDYG KIYGSNCEVVVGYVPMPVGLAGPIRVNGDMVYLPMATTEGCLIASTNRGCKVLSMDPR GVDAIILADAITRAPCVRFERAAEAAALKAFLDDKVNFDALAAEFNSTTRYGRMTSVK TIQSGRNCYVRLSCNAGNAMGMNMVSKGTLAVLAFLKSLFPSMELVAISGNVCTDKKS AAINWIDGRGKSVVADAVVKASVVESVLKTTVDALVDLNIQKNFVGSAMAGSLGGFNA HAANILTAIYLATGQDPAQNVESSSCMTNMEKTADGDLYMSVTMPSMEVGTVGGGTHL APQQACLGLMGCAPHQTHEQGESGARRLACAIAAGVMAGELSLLAALATNELVKSHMD LNRKNEDTAAGVDRRASRLVLQRCPHCNCEYGSASLPIHMTRCRMLVSSTDDAVMGTN DVGAPTTNKAIPPLSALCIKFILANLHATCINGLYSQPASQAWLLASLPEAVTQQVMV CMVHRLQKMTCSYEKHKAQLRAVTDKCLVLEVAADQARVVRRDRDRLAQLLTVKSNDM THLRRQTDALQKQLSNVHTLVTKLTRQVDVLERAKGTADATVQSLRQRQQLKQTPAAA RPRVSSVHPTASSCPRPVRSSSSSIHGRAQVMAPPVRPRPRSLGSNIPLPSTTNYYCT AGDTT H257_11833 MMLIVLAAVAISSDGVRSVLEETFAWLVQVLVDNTQEFFWGLLL LTCFCLLSYIMEPEKPKGEPRAESRNSSSNKPRWEVFRVTNYIVSAMFVVSLSLLISN QNNHHAIDSSSTSTSKSPSSSNPMVFYASACGCIMSLTYFFAFFAVGFVSNQVHEDSS EDEKDLDDSKWKQPKKANASPAIATSCQPPMQPIAPAKAPASLLTPPLSTLDADDEAI YQKLTTKNPVTGRPLLALHDLEKTLQDYERAVRLRRHYFEAQSQLDFTHLPIHGYDYG KIYGSNCEVVVGYVPMPVGLAGPIRVNGDMVYLPMATTEGCLIASTNRGCKVLSMDPR GVDAIILADAITRAPCVRFERAAEAAALKAFLDDKVNFDALAAEFNSTTRYGRMTSVK TIQSGRNCYVRLSCNAGNAMGMNMVSKGTLAVLAFLKSLFPSMELVAISGNVCTDKKS AAINWIDGRGKSVVADAVVKASVVESVLKTTVDALVDLNIQKNFVGSAMAGSLGGFNA HAANILTAIYLATGQDPAQNVESSSCMTNMEKTADGDLYMSVTMPSMEVGTVGGGTHL APQQACLGLMGCAPHQTHEQGESGARRLACAIAAGVMAGELSLLAALATNELVKSHMD LNRKNEDTAAGVDRRASRLVLQRCPHCNCEYGSASLPIHMTRCRMLVSSTDDAVMGTN DVGAPTTNKAIPPLSALCIKFILANLHATCINGLYSQPASQAWLLASLPEAVTQQVMV CMVHRLQKVWSEHSTHP H257_11834 MGLESTMIVVDTSEWMRNGDYIPSRVEAQGDAVNLLVTFKTNAN PESTVGILAMSSTVNVHSKTVSLLASPTDNVGKLLNVVHEITPTSIGGSIQFAEAIQV AQLALKHRRNKKGSARIVIFLGSPIDEDDKSLVKVGKLLKKNNIGVDVVSMGDVDLNA AKLQVFIDAANSNQNSHLITVPPGVLPSDVLVSSPVFHGDDGGSGHVGGGASGGNDFA EYGGVDPNMDPDLALALRVSMEEERARQEAAAKRAAAEEGEKAATSADVTTPPPAAPV TAVDTPATVVTPTPPVSAPAAVPTTPATAASAASPAPPAPASASPFMDPAFVSQLLGG LPGVDPNDPKIKEAMEKLTKKQDESKDK H257_11835 MAASTNAAVAVEAADAIRNVLSFCCGTDNTKVYIQDLLRVFDKR TLADDLKEIVQVTHPKARHLNGWHLERLALGEYGDQTPQDISRAVKDIQRDIRSSKAL VTAMLEREKVWNDRRDAFSAAKRQQQAAMAVELTIAKEIVHKMHVVGELDSPEEALAA ILAQPAPVEARLLESATIAHDPASITDDEANHVIDLSHSPSI H257_11836 MDLALDVEGAQVTSATSFDPKFPPSNVLDGDTGTKWATCGLYPQ EIIVQLATTSVISKVKTWTTNAKHVVVEVCAGPLPTKWEKVVDTNIGENDGNLQIETQ AVTREDASFVKVKVLSGYNDFITVHRISVEGKAPRK H257_11837 MSKRQQSIMSFFGAKPAEKTSDAKVVDATEAPPSAWTPAPHGES VAPSSPSDDSPNKSKTPQVAEIVVKKTPATASASKKAKKSSSPPPSPEPKRKRLRRTI VEDDSSDDDDMFTEKKVAVPKKEAAQAKDSGIGGHGLDDIDALPEQPVETAATVPTKE EPHTKPSPSTPHDDDHGLLLLYNPKEKNPMKRGGWTAGDPVPYAALSKVFAVIEDESS RLIIQDTLADFFRSVIELTPSDLVSCIYLCVCTELAPAYDNVQIGIGDAILIKSIGEA TGTTPKFVKDLYQKQGDLGKVAQASRSKQSTLMTFQTKPKPLAVAHVYNDMVKIAKMS GNNSQASKCSIIKSLLVRCDKLSDEAKYVIRGLQGKLRIGLAGQSILMSLTQAFMHPK EQGDKALQAEALKHVKRAFSEFPNYEVLASSLLTVFTRENDQKGVFASQFVELAEFCH LTAGTPVSPMLARPTKSYAMVLDRFQAMPFTCEYKYDGERAQIHILPNGDIRIFSRNF ENSTERFPDVKLSIANAAAKANVTSCIVDAEVVAVDKTTNQRLPFQVLSTRPRKNVVV SEIKVAVCIYAFDLLFLNGKSFLKEPLQARREALKGMFQVTPGSFEFATSLDVANTKD DDMESTVEIVRNFLEEAVAGNCEGLMVKTLSTEATYEPANRSHKWLKLKKDYLDGIGD STDLVPVGAFYGRGKRTGVYGAYLLACYDPETEMYQCITKLGTGLSDEVLGLFFNQLK DCTIDRPRNDYAINDLIKPDVWFEPTQVWEILGADLSISPKYTAAIGLVSKDKGISLR FPRYIRLRDDKTPVQATSAAQIADLYNAQGLNTTNDKDEFDDDDAL H257_11837 MSKRQQSIMSFFGAKPAEKTSDAKVVDATEAPPSAWTPAPHGES VAPSSPSDDSPNKSKTPQVAEIVVKKTPATASASKKAKKSSSPPPSPEPKRKRLRRTI VEDDSSDDDDMFTEKKVAVPKKEAAQAKDSGIGGHGLDDIDALPEQPVETAATVPTKE EPHTKPSPSTPTKMTESTATNDDNDADTQKDTSKLGSFFEANQSIKAKKSTKKSTKTS SSIPTDGSTTPHDDDHGLLLLYNPKEKNPMKRGGWTAGDPVPYAALSKVFAVIEDESS RLIIQDTLADFFRSVIELTPSDLVSCIYLCVCTELAPAYDNVQIGIGDAILIKSIGEA TGTTPKFVKDLYQKQGDLGKVAQASRSKQSTLMTFQTKPKPLAVAHVYNDMVKIAKMS GNNSQASKCSIIKSLLVRCDKLSDEAKYVIRGLQGKLRIGLAGQSILMSLTQAFMHPK EQGDKALQAEALKHVKRAFSEFPNYEVLASSLLTVFTRENDQKGVFASQFVELAEFCH LTAGTPVSPMLARPTKSYAMVLDRFQAMPFTCEYKYDGERAQIHILPNGDIRIFSRNF ENSTERFPDVKLSIANAAAKANVTSCIVDAEVVAVDKTTNQRLPFQVLSTRPRKNVVV SEIKVAVCIYAFDLLFLNGKSFLKEPLQARREALKGMFQVTPGSFEFATSLDVANTKD DDMESTVEIVRNFLEEAVAGNCEGLMVKTLSTEATYEPANRSHKWLKLKKDYLDGIGD STDLVPVGAFYGRGKRTGVYGAYLLACYDPETEMYQCITKLGTGLSDEVLGLFFNQLK DCTIDRPRNDYAINDLIKPDVWFEPTQVWEILGADLSISPKYTAAIGLVSKDKGISLR FPRYIRLRDDKTPVQATSAAQIADLYNAQGLNTTNDKDEFDDDDAL H257_11837 MFTEKKVAVPKKEAAQAKDSGIGGHGLDDIDALPEQPVETAATV PTKEEPHTKPSPSTPHDDDHGLLLLYNPKEKNPMKRGGWTAGDPVPYAALSKVFAVIE DESSRLIIQDTLADFFRSVIELTPSDLVSCIYLCVCTELAPAYDNVQIGIGDAILIKS IGEATGTTPKFVKDLYQKQGDLGKVAQASRSKQSTLMTFQTKPKPLAVAHVYNDMVKI AKMSGNNSQASKCSIIKSLLVRCDKLSDEAKYVIRGLQGKLRIGLAGQSILMSLTQAF MHPKEQGDKALQAEALKHVKRAFSEFPNYEVLASSLLTVFTRENDQKGVFASQFVELA EFCHLTAGTPVSPMLARPTKSYAMVLDRFQAMPFTCEYKYDGERAQIHILPNGDIRIF SRNFENSTERFPDVKLSIANAAAKANVTSCIVDAEVVAVDKTTNQRLPFQVLSTRPRK NVVVSEIKVAVCIYAFDLLFLNGKSFLKEPLQARREALKGMFQVTPGSFEFATSLDVA NTKDDDMESTVEIVRNFLEEAVAGNCEGLMVKTLSTEATYEPANRSHKWLKLKKDYLD GIGDSTDLVPVGAFYGRGKRTGVYGAYLLACYDPETEMYQCITKLGTGLSDEVLGLFF NQLKDCTIDRPRNDYAINDLIKPDVWFEPTQVWEILGADLSISPKYTAAIGLVSKDKG ISLRFPRYIRLRDDKTPVQATSAAQIADLYNAQGLNTTNDKDEFDDDDAL H257_11837 MFTEKKVAVPKKEAAQAKDSGIGGHGLDDIDALPEQPVETAATV PTKEEPHTKPSPSTPTKMTESTATNDDNDADTQKDTSKLGSFFEANQSIKAKKSTKKS TKTSSSIPTDGSTTPHDDDHGLLLLYNPKEKNPMKRGGWTAGDPVPYAALSKVFAVIE DESSRLIIQDTLADFFRSVIELTPSDLVSCIYLCVCTELAPAYDNVQIGIGDAILIKS IGEATGTTPKFVKDLYQKQGDLGKVAQASRSKQSTLMTFQTKPKPLAVAHVYNDMVKI AKMSGNNSQASKCSIIKSLLVRCDKLSDEAKYVIRGLQGKLRIGLAGQSILMSLTQAF MHPKEQGDKALQAEALKHVKRAFSEFPNYEVLASSLLTVFTRENDQKGVFASQFVELA EFCHLTAGTPVSPMLARPTKSYAMVLDRFQAMPFTCEYKYDGERAQIHILPNGDIRIF SRNFENSTERFPDVKLSIANAAAKANVTSCIVDAEVVAVDKTTNQRLPFQVLSTRPRK NVVVSEIKVAVCIYAFDLLFLNGKSFLKEPLQARREALKGMFQVTPGSFEFATSLDVA NTKDDDMESTVEIVRNFLEEAVAGNCEGLMVKTLSTEATYEPANRSHKWLKLKKDYLD GIGDSTDLVPVGAFYGRGKRTGVYGAYLLACYDPETEMYQCITKLGTGLSDEVLGLFF NQLKDCTIDRPRNDYAINDLIKPDVWFEPTQVWEILGADLSISPKYTAAIGLVSKDKG ISLRFPRYIRLRDDKTPVQATSAAQIADLYNAQGLNTTNDKDEFDDDDAL H257_11837 MFTEKKVAVPKKEAAQAKDSGIGGHGLDDIDALPEQPVETAATV PTKEEPHTKPSPSTPTKMTESTATNDDNDADTQKDTSKLGSFFEANQSIKAKKSTKKS TKTSSSIPTDGSTTPHDDDHGLLLLYNPKEKNPMKRGGWTAGDPVPYAALSKVFAVIE DESSRLIIQDTLADFFRSVIELTPSDLVSCIYLCVCTELAPAYDNVQIGIGDAILIKS IGEATGTTPKFVKDLYQKQGDLGKVAQASRSKQSTLMTFQTKPKPLAVAHVYNDMVKI AKMSGNNSQASKCSIIKSLLVRCDKLSDEAKYVIRGLQGKLRIGLAGQSILMSLTQAF MHPKEQGDKALQAEALKHVKRAFSEFPNYEVLASSLLTVFTRENDQKGVFASQFVELA EFCHLTAGTPVSPMLARPTKSYAMVLDRFQAMPFTCEYKYDGERAQIHILPNGDIRIF SRNFENSTERFPDVKLSIANAAAKANVTSCIVDAEVVAVDKTTNQRLPFQVLSTRPRK NVVVSEIKVAVCIYAFDLLFLNGKSFLKEPLQARREALKGMFQVTPGSFEFATSLDVA NTKDDDMESTVEIVRNFLEEAVAGNCEGLMVKTLSTEATYEPANRSHKWLKLKKDYLD GIGDSTDLVPVGAFYGRGKRTGVYGAYLLACYDPETEMYQCITKLGTGLSDEVLGLFF NQLKDCTIDRPRNDYAINDLIKPDVWFEPTQVWEILGADLSISPKYTAAIGLVSKDKG ISLRFPRYIRLRDDKTPVQATSAAQIADLYNAQGLNTTNDKDEFDDDDAL H257_11837 MWILTYRPHDDDHGLLLLYNPKEKNPMKRGGWTAGDPVPYAALS KVFAVIEDESSRLIIQDTLADFFRSVIELTPSDLVSCIYLCVCTELAPAYDNVQIGIG DAILIKSIGEATGTTPKFVKDLYQKQGDLGKVAQASRSKQSTLMTFQTKPKPLAVAHV YNDMVKIAKMSGNNSQASKCSIIKSLLVRCDKLSDEAKYVIRGLQGKLRIGLAGQSIL MSLTQAFMHPKEQGDKALQAEALKHVKRAFSEFPNYEVLASSLLTVFTRENDQKGVFA SQFVELAEFCHLTAGTPVSPMLARPTKSYAMVLDRFQAMPFTCEYKYDGERAQIHILP NGDIRIFSRNFENSTERFPDVKLSIANAAAKANVTSCIVDAEVVAVDKTTNQRLPFQV LSTRPRKNVVVSEIKVAVCIYAFDLLFLNGKSFLKEPLQARREALKGMFQVTPGSFEF ATSLDVANTKDDDMESTVEIVRNFLEEAVAGNCEGLMVKTLSTEATYEPANRSHKWLK LKKDYLDGIGDSTDLVPVGAFYGRGKRTGVYGAYLLACYDPETEMYQCITKLGTGLSD EVLGLFFNQLKDCTIDRPRNDYAINDLIKPDVWFEPTQVWEILGADLSISPKYTAAIG LVSKDKGISLRFPRYIRLRDDKTPVQATSAAQIADLYNAQGLNTTNDKDEFDDDDAL H257_11837 MSKRQQSIMSFFGAKPAEKTSDAKVVDATEAPPSAWTPAPHGES VAPSSPSDDSPNKSKTPQVAEIVVKKTPATASASKKAKKSSSPPPSPEPKRKRLRRTI VEDDSSDDDDMFTEKKVAVPKKEAAQAKDSGIGGHGLDDIDALPEQPVETAATVPTKE EPHTKPSPSTPHDDDHGLLLLYNPKEKNPMKRGGWTAGDPVPYAALSKVFAVIEDESS RLIIQDTLADFFRSVIELTPSDLVSCIYLCVCTELAPAYDNVQIGIGDAILIKSIGEA TGTTPKFVKDLYQKQGDLGKVAQASRSKQSTLMTFQTKPKPLAVAHVYNDMVKIAKMS GNNSQASKCSIIKSLLVRCDKLSDEAKYVIRGLQGKLRIGLAGQSILMSLTQAFMHPK EQGDKALQAEALKHVKRAFSEFPNYEVLASSLLTVFTRENDQKGVFASQFVELAEFCH LTAGTPVSPMLARPTKSYAMVLDRFQAMPFTCEYKYDGERAQIHILPNGDIRIFSRNF ENSTERFPDVKLSIANAAAKANVTSCIVDAEVVAVDKTTNQRLPFQVLSTRPRKNVVV SEIKVAVCIYAFDLLFLNGKSFLKEPLQARREALKGMFQVTPGSFEFATSLDVANTKD DDMESTVEIVRNFLEEAVAGNCEGLMVKTLSTEATYEPANRSHKWLKLKKDYLDGIGD STDLVPVGAFYGRGKRTGVYGAYLLACYDPETEMYQCITKV H257_11837 MSKRQQSIMSFFGAKPAEKTSDAKVVDATEAPPSAWTPAPHGES VAPSSPSDDSPNKSKTPQVAEIVVKKTPATASASKKAKKSSSPPPSPEPKRKRLRRTI VEDDSSDDDDMFTEKKVAVPKKEAAQAKDSGIGGHGLDDIDALPEQPVETAATVPTKE EPHTKPSPSTPTKMTESTATNDDNDADTQKDTSKLGSFFEANQSIKAKKSTKKSTKTS SSIPTDGSTTPHDDDHGLLLLYNPKEKNPMKRGGWTAGDPVPYAALSKVFAVIEDESS RLIIQDTLADFFRSVIELTPSDLVSCIYLCVCTELAPAYDNVQIGIGDAILIKSIGEA TGTTPKFVKDLYQKQGDLGKVAQASRSKQSTLMTFQTKPKPLAVAHVYNDMVKIAKMS GNNSQASKCSIIKSLLVRCDKLSDEAKYVIRGLQGKLRIGLAGQSILMSLTQAFMHPK EQGDKALQAEALKHVKRAFSEFPNYEVLASSLLTVFTRENDQKGVFASQFVELAEFCH LTAGTPVSPMLARPTKSYAMVLDRFQAMPFTCEYKYDGERAQIHILPNGDIRIFSRNF ENSTERFPDVKLSIANAAAKANVTSCIVDAEVVAVDKTTNQRLPFQVLSTRPRKNVVV SEIKVAVCIYAFDLLFLNGKSFLKEPLQARREALKGMFQVTPGSFEFATSLDVANTKD DDMESTVEIVRNFLEEAVAGNCEGLMVKTLSTEATYEPANRSHKWLKLKKDYLDGIGD STDLVPVGAFYGRGKRTGVYGAYLLACYDPETEMYQCITKV H257_11837 MFTEKKVAVPKKEAAQAKDSGIGGHGLDDIDALPEQPVETAATV PTKEEPHTKPSPSTPTKMTESTATNDDNDADTQKDTSKLGSFFEANQSIKAKKSTKKS TKTSSSIPTDGSTTPHDDDHGLLLLYNPKEKNPMKRGGWTAGDPVPYAALSKVFAVIE DESSRLIIQDTLADFFRSVIELTPSDLVSCIYLCVCTELAPAYDNVQIGIGDAILIKS IGEATGTTPKFVKDLYQKQGDLGKVAQASRSKQSTLMTFQTKPKPLAVAHVYNDMVKI AKMSGNNSQASKCSIIKSLLVRCDKLSDEAKYVIRGLQGKLRIGLAGQSILMSLTQAF MHPKEQGDKALQAEALKHVKRAFSEFPNYEVLASSLLTVFTRENDQKGVFASQFVELA EFCHLTAGTPVSPMLARPTKSYAMVLDRFQAMPFTCEYKYDGERAQIHILPNGDIRIF SRNFENSTERFPDVKLSIANAAAKANVTSCIVDAEVVAVDKTTNQRLPFQVLSTRPRK NVVVSEIKVAVCIYAFDLLFLNGKSFLKEPLQARREALKGMFQVTPGSFEFATSLDVA NTKDDDMESTVEIVRNFLEEAVAGNCEGLMVKTLSTEATYEPANRSHKWLKLKKDYLD GIGDSTDLVPVGAFYGRGKRTGVYGAYLLACYDPETEMYQCITKV H257_11838 MDEELEVQATATACTVQKVTKQSLHQCTIPEAFKHGRHDEVQRT KRSAKEEDTSKKAFHHHQTMLEPATVIPRDDPSTTKRAKLSTPSLVEFKQFKWCGSPE VAAVGKSTKSRRHHVIPDFEEPSKVLLSNGLTNHPPYQRREGSSSNINIQHVDTERQQ GLSCASSSKNANDVKNDGADVHTIPMIDDYEELTLEQEFMKYIF H257_11839 MTTSIQALLGKDIGACYSYSTMNRVQERVLPAAFKNGGNLVVSS PTGSGKTSVFEVAFLRHWSKQRQHGCEAKSGLVLYLAPLKALLHERLVDWSKRFKSLG LTFLELTDMTFDDAQSIMSHDILLSTPEKWDVLTRSSMVPQGLLLVDEVHHIGDGVRG ATLEAVMTRMKYCSTFTFSQNEGVLLSSLRIVAASATFPNVKDIGAWLGCSADMVFEF GPQYRPVPLELHVLGFKSFGNDFLFEKNLDQKVPDVLHKYSKGKPSLIFCSSRKASVG LAMYFTVALSSIVQIHNTSLKMVFQAGIGIHHAGLQENDKQLVQDLFAQGFLHILCCT SSLAVGVNLPAHLVVIKSTQHYQGQSGFTEYTPMSVLQMIGRAGRPGFDKCGAAVIMT EACKESLYANIATTPKIAPIESELQLAAIEAINAEISLGTIHDISQVISWMQLTYMHF RHQNSSRECSGSRQSDVATSIATTTIHHLSDSALLRFTDDDRYCFDVTPSGRIMSQNC IKYDDMVAIIDLVQSKRHASMETLLDVTAGRICGHVPLRRNDKAALNILNQTKVQYRV KGVAAGKYLVQTDRMKANVLLQAALGRVHLDDDSLAFEMDTCVEMALRIVRALMEYCM ESDAGALGLMAFRFGRSLALKAWESSPAPTKLQLLEGVDSDLAQKLDSHGVHSIRQLR DMDPTQLGRYLNTGDCEHLLAEAKTVLDFHLQVQPQVITNRIEIIVQNAQPRQVHRIT ERAAPSGYILLVFTKGRILLLRRDITTTPTTFMVPMSGMSSISIHFLHTAQLGMDEEL EVQATATACTVQKVTKQSLHQCTIPEAFKHGRHDEVQRTKRSAKEEDTSKKAFHHHQT MLEPATVIPRDDPSTTKRAKLSTPSLVEFKQFKWCGSPEVAAVGKSTKSRRHHVIPDF EEPSKVLLSNGLTNHPPYQRREGSSSNINIQHVDTERQQGLSCASSSKNANDVKNDGA DVHTIPMIDDYEELTLEQEFMKYIF H257_11840 MKRGCHDLCTGTATSKRRRDVKYPADAISRLMWAGRSIWKNSLT TDARNNVAQTTTTIKCSHCERSIRPGREMQCVECLNTYCDECATINYSMPHDRIFCLG CNHHATT H257_11840 MKRGCHDLCTGTATSKRRRDVKYPADAISRLMWAGRSIWKNSLT TDARNNVAQTTTTIKCSHCERSIRPGREMQCVECLNTYCDECATIKYVRWPRSLATVF FIMQVN H257_11841 MSTVDRAAKKQKVEAENAGSDSENEDHDDVQVASVIAQFKNEQG ESVGPQLDIPVASTVLQMEELVNQLLDNGKQKVPYSFYLNDTEITDTLATSVRDQNAS TEAALTITFQPLAVFRVRPVTRCTDTLQGHSEAILHVRFSPDGKKLASGGGDATVRFW DTNTCMPQFTGRGHKHHVLCTAWSPDGSRFASADKTGEIRLWDPSTGKQVGEPMKGHR QWVTSLTWEPFHRNSACERFASSSKDGSIKIWNARTGRQVASLSSHTDSVECLKWGGE GLLYSASRDRTIKVWAVEGDQVGKLVRTLVGHAHRINTLALNVDYVCRTGPYDHTFKT FETREEMQQAAQARYDAIRRGQPERLVSGSDDFTLFLWEPAESKKPIERLTGHQQPVN DLSFSPDGRYFASASFDKKVKIWNGKDGKFVATLNGHVGAVYQVCWSSDSRMIVSASK DSTIKVWELANLKNAKETLSGHADEVYALDWSPNGQKVASGSKDRTIKIWRH H257_11842 MERDNGIGSSRASQQWLASLSQPPPSTTTAASSQRDENPTWLRE PPSHLAPAPLALTASSTVVLVPNPKQHALPEQQTHILPDASQVVGSHTWKVAAYKDAL TRKLPLVGRCSFIQHGLCHVCTATATTNTNPALVLNVCPHFDFGHSVCVVHFEQLTRT LVSSMLEQGGGGGVPACPVCLHGCICAVCTRVLTTQIELYDAYRASTSTSRGPSEYQG DVAANSLSPPWLHPNATSSGDVSVRQASITTSATRERTTSTRPTPPSYHGLIDADNLS DAASAQLWRRKPSSLLPPMMQADAKQATDELVRRVGPDSVTGFSPSSKATHHPTTRAG EHPDGTGVVPSYPDVGPAQRRWESHPAHDEAKHTQDDDNHVSRDSGLIDGAAVTADEK KSPAAAMLPLQSFKETQRKQQAGIVQQPKAASKAIAPTTTLTAHGGKQGGGGMAAEPK GGLAIAPKQASKDIGGTTSHTALKRSAAKAHERGALPATKENGRPTSTAKPWTNHVNA MPTTRTTTTTTGGDERVSKAGVPTTIQSIDRHAVDNSLRGSSSTTTTLDQAICSKQTP RLNPASTTTTTTEQAGGSNVWGIAAAIPSKSQETPPPLQAKHMTEPRRKTPVPSSQIS TTNVQPSAKTTTQPVINASAVERTRTVNPKPSTPLLGHNKTTLDDPRVDKPNSAQTTI LQPTEQPPAKTPAELGTRLVVVHEAAIQHVVDSPSPSIPTSSSTNPTPRPPPLGQSSS IAFLRESAATGTTKAWTIDRAKELAAEVQASLVNTDNLVKQWMSDKKKQAGAGTSSSA PNPLQVVPPRHDKATSQPSKRNASDPVSTTTGSTSKKATSTPPLTTATTAKPDLTATS VVYKRRPRTVASSIGSTTSSSSSRATTNENADTSSGLLSSKDVPAMAKSATLNGSGDV VASTRGNLVGRPSLAKRDENDDNGASQQQPPPPVKRLKRTDDDGAAVSATRDRAVRTR RTAAPALLNAHDGTNDPGIAQTSTTMLASSSPSGAAAAAGSGSTTTKTIGNAMSLEGG PPSSVTESNNPRKRPASETCETTKRGRPRRGDQTAAAAAAVAVAVAIAASTTASSSSS SMRKGVDTHDGVAELVASATTPTSRRPASSKKKAKRSHDDDEDDEATSPSAPGKRVTI KRETPVDDDVKIESDDDDDVDTNLDFCSICKQDGDLVCCDVCPRSFHLTCLNKREDQL PLDTWQCHECQHNLSDTHVQKVCRDLTKLRNKALTMRSILTGICSHPFAKPFLAPVAD VEYYDDVVEYRMDLSEVSSRLDRHEYDGDDLVSNAFVRDVQLVWDNCRLFNDDNSGLA RAANTLDADFHKMLQAAAATKNSRGAIRTKKQ H257_11842 MEVRYEERRDNGIGSSRASQQWLASLSQPPPSTTTAASSQRDEN PTWLREPPSHLAPAPLALTASSTVVLVPNPKQHALPEQQTHILPDASQVVGSHTWKVA AYKDALTRKLPLVGRCSFIQHGLCHVCTATATTNTNPALVLNVCPHFDFGHSVCVVHF EQLTRTLVSSMLEQGGGGGVPACPVCLHGCICAVCTRVLTTQIELYDAYRASTSTSRG PSEYQGDVAANSLSPPWLHPNATSSGDVSVRQASITTSATRERTTSTRPTPPSYHGLI DADNLSDAASAQLWRRKPSSLLPPMMQADAKQATDELVRRVGPDSVTGFSPSSKATHH PTTRAGEHPDGTGVVPSYPDVGPAQRRWESHPAHDEAKHTQDDDNHVSRDSGLIDGAA VTADEKKSPAAAMLPLQSFKETQRKQQAGIVQQPKAASKAIAPTTTLTAHGGKQGGGG MAAEPKGGLAIAPKQASKDIGGTTSHTALKRSAAKAHERGALPATKENGRPTSTAKPW TNHVNAMPTTRTTTTTTGGDERVSKAGVPTTIQSIDRHAVDNSLRGSSSTTTTLDQAI CSKQTPRLNPASTTTTTTEQAGGSNVWGIAAAIPSKSQETPPPLQAKHMTEPRRKTPV PSSQISTTNVQPSAKTTTQPVINASAVERTRTVNPKPSTPLLGHNKTTLDDPRVDKPN SAQTTILQPTEQPPAKTPAELGTRLVVVHEAAIQHVVDSPSPSIPTSSSTNPTPRPPP LGQSSSIAFLRESAATGTTKAWTIDRAKELAAEVQASLVNTDNLVKQWMSDKKKQAGA GTSSSAPNPLQVVPPRHDKATSQPSKRNASDPVSTTTGSTSKKATSTPPLTTATTAKP DLTATSVVYKRRPRTVASSIGSTTSSSSSRATTNENADTSSGLLSSKDVPAMAKSATL NGSGDVVASTRGNLVGRPSLAKRDENDDNGASQQQPPPPVKRLKRTDDDGAAVSATRD RAVRTRRTAAPALLNAHDGTNDPGIAQTSTTMLASSSPSGAAAAAGSGSTTTKTIGNA MSLEGGPPSSVTESNNPRKRPASETCETTKRGRPRRGDQTAAAAAAVAVAVAIAASTT ASSSSSSMRKGVDTHDGVAELVASATTPTSRRPASSKKKAKRSHDDDEDDEATSPSAP GKRVTIKRETPVDDDVKIESDDDDDVDTNLDFCSICKQDGDLVCCDVCPRSFHLTCLN KREDQLPLDTWQCHECQHNLSDTHVQKVCRDLTKLRNKALTMRSILTGICSHPFAKPF LAPVADVEYYDDVVEYRMDLSEVSSRLDRHEYDGDDLVSNAFVRDVQLVWDNCRLFND DNSGLARAANTLDADFHKMLQAAAATKNSRGAIRTKKQ H257_11843 MAAAAWPSELVFTPLNLPRKEFILINDVVEASGSFFMHHITSMF LKADQRVCVVALADSLDHFAAVGRKLGANVVKAQHASPPSWLHIDGFSHPADWCKPQH LQEDAPSTPSSVHATFSPASDDAASLCALFCQVRDFVGTQSSPVCIAVDDTSALVDMF GVRNTLTFLRYCRHLALSTASVLVVVNHTDVEADGMYFTAALTDLATFEYSVRGLDSG YCKDIHGAVTMRRPVLLAVDKPLDTERGVTVQYKLVENGIRVFP H257_11843 MAAAAWPSELVFTPLNLPRKEFILINDVVEASGSFFMHHITSMF LKADQRVCVVALADSLDHFAAVGRKLGANVVKAQHASPPSWLHIDGFSHPADWCKPQH LQEDAPSTPSSVHATFSPASDDAASLCALFCQVRDFVGTQSSPVCIAVDDTSALVDMF GVRNTLTFLRYCRHLALSTASVLVVVNHTDVEADGMYFTAALTDLATFEYSVRGLDSG YCKDIHGAVTMRRPVLLAVDKPLDTERGVTVQYKLVENGIRVFP H257_11843 MAAAAWPSELVFTPLNLPRKEFILINDVVEASGSFFMHHITSMF LKADQRVCVVALADSLDHFAAVGRKLGANVVKAQHASPPSWLHIDGFSHPADWCKPQH LQEDAPSTPSSVHATFSPASDDAASLCALFCQVRDFVGTQSSPVCIAVDDTSALVDMF GVRNTLTFLRYCRHLALSTASVLVVVNHTDVEADGMYFTAALTDLATFEYSVRGLDSG YCKDIHGAVRMLM H257_11843 MAAAAWPSELVFTPLNLPRKEFILINDVVEASGSFFMHHITSMF LKADQRVCVVALADSLDHFAAVGRKLGANVVKAQHASPPSWLHIDGFSHPADWCKPQH LQEDAPSTPSSVHATFSPASDDAASLCALFCQVRDFVGTQSSPVCIAVDDTSALVDMF GVRNTLTFLRYCRHLALSTAVLPLPVCPSATS H257_11843 MAAAAWPSELVFTPLNLPRKEFILINDVVEASGSFFMHHITSMF LKADQRVCVVALADSLDHFAAVGRKLGANVVKAQHASPPSWLHIDGFSHPADWCKPQH LQEDAPSTPSSVHATFSPASDDAASLCALFCQVRDFVGTQSSPVCIAVDDTSALVDMF GVRNTLTFLRYCRHLALSTAVLPLPVCPSATS H257_11844 MMEATADPVVLIHGVFGWGNKSPLFNLLPNYWPVAELNRINPNH IIVDVGKVSSDHDRACEAFYQLYGGQVDYGEAHSAQNGHLRFGATYPTDTAKHTRWSA EHPVHLLGHSYGATTAIELYQLLCADFFQVGSTHAWVKSIVCISGPLTGSTLCNAIGA SLKDDLPVLGPGHIAVAGIGLLWKLQNFYFPWLKHVYDLDLGHWMNNTTWEMFYSTRS AVHTSRDLALYDLLPARRVQRNSQLVEMDKVHLLSVVTTATDQHHIPIREWVMTFGVV WLLFRRKRLWPTRSLRCALCLTLIAMTWRKLGKVDYSKVRSSLWGLIWVIRSYTSSMH KRDPLYDGFDSAHWVHNDGIVNTYSQVYPRVEQGAAAAAVEPRRPRSESHMSIDLEVE NDSHTTALIKGTWHTHRMGKNHLCGTHWDKEAHHLYAHVFKLLNKWVAQNNSVADSSS STTTTCAT H257_11845 MAATTYFPAVFIHGTLGWGRRTPFFNLAPNYWPLKQLDDVNPNH IVVEVGIASSDHDRACETFYQLIGGRVDYGEAHAAEKGHLRYGATFDTALHPTWSEDN PVHLVGHSYGATTALELYQLLCVDFFGIGSNYKWVKSIVSISGTLSGTTMGSMFGSTL GNPSPYGSISYLIACGFATLHKLQQHVPWLSNLYDLRMPQWAHASSSSWRTLYDPAHK PLNTDDNVFTCLLPQHRLAKNERLVHMDKIHLFSIVSQTTNVLTYPPVVEFATIAAIC TMWKLQKPKLWIGLLSLWLCRRLTRVDWSKPSLFLGYLMKRHAEKADAFYEGYDKDDW QHSDGVVNSYSMIRPRLSFAPPPPMEEPSEEGTPAVMVRVPSHVSIDMGLPDESNAVM ISTGQWHVYRVNKNHLCGTRGDGDARELYTRLFRLLNQISGTSPCDTILPLPPTQLEL DFLTT H257_11846 MIAVIGDSFVDVLAGVQTLPAWGQDSPCKEPIQMQPGGSALNTA TQLANLNGGGVALFTAVGGDAFGDMLKNHLVKSSVELHAPQLSAHIPTGVCIVLTGQG DRAFATHYGAARVFAVPHINTDALFQAAHIHIGGFYSVTGLIPGLADLLRTAKARGIT LSLDTNYDGTEAWAGLDDILPLLDVFLPNEVEARRISKCDSLDDALMYFGAVAPDMLT VLKVGSDGVRASCGQFFRASFGGFPVTKVEDATGAGDAFNAGFLHAWVQSKDVMEGLK WGCAVGAHCVRVVGACATLPSLDAVTALVQHTTTLSSSTC H257_11847 MRVNKAIRACLLLFVVASTCEARAQVNGVVGVSCTKRSDCGLVP SLGCRQGACNVCVTDDDCGGDAFSYYRCVASPAGPAACLNKNVFSPFTGADVLAAFLA AFSTALGAACGLGGGGLLVPLYIVVVGLTPKFAIPLSKATILGGALATYWSNYHSKHP YASRRPIIDYALAGLMEPPTLVGTIAGVMANGIFPSWLILALLILLLAFVTYRVTTKA NAMYARENAEFAAATTTADSLNPPNHVAVETTGLHVNPPSTPLVEKDEANAADQGEGR VDTDFVRFRPEQEIAVQSSRTMRPTTSQETQLAVCHMQETNTFPFRQCILPLVVCIAA ILAQSLLRGGHGAPSLVGVACGSTMYWLLIIPPTVVLGIVTYFMGRLLLNRTRLYAAC GEDTVKGDVTWTKYKAFVVFPAQCVVAGFASALLGIGGGIVQGPVMLEHGVTPLVQSA TASYMILFTSTSTTIQYTIAGQFPGELQYDYVCWYVALGFLGGLFGKKVVELLIRKSG RMSYFLYFLAANSAVQAVAMGYIGIRNVVHDINTGDNLGLSSLCHG H257_11848 MEGQGHIRSVYEVGVITALFAIVSAALGRICGLSGGGLLVPPYM VVLGLSPKFAIPLTKVTIVGVAGDLLVESSGANTRTHHTDR H257_11849 MSGQLRFDGWYACSESTFDASVNLVAECGKYTLPLCHPGVCSDD TRRTLDVFVKRIRAVNSTNPKILWMLQGGPGYASADLDSWLADMYTLQRGQVTVMTMD HRGVGRSSYLSCPAAQATTSGSPGGRAITPDELPACLANVQHIYGAANAAGFSITSAA TDLLTIISATSSPTQEVYMYGVSYGTIWVQRAMLVLPHLFPSLHNIRGFVLDGVVTHS GPHRTVFSDWDVNHGIVATKTLYDTTLLLYVKLNAASHACNALVKTNFGDADGLKMLF SEYLQHSTLRVLIPVLVYRLQRCQTADIVLQTMLNSVQDLMDAPHMGTSFYSELVRNV IGYSDLWELPTPTQAVLQAKFDQNVVASGMVSSLTEYCIYTGATDPACVATDSTYQYN HSISFTYPPDAYFNQTVVVPPQASVLLFNGGLDPQTPLGGAQDTRNLLQTARKLLVEF PYCPHGILGVSLTTNASAPPCGQTILASYVAESGNLGAVDTTCVQTLRPMSFQLSTAF ADTLVPGISLGDLYDGTLPPSATAPPSALTTIRPMTTSSPNITTAANTVDTTPPYFVE FAIVSALAVVASFAVGLLVWEVRLRVNRRRLQQHQ H257_11849 MSGQLRFDGWYACSESTFDASVNLVAECGKYTLPLCHPGVCSDD TRRTLDVFVKRIRAVNSTNPKILWMLQGGPGYASADLDSWLADMYTLQRGQVTVMTMD HRGVGRSSYLSCPAAQATTSGSPGGRAITPDELPACLANVQHIYGAANAAGFSITSAA TDLLTIISATSSPTQEVYMYGVSYGTIWVQRAMLVLPHLFPSLHNIRGFVLDGVVTHS GPHRTVFSDWDVNHGIVATKYFDLCRQNAFCASKFPDRTLYDTTLLLYVKLNAASHAC NALVKTNFGDADGLKMLFSEYLQHSTLRVLIPVLVYRLQRCQTADIVLQTMLNSVQDL MDAPHMGTSFYSELVRNVIGYSDLWELPTPTQAVLQAKFDQNVVASGMVSSLTEYCIY TGATDPACVATDSTYQYNHSISFTYPPDAYFNQTVVVPPQASVLLFNGGLDPQTPLGG AQDTRNLLQTARKLLVEFPYCPHGILGVSLTTNASAPPCGQTILASYVAESGNLGAVD TTCVQTLRPMSFQLSTAFADTLVPGISLGDLYDGTLPPSATAPPSALTTIRPMTTSSP NITTAANTVDTTPPYFVEFAIVSALAVVASFAVGLLVWEVRLRVNRRRLQQHQ H257_11849 MSGQLRFDGWYACSESTFDASVNLVAECGKYTLPLCHPGVCSDD TRRTLDVFVKRIRAVNSTNPKILWMLQGGPGYASADLDSWLADMYTLQRGQVTVMTMD HRGVGRSSYLSCPAAQATTSGSPGGRAITPDELPACLANVQHIYGAANAAGFSITSAA TDLLTIISATSSPTQEVYMYGVSYGTIWVQRAMLVLPHLFPSLHNIRGFVLDGVVTHS GPHRTVFSDWDVNHGIVATKYFDLCRQNAFCASKFPDRTLYDTTLLLYVKLNAASHAC NALVKTNFGDADGLKMLFSEYLQHSTLRVLIPVLVYRLQRCQTADIVVLQTMLNSVQD LMDAPHMGTSFYSELVRNVIGYSDLWELPTPTQAVLQAKFDQNVVASGMVSSLTEYCI YTGATDPACVATDSTYQYNHSISFTYPPDAYFNQTVVVPPQASVLLFNGGLDPQTPLG GAQDTRNLLQTARKLLVEFPYCPHGILGVSLTTNASAPPCGQTILASYVAESGNLGAV DTTCVQTLRPMSFQLSTAFADTLVPGISLGDLYDGTLPPSATAPPSALTTIRPMTTSS PNITTAANTVDTTPPYFVEFAIVSALAVVASFAVGLLVWEVRLRVNRRRLQQHQ H257_11850 MNTYNNNDDEMSSQPQQQRHHHGYAVPPGPSPDSTYPPPCMMHD PDELLPSQHVSLPPPMEPSSSPGFDMTSAAFSQPMYPHHTTSSIFRDVDPLTSSSAAG ASSYLRHDVGSTLSQPSHHHQVVDHHDLHYLGASSSSLSSWQPQHLNTSSVPTSSHPP PLSYEDQHHPTTIRSMHSTSFPLSSNHFTSATRIDTTPLESLQPATYPDLLHQYPNQS MGQLLQTPPVDHQLHFPAPLRSTFHPSTADDPLDNKKEKRKSQVRDASRRRRAKRKDE ETRLRDRIQELTHHIQIMAGSSSSGADVRRPSTITPDDNNAALEEAYQQQLHIVHILQ QKNFQYKEKLAQHEQFARLIQTGIQHLSTDDPQAAPQQLQILQQQQRLQLVPGTSSVR VATTAPGPTTNLLANSTTLAALTGTNVDVLTQWAKNIAVTSHRDLLASAREVHSIGKR NPLHVTTNIAMGWTTQLWMSQAGNAIEPSIVQVRSHKDVHRPKCCDCVAKTWEILTSV ANGRRVYPDLLAVEVVNQVTPNELVVVVYRKSSVMGGSSSDHRDVVSVVYKAKPAADT CFIGVASFDHAGVAFLARPHGQETGVVDNGMASQVPVESYGWTFHKDNSVLFQGTYAV CASDPPELITTQYANEAMFALVRWESQAVGPVFSIDHDSHQLK H257_11850 MNTYNNNDDEMSSQPQQQRHHHGYAVPPGPSPDSTYPPPCMMHD PDELLPSQHVSLPPPMEPSSSPGFDMTSAAFSQPMYPHHTTSSIFRDVDPLTSSSAAG ASSYLRHDVGSTLSQPSHHHQVVDHHDLHYLGASSSSLSSWQPQHLNTSSVPTSSHPP PLSYEDQHHPTTIRSMHSTSFPLSSNHFTSATRIDTTPLESLQPATYPDLLHQYPNQS MGQLLQTPPVDHQLHFPAPLRSTFHPSTADDPLDNKKEKRKSQVRDASRRRRAKRKDE ETRLRDRIQELTHHIQIMAGSSSSGADVRRPSTITPDDNNAALEEAYQQQLHIVHILQ QKNFQYKEKLAQHEQFARLIQTGIQHLSTDDPQAAPQQLQILQQQQRLQLVPGTSSVR VATTAPGPTTNLLANSTTLAALTGTNVDVLTQWAKNIAVTSHRDLLASAREVHSIGKR NPLHVTTNIAMGWTTQLWMSQAGNAIEPSIVQVRSHKDVHRPKCCDCVAKTWEILTSV ANGRRVYPDLLAVEVVNQVTPNELVVVVYRKSSVMGGSSSDHRDVVSVVYKAKPAADT CFIGVASFDHAGVAFLGN H257_11850 MNTYNNNDDEMSSQPQQQRHHHGYAVPPGPSPDSTYPPPCMMHD PDELLPSQHVSLPPPMEPSSSPGFDMTSAAFSQPMYPHHTTSSIFRDVDPLTSSSAAG ASSYLRHDVGSTLSQPSHHHQVVDHHDLHYLGASSSSLSSWQPQHLNTSSVPTSSHPP PLSYEDQHHPTTIRSMHSTSFPLSSNHFTSATRIDTTPLESLQPATYPDLLHQYPNQS MGQLLQTPPVDHQLHFPAPLRSTFHPSTADDPLDNKKEKRKSQVRDASRRRRAKRKDE ETRLRDRIQELTHHIQIMAGSSSSGADVRRPSTITPDDNNAALEEAYQQQLHIVHILQ QKNFQYKEKLAQHEQFARLIQTGIQHLSTDDPQAAPQQLQILQQQQRLQLVPGTSSVR VATTAPGPTTNLLANSTTLAALTGTNVDVLTQWAKNIAVTSHRDLLASAREVHSIGKR NPLHVTTNIAMGWTTQLWMSQAGNAIEPSIVQVRSHKDVHRPKCCDCVAKTWEILTSV ANGRRVYPDLLAVEVPGGDRYISTNPKICPEMKVMIYHVGGDLFDIMTTRIYIYILYC AFHPKILNL H257_11850 MNTYNNNDDEMSSQPQQQRHHHGYAVPPGPSPDSTYPPPCMMHD PDELLPSQHVSLPPPMEPSSSPGFDMTSAAFSQPMYPHHTTSSIFRDVDPLTSSSAAG ASSYLRHDVGSTLSQPSHHHQVVDHHDLHYLGASSSSLSSWQPQHLNTSSVPTSSHPP PLSYEDQHHPTTIRSMHSTSFPLSSNHFTSATRIDTTPLESLQPATYPDLLHQYPNQS MGQLLQTPPVDHQLHFPAPLRSTFHPSTADDPLDNKKEKRKSQVRDASRRRRAKRKDE ETRLRDRIQELTHHIQIMAGSSSSGADVRRPSTITPDDNNAALEEAYQQQLHIVHILQ QKNFQYKEKLAQHEQFARLIQTGIQHLSTDDPQAAPQQLQILQQQQRLQLVPGTSSVR VATTAPGPTTNLLANSTTLAALTGTNVDVLTQWAKNIAVTSHRDLLASAREVHSIGKR NPLHVTTNIAMGWTTQLWMSQAGNAIEPSIVQPKHGKSSRV H257_11851 MSQNGTDVALAGLFKRRGRQRKPTLKQSVSMASALRPVPANTAT PTLHHHRSEGDIPTQLMGSDLPGNPAPSTSAAASRRLVDPHVTDLDVMPHPVKFGDVI CLWSVITDPPPPPPTSSSNTSSSQNTCTPSFAHNATSQNLGVVGLFDVDAVRPNNLTL HKCGALVCVALPSEPHFTPSYFRVLPECYYAHLKLGSPVSYGDVVVLVDVCDKVWNNK IGFEFNGHFAPTEKLNRPGEMTIAFVKPTTASQMDPDGSAHSPEDLLHESTAGMYYGE PAKPPHQQQTPDQYQPTGTTASTSQVLCFGDTNVLVQVVDSNRLRLGFNQILTRFRKK STPIVHGAYLRCDGRGTTLRVSIHPPPPPAIQSMWVVSDPTPSNPHDTRTAVDVPEHT DMAGVVVTVTGSMRYSRLHMALGESFGSLELPLSTLHAKAGHDPWTVDVVSLSNATPR PHPRRRRRPIRLDVQTTATSVVAHKTSSLSHAVAESQSRMMLLQQGVVLGLVGLYVVA VITGEIAASNMYGMVLLWVKPVLPLAWIASSWWFHAKQQPTQNEADQTDDVTVQLTIV SWSVVASPGSACVTDQTEMGDGDLPQDMTLPPLSQVLTLDDDNGEGVVVVPRSFVVAE MGNLAKARTRYHETLAWRKEQRMDDVLTTPQVHYHTIRRFYKQCIHKQDKQGHPVYIE KLGGIDLKGLLAHGVTLSDLFGHYLFNVEYIFNRVATTACPCASCKDSHTQKLCIVLD ARGLGMRDLAGDVLEFVRGCTSVMQKHYPQRSLKIFVVNVPSWFGMIWKLIQPLLNET TRAKTSILSEADVPAALLACIDAADLPIEYGGTCQCDGGGCFAASAVQLAQQRHVDFY MRPDTNAAADISSNNTSHLVDGNGRHDDEAVAPAVSGGSTTRMASIAPHQTTMFGLVK KKSSGEVCALDTGDSDDSDDNDLEQMVSEPPSILDDVHLQHHHDDIHHPPIPSRLKAR LYKKDKVVAAVLHSGHLLMRLIRQKHFVNPIWLRRFITLSPHHILVQKSPKDTPVKYV LTPGSFVRVVADKPNSFEVVMDSHSLLFYADTMDIRTEWLDVLDRVVALPRTPAGSSS TSSTSAAAAAAASPGSGGKTVAPNSSSTTSSSSNNAVATLALVSAA H257_11851 MSQNGTDVALAGLFKRRGRQRKPTLKQSVSMASALRPVPANTAT PTLHHHRSEGDIPTQLMGSDLPGNPAPSTSAAASRRLVDPHVTDLDVMPHPVKFGDVI CLWSVITDPPPPPPTSSSNTSSSQNTCTPSFAHNATSQNLGVVGLFDVDAVRPNNLTL HKCGALVCVALPSEPHFTPSYFRVLPECYYAHLKLGSPVSYGDVVVLVDVCDKVWNNK IGFEFNGHFAPTEKLNRPGEMTIAFVKPTTASQMDPDGSAHSPEDLLHESTAGMYYGE PAKPPHQQQTPDQYQPTGTTASTSQVLCFGDTNVLVQVVDSNRLRLGFNQILTRFRKK STPIVHGAYLRCDGRGTTLRVSIHPPPPPAIQSMWVVSDPTPSNPHDTRTAVDVPEHT DMAGVVVTVTGSMRYSRLHMALGESFGSLELPLSTLHAKAGHDPWTVDVVSLSNATPR PHPRRRRRPIRLDVQTTATSVVAHKTSSLSHAVAESQSRMMLLQQGVVLGLVGLYVVA VITGEIAASNMYGMVLLWVKPVLPLAWIASSWWFHAKQQPTQNEADQTDDVTVQLTIV SWSVVASPGSACVTDQTEMGDGDLPQDMTLPPLSQVLTLDDDNGEGVVVVPRSFVVAE MGNLAKARTRYHETLAWRKEQRMDDVLTTPQVHYHTIRRFYKQCIHKQDKQGHPVYIE KLGGIDLKGLLAHGVTLSDLFGHYLFNVEYIFNRVATTACPCASCKDSHTQKLCIVLD ARGLGMRDLAGDVLEFVRGCTSVMQKHYPQRSLKIFVVNVPSWFGMIWKLIQPLLNET TRAKTSILSEADVPAALLACIDAADLPIEYGGTCQCDGGGCFAASAVQLAQQRHVDFY MRPDTNAAADISSNNTSHLVDGNGRHDDEAVAPAVSGGSTTRMASIAPHQTTMFGLVK KKSSGEVCALDTGDSDDSDDNDLEQMVSEPPSILDDVHLQHHHDDIHHPPIPSRLKAR LYKKDKVVAAVLHSGHLLMRLIRQKHFVNPIWLRRFITLSRTCDIVCIHI H257_11852 MLIALGRRSLRCVSWRRVFTTECDVATKRSILERVSQASASELD SLAATADARTMLHLQSLLPPVTAVVPDPTSLQLTQLMARSMVPFLGFGFVDNFILILA GDYIDITLGVSLGISSMAAAGIGNAISDVAGIGLGGVIEGFATRLGLPDPHLSRAQMA LRITRVAHYTGSSVGIFIGCILGMCPLLFLETKEDRLLKPTADAVN H257_11853 MRGHQKAKVLPTADDDPFDSSTRVIGRPPKPGFVDLDDEDVDGF ITTHVTAFSPRQDWNDIEATLSKRLALNLDGGSGDPSRTSGRTNRIDQEGVNEEDDDD VVDGFLSESPEYQQMNLEKKIERYATQLQEMKSRMAKWQARRTAYQDCIKQLETRLKD QAAAFAEQEDAWEKEAMAMSSQFQRTPNKFQNTVVHNAGRDELERQRNKEALRQMIHG AADFEDEGAGDDLGDVRKSRRQRLLLLVRRFNPLVHDIKQIDARFGSSVSAYFGFCRW VMLNYLLLLVPTLYNVAIHVVELANQNYTDWAAFTGTTPTFLLYPSYTPHEALHYSVY LTAVCACFLCISSHKWLREDRVAKLVHAADERHQYKFSKLLLNAWDFETSSPQDAADW RKGIGEALEVALYDNVKQEKIQTRSREDRYRLYARRAIAALVYMITQSTCWGLIVLLT VFSSKLQLTIKQKVPALTAYATSIVPLGAAVINGALPPIISTLTKFERWDDQGFEIKA MVTRLFLAKVLNILIQLWSYGMLLDPYMLTTDVAPFDWLPLPFEIRSSVMIKFKGDTY ACRAEQVASGLIILVWTDFVVSKVSGIATASVKIGLAKIHKYRLKRKNANMLSTSQSV SDTRAEFVLAPKMVALMYSCTLYQFSIPLAPVTAVTSLVMLVLSFKFDKFYLHTFQKK PVTPWSAKDAGTFFIKLYWSTVLIFLGCMYWFVTNTTLPKLCSLQEANISSSLCIPGS LINNSTVCTITTTNHTMSTYFMSQVGSTDDLSECKTGYPACVCSGNLACGPFVNEYTG YGPLAQVLSTYDGVKTAFELSTNQIAFVWAVVGVLFMQVMLKGNSLLAIELVSSLKDQ ESKSQLTTLLKKLKAQDKKLKLQRLQN H257_11854 MAPPSSWQPTQRGAKRLPPVQVYASSSDSEEYGDCMSHTGKKET KRQRSTWSDDDFDKNDDELYLHIQNGQGIDDAVPSRPRRKKQKPSDQPQRGLRNSHLW RSEFPPWLRKVDSNAMVCPNEELEHMATYLSVKPQEVQARRAIVRDISATIQAAFHQS HDLQFHLFGSLATATSSLATFRSDIDLTIQVVVPPSSANMVEYTSATYDDDEGGGYPD SIGADQSYFDDHDADIDISGMQFNFITSSSSSHAPNSTPPSANAPATNQRASSIATAA ALNNKPAATSMSKAERSQCVRFLHKAARSLRQAHPSFQVEVRRLAKVPIINVVDPTSK IEVDVSLGMENPTPGDRIVAWYAANHPAFNILVVLLKEFLYQNAINKPYEGGIGSFRL YCMVTHVLATTPRKERGAPAALLLRFFQHFGCSQKFNNRTVLKLDLPHHDPPLRCEVE FQSIFRIRDCNVLFGEASKRLQDGMNARPPLSVAMQKQPKQQNEVDKAATSSKGGVLA SLFWTRDLRQERERRLALAAQTTSKGIPGLNGGIQLTEMEEGATVVVNENAKKIKPRH RPPPLILDKRHGKKRITTSTKKLKQARRVRMALGQ H257_11855 MELLSAELSAETLAALQAHLATAKLNEDSEVSEDFRLSQFWYDT RTGDALALEALEKSNGGPIAFVSTPAAFKALKAMHPERSDVYLFEYDPRFEEKYPSEF VFYDYNTPLAINSKFEHFFDYVLVDPPYLNTNCMSKFAQTMRFLSKHVTTQGQIQTPN AFITAQMLRKDIFHDLGFTPCGFVPTFDSKLSNRFLTYTNYTSTRFGPCEEDFSDSDD H257_11856 MRFVNGRDDYNNHGHTSQNHASYDDDYDPLKTPKQHPLDDNQYN YYDGHGYFEGGAIRPGGPPNYTSPEVLALLSQYVAVGLLYGALPNLAYPLFTAYFHLT GAEYNSATALVSFGWTLKVFVGMLSDCVPICGYRRKSWMIVGWTLCCACMVVLGIKDH GPSYTTLLATNNSALASSSLGDHGAYVAILFAAATVSFIIADVPADALVVEVAQREPF ASRGRMQSLIYTTRTVSSIVSQIVIGVCLNSPSYGGTFSWDMGMHALFLMLAVVCVLM VPISCVFVQDSRQTGVSFAGYLRQFWDLVQRRATWQVMLFNFFFNLFASGISSTAAPY VKYHWAKVENLGTQLTTIASNLIFAVVLAAMGKWGLDWNWRWVIVLTTLSMNAIDATV QYMTIYDVVRNQWFYLGVPVAEQLPYAMQFIVTTFVIVELAEVGNEGITYGLLTTVSN LPLVFGPVVANVIFGQFKVDNLYIEADSADARTQVAYTYLIYYSTTIFACVWVVLMPS QKAHVHELKVTGGKSPVIGGLVLFGCTAAMLWSVVVGILGVFQSTNCLVIAGGSGC H257_11857 MAQAIPPAASPPPNLEGPPSSVDGTTNNSPDMEQLTQAVHAPMQ QRVRKTAEKVSADRSGNNNTSSDDSGIARTGSPCREMVVAKHIPSPKLSMANSYYAGS LIWISMTSFVVGLVAVVGSQFENAVQSVFKYNDVTQHSACTTVLPILGSMAVLNVGLA FPAMGWQFSMLYQPVTPSSTTVLRLKFLYWCETMFLIQYIGGVVGLVSFIFYGIRLKM EFDGFYSTWGRLAWAVNAVLVLLVAYQWVVFDRFRTHQKQQLGAPNELEHVGSWKFRR WISGLLGKKAKTTSEFRANLYASVKRGQVIQVQALLANALEGLTTEREREMFFWKLYA TPSMVLGMFASRTKNPMHMACQQGDAEITRVLLQAGLNPNFLDKMGGVDMGIGSVYQW LWRRHNRGKYALVSPLHVAVSHGHVECIHALRQYQANLDIVATTDIFSKELAVPPLFY ADSRDTMNVLLEYGANHLMVPSFGTAMTTTVLQHNLFLDRVGLARLLEDHGCDYALTP LHALAAAGDVATVQHYMKNGVDPDMLGEYYVGLNQRTPLHWAAVMGRTRVVEALLLHR ATVDFTDRMGRTPLHWAARHNQVGAINALLSNHANPICLDDSNMTPLDVGSHSGTLRE PAIRSLVSCGSLNINGAYCGDTPLHLALKQGHKEAALALIACGADIYQTNRDGRRAID CCISAELQYSIKKASGSVDVYVSYDPPYYAFAKAVCDSVETNFISVNLRPVLETVPNK QLLKRVSVVLCVLSDGYGRNNLCMSELALAKQHSVPVVAVNCDCGPLSEELQVYLYTR QIIPFQRSVVVHKSTPTTDPTSHRVQLYAIEMNQDVFTQAMRSLLDGLRDEVELHRLG KRRRHPLGLSKNTSVGQSSRFLPTLGIAATGVEHPKTHSIFVSHGDCHPEFVHKLKVH LREKRVSVLVDSNNNVSDLKERVVAAKDAILQCQLFVVVLSAESILTSLVSDQLAFAE DKGKRIVPICLHANVDGMDKLTSLFQTRLLVFGDDLGFEHGMDNLVECLDEKVEQAKA SVHQVADDTAKTSTDDIIDALL H257_11857 MAQAIPPAASPPPNLEGPPSSVDGTTNNSPDMEQLTQAVHAPMQ QRVRKTAEKVSADRSGNNNTSSDDSGIARTGSPCREMVVAKHIPSPKLSMANSYYAGS LIWISMTSFVVGLVAVVGSQFENAVQSVFKYNDVTQHSACTTVLPILGSMAVLNVGLA FPAMGWQFSMLYQPVTPSSTTVLRLKFLYWCETMFLIQYIGGVVGLVSFIFYGIRLKM EFDGFYSTWGRLAWAVNAVLVLLVAYQWVVFDRFRTHQKQQLGAPNELEHVGSWKFRR WISGLLGKKAKTTSEFRANLYASVKRGQVIQVQALLANALEGLTTEREREMFFWKLYA TPSMVLGMFASRTKNPMHMACQQGDAEITRVLLQAGLNPNFLDKMGGVDMGIGSVYQW LWRRHNRGKYALVSPLHVAVSHGHVECIHALRQYQANLDIVATTDIFSKELAVPPLFY ADSRDTMNVLLEYGANHLMVPSFGTAMTTTVLQHNLFLDRVGLARLLEDHGCDYALTP LHALAAAGDVATVQHYMKNGVDPDMLGEYYVGLNQRTPLHWAAVMGRTRVVEALLLHR ATVDFTDRMGRTPLHWAARHNQVGAINALLSNHANPICLDDSNMTPLDVGSHSGTLRE PAIRSLVSCGSLNINGAYCGDTPLHLALKQGHKEAALALIACGADIYQTNRDGRRAID CCISAELQYSIKKASGSVDVYVSYDPPYYAFAKAVCDSVETNFISVNLRPVLETVPNK QLLKRVSVVLCVLSDGYGRNNLCMSELALAKQHSVPVVAVNCDCGPLSEELQVYLYTR QIIPFQRSVVVHKSTPTTDPTSHRVQLYAIEMNQDVFTQAMRSLLDGLRDEVELHRLG KRRRHPLGLSKNTSVGQSSRFLPTLGIAATGVEHPKTHSIFVSHGDCHPEFVHKLKVH LREKRVSVLVDSNNNVSDLKERVVAAKDAILQCQLFVVVLSAESILTSLVSDQLAFAE DKGKRIVPICLHANVDGMGT H257_11857 MQRVRKTAEKVSADRSGNNNTSSDDSGIARTGSPCREMVVAKHI PSPKLSMANSYYAGSLIWISMTSFVVGLVAVVGSQFENAVQSVFKYNDVTQHSACTTV LPILGSMAVLNVGLAFPAMGWQFSMLYQPVTPSSTTVLRLKFLYWCETMFLIQYIGGV VGLVSFIFYGIRLKMEFDGFYSTWGRLAWAVNAVLVLLVAYQWVVFDRFRTHQKQQLG APNELEHVGSWKFRRWISGLLGKKAKTTSEFRANLYASVKRGQVIQVQALLANALEGL TTEREREMFFWKLYATPSMVLGMFASRTKNPMHMACQQGDAEITRVLLQAGLNPNFLD KMGGVDMGIGSVYQWLWRRHNRGKYALVSPLHVAVSHGHVECIHALRQYQANLDIVAT TDIFSKELAVPPLFYADSRDTMNVLLEYGANHLMVPSFGTAMTTTVLQHNLFLDRVGL ARLLEDHGCDYALTPLHALAAAGDVATVQHYMKNGVDPDMLGEYYVGLNQRTPLHWAA VMGRTRVVEALLLHRATVDFTDRMGRTPLHWAARHNQVGAINALLSNHANPICLDDSN MTPLDVGSHSGTLREPAIRSLVSCGSLNINGAYCGDTPLHLALKQGHKEAALALIACG ADIYQTNRDGRRAIDCCISAELQYSIKKASGSVDVYVSYDPPYYAFAKAVCDSVETNF ISVNLRPVLETVPNKQLLKRVSVVLCVLSDGYGRNNLCMSELALAKQHSVPVVAVNCD CGPLSEELQVYLYTRQIIPFQRSVVVHKSTPTTDPTSHRVQLYAIEMNQDVFTQAMRS LLDGLRDEVELHRLGKRRRHPLGLSKNTSVGQSSRFLPTLGIAATGVEHPKTHSIFVS HGDCHPEFVHKLKVHLREKRVSVLVDSNNNVSDLKERVVAAKDAILQCQLFVVVLSAE SILTSLVSDQLAFAEDKGKRIVPICLHANVDGMDKLTSLFQTRLLVFGDDLGFEHGMD NLVECLDEKVEQAKASVHQVADDTAKTSTDDIIDALL H257_11857 MQRVRKTAEKVSADRSGNNNTSSDDSGIARTGSPCREMVVAKHI PSPKLSMANSYYAGSLIWISMTSFVVGLVAVVGSQFENAVQSVFKYNDVTQHSACTTV LPILGSMAVLNVGLAFPAMGWQFSMLYQPVTPSSTTVLRLKFLYWCETMFLIQYIGGV VGLVSFIFYGIRLKMEFDGFYSTWGRLAWAVNAVLVLLVAYQWVVFDRFRTHQKQQLG APNELEHVGSWKFRRWISGLLGKKAKTTSEFRANLYASVKRGQVIQVQALLANALEGL TTEREREMFFWKLYATPSMVLGMFASRTKNPMHMACQQGDAEITRVLLQAGLNPNFLD KMGGVDMGIGSVYQWLWRRHNRGKYALVSPLHVAVSHGHVECIHALRQYQANLDIVAT TDIFSKELAVPPLFYADSRDTMNVLLEYGANHLMVPSFGTAMTTTVLQHNLFLDRVGL ARLLEDHGCDYALTPLHALAAAGDVATVQHYMKNGVDPDMLGEYYVGLNQRTPLHWAA VMGRTRVVEALLLHRATVDFTDRMGRTPLHWAARHNQVGAINALLSNHANPICLDDSN MTPLDVGSHSGTLREPAIRSLVSCGSLNINGAYCGDTPLHLALKQGHKEAALALIACG ADIYQTNRDGRRAIDCCISAELQYSIKKASGSVDVYVSYDPPYYAFAKAVCDSVETNF ISVNLRPVLETVPNKQLLKRVSVVLCVLSDGYGRNNLCMSELALAKQHSVPVVAVNCD CGPLSEELQVYLYTRQIIPFQRSVVVHKSTPTTDPTSHRVQLYAIEMNQDVFTQAMRS LLDGLRDEVELHRLGKRRRHPLGLSKNTSVGQSSRFLPTLGIAATGVEHPKTHSIFVS HGDCHPEFVHKLKVHLREKRVSVLVDSNNNVSDLKERVVAAKDAILQCQLFVVVLSAE SILTSLVSDQLAFAEDKGKRIVPICLHANVDGMGT H257_11857 MLHVGQVIQVQALLANALEGLTTEREREMFFWKLYATPSMVLGM FASRTKNPMHMACQQGDAEITRVLLQAGLNPNFLDKMGGVDMGIGSVYQWLWRRHNRG KYALVSPLHVAVSHGHVECIHALRQYQANLDIVATTDIFSKELAVPPLFYADSRDTMN VLLEYGANHLMVPSFGTAMTTTVLQHNLFLDRVGLARLLEDHGCDYALTPLHALAAAG DVATVQHYMKNGVDPDMLGEYYVGLNQRTPLHWAAVMGRTRVVEALLLHRATVDFTDR MGRTPLHWAARHNQVGAINALLSNHANPICLDDSNMTPLDVGSHSGTLREPAIRSLVS CGSLNINGAYCGDTPLHLALKQGHKEAALALIACGADIYQTNRDGRRAIDCCISAELQ YSIKKASGSVDVYVSYDPPYYAFAKAVCDSVETNFISVNLRPVLETVPNKQLLKRVSV VLCVLSDGYGRNNLCMSELALAKQHSVPVVAVNCDCGPLSEELQVYLYTRQIIPFQRS VVVHKSTPTTDPTSHRVQLYAIEMNQDVFTQAMRSLLDGLRDEVELHRLGKRRRHPLG LSKNTSVGQSSRFLPTLGIAATGVEHPKTHSIFVSHGDCHPEFVHKLKVHLREKRVSV LVDSNNNVSDLKERVVAAKDAILQCQLFVVVLSAESILTSLVSDQLAFAEDKGKRIVP ICLHANVDGMDKLTSLFQTRLLVFGDDLGFEHGMDNLVECLDEKVEQAKASVHQVADD TAKTSTDDIIDALL H257_11858 MECCDADDARQEVDDTATRMHKLVIRTLPKGCNPMPVSSTDRPP SRSGPMAPPTYEDDNPFDDITDEKLRQISGKQDLNRVTYLQLTVDTHKQSVEALGELL PSLSQLRLHQSVLHSFRDLGTSLHGLQILWLMSSGVKDLDGIGALTGLRELYLQFNDI VDVSPLSLHDELHILDLQGNRVQDIVQIEQLGMCVQLTVLNLAANPVAAIPNYRPIAC SYIPQLQTLDTAPVAPCDRVEVTPAMIDHALSYYYTSHPPVGSQRCDKATDDTVTPLC DSTSPSVTSSFEQLLDTAAAKGTKDHHSSALTHGTDVVFAGNVTSALRRRSHEHSFHV DGDDSILRPVTPASAFPPRPSTPVRRESITDTLDRASELDLSSCRRLSSKSRDSILHE LKAWKMENTVATMTNNHHHSGNDDIAPKSEAPHTTTLRPSTTSSSHVRATAVKEAFGD GNKQHDCLSKQTRKKHRTTTRGVSGRHQATNPVDILVLDDMPEEESPTQRQWNVDLEY LSPRIGIVAKVTPRQFMQSHDADDSSSDSDDTSVRPKKPTSGLFNVGDSLNAIEEWTE RITRSEKEEGAPLAVAPVQERCRTSKQHHHQTPHQQQNLSTSSHKPSHSSSKGGRGSV EEASNSTSRGPNVARTGGPAVLMIKREELPVDFSSSRSNHVAETNTYRGLADDDLVLV LQGKDKRYASQLKTKDSFRSFFQGIAAQHLETLLRRAYGDNTDKAHRRMQLMEGWMAV A H257_11859 MTSMGRYQTVSGSPDEKATNPSVHAGVFSNIFCFWANPLLAKAN TPDGLTSEDLWALPPASTAKQVAAKFDPSFRQTRSIVTSYLSIFGWRFLFLGVLQVLI VAGALYGPVVLQQVLELVESPQPFDMDRAMVYIGSLLGVKVVQAIVSSHTTFQSEVIA HRFTSSLQQLVFQKALTLDAKSRRDAKADISSLFSSDMMWIVSFSYYIHQLWIIPMQL GLVLYLLFMLLDTAAFVGAAVIVVTLVLNSGLAHLQRNLWRTLMQLKAKRMKALKAAL GVIADRKLDNVADRQELLPEIHTIRSQEIGALCGAFSLSAVVTAILYSAPILVTAASL AFYTLVLRQPITATKVFTSLALFRSLRAPLIGLPQITAHFMQALVGLRRLREFMNLTE KDPNIVLSPNQLSANQYEAYATHNVDIAIEDGSFGWDADKPMFRGLNLQVKRGELVIL HGDDKSGKTSLCNVILGELEKYEGSVFVGGRVAYCSEDPWLQPVLSIRDNILFGKPYE RSKYNLVMEACGLRVLEDGFPYGDRTLVMSNPLSKADKSRVCLARAAYNDADIYVLDM PIPDSLFQSCILGLLRLKTVVLVSEDTNIIQSNYIDKRFDVGQNDLVINKTKAKLAAN NLIAALPSRKRFWEEEAHVMEPEVPTRLGHLSLSSYESLVSPSLRSPYGMLQEEPPRF VMDEFQGPAFPDDSTEHTFVKDAIRGYLRAAGVCLVVVVVLVQCMWQVLQLGSDLWLS HWCTTSSLHLWSNNSMFTNGTIVLSTHRNVSNVTKVLLHDDTIVVTEAMVMAYSNWNM NVYVVLAGVGTVMVVVRTLLTSCAGMRASNVLFNGMTNTVVNAPLADLSPDRVSRILG VYNADMATVDTRLPFSLGGFVANVFISVFCLGACIVCLRWSGIALILWGGLYMYFGSH FARPAQEVESLANATRAPHVAFVHQSVAGAVVIRAFGLKQVRRFHRLHQTHVDAHHRA SYAHQVLAHWFALRMQLLHACLIASIAAAAAALVSTTDGLSPGLFGLVFNYALLVPPH LEFVFTIWSGVLSSLAGVHRVLEYVHGRQSKYISYV H257_11860 MESCGNTMEKDHVIDTLKAETMALKAELRRQSDVIHQVKGMNHQ LQRKLKQRENDFEDVVVAFWDHTKRRDVKHHILRTLLVQKKHAQDVAECLKHELDTIK FHCKSLRLQELQSECHEQGIEISVLREQVATLSATQYDRYPE H257_11860 MESCGNTMEKDHVIDTLKAETMALKAELRRQSDVIHQVKGMNHQ LQRKLKQRENDFEDVVVAFWDHTKRRDVKHHILRTLLVQKHAQDVAECLKHELDTIKF HCKSLRLQELQSECHEQGIEISVLREQVATLSATQYDRYPE H257_11861 MAEEAARGRQYAYTNNSSLVLQADRDDRRRRKDEPTGEVESLAG KITYRMGDLAQRADAPKLKSSSKKRKQGDSGEGEGGGNVSVKKLFIGGHKNILKRTEE SFSYIPSTDVSRAAYEELLQVMKKYLGDQPSEIMADAAEEILQIVKNDDYRDDEKYRE TTKFLQGMPSHEFSHVVEMAKRMTDFRSSVPDTIEEGPEDGGDGMDKEMGVAVVFEAD DEEEGSDNDEIHDESDDDDDGEEPVREGMRVNDGTMDDDDGVDGGLNVHDIDAFWLQR QLSKWYKDAEVTDRLSEEVLTVLGEPSELGHCENKLVLLLDYDKFEFIKVLLVNRAKV VYCTRLKQAQSDAERLAIETEMQDDPVGRTILQTLSQTTTAEGWMQARIGALETGARS EAKQLKRMQQQDDVADADASSSEVSTARPLHNVNIESLVFAEGSHFMSNKECALPEGT WRAQKKGYEEYHVPAVKAKLAAAEEKKRKKISSLPSWTHAAFSKMESLNRVQTKMYPA AFESGENLLLCAPTGAGKTNVAMLTILHEMAKAQLEDGSIDLESFKIVYVAPMKALVQ EVVSNLTQRLTAAYGLQVRELSGDQNLSRDELFKTQIIVTTPEKWDIITRKSGDDRTY TQLVRLMIIDEIHLLHDTRGPVLEALVARTIRQVESTQQMVRLVGLSATLPNYEDVAA FLRVNPEKGLFYFDSSYRPVPLQQQYIGIMEKKAMKRHQMMNEICYEKVLEQAKHDNQ VLIFVHSRKETAATAKAIRDLCVENDTLSDLLKPNSASSEILQTEATTNVQNDALKEI LPFGFGVHHAGMKREDRSLVEALFADGHVRVLCCTSTLAWGVNLPAHTVIIKGTQMYS AEKSDWVELSALDILQMLGRAGRIQYDTQGEGIILTQHAQLKYYLSLMNQQLPVESQM MSRLADQMNAEIVLGTVQNLAQAATWLGYSYLYVRMLRAPALYGVSVEEAQNDPTLFQ RRIDLCHAAATILAKHNLIKYERKTGHFQVTSLGKVASHYYIAHDSMSTYNEYLKPHM SDIELFRLFSLSQEFKYVMVRSEERLELEKLLERVPIPVKEALNVNVRASNSGSAKVN VLLQAYISRLSLNGFALLADMVHIHQSAARIWRALFEICLHRGWAALAEKVLTICKMV DHRMWLSHTPLRQFPALSDATCRKLEKKDIPFERYFDLSMADLGQLIGVPKMGKELYT LLHQFPKVDVSAAVQPITRSLLKVDLSFTPDFQMQSPSEGFWVLVTDVDGDALIHHEY LMLQKRYAKEETYLSFTIPLFEPLAPLYYLRVLSDKWLHCETTLPISFQDLILPTKNA PPTELLDLQPLSVKAVLAKAVVLAGLKDTSMVAKLVDGSLARGPRGWRFQTFNPIQTQ ALPKLMENPSTSNVLVCAAPGSGKGVLADVALLTLCLQHFDALEDVFCVYVAPKPSLI AAQHANWAAKFGPDSVFGLDVVRLTGDATADVKAIQTAQVVVATPEQWDVLSRRWKKR ARIQHVQLFVLDQLQFVGGGEYGPTIEIIASRMRFISSQVKSPIRILGLSNSLANAKD VGDWLGADVCLNFHPNNRPLPLEIRVQGFDINHFASRMLAMAKPVYNTVCHQAPDKQP VIVFCPSSKQTQLSAIDLITFALAENTPQKFVLNESLQVALPHDDDEALAHTLSAGVG YVTESMRRANREYVLDLFTSNKIQILLLPHTLAWELQVKAYLVVIMGTQSYDGKEHRY VDYPLADIHHMTSFANRPLVDHNSKVSVLCHSSKKRFYTKTLYDPLPVESQLEYFLSD HINAEIVTKTIESKQDAVDYLTWTLMYRRLLKNPNYYQMHGSTNVHLSDHLSDLVERT VTSLSDSRCIAVTDDLELSPMNLGMIAAFYYIRYTTIELFACSVTATSKLKALLDILA ASSEFDTLSVRFGEDRVLEKLAKHLLWPVAPPYTAIHVKVHVLLQIHFSRQHDRLSPY LKQDLNAILQTCGRLLHALVDVISSNGWLKPALATMDLSQMVTQGVGLNASPLLQIPH FTPSVVDSIKAHNSTCDNDQDVIDTPLDLLSVDDSVRTKLLTFSPSKMADIAAFCNSY PDVSIEIQVDNPDDIAAGDVVSVQIKIDREGGDDDDEAKDDWGVVISKHNPVEKVENW WVVIGDPATNTLLSIKRIPVQKQASLSLDFAAPSGAAGTYNYTVYLICDSYMGADLEN ELTIHVHEGRDTDDDKDE H257_11862 MASKDVTTRDYQKLSPEEFLNRTKATSYMQDAVNLVLEYRPEQP LTFLAKYFQMMCGDLGPIEVSAFYIQSCGTISNSSFEDTLVLAYHALKKPSTTMTDAT PVGVDVHAFQQLLHLLCQDIPCAPQAKLVTYLAPSTISSVSYARFRHAIDVCLLYGEV VSEGEDLFQSVDGASAGEVKCSVLVSAMEIASAHKTLNAQLVARLRTTLERETLHDGN ATISLDQFLASLSHVVLPSAVS H257_11863 MTPSTQTFNVNLDAAGVAAAAQQRPRGEPIYADIAVPMASVRRA AAPASDTSDFQGANLLNDLSQSCDEAWLFLALFVIVMSIQYIAGIYVLGTACLITLDR RFRGFIAAEDGKGSRVCIALFCARMAFDMSASNGDATPLHTLFKPIPDPPNLADMVWM IMVKGLVLRLVTLSVEAFAGLLLQWQPMGYTVTERVVRTFVHLKAIFSPSDEPSTMLG TTSANAATLPPSLVEFQSSAVDAPLSPDTESRAVAILPSTTSDAHTDVLSEPFLQRQD EDPKSSAVEAFSGANPVSSTQDVEIEHSEEGLSDLERPTAENTPEVPSAPRRLPDSTI HDACPEIREQKVGNHAISKDGGNHAPYPLVLDLLVNTVERPRFADGYTSIAAKAIKLD ANIGAQTRQRGSTSHSFQKNSSMTMVADISFFEANEAFHADKMRKTNAERDMSDAGPM HDEYPNDWAILADKGYQGFHRRMRAITPAKRPPGGLLTMSDMEYNENIATDRVIVENY FGRLKTLWAIVNESYTWKRENYDFYLPTCVALTNCHIRFSPLRVDDSHERNRYLNALM SSSEKKIAKRAVAVKKHREKRKLRLGTFLPSGENAYFDSDTEFYPCGDGSGIFE H257_11864 MTSESRRSRSTSGQRKAISLRFKVNVIQAYEAATDAQKTFYCIG KEFGVQTGQVSRWVKAKDKITARAVFNPSALTVNAGRPVTNPAVEAKVLEYFNTLQQD DIAISTNMLIIYALSVDSDFHGGQPNALKKWVYMFLQRHNLVIRRPTRRAQKRSGQLT AIMEDFGTTLVARFAPFGTLAKVAGRCFVNMDETPLPLEPEVKTTIATKGSRTVSARK CTSSNPRVTVCLAIVSDGTKLPPFVVFKGVPGARIDSNLEAIVPAGLFATCQEKAFMD SDLTKKWFNSVWKPHVANEASRAPRPPSACQAQHHHRHVDQEIVADHGIGHPHSHMPS AIDLTPYVASTSPTPRSYTYAWGYVCLGRRFHPK H257_11865 MLCAGGKLGEDSCQGDSGGPLTVESNGSVQLVGVVSWGVGCGNL GNPGVYSRISIARDFIEPFITTSPATGSNTTNHSASPMTPTAVPITTTPTMAPITTAE VPITTTPTMAPITTAEVPTVAPTVTHSACSTTPTAVPINPTPTMAPITTAEVPTVAPT VTPTTASPSKCNGCSTCFYPTLNHCFPPAYTKPTCATFASLGAFWCGN H257_11866 MNVLFALSALFAASTTIVAEDRVVGGVEAAVGQHLYVSGFRKTE TGASSCGSSLIAPDVVLTAAHCTGRGYEFVSIGSHYNSGTKDGERIKVKQFINHPKHN AVTRSYDFAVLILEQPSKFPPVQVSFDTMAPGTPMILRGWGRTISGGPVSQSLMEVGV DSISNDQCAKVLAPHTVNEAMLCAGGKLGEDSCQGDSGGPLTVESNGSVQLVGVVSWG VGCGNLGNPGVYSRISIARDFIEPFITTSPATGSNTTNHSASPMTPTAVPITTTPTMA PITTAEVPITTTPTMAPITTAEVPTVAPTVTHSASPMTPTAVPITTTPTMAPITTAEV PITTTPTMAPITTAEVPTVAPTVTHSACSTTPTAVPINPTPTMAPITTAEVPTVAPTV TPTTASPSKCNGCSTCFYPTLNHCFPPAYTKPTCATFASLGAFWCGN H257_11867 MSTTSEMSSVVLEAYLHRYSQHATADVLTVVAVKSVLDDMIGDI ETWVHETEHDKLAAELAKAQAALSQYAIAERIHWDEKQNMLQKVHVLQMEGRRLARKL QLEADLVAQEVQDKERLEKELATSKEQIASWATLSRELARSQREVRELHRRLGIQSLL KPVLATTTTQGEAPQGPDVQRGLAALSDPILLHIFSNMDAMDVLSMSLTSKAMKARIH KLFGLKKEPTMPRSTVHKTLPSTTKSATIKPVPAFDKSQLARANDMIKSFNAKEMKLF HDLMLRMKSLEANLTAVHAEKEDLAARLHNAENVRDFLVQKLTDAEDALAYSIEEKTL ADAQSNLDREVMAYLDAKSQDMDQVLQLYVTQNQEYKYELERLRHQHDAKSQVIEDMM RCLTAEKHDVEQQAKGQKKVLVKEIRTLRAENSRLHMETSGFRAQLKRLKESLADLDA LDIDS H257_11867 MSTTSEMSSVVLEAYLHRYSQHATADVLTVVAVKSVLDDMIGDI ETWVHETEHDKLAAELAKAQAALSQYAIAERIHWDEKQNMLQKVHVLQMEGRRLARKL QLEADLVAQEVQDKERLEKELATSKEQIASWATLSRELARSQREVRELHRRLGIQSLL KPVLATTTTQGEAPQGPDVQRGLAALSDPILLHIFSNMDAMDVLSMSLTSKAMKARIH KLFGLKKEPTMPRSTVHKTLPSTTKSATIKPVPAFDKSQLARANDMIKSFNAKEMKLF HDLMLRMKSLEANLTAVHAEKEDLAARLHNAENVRDFLVQKLTDAEDALAYSIEEKVC DYYYNHNIYFEGRV H257_11868 MDSDTKPSVPVTILTGFLGAGKTTLLNYILTQNHGKRIAVIENE FGEEIGVESLIARDGAEGDAFADFYELSNGCICCSVRDDLVSTLEELLTRRDRFDYVL VETTGMADPGKLASIFWVDSELEGRITLDGIVTLVDAKNIAWHLEQQTNEVLSQIAYA DRILVNKADLMPDADDRRRLEGQLNAMNALAPCIWTERSNIDLDAILNINAFSSDRAI AVMNEHNATEKPFTHTANVTSTCVSRVLPLSVRGLEYWIGSLLWEDATDDRRIFRIKG VVAIENDDRKFILQGVQDLFDVSPSEKWLPDEPRTTKLVFIGLGLDSNALQQGLDEAG AT H257_11869 MTAAESKPKIFPESAKLTATCNPYLDFYRTFQKSQPKDMARLFD PDLDDDTREELFNLVDDKVAAKYSWAIPDERALRIIKHYGPIVEIGAGTGYWGRLLQL RGVDVKCFDLHVPGEEGDGAGEDDDDDEEPERMTWLDVEQGTPEVLSRFKKRTLLLCY PDDYEDSEESMAEACLNNYTGEYVIHIGELFGHTLCLPEPWGRTSSPEFQTRLAAVFH KVLQVPLNSWHSSVDTLTVWKRTKTCIVDDGMYAYIPVDEQLTMVMSSPSTEHLLHDS KDIPVVSKKAKKPRS H257_11870 MPQKPVAYAAEYEFPDENSVAMRRRKHSDAMHQEHMRTMQPPMK KDLTTVPVVKSPNKKKQQLVQDRQLSIATENEHLVDKMQRIMTRTDDCFHVQNSPIVK PSNQPFRQKIYSHIQKQNRAIKHHLHNVKGTYSTAQWKREADEAERLSRQISKAPKRV KVKQGLKHMQHQPTKNYQDDPTPEFEFLVLNDIDEAVPMRTAKEIKEHIQSKLPLIHN KAEVYLAKEIKKPDPFHVPRRPFE H257_11871 MSTVTVEFENLEFKYVETYAGIDISGGPILKNLNVQFHAGQRIL LVGGNGAGKSTLLKMIGGKHLPTSGGCWELGHRDSFRDTMLNNQRTMATSDWGNRSVA FASHSAAYSADIAVEEMMVKLQQTYPDRRADLLKCLRIDLSWRMHKLSTGQRCRVQLF LALLRPSQLIVLDEVLGCLDIISRVNILHFLRRESEGPQQATVILASHVFDGMEKWAS HVLYLRSGQVAFFDELARIPLKGSEKLSLYDTTEAWLREEEETPEKEASTSGNLENAQ NRAGGFSNGRLGGVDQF H257_11871 MSTVTVEFENLEFKYVETYAGIDISGGPILKNLNVQFHAGQRIL LVGGNGAGKSTLLKMIGGKHLPTSGGCWELGHRDSFRDTMLNNQRTMATSDWGNRSVA FASHSAAYSADIAVEEMMVKLQQTYPDRRADLLKCLRIDLSWRMHKLSTGQRCRVQLF LALLRPSQLIVLDEVLGCLDIISRVNILHFLRRESEGPQQATVTITTI H257_11872 MAKHGSKQRNAAAHPSKSGKKKGGFDKKKGSFDKKKPDAVQPVK KKVTAKVNPNTPSSLNLNARVDASASSAFHQANISNPNPKSVGGVSDKHRVLVVGDGD FSFSLALATRLGGEKIVATAYDSEAELLAKYPNVSANIRGLKVTQADIHVGVDATNLA RESWIQTLTFDRIVFNFPHLGGATEEDVERNQDLLWRFFQSSRKYLDNSKGEVHVALR NTLFYNRWDVTAQATKAGLKLKRTDRFNVSLYPGYEAQRTHPASFRGEPPSTDGARTY VFSKDLQFVDPVVDATPTPPSVAKIPAKAKSSAPKAAKAESWKCVPCKATFNLQTKYN AHINSAKHAKTVKALKGKK H257_11873 MALTVEVPRDVAVSVPNQASEEPPHHELTTPPVSDKEDEPARPS DPERFELEAFTPFSSSHLWKLMSSFYDRQGVESWAQGIVPHFITSNTFIAKRYVQVFA AYLRDAVAKTLDPTEPLYIVELGTGSGKFSFYFVQWLYEMEAVVNLSFPLCRIRYIMT DFTDSNLKFWQTHPALRPFVERGVVDFAIFDATQDTSLYLINAKQTIAPQSLKNPICV VANYLFDTLHHELFRVDQTELKQGLISVGSTRLDEKDPLDPEIIKRLSNLYRYDDIST AFYSNPHFNAILKWYQDYYGDQPATFLVPIGALNAIERLKLLSRHQLLILSGDKGHTN PDHFRGLQDPHIAVHGSFSVMVNYHAIGIYVAHRGGFALHNPQEEASLKVSLFVVPQE IESPPPQADLEALSVQRSAAYPTVCHVYDDTLVSFGPNDFFVMQKAIKEDAKAPSLKG VLALMKLSFYDADLFYKFRDVLLDQSPAAPAKVKVDVMVCLNKTWTHYYQLDKEKDIA FEIGRVYYGMREYDHALQFYTRSLDEMGKHHVTYHNMGLCFYSTRRLQDAQQCFEAAT ELNSCYQKAATWLQRVTAELHPTAVVAANNDKEDPLLVSMQHIDLDVSTTPVEPLS H257_11874 MSFFSNLSNMVKDPNVLSKVESIGNQLIDTHLSGKDGNNTDKDA IAAPAPEEAWEQTKHTNDDSTKKALFIGINYAGTQSELRGCIKDVENLQRFVKDRCGF PENNLRQLTDDLQGENRPTRANILAGMRWLVENARPGDSLFLHYSGHGSQQKDEDGDE ADGKDETICPVDYDTAGMITDDEMHSILCASLPAGVKLTAIFDCCHSGSALDLPFMYT VDGNLDIHQQDNRAVAAKHILNAGLDFLRGNSDKAKSGFQAGVEAYKAPASEKQGPVA ETSVKDRTTAADVILLSGCKDTQTSADATIDGSATGAMSYAFIATMDKFSLDVTYQDL LKALREFMYSKYTQVPCLSAGRALTMKVKFAL H257_11875 MEPDGAADVEPMRQPLDLVRLRWYLEKHFAMMGKNVGLSVHQFK HGQSNPTYLVEFGAQRMVLRKQPAGNILPSAHAVDREYRVMEALQTTAVPVPRMVAFC NDPSVLGTPFFLMEYVPGRVFKDPSLPNMTPMERYAIYHALIDVLATLHALDPSSLGL GDFGKAREYGQRVLRTWTRQYNAQMAVLVQHKIALGGEGDVKAVSDYLHGAVGSIPDE SCIVHGDFRLDNVIFHPTEPRIVALLDWELSTVGHPLADVATLCSLYRVPATTSQMVH GLANRNLPRLGIPTEAHVVRTYCKRMLRYPVADATWRFYLSLVFFRLAVILQGVYARQ VLGNASSAHAGAAKDCYLLFIQLGAAIGHEGGYNNDALSNKNMVNPSVLMGLPLSPHA LQVYGKLQRFCDARVFPSESVHVAELAALKDAGRAWLEVPPIVETLKAEAKALGLWNL FLTKVTLPNGVTYGETLTNVEYALMCELMGRCVTLAPEVFNCAAPDTGNMEILSRFGT WEQQQRWLVPLCEGKIRSCFAMTERYVASSDATNVCTQVERCDDGYVINGDKWYISGA GDPRCQLIIVMGKIKSTEILSPFRQQSMILVPIDTPGVSLKRPMHVFGYDDAPHGHLE VSFHNVKVPLTSILLGDGRGFEIAQARLGPGRIHHCMRAIGMAERCMELMVHRAKTRY AFQQLLAENPVVAASVAKSRCELDSARLLTLHAAHEMDTKGNKAAAQAIAMIKIVAPN MAINVCDRAVQIHGAAGVSQDFVLAYYLAALRTLRLADGPDEVHMRTIAKAEFVKSHL H257_11875 MEPDGAADVEPMRQPLDLVRLRWYLEKHFAMMGKNVGLSVHQFK HGQSNPTYLVEFGAQRMVLRKQPAGNILPSAHAVDREYRVMEALQTTAVPVPRMVAFC NDPSVLGTPFFLMEYVPGRVFKDPSLPNMTPMERYAIYHALIDVLATLHALDPSSLGL GDFGKAREYGQRVLRTWTRQYNAQMAVLVQHKIALGGEGDVKAVSDYLHGAVGSIPDE SCIVHGDFRLDNVIFHPTEPRIVALLDWELSTVGHPLADVATLCSLYRVPATTSQMVH GLANRNLPRLGIPTEAHVVRTYCKRMLRYPVADATWRFYLSLVFFRLAVILQGVYARQ VLGNASSAHAGAAKDCYLLFIQLGAAIGHEGGYNNDALSNKNMVNPSVLMGLPLSPHA LQVYGKLQRFCDARVFPSESVHVAELAALKDAGRAWLEVPPIVETLKAEAKALGLWNL FLTKVTLPNGVTYGETLTNVEYALMCELMGRCVTLAPEVFNCAAPDTGNMEILSRFGT WEQQQRWLVPLCEGKIRSCFAMTERYVASSDATNVCTQVERCDDGYVINGDKWYISGA GDPRCQLIIVMGKIKSTEILSPFRQQSMILVPIDTPGVSLKRPMHVFGYDDAPHGHLE VSFHNVKVPLTSILLGDGRGFEIAQARLGPGRIHHCMRAIGMAERCMELMVHRAKTRY AFQQLLAENPVVAASVAKSRWFVR H257_11875 MEPDGAADVEPMRQPLDLVRLRWYLEKHFAMMGKNVGLSVHQFK HGQSNPTYLVEFGAQRMVLRKQPAGNILPSAHAVDREYRVMEALQTTAVPVPRMVAFC NDPSVLGTPFFLMEYVPGRVFKDPSLPNMTPMERYAIYHALIDVLATLHALDPSSLGL GDFGKAREYGQRVLRTWTRQYNAQMAVLVQHKIALGGEGDVKAVSDYLHGAVGSIPDE SCIVHGDFRLDNVIFHPTEPRIVALLDWELSTVGHPLADVATLCSLYRVPATTSQMVH GLANRNLPRLGIPTEAHVVRTYCKRMLRYPVADATWRFYLSLVFFRLAVILQGVYARQ VLGNASSAHAGAAKDCYLLFIQLGAAIGHEGGYNNDALSNKNMVNPSVLMGLPLSPHA LQVYGKLQRFCDARVFPSESVHVAELAALKDAGRAWLEVPPIVETLKAEAKALGLWNL FLTKVTLPNGVTYGETLTNVEYALMCELMGRCVTLAPEVFNCAAPDTGNMEILSRFGT WEQQQRWLVPLCEGKIRSCFAMTERYVASSDATNVCTQVERCDDGYVINGDKWYISGA GDPRCQLIIVMGKIKSTEILSPFRQQSMILVPIDTPGVSLKRPMHVFGSR H257_11875 MEPDGAADVEPMRQPLDLVRLRWYLEKHFAMMGKNVGLSVHQFK HGQSNPTYLVEFGAQRMVLRKQPAGNILPSAHAVDREYRVMEALQTTAVPVPRMVAFC NDPSVLGTPFFLMEYVPGRVFKDPSLPNMTPMERYAIYHALIDVLATLHALDPSSLGL GDFGKAREYGQRVLRTWTRQYNAQMAVLVQHKIALGGEGDVKAVSDYLHGAVGSIPDE SCIVHGDFRLDNVIFHPTEPRIVALLDWELSTVGHPLADVATLCSLYRVPATTSQMVH GLANRNLPRLGIPTEAHVVRTYCKRMLRYPVADATWRFYLSLVFFRLAVILQGVYARQ VLGNASSAHAGAAKDCYLLFIQLGAAIGHEGGYNNDALSNKNMVNPSVLMGLPLSPHA LQVYGKLQRFCDARVFPSESVHVAELAALKDAGRAWLEVPPIVETLKAEAKALGLWNL FLTKVTLPNGVTYGETLTNVEYALMCELMGRCVTLAPEVFNCAAPDTGNMEILSRFGT WEQQQRWLVPLCEGKIRSCFAMTERYVASSDATNVCTQVERCDDGYVINGDKWYISGA GDPRCQLIIVMGKIKSTEILSPFRQQSMILVPIDTPVSDYLIPIWGIY H257_11875 MEPDGAADVEPMRQPLDLVRLRWYLEKHFAMMGKNVGLSVHQFK HGQSNPTYLVEFGAQRMVLRKQPAGNILPSAHAVDREYRVMEALQTTAVPVPRMVAFC NDPSVLGTPFFLMEYVPGRVFKDPSLPNMTPMERYAIYHALIDVLATLHALDPSSLGL GDFGKAREYGQRVLRTWTRQYNAQMAVLVQHKIALGGEGDVKAVSDYLHGAVGSIPDE SCIVHGDFRLDNVIFHPTEPRIVALLDWELSTVGHPLADVATLCSLYRVPATTSQMVH GLANRNLPRLGIPTEAHVVRTYCKRMLRYPVADATWRFYLSLVFFRLAVILQGVYARQ VLGNASSAHAGAAKDCYLLFIQLGAAIGHEGGYNNDALSNKNMVNPSVLMGLPLSPHA LQVYGKLQRFCDARVFPSESVHVAELAALKDAGRAWLEVPPIVETLKAEAKALGLWNL FLTKVTLPNGVTYGETLTNVEYALMCELMGRCVTLAPEVFNCAAPDTGNMEILSRFGT WEQQQRWLVPLCEGKIRSCFAMTERYVASSDATNVCTQVERCDDGYVINGDKWYISGA GDPRCQLIIVMGKIKSTEILSPFRQQSMILVPIDTPVSDYLIPIWGIY H257_11875 MEPDGAADVEPMRQPLDLVRLRWYLEKHFAMMGKNVGLSVHQFK HGQSNPTYLVEFGAQRMVLRKQPAGNILPSAHAVDREYRVMEALQTTAVPVPRMVAFC NDPSVLGTPFFLMEYVPGRVFKDPSLPNMTPMERYAIYHALIDVLATLHALDPSSLGL GDFGKAREYGQRVLRTWTRQYNAQMAVLVQHKIALGGEGDVKAVSDYLHGAVGSIPDE SCIVHGDFRLDNVIFHPTEPRIVALLDWELSTVGHPLADVATLCSLYRVPATTSQMVH GLANRNLPRLGIPTEAHVVRTYCKRMLRYPVADATWRFYLSLVFFRLAVILQGVYARQ VLGNASSAHAGAAKDCYLLFIQLGAAIGHEGGYNNDALSNKNMVNPSVLMGLPLSPHA LQVYGKLQRFCDARVFPSESVHVAELAALKDAGRAWLEVPPIVETLKAEAKALGLWNL FLTKVTLPNGVTYGETLTNVEYALMCELMGRCVTLAPEVFNCAAPDTGNMEILSRFGT WEQQQRWLVPLCEGKIRSCFAMTERYVASSDATNVCTQVERCDDGYVINGDKWYISGA GDPRCQLIIVMGKIKSTEILSPFRQQSMILVPIDTPVSDYLIPIWGIY H257_11875 MEPDGAADVEPMRQPLDLVRLRWYLEKHFAMMGKNVGLSVHQFK HGQSNPTYLVEFGAQRMVLRKQPAGNILPSAHAVDREYRVMEALQTTAVPVPRMVAFC NDPSVLGTPFFLMEYVPGRVFKDPSLPNMTPMERYAIYHALIDVLATLHALDPSSLGL GDFGKAREYGQRVLRTWTRQYNAQMAVLVQHKIALGGEGDVKAVSDYLHGAVGSIPDE SCIVHGDFRLDNVIFHPTEPRIVALLDWELSTVGHPLADVATLCSLYRVPATTSQMVH GLANRNLPRLGIPTEAHVVRTYCKRMLRYPVADATWRFYLSLVFFRLAVILQGVYARQ VLGNASSAHAGAAKDCYLLFIQLGAAIGHEGGYNNDALSNKNMVNPSVLMGLPLSPHA LQVYGKLQRFCDARVFPSESVHVAELAALKDAGRAWLEVPPIVETLKAEAKALGLWNL FLTKVTLPNGVTYGETLTNVEYALMCELMGRCVTLAPEVFNCAAPDTGNMEILSRFGT WEQQQRWLVPLCEGKIRSCFAMTERYVASSDATNVCTQVERCDDGYVINGDKWYISGA GDPRCQLIIVMGKIKSTEILSPFRQQSMILVPIDTPGGLYVYRIQLLVADMQTIPYHV FVFRHSWLIDLSCP H257_11875 MEPDGAADVEPMRQPLDLVRLRWYLEKHFAMMGKNVGLSVHQFK HGQSNPTYLVEFGAQRMVLRKQPAGNILPSAHAVDREYRVMEALQTTAVPVPRMVAFC NDPSVLGTPFFLMEYVPGRVFKDPSLPNMTPMERYAIYHALIDVLATLHALDPSSLGL GDFGKAREYGQRVLRTWTRQYNAQMAVLVQHKIALGGEGDVKAVSDYLHGAVGSIPDE SCIVHGDFRLDNVIFHPTEPRIVALLDWELSTVGHPLADVATLCSLYRVPATTSQMVH GLANRNLPRLGIPTEAHVVRTYCKRMLRYPVADATWRFYLSLVFFRLAVILQGVYARQ VLGNASSAHAGAAKDCYLLFIQLGAAIGHEGGYNNDALSNKNMVNPSVLMGLPLSPHA LQVYGKLQRFCDARVFPSESVHVAELAALKDAGRAWLEVPPIVETLKAEAKALGLWNL FLTKVTLPNGVTYGETLTNVEYALMCELMGRCVTLAPEVFNCAAPDTGNMEILSRFGT WEQQQRWLVPLCEGKIRSCFAMTERYVASSDATNVCTQVERCDDGYVINGDKWYISGA GDPRCQLIIVMGKIKSTEILSPFRQQSMILVPIDTPGGLYVYRIQLLVADMQTIPYHV FVFRHSWLIDLSCP H257_11875 MSSSIPPSPGLWRCWIGSSAPSATPWPTWRPCARYIECLRRRPK YVCFLIVAAPRLALDGTWSRKSEPSPPWHPHRSPCRAYVLQTHAEVPSGRCHVAVLPL ARVLSLGGDPPRRVRAASAGTMLYAYETILNMGNASSAHAGAAKDCYLLFIQLGAAIG HEGGYNNDALSNKNMVNPSVLMGLPLSPHALQVYGKLQRFCDARVFPSESVHVAELAA LKDAGRAWLEVPPIVETLKAEAKALGLWNLFLTKVTLPNGVTYGETLTNVEYALMCEL MGRCVTLAPEVFNCAAPDTGNMEILSRFGTWEQQQRWLVPLCEGKIRSCFAMTERYVA SSDATNVCTQVERCDDGYVINGDKWYISGAGDPRCQLIIVMGKIKSTEILSPFRQQSM ILVPIDTPGVSLKRPMHVFGYDDAPHGHLEVSFHNVKVPLTSILLGDGRGFEIAQARL GPGRIHHCMRAIGMAERCMELMVHRAKTRYAFQQLLAENPVVAASVAKSRCELDSARL LTLHAAHEMDTKGNKAAAQAIAMIKIVAPNMAINVCDRAVQIHGAAGVSQDFVLAYYL AALRTLRLADGPDEVHMRTIAKAEFVKSHL H257_11876 MYKNDHPAVQYDTRGQPVDAYGRPIAMAAPVPYTSSASVGTVAP IKPTVAAPSGFRDWPFAILFLGNIGAIIVLLIMYGSTVFNSLNGTSKTAFPSSSSTFS SDNVTTILYLCAGLTVIAAVLSLAMLSFTIRYARQMIQIALWWSVVMFFGFAAFAVIK KNYTVAIVTGIIGLFALCYAYAVQDRIPFAAANLRAAGAAIRKHPSTHLVAFVFLLVQ IAWVFVWAFAVVGITNKLTENSTPAPTSRTATVASGGRCIASAQCLTNYCTSGVCKEP NVYAQLKSTSYVAYFFLLLSFFWGVQVFKNIIHATVAGTVATWWFSSDSKGATGASLG RATTTSLGSICFGSLIVAVLQTLRQLAEEASRQGDCAACIAQCILGCLQSLMETFNRW AFVYVGIYGYKFMDAGRAVMQLFHDRGFDAIINDDLVGTALTFAAFGVGCLCALLGLV YYYIDVSNQFQYAEYILPLTGLFFGLGVAIIPMGVVDSAVATIFVCFAEDPVALQHSH PEHFNELMTEWHRQYPEIMVASGYYVVA H257_11877 MAPTLRAKWLQATNLTGTLRRCASTYATPTHHQYQSANGAFVHF STYGPADAAASIVLLHGAPGSYADFKYLSPLLAREYLNVVAFDLPGNGRSSVDVVGGM YGLSDQTLAAAVVAALNGRPRTTPLFILGHSFGSHTAIRVAASAKSVCGVALLAPVGF RPHHVLRRFPILKFGRFLQRPHPLRSVLAKVNRWYYIHGLGFPQRVPEDDFTYALQRI GSADFERIQEVATSLHALNLPSFVALARDDALIEPEIIRELGHLLPPGVRVEFPTGGH NIQKTQAKPVADQLVAWIESVLAQVPNTT H257_11878 MSHTQHFLCHRDLVQSTGVLFSLFGSFCSASSADLVVIYAQRID VYRVESFPPSNPAPSSSITLHLLHTFSLSGVVQCAQLVHVKKSTACLALTFSPAKLVL VRYQAGALVTVAMHNFEEDGMGLGTALQGERFGRTQFSGISSIPLTMADPDHRCLSML LYQDQLLVIPLQDSRGTDEDNEDGGDMYEIASLKMEDKTRTEQYASTLSSFGLDGVVG RTFMLRLKELDIRGKIIDFVFLEGYLEPTLMLLHEENDRHAAVGRFAAGFDTFCLTVL SINLTTRLHPKIWSVANLPSDCFKLSPCPAPLGGAIVFAQNAILYFNQNQYFGLATTS FADKTTDTAKLPLHRSPLLDETFLASNCRASLLNADEMVLNVEGQLFVLSLPSHRSLK QKGFYGPEVCQLMLRRLSSSKVAAATAMAVDMQRHLIFVGTRNGDSQLLWYHTDTHDV SDVHIPVQVPACPVPVPDDLESDDEDLYLYGHRLVAVTAEDDVQPLDTVSKAAEFAAY SVDIVDQLPAIGQVTSMDMGVDVDMEGVAPKETLVLSGGVGDQSSVSMIHRGIRPVVI TEVPLEGCRAMWAVYGCATASYHEYLILSMKSRTMVLKAGDETLPLDASGLFVDGPTL AASNILNNQRIVQVYKQGVRLLEEANNTLECTQEIPLDGDIDCGGLGVEVPAVGIVSV DILDPYVLLLLSDGSLRVVCADARDLDLSVLHPEILENGQICAVCLFHDWGHIFTVST PESTDQEAAASVQPDDDSIKDEADELDDIYKVSGGGIAAQPIETSPRLLPQQQHQSTD KPVYCAVCLDSGTMLVYSLPDFTLEATFPGLNVAPQVLSSSSVYPIVGLSQDGKKPAT LSPVADICIHRVGPCDTVGNGNVVSKMVLVVYISNGDLIVYEAAGRRFVRVATQTITR PFALKKESAATAMLNTTLFRYPMLTRFQSVARHSGVFFRGASPMWVWNSRGLPSLSPM AVPVVAKPNQVPVLCWTAFDHWNCPQGFVYFHSDGMLRVCEVPPNTTITQSGSVVQKI EFGSPTIHHLVHIGCHGTGAVQEALQTPTYAVVLSHSVAPPVDDGTENDVDEDPEEDG YTAPKPGEVVPGMDAADFTGVLDEQHELRLIQSIDGHLTPAGVFSIHMDRYEVVLTVR VMYLSDAPVLVPQEWKHKRKPYVIVGTGFIGPSGEDENGKGRLLVYEVDYAQYTNAQG VTGRKLPKLKLIYAKEHKQGGISMVCQLGAYVLAAVGAKLIVYELKGGQLIGCAFFDA QLYIVSLNVIKSYILYGDLYKSVHFLHWKPQEKTIIMLAKDFEPLDVTATEVSVMNSQ LGLIACDMEANVHVMQYEPTHVESRGGQKLLRTSDFHLGTRVTCLLRKRMVDSSFPLY VTLLATAEGGLGVLIPVQERLFRRMYTLQSIMVNVLPQNAGLNPREFRQAVHTRSTGR PDAWCTWKAKKAFLDYAVIGRFSDLDYVAQRELARCIGTVPEVVLHNLLELQRSTLFL H257_11879 MTTNVLLRIKRKRNDEPVEQLAVHGQHDVQKKMRLEDALSSLSI AREGDTSTTATTTTSTSIFVFSRIDTVSSTSNDKQLHRRLGKSVQRYHTEVLKRHQVL TTSKVTTKEQHQAHRQQKRASRIYQGRGLNVVDVDFPRIDTCDEIVVNDLPLQARATK RVLNPMERNIDEAIWIAFQRNDFSVFFQVRHQIPRALEFQRPADGGTILMAAAMHNRV DVIEQLLALNSSCVVLRDWHGKTAADIATDQGHSAAAVALRACEAVETDKDYVYDVYS IDLGATQSSTTSQINVNLPVVTVSSSVEKWLAHEAFGDLDGEDRDLVYDVDSDNEVLE DDVDSNDEDNIGNDYPDEEDSDSDESDDGGDHEGDPWSKQHRDLDDGEDY H257_11879 MTTNVLLRIKRKRNDEPVEQLAVHGQHDVQKKMRLEDALSSLSI AREGDTSTTATTTTSTSIFVFSRIDTVSSTSNDKQLHRRLGKSVQRYHTEVLKRHQVL TTSKVTTKEQHQAHRQQKRASRIYQGRGLNVVDVDFPRIDTCDEIVVNDLPLQARATK RVLNPMERNIDEAIWIAFQRNDFSVFFQVRHQIPRALEFQRPADGGTILMAAAMHNRV DVIEQLLALNSSCVVLRDWHGKTAADIATDQGHSAAAVALRACEAVETDKDYVYDVYS IDLGATQSSTTSQINVNLPVVTVSSSVEKWLAHEAFGDLDGEDRDLVYDVDSDNEVLE FVVSILFYSMYV H257_11880 MTDAKNDPSFAGISSKNRATENWPWVEKYRPSSLDDLIAHADII STLNRLIDAQKLPHLLFYGPPGTGKTSMILAAARRLYGNNYASMVLELNASDDRGISV VRDQIKEFAGTKKLFSSGIKLIILDEADAMTNDAQFALRRVIEKYTKNARFCLICNYV SKIIPALQSRCTRFRFSPLAEHQVKDRVEHIAKLENVDITPDGFRAVLRLGDGDMRRI LNILQATNMAHDVVNETNVYLCTGNPLPSDMVAMCNWLWTESFEACVRQCLDLQKLKG YATMDLLQQMYLNANELELPPHARMYIYDQLAHLEHRLATGTSETIQLISLVSIFIAA RKLISDNPSS H257_11881 MKFLAALVHATAATQLWFTTFVNQPLDVETRNPYRAYSGEVWKI RFRTPSIAEYGNVPGTGDSSTLTIENFKFSVKMPKHIPKNASMWLLPYLSPSIFGWPT GPPVATTRIPISDSVDGVHFQWTPEPPIIVTPNTTYWFQLDSTSETLEDAPMWLHGDI GFSSENDPMENMKLGVEQDRRFTTISRHKIRFAPSLQVYAKHTFCAEVPLRGAP H257_11882 METQQLVPPYEVTVHHACRLKMYHEGGREVTEDLEAQIAFSDGG FHVERLDEARCVDGQHRVLVKWLRLDDEESSWEPAANLLDDIPVVFRKWAAANKEDPA VAALIKTLDFP H257_11883 MSKSARYQAVSSVKEERNYARHPLDTANVLSRLSYGWATPLMNL GNQRQLESSDLWPLQQENQCDFVSRQFEPKYHATKSIVKASLSVFGLRALFIGFLQLV AMVASLYGPIVLQQVVSSVETTSADFQTLLVPIVMLFVVKVFQAVVKTQSDLQNEIMY VQFTSALQNLLYKKTMVLNAKSRKLKSTGEISNLFTSDMWQVLAVSFTANDIWITPLQ VVALLFMLWQVLGWAMVTGIVVIGVAFIVNRFLATASRNTWKELMEKKDIRMKVVNEV FGSMQIIKLNAWEERYYDKIRDFRDDELKSLWTGSCIQAGTIAMNYIAPVALTTVSFA SYVLLFKQTLTASKVFTALALFNMIKAPMMRLPQIIATWMQSLVSYKRFTEFLALDER DPTIVSSTVSSNAMAIEVVDGCFGWDADKPFFNHLNVSVKRGELVVLHGSVGEGKSSF CNVLLGELDKYGGSVGVSGRVAFFAQQPWIQNMTIRENILFGLPYDRVKYNSVLEACA LAKDLTLFAAGDRTEIGSKGVNVSGGQKARISLARACYSDADIFILDSPLSAVDAIVQ NEIFTKCMLGLLRHKTILLVTHSPEIIGSPYVDRTIEIKDGALVETVNVAKIGLDKSP ISPLKARQYFTDDSSEDLLEDRVAVTTVHYQDMMLVSPSVKSPFGGHLEQYCLFTPVD DSQPKTYNETSGKLVVVEEREAGRVSSEVFLAYFNALGGWPVVIVLLVVQSAWQGLQV ASDLWLSSWTSTGSTTTSEEFQASAEYNISIYALLAVGSSVMVVFRSLTVSWAGLRAS KKLFDDLCKALLGAPMRFFDANPLGRILNRFSGDMNQVDGRIPGSVSYFVATLFILVF SLGTTVFVIKSMSVVLLPLMYIYYKVGSVFVQPAREMERLSKTTRSPMITHISESIDG AVLVRAFGPKQVRRFERLHQVKVDRNNETNFCSDLAGQWFAFRIQMISASMLLVTTLA LVYMRNYLTAGLIGLVFNYSLQITNQLEGMVWVWSSLETAMVAPERVAEYTNVEQEAP RVIPGSVPSSWPQDGSVRFDRVSFRYKPNDPLVLKCISFDVKSGEKVGIVGRTGAGKS SLTMALFRINELASGTMVISGVDAFSMGVKTLRESMAIIPQNPILFKGTLRTYLDPFD QYTDAELWAVLAKVRLTGRIAAETDKLQSVVEENGENYSVGERQMLCMARALLRQCRI VVMDEATAAMDHETDQNLQRVIRDEFAGSTVLTIAHRLDTVLDADRIMVFDQGRIVQC DAPGKLIQAGTGIFYDLCSEGGYLNNIIDGARDNTDNE H257_11884 MEDPRPQASPAGLTDTRSRSVDDKSSELTEMCHFNGCTERVRPG TRKCAFHRKKGICHIHNCHNQVYARGLCVRHGARKPCNYPKCEGYARNGGFCTRHGDR HPIKLCSVQGCQNKAHARQKCVRHGGGQKCKADGCVMHARTGGWCSRHTPVEDQYRQS ALAAAVAVMRSSMPQTNPSTGISMSDICQVLSNSYDLPTPVNLSLAQSCTTLPSIKLS SDSKPYFIVHDIPSDEEQSEGGDM H257_11885 MAAAQGGGSRTPPMPPFASKRTMGRTNSTIIDGDLQAHVTSPSM LSPLCNAFAYISSVIGGLWRRRSLARPISVAHLASTSLSPSLSLAHPSDIGQTFVDPI LTFDPAHRPLFGAASSRGIRSYNEDTFRVITDLEAYATALVTQQRTNHLKNQDDVDSS GTMRTVLDTIIRDNTIDADAPPALAAWTLPPLDVACAARAPSVLLGGTSQYYGVYDGH AGRRCSYVVSQVLPMCVAAADAFKTNVGDALFAACLQMDKLFLNMAATRGYRDGCTAI TVVVRKDEVTIANIGDCRAVLCSEDPVTNQSKTTALTTDQKPNCAAEKMRIEAAGGIV LNIRGIPRVNGMLAVARAFGDLPLKRYIIAEPEVTRYQLQGVDEYIVVATDGLWDVFS NDAVGLFIRTHAQMPLNEMAAKMASMAVELGSTDNVTIVIIDVRRNRSP H257_11885 MAAAQGGGSRTPPMPPFASKRTMGRTNSTIIDGDLQAHVTSPSM LSPLCNAFAYISSVIGGLWRRRSLARPISVAHLASTSLSPSLSLAHPSDIGQTFVDPI LTFDPAHRPLFGAASSRGIRSYNEDTFRVITDLEAYATALVTQQRTNHLKNQDDVDSS GTMRTVLDTIIRDNTIDADAPPALAAWTLPPLDVACAARAPSVLLGGTSQYYGVYDGH AGRRCSYVVSQVLPMCVAAADAFKTNVGDALFAACLQMDKLFLNMAATRGYRDGCTAI TVVVRKDEVTIANIGDCRAVLCSEDPVTNQSKTTALTTDQKPNCAAEKMRIEAAGGIV LNIRGIPRVNGMLAVARAFGDLPLKRYIIAEPEVTRYQLQGVDEYIVVATDGLWDVFS NDAVGLFIR H257_11886 MATLGKAAVPQRAKIGVRIRPLLPDEEGAQHDKHAWTWHNRTIS QQIFPAHRGSSSTEAAALDRRMKDSPTAYTFDRLFPPETSTAVVYGSVAKDAVMDAMR GGHNALVVAYGQTNSGKTYSIQGKGGIIGLALRDIFQHVQEQRNTTEYLIRVAFVDID NECFQDLLTTSPSTEPIRMVQGARGEFELTGHTEVSVMSLGHALAILDAGIAKPGRGA AMSQRHTILRVTVEYQAKVTTLTLPSANTNPVHMAVLHFVDLAPSESVNRVVQGRDVA GGMNKSLLAFGHILWKLSHEAHKPTTPPQTTDLPYGDSQLTRMLQPSLGPHASLVMLC TLSPSLSGLNETHKTLKFASRAKRIHYTLRPRPDAVMDESSPHLASSDSTTYAILALR RQLASLHAQLSDTVDDDSRDSIRVALHNVHRVLLNSDDGVDMVSNDAPLTPKSSDGRV GASDGSGDGRPSAPSSHRTRTSSSSHWNDDSMSVASAGEFFLDDSPTVHAATLEILRH KIDSLRLNADDAAVLLHGLLVLERAYNNATATLSATL H257_11886 MATLGKAAVPQRAKIGVRIRPLLPDEEGAQHDKHAWTWHNRTIS QQIFPAHRGSSSTEAAALDRRMKDSPTAYTFDRLFPPETSTAVVYGSVAKDAVMDAMR GGHNALVVAYGQTNSGKTYSIQGKGGIIGLALRDIFQHVQEQRNTTEYLIRVAFVDID NECFQDLLTTSPSTEPIRMVQGARGEFELTGHTEVSVMSLGHALAILDAGIAKPGRGA AMSQRHTILRVTVEYQAKVTTLTLPSANTNPVHMAVLHFVDLAPSESVNRVVQGRDVA GGMNKSLLAFGHILWKLSHEAHKPTTPPQTTDLPYGDSQLTRMLQPSLGPHASLVMLC TLSPSLSGLNETHKTLKFASRAKRIHYTLRPRPDAVMDESSPHLASSDSTTYAILALR RQLASLHAQLSDTVDDDSRDSIRVALHNVHRVLLNSDDGVDMVSNDAPLTPKSSDGRV GASDGSGDGRPSAPSSHRTRTSSSSHWNDDSMSVASAGEFFLDDSPTVHAATLEILRH KYSYHASLVVGLFMLTDLVITRIDSLRLNADDAAVLLHGLLVLERAYNNATATLSATL H257_11886 MLFHRHNRTISQQIFPAHRGSSSTEAAALDRRMKDSPTAYTFDR LFPPETSTAVVYGSVAKDAVMDAMRGGHNALVVAYGQTNSGKTYSIQGKGGIIGLALR DIFQHVQEQRNTTEYLIRVAFVDIDNECFQDLLTTSPSTEPIRMVQGARGEFELTGHT EVSVMSLGHALAILDAGIAKPGRGAAMSQRHTILRVTVEYQAKVTTLTLPSANTNPVH MAVLHFVDLAPSESVNRVVQGRDVAGGMNKSLLAFGHILWKLSHEAHKPTTPPQTTDL PYGDSQLTRMLQPSLGPHASLVMLCTLSPSLSGLNETHKTLKFASRAKRIHYTLRPRP DAVMDESSPHLASSDSTTYAILALRRQLASLHAQLSDTVDDDSRDSIRVALHNVHRVL LNSDDGVDMVSNDAPLTPKSSDGRVGASDGSGDGRPSAPSSHRTRTSSSSHWNDDSMS VASAGEFFLDDSPTVHAATLEILRHKIDSLRLNADDAAVLLHGLLVLERAYNNATATL SATL H257_11886 MATLGKAAVPQRAKIGVRIRPLLPDEEGAQHDKHAWTWHNRTIS QQIFPAHRGSSSTEAAALDRRMKDSPTAYTFDRLFPPETSTAVVYGSVAKDAVMDAMR GGHNALVVAYGQTNSGKTYSIQGKGGIIGLALRDIFQHVQEQRNTTEYLIRVAFVDID NECFQDLLTTSPSTEPIRMVQGARGEFELTGHTEVSVMSLGHALAILDAGIAKPGRGA AMSQRHTILRVTVEYQAKVTTLTLPSANTNPVHMAVLHFVDLAPSESVNRVVQGRDVA GGMNKSLLAFGHILWKLSHEAHKPTTPPQTTDLPYGDSQLTRMLQPSLGPHASLVMLC TLSPSLSGLNETHKTLKFASRAKRIHYTLRPRPDAVMDESSPHLASSDSTTYAILALR RQLASLHAQLSDTVDDDSRDSIRVALHNVHRVLLNSDDVRAKI H257_11887 MMLHVGATTDIGNSRQTNEDVYAVFPDLPRITTDLALHRSAIAL FDGHCGVRAAAFARENFEAMLVASPAYGRDTCMALEASVRELDRVFLAMARRKHGVID GTTLVAVVIERIGGTTRLTTANVGDSRAIMVTNKVRQLTIDQNAARPDEANRVYDSGG FVAFCNMYRSPESTLSMPRRALRWVGPRGDRCMMMTTEC H257_11888 MSRLENFRSIANQAGQTMYAGASLAASVSKEKASLVASVGKEKG LKAYSHAAVAASAGRTTLETRIKKVQTSSTFKTVKQRLWSKSGRAQPEGDDVVPPVAF ASTEKEYYKVDPSTVLKRSQSSRGVKSKKAARPSQAVAAAPVLKRSVSSVETGSADLR RRHGSGSKTRSPPQEVEF H257_11889 MGLLSSSVQPFVGTPFDDLRPLAYTVGKTDSLTQAMSRDLSEFY STPDHVPQRNRIDALNISKTYLELDQAHATANQREVINREASKKLANQRSAAHTFMVS AISTNLRRLYQATMCPYELFEHIKTRFKSNPMDNNPAVIANYLRTLMFTGESCIDILS VELIDLVKRYRVSMTPPSSNPLDPSTTTIIFWNYYILCVMSDTFIGEKELWEIVTNSV ATACAANPSVVVADFWNGILRTSSNERLLGVTTALPLPSKHEPNFQLSRMPPPQAITQ ADGSIHVLNVFTILSYAHRDCVNPPRQWQPGLLWPTWMGPLVDLEMSGGTTILTRIGA TTVTATALTVEVVMTKL H257_11890 MSKATKYQSVSTEKVQRTYAKHPLDTTNVFARLSYWWATPLMNL GNQRQLESSDLWPLQQENQCDFVSRQFEPRYHATKSIVKASLSMFGPRALFIGLTRLV VMVASLYAPVVLQQVVSSVESGDADFQALLIPIVMLFVVNVIQAVIKTQSDLQNEVMY VQVASALQHLLFKKTMVLNAKSRKLKSTGEISNLFTSDMWQVLGVPFIVNDIWIIPLQ VATLLFMLWQLLGWAMVTGIVVVGVAFIVNRYLATATRNNRKKLMEKKDIRMKVVNEV FGSMQIIKLNAWEERYYVKISDFRDDELKSLWTGSCIQAGTIAMNYIAPVALTTVSFA SYVLLFKQTLTASKVFTALALFNMIKAPMMLLPEIIAISMQSLVSYKRFTEFLALDER DPTIVSSTVSSNAMAIEVVDGCFGWDADKPFFNHLNVSVKRGELVVLHGSVGEGKSSF CNVLLGELDKYGGSVGVSGRVAFFAQQPWIQNMTIRENILFGLPYDRVKYNSVLEACA LAKDLTLFAAGDRTEIGSKGVNVSGGQKARISLARACYSDADIFILDSPLSAVDAIVQ NEIFTKCMLGLLRHKTILLVTHSPEIIGSPYVDRTIEIKDGALVETVNGAKIGLDKSP ISPLKARQYFTDDSSEDLLEDRVAVTTVHYQDMMLVSPSVKSPFGGHLEQYCLFTPVD DSQPKTYNETSGKLVVVEEREAGRVSSEVFLAYFNAVGGWPVVIVLLVVQSAWQGLQV ASDLWLSSWTSTGSTTTSEEFQASAEYNISIYALLAVSSSVMVVFRSLTVSWAGLRAS KKLFDGLCQSLLGAPMRFFDVNPLGRILNRFGGDMNQVDSNIPRSVSFVFSSLFVLLF SFGTTIFVIKSMGLVLLPLVYIYYKVGSVFVQPARELVRLSKTTRSTMVTHISESIDG AVLVRAFGPKQVRRFERRHHANVDLNNETNFCSDLAGQWFAFRIQMISASMLLVTTLA LVYMRNYLTAGLIGLVFNYSLQITNQLEGMVWVWSSLETAMVAPERVAEYTNVEQEAP RVIPGSAPSSWPQDGSVRFDRVSFRYKPNDPLVLKDISFDVKSGEKVGIVGRTGAGKS SLTMALFRINELASGTMEIGGVDASSMGVKTLRESMAIIPQNPILFKGTLRTYLDPLD QYTDAELWAVLTKVRLTGRIAAETDKLQSVVEENGENYSVGERQMLCMARALLRQCRI VVMDEATAAMDHETDQNLQRVIRDEFAGSTVLTIAHRLDTVLDADRIMVFDQGRIVQC DAPGKLIQAGTGIFYDLCSEGGYLDKIVDA H257_11891 MNIACKAPASKKQGPVAESSVKLQGHANVCRCHHRRSATGAMSY AFIATMDKFSLDVTYQDLLKTLRVFMYSKYTHVLCLSAWRALTMKVKFALRRM H257_11892 MDLRPILKAPTRPDLGAVKAKISASYSSAKQQKEARQICQLEFE ESPHTQTKQRLPVDEPIPPTATPASTPSAPAASVSARTPMTVAGLTLPPDFNRFKPVL LNLPAEFLRVRPAPAPTPDNLPSSPVASAPQDARATAPTTATSSRTAASTPSSTQDPS AASQLSKSQRRRHSKQKRKHDSTTKSVRFAIDSDDENETTPSAPTPSTASASAKARHR QKQAALRARAQQKRAQLGLPSLPPLSSSEDESAMPRSGNDSSSDDNRPLVAPKHASRT TSSGASSCRTKAAPPLTLRDQIRQAALSEFENATKDHGGDRGGLDLSSDDSDVGRTTS DDATRLKMHAERQEMLKARAEEKKRQVALEAKLKALHAPEIQAYEDRVARRKAEEATW MANEWAKTVKEREKLEAIELELAKARMAKVEAERMKVEERRRLAEAELEKLMAMRADE ERGAVLERDAVTEAIEARRKDMARQMEELEARHQARLERLKQTPPACITAQDTQRSLD LRDHSDASETDQTVHRHGLQASSSSWNTPSTNVQTNPTKTKTVPSQRRGAAATSLPVK GALFATRCANGAKRKRLVVAAKQRGKVALKVATTPQPRPRNGKAKVTGWSKAMMAQKE SLEAWHQKQQHDLESDDRVDLLDIVHKERERFAALEAAHWARTIGSTPPGPARTPTTM SSKHSSRNHQMSKFHEQIAVPTLASSSSEESSSSSSSSSSSEESESSDNDDGGGVADV EPPSKAIVLPPMVEAHDGVPIEAHLPSSGAANEAPELEPKTPIVADGLDVVDGVVEQA AAADALKSSGDVLKVVPVQNHEEPNDKERNEQSVGSVEKTETGAVELPDEVGAKEGGH LAAMATPSSECSAGVGALELTRRELEKKQLALEEAKRKFEDELAKVNGGVAASVLAVD LTTSFLAPPPMPKSRPRKSMQNDGVVQKKTPKKRQQPAVVVQKRGRSTESSSSSSSSA DDSDWERGAFKPKKRMGKLARLTRLTARAMANVIEISDDDGAEAQVIVVDDGEARDEE LDEPPTTKVAIPRDWIARLAGHQKGDELELDADGATLI H257_11893 MCTLQIAALGALIKAAVDIPGMSDDDETEIIQSAVEKIVADVES FMPRAYWERIVTSKAVPDAQRELLQSRLFVYYGDKLPYLPYLSEDDERLILHATIAIL TDAMGNTKLDDILSQETTSLSLIAIYIREAMGIDDVEILRRKVADMINLPIPMPQPVV NWLIEKGVAALLSVLSRAVDVSLLECFGKDATHHVKTIGEFQAVLRGNVTRLLHNKMS VVLLPAFVERFVISSVIDTYFELYVTNERINTAVSSVIRRGK H257_11894 MASRIVHGLATGVHATSSRRRPTPRHHAVWLLACAGMLMGAATD ARSRPCGSSAPPLPKKSVRAHNQLLGVT H257_11895 MQRPLLDMYRGFWLVQVCLQDVASCVPWSRLRRRRTLYCSSNRR WSREPLPQQSIVALSSLINAAVDIPGMNESEEMEIIQSAVERIVFEMENFMPQSYWDR IMTTKTVPDAQRELLQSRLIEHFQSTLNLPYLSEQDQRLIVHATVAIVSDAMGNTKLD DILAQDATSVSLAAIFIREAMGIDDVEALRKEVSSIIELPIPLPEPAVKWVIGKGVAA LVAILNDAVDLSLVECFGKARTRPVTSAADFEEVLRLNVTGLLDHNMNVFGMPAFVEA FVLAKIVDSYFDLCVTKSRIDTAVAMLLKPVKT H257_11896 MMATSMLPITTLLKAPMDAKDVADTKDSVRPSTSATDIPMSPTS TPPIDTTSNQDEASSQQDTSLTALARTFSVPAPHLAPNSPTVINDVGAPFSRTVSAPA SVPQEGHPPHFPPQHASSLPPQCAPPSKTSPLPRDPIEDEFDVVVDETLPMGLQFVLD TLWRDDQFTIEGLKKVGETNVTVSQWADKPVAYTAFNRPETFQSERRVTFVHNKKNFI GPSAIPTTQIHRYTYTPGQRLVVSVTSSVHDAPFCDYFRAESRWVFDASLSSAHECSL VSGMRLNWAKSTFLKGQIEGFAKSESKSVMLKWVKQAIDAYNSAYPSEKPVHRQDQGP VAAAASEDGRKKDQDAVATMTTTKKLNPDTSLLLLRQLNVVGVVVLVVLLLQLMVTLY NLRTTTNESVRLQKQHQVLLSQIMDKMKCVKSSE H257_11897 MWLRPGLCLSVNGNQALLHATFNWPGDLIESVALHGLRASPMVR RATDSLCHALRSLTFLYRDMLTSELKDMNEAKAKFGTPFDKWTPIEVDAQATQRRGKV VQAGSAVATVLNETEQVVKFGLFCMALMYFRELSLLQKQKNVWNSHVSKPPSSKHNKP SLLQGERTRQANHQRP H257_11898 MFGELLFRGLLAWLDDLLGSAKTTDELLNLLDQVLSICAQFGLN LSPKKCHFFLREAEWCGKADKLQRWSLVMSTFPYTIECVSGDANVWGDLLRRWGSAPT DQPVANVRKLIHVVSPLQQVDFEWPTAATISDIQSSTMEGGGTPPNGVDWDDDSHFYV DPDGRIWIPDGAVDPQQRICVIAHQGASGHRRIAATTKSVSDKFVWKTLPTDVEAFVR ACLHCLCIGGEMVPSPLGSALHAEKPNEFIHFDWLSMSMAKSGQKQVLVVKDDMSGFV QLFAAKSADAAATAKCPQPSAGGPAGRNCACDSVYRPASQDPLAGFVHPTSKEVYVAD WLGTARQKHVTDLQVALEEMHRNVAVRSDKLRQQARGRHDRKSQVKFAGFSVGDFVLV GSVVNRPTKLALHWRGPCQVTRVITDHVMETQQLVPPYEVTVHHACRLKMYHEGGREV TEYLEAQIAFGDGRFHVERLHEARCVDDQHQVLVKWLGLDDEESSWEPAANLLDDIPV VFRKWAAANKEDPAVAALIKALDFP H257_11899 MSTRVGVYTPKGVMNEKYIWLSACSGNCQYPLVQSNVKYTFALI GLLSIVMRKSPTSFFGTILGAAVHVARLFCGGYRRIPHFTGVVPQNEYASGIKMRFLR EHARPRLDLLCYIITNNIQELYVDKFARATNVSMFYVANASNEMYAPQFKVRGFTYPF WTFPSSKSEMLSLESNRSMVDLVVHSAEVDIGNVGSTPNATSVVQNDHTQRGTTKAMY ESIVNLAKWVISHANEIQDNPRQLHIAQRQLQRVYSYQESISQVARMRKRRRTNVAGN ELTVFFQPPSI H257_11900 MSHRIDPKKTRVVCPVPSCKTKWITLSNRSTDYATHKNHDGSLP SYSDRLYREMAAVDHEAFFDHWRVHLHDSPNKLGGNPGAMVREYARAKSLTTTE H257_11901 MSHDHFPALPSELVDWDPQIISLNTNGFSNSHRYILQKLSSTHD VTFVQETRFRAPSLQDKVPHSTLWSPHHPPATGGLATLLHPHSPLKNAVEVAHDNPIL RGRYLQVRCVLGPATIVLHNVYAPISWSERARFFDELPRDFPPHFLHIVGGDFNCTLN KDLDSLNPSAATMAGTDALLTWMRDLSIVDLFRQQNPLRKTFTSPKLINRLDYIFCSS SLARLAHWKAAHLPHIPHADHVACRIITQRQTTRHGSGSWKAPPWLLRLPRAATIIHG CLDRFLAKSNGFHNVGKAYDILVTDIRQQLKLFHDEQLDKQRLPLKKLALEIAALLQV PNMRQDPQKKFLQEQAFQLHLYKAERSSRFHFSSPIPTPLRKTVFKELEDANGNLVSD QTGVSNTLVDYYSDLFAAPELRASDDDLSAFLGPLMRYTQLSVQAHQELAAPLLANEF LPSATAAAIPPLDPTRCHLKQLTVNAANAKAKNGLDRKYLREQERIGGVSP H257_11902 MSHDHFPALPSELVDWDPQIISLNTNGFSNSHRYILQKLSSTHD VTFVQETRFRAPSLQDKVSYHWQPHITHPPATGGLATLLHPHSPLKNAVEVAHDNPIL RGRYLQVRCVLGPATIVLHNVYAPISWSERARFFDELPRDFPPHFLHIVGGDFNCTLN KDLDSLNPSAATMAGTDALLTWMRDLSIVDLFRQQNPLRKTFTSPKLINRLDYIFCSS SLARLAHWKAAHLPHIPHADHVACRIIAQRQTTRHGSGSWKAPPWLLRLST H257_11903 MSSQDLTQPGAPRDGGRVQTSPVRRDAVVTSEVTEPMRHEAESS FIGEHLLDPTVTRGVSDGDGSIPGVNLADLRVQLQVPHENAGRALVERPFGSTATACL APTSLVNNEAGHTTTNRAPVCDEPPRTGDESTPTQENADPASGVVGAEKSAFDRDSSN KSHHDRVDHGQSSHGRDSNHSSSNTQDQTVDHNDDAKSKHATSAVDKSGKHTSKPKHS VKSVKFREAGGHAVADDDIARCEAVADAFYKNPDDMDAFIEDILLMPQSQVYELTIRL GEGYRDWKEKALCNQFVKENPGSLWGSKFKDILIHKRDPVTMVISCYSLATCIALGGT TFKLGGKEFQVPKYSQYANNYHITFNKVNNPFLARALVKALALMTKCVIAAFNPTSDQ NVASPHLRVIFKTSSPPAALVPKNGPPLREITVVDPSGQAATLVFQHKIAVLNKHIPP SVKARQRPQPRPDTPTNPNKSTANTSNVDPETRSSVPSGAEAQRPSRMDTGIHREAPP SRVTSMMAQTVDHVDIQSNAGSDMSIGQDHDPTPDPTPSIHDEAMDGSARSATTTSPT ANGNSAPMQSSEVNDAEAPPAGTETNTIHDLPSNQTQPAFAPEHDEQVPSAPLEYQLV TGDRFKPASKRPLSPRESQSFATSNRYALLQEDDVELSLEDFVIPRVVLEDTEHPRTL VPTKKKVRPNKSKHAHFERAAKIIKDKVVEKDIVECTRLLQSEPQVVAHSMYPVDAEF GLLESLVSTRAINRRMAAKHEAGEGGDYKQQLPFYAQGCQDPNEIAREMVSDQLEYTV WQALAAVDLFLSNRVPDLYNNPGALEILCGQEATRWEDVLTDWSLLKVVSRLAPALRA MNLPTYVLDAIEFLADSVLNNSPDIDPICDDLTHCILSPAWDKAHVEA H257_11904 MVADILTKAIPREQFEILPISGGHHQVFSVDLINDKPQSFADIE ASPNKQAWLDATQEEYYAIVYNGIWVLTDLPRGRKALACKWLWRNKFDAVGR H257_11905 LRSSFSTGIANADWLDNSTRANAQTKLSKFVHLLGGPEKPQMYP TLTLDSKSYLNNRWKLSQVNIDTNLKLNGQPVDRRRFNMAPHEVNAYYNRYVNQIVFP AGVLQKPFFDRQFDAAQNFGAIGMFIGHEITHGFDNIGRNYDGDGNLKQWWSNATNDA FKTKAQCISDQYANLVVTSEVTGVVLGKIRGNITLGENIADNGGLKTSFRAYHEYLKE HPSQYTEEAGDKLFYLSYAQAWCSKSTDAYLRAILKTKYPPFRYRVTGALRNNAEFAR VFQCPTDSYLNPSKKCLLWE H257_11906 MVGVSKQVYLISNSNTPEPSNYPIKYRPDIDGLQTFVVVPVVLF HAYPLSINGGFTGVDVFFVIAGYLISGILFKENVKGSLTYADFYSRRIHRMFPALLLV LTFTLVVGCVWLLDKAV H257_11907 MEQRSLQDLGRGQLRPIAHSPAIDAAVASLGDSIDRRRMRPVAC LRRSEADPAVIGGVGLAPVDVELLVALARVVRQLVSWGFCSGILEFDGMADDQRKKSK EVVDLTGDYSDSDEYFFAGAQRLRTGSEDPLLDLFMKKEEQRIHAEHQTAADLVQTAL RTVGPMAVRELLRKEIVGLEERHRKEDMRPQREMEQAVEESMAESIAEAEAQAAQAAE DQAGEAGAGATPAVVQVQEPPVGQAAPGVQAASNIKIKDEGVGQMEVENGPLGMREVR LEDVRTLKLHEQDDSALGVCVDYINGRTRRLRVLQQLDSRLQDWGWTPALREPGERYL TLFCRSGLFETQVTRLVCALEHMTILEIPLAEFVDWKDKMRERISDWSYDALRVRGGK RRLGQLPHNVGLLANGMTNFALVRAASGRVPAEIVSRLAVRVRMRRALELARLGSSTG GLRANNVRQALPGFVMGSRPAPSGSPAKRTAAESTQESKRRARLERRSPWYVDPRGAR MAAGPPDAGYYGAPQASSMVVGTPSVPVAAPVKREWKQESASSAEDGQVAEESEEDFG DEPPRSTSDRLPSLHRW H257_11908 MLYTIKAMRIEPVTCNNEANKTKRKAFVDTLLQHQQCGDYIAYY DETNYNIYCHRTLGRAKKGQ H257_11909 MLYTIKAMRIEPVTCNNEANKTKRKAFVDTLLQHQQCGDYIAYY DETNYNIYCHRTLGLCCVGHRLVCGSIKMAQNAAFVEEIYQAVKASASWNTNFEDKRV VIVLDNAPAHSQTEQRVEPYEDLVLLRFGPYSPMLNPIESCFSVLKAHIKRFACVAHQ CAV H257_11910 MALYESLESDVASFFVAQGSLIESLVHSLCLRVATIEAKGQAQS CGSPDLSHVAAMIDTSAQQCLAYVDEKVATLHYKLEGMDAEFDRINRALETMFQSLGQ LKHHQLEAQRQYDAAVDDMTRQCRDLHAHVKAVQTTVPDMGELEIVWRDRVLCGLTQE QLLGLAKDLTDLSHVSECHVMALATRHAIQQILDAKAGGSAPPLGAMMDLERGLDALP ALVQATASNPETTTGLEVLAHAMTMLPGYSNLVKWGVWSSMHSSMGHQSAQLIEQSLA TMGLTHEVLRSQVEHVQNELERHAYLEHQLEMQLAACPRQEEMLDQLSQLQAKLQNDL STDAALASMEELRSAFQRLPNSDVIQSIVRTLETKADKSEMRRLEQNQQTDDVIHLGL TKASLKCLSCDQYLPKHYHYATTTTESCGGLKPPPLVSPRQTLKPLDPAKRCTQPRPK SSHLHGASKPAPVHFVQDIAAKLGATVDAKRDREKALPKHQIQHHSHPSLATSATTSH STMPRTVTLAFQFPAVPPIATGPEPLSDKKKYSFYSATDTYIAPNQ H257_11911 MSPSANQRPTAGMLPRMTTWGVPSVVSAGPASSSPPPPAPLRLL HVKSNGDAGTITPSTNETPDAPLNDKNIEYDANQCSLCRDKGLCSKAYVDNTPGVFCW NAGNATTHSSTSLLSPCCCREPSLCLPFPYSSCACLQATDPIDPPKLPKEKSNQLTIA ASAGAAAVVVVVVWLLWRSRRSNREIPPPDASFEYPIMKEM H257_11912 MATSKHVHFVARQVNIYISFMMGYSAESPGGSRLARHHLHSHNP PPTNATDPPTDNAMVMALVNLSFGGGGSTGFVGWRHAQDEYAYGKHSGTSRQQHGDGA VGAVVELAVASTFQQNTPGLPSPKALEHCPLSRHSAHWFASYSIPMAEAGEETLLDVG IAVVSFDLSRRSRKEASAGGGCNDEGLLPASTDWTMHHIPIRIKVR H257_11913 MEARAIGAGAITALDTAVTTVRTRPNGKGVVKREVSSSDAGDDG RPSKRAKSTPASPKLQPSGNEFKRIPKNPRTSLSQLPPVQSVPGEMLDVCEEVRVTKP WERYRRAGSILLDQDGREGQHEPQFHNSVATFWDRYGQQLWEQTYAPFGNPNHLDPLF HQVFNLHVKLQRLINNTDYDDTHAHFLCFPHPPWSV H257_11913 MEARAIGAGAITALDTAVTTVRTRPNGKGVVKREVSSSDAGDDG RPSKRAKSTPASPKLQPSGNEFKRIPKNPRTSLSQLPPVQSVPGEMLDVCEEVRVTKP WERYRRAGSILLDQDGREGQHEPQFHNSVATFWDRYGQQLWEQTYAPFGNPNHLDPLF HQVFNLHVKLQRLINNTDYDDTHAHFLCFPHPPWSV H257_11914 MQFLRAGHMAWIQDYMATRASGYNSLLRLLQKFADRHGFSKQRV CRQKKTQQDLEETRLAFGKQFHADHPDVALDCLYNADETGIQYDMCPSTIWAVRGGGS YVANSETHSYRMTALLTVRADGAKLPILFVIRGVTGGTIETNEFLPTILKGTFTPCRK KRG H257_11915 MVKVLISLSVLAAAATAGSITELPESVTKLIDYSINPCNDFYQY ACGAWHKAAVIPPDEHQVDTSYSKIYSENEAVLTTILSDYKPKLGEFYNSCLDTATLS SLGLTPLEDSFKAIRSANTTLDLLIVAGKLAKNGIPAFVDINSNFDDNDATKNVLFGY RAPLSLDRDYYTNPSKWKAVEADYKVYIASVLKLAGYTDEQAAAAVPVIILFEKSLAG VEGDLSELEEMEPVVSPYTALTFYQLDQKYPLLIGSWLKANGFNVRDQCGGSNDWVGF YYLTYFDKAEVLLKNTTLDNLRTIVEYKLIHASSNHLTPEFRTANWNFFGKKIEGKRE ETSREKFCLTQTSSKSTLGYLLGQYFLDAVWSADTAKTANDLVKAFVTTSLQWRHRVI DQSEQTSLLTSVYDQARLACGVLGHGHQKFLLQSAPLTVDNKDLLPGA H257_11916 MSISPFVGTPLDDLRPLAYTLRKTDFLCQATSRDLPEFYSSQDY VRQGNRIDALNISKMYLELDQVELYVVGPTLSETDRDARLAGIKTQMTTIQRRYLRRL NQTTTCPFELFEHIKTRFVSNSMDNNPTVVARYLRTLKFTDESCIDTLSVEIIDLAKR YSLLCVYDSAVVQSSGSIGHLVEVVTNSVATARAANHSVVVADVWASVRCIITNCFQR ASALGDNRSAAIIQTRTQLAAVTHAVAAPAHTPHPKQLRKPMAQFML H257_11917 SIAGHKFVPDVKVLWEGFEDIESSWEPLQKLMHECPAVVKNYVE GVKTASDGDAL H257_11918 MNGRSAWKAPAASAYHKDDCMWTSHDACRISQREDDLMWRWPQA KTSDEKDSCRPLTLVRGGLDADRPSRVPMPHGDVVVVARTNAQYIASRTSEGGAMECV WNDNTCTSKAALEDCRIWLVTVTTTSL H257_11919 MGLTFQNPALKSQVNVTTSLPCTVFFLRGDRIGNSSAPSALSGD TSGDPSGSRFFSRKGDAIPFAEVISLLPSSVQPFVATPLDDLRPLAYTLWKTDFLSQA TSRDLAEFYSTKDFVPKGDRIDALNISKMYLELDQVEHSELYVVDPTLSETDRDARLA EIKAHTTAIQREIIAREVTKKLANQRSAVHTFLVSAISTNPYELFEHIKTRFESNPMD INPTIIASYLRTLKGTDESCIDTLSVELIDLIKRYRVYMTPQLFNPLDPSANSNRLQQ ASALVDNGSVATIQTRTQLAGVMHAVAAPAPTPLAITQANGSVHVMNAFTVPSYAHHD CVNHPVKSCFYCGVINHTLPACPTLMSDYARNTMRPITDAPGEHFPSPVDADVWTVSV PIPHSKLSENKAFSPFMRIFATVKAIPFAKRLTKAYQSRDMCEITTTLDDVLCAPNNA MGLYSSPCQPKCEPSTDPHRGEYIHPGQMAMLAGMLTPSSLPLALRSLWHRRLGHPDV DAFNQMTKTNPELMLFTAKHLRAQLCETCAYANSKRSSFDSSAVFRTASYLALLHHSS PMDIGTLQSDNAKEYEKLGRIINAKYNTRVAFSNAYSP H257_11920 MDEWTKVSIGLYIHQVTTFFKAKNVDYQEDDGTQQRCIVMMVAN FRGLAAAWYQDRLSRGAKFRRICTQVCDMTERDKFNNRHQGSRPPHAYLQRQRQDDDM EVDNAQVQHRGQRQVGPFYNCGRMGHRISDCRSPPRNNQGRSQAQPQRNNNSRHANRP QRAQCNKPSRQHNTQVTKVNSDTEGSEDDVEEVILGNNMGLAQQDSAEEESLNINTAQ QAVPAQENKLMIVHGALDSTSVRILIDSGASNLLCRPGLVKTVIRSKEVQAEGFDGHC SGIKKVKEVSGTLCFGQWTFPDLILTEWDLGKKDFDVILGKPWFFRCNPVIDWRTHQI LNVNSSEVEPERIEGWMIKVTTKTEPQQKLHPLVARVVEEFRDVPKSTCERSFDYWVN HDRCSSSNRKRASATFGLITPFGLGELNLFLN H257_11921 MVKVLISLSVLTAVAMAGSVTELPESVTKLIDYSINPCDHYYQY SCGMWHKDDVLPPDVYHIDTSFNKLDIQNEVVLTKIFSDNKTKLRKFGEFYNSCLDTA TLSSLGLTPLEDSFKAIRSASTKLDLLIVAAKLAKNGIPAFVEVNAGVEFLHVCIPRS KTLKSQLAATKNIGQLFDCPDLPVKLRQDLRVLTRHQRVVINKLRAQIPESEELRRPQ RYPRDHRLIDPPERSNRRTE H257_11922 MHGFVQGYPSSRPVTAGSYQCLLPRHLQLKKAKTSVLSKPRNTT IKEAVVSFDELIHAMPLKLAQTMTPLVRPILEQCRGPDGTVDFKTTRAGMECTSRHMV ERENEGEE H257_11923 MPFNTHATTLTNVANGSVVRFGGEDYEVVDVPGDGNCLFHSLLV PGVLPTPSHVDLRRRVVEMTMSPLWIPVVRDVLTMFYGPNFDVDKYRARLGRVGSWVG DCEMCLVAILFGINITSFACPNSVNKAVSDFSTATALSSMNRPWASHASTELFVHFHQ YGRPCNNQLWVSLNHFCALVPKFVQSDKTAKSEDRLDALNPPTATPSATTTKSKNRLE AWNPVDDMLTGIAGAMSSTASTAPAIRSAETTKASTKPAPKRAFTQLTLSSLPMWNKK PTSVKRTKTTQQKGVKKVPADVQVKTDIMMRYIGSLQAPEYFVSKILRGREDAKRAEA SRIKHLEHLDALEVGTVVSGVLEELVATIEATEVRLPGVKLQANSSELHGRVVQLLLV TTCILISVTAACHPH H257_11924 MLAAEKDKTMYFTVDNTVDTTGTIAGTSTAPRNPKSTGDGDVVD LDNHSVQDTYDKLASIRDICQRAIVTSTNNACNPEFVETVLQLLTPTMKFLDKAGEVD NLRKRQRTNAPIPHQVEALELLLRHGANVNVGDSSGNTVLHKAAYLGYGDCVSLLLQY GAGANNPNNMQQTPLKLASLFDKPDMVALLSSVVS H257_11925 MVKVLISLSAFAAAATAGSVTELPASVTKLIDYSANPCEDFFQY ACGAWYKDTVVPPDVGLISTLTTISAHNEAVLKKILSNYKPKLSEFYTSCMDTATLSS LGLTPLEDSFKAIRLANTKLDLLIVAGKLVKNGIPAFVDIKASPDNNDVTKNALFGFP IPLPLDLVYYANPSEWESVEADYKLYIASVLQLAGYTAEKAAAAVPVIIRFEQRMVIF ALRQLKEIQVAVSPYTALTYSQLNQTYPLLIGSWLIANGFNVRNVGGGSNDWVRFYDL TYFDNIELLLKFTTLDDLRTIVVYKLIHASSKHLTPEFRTANWNFFGKKINGEKVEPS REKFCFSETGEALSDILGEYLLGKVWSADAAKVVNELVKALRSSFSTSIATADWLDNS TLANAQTKMSKLVHLLGGPEKPQLYPTLTLDSKSFLNNRWKVSQVNIDTNLKLNGQPV EHKWSEAPHEVNAYYGSSQNLIMLPANILQKPLFDGEFDAAQNFGGIGSFIGHEITHG FDNIGRYYDGDGNVNPWWSDVSIASFKTKAQCFSDQYSNFVVKSVETGDVLGNINGKL TLDENIADNAGLKTSFRAYHEYLKKFPSQYSEETGDKLFYLSFAQSWCSKYTDTSLSE SLKREHPPGRFRVTGTLQNDAEFARVFQCPTGSYMNPSKKCLLWE H257_11926 MFGTSYGRPSHWWGFPKSTTDDTSNGADITDFSHPIKYRSDIDG QRTLAVVPAVLFHRRPLQGKGQTLVHVRRLLLAPHPLLVLTFTLAVGCVWLLEKALQS KVITLVVGTHFGAKI H257_11927 MVKPDGSINLAVFGCGDFTEFVLYKYMNVGVSLSTLSGYRSSLK DYYTTQIVALPAGFTTNATAIYSKGFGVFVQARFRPAQSNQAATQAPPISITMQDEFD ET H257_11928 MGKNKAREKKEFSKAERAAAASSKVIQRDQSILKRKASSQRELV SFNAIHYLEQSDVENLDVIRKSLIEKIRTVERVNERFQRDLRSVNSGLNSNRTKYALD ELTKVQATLSLSSGYVTEAIDAHLLARPKIEAKKPTLKKT H257_11929 MPRNLHDDADCRHVLDYLTTTRGLDPDVLSKYCVDAIQQPFYGK DGESETKWCATFPWMARKVDIAAMGAELATPDDPASSSGVDANLFNVVRLKVRAIDDK SKQRLVPKGGSWGLFGWNTTTVRVPRWEN H257_11930 MCILQKPFFDAQFDAAQNFGGIGAVIGHEITHGFDNKGRKYDGD GNLKEWWSYATSTAFNTKSQCIIDQYANFVVKSEVNDAVLGNISAVISLDENIAENGG LKTSFRAYHEYLKKFPSQYTEEAGDKLFYLSYAQSWCSKSTDASLKMTMRGKHPPKRF RVTGALQNDAEFARVFQCPTDSYLNPSNKCLLWE H257_11931 MPRVWVSDQGTHFENVAMKALAHKFKVHHDLTLTYCPWRNGTVE RMNRDILQVMRVMLREYQLAQQEWDYLLAVVQANLNQTPLFTALNPATPLDVVVVGMN KELRENDWTVKEIPKNLDKLRASLQVMHKERSNYVLWSRVDERYHPKLLVTWTGPYRV KEVGEFSVVLEHLVTHELRKAHASRVKLYAEDSFKVTEEILEHVSEQGIMLKVKSIAG HKFVPDVKDFMLEVLWEGFEDIESSWEPLQMLMHECPAVVKNYVEGVKTASEGDEASE SEELARPKCVLRDMAWLGATGCRLLANRHEKA H257_11932 MQAAKVDDALRHALQGTCGCLALGTVISSVRSRRLKPPPSVFVA LGVGCGFFRYINGWRSKGDGKSKLAAWVASLVLFQLCSHQHKHVLLSYACVETIVQLY ATSSLVQSTLAPTFRWLVEQCASMVVTARLVHTNLVHPEWMLPAHLAMMDHQSSLSPS RLDAIRQNLHTSATSRCASLHPNRTCATFAYATCRKLLKRSAHIFVPLHGLSLCLSVG MNRPVSLRRTATSLARSLAFMTSSYMLAYSTFCLLPPHNDLAMIRLTSLTPFLAQYLE PPPRRASIVKAVACYSLLSVYFQLSAKYLVVSKRTGTRLAAVLFATCMTYLLQHPERH SRWAMEYLYGPKLSTKSKDNDVDADMA H257_11933 MSRHHQPTHAFLLDAIHHAAPTSCLTLLVGTLISSARSRQLKLP PAIVVAAGVGCGVFRFLHRLEHRRLAAIMGSMAFFRLCSYNHKHIVLSYAAVEAISTY YLTHFTRQYWLEKATGVLVTSRLMYTFLFHSDWLLPSQLRMLDFQSGLSPARRASLRA NLHDTTVTRCAMLHPHTSSCGAFAMQSAGRLALRSVQIFVPLHLLSMLASLAGPKKRR PNLSTVASGLLRSVMFLTTNYLFPYMLSCQLPQTHHKVMLGMATLAPSLAQRFESGKR RQAILKAVTCYSLITVVYQVKHSAIQKEGRHKLLALWGRRQEYAMWTILYAGLMVYLL QRPMHTHNQWLVQYLGLTTVQGKPSQRQAPTLLLMSICG H257_11934 MHTRLASSSQARAGAPRAQGGNGGPSTFGAALPKSRKTRQPVDG KPSTAGRIPRTPPPPPAYCCRTCARGLALETHPWPLQARRRWRKVRSADEHERSTGWL QPTACCT H257_11935 MSSNTPSEDLRDAVCDGVTDRIASLIESGADVNFIDEDSGWALL LWAVKAHQVEALDLLLRHGANVNVGDSSGNTALHKAAYLGYGDCVSLLLQYGAGANNP NNMQQTPLDLASLFDKPDMVALLSRVVS H257_11937 MHPHHPKAMYSAGLAYWHLGHPQLAATKFTAVLAADLSHAKAIY ALHVLHTQFHVSVS H257_11939 MYTKGVVHSLTANAFWGLAPIFWKQLTMVPSVQMLAHRIVWSLL LLVAILVATGQWPEFRATAFQRPALVTYSFSGVLMGVNLFLSVWSVVNGYIVEMSLGY FISPLVNVVLGVVFLRERLRVWQTISVGMAFAGVLVVAIAYGEFPWLALTLSSTFGLY GLVKKKAPLPALHGIAMELGIFFLPSVMYLVVEEFRHEAVFLYSDWHVAVLIVASAFI TVVPSIFYSSAAQLIPLTLLGILQYIAPSLQFLVGVILYDEDFTMFKLVGFVCVWTGL VVFTVESVYMQRQTKPPSNGDNDTDRMPVLTTTHAAAGNITLDTSIQRHDHPSHISQE GDLYQPCATSKAAA H257_11938 MSSRLAPMPRDEDSSLHHGCATSASLASLLGPSPSDVTSPFLTA TSDVRSASRPTIPPIRGKRPRTASMDVVPTPAHPSKKKSLCFSSVTTFVFPLDYGGSA IPECAGPPIGLAAYHVNSTTVDISSNTTRSSFVRSTSAGNGVHRFSHLERVRMLKAAK YTGRDIAYFCSEATDIRSSREETQEQWVRAHKERPCIV H257_11938 MSSRLAPMPRDEDSSLHHGCATSASLASLLGPSPSDVTSPFLTA TSDVRSASRPTIPPIRGKRPRTASMDVVPTPAHPSKKKSLCFSSVTTFVFPLDYGGSA IPECAGPPIGLAAYHVNSTTVDISSNTTRSSFVRSTSAGNGVHRFSHLERVRMLKAAK YTGRDIAYFCSEATDIRSSREETQEQWVRAHKERPCIV H257_11938 MSSRLAPMPRDEDSSLHHGCATSASLASLLGPSPSDVTSPFLTA TSDVRSASRPTIPPIRGKRPRTASMDVVPTPAHPSKKKSLCFSSVTTFVFPLDYGGSA IPECAGPPIGLAAYHVNSTTVDISSNTTRSSFVRSTSAGNGVHRFSHLERVRMLKAAK YTGRDIAYFCSEATDIRSSREETQEQWVRAHKERPCIV H257_11940 MHRRQSLRPRQLDVHSRIRLVRSDADIDVDGDEQDGAVAAPSIT SFQELAEMADDQPAKVKRKKNIPIPVNKLVPNYDKDVLPDFHLPTSYIKLSLNFQTSS SSSAAAPTNAPLSSSNAATATSTGEKVEVDLEVEDLAWLRDHPRYGDAADPRYQLSPD TFAKMLDLLEKASALINPGVITLAEADDIFAKHVVVIKSPCHKVSTDVYNYWVAKRAA LKRPLLRKFWPQTPLNDTNPHLVFRPREKERYKLRKHRKNDMEGLRKLQQLRHDFDRV RHLLDLVRRREKYKRLLVDFLDETRAQQIHLELQGVLPSLPPRQPKIPSEDDIKPRKK KKKHKQLDQPNTLLQPALAAFPDVQKPPVPTFLERRGDVADVSNVPYVPTYPPSAAEL YAAMFQDPPVFSGRWRQGRGGRLIMDRIPRYGSSREVQAPPPHAERNPMDVPRKAINR AAIAAICNMSDSEDEVVDLVPDEDKARPTKYILVV H257_11940 MHRRQSLRPRQLDVHSRIRLVRSDADIDVDGDEQDGAVAAPSIT SFQELAEMADDQPAKVKRKKNIPIPVNKLVPNYDKDVLPDFHLPTSYIKLSLNFQTSS SSSAAAPTNAPLSSSNAATATSTGEKVEVDLEVEDLAWLRDHPRYGDAADPRYQLSPD TFAKMLDLLEKASALINPGVITLAEADDIFAKHVVVIKSPCHKVSTDVYNYWVAKRAA LKRPLLRKFWPQTPLNDTNPHLVFRPREKERYKLRKHRKNDMEGLRKLQQLRHDFDRV RHLLDLVRRREKYKRLLVDFLDETRAQQIHLELQGVLPSLPPRQPKIPSEDDIKPRKK KKKHKQLDQPNTLLQPALAAFPDVQKPPVPTFLERRGDVADVSNVPYVPTYPPSAAEL YAAMFQDPPVFSGY H257_11941 MPITQTDGAMLLSLAKTMRQRQFVLALLATQHVERPLIPEVRFN LDDMTDANAVLDYRFDVVGIRKLGYYLGLPAVV H257_11942 MTTPVKRRNYTDEEDLMLLRQVSLELPFLARRGLIMDKWTAVAR ALVASDEFTRTDLDAKKANNRFNALIDSHRKHNKDSERASGVSEEVSEKVLLLDDLLA VFDDAKDEEAKRVVSTQKANQHIENLGSIVRDEAMMSLGKRKQACDVEGAVGGGSNKV VKMMAILNEQAKSDLKFKKEKHNSEIEERRQDRELLLGHIRQQNEAMQKQQELMQQQQ ETLLKLMSAIINKI H257_11943 MNHVPVFLQKTYDMIENCADAVATWSTSGESFIIKRINEFASDV LPRYFKHNNFSSFARQLNFYGFHKVKKEDILLRAKGLDSPTDADAALEAQGWWEFSHP LFLRDYPEKMGSIRRKTYADSNQAQAAAAAAAAAASMQSSSQHLSTKSELDELKGFMT ELVGDVKSELATLKNQVNLLTHHMTSLGSLMHLIVQTQSMDSAEPSMKRRKLDSAMPL PQQQQLLNGGGLTNPITLPPLTSMAPSTTPATSNYKYMMAERNDLEESEATAAYVLWN HARQEKML H257_11944 MLARVLKQSSTPFRGMAARRSMATVADEMTVRDALNTAMDEELA RDESVFIMGEEVAKYNGAYKVTKGLWEKYGDKRLWDTPITEAGFTGLCVGAAYHGTKP IVEFMTFNFAMQAIDQIINSAAKQFYMSNGDIAVPIVFRGSNGAASAVAAQHSQCFAA WYGSVPGLKVVIPYDSEDARGLLKAAVRDPNPVVVLENELLYGTSFPVSKEAQDKDFL IPIGKAKIMKAGADVTIVTFSRMVGVSLEAAAVLAKEGIDVEVINLRSIRPLDRDTII ASAKKTSRVVTVEEGWGQHGIGAEIAAILMETDAFDYLDAPMERVTGTDIPMPYAENL ERLAVPQIEDIVAAVKRATARTL H257_11945 MTTIQHRAGADADVPSAVDDEIDDKKDKAHERLDVSRWIYVAFC AETCASVMLAATFNTFHVETFLTDYKLDLSSYATGHVIYAVINTLNDIVGAVLLDSLA LTTGRAWLLQCGGILWSLSFLLPWYPWPAYPAVHFVVSLSCYDTMYSFCAIAGGSLLT EMDISDSQRIHVLRVKSVVGMATGFAVTWLGQMWHKSHFRMFCIGLAILSGLCFGVFY YAYRRAYVRRRHSAPSNQLPPTFVKNFMGEFSRLSNFHAWLGMEMCLETQSNFNRTYL RLFVTTFVKNEATAATFVSVLPVLKQMIKLAAFSALDYLGVYEVYRLSFRVKLGAAVV LLVVGGNGVTSPPWVVLAFLAINSIVTDIPTGGFTVAMSNMHKEMTLRRLQAAPLHSA TSPRHLQDPPSSRNQPTWSSSAMLMGLNAVFCKPMDSVLPILAAHWLHVSTSGLKEED NENMKKAMFQLLVAPPVVLCIVQMWSWSKYTLTPDAMAAIEAQLAQLRATPATSITTT SITTTTTTGTTKMEADAKEEQGTKLLLFV H257_11946 MLMGLNAVFCKPMDSVLPILAAHWLHVSTSGLKEEDNENMKKAM FQLLVAPPVVLCIVQMWSWSKYTLTPDAMAAIEAQLAQLRATPATSITTTSITTTTTT GTTKMEADAKEEQGTKLLLFV H257_11947 MVLNALVSFNSPLQLVLDNYSLVMIEIVSYFIFLMTCVHASASP RRTQLVLGGLVAFHTLYFGLGQLDPTLAIMWHGQALIMLFYSHVPVYAVLLFASLYYL AYIATLKLHLHLSSSIAAVGLLTLALVFPAELVGSKLLWWTWHDTDETLDDRFLNVPL AALASHAFSASSFYLLLHLLREYLTFGLEFQPANATNEYLGVGGATLLSFPLSTLAFG LTFQLFHGLLDVSTHTVLAALIVVATLWVWSSDRRGGDVDKKVVPWQYDGEWHHLWFD HALVQWGVVYLALMPLLLVVVTPSHMISLGYHQLLGDCSHVTTYSTLFGTPQSRFTYL CHLHFDEAYSFCEVPRSQLHVGDPWYKICGVGYPHGVFPNYLVVCVVVSVTLLLVLRD IFTLSRPAWIHRLPRAETHTPVKVGEGS H257_11947 MVLNALVSFNSPLQLVLDNYSLVMIEIVSYFIFLMTCVHASASP RRTQLVLGGLVAFHTLYFGLGQLDPTLAIMWHGQALIMLFYSHVPVYAVLLFASLYYL AYIATLKLHLHLSSSIAAVGLLTLALVFPAELVGSKLLWWTWHDTDETLDDRFLNVPL AALASHAFSASSFYLLLHLLRESDCRFVFSRSQIHILCRYLTFGLEFQPANATNEYLG VGGATLLSFPLSTLAFGLTFQLFHGLLDVSTHTVLAALIVVATLWVWSSDRRGGDVDK KVVPWQYDGEWHHLWFDHALVQWGVVYLALMPLLLVVVTPSHMISLGYHQLLGDCSHV TTYSTLFGTPQSRFTYLCHLHFDEAYSFCEVPRSQLHVGDPWYKICGVGYPHGVFPNY LVVCVVVSVTLLLVLRDIFTLSRPAWIHRLPRAETHTPVKVGEGS H257_11948 MGVLYGASRFMSKAHALFRGREPSCPSWRQAALVHIESKCHALH VVCDGVGLEHIGRTSMDWRVDAVVTRRVIGSRVVVTQGKTDMLDGDVQYLEQVIVVER CRHDGLSAVVGE H257_11949 MMYEDDSLLDLSCDEDLLALGHLDDTPQLMMDATADLVAELMRR EQVHRPDAAYLSTVQRDGMDASWRVRIAAWMVAVATEFHFADETMDLAVNYLDRYLST MSVSQHDLQLVGLVALLIASKFHEPDALLVAEAVNLAQLAGFTGPMIRTMETSMLRVL EWNLHVVVPTHFVDCFVADLNYSSGRTLRDACQPFLAASRVSHEALAFLPSQVAAAVV TLACQCTHDVASPPPLKSSSQLFEINATQVDLCRRHLLQHCEPERSSMKRDRSPSPLG VDDLVDESARFCPLVVKRPKCQVL H257_11950 MAPAKKTTSTKTAGAKKAVATARRVLKGKHGKVVEIRTKTHFYQ PKTLKLARAPKVVRKSVPSRNKFDKYRIIKSPLTTESAMKKIEDNNTLVFLVDKLANK RQIKDAVKQLYDIKALKVNTLIRPDGQKKAYVRLTADYDALDVANRIGVI H257_11951 MTSTLPPPPPLRTSSTATVTLDAVVHDPHMHAVFLKYLSEHDSK NFARLLFLVSVDEFKKLVPTDDSSHSPTAQRVNYAKKIIHKYMAADSFFYIGHEERHL LQGGNLSVASFGESLHHDLHLCGGLSANRDLFVDVETAILAAMAPSFCAFSTTSAFQS LVNMEIMVPPIEEVELQHATSSFTLERVLANRRLCCVFWLFLFKERTHGPLSFWMEST YRILPLLESRPNPDLAASCISSPTLQPASCLSSLTHLGRLLQTKYFNHGATAQLHVHG EAPTQLVEAIQAHLAEWSSGNFIHVLSMAKLLRQLLRHVKDTLHMNHFVRFIQSPSFH SMLLSPSRSRLCLSPHKTRPNPPHGNPTSASVLLQDQRLASPSSSSDSSNSSSNDSNS TLQDVVHVMNVHSSHQSPLSLSPSHPSAECIAGVLHFALQASSTMFDTDVLYDSTTSL DQSSQLPEHLDAFFCPGGTPSVVRSQTHPPPSLCHVTIGPTDRPLYMVCLSRYIPITD AAELNPLELVLLEQKNLRRFVLAGICVLSRVPNFETVRRRLRQLHADATADPDSTYAT STQWRPTLAQLSALTAESSVVTELSTHTLFTCLSPKHVLQVLAAVLCERKVLLISSHV SVLTTVGETLRLLLRPLQWPHVFAPVLPACLVDCLHCPTPFVFGVHRTLASTALNVVQ DSDSTVMVVDLDADGIECHVKPPPRRRSHAKDPRRYPDTHPDNSRHPDDTPDVLPQAV ALEAALRVLVRPEVECSDDVSWTAAPAAFPDADILALFHQTWERMLDSMEEFSFVLAD DGDSMVVFDSIGFLQQHRQGDGATLAFYQAFLKTQLFSHYIATHGLLGGLGERYR H257_11952 MAVSSTRYLLCCRGLFTLVAEFQHGVLEDMVPLTSLASTTTSCI LDLWIAEHGDARVPLLLVYLPHLTSRVILHAIATNKPDLLKTLELDAFDHDDLVEVAA VSGNLPAIVALHAMSYYSGTHRAMLAASIHGHLNVLVYMHVERMQTCTRAMVNAAASR GHVHIVQWCHEHGGQTWAAEAFAVAAAAGHLAVLSYMHRVKPRCGSATVAMRAAAIHG HLHIVQFLHVNRPGCGISWSDAMDQIQANTLGYLTLHNLVYDMCCACGFHKPRNHECR AIK H257_11953 MPSEPMTSTTMPPWIFVLLCAINFVNSFDRGITSGAPAQFQYFI QVSHNTTDPGALLGLLSSSFVTTFAISIPLFGYLAMTTKPFRLISIGLAVWVVAVAIS SISKSANSFELLLLGRFLSGVGEASFQCIAPPFINDHSPSNFQTMWLGVYMISSTVGA IAGNIAASTLSATSWGWDSVFAMEGLAMVPLLWLCFFGVPDEFNRIRNDNPTESQSLL AIESASHKSFFGEVWDVCTNAAFAWLSLGVAAMMFSSAGLDIFITLLLLGLGVFSSET DANVTMGFLTVATNLVGTLLGGVVLDWTSRGAPYKRQYYAVRQMALGFPLGLGAMLLS LAALPDRTWYLVWNGLTSVISGTISPVVMIAMFHSVHPSQQALAVGLNSLSRHVLGDV PAPIIMGYIKDAWAPHCNSVLVDGVVVLNPECHQDKDGLIQAMMFPLAWMAWAIACFG IALYFARRNMVKAKNALLDSP H257_11954 MKFKDVHVRLMEAFEDLAPSSIKGCIHKADRQLYKLAEYIKGLQ EVDTSDNESVEGSRDGGSVTSSNDSSGKESEM H257_11955 MLSVVLRCASPFPVPTFLTVIGNSNALWGVGVQTRGQGVRKQCR ARSLTPAEAAIMSAARSLGHVPSTLTKGQVAALKAHLSPADVEWVARDALGLALEVPC YEATAAVLGDGCTCGKFAGDITSSTPTLSSLPPIDSFKLYVEVLSTASALVSKENKIF KGVPKAWPAVGPFLTDRVGFDFPILSKLTHQGPVQAIAGVLADNVDASVMRPFWTI H257_11956 MAIPSPVVRVWLAIAFLVVVNVVLPLVVYSILAQYMSESLALVV SSIPPTLYTLGKIMQERVCDAISTLSVASILLSAVICDLTDDARLLLVKDSVFTVGFG ITYWASLCSSEDLLWSYQRRFRGTADTAELRAKYAQTHIRSVSRFMCKVWGSALIVEA GVRLALIYIIPVQVMPYVSTGLMVVMFVSLFTWTSWYRRTHHQSAVGAVVDETGANEP LLL H257_11957 MPSEPMTSTTMPPWIFVLLCAINFVNYFDRGITSGAPAQFQYFI QVSHNTTDPGALLGLLSSSFVTTFAISIPLFGYLAMTTKPFRLISIGLAVWVVAVAIS SISKSANSFELLLLGRFLSGVGEASFQCIAPPFINDHSPSNFQTMWLGVYMISSTVGA IAGNIAASTLSATSWGWDSVFAMEGLAMVPLLWLCFFGVPDEFNRIRNDNPTESQSLL ATESESHKSFFGEVWDVCTNATFAWLSLGVAAMMFSSAGLGIFITLLLLGLGVFSSET DANVTMGFLTVATTLVGTLLGGVVLDWTSRGAPYMRQYYAVRQMALGFPLGLGAMLLS LAALPDRTWFLVWNGLTTVIAGTISPVVMIAMFHSVHPSQQALAVGLNSLSQHVLGDV PAPIIMGYIKDAWAPHCNSVLVDGVVVLNPECHQDKDGLIQAMMFPLAWMAWAVACFG IALYFARRNMVKAKNALLDSP H257_11958 MNSQIRSNALGLALRSAVLRSHGGRAGRRMDLWQVRRGHHVLHP HFVVVASHRLVQVGVPKAWPAVGPFLTDRVGFGFPILSKLTHQGPVQAIAGVLADNVD ASVSVTGLEVEVAAAIVFCTQVQNAPLLDDMTTVARFVHTKDNVTMVDEGAWVKAFGQ DDNVAAFAVGLVEHTLLRVAASPSVMPTDLFDACNVPEVTSAHLAEMVNWLAVQQMLH HSFYDVYGN H257_11958 MNSQIRSNALGLALRSAVLRSHGGRAGRRMDLWQVRRGHHVLHP HFVVVASHRLVQVGVPKAWPAVGPFLTDRVGFGFPILSKLTHQGPVQAIAGVLADNVD ASVSVTGLEVEVAAAIVFCTQVQNAPLLDDMTTVARFVHTKDNVTMVDEGAWVKAFGQ DDNVAAFAVGLVEHTLLRVAASPSVMPTDLFDACNVPEVTSAHLAEMVNWLAVQQMLH HSFYDVYGN H257_11958 MNSQIRSNALGLALRSAVLRSHGGRAGRRMDLWQVRRGHHVLHP HFVVVASHRLVQGVPKAWPAVGPFLTDRVGFGFPILSKLTHQGPVQAIAGVLADNVDA SVSVTGLEVEVAAAIVFCTQELGSRHLVKTITWQRLLWGWWNIHSCGWRHRPVLCRPT CSTRATCLK H257_11958 MNSQIRSNALGLALRSAVLRSHGGRAGRRMDLWQVRRGHHVLHP HFVVVASHRLVQGVPKAWPAVGPFLTDRVGFGFPILSKLTHQGPVQAIAGVLADNVDA SVSVTGLEVEVAAAIVFCTQELGSRHLVKTITWQRLLWGWWNIHSCGWRHRPVLCRPT CSTRATCLK H257_11959 MLDALSQVSGDGTFTSHVSSKRGRFLAVADQLLSGRVCIASMFM GGTKLSLAIAMRYYSFVAYSSPNVKGVMPRYPASRATVGPKGKSDTAILHYGLQKQAL LPLVARTYVLAHGLYVVKDKYKA H257_11960 MTANNITTGDTTDAAAADAKARTSQLRRAIFLMVLINIVLPIVL FAVVSKYMAEVWALLLSGVPPALEAIVHVLRDRHLDVVPCAVVASICVSASVAAATSD ARLLLVKDSFLTVGFGLTFLGSMCLSNENLIWYYNRQFNGPEAKARLDAMYAYPAVKQ VTTTMCWVWGGGLLAEAAVRIVLIYVLEVHVMAYVSPVIMAVTFTALGGWNSWYVKHV QAKYKAEAVVVVAPGEAASSPHVESAPQVTPFERRSSSLSA H257_11961 MSFVPPNKLRSTSSTTSATGRAVTPVSTSRRSTTTSPPPSASLK LKPKTPDPRVLRALHQPNDTMDMYQLGPVIGHGRFGKVRRATHRLTGQVVAIKVYNKA QLSLDTDQIPLIRKEVDIMKEVDHPNVVRLYEILETAEYILIAMELCEGEDLGKYIRR RTRVQEATARAIFSQLVDAISYLHRQNIIHRDIKPENIFVHDHTNNPPTVKIVDFGLG SRDLDHSKKLSAFCGTPAFMAPEIIFQETYDGKPVDAWSLGVVLYLMTVGRTPFDGKT TDALYKKVLGGAFDIPSTVSPELRDLMGAILVVDANDRMRVEHIRHHTWLGMENQHVL SSEISSSLFVANAALHSEILAEMDGYGLNRMQLHDDLASKTYNAATTWYRLLHLRHLK STKAATRSFAEADPSTALSSVDFTRFLQDRIAKAKTLG H257_11962 MSKREGDAEVGAAAAVDQQPKKKSRSAIATAPGTSRIPVLPSST STNKADMASSSSTTPATTASTTQYAFGARLVKESKVAGSLKEGKSKEIRKEVVLKRSS NAITSAPKDDARDAVNEKHAALLKQSNDFLEMAENFKLKAEIELLQSKLGQLEERDRK QTARNKMLSGVTKSQQEQIKELQEQVKMWTDQSQQATTKLKEYLVAQDKKDKAAKVAE VTDAPKSTNQHGCVHCNGLVKQEDGGTSLRPAMQKRLEAVTVGLQVAKDSEAVLRLVL QQMCEEDDTKIQV H257_11963 MAAPLAFVGFFGVATALPPCNSWDTAKLLPKVWPCGVAVGRPFS KSLWDFVRTDVQALCTKGPCVTLFKTLNDLQCSVDPSGRVVNANIRCDTTAWLTPTTT PITSNNPPTPQPPTPQPPRTTVRPTVTTTLPPLAVPTTTTTTTCKPSSFPGGTCSNQV ALNTSTANKFTTEGPVNATTLLRRQFPSNDKTNYTQNLIKEDMMASHSPPVPMLSGGF VIVALVAHLLAAMIW H257_11964 MKVTALLVAVAICTVDAGSSTQHPPRQPYDINGTHSPVSRPRHT YPRNGTLHNGHRTKFPAISASEHHRNHSKPPSGNAVVDHPVDLPRRNGSHRHYSGNRF DANAGKPHHAKHPSTTSP H257_11965 MKSTSAFVLAATCLVGATAGGPQHPPQEANLSDTPHSPLDHPRH DHPIRHNDTLEHDHNHTEHDGHSAFDGPFGHHNGTHPHNATEPHGNHTAHPHNATEPH GNHTAHPHNATEPHGNHTDHPHGGPHHHNTSTTKAPTTTASTGATPISVQTGTTSGAA SAMVSLVAFALVGLCTLLA H257_11966 MKITAFVLAATCIVGITAVAPPLPPQDIHNHTRFNDTNSPPGPS PRRHNDTLRDGNHTKPTGNSTFIHHPHGEIPHRPRNGAKNGTGPLGNHSNDVLPPRPQ HGDKPPQDKLPNGTRAPLSTPATTITTTSLDASVTGVQTGTSGGVGSVTMSLVALVIV GVSAFLA H257_11967 MTTPLVFPNDGVVLVVVDLQQAFLQPPYTTRERSTPQLEANVTR LLSAFRLASLPVVHIHHHEPTPGTLFSPDSNPSTVLPQTYVTPLPHESVVIKHGGSGF VGTSLEALLRSKKWEVLVVVGMSTVHCVSSTVRHASNLGFQVYLPNDACATFNRAAAP GSKVASQFDAETMHAVALSAMHDECAMVVSTDEVLESLGA H257_11968 MPIDLPKANTALIVIDVQEGFRQPPYSDLERSTPEFESNVESLI AAFRDNGLPIVHIHHHSTSPDSPFHPTNNPTGVQPQSFAEPVDGEHVLIKHVNSKLQT LNFDAETVQEISLGVLHNEFATVLETVDHVNSSFIGTTLEQLLHTNKWNVLVIAGLTT SHCVSTTAAVRMAGNLGFTVFLPCDATAMFEHTTAPGSKLQTLNFDAETVQEISLGVL HNEFATVLETVDVLAALSP H257_11969 MAPVTWNRGTKKQVRRATNGTQLAMSDEAKTSPGTKNEQEASLP KVVRVFLVCATSIMANHAWQNRAMVTDNLYNDTQSPTEQTMISVFVYFALMGAIFLGG VVVSKVTVFAARFV H257_11970 MWGIHVLGAVALALSLHVLAAATVESIVFAVIFLLFIVFVVDEC LLRFHGRHLKPIVPRVDGVAVVTGASSGLGREIAYLLGEKGFHLVLVGRSKDGLERIA VEIHDVWNVNVHVCVADLGITTGPTMVVDFVAALHLHIDILVNCAGGTVRCPFATLPS STITDLMQLNVLSVMSLCHAVLPSMLERHSGRILNIASISGANAMPLAAMYAASKSFV LRFSQGLAYESRGHVGVTAFCPGPLRTQFATTAGSTDAIMFKLPLVVQDTKEAAKRAV AAMMDGDVTSFDSWASKLLYLGGSTFAERRLTLAFSAFCWSDRDGISDAVWN H257_11971 MLRTSSTRVIRRCFSTEATPAAAAAPAKKNGSSLGQRFAAFFVG AAVGGAGAIYQIRQDLLVSNGELQKELAALKGDVVEANARLAQRVAQLEKSSK H257_11972 MGVQVNTTEAGNGERPKQGQTCVMHYTGKLEDGTVFDSSYKKNR PFQFPLGVGRVIQGWDEGVAQMSKGEKAVLTITGDYGYGARGVPGVIPPNATLIFEVH LEDFN H257_11973 MLKRRHGTKPGASLQMYGIRNGMPASFNALTSELKSAPQEYHIN EHGIITTSLEESTRLFIYIECTSTTAWQQPRGRIGTRPTQGTARATLWRESSRSATQQ PAWRRCPRPKSRSCGILGAACAWGSCRRSRTPCRGGARSCTNRTSSSRTSGPS H257_11974 MSKDEEERNLYVVYVVAGAVLVLLLAFIIKLAASGSGPGGKRDV ILLLGPCGGGKTALFHRLRDGPTKVDTVTSMKETLETFPLFDEDAATVSVLDFPGHER LRSQVSQFYPIAKKLVFVVDATTIGDAAQVRKAAEFLYDIFVHPKLHDNGIPLLVACS KADMSSAATPANIQTILEAELSQLKSTRASLETHDDDESIPLGRENVPFAFDVDAPCE VVFEGYSIHSADAIAPLLDFILQE H257_11975 MRGYMGEDVEIRDVWASNLDEEMKKVREIVRRKCYVAMDTEFPG VVARPIGSFSTTTEYQYQTLRCNVDLLRIIQLGLAFFHEDGTVMRDCPVWQFNFKFSL SEDMYAENSIELLKQSGIDFAKHEECGVEVTRFGELLVPSGLVLEPNIKWLNFQGSYD FGYLLKILTCAPLPSDEDSFFDLFQLYFPSTYDLKYLAFDYDKMGGLSRLAEDLQVER IGTMHQAGSDALLTAGVFFKMLDLYCDGKVENASKYAGHLYGLGTTLALLG H257_11976 MLERVHQKQIHKHVLGTWLEESYASGKPRSINDAIRYCSLHIDG FTDKKIRSQRAWVSRAIRSLDLDEYISRSKPATSGAAKKPKMVLLSPSPTSLEDIERE VSEILAQMHDEAMLSSSTDSWCQPSLLPTSPQYVDSTGTATWPRPPLPPLYSPPRTAS SALSTNSERHHFNPYYARNIREGDDPTSRW H257_11977 MTPSGDQHEWAKCVEGASSMYTPVRVDHASRVAECLAANHKDDC IWTSHDECEGIRRAASPSAKAVSCGGGLKRRRATKRTVDDPRLWSAVALTPTAPRAFQ CHMVMSSSLHERMYIASRTSEDGAMECVWNDNTCTSKASLEDCRIWLVTIDDHSAVKV HEVPNALRSSFANGAVHPHARRRRLDIPLYLSDTTLMPRVNAMSSTLPPTSLPPEVYT SDSMVMAISISVACVVLVAWIGFLLYRRRQQTVYEHHLENFERTSKVSYAHMMDTTPA PPLNLSYLEVYRIDSQYITLTSILGSGGYADVYLGSFGGQDVAVKTMLQNHPTRRELA AFADEIQILAMLHSPFVVEFVGASWDDGAVEGLQCVMEYMDRGDLKEVLSMYEPALFP WTEKLHCIQSIAEGLAYLHAFPIIHRDLKSRNVLLDSAKGTKLTDFGGSREETTSTMT AGVGTYRWMAPEVLLSHRYTTAADVYSFGMLLTEFDTHDIPFVHLKAKDSGKPLPDVT IVGMLITNAVEISFSTAMPQWLAALGRQCVSRDPLHRPTTAEITDCLRANMQF H257_11978 MVVITPPRTNSRHQLHVNMPAAWTSFRPPSQQSIFTPPASISKT RSSSSPLPPFPEDRFIAHGSIDGRALDAAHDGAEVMLTTIEKPLHETTRRRLECLGGI SHPHVQATVGLFDNISSVCLVQSATSSDHPLLQEVMYMHGYTEAQLRVRALALLKALH VLHTNSIVHGNLTLDSLHMRPHNHLVLHVPGQLDPWYPQSFPATAKDKSLDMFSFGVV LYLLASGRHPFASSAAASTSEILYNMDTLSFVVDRLPLGHLSSSAQHVILDCLDTSSQ YVTPLDLLQRQWFKASISWAAIAPSSSSPPVSMMLPRTSAMSSTAEKETYTTMHHIVP TSSARWTISPVARNFTHSNCPPTTTTTHPSLSYAAFGQYESPPPMSLYSSFPYTPPSS GRPPPASSLGRDLARSMLACPSPAITSLLPTRHPHEQHASTDTPSTTNTTTTTNGTSP VLPRHEPTHVYRHIPSVSTLHSTHAVKFSAYGPPVWTPYKKSECAKSECRIYIWAYLA HQVHDMRELAALQVESGRLSKGLHVGFGATITIMLEPPAGWSQLGELTKSLIWIEEVD RVFFDLTLDEGTSATEATGLCRARIVVGTQVAILHFSLPRVTYSSSFHPSKLYEHDKA VEYASSMQVVAAVQPPSIPRHHLTFIEPVGSGFFGTAYKAMYHPTNQEVVVKSLRQGM GISRAEFDHEVLAMTMLSHHPHVVDFLGACDDASELSIVMEYVANGSLQSLLYDSAKP QPRYYYSTYMKTLFARDAAHGILNIHQGHFVHRDIAARNCLVDDTFHVKVCDFGLSRP MDRMMGHVLDPVSCGPLKWMAPESLELPHVFSTASDTYMFGVLLFEIMMGKEPFPLLP PHEAAALVLEGHRLSFHEWRECPAAHQTLLEQCFRVDPVERPCMGQVASVLDTWLATQ G H257_11978 MVVITPPRTNSRHQLHVNMPAAWTSFRPPSQQSIFTPPASISKT RSSSSPLPPFPEDRFIAHGSIDGRALDAAHDGAEVMLTTIEKPLHETTRRRLECLGGI SHPHVQATVGLFDNISSVCLVQSATSSDHPLLQEVMYMHGYTEAQLRVRALALLKALH VLHTNSIVHGNLTLDSLHMRPHNHLVLHVPGQLDPWYPQSFPATAKDKSLDMFSFGVV LYLLASGRHPFASSAAASTSEILYNMDTLSFVVDRLPLGHLSSSAQHVILDCLDTSSQ YVTPLDLLQRQWFKASISWAAIAPSSSSPPVSMMLPRTSAMSSTAEKETYTTMHHIVP TSSARWTISPVARNFTHSNCPPTTTTTHPSLSYAAFGQYESPPPMSLYSSFPYTPPSS GRPPPASSLGRDLARSMLACPSPAITSLLPTRHPHEQHASTDTPSTTNTTTTTNGTSP VLPRHEPTHVYRHIPSVSTLHSTHAVKFSAYGPPVWTPYKKSECAKSECRIYIWAYLA HQVHDMRELAALQVESGRLSKGLHVGFGATITIMLEPPAGWSQLGELTKSLIWIEEVD RVFFDLTLDEGTSATEATGLCRARIVVGTQVAILHFSLPRVTYSSSFHPSKLYEHDKA VEYASSMQVVAAVQPPSIPRHHLTFIEPVGSGFFGTAYKAMYHPTNQEVVVKSLRQGM GISRAEFDHEVLAMTMLSHHPHVVDFLGACDDASELSIVMEYVANGSLQSLLYDSAKP QPRYYYSTYMKTLFARDAAHGILNIHQGHFVHRDIAARNCLVDDTFHVKVCDFGLSRP MDRMMGHVLDPVSCGPLKWMAPESLELPHVFSTASDTYMFGVLLFEIMMGKEPFPLLP PHEAAALGTGCRFTSGVSALQPTRRY H257_11978 MVVITPPRTNSRHQLHVNMPAAWTSFRPPSQQSIFTPPASISKT RSSSSPLPPFPEDRFIAHGSIDGRALDAAHDGAEVMLTTIEKPLHETTRRRLECLGGI SHPHVQATVGLFDNISSVCLVQSATSSDHPLLQEVMYMHGYTEAQLRVRALALLKALH VLHTNSIVHGNLTLDSLHMRPHNHLVLHVPGQLDPWYPQSFPATAKDKSLDMFSFGVV LYLLASGRHPFASSAAASTSEILYNMDTLSFVVDRLPLGHLSSSAQHVILDCLDTSSQ YVTPLDLLQRQWFKASISWAAIAPSSSSPPVSMMLPRTSAMSSTAEKETYTTMHHIVP TSSARWTISPVARNFTHSNCPPTTTTTHPSLSYAAFGQYESPPPMSLYSSFPYTPPSS GRPPPASSLGRDLARSMLACPSPAITSLLPTRHPHEQHASTDTPSTTNTTTTTNGTSP VLPRHEPTHVYRHIPSVSTLHSTHAVKFSAYGPPVWTPYKKSECAKSECRIYIWAYLA HQVHDMRELAALQVESGRLSKGLHVGFGATITIMLEPPAGWSQLGELTKSLIWIEEVD RVFFDLTLDEGTSATEATGLCRARIVVGTQVAILHFSLPRVTYSSSFHPSKLYEHDKA VEYASSMQVVAAVQPPSIPRHHLTFIEPVGSGFFGTAYKAMYHPTNQEVVVKSLRQGM GISRAEFDHEVLAMTMLSHHPHVVDFLGACDDASELSIVMEYVANGSLQSLLYDSAKP QPRYYYSTYMKTLFARDAAHGILNIHQGHFVHRDIAARNCLVDDTFHVKVCDFGLSRP MDRMMVHATF H257_11979 MVEDGLASHPLICNAHVDDTAIYLQRLSSLPWILRHLQDYGDMS GLHIQLQKSFGICLNTFHTPKVVHGIPFVSGPDRRRYLGIQVGLGSLSDANWSLCYQS IEERLRLANIKPLHQPAWAIILRAIVHPKIAFLATYLQPSDHWISRLEALIFRFWWRG STVALPGKLPTYIAKYHLSKPTRQYGWGLPDVRRIAQQQALRRFVRLVHSPDGWAHAI ALSGLQLPSGSPYILHPTARRTPLRPLEDSLLCGQVLASRALAQAWPRTRMARDSTMA FLQAARLAATFTWDKTGTCHCHMPMELKQSATSLQTALLSSTTSEWRRHLWHGQLHDN TWIRDHQDHALRRSHFDTFAAAFSETGLGDMWTFTPQHSPLPIHQAHLQYLYLLTLSM GLTCQPTMPPPLRRMWFPIAPAPVTLRRQPPVVKRISSAMNITLNPTPPSDTKYLRRQ IRLCCAADLDHAPELWDRGWTDSQLPGALQWFMYRWHHQAFPFRTSPLPPMCPFCKAP DGPAHTFWLCPRAQTHWRQVLALWFGVTPDQHTSSYAASILQGEQLPPAPWLLASPNW TTHGIAQDRLGHQAWRLVRGLSLRQLWNDRCAALHANNDHISPPGTFPLLLQAHFAAL TSYHTRRRHRLRAELYHALGSQCRLLNSPPIPPPRGQTPVRMDAVVFFDGAARLDPAC GGSGALVMPREYPLLCDYDAHFIPTATTNNQAEYDGLLRSLQLAQSRGYTHLTVYGDS QLLMRQMQGIYRVSHPGLRAQYLQARRLVATIHCTWRHRPREGNQAADFLSKLAPDDC ASYTSLDGPESVPLPSRQLAPLYDFLDMDLAFNPG H257_11980 MVWTCFGTVSAGYRSIRLRSGTGDVECSSLNGIACDSFPSIGQC VAQPMPLAAVACPMYLRAGTNLNCSASNAVGSNDPSVYQCQSSDRATPSVATSKVEGV DAFECVTRTPTVCSYVTTAQSCLGLFVYPSIKPPPVALACKLVDVSQPLPDFCATTSS SLSLPTTVRPASTTSNEGTPVTMIPPQVEQAQPTSSTSSSSSMTTTTTLVVAIAIPLC LVAVTVYCFLRRSRRLKQTMPNDQVPYIQHKQPNDMDGADFAAASNTSTDMDLSALVG CRIPCDDVRKGKLLGTGGFGQVYRGTCLGQVVAIKQLVPRRQHVVSDVQNFIREMTLL ARLDSPYIVACLGVAWTTTSCPTTSSSTSSTTTTSATDFQCVLEYMNGGDLREYLKSH SVESLSWPQVKLPIVYAIVRGLAYLHDQGVIHRDLKTANVLLDSAKGTKLTDFGVSRA MACSNTMTRGVGTYRYMAPEVLQSSHYSHKADLYSLGMVLSELDSHRVPYHDVRNAGG HHVTDAVLIRVIVNHAAPVRFSSQMPPWLVKFAHLCLHRNPALRPTAHDLLDELVGQE PPNSILVHI H257_11981 MPRRTSYMADVAAKAISDVISKKQSFRTHGLSHRQYEDDLVTSI LAMERDDQLVNRSQILDKAPAIPLPLSSNRLLRAKGLAWFVEHRIS H257_11982 MAKVLISLSVLTAAATAGSVTELPESVTKLIDYSINPCDDFYQY ACGAWYKDAVIPPDEYSIDSSFDKIEVQNEAVLKKILSGNKPKLGEFYNSCLDTATLS SLGLSPLEDSFKAIRSANNSLDLLIVAGELAKNGIPAFVNIFSRIDDKDSTKKALFGV PAHLTLSGSYYTNSSKWESVEADYKVYISTVLQLAGYTAEKAAAAVPVIIRFEQTLAG VALREIKEVEAAVPPYTVLTFSQLNQKYPLLIGSWLKAHGFDIYDQWNGSNDWVGFFD LTYFDKAEVLLKNTTLDNLRTIIEYKLIHASSNHLTPEFRTANWNFIGRKIYDEKAEP PREKFCRYDTEHTVGELLGQYFLDEVFPADAAKKADELVKALRSSFSTGIATSDWLDN STRAKAQTKLSNFVHFLGGPEKPQLFPTLTFDSKAYLKNRWKASQVKIDTNLKLNGQP VDRREFELLSYEVNAYYNHNKNQIVFPAGILQKPFFDGEFDAAQNFGAIGTVIGHEIT HGFDNKGRNFDVDGNWNPWWSNATSSAFNTKAQCLSDQYGNFVVKSEVTGADLGNVIS KITLGENIADNGGLKTSFRAYHEYLKKFPSQYTEETGNKLFYLSFAQAWCSKNTDKYF LALFKTKYPPKRFRVTGALQNDAEFARVFQCPTDSNLNPPNKCLLWE H257_11983 MFGVYLSVKPVPRPFRQHFPRWLFSKQRRAKATEYTHPLPSSSS SHSSTIEDDDNNVFGGLHQHHYQAFDVTQVQPMQVIGSGAHTTVALGLCTRRHLLVAI KTLRPGSSVRICQSFVADLHLLARTKYDVEWENKLHCLQSIIGALVYIHSMNHTHGNL NSRHVLLDSNANGGVKITGFRSLLRPLPASSVRWTAPEVLVQSSAPSPASSL H257_11984 MPRHDTQGPSMGSLGANPETTQSYNCGTKSNDAKPKHVPERQAN GAQSRRSERLKPTAVAEQEGAETEEEAPTAELAMRQQASREERKRAKAAKPYRPNGTP DSSYDDESEDERRKANAERCRRNREAKPKYKKVDYVAQAKTEHARQLTAAFDSPVWQP TAQQWTTQPGNSGWTPVSGPHQGGPSLVHKDIEYPPITTVDRDSLVA H257_11985 MKMHIKLDHALAWSKQMDQGRTTMLCGRDGSEFLFSGGKLWDAD YRLGTAARLNQRKRLRSNGSCRHCGQVAPKILAHVLQRCPHNECHDAALGAISRTIQV TLPKATLLVNATFHGYDGLRSSPTSNSSIKTRRWRSSAGPSTPPM H257_11986 MLSTSLGTTPPPNEVVLEQQLQVALPPVIVAAAPPTTTTPSQRG EVLPEPVETPVQISLAAAALLDSIIAAIEPPLVDRRTPYHTPTGLFTHIAAATVVEVM DMALCAYPTPVTNPPPVAFDPQRPSGDKFLRLLHALPPADFNCQVFVDSITMDEVEDA LNAANLTSAPCLEDFLLVEQACPRGVEGQRDRALGYPRKAFTTLTPASNWRTMTWQII KAIKMFVMSQLEFAIHQVKATKSQLQGFSLFLAKSLRHLLRLATTSTKAFIYSRHPGV ALASFRSTSHAKSRPSPLHSTC H257_11987 MSDPHTSSTDVSHVSCSSPARACPSPLAAHVAAPTTAVIDATTP AAPPTMVRPFQLLSSDLQAARVQPAMPLGLRHPPWRFSVLASTGTCFSALSVCEPNLV TTVHDHDAKTFQCALCLDLSFSAFASLRRHRTTTHAGTDFADAYRLSCGCDESFTTRL LALCHSNACAPTAAPTPSPLRPFVPHAVRAAFPAVPALTAALAEVAADEECTNQNNTT LAVAPPLASRFAASGAGIPPQPCVPTLAVGHAVPTDSPARQLLSCPTCSRCFPSKRSP AQRACTSGGSIAAKHPRFGPPRVPPTLPLDIAIAPEIPVAAPAPVTFVLRTDGGCRVN GATAQASNPGGAGSALSAPDGTYQALLNGLQGVLHWRLPTLRIECDSQLVLSQVSGNA RVSLPLLRSLRNRVLKALAHLRHKGTFTSLHHIPRNENTVANAAMDLKETSYECHCVH PAIACVPWCPALMCSALPRSVPALVSFANGVLVSRSDTNAP H257_11988 MLNSPDDAIQRLCRAQLRAIILLRFHVDAAALDAGGDLMLQRFL NGTLQEQPIASLKTQHADISSVWTDVVATLRQYNLRLQTRGDDHFDIKFPHMAKSATT KNIAREMKMHIKLGHALAWSKQTDQGRTTMLHGRDGSKFLLSGGKLWDADYRFGIAAR LNQVDTRSVLKRKRLRSNGACRHCGQVAPETLAHVLQRCPHNKVSIRARHDTALGAIS RTIQAALPKATLLVKACLTCYDGSTLKPGLQLIDQDKKTAIICDLAIAHEDDQLHDGD TVFEKTAKGKMDKYSPLSRHLVRQGFEVYSCALVYGSLGSVAPANHNILTAVIGLSRP AASKLQYGLSADIIKSSRTIWNTHCSGPKPDSRSARADSRMA H257_11989 MPTEHHEWTGSFDACGLCFDATDPRRQNYNCVVEDELDVASVRV QYLVQGLSKTHFSDPTYSTAGGSVCS H257_11990 MLGTSAAAWLVAVASVTISELTVVTPVNTVDFPDHSLEYEMLRL GSTILTRGKVMAPLVQVVPVDPFDIIKPSALAAPLDGCPALTIANDTSTTTSSSYRLA MLNTTTTATGDSAAIPAISITNKDATALLRMMQRNGSTVVVQLRWAEPKASDHVDIAL YTSSTSSALMPLLASVASVVSAFVPSNGCDTFPLNDNCPSLCMDNMFCTYDPDNDPDS GYSGAVGEYPCRPVWQVWWSR H257_11991 MLGVHTWLRSSTAMAGQRRWLSGRKDVACDLAASSIRFGAGVTA EIGMDLKELKARNVVVFTDPHLRDLPVVHTVLDSLADNGIRAHVFDQVRVEPNDISFQ RAIAYMNELAVSVDAIVAVGGGSVIDTAKIANLYSMFPPDDFYDYVNPPVGKGIPVPG ALRPLFAIPTTAGTGSETTGVAIFDDTHTKSKTGVAHRRLKPTLGIVDPLNTATLPPN VAKYSGFDVLCHAIESFTALPFTERPYPASPNHRPAYQGSNPISDIWSLHALHQTNKF LRRAVNDVSDVEARSAMLLASTAAGIGFGNAGVHLCHGMSYPIASQVKSYVPPGYDVD HPMVPHGHSVVVAAPAVFRFTALGTDRWYKYDQMTEKAKAEGAGDILADEVLRLMQDL DVPLGIGLLGYTSSDIPALVKGTLPQHRVTKLSPRPATKDDLHRLFADALQY H257_11991 MLGVHTWLRSSTAMAGQRRWLSGRKDVACDLAASSIRFGAGVTA EIGMDLKELKARNVVVFTDPHLRDLPVVHTVLDSLADNGIRAHVFDQVRVEPNDISFQ RAIAYMNELAVSVDAIVAVGGGSVIDTAKIANLYSMFPPDDFYDYVNPPVGKGIPVPG ALRPLFAIPTTAGTGSETTGVAIFDDTHTKSKTGVAHRRLKPTLGIVDPLNTATLPPN VAKYSGFDVLCHAIESFTALPFTERPYPASPNHRPAYQGSNPISDIWSLHALHQTNKF LRRAVNDVSDVEARSAMLLASTAAGIGFGNAGVHLCHGMSYPIASQVKSYVPPGYDVD HPMVPHGHSVVVAAPAVFRFTGKANPDRHMTCALALALGTDRWYKYDQMTEKAKAEGA GDILADEVLRLMQDLDVPLGIGLLGYTSSDIPALVKGTLPQHRVTKLSPRPATKDDLH RLFADALQY H257_11991 MNELAVSVDAIVAVGGGSVIDTAKIANLYSMFPPDDFYDYVNPP VGKGIPVPGALRPLFAIPTTAGTGSETTGVAIFDDTHTKSKTGVAHRRLKPTLGIVDP LNTATLPPNVAKYSGFDVLCHAIESFTALPFTERPYPASPNHRPAYQGSNPISDIWSL HALHQTNKFLRRAVNDVSDVEARSAMLLASTAAGIGFGNAGVHLCHGMSYPIASQVKS YVPPGYDVDHPMVPHGHSVVVAAPAVFRFTGKANPDRHMTCALALALGTDRWYKYDQM TEKAKAEGAGDILADEVLRLMQDLDVPLGIGLLGYTSSDIPALVKGTLPQHRVTKLSP RPATKDDLHRLFADALQY H257_11991 MLGVHTWLRSSTAMAGQRRWLSGRKDVACDLAASSIRFGAGVTA EIGMDLKELKARNVVVFTDPHLRDLPVVHTVLDSLADNGIRAHVFDQVRVEPNDISFQ RAIAYMNELAVSVDAIVAVGGGSVIDTAKIANLYSMFPPDDFYDYVNPPVGKGIPVPG ALRPLFAIPTTAGTGSETTGVAIFDDTHTKSKTGVAHRRLKPTLGIVDPLNTATLPPN VAKYSGFDVLCHAIESFTALPFTERPYPASPNHRPAYQGSNPISDIWSLHALHQTNKF LRRAVNDVSDVEARSAMLLASTAAGIGFGNAGVHLCHGMSYPIASQVKSYVPPGYDVD HPMVPHGHSVVVAAPAVFRFTGKANPDRHMTCALALALGTDRWYKYDQMTEKAKAEGA GDILADEVLRLMQDLDVPLGMCME H257_11991 MLGVHTWLRSSTAMAGQRRWLSGRKDVACDLAASSIRFGAGVTA EIGMDLKELKARNVVVFTDPHLRDLPVVHTVLDSLADNGIRAHVFDQVRVEPNDISFQ RAIAYMNELAVSVDAIVAVGGGSVIDTAKIANLYSMFPPDDFYDYVNPPVGKGIPVPG ALRPLFAIPTTAGTGSETTGVAIFDDTHTKSKTGVAHRRLKPTLGIVDPLNTATLPPN VAKYSGFDVLCHAIESFTALPFTERPYPASPNHRPAYQGSNPISDIWSLHALHQTNKF LRRAVNDVSDVEARSAMLLASTAAGIGFGNAGVHLCHGMSYPIASQVSSDNGAVFKLT GVWDCTGQIVRSSWVRRRSSHGSPWTFGRGCRSSCVSLHR H257_11992 MAHLDIHEYTMEEVAIHSTAGDAWIVLGSDGKQKIFDITAFLDE HPGGPEVLVDLAGKDAHEEFEGVGHSKTARDMVQQLCVGRLKAQGKKKPKRGRIVLPM VEPESSDNTRDNRLLALMVAFMAICFGYLISPMA H257_11993 MSVYDEIEIEDMEYNEKEQLYTYPCPCGDNFFITLQDLYDGDDI GSCPSCSLTIRVIFDEDNLPELRDDDEDDDEVVDDLVKATEEQLTVTAVLP H257_11994 MLSCHIPSKHDLLVIVHLICCMCGIGSLSMPYIFAQAGPIYSML AFLLNGCINTYATVALSHCLLKLRHLPHIHTYTDLAVHVWGRKGVYIVQATQLASCFL LPVAFLVLGGATLLPAIFDGAIDFSPAVWIVLMAIVLLPIIYIRVLHEAYIVLISGAA ATFIADVLATVDAYVAHGDELYEPTDTVGFTNVLDTFGSFALAYGAAVVIPQLQHHHP QPEKMPTALVYGMLLISGFYVTLGALGYAHFGCASPNNLLLAMSHTTSRRRVAYASMF LHVSMAFAVLVNPFFVTVEKSMSPPRLLQDERDNNDDEEAVDVGTCDIDIDEQSFHPL DTPKMNEDGPTMPPLDCKQPSGGNDNTEASISSTASTSSENTRRIVFRTIIVAIQCFL ATLLQSSFYDMADLIGASLANICSVIMPLLLYYKLFANEISKTHKLLCWTVILVSILL GSYSTIHAIRRIVKNASEYTVFASVPSAKRTSYPLCPAGYADRKAQWLDSFTLYY H257_11995 MKNLMQSFNISQQTISRIWRRGCETAASLGVAKFGSKKKGRCGR PRKYKDEDVQSAVTSAPAHLRRLQNNGKGHWCPQDGAVAPRQGQKVESAHKPSATIAH TTISCCAVQFRKFVHS H257_11996 MFSLHLPSKQDVLVIIHLAACVCGVGSLSMPYIFAQAGPTYSTM AFVLNCFFNTYATVALSHCFLKLRHVPHIHTYTDLAVHLWGQKGAYVVQATQLASCFL LPVAFLVLGGTTLLPVIFDGAIDISTTVWIILMAVVLLPIIYIRVLHEAYIVLITGAL ATIIGDIIATIDAYVAHGDELYEPTDNIRVTHVLDTFGSFALAYGAAVVVPQLQHHHP QPEKMPTALVYGMLLISGFYVTLGALGYAHFGCASPSNLLLAMTHTSQRRRAAYIAMQ MHISIAFAVFLNPFFVTVEKTLFPISAAKDDAADARDRDFAQIETPKVVPGLDDAQTS AEGAKYSETVRRYIFRTLIVASQCFLAMLTQSSFSDVADLAGASVMNFCSVTLPLMLY YKLFKAEMSKPHKILCWFVIVASIILGTYSTIQAIGRIFTNASKYTLFASTPPSKRTE YAYCPAGYTDRKAQWLDSFSLYY H257_11997 MFSCHLPSKQDLLVIVHLVCCVCGIGSLSMPYIFAQAGPTYSTV AFVLNCFFNTYATVALSHCFLKLRHVPHIHTYTDLAVHLWGRKGSFIVQATQLTSCFL LPVAFLVLGGATLLPAIFDGAVDMSTTLWIVVMAVILLPIIYIRVLHEAYIVLISGAA ATFIADVLATVDAYVAHGDELYEPTDNVGFTNVLDTFGSFALAYGAALIVPQLQHHHP QPEKMPTALVYGMLLISGFYVTLGALGYAHFGCASPNNLLLAMSHTTSRRRVAYASMF LHISMAFAVLLNPFFVTVEKTLFPQTALQDESDAEDGDDVEAGAYIVLISGAAATFIA DVLATVDAYVAHGDELYEPTDNVGFTNVLDTFGSFALAYGAALIVPQLQHHHPQPEKM PTALVYGMLLISGFYVTLGALGYAHFGCASPNNLLLAMSHTTSRRRVAYASMFLHISM AFAVLLNPFFVTVEKTLFPQTALQDESDAEDGDDVEAGGDTDVVAPFHVATTPKKMVQ TPPPVKQVDGDNTEASISSTASMSSENTRRIVFRTIIVAIQCFLATLLQSSFYDMADL IGASLANICSVIMPLLLYYKLFANEMSKTHKLLCWTVILVSILLGSYSTIHAIRRIVK NASEYTVFASAPSAKRTSYPLCPAGYADRKAQWLDSFTLYY H257_11998 MSVAPPSSATDTLRLRNGVEMPFLGLGTSHNGGYSHDAVVVSLR HGLRAIDTATRYGCESQIADAIAASGVRRADVFITTKLFYDDYGYDNAIRSAEASLAR LRTDYIDLYLLHWPGTPSTAHNRQIRADTWRALVHLYSVGKVRSIGVSNFLHSHLEQL QADSLASGISERPHVNQVECHCFNHPVQLIAYCRQHGIAFEGYCPLAKGQLLIEPTIV DMAKLYACTPAQLCIRWNLQHGYICIPKSTKPERVVENACVFFFSITPGDMTKLDALH DGRHVTWDPTNVP H257_11999 MWALDETALDVVQRTWYDHPFVSQIGVVDAMFPDGIQSRSVVEV YGDAQSPKSLLLQHVCAAYLVHDKRTQVHYFDHECMVDANEMRQLVQACMSSNGHDGN DDDVDGTMERLFVYHAETSDDWSAKLHTVHTKLLAQSGVLPVIAVDCIGSFHAIDKMI QHRLQDTSYRKPVNVYGQLKDLVRQHSATIFAAKNCDAVGTGSKHVESMPSEWTSQVT KRLHVRLVRGSSFPSYEIKTDSHTRAFRATDHGRLDDISTV H257_11999 MWALDETALDVVQRTWYDHPFVSQIGVVDAMFPDGIQSRSVVEV YGDAQSPKSLLLQHVCAAYLVHDKRTQVHYFDHECMVDANEMRQLVQACMSSNGHDGN DDDVDGTMERLFVYHAETSDDWSAKLHTVHTKLLAQSGVLPVIAVDCIGSFHAIDKMI QHRLQDTSYRKPVNVYGQLKDLVRQHSATIFAAKNCDAVGTGSKHVESMPSEWTSQVT KRLHVRYMSPFVSIC H257_11999 MWALDETALDVVQRTWYDHPFVSQIGVVDAMFPDGIQSRSVVEV YGDAQSPKSLLLQHVCAAYLVHDKRTQVHYFDHECMVDANEMRQLVQACMSSNGHDGN DDDVDGTMERLFVYHAETSDDWSAKLHTVHTKLLAQSGVLPVIAVDCIGSFHAIDKMI QHRLQDTSYRKPVNVYGQLKDLVRQHSATIFAAKNCTYASP H257_11999 MWALDETALDVVQRTWYDHPFVSQIGVVDAMFPDGIQSRSVVEV YGDAQSPKSLLLQHVCAAYLVHDKRTQVHYFDHECMVDANEMRQLVQACMSSNGHDGN DDDVDGTMERLFVYHAETSDDWSAKLHTVHTKLLAQSGVLPVIAVDCIGSFHAIDKMI QHRLQDTSYRKPVNVYGQLKDLVRQHSATIFAAKNCTYASP H257_12000 MPAAYVDEGCSNAHHDVTIDDFDGTKPLTSPRSIEACYAVGITP DELMPRTVASFASPKEDLSFTMKRAERFEQRRVTLLDQVRVARQRQHLDQSAASSVVD YHLPTRPRSSPTKGRRSPPRSPSGKPTTATGNDIDSTVVETERRRLEKIQARQLAEMH QMINWEIKQAELSAKQADEMAERKRQEDAAERDKARRLREAEAARRQRELDKRQKQWD EVAAARTAALADYAEAKQRKELDDQAKLAWKAELVQRERDRVQKADEHKQQTQSILHE LEAQALKRMDDMARRDKARKDKLDRRRHEKMIEMMERTQRNKQRIVHVLHDKDRLSQM RRDAYMQRQADSEARRLRLEAELAVRRQDQARVDAERKEAEIAIREHHKQLETDRRER LLEAERDAELRLQIRTQQKDAQRQRRLEDEHAKNMERARVAQRMRDSEVNRQSQILSK SQSKGQRAEMVQHKRKMEMRAKWEEAKLREEAIQDALQRKARRDDYHKSLLLSKLDGD QARTDAIKWQKELILQQRRRVKQQADIQRQDILNSFYKMKITKKFNLQAVESILSTTS QKSISGGSPLANPLTSHRPKTAPKGARRPTTPHKCSRVISRRISRYPSDKDMAQSTSN ESPAQEPDVMHKQPTTTTLDEQVAIYRRRQNQQLLHVLEEEQAAEEQRDVILRRATDT NERSRLEKIFGFERAQASDRIIRLTEEHEIMFHQHMTELGQAASM H257_12001 MPRDVATPVMPLLPLEESSVTLASVTELVKDMQKEHDAQLAKER ELIVHQVLQSLETKGLLRRKKPSTRHHHHKHPTKLDTRLQALVRHSLTDSGLDYPHVI PSQNTTVSSSLVRPLVLERKDTTDEPMEARRVSARQGSTQGDSRPQRRVDAALPPATA ADPPNQVVPCAEKSILTRSKRSSKMSKKTWVMLEQSKKLLQATKTSGYKPGVIAPKPH LDQILAHNEQRPNDGEMSNHDDEQYAIPPPLPPASCSTPVGTCELDENCSAAVAKNDA QAAPASYQLSLLEPFPTSGAAENTDKAWENELARQILSLYATSMTSKQHQKQQQSSQQ SSTSPPPSSPTKSDEQRRRRRHKQLPSLGDVAERKKRIQHVQDSWQPSHVDENGKVVL CMPKIPKPIWFAGTGIVMATWCALVVPLTEAKLSPYADDLDGASMLCRHRLCHELRHL EQNLELEQYLAVVETLLMSRVRAKQQHQQDKVLDELDVKLWKQLVITANAFASRAVDL KKFPLALSLVQKTESILDDASCLSATRLELLAYIADTYAYYYYSRSKASAAMTYISKA HVVHSKHGEWSHLAKCKLHMATLLSRLDQHPEAVLQLQLILALVEEAKLEEDGGGGAS AQKLCLVAVCYNNLALEQLHLKDVDGAATSTQNARRLARLCLSYSNRWLAQFENTHKA VIRAMATLLGDQRDLDMELVMKYDIEA H257_12002 MVLSARQHTTRATFSLDPASTMTSATKLMYHLSDIKAKDEWVDS SRRTHCIICTKKFFLTLGKHHCRRCGDIICSECSTFSLAQLPGAGLVKLRTCRLCHAN DVAGGECHAPPSSSSEDDALDDELLQKSEMMMYIPRHHHQNQKPPQAAPSTSLSRNNR CPRLERTMISEEDDRYTVQSYTSAGSGSATDTLSPKTTAFK H257_12003 MAAATAPPPNQMILYRMEHLISRQAWVQNKLRSVCVLCAKRFFL GGKHHCRRCGEVFCSDCTRFEATELPAIGRTKVRVCKMCFARDLQDQSLLVLSRSSSE DEEAEAELIRKTEMMLFSPNQQVLVRANVKAEKSLLDDCDARTTADSDISLECHKSSS NVSSSSSAPSPVWRHPPKRRDHHYDV H257_12004 MIPVAPTNPARKTMYGLEHFKSRREWVPDSARQHCIVCTKKFFL GGGKHHCRRCGDVVCSSCAPFVAAHLPIVGRTKVRVCHSCRVRDEAMPAAMFLSDRSS SEDEEAEEELMKKCELMLLSPSRAVMERIELKKSLIEGDNRQTSASSTASIASSTP H257_12005 MGEWAASVYEYTIGRFLALSAEGVGPPASPYTLPPLLSAEANRT HLLDMLCMCRQFKTPTSHMAAQLNATQCESVQFADRWVISTDISHVKSAHVHVQGSEI ILGGLHVLVFHSKASSPSSSTVIAFRHQEGESLLDNDMWEAMALYGRNYVQMEAGPSP LTLLDYTTRAIQALLFGARHAVEMDYFTLAKALVGELVPGGDSVTFTGYSMGGALAQL MAIESNSKAVVFASNGVLDVLSQYHVNPAVVANLPTSHLVNIMHPQDEVPKLDCQIGT LFLHNDVSHDHLHESFVYGDLAWNQLVHTPYSSHVEHGRVWSQTHGICIDNDLNDPMA FAVDSVAQSSSSQALATLVGGGLVLVAFKMLARWCK H257_12006 MDPTASVRDSTARVVSQAEHVTIHKDSVLALADKLERVYLGQKD SIHDQIAWDFGDVHYFADAKDGGPLTCQYVFVLDALNFCFWPTPDMEYEHLARGLKHA LLQDPTAFHAAQLATVTKDTVASWFAPFTPPQLDERVRKVRELGAGLARYFDGWALNV IRASNFSAVECLRLVLAHFPGFQDHTVYNGDQVFLYKRVQILVGDVWAAYGRSIVDVA DPSTHVIGGFYDIDRLTMFADYRVPQVLRPEGVLQYSLALDALIQAKTPIPSGNLMEV EIRACTIQAVEQLHAVLTAKGHRIKVIELDWLLWQIGENAKDHLPNHHRTWSVFY H257_12006 MGFRRRPLLCRRQGRRAIDVSIRVCARRAQLLLLADSRVRQSWR SRVLPSQTLILTSMERSMEYEHLARGLKHALLQDPTAFHAAQLATVTKDTVASWFAPF TPPQLDERVRKVRELGAGLARYFDGWALNVIRASNFSAVECLRLVLAHFPGFQDHTVY NGDQVFLYKRVQILVGDVWAAYGRSIVDVADPSTHVIGGFYDIDRLTMFADYRVPQVL RPEGVLQYSLALDALIQAKTPIPSGNLMEVEIRACTIQAVEQLHAVLTAKGHRIKVIE LDWLLWQIGENAKDHLPNHHRTWSVFY H257_12006 MGFRRRPLLCRRQGRRAIDVSIRVCARRAQLLLLADSRVRQSWR SRVLPSQTLILTSMERSMEYEHLARGLKHALLQDPTAFHAAQLATVTKDTVASWFAPF TPPQLDERVRKVRELGAGLARYFDGWALNVIRASNFSAVECLRLVLAHFPGFQDHTVY NGDQVFLYKRVQILVGDVWAAYGRSIVDVADPSTHVIGGFYDIDRLTMFADYRVPQVL RPEGVLQYSLALDALIQAKTPIPSGNLMEVEIRACTIQAVEQLHAVLTAKGHRIKVIE LDWLLWQIGENAKDHLPNHHRTWSVFY H257_12006 MEYEHLARGLKHALLQDPTAFHAAQLATVTKDTVASWFAPFTPP QLDERVRKVRELGAGLARYFDGWALNVIRASNFSAVECLRLVLAHFPGFQDHTVYNGD QVFLYKRVQILVGDVWAAYGRSIVDVADPSTHVIGGFYDIDRLTMFADYRVPQVLRPE GVLQYSLALDALIQAKTPIPSGNLMEVEIRACTIQAVEQLHAVLTAKGHRIKVIELDW LLWQIGENAKDHLPNHHRTWSVFY H257_12007 MSRELLSEATSIHEGPVPGYLLDQISRQLGANDGTPADKIADFL LNRMGKSNMNVKLKAMQVINHCLKCGDAAFNHHIRQDEPTIRALSNFQGTADPAYGDE KNRRVRMAALEMLNYLGVPASFNKDGGPGTFMPQPFTTSPPANTNSWGNNPAAHSSQR GNVPPSAPHPSQNGQFRNPGASNGPWGSSPATSAPRSPPAPYRDTPPNAPSYGHNPQP PSQYGHNPPSQYRHNQQPAQPGPPQYGNSSHESSWRGSTTSSSTTGATSGPSKNAPGG VWSSSGYEKKEPTAQEMIPSRWNSARDNRPTVLIGPKTSLFGAARPMPPVVGNMQHQT SSSSGGFGSFVPPPAPPSSSSFGGPSAGHRGGGISGLSQPVSDRPKSSIEQTLDDVKR KGFMLKDMWDRRNMDRSMASSLAEHDDYVNRNATLDSRGQTYQPQPTTGSSDKSGHNS RTSSSLILPGVVYLDSSFDWEYERSLIDDLCPPGGLARAPPAENLARFLELAKSLDMT ILGDLLLDKLEDDSWQVRLKGLCVWEALLEAPGCAHYADWLHENVDLLQHVGQDPKAA VATKAKRVLQLVGAEMSTPPVQKNQTQVDLLAMHDLNLQSPPFQPQNQNQTHAPPPEN LLDLTFSPVQSVTATTDVPLLLLSPTAPLPPDASRHLGEFGKDLFTLANSPRNSPQPP APQPEKSAFSFM H257_12007 MSRELLSEATSIHEGPVPGYLLDQISRQLGANDGTPADKIADFL LNRMGKSNMNVKLKAMQVINHCLKCGDAAFNHHIRQDEPTIRALSNFQGTADPAYGDE KNRRVRMAALEMLNYLGVPASFNKDGGPGTFMPQPFTTSPPANTNSWGNNPAAHSSQR GNVPPSAPHPSQNGQFRNPGASNGPWGSSPATSAPRSPPAPYRDTPPNAPSYGHNPQP PSQYGHNPPSQYRHNQQPAQPGPPQYGNSSHESSWRGSTTSSSTTGATSGPSKNAPGG VWSSSGYEKKEPTAQEMIPSRWNSARDNRPTVLIGPKTSLFGAARPMPPVVGNMQHQT SSSSGGFGSFVPPPAPPSSSSFGGPSAGHRGGGISGLSQPVSDRPKSSIEQTLDDVKR KGFMLKDMWDRRNMDRSMASSLAEHDDYVNRNATLDSRGQTYQPQPTTGSSDKSGEYE RSLIDDLCPPGGLARAPPAENLARFLELAKSLDMTILGDLLLDKLEDDSWQVRLKGLC VWEALLEAPGCAHYADWLHENVDLLQHVGQDPKAAVATKAKRVLQLVGAEMSTPPVQK NQTQVDLLAMHDLNLQSPPFQPQNQNQTHAPPPENLLDLTFSPVQSVTATTDVPLLLL SPTAPLPPDASRHLGEFGKDLFTLANSPRNSPQPPAPQPEKSAFSFM H257_12008 MHRRLHRAASKRADYIIVGGGSAGCVLANRLSKSNSVLLVEAGP SDRGKWDSWKMKMPAALTFNLADDKYNWAYKTVPQVHLDNRRLDWPRGRVLGGSSSLN AMVYIRGHAQDYDDWEAAGAKGWSYADVLPYFKRAQSHALGGDTYRGGDGPLHVSRGS QRDQVLFQAFIDAGVQAGYPFTDDMNGFQQEGFGFMDSTIHNGVRWSSSAAYLHPVLH ERKDRLSVVTDHLVHRVVVDDAGTAQGIDVQPNRHSKSHDVEFYQADKEVIVCGGAIN SPQLLLLSGIGPADALKAVDVPVVQDLPAVGQNLEDHLDLYVQFGVTKPITLHNATWI YPHKMAAIGLEWMVRQTGMGASAHLESGGFIRSRPGLRHPDLQFHFLPGALTGQLTPG SQHAMQTHISPLRATSRGSLALTTCNPRDPPRLDPNYLSTTQDVDDIRQGVLLAQELF QQKAMDPFRGHPISPATLFAAHEASAIDAWVRQHTESAYHPSCTNRMGHDTRSSVVNS KCQVHGVDRLRVVDASIMPNIISGNLNAPVIMMAEKAADIILDNAPLPSAKHVPVYVA PKWESSQR H257_12009 MVSLSLLLAGVAATAASQDPAFAVDVPEQQPADFSVPSTERAFW AETFQNTTTTKLFEGTTWVKSAGKKYREQKISVKRGSKLLGKFAADKALVLDNKAQHY GFAAKLPEPFYLDGSKGKKSLVVQYEVKYPEVVKCAGTYLKLLRDSPTLNVTDLNDKT PFTIMFGPDKCDKNNKVQFIFNHRNPVTNMYEEKALQISPEVKNDKLSHVYTLAIHDD NTFEMYADLNLIKNGSLYTLFKPAVLLPKEIDDPTDLKPTTWEETEFIPDPAAVKPAE WDEDAPRTIPNLSLHQPPDWDEAARGPWRQPMMSNPEYRGKWSPPMIENPDYVGEWEP RKIPNPDYFDDPHPARMDPIGAVALEVWSMSENIRLDNFWLGHNLNDAKKFARLTWQL KHEAEKKAVQMEPEPKKPTPPESKKKEPNVFDRIDEAVAWVVKYPLVAGLGAFFVFLV LLGFRGTPHHARSAFPMSPVIQEVLDLDKNKDTSQVPRVPVDGTELRHRPATATTTTQ QA H257_12010 MGAEGSFEVFTPGEMEKEYEARAGSGDLSLWKALEKGYTKVVDQ LIQPPRKQYTLGSLGPSTFSFVVDSMPQTTLTPVSPRHHATREDFVVVTPTHSLHGSF WSVASSRGFSHPSRRPCVLFLHSNMGTRVDALAIRDHVLAAGFSLASFDFGGSGHSTG TYITGGVREAVDVGYVLEFLKANYDLHRFFLWGHSLGAAAALLYMQQLNLSTRPVALP PAATIPTGAELVTTRTSPTTTTTLPSCSTSTHVATTSHEPQPLVILAAVLDSPYTTFQ DMTESIVETVKSNGLPAPAALLRLGMRMVTKSIESRGGFVVAQVNPLASSADCTAPAL FCNGSLDLYVKTGVANQFIHKYGGPCTRLGFRGDHYGPRPVELHEFAVAFLRETLV H257_12010 MGAEGSFEVFTPGEMEKEYEARAGSGDLSLWKALEKGYTKVVDQ LIQPPRKQYTLGSLGPSTFSFVVDSMPQTTLTPVSPRHHATREDFVVVTPTHSLHGSF WSVASSRGFSHPSRRPCVLFLHSNMGTRVDALAIRDHVLAAGFSLASFDFGGSGHSTG TYITGGVREAVDVGYVLEFLKANYDLHRFFLWGHSLGAAAALLYMQQLNLSTRPVALP PAATIPTGAELVTTRTSPTTTTTLPSCSTSTHVATTSHEPQPLVILAAVLDSPYTTFQ DMTESIVETVKSNGLPAPAALLRLGMRMVTKSIESRGGFVVAQVRAWMSMRTGYNDCF R H257_12011 MSEQQKAPTSTATNACLEKKAMYDQCFDVWYRDVFLQNKSQGKL GCQDLYKDYSACVTEELQQDASLVQSIRAEMNPSHTGRWIGKDLPEVGKADPSKRK H257_12012 MAQYKDKPVRFYTGSLWKKLRVYIVGCVGLIVMVQLWSLRRRGG SAGFKGRERRADTATTYDVLADILHLNNLNEVCFHEKEAVISYGYNSTAVDASLLLHP STDHAVLIQFLSQCPDVDIYLPPGLRNHGYCEDGMVYVKFLKARALPRWVFDMELQHK GQSLSYFDLCPHTALLFMNHYFDGIPDLSTFPPHKKIVLMPNVEMYELEASHYRRVDY VLAKTFDGFQRITAWYAREGNPRQTKVFYTQHVSSDPTQAARHAPNVHIEPRDFSNLR FFHANGHSTEKMTYQLIECWSRRPDFPRLDVYSMDERSREAFDRFFKDKPAGNLRYHW GQDVDVVEFGRLMAQVPVILCPSGMEGFGHYINQARASGALVATTNAAPMNELIDADS GVLIDGNLWTADAPKQVMAQGYRIFREGFFREGMEYMVHPENICAAVDYIMSLTPAQR AEKAQNGQRRYLAQFQTFRTNMNAFRKQLLLDVHGDI H257_12012 MAQYKDKPVRFYTGSLWKKLRVYIVGCVGLIVMVQLWSLRRRGG SAGFKGRERRADTATTYDVLADILHLNNLNEVCFHEKEAVISYGYNSTAVDASLLLHP STDHAVLIQFLSQCPDVDIYLPPGLRNHGYCEDGMVYVKFLKARALPRWVFDMELQHK GQSLSYFDLCPHTALLFMNHYFDGIPDLSTFPPHKKIVLMPNVEMYELEASHYRRVDY VLAKTFDGFQRITAWYAREGNPRQTKVFYTQHVSSDPTQAARHAPNVHIEPRDFSNLR FFHANGHSTEKMTYQLIECWSRRPDFPRLDVYSMDERSREAFDRFFKDKPAGNLRYHW GQDVDVVEFGRLMAQVPVILCPSGMEGFGHYINQARASGALVATTNAAPMNELIDADS GVLIDGNLWTADAPYERYIYVHDAK H257_12013 MVKVLVSLSAMAAAATAGSVTELPASVTKLIDYSANPCDDFYQY ACGAWYKDAVIPPDRTNIDTSFTKISIQNEAVLKKILSENKPKLAEFYSSCLDTATLS SLGLAPLADSFKAIRSANTTLDLLVVAGQLAQNGIPAFVDINASGDDNDATKNALFGF QTPLSLSRSYYTTPSKWTAVEADYKVYIASVLQLAGYTADQAAAAVPVIIRFEQTLAG VTLSKLEEMEAAVSPYTAFTYYQLDQKYPLLIGSWLKANGFNVRDQCGGSNDWVGFYD LTYFDKTEVLLKNTTLDDLRTIVEYKLIHASSTHLTPEFRTVNWKLFGKKLAGQTAEP SREKFCAAQTDATVGEILGKYYLDAVWSANTAKTADELVKALESSFSTGIATADWLDN STRANAQTKLSKFVHLLGGPEKPQLYPTLTLDSKAYLNNRWKVSQVNIDTNLKLNGQP VDKRQFGMSPQTVNAYYSPSVNQIVFPAGILQNPFFDGQFDAAQNFGAIGMVIGHEIT HGFDNSGRQYDGDGNLNPWWSDVTSAAFKTKAQCIIDQYSKFVVKSEVTGAVLGNVNG KLTLGETIADNGGLKTSFRAYHEYLKKFPSQYTDEAGDKLFYLSFAQGWCSKNTDARL TTLLSDPHPPGRFRVTGALQNNADFARVFKCPADSYFNPSNKCLLWE H257_12014 MDTDQSRVIRLPPYQYLHVLDTNINVTRVLSGPQTYTRQDHEKI VAGPNPMLIVPPQSFVVVANPVLKDASGHVVVDQYGQAKLRHGEREIRIATAYPDPFP LYFGEVQVGSVDKLTVLDANSALRLRANRDFDAHVAGDEWQFVGPATYIPRVEEDVIG SISATVVKTNEALKLRADKKCVDCFGLPREAGEEWLVRSPGMYLPRVDERIVGIVHAT ILTDKTSLFLRALRTFKDVYNVQRKAGEEWLVTSKMAETHVQDVHEAIVGPVQITTLT NRQYCVVIDPVVNGVHMLGTRELRKGETSFFLQPGESLEGERGIQNVCLLAHDEAVLV QANERFVDETTADVREAGVKWMVYGPCEYIPPISVKVLEIRQAIPLDKNEGIYVRDTK SGNVRAVTGATYMLQPTEELWAKHMGDEIEELLQMDSYVDDTAPLSAAATSRDPTRVV TFEVPHNTAIQVYDYSSTMSRIMFGPTLVMLNPEEQFTVIKLSGNVPKTPKAIKTLCL QLGPDFMRDQITVETSDHARLRLTIAYNWHFDVGNRDQATAARIFSVRDFVGDACKTL ASRIRGAVAVETFDHFHKHSAQIIRTSIFGLDDAASGKLKAQLVFPANNLCITNVDIQ SAEPVDVQTRDSLQKSVQLAIEITTKSQEAKAKAIAMKEDEEAKGLLVTQQLENQTNA EKARKQLVELSAQCAAVEAEGVAVAQAKAKALAAEIDAEAAVSQTKLRMQAQQMEHDS NMLRRKQEYELEVAHAKQMAELEVAKKKQLMSIEADKFKCMMDAIGRDTMVAMARVGP DAQVKLLSALGLQGYLITDGKSPVNLLTTAQDMIKNITTTTATATNE H257_12015 MNSGSKSQSKAVAAARPRQHRRFTNGIRKALLEEFKTSNATSQR KFCIEKNVPYSTWQGWKAREDKIMASKRGNKHATTGGQGHKSMIPFKDELLKFMQDSR SGEKPLQVLHLVDWVKENQAEWLAGYMGTKKSAAVANDSLRRLLLRFAKRHRFTRRAS H257_12016 MLRSVLLRGVAAASSRKAAAAMTVMRPSSMRSFSSDNSDDNDSK KSTTSRFLKLADQIEVSDQLAPGEEEVELPNGYEEEFFIDLNPGGVGLDEQDNIRIFD QYMSNPLVFTIHKLATDNRVSVERIEATIVFQGIERGLSIAELREKVFNIKEAKQAEI AEGIKNPVASLKGSKGKKGSEGSSNYINTTRSFHDEDEEVSLLSNEEYEEANKPDRLR EPNFLFLRDDMMDELPPLHRQFRKQKGTDQLTVAEAIALQRGAENNKVTLLPSFAKGL NPTGKWKIAIKDISTKKAPLYMRDVDGSYRLASDDEVAKRTWVKRPPFFQGIERYV H257_12017 MASTSDHQSPAICVESPTNSTYHAKKDHIALDTKPDQTVESCSI LFMFILCAPKMAMNMAWAAQWAALGPLLETLLSPSAVQAVQLVGPTTGLLIAPTIGVL SDACTSRWGRRRPFLFWGAVTSALCWGVMMNATAIGAALGDGGGDRTWTTVVVVMCYI WMDITVNITQVPAGLIIADFAGHRQVTAASIGAGYSIAGSFAVSGYILVFGPAHQSIH LFLGMLIGIMLTTTLSVCWFVHETPLVESDDSVIVRAPKYHKIRSSLQAVWTGFRKLP KTLAVYCAIMLLVQYGFTAYNGAKGQFFGLVVYRGVAKDADTCGKPNTLPCTPRQIAF NDGVRLAGGLTDTIFNAVGIVFLAALPFLVRKVGAKWVITASIIPQAMLVVMVFCHVV PVDVILVVGCTVTQNTIFALVMPMIIHVVGHGSDNNLGLFAGAFNSANCCGQFLNFIF SAILVKSAMGHALPILVGGVLSTGAFLVALFALKVDLRSM H257_12018 MGRPSRPWPLDGNGSVNSPVMRWAWNACVGCFRGTRNAASMLWR RLERRPRVLRFLLALLVMIGVGVAIWLLTPEVPVPKYSDKDTTMHTIPNFQEDHIPAL WTDDAYECVGWQETDSCEPEDITSRRPLETKKCDETIDQRRAGFCQVRNKTSGAILRL MVSSCHSMQHRQYTCDMARNFSEFALLAATYQHMPLATSLSLPEAQQHPPTRAILMIV YDKVLPSAYAAIRVIRDHGCTLPVEMWYRPDEMQIDDNALIQHLVADFNVHLRQIFDP RAVGFHTKPYAVYYSHFDSILLLDADNVPVRDPTYLFDDPAFVETGALFWPDYWQPPN SLFDVTSHSLLWQLLQMEFLSEFEQESGQVLINRRRAPAALNKLMYYSTHGPKLLDNL KLIWGDKDLFRLAWRNTSTAYHMMAKPPAIGGIYSYTKRIFCGLAMIQYDPQGEILFF HRNSIKLDGSPNQPHVITHIQQYRGDSRDYRVGQIISELDQESCYYIRTNRSLASGAT PTYVTPIEFTPFDAVEPAAIAYSVEGRRIVEAAASGLATSWWWPWRWIERMGYASVCM AGVFYGLRWWRAHEAKAHLTTNNRWKSY H257_12019 MAKKRSSSTKASVAADSQHDHRAISSSPGDEASDVGSIAVLMVL YTLQGIPMGLSSSVPFLLQGKVGYAEQATFSLVSWPFSLKLLWAPIVDSIFSESFGRR KSWLIPVQLLCSGLMIFGGPFVGTLLDMEVPDVHQLTAFFFALYFLMATQDIAVDGWA LTMLSPKNVGYASTCNSIGQTLGYFIAYVGFLALNDPATCNAYLRSEPNLVTGLVTLP SFMTFWGYIMLATTVFVWICKTEQPHLDHNLTIRDTYHQMWTVMQLPSVLALTIVQLT CKMAFAATDSVSSLKLVEYGVQKEKLALLSPVLVPLGLLLPVLISNRMDKKRPLHLFL LAIPFRLVVGLLYATIVYLTPTVMTHTEDVHYYYYILLLVAGACHEVSVYMMYVPQMA FFAKVSDPSIGGTYMTYLNTISNLGSKWPNSLSLAFVDSLTTKLCSSDAMNGCGDSDA KSACESTGGVCTILTDGYFVEVGVCTAVGVLWLALAYQHVDKLQKLPMTAWRVLKPHH KTN H257_12019 MEVPDVHQLTAFFFALYFLMATQDIAVDGWALTMLSPKNVGYAS TCNSIGQTLGYFIAYVGFLALNDPATCNAYLRSEPNLVTGLVTLPSFMTFWGYIMLAT TVFVWICKTEQPHLDHNLTIRDTYHQMWTVMQLPSVLALTIVQLTCKMAFAATDSVSS LKLVEYGVQKEKLALLSPVLVPLGLLLPVLISNRMDKKRPLHLFLLAIPFRLVVGLLY ATIVYLTPTVMTHTEDVHYYYYILLLVAGACHEVSVYMMYVPQMAFFAKVSDPSIGGT YMTYLNTISNLGSKWPNSLSLAFVDSLTTKLCSSDAMNGCGDSDAKSACESTGGVCTI LTDGYFVEVGVCTAVGVLWLALAYQHVDKLQKLPMTAWRVLKPHHKTN H257_12020 MSKDQAPAAAAAPPKGKKHRKDKPWDTDDVAHWKVESWNDDDNK TGMLEESSFATLFPKYREKYLREVWPIVTKALDGVKLVCELNLIEGSMTVRTTRKTTD PYVVLKARDLIKLLARSIPVNQAIKVLDDEVQCDIIKIGGLVRNKERFVKRRQRLVGP DGATLKAIELLTNCYVLVQGNTVSAMGPYAGLRHVRKIVEDCFHNVHPIYNIKTLMIK RELSKDPKLSGENWDRFLPVFKKKNVQTKKPHVVREKRVYTPFPPAPTPSKIDKEIES GEYFMKEHERQAIKQAKKTQANLEVREQKKAEKASAFVAPAEKKRKRDDKNKLAPTVD DLKNKFLAQEDSKKKKAKASSLSDFVSK H257_12021 MTLARTTMFAPLAMYPRYAPPPLDGERQPLLPPSSQAPTVIEVE FADGVVPADGRRPLLPLPTRPPRDVCEWIVQQWNATVAFESLTPIPLHVAAMGVGLVV CLVADKRSYIDQPTPKKGRRPQPTVTTMPPSEHFYTWHHVLLAVLIFDLMYSIAWIRY CMLQVSSFPTCHRFCKTAQVLLVFSSQVLFFLHVLDAVPMTWFAITAPLAVYTILSAV QLEWQALLGLNAIAVALKADGVLAVTWLTAFMPIWAVLALIVPLGWLLPRPEDGTTWS HFKRWFWLVQVGAVYAAFIPLAIKLEMGSTVLLEPDGSHRDWLPYRVMVAVWLLPVTC LVVGVCIMVAMVECALPGLY H257_12022 MDFKDEDDQLSIDLEDSSEYVQVCTADVLQPRMHGMWRSINLRG QTLLSLALLSSMQGDVGSKWKTTCGFY H257_12023 MLALRQSHTLTHKRAPPHLVASLERIMDTALENAFADTSCLSPS ILEWFPDTEEIHMIEFEHRPHRKFLGVLSQSSWFAKPVIDRSTARSVQSCACNNFAG H257_12024 MLDRNNVSPLGQVQASLDLVVESHLVYATTGTSSATATLDEDVS KLKDIPFISFLYLTLQHELPTFPSAVVQFHGHQERIVFDTITHQQQEHGGGVVAHTGR FADGTWPTTLLLEESSAAASSKTAAVLLSVAVDGHHVATADIPTHPISRPRVLSPVGN QTHVAVLWNAQGVAVGEVGYECPTEPSRTDATKLARGGYSLQLDVVSIKATAAAAWPS ESDETVVNGCNCAQTLQRERVQFTAEKKAWEIWKRKQQTALDQAEAKRMEALEAEWAL REKERLQTVRDAQQEYVGLEKKLRQTLHDLDVRERSLTKAEEALQHKLTVQKHEMEIV SRKSKSETQHAMSLVEQQKQSSDLIRQQMEDRAIRAELQLKQLQGDLVALRVEQRKSP ENVLRQDIIQYQATIATLEKQMRLLQLEKDKEVQVQKELGVQVDRLTQLLHQEKRHQD ELKVQEVEALRLKYIAREERFVLDGDRQELKAIKKQLDVLRQVQFSQNKELARLQKEK AELLATGQYTEDSFVIQELSRLIAAKQP H257_12025 MCFDRLSSAHLQLPVTFHVLVKSSKTSTTDNPPICVGHALLSLQ YLIHATLLYECDVCGDTMSDWTTHANHLDDVGGRTTTLYKPFTTCDVYVPVMEATPVA ILHVRAKWTHPER H257_12026 MELQIHVRRARAFLFHSLSLGSASLDADALELRVQCTINGELRS SGSGKRGNAKSKNADGYIWRQDSGVIKWYLTAKELKALKSKAPTLKLYVFGIGDEVHS LGWFFMDLRTPDTALRWIKLVNSKYSGEIHVSSQLRKAPPFPSSIPPPPPQQHDLPVV PPTTTDEDDCLDIGDDPDAIYVLSIVVEGATHMGPMVEALLKRCSPLELHAILAKGFW LSYSIFDVVVQTDVFHTLDVAGFDVIRDSFRLKSSLPALAALFTDMAVLPMFLCTVDR ILCRTEIPVANWLPSTDLGRLAASSSELRTTPPALQLKGPYPFLASHETKGTAIYIYI TSIHYI H257_12027 MADTEGTHPLHTAWSIWELREMSKGNYADKLHKLCTFKTVEDFW GYWNNLPKPSQVLNDGVTKKKLGDRAIESFCFFREGITPEWEDPINLSGGEWQVALKL QAEELDHLWDKLVLGMIGETIDPDNEITGARIIHKNKKDQHSYRFELWLRSRDINLAD VIRNDMLDCLNTDNKGKAITRADCVHKTHSH H257_12028 MRVVAALACLAVAAFAKEDLAADAKLRVGVKFRPESCSRKSESG DKLSMHYTGTLRKDGSKFDSSVDRNSPFEFTLGSGQVIRGWDQGLLGMCIGEKRRLTI PSGLGYGDRGSPPKIPGGATLVFDVELLDIKNAPKTEL H257_12029 MASFKQLFEAAPVNSVEQLFTRGRVAFYTFIAFGPGTMTGLYLH SLKLEMENDNQAAKLIAMMKAQEEVLEEERKEAAILTQMQKVQANLQLLHSRMRALEE TVTGHSTIDPLADILPTSTDTLDGDDASNDNSDQHDDTSLVATIQAAARQWWEDEHMQ EKVDAWYAYLWEDDELDEFFSLDAWKAKALAWWSGEESSKPPSLHASALLQTVEQWAT IDGSLSWLQNKDVIRAKLQETVAQGREAREVALAKEVAAKKEAEIKRQRKVLSKLERM KDQSGIEARTSGANAVRIQKDFEVLKKHD H257_12030 MSMTSNVEVTARKHRSACASCLLDEIGVQEEDLSQGGRRTLGIL AQVACVLNVDQVHMSEYLAVMDALSERHSNATDAVCTLEDEMKRITMRNDRTRQEMAH LAHMHESMRLMAEEREISENSGMFKHWARDNGEKERRYTQEIQHCHAELQARRFPLDE SLEHHSLVALAEQCAALDEQNYELATQLALYSSLPSTIPDAQRMLDSMEADLMHLEAP MSSAGNQELTL H257_12030 MSMTSNVEVTARKHRSACASCLLDEIGVQEEDLSQGGRRTLGIL AQVACVLNVDQVHMSEYLAVMDALSERHSNATDAVCTLEDEMKRITMRNDRTRQEMAH LAHMHESMRLMAEEREISENSGMFKHWARDNGEKERRYTQEIQHCHAELQARRFPLDE SYDLGWHVYIVHKQTRSLCLGWNTIRWWRWQSSVPP H257_12031 MKLFVAIAAVVATVFAQDFNFTDVSESQERGDGIRVVGGKEAPV GQYTWTVNLRRSAGGSSSCGGTLIAPDYVLTAAHCVANGKPGFVAVGTHYNSGTSDGE QISVVSSTSHPSYRGILTGFDVAVLKLSRASKFAPLPLAKDAVAAQTSVKLLGWGQTS GPSGNPSSVLKENTFVVKSNAECQAKLRTSNNFRGWTATATHLCAGGDVGQAACFGDS GGPLIKSTSAGLALVGDVSFGEPCAKGFPDVYGRVAAFRAFIDQASRGHTWV H257_12032 MVSTVTMEPRGAVVYLDERFIHHHYTRHDDSLYHPDDHTTKPKH KGQRYCFVAGILDDESDVAHILGLDIFVDYHSMFNHDYFVDWFRKLLDEVEELGWSSS VEFVMDNAKYHKGKSKGTPKGTWKKDDLYQACVK H257_12033 MEETQQLGRLRFRGRPALRTGPKKPPNKYRNVHVFYKMKQSVID SFDEVGMAATLAKHFPQLSGTQLNTTRKKLYGWLKHRAHIRQRHSPGSTKNNLLGGST PCVKTTAIDADQDEASFAASWSGQDTQGDGDAALAKFSARVAELVREHSIDVIYNADQ TGVNYEYLPTKQASG H257_12035 MVVECVGSIADVFESVAAEIIGAMFLAKESKIESPVFDIVVSSM GILCVTEPGVGCAGVRNRRLPYLQR H257_12036 MSSEVAPVYTATDLLAYVRAEYIAPLTMAARRHTNYYISAIPWP KQLILGLVSPLRDSLIWKHQWPRSSSDRVSRAWQPRHFDYNPLVAAFKLGADPKHVVS LWIRASVYVPVATREVVDKLAAFNMAMFIDLNDKCYSAPKPTSEDAPLVAPLRTRSDL PDDDSRVDESQPPRGGFYTPKQPEEHQMSQRLSQLLLHQRRKQMALQYRCQSATGSP H257_12037 MQPHVASPHRPPTVYTDESYIHHHYKYRNHRVYDRSDELDVVTK EKHKGRRYCFVAAILDSPTMDSKVMAIDIFTGGKSIASELKDYHDMFNHAYYVGWFGN LLAELDYLQ H257_12038 MIWQRGRATALPGSRADVKSRMTNCGRTKSYTVNEIEQCIKATP LAQRQTYRALAAAIGVSTWTIWNFIQSKWVTRRPNWTKPRLKPEHMKQRADFCKAILN DYSKHNDNELYNAVHIDEKWFYITKIRRRFYLWHDEENMPRHVQSKSHITKVMFLVAV ARPRSDWDGKFGCWPFVVSTPAQRTSANRPAGALVTKSVYRSYLVEKVIPSIKEMWRW LTDDNEGVVYLQQDNARAHVAADEPTVAAAAEHAAFRIQVRNQPPQSVNSSTRVRVND RAGERPLRDTARVPRASRLVARPWIEDALRGIPLDCIIWLIFIHSHTVVVLKHGEDVL GHGPGACNTAVTDETLDQGAHSSGVMNPGLVIRRVGWRTRDRQVPCGQELGHDSLDCA GTMPKQSTDNTRDIRATLLTKGCLKPKNVQLLLVGQAAPTAHLDEFLHPRYDPRIHAR HGKFGPVTAVMFRSI H257_12039 MLKGQKKNLTDSECNSMVQHLLLRCTKAVGAADEVAQFKTICRN VSQRKKSTCGRKRLHQDLPYRIQAIPQSRRYCFRSLAHALDMPKSTLHDYFKRGVFAK YSSVLKPALTESNKVCRLKCALDHVCDRDGAKFFDDMYDTVHVDEKWFFMTRLQKKVY GAIGEKITQRSCKSKHHLLKWFDGKLGTWHFTEIVQAQRRSSRRDAGTPVMKTVSVTR ETYKTMLVDKVIPATGAKWPRGETKAVKIQQDNAQPHVRPNLNDLDLGFFRAIQALQA ETHSSSLEEIVAATDAAWDVVSTKTLNKNFLTLQRCLQEVILNKESMEMSAFCTQKEA LNIVDDTDADDNLAAAFGLVELTDE H257_12040 MSLAKEGAASHRNLSSSRWWRRPRWMLLGCMGVLMGVQVLVTVV GLSRGGGIFRRPANNYADFEPDLLHLNHLNDLCLHENNSIIPWTYNSPKESRAAHLLS KDAPLADLLAELARCPEVDVLLPDHLHGHGYCEDAMVYVKYLHTRSLPLWVFDLEFTL DGRVQTYFDLCPHSAILFLNHFWEGLHTRPTFPPNKTVIMMPNIEMYELTPAHYHRAD IVLAKTQDAHRRITAWYAREGNNPRYSKVWYTQHTSSDPTALARAQSKAAPSTFGSIR PKDFTNLRVFHANGHSWQKNTPKILDCWNERPTFPYLNVYSKDELSNRTYWTHFRDKT PSNLAYHLGEDIDPAAFGKLMAEASVILCPSTMEGFGHYINQARAAGALVVTTNAAPM NEFVDESSGVLIGASARGTNGRVMMGDGTEWDVEPSAICAGMDQVLAMTPYARQRMAQ EGQRKYFDQLGYFRSQMNDLRDWLRRYRQ H257_12040 MSLAKEGAASHRNLSSSRWWRRPRWMLLGCMGVLMGVQVLVTVV GLSRGGGIFRRPANNYADFEPDLLHLNHLNDLCLHENNSIIPWTYNSPKESRAAHLLS KDAPLADLLAELARCPEVDVLLPDHLHGHGYCEDAMVYVKYLHTRSLPLWVFDLEFTL DGRVQTYFDLCPHSAILFLNHFWEGLHTRPTFPPNKTVIMMPNIEMYELTPAHYHRAD IVLAKTQDAHRRITAWYAREGNNPRYSKVWYTQHTSSDPTALARAQSKAAPSTFGSIR PKDFTNLRVFHANGHSWQKNTPKILDCWNERPTFPYLNVYSKDELSNRTYWTHFRDKT PSNLAYHLGEDIDPAAFGKLMAEASVILCPSTMEG H257_12041 MLHMSDLSPSPERHTSRQIETPPSRRGVTFTTETTYTFHVAHGG SAVPNRSGPPIGLAKSHFHVACAAIRPDRRRHRRAVQKFDPSERVALLKAAAYHESEI AAFCVDAFAVRKSRQDDAIDFMEERTRQKKRRAVRMLVKISGEVSDQGSTDDMGSTSK RQCVAVQGC H257_12042 MVQYQFMALAVTAVTAKISVQVHRNLEIAKQSNVVVKFHCDEAL STHRRRLKVGASRTETIESLVDSLKEHTTKSQASVKSLLTDEVESTDVEVAGTTWIDC SMYVNNAPTNLVQKIAAVPEVESIYEPVTMTLDETKSNDKPASAVNEAIQWGVKKIQA PALWAKGIKGEGIVVANIDSGVHYSHESLKSNWRSEYGWFDPHNKSNQLPDDYDGHGT HVMGIMVGTKGIGVAPKAKWIACKGCKDTCDQRMVVECAQFLLCPHDNDVKKCDPSKA PHVINMSVGVYNANFYLDEMIKKWRAAGIIPVLSNGNDGRKGCWHSGYPGISPQGIAV GYTDANDFLALDSSLGPSFVNDKLVKPDISAPGVRIRSAAHYSDNGYLFTSGASMATP HVSGAIALYLSANNGASYDQVYRALTENAVTDTLTPPNKTCGGIPNTQYPNNLFGHGR LNIFNAVDASIRGLTLPPPSESTQVLNPTDDLSTCGTLEDNTHYVGGDLASFNLTTVE SCCAECKKTPRCKAFVWYTLNGGLCRLKDTQGRKVHVDGAKAGVLPAPASGRRP H257_12043 MSDDEATHEGYHGWFKSVPKMEQDFTPQPIDVTATTDSASGAGS AWNQGGTWEEINKSQWAKESLKRFILEEFQIVDAATGWNVRATTIVKCDGDAKLVFSR GKKRCGYDIALEFDYEGVHVGKSETSSGKINLHDFEDTNGEDYEIHVKSATSSAQDKT TVAIIKKHENALRTVLLAWKQDLLQQ H257_12044 MAEELVQGVADAVVAFFAKEDTGHLVTASILSVSILMTIALLSQ GSTDSVFQHGFGVWGQHAAADRIQGEERERDEAEREIFQESNVSGKSTPEEIVGADLF LATKLPWITLSLAVVVVAGSVHFIVHFAVIYSLASSVHNSRTTMGLFGQYPSALSQVL LVSFTCFCGPGLYNALSSVAAGVSDETIAYNASAVLYACFSLSGLFAGGIVNVIGPKW TLAIGASGYVLLSASLLVMDKGLDVDTKTYSDGATNFFYAANAILGVCAGFLWTAQGQ MCMAYPTVETKGTYFSYFWILFNLGGTLGGLITFGTNFDNNGGVSASTATYVVFLVLM TLGVISALFVANPENVVRNDGSRVTVEHLPNPATEVFETFKLFLDPKMLLLFPLFAYS NWFYNYHTFYNSSIFNSRTGGFSSAFYWGAQMLGAYVIGVYLDRPGNKKAKALQSIIV LTVLIFVMWGVGLYVQIDLDLGLKEKKKNLDLTDGPFVIKFLLYFFYGFNDSICQVWA YWLMGQFSDNLATLGRYAGYYKAVHQRHRQLGPRNRWNRGGVPVGPDLHGQLPRLWGL H257_12045 MTGSRLMSPLDVIPIPAAKIVTTIDDLRSWRNGDMAAMATALDK IHATVLDASTRKRQKNRERRSKKKGAEMAQLDVGDFVLYMNVWSISHSKLSAAITIAF QVCVRWRGLQDIEDSWEPAAKLLEDVPTEIKRYVRSNKSDAQVKAMATALGETQSLGG FVANLPFAEAMNPSQEGIQVFD H257_12046 MASLKMDTKIRDAESRVGRLLADFYQKLEQLDVAHPPEQEPKQS AKILMASIRQVQLKATVERQLTREVNMAYKTDVKAFCRWLVSLLNNFMLFESQLFASD RQRMYGEKQRRQQAAQKSEPGAQPRPSARPATGAPHTKGCLRCGSEDHKVLKCPKCPL GEAQRLLHQLFKKPQVAAVAAPDRRDEVKPKVVGAAVDMGETTAVPVLRTLECAINGL KVTTLLDSGADQSVLSPTFLSRLKVTGNFPSAVRLLDTAIELDGFLEGMKLQVDHDVK LCLTFETAEGTMVLANLNCSVAATPLQEGLGDLIVSVYDLDRLGDKETPQMAGMKVVE TKEKPTLSIMTESGHDSDQEVVHAKLKEKVSECRAAGCGEEFATALGTLLLRYEDVFR LSLGRDPPARRYSKEQREFMAKHVEELQAAGLAYRNPRSKRCSAPLIVKKPEANDFRM TVDVRPVNAQTERIIWSMLILEVILDHLSGAVVFFTLDFLKGYWQFALDVRSQEMFSF LTDMGLLTALERVLVICESRGLKLNPKKCRFFQTEARCCKEALGCVVTLAHPKADCLI CVFADASDLHWGGVVTQIPYDQGAFSGASQRWAIIEKEAFSIVECLKRADYLLHKPAK LDRWALLRWALLLTGYDYTIYDISGDGNVWANMLSRWGTHSNLIRPIVHVEVGDNDVP VLKTATGAVWIPTSASDLQMRLCVVAHFGVGGHRGINATKQILVDRFWWSDIASDVAH FDDFSQFKWLWESNVANAQVVGRCLLQWFSVFGVCYHWVSDQGSHFKNEVIAELKHAF RALLSEWLMQPDQ H257_12047 MSTDEQPTLTVRQSRRLAGVAPEYGLLDTRRLTHQSSTPEPAQL DDHTDLPTSSPERKREAEGSPHVSPSTNSPDTRPPPKKPALAPGADSAPEPLSPHAGI VQLPETPPWSKERPAACHNAYTEFTRDIVKKQELEALRFFQKAHDNRSRLLDDRETRL VEMAELAAQAAEAHEAYRQEQFKEALGYLQECY H257_12048 MLRFQQNLELTWDKERSLLLQDKEVSLLAVKNQHTDELLRVSPE AEHTIEPAERNCGNCPILEQEKCILAERLRLQEEQLRETDRQKERFEQLWGKGRRLLQ ESEQRTLVSHEALNNAQARVQESETRGSQLQEQLLNVNIRYEQELQEEQILREEMERD VQEQLQALTLDRDSEERIVVAQERVELIKSREEHEANLQKVSDAQTRLGLNTTLLLLR EEELTILREREAFAQEVNKSYSNLRRQQSSLQVNQQTLALQLQEGQLRSGSAPYSMVD TLQRAMGPIPVFMQQTEVATETMSGADRCLWPCYGFSKAVHARETKATSTSRVHIPEL PTETRAVHSVEDLAPLPVLRRTQLRGGLGGTTPSNALEAEETPPDLLEEADLDVAAMI MGVEVYPDFHDALATQRTVAHLKREDPREPPAHKAHEPAPSASLHNSPANLSTVHPTS TVYVKSNFPWLVLCRISLSTAAQETRTKPGKEEIQIDWKDSSLSLEQKELLRKLPLRF DLFVSISKAPGRSDLTKCHIKTGDASPIKQAPF H257_12049 MACNSTKLMSMTSASKASISSSESNTQESMIPSTMLAPILNVLL SLDKMALKNAQSSMCFNSTCLRMFSVRNGMTMAVSLPPPNQAEMDELIMLSVERAAAS SRRIEPSTRYDRGDGEESVQVTLLRQQEDLVRQQDELKRVMANQTKATAEHQEMLRQA SDAMRQQHYTEPISDRESLAKRRRAAWRNSCVQQVPLAPVYKGRTKRERREFMSISLT RGMWKLARRGPERIPQSEKAYAARSLHSATSPGGHKTWITGSGAHASPYSFLRMHTVL SHVIVDLRNSIQRNERSLSILQEVDGVDLGELSPRHVVRSSRPDLRTALVSSRRALDT AHAERDWTNDLLQEAQGSLWSLEHGQRDLREQRDQAQARLSDQQAELRDLASQLTVQT EKATKLERRVQETDQALEVMTAEAPPDSGAKGSTHSGPAGAKGPVPPSPSRSAKRPAS GGRPGKRKVSRVHAAVPLPSTDPNLPRDVDATYMAVALSKPWEQYKVEESFIRSSGRQ LPLWAELQEQLEDFWGNHALAHWNRRFMRGSAEVHAEVEAAMGTLVGIIGCLYRIVRR HGIDLLRFLLPPFVLAGLPSRRGLFEDDGLGLGCSSRAGLSVISRLGILAGGPVHFSH RAVRSPFMATLAFLKGCSLREFWFKKCERVPTRRCLSGAFPVYQGRFPFVVDDRSHPP EGSQWARGMPRPAGIPPMGYTPPLPNTTGVPKTATTSGAPTTFSASGVQSTFSAPEMV DLLSDSATDTDEETVAVDL H257_12050 MTPFGVYTPTRVLMGQTDAVAFCQSAVDFMFADLLFKGLLAWLD DMLGYAENSKDLLDQVLTICSSFGLKLNPKKCDFFLTKAIWCGKVVSTEGAQHSPTRI QGLCALASPAMAADIQQFVCATNWIRSSIPCYTELVAPLSGNLSCKIPRFMKELTADQ RHAVVDHLLIWQRANVSLGGDNLPIREMVCEHTASMKKGRVGRKQKYTDLPERIGAVP ASQSTTIAYVAHAIGIPPSTLKDFYKRGLMVKYNSNVKPKLTDVNKTARVKWTVEFVE PTYAFYDMYDYVHVDKKWFHATRIRSRHYLLPGEDPPHRSTQSKRFITKVMFLSAVAR PRWDNAKSEWFDGKIGTWHFTQHVRVTSSSRNRPVGTIELRPVNVTHPVYKKMLIDNV IPAIKALWPAECSRTVFIQQDNAHPHVPPSDADIVKAYAPLEHLREDIVSATNNAWKD VDPWALECNFLTLQSCLREKCGRLPESVFCGKEIYDDGCTLLGQHDLSSVMLELSLQT ARDLEMSDIFTALETLDMDDQDE H257_12051 MVLFGHHPSALSQVLLVSFTCFCCPGLFNALSSVAAGVSDETIA YNATAVLYACFSVAGLFAGGIVNVIGPKWTLFIGTWGYVMYSSSLLVMDKNLDVDTKT YSDGATNFFYAANAILGVCAGFLWTAQGQMCMAYPTVETKGTYFSYFWIIFNLGGTLG GLITFGTNFDNNGGVSASTATYVVFLVLMSLGAFSALFLANPDNVVRNDGSRVTVEHL PNPATEVLETFKLFLDPKMLLLFPLFAYSNWFYNYHTFFNSSIFNSRTGGFASAFYWG AQMLGAYVIGVYLDRPGNKKAKALQSILVLTVLIFIMWGVGLYAQLDLDLGLKDKKKN LDLTDGPFVIKFLLYFFYGFNDSICQIWAYWLMGQFSDNMATLGRYAGYYKAVQSGMA AVAWRLGGIPITPVANVIVNWVLATVGIVGAYLSVRIYMVNSPASGDFEGAETPDPTK KETVSQ H257_12052 MEAYPVELKEVPRPLVAALGPKDLQARVLPVLRSINEEFVPQLQ IKSLSFDHRFVFPIKKDKSTSLSPPPSKGIWKTDWLKKHHEVLPSVVLLLYAFEPRLA TRDWAIQETVIRDEVEDLRRMLSGRDVKILLVLVQLLDDAATTTASPSTSSSMVNVPS SDDRLQSLRKRAELDAKSVWLLKDIVSRTHPTLIKLEAAIRMNAIEYYKAQAKRVKKA KNPSKLMAARHSFKVAHYYEFRQHMSKMVLHYEAAYKSLLHMAESSSSVEVQTQVRAV AEFVHFKLVYHALLTNRQLKTAVDQLHRHMASPVSQISPNHDICMHWGYMSRQYHVFG QLMVEAQRRGGLPPPTAAANPIDSDLYNEVYLYFSVAAKYATRRRLAAAKAGLSYDPN HHQPPVATPPAADISRSMYLGMANQRVAETSIPHAALAIHLLTQALHHVTDDVAHHRH RLKHRLGLRLALEHLANREYSVARQRLQLACTVYIQERWYGLVSEILGHLVTCARQER DTAAFLDLSMQLLSPKLEPYVSARVRDTVHANLFVAFDHVTSSQMTSLPELSLDDGRL TASLISVAIHCTNSALVATFESSLPVDVAFYTLGFVFTQDGGAPCVVELQHETTADLL LSPHTPRTFQVPVTPFSGNGVVACQQVRFGLRNRHHVPWTWTLAGPFAAQPRHRLVRR NSFLLPEINGRVPGMGQGAGSPSFQRANLRHGADTYPDSLFHQVDKATVDLISTSPVL VDGVTCLEFVLHAPSTDHVHHPRCAVTIDDQSCATVLGPVSQDQLISPQLAQSDQRFQ VVVTCHSPGPVLVSVHVAYETTRGEYVQIDIPFTVHAVLPVTLSTAKTDGVATVNGSG FMLVAHVKAAVAPLRVHKLALTHVTPYATIVQSGNDDECATLGAGDAHSLVLHVTPRE VTVSHILAHVLVHWSSLDHPEHVVTTELALPSMAFQPAPLSVKIDDMPVFGTQGHVTW VHVRLTNHTISGYIVDVQIASDSSEFLVAGVVDGHNVQVLPMETISVTIGLVPLHPGH LTLPKVKVVHVDSNETFRATDQRVTLFVVPDNHTTSAAMLLA H257_12053 MKQRRFLAVAALTGVMGVVMADGGSSAVGYARCMKDSSCMPLNE IPAWWVCMQGQAVEYLILAGAMIFFATFLPRVTLSFMLFILGKFPFVREIVDDYVKFC TTSTTYLLSATLCMGAAIVGLLSPYLCRFHIYIWGIFFLMWIYSVFNVIDRLAIRRFG GKAADNSKKVVISESIKLLRLLVLVIVSMYIYLQMWQDQSLLKYSFLGMLGGAIALGF AGATHNAVGGLYLVLNAPFRVDEFIQVGAVHGHVQRVALRFTVILTLDSTNVFVPNSF FLYKPMVNYSQRPKRQVTLDIHVHPHTSVALLETLLHELHVMLQSRHMGLTSHEDYSG PADNEAKFFFVTMEALYCVRLYTYTDELDAKKHAMIKSEVWLAAMEILEELGIQVVMK DHEDSRRRTTGGGGNADNNHAAEFGFAY H257_12053 MKQRRFLAVAALTGVMGVVMADGGSSAVGYARCMKDSSCMPLNE IPAWWVCMQGQAVEYLILAGAMIFFATFLPRVTLSFMLFILGKFPFVREIVDDYVKFC TTSTTYLLSATLCMGAAIVGLLSPYLCRFHIYIWGIFFLMWIYSVFNVIDRLAIRRFG GKAADNSKKVVISESIKLLRLLVLVIVSMYIYLQMWQDQSLLKYSFLGMLGGAIALGF AGATHNAVGGLYLVLNAPFRVDEFIQVGAVHGHVQRVALRFTVILTLDSTNVFVPNSF FLYKPMVNYSQRPKRQVTLDIHVHPHTSVALLETLLHELHVMVQCNKNVCLVCSATVW VCISCNPDTWG H257_12053 MKQRRFLAVAALTGVMGVVMADGGSSAVGYARCMKDSSCMPLNE IPAWWVCMQGQAVEYLILAGAMIFFATFLPRVTLSFMLFILGKFPFVREIVDDYVKFC TTSTTYLLSATLCMGAAIVGLLSPYLCRFHIYIWGIFFLMWIYSVFNVIDRLAIRRFG GKAADNSKKVVISESIKLLRLLVLVIVSMYIYLQMWQDQSLLKYSFLGMLGGAIALGF AGATHNAVGGLYLVLNAPFRVDEFIQVGAVHGHVQRVALRFTVILTLDSTNVFVPNSF FLYKPMVHQGVLNAFETHSKQH H257_12053 MKQRRFLAVAALTGVMGVVMADGGSSAVGYARCMKDSSCMPLNE IPAWWVCMQGQAVEYLILAGAMIFFATFLPRVTLSFMLFILGKFPFVREIVDDYVKFC TTSTTYLLSATLCMGAAIVGLLSPYLCRFHIYIWGIFFLMWIYSVFNVIDRLAIRRFG GKAADNSKKVVISESIKLLRLLVLVIVSMYIYLQMWQDQSLLKYSFLGMLGGAIALGF AGATHNAVGGLYLVLNAPFRVDEFIQVGAVHGHVQRVALRFTVILTLDSTNVFVPNSF FLYKPMVHQGVLNAFETHSKQH H257_12053 MKQRRFLAVAALTGVMGVVMADGGSSAVGYARCMKDSSCMPLNE IPAWWVCMQGQAVEYLILAGAMIFFATFLPRVTLSFMLFILGKFPFVREIVDDYVKFC TTSTTYLLSATLCMGAAIVGLLSPYLCRFHIYIWGIFFLMWIYSVFNVIDRLAIRRFG GKAADNSKKVVISESIKLLRLLVLVIVSMYIYLQMWQDQSLLKYSFLGMLGGAIALGF AGAVRTDETFVSPKRTQHCLLDTQRRGGIVPRSERPIPSGRVHPSRRRPRPCPARGAA VHCHLDTGQHECVCSEQFLSV H257_12053 MKQRRFLAVAALTGVMGVVMADGGSSAVGYARCMKDSSCMPLNE IPAWWVCMQGQAVEYLILAGAMIFFATFLPRVTLSFMLFILGKFPFVREIVDDYVKFC TTSTTYLLSATLCMGAAIVGLLSPYLCRFHIYIWGIFFLMWIYSVFNVIDRLAIRRFG GKAADNSKKVVISESIKLLRLLVLVIVSMYIYLQMWQDQSLLKYSFLGMLGGAIALGF AGAVRTDETFVSPKRTQHCLLDTQRRGGIVPRSERPIPSGRVHPSRRRPRPCPARGAA VHCHLDTGQHECVCSEQFLSV H257_12053 MKQRRFLAVAALTGVMGVVMADGGSSAVGYARCMKDSSCMPLNE IPAWWVCMQGQAVEYLILAGAMIFFATFLPRVTLSFMLFILGKFPFVREIVDDYVKFC TTSTTYLLSATLCMGAAIVGLLSPYLCRFHIYIWGIFFLMWIYSVFNVIDRLAIRRFG GKAADNSKKVVISESIKLLRLLVLVIVSMYIYLQMWQDQSLLKYSFLGMLGGAIALGF AGAVRTDETFVSPKRTQHCLLDTQRRGGIVPRSERPIPSGRVHPSRRRPRPCPARGAA VHCHLDTGQHECVCSEQFLSV H257_12053 MKQRRFLAVAALTGVMGVVMADGGSSAVGYARCMKDSSCMPLNE IPAWWVCMQGQAVEYLILAGAMIFFATFLPRVTLSFMLFILGKFPFVREIVDDYVKFC TTSTTYLLSATLCMGAAIVGLLSPYLCRFHIYIWGIFFLMWIYSVFNVIDRLAIRRFG GKAADNSKKVVISESIKLLRLLVLVIVSMYIYLQMWQDQSLLKYSFLGMLGGAIALGF AGAVRTDETFVSPKRTQHCLLDTQRRGGIVPRSERPIPSGRVHPSRRRPRPCPARGAA VHCHLDTGQHECVCSEQFLSV H257_12054 MTRKCRDLTRWFAHVSVLSGATSRATCPARTVRHVQLKPVLVTK ARDLVVTTTTTNMQQSSAVDGRNDDATTIVIASRSTSTWPAAPSHSFVRGVNHLPGYI LRPVVVVVGTQAS H257_12055 MVKIIVAALSAGVASAFGTISEFPTEMTSLMDQTVDPCTDFFSY SCGTWYNKTTLDANQSTISVLTVLAAAADKEIEKLLNAKLPKLAEFYDACMDTATLDT LGLAPIEVHLKAIRSANTTDEAIFRGAAISKATGVPMFVKLSASHDAIEITRNVLNAE PVELPLDHNDFYGQVWSLIEEPYRNYIASIFRLAGHAEAEAATNVVIFFLRTTAGVDL SNRRLQSAVTSDNIQLSLSAANASYPLGVGLQLQAFGFDVRERRASPVLTVDSLKTII EYKVLDFNAPFLSTPFVEAHSNFL H257_12056 MICRTQVETSIGELLGSYYLKEVWTDKTAAEANLLVLKLKAAFM TGLESAEWLDDATRANAQDKLSKLTHLVGGPKNPKTYPTLTFDPKAYIANLNKVSAFD TTFNLALIDTAVDKHLWNKLAQSMNAVYQPSKNRIVFTAAFLQPPFFDAKADPYDGNG KKKPWWTETTAKIFREKAECFVDQYRSMDVKSELTGDLLGKLDGRRTRGETIADNGGL NTVYRAYRDFVNAEVEATKYTKEAGEKMFWIRYAQSWCEKNSDARLQFLLTDAYIANL NKVSAFDTTFNLALIDTAVDKHLWNKLAQSMNAVYQPSKNRIVIPAAYLQPPFFDAKA DPRYDGNGKKKPWWTETAAKIFREKAECFVDQYRSMDVKSELTGDLLGKLDGRRTRGE TIADNGGLNTVYRAYRDFVNAEVEATKYTKEAGEKMFWIRYAQSWCEKNSDARLQFLL TDVHPPNRHRLIGSVQNSVDFAKAFNCPVDSPMNPTKKCVMW H257_12057 MRSLWTHRSSSSNVSERCMNSRRSHHAQGNSGRRRWRCINIWDR KCFERRAFPTKRPTNSKHRHAPCAPLGSGRTGKDTTATSAAMSSVDRVRPIASMPTTG RLCARAIPAWLISIASSNTPRLQPVHHHLPSSLTDRQRPQLPPPPPRPCTLTCWPRGS MTGGCRRWWAGWRSRSCCFPLPSESHRTPRPC H257_12058 MVAQLDLGGMLPALRFRTLYELETFPGNSGRRRCINMWKRHNCH VVCGHVICGPCSTHRIHTNYWTTLRACDTYVADLHSNTPQLADVCKRAKTATPRINMR NVVVRGFDPQHHRCSLDSALSSRRTRYRTTELRRWQSEVLTRALVGTARRLPTHPHSA KLAWVSISSMARPREAAAKNGRKPLGACCSSKRRLLGERPRVLALRDVGLPTWHLTMN RVKLAARVDSCSTSCVGNATSHDDHAIRMPFALEGMAWPGPSATAACAVLSRADSPPS MCGGLTRIQVAVDVHRPLWPPQAAHNATGCGPHGAMNS H257_12059 MGRLVFDYRRLNAVTVRIDETLEQLGGAPKPRKTNDRSFVHLAG CLKHELCAQSCASLVGLGAALMQDDDGGGGMLPVACISHTPTQAKYGITELEGLAVEH RRHASTDGGTRNGPSRADATPPPMKPPPCRNSRQRAASVPTDSPSPSVTTVGRPTLRR RTHAKPLAISRAILASHQGGPRNQQPSIDEMGSTTRDGQRALHRGVWRVAIPGLVCCC HLPSGMQHYDKPMILHCPVISAMHTLLSGCGAIFGGRVWASACKDCGSRKPKQLFSQP QNTTSENALSSLPPGYDGGLVERFNRTIKAAINVAPTQNDEWLATLTYANNTATHGTH GFAPTMQTNSSIGFADPRGFDNWSVSGT H257_12060 MRPTISSGTTDAAKRHRWAPCVLGLTPDGEELDKTRTSARRARA RTLARHPAASRLVRNSPKPSAKEETTGEVSDGGVLGWSLVVVDAWENERLKPSGRYWV PWGAHVPPCDTAIVAACQRGGWDKFQPPISPQCSGSSVRSRPSKRKTHVPLH H257_12061 MVEDKWDDVHGPLHHHTTFCVVDRVAVALHYLSHSDGQYCVQVC KVIRQRYLSAVVCLPTRRPDWEKVRLGFVSVMGFPNAYGAIDGSLVPIKRFTDHTGWY CRNGFPAFNIQAVVDDKLKFMSYSIRSGSQNDKALFRDSWFGKSSPPLWRLHPR H257_12062 MLHKSCVAKRDRPPAMFPTLPPTGVFGDCGRHASTPVPAHLKSK LAIHDIVLVLEFASNVLSMQTKAYKSNQVPEAADGGAQVVSVHLLSCGSVISVPGHCV LRLDGHYSLTSACMTSHAHAEWSIRQTMTNDALTTAEKTECIMAFQDLPNNSRRNRAN ADTTRGNCRRSHFTNLTRAV H257_12063 MPKHVEELQAAGLCYRNPRSKWCSAPLIVKMPEANDFRMTVDVR PVNAQTERIIWPMPMLEVILDHLAARFFRLLTTFCDVMLKRLSDKFAKAPVSATSGEA KEEDDVSLFGEAPVAASSRTNSGSQIVSAETMAAASAAASAAASGMFTFMKKAQSAAT YAAKEGSAKVKKALDAADMDALQRKLNHALDGHASVDISTLNFTYVTDDIIAMGFPTR GSGHKLGPIKDNPIDVVAKHLNTEHKGHYMIWNLSEESYDYTYFDNQVLEFYFPGHPA PPLGLLFKICASIESWCAADPNNIACVHCLTGKGRTGTVVACYLAWIGLFDSPMEALE HVGDRCRTPVERLTIPSQRRYLSYFTNVLDGVKPRSMPLLLRRVILNQIPIFGSRTTV DNDMGDLSQVMEEEGCCPYLQIFKGGKLVFTTTWTAAKSGGVPWVSTSELSTSFSVDC MLQGDILIRCRHLTDAGGRVSMFRAAFHTGYIPLGILRLTKGQLDGACQDNRFASDFF VDLIFAPVQSTASDDQDGRSEGVALSAQDKHAYDDMLTKDEQFWSDVDQRKARMLETK QDEGTKKKMATTFSIVADVDDVHVEYERKGSWDEGREKDLMDALKAAVPLPSEEQDDG HDDDDGVVQDNILELEDTADDDATFDFADDDFDELEKYLTTLTTNE H257_12064 MLPTFASSYGSDTDTPAMHRPLLPKSTKARAARLAVLSATLIMT SGAGVYGMFDTSQLCQANDLMTLESSTTTLFPFIRPIEQSPPLPLPWTNQTTIHDLFG VMDVDGSGSVNLTELVAYLERDSHDTIRAIQTAATSSTKQVKDEYFHHATCLANAFNA VVPEGGIVESPDQLDKVVQRCRPPLSPPLMAAIPTTTATPANSTDQVANKLYSRQEII AFINAKTKSPWVLQCTLDALDQEFPSTNGSLTRHDVDGILTLVAQCVTSWLVRCHRHP NAVATFG H257_12065 MALLLLLVDTPVQDVLLFHWPGERSTNDSTTSDPNAAPPRLPRQ APRNKRSALAGNFAGCGSMDGKTTRYCRRIGARRGLEVRDNDVEHYRGTWSGYGSWNI AEWATKLRNSTFQVVLERNPGTPIQNVFVHVVDVLITWICRQDTSTLHRDVLVHTLIE MRDLWSTTQLARLNSSVV H257_12066 MDTATLDTLGLAPIEVHLKAIRSANSTVEAIFRGATISKATGVP MFVKLSVSPDAIETTRNVLSAKPVGLPLDQNDFYEPVWSLIEKPYRKYLAKIFRLAGH AKAEAEAATDVVIFFLRTSAGVDLSNRRLQSAVTSDNIQLSLSAANASYPLGVGLQLQ GFGFDVRERSNTTTVVVRNFRYLDDIEGLLRVLTVDSLKTIIEYKVLDFNAPFLSTPL KMASKNPRRGP H257_12067 MPAMVKIIVAALSAGVASAFGTISEFPTELTSLMDQTVDPCTDF YSYSWGTWYNKTTLDANQSRTDTTYTAIEAAAHELVEKLVDSKLPKLAEFYDACMDTA ALDTLCLAPISSPTMTQVVLNRGHQAAATAPTTASNETEEEVPVTEPPLVPVVGGTTD ELPAVEESKSEEVRKTMEELAKANKVTGSARAASMTWYESRRRYGGQTMGPNRRQRSP MPRSEQKKRRKLELSYVRNDGIDRTVLLTVRKKKRNTKKNSVSKLSGGGSTKPIVPQR SSVTTMPKWRVRPMIDGCWTPPCQAVRDGDLVQVDHRRQAAEDGGPTSTQWTFIVPVE QHSEDDYRDRIMDIGGQPATKWATTKSDMQAYCRALSVDPHGDVTSRLVSFMERVHDV IDEYATEWKSK H257_12068 MFVKLSVSPDAIETTRNVLSAKHSEFPFDRKYLILIEKLLYAKL PKLAEFCDACMDTATLDTLGLAPIEVHLKAIRSANSTGEAIFRGATISKATGVPVFVK LSVSPDAINYPQQPYRNYIASIFTLVGHAKAEAATDVVIFFLRTSAGEVLSHRRLQSV VTSNNIQLSLSAANALYPRTVGLQLQGFGFDVREGSNTTTVVVKLHRYLEVLDWLLSD MSVDDLKTIIEYKVLDFNAPFLSTQFVKAHSDFYDKVIKGLKEPPSRAMICRTQVETS IGELLGSYYLKEVWTRKTAAEANLLVLKLKAAFMTRLDSAEWLDDATWANAKDKLSHL IHLLGGPKNPKTYPTLTFDPKAYIANLNKVSAFDTTFNLALIDTAVDKHLWNKLAQSP NAHYEDKILPHKNC H257_12069 MVPALLTRNDLEEVRSFESKNETPHKTLRDLDTALQEEVRQRHM MERLRDDEHQLLRNSKGTIRELREILSNEQSRYEQALFNEKRRREDLEQSMQGSFRKE CERTPTATDEKKRELLWTLTVLCSGTRKFVYDKRRRLMNQTFIGLTRNWNVNGPKYKW NGVYWTYNNKPIDLETFSLKLPV H257_12070 MPVMVKIIVAALSAGVASAFGTISEFPTVMTSLMDHSVDPCTDF FSYSCGTWYNKTTLDANQSTINVPTVLEAAADKVIEKLLNAKLPKLAEFYDACMDTAT LDTLGLAPIEVHLKAIRSANSTGEAIFRGATISKAIGVPMFVKLSVSPDAVETTRNVL SAKHSEFPFDRKYFYGSQWANIEEPYRNYIASIFTLAGHAKAQAEAATKVVLNFLRTS AGVDLSNRRLQSAVASNDIELSLSAANALYPRTVGLQLQGFGFDVREGSNTTTVVVKL HRYLEGLDWLLSDMSVDDLKTIIEYKVLDFNAPFLSTLFVKAHSDFYDKVIKGLKEPP SRAMICRTQVETSIGELLGSYYLKEVWTRKTAAEANLLVLKLEAAFKTRLDSAKWLDD ATRANAQEKLSHLTHLLGGPKNPKTYPTLTFDPKAYIANLNKVSAFDTTFNLALIDTA VDKHLWNKLALALNAFYQPSKNRIVIPAAYLQPPFFDAKADPSANYGAIGFIIGHEIS HGFDNHGRRYDGNGKKKPWWTETTWKQFSENSECFVEQYGSMDVKSELTGKLLGKLDG KMALRETLADNGGLNTAYRAYRDYVHAEAEATKYTKEAGEKMFWIRYSQSWCEKNSDA LLQALLTDVHPPGRHRLIGSVQNSVDFAKVFNCPVDSPMNPTKKCVMW H257_12071 MRTPYGSENKETDEFLTRMTSLAAPHHPRPQSTRHRPAMLCVAF FATCAAGALGLVSQQRHTVAATLQTSNFDSLDVMPPPSNTIVFPWQTIGLNVPANPAP FVANWTSIDANADGSISLNELLGYLTSQKDAQVQRIQAAAAAAVAQVQTTFDQHSGCV KTAYAVLIDAKQPSDKKEILTAAELGTVLKYARDQCYNKLTPSPTPNVDGGNVVIVTT PPTTTTTAPTTTTTSTTTPVPTTTASWAEPSTTTTPVVTYYVPSRDEVLKELAASVDV LANQTNLTYTFAHIVLNQTRDNVTAKIDTKWFNSDGERAVAKAEVAKYFGTLSSCVDI AMAVFGRYINVLDAFELAPALEWIKSTCMNTADAKFGKTDTNQNNVVEEFEVIAAIVK IRDDKLSTMVNLTDPTAYQAAFFATRQLYSQTMECAHNGISELGDPITRTLNREQFYG LEAWMLSHCSIIQPDVSVIGLLPTATGLAGNFSLANLQSLLAEAKANDTQAVPANDTY HAATIDDHYRLVEVCLNGSYAKLNVTNETTYATLLANVKTCVAQSVPLTMPVGIFLSR PEFQALLELTWASENANLDSQIRQAQAALDKLKAKKAALAACIVQAVDSAAAGEAKIS QSQLVPAQSFTKQCYVKATAT H257_12072 MEEIVLTLVTRRGVVRPTICSDVEVATMVGRHGGPSANAGWMGE VAGLAIAETTVQYEYPLGAYIVTGATTPSETASGTGILYTDGTVDKADFTDCKKVESL TWDTAGHYVANLIAVQVLLSLLAMALTRSDFYVTIPPPPKTKVMTYICTICWPDWSVS SCCSWWSLLYADVARIYRGTANCDLIWLSLSTILVRIFFVVVNVTTDRYKIAQTSKTA YFSKDTMTLSTVCHLVPTLAKLLTIIPEQLSPQIYGMIDKNSFTSQHTSDD H257_12073 MLPTSGGGGDGKAKYKNRYEVLQQTYEERLQSLSDMFQKSLDEI HGDESLAILQQDNVSSDYVGVRVLELVGHALRDEKEHFIRTLTAKLAKKDASLKELVR EKEGMTMQRKAMLDEMHQVASHVEALQARYTAMSTDTSAADAEVQRLRHENQSLIEEL SARDSDRAKWDDERRGFLLMQQDLVRLQAIHAKDAAFYADERATSTASIDRLTAKVDD LEQSRAASTAECTTLRLAVQEKSIEFMHAQDAIAALQAQVQALSPLEAQLTELRATHQ AKLHEVDMQLWEARRKYTAVGDQVQGLIHDHDLDRAQLVATHENDRAVAADALRMCEE RCQQLEASSAAQLAALHAKIEHQDGIIQETTRQLHAAREQVERSMAERAASDLEMQAA TAKQALQNALDKEKNARSTLQDQFQSYKRVADGKMQALQAALQAKQDANDRLEQAEKR MLQWQDDAMKKHDKLVQTIKTRYQAAAKSTEDCDARKADSASRQELDVLIQAKVAECT AKHDVPGEFIRMSQHKAELDKMALQLQSAHVQDKIQWEDNQKLLLDGRLDSLRTEMDT WKAQLSEEKVKRDELQAALMHEHKQHMDTRERLDEQAMAKTILVQRLEQANVNVGRLK ALVVDQQSALRDWETKFATLRRDYDDSQSNVATLTVELGHSTECVTQVKSDVEMHIQS IHELRTNQTAASDALLSKLCDKDASLASLQEKLHVTQVTQTSLLSQAKADFSAKELEW TGQVTELQAKVDVLTNEIHIQTLAHAESEDKIQQLEADVDRWRQEADQNQVELASLRL KKRQIKRTLETKLDEVHATTTHAQDKWNHQVQMQEKARLYQWTRYIAVLTTKLAALRG DLRTCHVTIQQLLEQQQPHIQYLAVQIQAKAATLLQNLHSKYTQQLSDENQKWQQRYD SDLDQLGRQHAHDVALLKHSHDTELLQRVELYQATTAATQVEMAAMIKERDDELEAVK VKMDGHLRNVQFLEKELEFVSNGRAKDVEELKKCHVIDKQLQLDTFQAAIGHVQTQLS DENLRFAALCQALTRIARQVAQPHDEDWAVTILAESAVPKFKKAVQKWMDEIIQRILA NQNAAIAAATRPLQDEVARHVLRDKSTSELAMPLVPNMAEELAAAKLTIERLTVSCNH LQDLVTTASQEKSQLERTCDQLENDKLELRTYLDLADTARQTAQVDFSTQLQHAFNQS EETLHQVKTAASHEMDRLKRECDQAVHNMQEELDHQTRQVTKLKVAMAHQEKQWKEDV QALVRRNHALAKAARDESFEPRSRAAKDGDRRIRKAPTSNTTSMRELSVLMETNLHAS RQKFNANQRHRDDNDS H257_12074 MAPLTPEEETLCINTVRMLGADQPTAGNSGHPGAPMGCAPMAHV LFGKVMTYNPTNPAWTNRDRFVLSNGHACALQYAMLNLTGYDVSIDDLKSFRQWGSKC PGHPENFCTPGVEVSTGPLGQGLSNAVGLAIGEKHLAAVFNKEGHEIVDHYTYVICGD GCMQEGVTSEASSLAGHLGLGKLIVLYDDNLITIDGSTDLSFTEDVGKRYEAYGWHVQ TVTDGNYDHESIHRAVLNAKAVTDKPSLIKIRTIIGLGSKIENSYKVHGAPLKADDTE AIKAKFGLKNEPFYVPDVARKFYDHKEAGAAAEAAWNAKFQAYAAAFPADAAEYTRRF TGGLPANWKDAFPRFTPADKGLATRQFSEKALNAAATVFPELVGGSADLTPSNLTHLT MTGDFQKHTPVGRYLRFGVREHAMASISNGLFAHGGLRPFCATFYNFIGYALGAVRVS ALSQFGVLYIATHDSIFLGEDGPTHQPIEMNASLRSMPNMYLYRPADGNEVSGSYIEA LSNPTQTSVLTFTRQGVPNLHGSSVEAVATGGYVLQHIVHGQLDVSFAGRPDLTIVAT GSEVSLAIDAAKLLTTKSVRIVSMPCRDIFDKTSPEYKLSVFPSGVPTLSVEAAATFG WRDYSHAQFGLDRFGASATIEQLREHFGFNAAAVAAEANKLIEYYAGRAAPCLFDVPA RRFVKEGHH H257_12075 MAQEPVMSSPVVEVDATLHDCNVGRLGSDDDKSSFMWTSSTSQI GIVDVHMTLLPSGWSYHVAYDILVSFPDLHKEWTVRRSHHDFVELHRHLVSAFHTTLG HRRMTLPKESRVEFQVSVEARYAQSAKRMRERLNAYLHRLLELPDIPASAAFRGFLSP RSTDLASSSDMKQTAADLSPSSSASACSTASAHLSDHSSPQDMMLRPPALPPLSSVVQ IPSACTSVVLYGSSISLRTCGGLRLGLTKRSAWSGSHKMAAMASGAGMVLLSGPVGLP LAALGTLGTRHWNKAASLSVQPKHLVKHDEFIIESASPFSGAARPVHFNDLIRLYSVS KRQYLKLVESSSSHNRRGYVTSCSQSSTVFRWVSPLHVSDGPIVCGSQVCLQVAHELL TVHKDFITTGPSPAVCQLLVRHHPPCLAAADGAVVPRREPVSVRILTYNVWFLPPLLA SLLRLSPFKMERARAIPAYLPVDVDLVVFCEVFDLAAKAVLSAEMKRRGFLYETVSGR RSRLKATDSGVFVMSRYPLDDADELLYGAAATGDDKVADKGAVYVRMTKSGQHIHVVA THLQAWKTDAAVAVRRTQLEMLARWIHAKNLPRRDAVVYGGDFNVDEADKTEYEWMLS TLNVKNPPTVAGTTGFSFDPGTNVLAATGKSSGGHVERLDYVVYGTEYRHPGTSSTQV LRLKATEGWTDPSLYGGQIVYDLSDHYPVLSDFHFD H257_12075 MELPRRVRHPSVISRPAQGMDRPAQPPRLCRAPPPPRVRVRPHV CPRESPCSSCSRRFHTTLGHRRMTLPKESRVEFQVSVEARYAQSAKRMRERLNAYLHR LLELPDIPASAAFRGFLSPRSTDLASSSDMKQTAADLSPSSSASACSTASAHLSDHSS PQDMMLRPPALPPLSSVVQIPSACTSVVLYGSSISLRTCGGLRLGLTKRSAWSGSHKM AAMASGAGMVLLSGPVGLPLAALGTLGTRHWNKAASLSVQPKHLVKHDEFIIESASPF SGAARPVHFNDLIRLYSVSKRQYLKLVESSSSHNRRGYVTSCSQSSTVFRWVSPLHVS DGPIVCGSQVCLQVAHELLTVHKDFITTGPSPAVCQLLVRHHPPCLAAADGAVVPRRE PVSVRILTYNVWFLPPLLASLLRLSPFKMERARAIPAYLPVDVDLVVFCEVFDLAAKA VLSAEMKRRGFLYETVSGRRSRLKATDSGVFVMSRYPLDDADELLYGAAATGDDKVAD KGAVYVRMTKSGQHIHVVATHLQAWKTDAAVAVRRTQLEMLARWIHAKNLPRRDAVVY GGDFNVDEADKTEYEWMLSTLNVKNPPTVAGTTGFSFDPGTNVLAATGKSSGGHVERL DYVVYGTEYRHPGTSSTQVLRLKATEGWTDPSLYGGQIVYDLSDHYPVLSDFHFD H257_12076 MNDQTFKEKRREYVQQLRDLLGQGKIPIWIDETNFNLFTSLTKA RSLCGRRAVSIRGGTQKGKNLHVIGAISTTNIFYCTHKRSE H257_12077 MGPSPYGSIREECRMQYLEHTADEVIRGIEPNRLSRFALRLEYF YGRADRLEDMEVGM H257_12078 MEDPSSGNDRAMNVELPGSDHDEEREDRSPLDRSASPTAGSAFQ GRFQDRGRLRSQETVRRLFRSPQGRQQPVAVDESWTYGRPTGPAPDRTAPTDGPAAEN EDADMGQTDVYLLNASALPKHSTFKGSTKEERRTFIAAYNLSISQTTTLTVNGTKPFV MPVNVCIDPASKQRIAEWDMGKDPYEATEAEWVAWFRQGYDVDPRALDKLKKRIKAAV VLDMSVQDADSRIGKILDGLAAAIRRDRQEWVIKEESRAIVKIITDAVKSVSLHRAVT EQMALTRNKPLKKDVYRFVRWLREYAIGHERFVGYEEDTKPAAKPDPPKTNQGGTHGL RTPPTQSTPRAPATATTPQASPGLTSANSCLKFKSTSHRVRECPGITEVEAVKLLKAH GRSLGRGRSDEGVLIVNVSLLDSGAALSVASGGLVSSLLAAGAAPEITTIGPFSLRPY GADSRPVVVTKQVRFGSLEFKTGCGPLMLSGLRVWVDGAVAGVELTLGLPVMQKLGYP SLWKRRWWTTSTTTKGCAARLQTGVRTFPGHG H257_12079 MPPRSPRRTGDASVAVRDLADVRKHLSFAHRRTAYETLLSVAVD DVLPRGALTELAQMFSCHPRTISRLWTQVRLSLRGGHCAADVASKTKGNSGRHALRTS DEIEAAIRNVLQMQRQTLRSLSAAYGIPMTTIFSHMKKNPRFKARSNYVKPHLTPANI EERLKFAMSFVRPLPSGRHLFNDMHDYVHVDEKWFYLMKVKRRYYMYNDEEVAARAVK SKRFITKVMFLAAVARPRYDPHGKKEWDGNGGVWPFVQVAPAQRGSKNRPKGAMLSDP LLTTSVGCMTVVAIPVSSKVS H257_12080 MGVADEVAQLFDCIPSTVRRIWRRGSVNLSGSKTICSSISQLKK STCGRKRLHKDLPKRIQAIPQSRRYCASPGSLAWGCQNRTCTTTSNKASLQSTRWALD HVCDRDGAKFFDDIYDTVHVDEKWLEKKVHGAIGEKIKQRSCKSKRHLLKVMLLTDVA RPRWDETCGEWFDGKLGTWHFSEIVPAQRRSGRRDARTPVMKTVSVTRETYKAILVDK VCNPRQVATRDVDVVAAYNADGWDMEVVFQPPNSPDLNVLDLGFFRAIQALQAEKHSS SLEEIVAATDAAWDVVSTKTLNKNFLTLQRCLQEAILNKGGND H257_12081 MVQYQFIALAATATAITAKISVQLHRNLEIAKQSNVVVKFHCDE ALATHRRRLKGGASRTETIESLVNLLKEHTTKSQASVKSLLANQVESTAVEVATTWIQ CSMYINNAPTDLVYKFSALPEVKSIYEPVTMTLDETQSNDKPASAVNDDIDWGVKKIQ APALWANGIEGDGIVVAIIDSGVRYTHESLESNWRSEYGWFNPYNKTNRLPIDPDDHG TSVMSTMVGTKGIGVAPKAKWIACKGCKYGWCVEPMVVQCAQFLLCPHDKDINKCDAS KAPHVINGSFGWHRRDFFLEDMITMWREAGIIPVFAIGNYGHLGCGKSIYPAMSPQVI AVGFTDSSDFLSVNSSLGPSVMDYKLVKPDISAPGYDIRSAANSSDDGYLLGSGSSMA APQVSGAIALYLSANKGASYDQVYKALTENVDTDTLIPPNITCGGISNTQYPNNLFGH GRLNIFNAMAASIPGLTLPPPSKSTQVLNPTNDLSTCGTLEDNTHYIGGNLATAKLAT AESCCAECKKTPGCKLFVWYNFNGGLCRLKRTQGRKVTVDGAKAGVLPAPASG H257_12082 MSELRAKSTLFDNYATDVKLQLTERPGGCHGELMVYFSCKNKLY GLKIEASVSPEGLMVDMCNNALGLKKSDQELNIEDHGEQAGTHGSIWAFLVDKGYYGV MTDLRGVHPKKNPPIGLLERDDDERNRQV H257_12083 MGRKRQADESEAEAGDDVIRLRLLEGTRQHYNTMVSHFKRWLQA NNPVHVVGETIMLPLPENVCKMYLSYVSVKRDKQGNELLPRTFNTASTVNGYKSAIKF LYKESSMEVSSEVDSTLSAFSDGYKRHVAQMKQNGTMSINEGKSPVSFSGFVFLAAKA LGNVSVHNQYLNVHCFLLFSWNLMARAASVGSIRYDHISWNGDAMVVKFGLMKNDQEG KTCFPKHVFANPMNPTICPVLSLAVLVFTRGSRREGTSTLVFGANAKERFSAWLTKTC QVHRDEIVALGLSVEDLGTHSFRKGVATAITNTPGGPPMTSVWLRAGWSLGNVQGRYI FSGTGGDQFVGRCAAGIRQFN H257_12083 MGRKRQADESEAEAGDDVIRLRLLEGTRQHYNTMVSHFKRWLQA NNPVHVVGETIMLPLPENVCKMYLSYVSVKRDKQGNELLPRTFNTASTVNGYKSAIKF LYKESSMEVSSEVDSTLSAFSDGYKRHVAQMKQNGTMSINEGKSPVSFSGFVFLAAKA LGNVSVHNQYLNVHCFLLFSWNLMARAASVGSIRYDHISWNGDAMVVKFGLMKNDQEG KTCFPKHVFANPMNPTICPVLRYYIHLAVLVFTRGSRREGTSTLVFGANAKERFSAWL TKTCQVHRDEIVALGLSVEDLGTHSFRKGVATAITNTPGGPPMTSVWLRAGWSLGNVQ GRYIFSGTGGDQFVGRCAAGIRQFN H257_12084 MKWDCPKRQDDFRRGWARSSIFEEPRRIEDAVPKGRDVRTEVAC GAVVTREEVPPSRQEFDLPNMSMDDIFSFPDDSDMLSYSPALAVATTSTPRVETMAAY MRDLSVKMDQWLRSPHDR H257_12085 MALKERHYLTSPSLIAKNPTPWATMYAARDWSSFVTSVSLDPET FDLLLVSFAKHYPVHHLSGSGGRPPKINSINEALSLVLHFYSAPCEGKTLCALFGMPP ATLARTLHKAELALSAALKTLPTAGIRYPTKRQQREWGVLISRVEPLVRGVWGFLDGK NYPVKAPTAVDLQNAY H257_12086 MSPNKIMAKPSRGKGWTDPEVHVMLDAVEVHLPWGPAHWDIIRE TYNTSIQANDGWPARDVDSIRRKFKVLRSIRKPTGDPMCPPTVTRAKRLQQAIEAAMG VCDLPSCEQPPNEGNDSEGASDGYAAPIDALVECDAVATQRTGLSPAELIALGTLRGA EPARSETAVRRRNIDDMLNALADNKIEKQQRMESGMHGATLIDFVMKMEERDAAFRTQ QLEMQERREKFDQCMLAVFTKLLDK H257_12087 MLMVNAQLRKEGTAAASRTAARYLRHKEQLVQQVWKEFVDKGTT TTKPQASPDMFLRTRLSLTITLAQITQEFYTQDTKFKDVYVRLMEAFEDLAPSSIKGC IHKADRQLYKLAEYIKGLQEVEASDNESVEGSSDGGSVTGSNDSSGKESEM H257_12088 MGGQGRKSMIPFKGDIIAYMSERRDNNKFVRVFHLMQWIRRNQK PWLVSYIEAKKNPETPKSLCVELSQEVLDSVWLGYAVYFHTKYAAYPKHTILNADETG PPGAPG H257_12089 MGVMVGTKGIGVAPKAKWIACKGLNYGLEESKLVECTQFLLCPH DKDINKCDPSKAPHVINGSFGHLTRNFYLEDIITKWREARIIPVFAIGNHGRQGCTYS GYPGISPQVIAVGNTDSYDYLAFGSSLGPSVLNKTLVKPDISAPGEHIPTSGHSSDDS FIRASGTSLAAPHVSGAIALYLSANNGASYDQVYRALTENVDTNTLTPPNKNCGDIPN TQYPNNLFGHGRLNIFKAVAASIRGLTLPPPSESTQVLNPNDLSTCGTLEDNTHYIGG DLASFNLTTVESCCAECKKTPGCKVFVWYNLNGGLCRLKDTQGPKVDVDGAKAGVLPA PASG H257_12090 MVQYQFIALAVTAITAATDKISVQVHRNLEIAEQSNIVVKFHCD EALTTHRRRLKSGASRTETIESLVNSLKEHTTKSQASVKSLLSNQVESKAVEVATTWI QCSMYINNAPTDLVYKFATLPEVESIYEPVTMTLGETQSDDKPASAVNDDIAWGIKKI QAPALWAKGIEGDGIVVANIDTGVRYTHESLESNWRREYGWFDPYNKTNKLPDDEWGH GTPVMGIMGGTKGIGVAPKAKWIACKGCNYECNELQVVECAQFLLCPHNNDGNKCDPS KAPHVINGSFGKYARDLRWEDMIIKWREAGIIPVFANGNNGVKGCASSEHPGMSPQVI GVGFTDSNDALSPQTRHFGSWFRHPTAGHFSDDGYSLGSGSSLAAPHVSGAIALYLSA HKGASYDQVYRALTEHVDTDTLTPPNKTCGDIPNTQYPNNLFGHGRLNIFKAVAASIP DLTLPSQSKSTQALNPTNDLSTCGTLEDNTHYVGGDLASVNLATVESC H257_12091 MTTSSHVYELFCGRSLYLAYYTDVKSSASLLHKILSNELNVALI NADTVVSLFQIHAAASRALLSVQNHSMTTNSLHSELVFNLSGTRNVTDSLRRFGISNQ ATQVLVCVFDDATALDTVGIDGVLKPVTSIENHAHLTPEHIQVLKKHYKIQDLELQVT TLSDAIVSRIATKNVNK H257_12092 MESLAVQRQIRENASYLQDYFSDMSAWEKSMAKKEQQLQGSKRS AAPVRRAVAMSVRGSDGSVSIQHPLNATIDTPSKPTKAPSQHVYDKGYKKWDSMLL H257_12093 MYINNAPTDLVYKFATLPEVESIYEPVTMTLDETQSNDKPASAV NDDIAWGIKKIQAPALWAKGIEGDGIVVANIDTGVRYTHESLESNWRREYGWFDPYNK TNQQPRDTDGHGTAVMGVMVGTKGIGVAPKAKWIACKGCNYDCNELKVVECAQFLLCP HNNDGNKCDPSKAPHVINGSFGKYARDLRWEDMIIKWREAGIIPVFANGNNGVKGCAS SEHPGMSPQVIGVGFTDSNDALSPQTRHFGSWFRHPTAGHFSDDGYSLGSGSSLAAPH VSGAIALYLSAHKGASYDQVYRALTEHVDTDTLTPPNKTCGDIPNTQYPNNLFGHGRL NIFKAVAASIPDLTLPSQSKSTQALNPTNDLSTCGTLEDNTHYVGGDLASVNLATVES C H257_12094 MVMFFKERLVEPNPAQATETKRYVKKAHPLSGHELSLLMNSFGD LNPLVGAMFRVLFSMCYLRCFRISEVLALRWSDSVGAWRPCVSAFTVAQEGQR H257_12095 MNVADNAVVFPQVTYQHNGTIKVTWDKAMEQNYLRRCLNVAVER CPQLSTNVSLHSMRRGGSFYRVFESQDKRFNFRELMAWCRWGDAKTCCEYLVTKNLSD EVDPRNLLLNSMRARTTLVPAHPQVPSADAIAEAVMKMLQDQKPAQPSKTTSATKQTT LDVFVVPNTISSARSAHEAWCQCFYADEMIKADRKKYSERVTLSLAFKKLQTYELFES AYAGHTETYSSVLKEARQRKRENKL H257_12096 MLAQGYAQLGLQQTNIEIEKRNATLKSQSAFDQVRYRLPTVPLQ PLGRDLPRRVPHPRTCQPPWLLARSRRAFDYLERPHFMLQTETVTESASLQPPLYLYP VVVPPVPVAPPPATRPEYSMFGQTGRGPLNMPKPGHGPPRYPSANQGNSGSQPGQGPS GGPRGDLPMIQRPWGIGSNENFFWTTQRTTSWTGGGGDYGGGGGPPGNSYPSQPTSDA ARRSLARQVMTDARKYALKLDNVDQTIPRAFPFPVRRATRKQ H257_12097 MNTKQNKDMKAEQNLHNMNANRTEGLEASLAEASNSLRVSEQCT REAVEQLDHERAQFQLALQEQRESRLDLERSAEDVPSSRNENRKEPKKYSWNVLESRP SEDSKILSVQKTKKSWRVSLKSCRIKTAPRGC H257_12098 MKPNGTGESGATMELNKVSTKGKANKKAPPIAKTVWQLPNTTAD GEQSQPDAWSEARPELLQRIQLAYPSDSEEGTKDNPDVANRLLLSYIRQYGIGGVAEY ADRIAAPLQLAVDQELHQPSKRPAPSLMPARTSPGCVVKAKLAASAAKKEYRQTKRAK ISPVRQADEQPQVEDFLMEFVPTQHPIIPEGPALRDVEMAGLDVQLNPRPLQRLDDLE ALQDENDFVEGVLDFI H257_12099 MGARIKHKGPTAEEKTRILVAYEQGQDWKLVVKHNGVALTTVRR VLSKGHVNHLPGGGTRLGRTKVTTEIREALERYVDQNCQYTMKHLIANDFPGTELSLQ TISRHLLRINILSFNVEEGLVYFRLERGNIKMAQNAAFVEAVYQAVKTSAAWIDNFEG KRVVIVLNNAPAHSQTEERVANTSFDCRPT H257_12100 MDNQVHLHPFLRKVKLQRGAYKHVAEQLSLDPRTVGYIWRTFRD RGTTATKKRGKVGPKRAYTAEYCMSNNSCKRSQWINAPPSATLPQPPTRKVYLVPGEA SPRRSWKSKRFIPKVMLLGTVARPRIDGDRSVVINGKIGMWPFVRLVPALRNSRNRPA GTMVTKLVNVDAAVYRDFVINKVVPAIKASFPSATKRVFLQHDKSTPHGSITDAVLES VSTDGWTFNMRKQPPNSPDLNVLGLGFFASIQSLQYKKMSRTVDDVVRNTMEAFDELT YDNWRACLSPFQAVMRLVLKHSGDNHFALPHLTKAALRRAGLLMSNVSCPVSLLL H257_12101 MSDYQTADSYLYDQATSSDHTPSVSSAKRMTYVTDRNQGSYGAG VIEIDAQSQLMGSKGFASLRDSYITLPYVVTLQNNGDVGATAMGGIVEMDGKTILTDG DYKLFWNNIRAQTEWSESDRAKHVLMEVCLVMVLLTTSRMKMLLVVCNRLKLSWEKTM DL H257_12102 MFKGYEPSDEALSRFADDMVLWRDEILNSGILKKRFDYFSQYRS LLECKIPSAGFAYGIYHVKVESNGDKRFEKLFAFSKHDHYTHTSLNFVLNVYNKHHGG NIQLTLIGNTCLKYDKKDLIESSSVFRNWFSILQKFKLKFPKNKLVKHLSSSAWGHLV STNTIIKPFDDVDPDEYSTDINDTDAQ H257_12103 MCISQTLKNTQCKNKSVAGSDYCRKHQPAVAAPNVDEVTVAAPI GEEVIVAAPIAAPNVDEVEYDSDNGYICKNDTSEDVESLLLSPKTRASHDLESDEKAK AFQKMVTELNEQRKSALDLGFTDTICSSERITNDIAKLVEGMGRTMKDFEKAMVDDSF EDNELPEDRDTFSVALPFQYTYVGDVAESELPDLLMYYLKEVICNNDEEAWIWLRSYL ANIIHQPDSRTEVMLILYSQEKRVENLSDVFGERGGTSVVSKRVVWFEEMTEKKAVFR ACMDRMKTAITEKRTTYKPLYQELHETNNTNEYIACTNHLVGVLADRQTVLHVSDKHR EDHAFYTKLRANMNQDGCNKFASYLKQFTTQLPMRIHKTTIYESMLSNGAEGIDTFIN GVKSGEITFQFQHAPKFWYASKEDLYEYAYIHWCESQGDKPITFNHFKEKFQHYNRSC EYTGIRVGDARMYAFKVPVDWNKQIASDEEPEFD H257_12104 MSLLPTSAQPFGGTPLDESRPLAYTLWKTDFLSQATTRDLAGFY IEPNHVPRGNRLEALKVSKTYLELDEIDNWEFYVVDISLSEYDRNLRLSEIKAHTAAI QVKAINVEAGKKLAAQCSAAHTFLVSAISVNLRRLYQTTVCPYSLFELLKVRFESNPM DNNPAVIANHLRTLKFTEESCIDTLAVELTDPVKLYRVSMTPPSFNLLDASAISSIDY DTHIWNYHTLCAMSDTFINDKELWEVFAAVTHTVAAPAPPPTAISQPDGSYHVLNAFT IPAYAHHDAGNAAYDAVNRDLGCGRPQAATNYTIIPSSLNRVLEKPQPPSVLPNVFTT GSAPLFDIFASPSRPPLPSTLAPASALPLAPVIVEPSSLPANYGSPVDAGDLEVPSNE VLVTDRLHLYPPRPLF H257_12105 MLRGLRVWIDEAEAAVELTLGLLVMQKLGYSEQTLLENARRQQA VWDFADQPDTTPGIAMHRTLRMEELSDGIDDDEGMCCATPELGMIPSLADAEAVRTVL MAKVAEAAADGMEEPAVEALQDLLLKFQGVFRLIFGRDPPVRVEPLKVHIKPGSVPVK SGLRRYPPTHMAFLEKHIRATCG H257_12106 MSIADADSRIGRMLDGMAAALRRDRQEWVIREESAAIVKIITEA VKPVSLHRAVTEQMALTRNKPLKKDVYRFVRWLREFAIGHERFVGYEEETKPAGKADP PRMPQGSGQTFRPASAQAPPRATPAPFTPQAPTSSTPGSGCLKCKSTTHKVRECPCDC LKHMAGCLAKNVVTGAVVTVGLTPAEDEEHLVVV H257_12107 MSQSSVTGAVTPGTAPDGPQNSGGVKLNGPPNGHASSTATGWRR PCGDRNRRCTVVLLRGHQVGQKFSRRVPDCLNGPKKQFGGATVTRSKLVPRFIGPFEI VQAINDNAMRLKLPRSMSRVHDVFNVDRLKHYHPNEAKFASRPIPKATPVVLDESTGE EMYIVEKLLKKRQFNRKLEYLVKWHGQPESEATWELMKDIKHVVHFKQLVQDLESRRF KV H257_12108 MVQYQFIALAALAATAVTAATDKISVQVHRNLEIAKQSNIVVKF YCDEALTTHRRRLKSGASRTETIESLVDSLKEHTTKSQASVKSLLANQVESTAVEVAT TWIQCSMYINNAPTDLVHKFAALPEVKSIYAPVTMTLHETQSNDKSVSAVNDDIAWGV EKIQAPALWANGIEGDGIVVANIDTGVRYTHESLESNWRREYGWFDPYNKTNKLPDDE DGHGTGVMGIMVGTKGIGVAPKAKWIACKGLNNGFDESKLVECAQFLLCPHNNDGNKC DPSKAPHVINGSFGEVHMEHYLEDSITKWREAGIIPVFAIGNHGRKGCTYSGYPGVSP QVIAVGNTDSHDFLGFDSSLGPSLFNYTLVKPDISAPGESIPTSGHSSDDSFVSDSGT SLAAPHVSGAIALYLSANNGASYDQVYRALTKNADTNTLTPPNITCGGIPNTQYPNNL FGHGRLNIFKAVDASIRGLTLPPPSESTQVLNPNDDLSTCGTLEDNTHYVGGDLASFN RTTVESCCAECKKTPRCKAFVWYTLNGGLCRLKDTQGPRVDVDGAKAGVLPAPASGRR P H257_12109 MGIMVGTKGIGVAPKAKWIACKGCNGNSDCDELKVVQCAQYLLC PHNNDGNNFDPSKAPHVINGHSSDDSFIWTRGSSLAAPHVSGAIALYLSANNGASYDQ VYRALTENVDTNTLTPPNKNCGDIPNTQYPNNLFGHGRLNIFKAAVAAGIRGLTLPPP SESTQVLNPNDDLSTCGTLEDNTHYVGGDLASFNRTTVESCCAECKKTPRCKAFVWYT LNGGLCWLKHTQGPKADADGAKAGVLPAPASGRRP H257_12110 MTHSSDCCVASASAMDSLDSGRQRTSSSRRS H257_12111 MVQYQFIALAVTAITAATDKISVQVNRNLEIAEQSNIVVKFHCD EALTTHRRRLKSGASRTETIESLVNSLKEHTTKSQASIKSLLANQVESKAVEVATTWI QCSMYINNAPTDLVYQFAALPEVKSIYEPVTMTLDETQSNDKQVSAVNDDIAWGVEKI QAPALWANGIEGEGIVVANIDSGVRYSHASLESNWRREYGWFDPYNKMNKLPDDEDGH GTSVMGVMVGTKGIGVAPKAKWIACKGLNYGFEESKLVECAQFLLCPHDKDINKCDPS KAPHVINGSFGHLTTDFYLEDIITKWREARIIPVFAIGNNGREGCTHSGYPGISPQVI AVGNTGSYDYLAFRSSLGPSAVNNTLVKPDISAPGEHIPTSGHSSDDSFTRASGTSLA APHVSGAIALYLSANKDASYDQVYRALTDNTDTNTLTPPDKDCGGIPNTQYPNNLFGH GRLNIFKAVAASIPGLTLPPPSKSTQVLNPTNDLSTCGTLEDNTHYIGGDLTSVNLAT VESCCAECKKTPGCKVFVWYNLNGGLCRLKDTQGPKVDVDGAKAGVLPAPASG H257_12112 MKLWNVIGFAGHKLGALTVVCDVDLLGGGTLHLAYYTDVKNSAS LLHKILSNEVNVALINADTVVSLFQVHAAASRALLSVQNHSMTTNSPHSEHVFNLNQA TQVLVCVFDDATALDTVGIDGMLKPVTSIENHTHLTPEHIQVLKKHYKIQDLELQVTT LSDAIVSRIATKNVNK H257_12113 MESLAVQRQIRENASYLQDYFSDMSAWEKSMAKKEQQLQGSKRS AAPVRRAVAMSVRGSDGSVSIQHPLNATIDTPTKPMKAPSQHVYDKGYKKWDSFDVVR CCSEGSGHTERHSQQGEEDPEEAPPARPTSTRPKKAVTATPKKPSPSPVNAPRDLLEK EDGNMHFKQGEFAAAVNCYSRSLSYNPRNPIVLSNRAMAHLKLQQFGKAEADCTAALA VDGGHVKSLVRRASARNALGKHHAAFADLEIRPSTFPSAQFSETHLVTIRHMCSALEE QEAWSLIHALVPPAQPHLVESFARHGENPGQDIAVQAQSLASERDAALQEVADLNARG RALEDTLHYTTARMSAQTASKPKQRAVKLEFPKYGGLASHQLLRCIKQVSRAADAMNI DDDEICVSSPCRTLLVAAFLLANSDFRYRAEYLSARQGKRSIREYVHDLRFLASYVTQ KSSLSERLSFFGHILRQSKMPSALAEEFSVLQSRTTTKTRDRHDMEVYVMTSPTTDRR CFNCNRPGHFSHECRQPRRGRHLLVVLLHPLHPALRIPLSLAHAAVASFLADGETGLL TRTAGPASDTVIRCQIGRQPSRMLSCPSRISGYDRLFRVLIDSGASENYARRASIQLY RSIYHGATRLASPHSVVRVKMADGKVVASPKVLVDLPTSVGDFVSTEQFYVIDLDDRW DLFLDMRWLEKHQPWIDWRSKTMRKIASVHPSTDLGVMSNDLTPFHASHRIGYEAGRT SATFGDDSRTTMNGDAATFGDDSRTAMNGDAATFGDDSRTTMNGDAATFGDDSRTTMN GDACDLSELPLTAADITQLPEMSYLSFSRALRGNDNISNAFITGSQEVDLLISSTTDD PVEEDVSRPSSSVWKDLESNPYYDLVHEFQDIFPDSVPECLPKDKGVRHDIDLVPGGA SLDSGHFRATKSRTLTSSLLHASEPVVCGRASLRTPVPLSV H257_12114 MVPRVPSGLRGRQGEPHHGPSQFWLCQTFAAFFVVCDASIRAIG CCLMQRDDSGRDRPVSFQSRQLHKSEKNYPVHDLELLAMYYALKKFRVYLLGSEEFVV FTDHASLRTAVHSPHLSQRMQRWLSFFSEFNMKVEYKPGRDNVVADALSRLPPVGRQH GALLHLHAIVRDGLLLYSTNTTDCSRIAVPCDYSLRQDILHELHDAPSGGHFGRDRTY TTVARLFWWPRLHKHVARYVASCDVCQSIKAVASTQAPLQSLEVPSEPWESISMDFMA FAATDAATLAFGYALTGGRNTLWQSPSGQASQLSAFLFARVATRLNMSSADLPETDGQ TECANRVVEDVLRSYAASKPRTWSSLLHQVEFPYNSSVHASTGFSPFYASRLRHPRLP TDTGVSTLSVGGISPGPRTTASIQEFLRL H257_12116 MGVKKIQAPALWANGIEGDGIVVANIDSGVRHTHESLESNWQRE YGWFDPYNKTNKLPDDDDGHGTSVMGIMVGTKGIGVAPKAKWIACKGLNHGLEGLKVV ECAQFLLCPHNNDGKKCDPSKAPHVINGSFGYPTSNFYLEDIIAKWRAAGIIPVFAIG NHGHQGCTYSGYPGISPQVIAVGNTDAYDTLAFSSSLGPSVFNNTLIKPDISAPGESI PTSRHYSDDSFIRASGTSVAAPHVSGAIALYLSANNGASYDQVYRALTENADTNTLNP PHKTCGGIPNTQYPNNLFGHGRLNIFNATTQVLNLTDDLSTCGTLEDNTHYIGGDLAS VNLATVEPCCAECKKTPGCKVFVWYNLNGGLCRLKDTQGRKVHVDEAKAGVLPAPASG RRPF H257_12117 MARRGTQSAQGNLMGGNVNNQNDYLQKILAEVDPKFVLQPGVEE LLMDMATDFVHDVTSASGRLAKHRRATQVDAKDMQLVLDKSYGISVAAKKKLHAPSNK PKPAKTSVHMHRVALKRKILTAVHAQKKKANKT H257_12118 MGTKRPSKTSQHHGVEAFDFVAAGHQGEADGDEDNLGSALLDII KAVDVDRLRTVAGAGTSFECLLVIMNWMHKWQQEYECIMSSLTLYTETLSRNLDDNEA QEDTAGEIKEIEDEGVQVLAGFEKIALALLRSDSFVPIDLLDDMGWTLLMQAANCGAA SFVHALVHDFDADIEKRQHNHSLGTPALARAIDAGHVDVLGDLCNAAMANAVFKYKCD DDEAADDEVHTPLTLACRGGHENIVSFLLGQGTVDVNLKLPDSEDSALHIAVCFDMEA IVKLLLQHPTTDINATNAQGYTAAFGCSNAALVDTLLSHGLDSTIEGFQGETLLDMAL ALGDEDVATIVCTRLEADAVAAVATNQSS H257_12119 MTGTTTAKTNAKRVFSDISTESPETNGEKGRLRNLLEFAEPLDP DDIRDRIALLAITLLNGASVEYAMDFPTEQISLEDPLTTARTLVSPKDRHLFETMLSG EDLPPIDLDNEMTLVTKTGKSLQNHAHEFTNEHTKFWRDCHPDLVPLLTACSRVVKLT LAGMPKDSTLSRQDWIEYCALKTVLAAFADAQRKINESTSRMKKENVALLRITGPERK ATCETGNFKAETPHAP H257_12120 MFAKAFNTSGNTRLKGKDVKKVRLDLLKSCGIESDASFPWNLLA KAEIVKIKLAAPSRTVLFTDADSNVLAFDVHGKGDVVPSVYLLWHEPALRSHLPQLVV HSPVSHFLLRGADPMLPGVLKQSHSTDHPFLKGQLRAVYVHGNPMPFAIGDMLVDAET LAVQGWKGKAMQLLHVVFDELSKLNPQSLLSRNLPEGFTDNEILPIEVASQATASEVL DINAITLEGDEIEEKKPPSTVHVGPNEDDANDEEEGESVRSQADLDDLLERSFYQAMK VVKPSDAPMLASRFYASLVLPCRPVGTAINVKGTSYKKISTFLKDMASRGVVDVTEHD GVQTITLFRKTHPDVSRHQLHRSEMNVAVDLEAEATAGMFVPGKYAPEVVQLYRLTQQ TQLLGADTKATALHLADVRALLNEYIATNGLVHPREPQYVQLDMILTDALYPGKKKPA AGYPDKLLRQDVLQLLVSRLRPYHSIQLYPEQPVVPVVGEYRPIRIKTELTKRQKPVT IVANIEQFGLDPDAFLKDAQKKWACSATIVLHGKDVEVHIQGNLGQDVVQYLGTQYKI ASKLCSVEWGKGCQKPKK H257_12120 MFAKAFNTSGNTRLKGKDVKKVRLDLLKSCGIESDASFPWNLLA KAEIVKIKLAAPSRTVLFTDADSNVLAFDVHGKGDVVPSVYLLWHEPALRSHLPQLVV HSPVSHFLLRGADPMLPGVLKQSHSTDHPFLKGQLRAVYVHGNPMPFAIGDMLVDAET LAVQGWKGKAMQLLHVVFDELSKLNPQSLLSRNLPEGFTDNEILPIEVASQATASEVL DINAITLEGDEIEEKKPPSTVHVGPNEDDANDEEEGESVRSQADLDDLLERSFYQAMK VVKPSDAPMLASRFYASLVLPCRPVGTAINVKGTSYKKISTFLKDMASRGVVDVTEHD GVQTITLFRKTHPDVSRHQLHRSEMNVAVDLEAEATAGMFVPGKYAPEVVQLYRLTQQ TQLLGADTKATALHLADVRALLNEYIATNGLVHPREPQYVQLDMILTDALYPGKKKPA AGYPDKLLRQDVLQLLVSRLRPYHSIQLYPEQPVVPVVGEYRPIRIKTELTKRQKPVT IVANIEQFGLDPDAFLKDAQKKWACR H257_12120 MFAKAFNTSGNTRLKGKDVKKVRLDLLKSCGIESDASFPWNLLA KAEIVKIKLAAPSRTVLFTDADSNVLAFDVHGKGDVVPSVYLLWHEPALRSHLPQLVV HSPVSHFLLRGADPMLPGVLKQSHSTDHPFLKGQLRAVYVHGNPMPFAIGDMLVDAET LAVQGWKGKAMQLLHVVFDELSKLNPQSLLSRNLPEGFTDNEILPIEVASQATASEVL DINAITLEGDEIEEKKPPSTVHVGPNEDDANDEEEGESVRSQADLDDLLERSFYQAMK VVKPSDAPMLASRFYASLVLPCRPVGTAINVKGTSYKKISTFLKDMASRGVVDVTEHD GVQTITLFRKTHPDVSRHQLHRSEMNVAVDLEAEATAGMFVPGKYAPEVVQLYRLTQQ TQLLGADTKATALHLADVRALLNEYIATNGLVHPREPQYVQLDMILTDALYPGKKKPA AGYPDKLLRQDVLQLLVSRLRVCKSSNRPCPLVVKTEVNEHWVALPFDSTVPRAAGGA RGGGISTDSH H257_12120 MFAKAFNTSGNTRLKGKDVKKVRLDLLKSCGIESDASFPWNLLA KAEIVKIKLAAPSRTVLFTDADSNVLAFDVHGKGDVVPSVYLLWHEPALRSHLPQLVV HSPVSHFLLRGADPMLPGVLKQSHSTDHPFLKGQLRAVYVHGNPMPFAIGDMLVDAET LAVQGWKGKAMQLLHVVFDELSKLNPQSLLSRNLPEGFTDNEILPIEVASQATASEVL DINAITLEGDEIEEKKPPSTVHVGPNEDDANDEEEGESVRSQADLDDLLERSFYQAMK VVKPSDAPMLASRFYASLVLPCRPVGTAINVKGTSYKKISTFLKDMASRGVVDVTEHD GVQTITLFRKTHPDVSRHQLHRSEMNVAVDLEAEATAGMFVPGKYAPEVVQLYRLTQQ TQLLGADTKATALHLADVRALLNEYIATNGLVHPREPQYVQLDMILTDALYPGKKKPA AGYPDKLLRQDVLQLLVSRLRVCKSSNRPCPLVVKTEVNEHWVALPFDSTVPRAAGGA RGGGISTDSH H257_12121 MDTDEADEAHVLQELLLQEGEIDDELDEDDEMDSTSELSSQQSA VETSDVAAATTGASTTWNCTQCTYENSSSSSICEICGSPREVLDSSSVTSSSAATASA AGDGRWECAACTLLNPRQAHVCTVCSTPNPASMGVARSHRGHGLGAALGLFEKAGKDD SSSPAGISSAALEALANDKKGGVKLVDTLQAMTHNLAMTEASAEGGLGLLMGRPKFSP KTDPHLVTVLSGILKTKAKFALQPRLLACQSLNYLIKLDIRMSDGKKASEYMAMYLDI MDEVAMHHQHESLAKHLAEEATNGLEVACQSHPAAFRDLCQPDPLAKLLGFLTFANTM HVNVLLTTLSLLQKVCQRVHFHTKPPSSSSASHRKPAAPQAALPTIMAALETYIGHIN PRVQVGAIKCMTVLFHRTPLHEHLTASVTRQLLHIMVAPSTDDGGSHDASRAAVALLS ELLDESPTVFTTLVDPDVAQPLMQQLGPMLQTESVATPTLRFLSKVAARIASHQANES TTLQKFLLAFIKANAVATVSSLLKDGATLHSPSPFLVAVTTSSVAMVRLLIRKGAHVS VEALHAAADARRCDVVLVLLQHGASPNAANEHGETLHQVLAKHPPNHPVTKLLAARLS PATMDHEGVCDDGHHLHGRHHPHRPSRPNVDEVASAGGGLVLAPPSAGASASLQAREV LIEDFTRAWNDMEEDESDDHFDDDGDNDDDMHSEGDDDDDDEDAYHDMYGDESHEDDG DDDSDDDEDQDMTHDDEALPPRSKKDAKGIAADVRGEFVSYFTHALFTSLVHAVAAVD NKHVTHAVLSTLATVLVYPILELTEADLGVLLEVIHGLLMETPASGDVTTPNNNDADG DVYPTILALRLLQALSAVQNPTVVRHMERQGILERLRSIAQPTRTLNAVDAAVSSLVS DWLDTLQPLASTSLVANPVVARLNALCEHLETSCDTVLDDMLSCIDDGVTTYELTKSA VVPTLLQALSTRTGAVVWTPKWQTLVRHLHQVVGLHESLPVISYAMAKGKEFYPLTRQ LRLRMRVEKRTDAPPRSIHASPLTLFSSFERTVFRCATLRDPQWLQYAWSLVGHSIWK PSDGKWVEATVCGFESATGCHLVHCRDEYVEEVLHEETYRLVKSLRVCASVSMDLSVF GRPPALKRTTPDDDDDNDDQTSHHVRRSKRIKRQGGEVIRDDDDAAVHPRLLAESSPP YDKARSREQRLMDVLRLQDMSATTPTEGDKVWVSSNHGGSLICVCGTFVKKTTASQVE VQVSFGSSQVNVPLVVDEANVVTFQAKARPGSAASSKVQRMLGALDSHSGRNGGRPVL EHLRRLLSRSRHSDHIDAEDESSTAAVASSSSSAAAASSTPPSRAKKNKQKAAAAKPN SRPTITAEDELFTTASPPVVRVLLGLGESSDKSEDQLHWLTPDGARLNDVAVPVARWL FRSFAAGQVPAAKPSSMEADDTVESDTLAKWSLAEFSSFCKQLKLGLAPSEEYLAFSG YATTANQEDVLTWAGFSSWLVDIVCKDAKYLRGLGQYLEHLGFEAATFQSTAPSVPLM EFAPDDNLFQCLTRIHPSQSLGLVPWKCVFNVFCDFQVRWKRDDVKPATALPTSDHSI AGPPAFTQCVRLLQVLHDMFGDGTTKDTWLNPQLSRKLRMQLQDVLSVTSGTYPAWCD ELVQQTKFLFPLEMRYTLFRTTAFGFSRSLHWFRDHLESANDELTISPLPKERAKVDR SDILHSADAVLKVHAKRKAVLDIVFVGERGYGSGVTAAFYSAVAHALQCNATMHVWVR GHEGSTDDVVRHPNGLFPVPVAAASDALKDRFRLMGRLAGKALQDERLLPLPLSSHFL KLVFGERVDASDLAAIFLEPGRILSQLHTVSKALLQTTPKSDVRIENMSARDWLAAVD LNFVDPITQAELETNGSAKAVTVDNLHLYVALVVESWLGRGVSSQVQAFQEGLGEVVP LTKLKLLFVDELQLTLCGTADVEWTHESLRQTIKLAHGYTSSSEPIEHFIEVLVDMTT AQRRAFLLYATGCPNLPPGGVGFEKLKPQFEVVRRVSPDGQAADATLPFARTCTNTLH LPAYSTKAVLAKQLEYAVLNSKGVIDRD H257_12122 MTTSDGEAATVMTPRWSVFKVLQVLYENIALEGRNGISVRSLFE KYEPSGDVLMQAAIWAILRRGCSPTNPVQVAHNVQINVKDETDDLLPSSFTVMSKKRK AAPTKPGAKAKKAKTPRKKMSSSDSDSDHNVDIKSQVSRPSSVPLSSSKSAAAASTTL PQHDLIPVHDAASMSFDMAMADATLVLVANYDIRLQALGYAKVGVDINVHLLDVLEMI GRARCIGITVSHISRVLFDDDIKRMHYFLDELVNMNLVEKRILTLPPRRFNIIHLKRF AAQFDPHTMGFAGFHYAHEPHTKTILVNKLVRSMQLRHEETAVFADVAKRFDLHKRQQ ESLRNHICMESSKHPQTFPLHMFMATCRGGERSTGRKLWCVRVADPAATSRPALIKGG TEFVFPLQTTMGAAEYLYRLVEAASPEGISIPEIKDICGNPDNKWVYKKMQHMLIHHK IASQKIMGDRGVIHNFSVAPTVQPGAAPFVSNGVGVGRYSSLRQSIKNSGHSLVGDVF LERQAFLVDQIKALSIVSVGLLRRTLCAHENRRGTHGIDGRTIMRLLQPLIDAKSVEY VDVNVPWKKTAGHTRVRCAALPFVMQEESQATLRRFLDQYSPLDDMDSTTKWTDATFS IVREDHLRMHSAADKKGGGKGGSMGKIVSYSHNLTEFHSIRQKLRDQHRQCRRIGQAY GMICRVRLLHVAICRALVRLKLWPPPSTTQSGGGTDDDEPAPVEFSFQDVMAHTSVKD YCQIFGTPEPLSEADETLVKQMIYAANTMPAPEQWQKLGDVGKMLQRNQQNRAMRLVE IMMDFKLVTQLPSAPLQHESVYSSNVDEMVSRVVDQTVHGGVLKLNLHVYVAVVDERA STPLRIRGELKPMGFSPLPTIPLHHSFQSVADVEAFWRLLEIFYLERARWECVADPPM PDLPPMHVKPYLVPSVNATLALLWTDSGSLQRQRVGILKTRAKPKKRAFSTHLINPNP KWKVEGRGQQIKMRRQLPLRIKPPRRKAEKIDLTPDQEQAALESYLDKIVQHWTIKDM PTELRFFPEEDSVFVNSRVKRGRINWNAIGLEVAWPLQLVGRTRPYVGLEVKRRLVKS FLPRPSVKKHLVELEMAQVAAKNPTGRFLEEAVIHSDARAYGCLVKAVQMMLQPDADY DAAVADDLLGAFSTLEMQLVWRYLYLPGKINKSKPADTGHRRGFSFSLAMFDFLRLNA TQWPLTMCLDAAEHLGGMSTLREDGMEMQMPSNASSGFMATLLAAHVRGTADLAMAFE RTKDEVYNGMTKGYDGRRYKCAGFIGHMHRFTDGLTYDEFNMHWVLSTKPTMTKVGEE AECLEAFTCRKRTRRDVEWTCPHDNQPTACTSVKRCRTKVDHALVKAIGATHDKGLSV TELMAALFPHTSTPRRRRQQLQEQLDQLVADDRVCDVHAFDCVRYVATEHAKPWFVYP FTKNAATNAIEFQRGAPVMARPWLKMDGEVNDQFLVVVKRELTMLVVGRPGIGEQRMC EYFKGLLGLQDVRNLCFQLIDERVLYCRAIERRKQCGLFGGSNASEAPVVGEYSMDRH EMEMHYFPAVDCFGELGNAVQEML H257_12123 MLAPTPHQRHHDKVEKRLLEKFRVSNVLLDSDEHAKINEMVARV AKLAAEDNLREDGFFFADGVYTASRMYKVTPGMVNRSFVAHTKPVYGVYPFSDGVHVA TIGMDSMFVWAMDQADPVTSVGRSAVTANQCLTRGAVTGSRVAICAGSEPSTCRWNDQ AQTLTVKHRGTRFGHDDVITCSCFTPDGSILVTASMDTTCIFWQADTMALLGVLHAHH GGVSWCGFSPDGQCLFTCGALDYLTKRWAVSAWLAAHAAGGTNSTTTPPVLSQQPVHQ QRPPSASAGEIIGSALTTPANPTSLDEPAATLHDQSYHHVTPPLQPSRLLDWSARQKY NRALDSLFSPDITLQTLTGEHHLPQFCMNDDWSHNRHTQNQPDLLLPPLYEFTSSDDG TIGLREAADDPAALTQLESIDILSLLDPTSSTKHPLCHDRVSFEANDIPLDVMPVVVF ECRTTHGMFVQHTHTINWCTMGTPVVPPPPQSSAHGEVASTGTNDIVVTVASDKCIKF WHAEDGRHMHTIRHAHDRDILTCAMSSAPSTYLATGSSDSFVKIWNPSTFECVYTLRG HFDSVLSVAFTPSGTCVYSAGHDAQVIKWQVIPTEPDIPKQPVVTNVDCHAIDIAWLE PLGNGARIEKYQIKVAKANGPFGMAMDVSADDLQYCIDRLDPGCVYSFCVAAVNRIGS SAFSVPTTPVETVAYRPSKVKKPCILSDVDTRSVMLEWLVPTANGAAISHYHIRCIPE DPLDMEPTVEIRVSVDEIQADTRAAHDAIQAEIDRKAKIREDRRIAAHAKRPKRTEKS VERSEKLRKQSDQRKERLATHSSLSTTLLSSAAADIPVLVPATLKFKVSCVHPGTIYQ FEIAAENRCGIGDYNVPSSYIKTTSCAPDAPAAPTISNITPHTVDMTWEKPRHNGSDI VHYTLEWAQDGRDVESVVVLTRSLPTTVHTVTQLAAGTWIQVRVQASNVIDKVVLESP FSPWSGRVKTLPSVPAAPAKPVLASATSHTLTVNFVAPCDNGKPIQRYHVMLFIEDDS YGVVSQRFCQQLVWSLDELTTVENDGFKAELIGLKASKRYVVAMAAENELGKGDFSPV SQGVPTKPATVPNMLPMAPMVSNVHPTKVDLAWTVPSHDGGSAVTAYAIEYSLNDGPF ENELKIQRLDTTLTLDFLKPKATYSFRVAGVNAAGTATYSPPTDPITTPSLVEHTLRH YFLHRPPQEHIAATSIQKRYRSWKHATKESAAYAMYMRQCLDNWNVL H257_12123 MLAPTPHQRHHDKVEKRLLEKFRVSNVLLDSDEHAKINEMVARV AKLAAEDNLREDGFFFADGVYTASRMYKVTPGMVNRSFVAHTKPVYGVYPFSDGVHVA TIGMDSMFVWAMDQADPVTSVGRSAVTANQCLTRGAVTGSRVAICAGSEPSTCRWNDQ AQTLTVKHRGTRFGHDDVITCSCFTPDGSILVTASMDTTCIFWQADTMALLGVLHAHH GGVSWCGFSPDGQCLFTCGALDYLTKRWAVSAWLAAHAAGGTNSTTTPPVLSQQPVHQ QRPPSASAGEIIGSALTTPANPTSLDEPAATLHDQSYHHVTPPLQPSRLLDWSARQKY NRALDSLFSPDITLQTLTGEHHLPQFCMNDDWSHNRHTQNQPDLLLPPLYEFTSSDDG TIGLREAADDPAALTQLESIDILSLLDPTSSTKHPLCHDRVSFEANDIPLDVMPVVVF ECRTTHGMFVQHTHTINWCTMGTPVVPPPPQSSAHGEVASTGTNDIVVTVASDKCIKF WHAEDGRHMHTIRHAHDRDILTCAMSSAPSTYLATGSSDSFVKIWNPSTFECVYTLRG HFDSVLSVAFTPSGTCVYSAGHDAQVIKWQVIPTEPDIPKQPVVTNVDCHAIDIAWLE PLGNGARIEKYQIKVAKANGPFGMAMDVSADDLQYCIDRLDPGCVYSFCVAAVNRIGS SAFSVPTTPVETVAYRPSKVKKPCILSDVDTRSVMLEWLVPTANGAAISHYHIRCIPE DPLDMEPTVEIRVSVDEIQADTRAAHDAIQAEIDRKAKIREDRRIAAHAKRPKRTEKS VERSEKLRKQSDQRKERLATHSSLSTTLLSSAAADIPVLVPATLKFKVSCVHPGTIYQ FEIAAENRCGIGDYNVPSSYIKTTSCGKKRGSSSAIIII H257_12124 MPVRTKNPFRRRKEDCLLTVNLLNDHSGDGDAFGDFGTHSTSGQ QTTLVLQVA H257_12125 MEFNGSALTVTTVAPHAMTTLSPAQLKSMADMYWLKQFQILQIV YTVSYGIMFGLSMSLLVYLRRNRSTAYKGNANAGRKVILPSFEPLFWVIAALTGVYFC YFLAASSIDYVTPVTISWFTETVSQGRQFTFFVVAAFLLQKSVSRPALVRSMVIAAAI TVIPIISVRILDVTAASTQTSFAVTSLLRAFDTMWFVWMLVRPVSRASVRTQREFALF ALVYYASSYVYAVLILMHNYTDSAMVVFCTVIWASFAPFFVWRLLRADTEHWRGFSER ACEFQQHFRENQGMQEIVSAQGLHVLLEMHRKDLIDFAHLELQQVLGVGASANVYRGK LHSSTQVAVKVYSPTEISESTILEFSQEAALCTALKHPNIVLFHGMCICPPSICLVYE LCRGSLEDALRNSLNNDHTEPLWPKLCYMLDAARAVAYFHSFSPPFIHRDIKPANFLL DASNVVKLTDFGESRSMAFTIEDIANDNRAMTVRGTVDYMAPEIIDGKQGQALYTETA DIYSLAITLWDILHPGREKFPNSNQNHLNIFRMVLNGQRPPIDPEIPQTLQDLLENCW NSDPIFRPSAKMVVAVLEDMQEDLCGQVSHHLSGFITCLGTQKGTKYTTTPPVFTGEV LARCFFEHKYAFEKEEAIRFGNALMDAGSLHHAKHNKPFESSATTTYYFDSQQLDLNR INRCRKRCRPWGSRRTKAARRPTRPRPRPATDLACVSVES H257_12126 MEVLLLCMLLVLVIGSFFLEGSSLTWISQSGIALLFGVTVGSII VVAESYSLMEHFNFDNDVFFHVLLPPIIYEAGFSVKKIHFFSNFGAIMSTAVLGTMIA TCVTGGAIYVGGIYGWITPLSWIESFLFGALISAVDPVATLACFEKLNAPTQLFNIVF GESVLNDAVVIALYMTLNKWDSAAEFSMSGLTSVVGQTMLMLVGSLLVSVVITLFGAF LMNSTYFSRLHLFPAYEISLCIIFSLLAYFSGESLKLSGIVSLFFSGMMTSHYHFHTL SLPAQQTFRHLLHTMAFVCESLVFVFMGTSLVFIFTSKTDDGITMGNLDWGFMGFTLA LLLISRFLNIVPLLAVCNYWRRKEDRISATSMLVIWFAGLRGAISFALVKTWSHIDSS GDSHRPLIVSTTLMIVVFTTLIIGGLTGPLLAYIAKGSVEVPAPDSPKAVSEPRLSFI HSLAHGDLVNPAVRRRHPKPEDGGADDCCVAASAASDDSEGGASIAPAMPMEGVVTVS LTHKFEQTWKNVDENYLKRWFGGRKREPDHQHLVQEASRSVHEEHSHTTERYDLNAEG LLNVSTPSASPKSSVYMPASPSRW H257_12127 MAPVNASSAVTTRSPVTHGKTGVHESQPSWQANATAGMRPWAVR GAVSCCRSRTTSWPTCEPNEEHLRVFHLMRWVNTNHKEWLVQYLASKKNEAVAYQSFR SLMHRFADRHRFRHRVPCASKVNQKVLDDVWMGNPFHFWSTYSHYPRSQILDVDETGV YFDMPPGMTLVEIGNSSKCKKTTKYSERLTAVMMIRADGGTIDTSELPTYPPGHVYAV QESAWMDD H257_12129 MQQFHVGVCFGVFAYVIWGCSAIYWKQLTTIPAMQLLCHRIVWA FPIAFAVLYFHGLASATTSAACKWSVLRFYALSGTLLGINLFVSIWATNAGYIVEMSL GYFMSPLVSVFLGVVVLREKLRLWQWIAVTLAVCGVATVTFLYGKFPWIALVLAFDFG FYALMAKKAPLKSVQGIALEFAYLSVPCWIYLIVQEGQGQGAFGHSAVANDVLMVGLG VLTVTPQLLFSTAIKYIPMTIMGLLQFIGPTLNVLIGIFLYHEPFETTKAIGFAQVWV ALVIYTLDTFRSQRKAAADELLVPDSDPDDAVGDVVTEACSDSDVPPIPLPGHELDMS VEVYRPLGDKANV H257_12128 MASATQEQRHHVLSNGMLMSGALPTIGHSRSWAATQNPSAADND TDSKADEAWENDFNFDVLPETSAPPPRRDGPMDVATRVKGERLALNFRLVDIVGRAYE AINELADEEIKLKEVFSQFKGQHSVNVDDDNDSIAAWMDQVLQVLADCCCVHAVGPHE QPLNTIDRLDEASRLVVQTTNELTTTPQIPRHMVMIVQLWLHVETYALSLDKDYPAMV SAIANAVLQMKRMDRDSHNNPHFGLTVVALNEMHEAASRSCVGISPKKQASHLPKYRS ETSFGPPSTKLTHQVETLLVLRLALLSVVLTSYKHDKRHRRLCWPVVSSLTLCSAHIL SESFDIPLAMDYACLASKLAAYLTLDDSMLSAAKALQCQAQTSLQSLQHDKDWADFDE HYEHSQRMFSINWTGHLTPKDCLVTKIGSPTSQGDPVGHDGDSENSSDWDVDEVKMPH LCSGMLQQPTGSASSLASVLNLRDLFVPMKAHAPTSSFPAMLTQSMEHPAPDTTPPLP PPSSPYSRTFAAFETGNLLSNSFQSTRSMDQWLRDLPSDNSTARRRPHESPHPDDAAA VHHLTTTPKFTQEWVDMYESSCRHFVVSAPDACFQLVHTFFSDVATYLVHPSGDKTVT SSTSAVLDAGLRLCTLVGEFLPPQSVHTYLSLLQSCDAGVFVHLRCTLVDLELRVHHA LVIYEDALDSSDVWKTSLWGDLRDASSRLHSTKLETLTISQNDNNEDAPMCEVLELSM QVLLIAHCLNCGISPLTMKRISKACPILTSAVDGFDDDNHLDRLVAVLDIVRHFATHP LAASAEGTWHRLKLVYPLLPLTSPVRVRAGVAMGMTMLVQDDVHAAESIVYESIYVLH THFTLHSMTGITALTLFGDALLAVQKVEFATAAFESACHIAQLVGATLSVVDLERKLA VLCSDHGDIDRSLRYYKRIRARSLTKRMWFEYAYISVAMACLVMDRGEYATAALDIHP ILQQMQLLAPYEADVVTECQVLAIRLSICHLKLHCPHRAISTLELCLQHFQPKGAKHV VVLMWLAKGYYKSSQFGHCDVTLKTIAKLRKDYKTNHYTSVQLGGSFAKLPQPLQYSI TLERTPPHDTSSDLYPLYTKVALKRNDFDLAAHYSALSIVYLELQPPPVAATTLLQLA HAYYLRGKVLQAAAAAPWRFPMKLQSLDCEYLVSTKAANRGLYRRKSSALVRERVLVS LDECMYHSLRAFHHSYELFHGQTDTVGMMKAASGLSAVYLHKVFLPHMILQRPLDQLM KFSLARRPSSASVSSHDIKTSSCVEFEFALADIETPTKFVWDSSVVATDPRLYIDACL NMSQLHCLRGLGPDALGFWYEARDVFLSCVLCPSGASMQRSLSRLVETLLTFDKSVVN ENVVLLELVATPWTSWRHATCLKKTGWRKCARIAETSTSYQAKVLREKQPKGPSHQRH KSDTLELCTTRHTNGPTMGISVGGSARSLSTPPSPGRAPDMPRTATPALKTDGGTEND PRPTATNVEVHIHHGFARLKHHRDMQRNGKVPQDALKTDATCRRFLRQIWDHMSVFRG GCSLSLWLSSVGYDKDTMSTVVYVLEVAPALIVASVGLATGICDWKFIQQNQVTLVSA VPSTSSVPHPPILRRFRINETKALSSPLSASVVPLLFTCSSSSVTTQHKQPHRDAFHM FGREFTSCLSLIHSGLDGTLEATDRPSHDQDRHIVGGAVVHYSLVCSPSLQSLPWECM PGVAMVRRPSAFLTDTPQPATSLPRDHPITFRICRVKSPRHEHSTTIETLSPWWTVLG YPSEVTLSHKKVKFSNQIKVVPKVQSSQWDDLHHATGGTEVEIVLGSFIELNANPLLC RPTSTRLYIFAPPMYLPLLAKATLKSLPLVKKPSMFSPRRNVPAVAAAGVLQSIQAIV SAFQDLHGVPVALYSVNP H257_12130 MPLISYEGVDVDVPTVDGLRFFFESGKLIVECTNFTGKFALTKP AVAPPQQHTPSSVDLFLTPVAPKFAEGKKRTASVAVDNVTPVTVYEDVKRQKVDQVIS PDDKQLQQPNLTKTIQFLESEKENIYGSEDELELLLTQREASVNKPTQPPVVAETKRA PLKQLDPPVDITTTKKPTKVGTAKTAAKKEPKAKKTTAKAAPPPTTKLNFFAPKACDS IISSSTQDDVPSTPDSVIDVSPNSPSVATAPYSPLRAGTWKVLEPKGVAPSQRWGCTA TMVSNQRVVVYGGEGDDESTLSDLFVYDVVKAEWSCPLNCESIPRSFHASVYVPGKNL MLVFGGERVMDGSHESLSDLMVLDTECFLWYPPAVSGTPPLARSGHSCTVLGSDVVVF GGSRGRNRPSTVHLLDTNTWNWTNVKVNGKAPTSRTYHSAVAVGPNRVVIFGGNDAKK SYDSVHVLDRTLQDDGSSSWSWFNPCVVGTGPTARTGQVTITMDHRTIVVYGGWDPQH ADKVQLFGDVFALDTESWEWRRVEVDSNGMERVGHVGVLTADKSLLFFGGQDATETRR NDVTQLSLLPPHNA H257_12130 MPLISYEGVDVDVPTVDGLRFFFESGKLIVECTNFTGKFALTKP AVAPPQQHTPSSVDLFLTPVAPKFAEGKKRTASVAVDNVTPVTVYEDVKRQKVDQVIS PDDKQLQQPNLTKTIQFLESEKENIYGSEDELELLLTQREASVNKPTQPPVVAETKRA PLKQLDPPVDITTTKKPTKVGTAKTAAKKEPKAKKTTAKAAPPPTTKLNFFAPKACDS IISSSTQDDVPSTPDSVIDVSPNSPSVATAPYSPLRAGTWKVLEPKGVAPSQRWGCTA TMVSNQRVVVYGGEGDDESTLSDLFVYDVVKAEWSCPLNCESIPRSFHASVYVPGKNL MLVFGGERVMDGSHESLSDLMVLDTECFLWYPPAVSGTPPLARSGHSCTVLGSDVVVF GGSRGRNRPSTVHLLDTNTWNWTNVKVNGKAPTSRTYHSAVAVGPNRVVIFGGNDAKK SYDSVHVLDRTLQDDGSSSWSWFNPCVVGTGPTARTGQVTITMDHRTIVVYGGWDPQH ADKVQLFGDVFALDTGMYP H257_12131 MATMARPSPKRRVSKAGFEDAAAMHQFLDNFSGTNSPKRHNVGS MSYDDPPPFPKSVSLLRQAAPFKILIPEHTPLSCEKSSLDALFPAGLTPKHDMRVESG GHTNKSLSTADGFFAISTGLTPKPHRHSNQSPSTSPRSTTSSDSAGPQDSAQACDFDD LSDDGFDFLTPSPELQGPSSVDFSTELDTINNNYNAALCTPTPILEMYSGSMDATVAM MAAGYPRPMLMSHQQNPHHHQLTPHQLQHLHHHHQQQQQHQQHLHHPSSVAAGYPQLF DLSSSTSLMMDKGGQNMVHPGSWCEKEDKLLRKSVKNLGTKNWKQVAMVLGTGKSDMQ CLHRWNKVLKPGLLKGAWSAEEDDVLRTLMTKFGVGNIRWADVANHIQGRTGKQCRER WRNCLSPDINKGEWTHSEDEVMFRAQQTMGNRWSEIAKLLPGRTENAIKNRWNSSARK NWFSKQAGMPTGHHHDGMGGEDAVEVKSESAMSHFNLGDMDKAIVQHNRHRSRSYSED SSLSVEDSCTSSTIDDLDLVEDDSFPSTFLDQLSPSKDLLDDDLSLLFDTVAKDFETI TA H257_12132 MNESSSGGWSHESLLHGAMYFDLLPLVYTIEYGTMTLLWAALIW YLRKQRSTALRGSARAALKVILPPFEPMLWVFGTVSFVFFVMCVLIQSFRWPLLPFSI VSEAASQGQQFSVLFVGLFLHQKSVSMPAVVRTAILSVTAVTAPVLLTAVLGLFVMPH HIRLARYIGTSAVRVLLCGFFLHQLIRPVDRASASAIREFSAFAFTNYCLLFVAAQLA FDNAVATATYVTVASCVWMTLAPIFEWRLLKADTHYWRGLGQRMLIGHQSIQEVLSSK GLHVLLEMHRHDLLDFAHLDFASRIGRGASAEVYQGTLHANEPVAIKVYSPPEISEAT VEAFSQEAAVWAILDHPNITSFYGLCVSPPTICLVSELCHGSLADLLASQQTRGLHPV AQLCYMLDAARAVAYLHSFSPSVLHRDIKPSNFLLDKHHNRVKLTDFGESRLAQEQDR MRRMTVRGTVEYMAPEVIDGKQGHATYNTRADVFSLAMTFWDICHPHATKYPGQNHMH LFRVVLNGTRPSIRPDVSPALQELMQLAWHGDPDLRPSAVDLVEHLERLLEDECFPIV QSLHLSCKSFTTSTTGHRQGVAVVNGQHIVRNLVRLQVAGDTREALRIGNALLDMGYL HDAKHAAAFVPDGGYLWEPMYATSSRMSATSVASCSSRSTEPQSTSSLGGGVRTGCFC KQYAQGMRRRRRRNSLVRDFKKKRAHEDNLLTVELLHDHALYVGSSTQEPM H257_12133 MNTTTSTTTINGTVTNVTVLPGAKPTLSPQQQFAIQQNIFLYEQ YQILQIVYSLTYAFMFFLTMALIIYLRRSRTSAFKGDVIAANKVILPSFEPLFWVIAC STGIYTTYFFVATIFGYTKPITNRWFGELLVQGRQFILYLIVAFLLQRSVSRPALVRS VLIALVMAVVPVIVVHLLDVTSQDQLLQFVVVAAYRTILMGGFAYLFFRPMPRASVRT QQELCLFALIYYIIVYVYTFCFYEKDYQNGMILVFCAVVWATFGPFFVWRLLKADTQH WRGLSDRACDFQQLFRENQGMQEIVSAQGLHVMLEMHRKDVVDFSHLDIQRQMASGAS ANVYRGVLHSTKQVAVKVYSPSEISESTILEFSQEAALCTALKHPNIVLFHGMCICPP SICLIYELCRGSLEDALRKSRADHMEPLWPKLCYMLDAARAVAYLHSFSPPFIHRDIK PANFLLDASNVVKLTDFGESRSMAFTIEDIANDNRAMTVRGTVDYMAPEIIDGKQGQA LYTETADIYSLAITLWDILHPGREKFPNSNQNHLNIFRMVLDGQRPPIDPEIPQTLQD LLENCWNSDPIFRPSAKMVVAVLEDMQEDMCGQVSHELSGVVSYLATLKPKKSAISMS TFSGVALVQCFIDHGYAFEIEEAVRFGNSLMDAGCLHHSKHNQPFENSVTATYTFDSY QLEMNEPLEDTMTAAGGSTLGDGSGGYVGTSILGDVNATCACRKLGQGHVKPKGLRKK FFRNRKDDNQMALAVNLLNQTGGDMDFVGYPSTTSSNATGTRTLTMHMA H257_12134 MEHSSCLRPPSWSPNTSPRALYCVVHLALCSRRLLRTQREFYAY VLVYFGAVYVFQTLFYNQDTASSTAVVFQSVIFGAVTPLFVWHLLIGDTYKCSIGAAW AIKRLTLMVIIAWRRGMQDIVSVQGLYIVLELHRNDIIAFAHLELRRKIGSGASANVF GGVLHSATPVVVIVYFPTEISETVMVEFSIETALCAPSSIPTFAGSSPPPLVNSSRHQ AGQLLLGSNDVVNLTDFGEPRSMETKTSGLHCSDRKMTLRGNVQYMP H257_12135 MVAVLEDLHKDLADQGAFRLTGCVTNAFLQQSGVRLANTLMDAG CFHHAKHCMPFEIAASATYLFDTHRLDMNNHIQTISRTSRNRA H257_12136 MSIDPTSPIPASDSVAMMYLANAFHTIQIQAAVGYVIMFVLTLA LVLYLRFKRHGAYDGDVVAARHVILPTFEPMLWMLLVALGMYSAFLWTTFTFDFIEWL FDAVALAAVYAGRQFIFLLVILYFYQNSVSVQALWRATGMALVLSLFPVILEVVAKVS AIDTMSTYLLQTTFRVGLSIFYLWMLTKPRSRASKWSLRVFCVYFIGAQAIAVTYNEL YRQSHAAPELLQVATTFVVVAGYYDTALPLFVWWLLTADTSHWRGLGERACSLQAHMN NGDGSNIIPEITSAQGLHVFIEMHRKFIIDFAHLKVMSRIGRGASADVFRGKLHTKID VAIKVFTPPEVNEGVVASFSHEAALSGALNHPNIVSFYGLCVCPPTICLVSELCQGSM HDVLAIQQHYQIPQHSALSLCMMLDTARAVEYLHSFSPPFIHRDLKPGNLMVDVNHRI KVTDFGVSKQRTTDVPQRTSLRHMTVVGTVEYMPPEVIRGRGGDMVEAVDIYSLAVTM WDILHPDQDKYVTANQLQIFGLVLQGHRPVLGAHVPRPLQELIQAAWHDVPTSRPAAS AIVATLTLLLDDMLGPLADQLSQVVRYAGTSSGPKTTKDSSAHQVFQGEHLVDCMFDL ELVHNIPQAIRVGNALMDVGLLHHAKHCQPFENSSALYCFDAVHMEVERPRCQASITA TEDSMTNISTTINSASEVAECECAKLAQGFRPIGGSSTPHSLLRMKHRDTGAPLKLKL LDDLTPSSQQYKSHRHVLNV H257_12137 MGSGSSKPMDKPAANWTPINLSEYVNQSAVSNDAKAAIGAWVTD NAITGPQLLDMDTGMVLAQLRLPDGDAPHVATFLSELRTLAATESATAAIHTTLKGLP AALEKAVYVYEKYPLIIDETGQAAQFFKYQRGCFLMAGNPADVTESVLRRSLVAALRL GTTMTLCLDKLAGLELDQFFSDGWFPSQVLNRHEFSKPEGEGDPDASLFLPSDAFKFV VLCGNIPPPPRTLERMCLIRVQSQDTMKDSQDDTAGGGVAAALGLREVIRNSGEVVEA GFDGDVAAMTALLTKGFHVESEDGHRHTALSEAACQGHVEMLQCLLSLGANPNVVNDT GRSPLFRASYNGHLDAVTLLLHAGADPRISTTQGETPFDVAKGKDIAEMLTAWPVATT DRLLKERRELMEKKLQERLTSHVEREHVAKLRIRDDLVALASSTASTAAGELKAMLLG LAADAVVNADKPRGSANSRDERGCTLLALAAQHDNADVATLLLTHWKQFQDDSHPVVR KAPHAKDTYIDVFRAQVNARDMKGWTPVAIAVFHQAKRTTRLLLQHGANPRLKNQYNK SAMDFAQDELDAAMNVVTSRAEIRSVLDEWESEQLASTLENNRNKFSVGAAEPLPSDG GATLLAIEVAEEAQQKASSKKKVPGKKTKSKKTK H257_12137 MGSGSSKPMDKPAANWTPINLSEYVNQSAVSNDAKAAIGAWVTD NAITGPQLLDMDTGMVLAQLRLPDGDAPHVATFLSELRTLAATESATAAIHTTLKGLP AALEKAVYVYEKYPLIIDETGQAAQFFKYQRGCFLMAGNPADVTESVLRRSLVAALRL GTTMTLCLDKLAGLELDQFFSDGWFPSQVLNRHEFSKPEVWAPLLRPGEGDPDASLFL PSDAFKFVVLCGNIPPPPRTLERMCLIRVQSQDTMKDSQDDTAGGGVAAALGLREVIR NSGEVVEAGFDGDVAAMTALLTKGFHVESEDGHRHTALSEAACQGHVEMLQCLLSLGA NPNVVNDTGRSPLFRASYNGHLDAVTLLLHAGADPRISTTQGETPFDVAKGKDIAEML TAWPVATTDRLLKERRELMEKKLQERLTSHVEREHVAKLRIRDDLVALASSTASTAAG ELKAMLLGLAADAVVNADKPRGSANSRDERGCTLLALAAQHDNADVATLLLTHWKQFQ DDSHPVVRKAPHAKDTYIDVFRAQVNARDMKGWTPVAIAVFHQAKRTTRLLLQHGANP RLKNQYNKSAMDFAQDELDAAMNVVTSRAEIRSVLDEWESEQLASTLENNRNKFSVGA AEPLPSDGGATLLAIEVAEEAQQKASSKKKVPGKKTKSKKTK H257_12138 MECGVKERVERCVGVEMQRFHPEVVMSSPVKHPRFGSSTDKPRL SLRPTSSAPAALKTPGTKKATTAKGHPNDHDYVPALHPNHSGIGCPKLPLGQARTHRQ LRRAAQVKQFPSREDKLRAVMTQLQQAQESAKDEKVAELECAMDTLQETVHMLQHEAS LDTISALVEQYKAVQAALTQSKMEAKALQDVGKGYKRASRD H257_12139 MCLIRVQSQDTMKDSQDDTAGGGVAAALGLREVIRNSGEVVEAG FDGDVAAMTALLTKGFHVESEDGHRHTALSEAACQGHVEMLQCLLSLVHLDDAMASSF VHDDLPTLGL H257_12140 MAAGTLKCEFRSTLEKHFSPRDIFVESLLRSPPPPPLPDLPQHR RHGRRHLHH H257_12141 MNVQTLLPISDEHLLSVHPDAASNQATHDIGDSHFHVKSRQEWN DFLSSSMLVLSLDDPSNDTSMALTEHVKPVPISTASPSRRVGSPLVLAMSPLASLPSA GDSSTSWATWQLSMPSDSNNDDELTAASSRNPQPLSPLGVPLVHDNQSLSHQHRLRAK SFSTMQSHNSMQQNHSFVAPPPRLSSRRQPPDQPMEHLRSYSEDLDTHVYGSNQDTQA PPRGIRSYSIGSFPSPSLHPAADPRQFRGASVTKSPLPRPLAPPRQQQPTQQQQPPLP LKASAYEWQPRATSVREEQPLSSFHATLPPMPLDLGVLDTTYEVQFKRCRKDCFAGIC GYSVGDYVKVEGDRGEDVGRVLGTITNDSKDDLTHSASGSVKRIMRLASDAECDLLRQ QHKEEQEVLHVCRTKVRQRMLPMNVIDAEYQFDRHKLTFYFEAERYNMLSTCFSNHFR TL H257_12142 MESRHVDESTFASWCATCLLFTRHASGCSKWCRNRRPRGTTPPT TIRDPPPSIRPVALLSPFVVYRLFRLLFCKNEHNPLEIFLLSVVVVRLGFRIRILGPK EEHCLAMSGNQQPRRVHPVLV H257_12143 MAWQCSASSHRGLIDNLVQVGLLRTPKAIAAMAHTDRGNYCPIY PYIDAPQPIGYGQTISAPHIHAGALEQASPHLHDGSRVLDVGCGSGYLSACFARLVAP SGRVLGIDNVPELVNLALVNTRHGDKDLLDKGILSFRQGDGWRGAPNEGPYDWIYVGA AASQAPPALLAQLADKGSLLIPVGQQGDAQSLLQITRDGPTFHKEYLAAVQFVPLVHL SSP H257_12144 MDKATAVGHRTVVKLLHIDRKGRPSMPSTTQQPRAHLVMVHFRY DRRTQGPTTVVMTWAAMNDNLDVVKYLHDHRRLHRQDRGFCTARGGHMDVAQFLDTRR AEGDTTHTEGYTTNAMGWAVTNGRLDVSTYFHQRRAEGFRRGAGLSGANGNLECVQFL HRYRAEGCTTYAMEAARHGHFHVMQFLSEQAQCAGGRTL H257_12145 MASESVVGRSDDDDREVAKWKRRLDENKYIRANLLDQANMLSYT MQRLSARHRGLGVVDVYSIWRMLGQGYSIDERDDNGVPPLVHCAIRGWLGVILMFSQH PHNVNVTSTMQETAVSAACRRGHMNVVRYLIRRDADLSVCDKSGFSCLRWAAKHRNKH LVRLLLQRGVSVQKDCGTVNHSALDWARANHDEDIENMLVAVLVEEKKVQVAILQQKA KATHEKSAASAAKVVAEATAVVAEPTMEERRQEHLKHQEQERDAAFRERVDALYSQQP VQLLKSLRPIEVAPLPVPVAETEWRKKECMAWERVAVSPKRQEEATPHVNMTRIRQLM TMDDDVEDASMDALVSFAQRAKPKPASLKFYCPEV H257_12146 MDSLWSRSPLLYVGSPMVDQSEFAFRALCRRYGTQLCYTPMLHA KVFLTDPTYRTQRLDYDLLETNTTDHPVIAQFAGDDPQALLDAALLVQDRVLAVDLNL GCPQPIARKGHYGSFLLRDSDTILRILRDWKSHLSIPFTCKIRIIDEGSKDPLDRGLQ ATLRLVDQIEAAGASAITVHGRNRVMTGRKTGPADWVAIAAIQRRVSVPVIANGGVEC FDDLAACATATGARGVMSAEALLENPAFFHGPQRLSPFRLVREYLELVRAFPSWNFHR VEKAHVARMLHAPLRQLARAGGTDAGALIAGCSTLDELELAIHTVEHAWTLLNQTCRQ IDSWYRRHQVVAAKNLIDREARKEVQQLWLAGGLRLTR H257_12147 MHHGRRLAQITLGRDPSLGWLGKRRRHDDRRLLGHLLLVCQHTC ATEVLGLAETGGGASHGQVARTAHHVGATGAFVSDGAEGVVAARTKVVAVCHVIVRRR NVQTERQVEQPIVGVEVVRAAIAATRVVATATPRRHNIRALFEVHGIGDGVDDGRRRA RAAPRGVHSVGDGRRRWRQRVFVDTGHAYAHGRDAGVVVDRRQHNVHLGRTRVACDLP AEAAVVAALVHTELGHANMARLTDAVANPRGWLRSIAERPAHAARHDRVLELEQAQRE ALVPRPPWQVDEAGFDE H257_12148 MSSLAQVVAAAVLSRAWVSAMALVSHTFITPYDTSALAHSKSIF SAFAAWDGVYFVDIAGEGYRYENTHAFFPLFPLLVRWTSPLLLPVLDKNTSLLAAGWL ISNVCFVLAAVYLYRLGLLLLNNESLAKRAAYFFCICPSGIFMSACYSESLMCVLSFS GMYCLERYRTAARSTSGHIYLSLSALLFGLSAATRSNGILHSLYIAYYRLLTSPHPLR AFPRFFGYWTYTALLGVVAIGFQVAYFVVGVAAYCPSFAAVIQPLRSVMDMPMTSALT QDRPWCSQVLPNYSAMYLFIQREYWGVGPFAYYQLKQIPNFVLAGPMIALAAYAVYVG CISPKILSFSAARPYFVHVAFLLANALVVVHIQVITRFLAASPPIFWAAAFVTTPDPK QPANSQVQSAVVVTHGLVLYFLVFNVVGATLFPSFYPWT H257_12148 MGVCHGIAHSKSIFSAFAAWDGVYFVDIAGEGYRYENTHAFFPL FPLLVRWTSPLLLPVLDKNTSLLAAGWLISNVCFVLAAVYLYRLGLLLLNNESLAKRA AYFFCICPSGIFMSACYSESLMCVLSFSGMYCLERYRTAARSTSGHIYLSLSALLFGL SAATRSNGILHSLYIAYYRLLTSPHPLRAFPRFFGYWTYTALLGVVAIGFQVAYFVVG VAAYCPSFAAVIQPLRSVMDMPMTSALTQDRPWCSQVLPNYSAMYLFIQREYWGVGPF AYYQLKQIPNFVLAGPMIALAAYAVYVGCISPKILSFSAARPYFVHVAFLLANALVVV HIQVITRFLAASPPIFWAAAFVTTPDPKQPANSQVQSAVVVTHGLVLYFLVFNVVGAT LFPSFYPWT H257_12148 MSSLAQVVAAAVLSRAWVSAMALVSHTFITPYDTSALAHSKSIF SAFAAWDGVYFVDIAGEGYRYENTHAFFPLFPLLVRWTSPLLLPVLDKNTSLLAAGWL ISNVCFVLAAVYLYRLGLLLLNNESLAKRAAYFFCICPSGIFMSACYSESLMCVLSFS GMYCLERYRTAARSTSGHIYLSLSALLFGLSAATRSNGILHSLYIAYYRLLTSPHPLR AFPRFFGYWTYTALLGVVAIGFQVAYFVVGVAAYCPSFAAVIQPLRSVMDMPMTSALT QDRPWCSQVLPNYSAMYLFIQREYWGVGPFAYYQLKQVQPTPMTWHSLKDGP H257_12149 MHRRSSLTAERLEDTLHEAADAMGRGEVPRAEKWQRFFVQALGT TKDQFGAFVAEYGIPSDMIDTIRDIVDARASDIHRYLLRQAASISKSHLVDFDYSVRL VLSSSTLHGDVYPIVLLKLYLSGDREVTLELNQAQLDEVLVEFDAIDATLSSLVPIAS AAAP H257_12150 MTFQKRKIARIMKSLAEPFLLVTEATTAPSPPQSSSIRFLMCMG CGLVLFLGSFVLFPFSITAFGVVYSMGSLVIMGSTLFVATIKQQVETLRANSNRAWGF ATYLASIALTLCFALYPGLWFRSVLVFLSVCVQCGTLAWYCLSYFPRVQTGLRAASMY ILVP H257_12151 MPTATHKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGQHHHRIL FDKFHPGYFGKVGMRQFHLLRNRYHCPTVNVEKLWSLVSAQVKETAEASKDGKAPIID VTKAGYFKVLGKGRLPAVPVIVKAKYFSQEAENKIKAVGGACLLTA H257_12152 MDLLCRLCYTALPLPHMTSWKFAKVPLSRGTRSLHLVSSMWMSL PSTYLSAMRATETCHTWPCCIVLNQKHSSTRANSLGLQVLRPYTALAWVESWELWFFA H257_12153 MHEAYVRKCRHVNVLLDDLSLAISHRHVIASHHDDAQMVASLAA LHAEFKDTWTYIKSTFDATKQVVEDPAALLHLHSEDLNTTLVQVRKACLQLHRTIASH DESFMALDLFRVNQARLQFSKWSVPAAHDDGALHKAHLRVEGQLRKTMGAAGFQSLTG GMAVPPSRSFTEWHGSVVAMLASVAAYEALLEIDASSPSTSGNNTKDTLNIENIGSTQ GTLCEAAAVLPSQLQSTSAGDSRVLPKQARRTKMSLDISELRQCWIDGVKLREADLAA AAQAEKDAIHMRAVERQAIQSAQEKFRNDQHKRKLTIWEAVIEGWPVEKINQLAVAEG KKAAQDGAGAFRLRDSQAENGRTLLQLACWSGHVHLVRYFVDKGSNLGQFDCVNNRFS LLHDAARAGRADVVRVLLEYGLPCNILDNYGDNPVHWAARRNHVDTVQALLDLPLKDV ATASPTVISTAQITRWRSVLATNGRGKRPAHLTTLHRLRTILMGLTCHPSFIRYACGV DFELVAQAGLEQYNRQGASEAAMLKRRDGLGPPPVKRIPLTSGGGNSGGSSRGMVPQR CHVDVADSASPPSSSSPSTSGVRTTDPPVVDGRRQREFRVKQATARAVKNFEKEGRKH ANVQLAGRVFRHSLQPTSSAFAIKTDDMDIFLDHVVE H257_12153 MHEAYVRKCRHVNVLLDDLSLAISHRHVIASHHDDAQMVASLAA LHAEFKDTWTYIKSTFDATKQVVEDPAALLHLHSEDLNTTLVQVRKACLQLHRTIASH DESFMALDLFRVNQARLQFSKWSVPAAHDDGALHKAHLRVEGQLRKTMGAAGFQSLTG GMAVPPSRSFTEWHGSVVAMLASVAAYEALLEIDASSPSTSGNNTKDTLNIENIGSTQ GTLCEAAAVLPSQLQSTSAGDSRVLPKQARRTKMSLDISELRQCWIDGVKLREADLAA AAQAEKDAIHMRAVERQAIQSAQEKFRNDQHKRKLTIWEAVIEGWPVEKINQLAVAEG KKAAQDGAGAFRLRDSQAENGRTLLQLACWSGHVHLVRYFVDKGSNLGQFDCVNNRFS LLHDAARAGRADVVRVLLEYGLPCNILDNYGDNPVHWAARRNHVDTVQALLDLPLKDV ATASPTVISTAQITRWRSVLATNGRGKRPAHLTTLHRLRTILMDFELVAQAGLEQYNR QGASEAAMLKRRDGLGPPPVKRIPLTSGGGNSGGSSRGMVPQRCHVDVADSASPPSSS SPSTSGVRTTDPPVVDGRRQREFRVKQATARAVKNFEKEGRKHANVQLAGRVFRHSLQ PTSSAFAIKTDDMDIFLDHVVE H257_12154 MSAVVGSRIDSLILTANRSLQPSALHALTIDDILMQEHELPPLG SDLHGFESVAKYVLAYDHVTYADNDEKSKWTAVRYMALRHMMVECDRVQNTAYHQECV RKVLDWFDDSGRDHLQADVATSLAHVSPIKPPRKQSGDMFRPPTTSQPPSVSEQLEKF KQRDLRTSHIHRIAELRDRGFAVASPRELQLSPEEDNNNQTEATLLRPAHLANAADRR VHAQYQYHTPETDAEHELNQLWLLQRQEDATNKVKHDEVESVLHKWSRGRSREEAEFL RKQESTRMMAHKQHPNLPLHVTIAEDTTNQDEYSLDPTASSISQLKHSAAPVVIKKKP SATGMRYRNPLPPNYRPSPTAISTPIANNATPPPSLNPKSPSMSRNNSAMSLGEPSVA AAKDKDNKYMPFTASYTSVVAPDAKQAQLQRMTSKRKVKKATDLRGMLPTHSDPASMR DPELKLFHEASMERQCITGRGATGGGGGAAASRGALVGHHQLVQQQTTRTLVHASSAV DVKRPMGLAAGTSSLRVQQSDELDNIRAAFERHNLTYNPAIFERAILIPEDKSSSECA KHLPIAGSKLLENPLLATKLKLMKGLDGSGKKRKTKKGAKKGGKKSKKKKAK H257_12155 MPAAAAPPLGHRLKTPLPPSSFKTSDSPKASPKATAFHPSTPPL TPSEPPSSSSLSLSPTSPPDTTTQSPKSPRAIRELISIEPWSTEDIDMDLSAATTLLS SPQTHPTHRQGSTPNVTASATKLVGHAPSSERNAWQSGIEAPIASMAHMMRGGLPQPT SQVDLLPLPLWERPPQVALDTSPQKMDPEMKIFLDAFASRRQTVRVATAPSSPAKMVP PTPSMPESSQLAARPKTTHAYSSVLRMHQMGEIEEIRLAFERHRLSFENQVFERALLI PEDKTTAECVAHLPLPGSRLPDNPLLAQKSLLFKMSSTLSTKKPKKKAKKKKKAKMKA KK H257_12155 MDLSAATTLLSSPQTHPTHRQGSTPNVTASATKLVGHAPSSERN AWQSGIEAPIASMAHMMRGGLPQPTSQVDLLPLPLWERPPQVALDTSPQKMDPEMKIF LDAFASRRQTVRVATAPSSPAKMVPPTPSMPESSQLAARPKTTHAYSSVLRMHQMGEI EEIRLAFERHRLSFENQVFERALLIPEDKTTAECVAHLPLPGSRLPDNPLLAQKSLLF KMSSTLSTKKPKKKAKKKKKAKMKAKK H257_12156 MGYTTVRIHLHKATDLPAADFGIMGGKADPYIVFSLGVHQARST CVRGSLNPEWTHAHFELKVDAERYATSTLDASVWDNDKLRKDDLLGMVSIPVKDIAEK HVTCTAYDITLEPKFLPRQPRVPVAPSRIHMTVQVLAATEAADVLYYEVWENERYGST SVYSILKRKWSSHNLKRASGDPKRWLCSSSMSSMLGDTLLESDKFDDVIPTIPAGYMQ VEGWHYVKTSGDLQGWVYSRSFEGPWFEEPMTSFYVRRRKWMQVLHRRQEL H257_12157 MTTVEVEVVLAQLASLREETDAKIADAAHQIYKLNEENDLLSEA NEVLREENIALKAQLAVAIAGAVDAAAVDVVVAGLSLKEDAVPESLLTPGNDAVLPVH EAAVLEQAHVMNILSVSGHFHDPALVASGGADKYVKVHHWQKKVFVAAYDAGAPVLAL SFHPAKAHANYLMASGMDGRHHVLRLERDQLHVVQVFHDHTRQGNIRHAWLASGDLLG FATAASDKVAHVYRQTTGETDAVTFEIAKSYYFNGTIEALAVVPPRHAFNELVVVAVR DDCYVHFIDTTTLEKTRLNMNVDGIEHVSYTIMDVQTSPSGDYLLVATDANRHFVVKV QSNVVLRNFYGHKAGPYSQPRVAWHSSEQFVVSNTEGEGGLVMWSVASEKVVQRVKAH DKLLRDLWYTQLDNGVDMLVTASYDKTLKLWHTVV H257_12158 MPRHISIAPPPPRPPPPAGTVPYAVGDFVEVAGRLGSEIVLYIV EILSVWTTPYVGFTGQYYYTPRDLGDKIMAQFPSSASAPQNITAPESSTAAPASSTAE QTPPNEVLKCEDREIFQSTYSGDNHVAAIVRKCHVVSSSTFHHQLVHSPDDVSTYLCR YAFHAWKYPSPFTKLVTDEDRVSVRLGDDCQVDVPSFDTQPMKLCPPPTGNCSDGDDS NKDVVDPHGGMRRWQPSLADAAALSAFLTLIECIQIAVGNVVYAWHEKAKEQILSVLE EYKGGQSFRVVYLDGSGSLVVDVSYVRGLVSTDEALMLLHDAGYNYRIATEQVTQLVS ARSAAAVKFVREAQADDGDSSDASTTSQNSSSPRSIKRQCKQVAKPAAAGTKPPLKPK AVNLE H257_12159 MAASRPRSAAKKSVLATTGCRLLASIEPVDRNAAEGRRRVDDTP SLEDARRLVDEERRRTTTDTLQKFILDAKFLFRNGGFGLSQNESCIQRITALGRSKVT DVNLSHMHLSDLLVESLANYLSTPSCIVVSLNVACTKIVPSAVLRLAAACGPKVQYFT ASRTTLPTSVVRSKRVVLPRVAADHLDAAAIAAFVGGRKKPLVEVLDVSGNDITGPDD RRNLFGGLELLANAVAKCPKLTRVMLNHVHLRSDGFVLLALGLQHTTSIHHLEVGGNA MQTNVSNQVCYNGVDSLCEALRGNHSIRFLGLFQNDMDYTCVSKLSAILLVNDTLEHI DLSQNPLGSAALCCLATALRANVPLHTLNVSDAQATCKGCVALADSLLHNTSLTSLDL SQNPGILALGLTTLAGALERNASLTCVKFTPSTSDIAQTEPLATLLQLTEANAALGTM KKALTSFNFAALSPFAQVNFVNKLDACTETELRSLLTNQSFVQCKLSAGQLCALQYYA SLDMYTPLRRLLWAYDALHRQEAARLAASVPADDDELAWQESLVDLPPLRST H257_12159 MHLSDLLVESLANYLSTPSCIVVSLNVACTKIVPSAVLRLAAAC GPKVQYFTASRTTLPTSVVRSKRVVLPRVAADHLDAAAIAAFVGGRKKPLVEVLDVSG NDITGPDDRRNLFGGLELLANAVAKCPKLTRVMLNHVHLRSDGFVLLALGLQHTTSIH HLEVGGNAMQTNVSNQVCYNGVDSLCEALRGNHSIRFLGLFQNDMDYTCVSKLSAILL VNDTLEHIDLSQNPLGSAALCCLATALRANVPLHTLNVSDAQATCKGCVALADSLLHN TSLTSLDLSQNPGILALGLTTLAGALERNASLTCVKFTPSTSDIAQTEPLATLLQLTE ANAALGTMKKALTSFNFAALSPFAQVNFVNKLDACTETELRSLLTNQSFVQCKLSAGQ LCALQYYASLDMYTPLRRLLWAYDALHRQEAARLAASVPADDDELAWQESLVDLPPLR ST H257_12160 MDTATAAAFTLLLVQDHLKHHGMYDTLAAFSKELVAKAVSASPD VWYDMTHAIGIHGLLHEYPHESTLSALVHVGVIERQTRLRATTPSVVRITPKTNCRTS TVLPDNYGHLPSSASSPSLRPKSASAAVVATSTSTPTLGRSVLATPTRGQDHHHHRRS PTNDQLDGGDMRLLKYMNLKQREPRASLPQLKAIEAAKKEILLGASPSAINKIAPAPS PCCSSSSSIVETTAAPSQWISDDVRMRQVRRGLAHVQEELHVHNTYEMVLKHQGIGKT IPPRPKERAVLTCTLCLHPFQKQNLPHQVPYKAVMDLRRSWDPHMKELNPTRARPPTC YDMVRICVFCAQFVQESDRYRPADGAAATVAATDGKLASMTSALMRRSSTVYEMATND PFSCDPIMDGDDDGDVESCTSMDNHNSVGGVFGKNIRYQMQNARTVRNLSKAEWGVIT AKQHKHLSEYRGDKGPTMMPLNADDIRRSPVSMKRLLMDATKLAPSSETSYSGRPSPV STDRRHTTDEISNNSNR H257_12161 MSTRSGRNVTKVERFDPTDKKRNIDNSSEEEDEPKVTKKVKKTA VAAKKAPKRKVSKENADGTPKEKRPLTAYFLFMAEERPILVKEQPDLGAKEVISELGA RWKALPEAKKAKFNAAAAKAKAEYVAKKEAAPSADKPKKSKK H257_12162 MPKEKTHISLVVIGHVDAGKSTTTGHLIYKCGGIDKRTIEKFEK EAAELGKTSFKYAWVLDNLKAERERGITIDIALWKFESPKFFFTVIDAPGHRDFIKNM ITGTSQADAAILVVASGVGEFEAGISKEGQTREHALLAFTLGVRQMIVAINKMDDSSV MYGEGRYNEIKEEVTNYLKKVGYKPAKIPFVPISGWEGDNMIERSPNMAWYKGPYLLE ALDGLNPPKRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTE VKSVEMHHESLPEATPGDNVGFNVKNVAVKDLRRGFVASDSKNDPAKGTETFTAQVIV LNHPGQIGNGYSPVLDCHTAHVACKFKEITQKMDRRSGKVLEENPKFVKSGDACLVIL EPTKGMTVESFQEYPPLGRFAVRDMRQTVAVGVIHSVIKKEAGGKGKAAAGKKK H257_12163 MLVWRSRPLTRSKLLLAVPRRFFTDDASSPPSTPRPPLPLTSLA AMDVTRHNFAEALVDLEKQLALPSCRFVAIDTEFTGLTPSEFTREKVIDTLEERYAKV RSSGENFLITQFGVALVHVADSIDVEDEAKTWISCWNFYVFPRPYQNVDARFLCQASS MQFMAEHGFDFNKFIRDGIPYLSRKSELSVRRSHEKSIANLGKSPPEKITVGRHFDKL FLTETVERIDTWLADSASADASSPAELFISARNSFRRLLVLHAARFLSTHPDAKSLYM ETNDNGVRLIRTSSAVERDSLKKRKIDAMNQDVEAAIGFSKVIQLLGKSNKPVVGHNC LLDFVYLFHQFVGPLPPTLVQFKLQLGKAFPAIFDTKHLAMMSPVRDQFESTSLSAMF EYMRDHLALDVSTLVSPDTSSSYHHALKKPSADAAAMPCHEAGFDALMTAIVHLGFTS LSANGWSTDLPLDLRTDFRNLSLYENRINLMVVDRPSYLDVGDVDQELDRRHVYVLSG DAAALKEARPDDMFAASRVNRVVREDKETYVFLSEPVQGDLPTHPHLVVSPFAEYIKA TAKKNEPKYDDQDKADDAAPPARWCTIQ H257_12164 MAADPNCADPVCHSKTDMFRTGVLGKKKVKKETNAAANTSAAPV ECPLDREELGRATWGLLHTMAAYFPEKPSDAMKAHATSFVHALADLYPCKHCAVDFQE SIVAIPPRVNSRVDFSLWMCEQHNRVTTKLAKKPFPCTMDALDKRWKTGDPSCSAKRQ DEATSQESLGQDADDE H257_12165 MKRSRVDIGLSKEQYADDESKDEVTHGFGQHAKASDEVMRGRRV VKAVVASTRLTELKRHTYALNQSFYQGIKLQSSQNAKESWLENMKEYLSYAQEIDGRY GDHRGVLLTFGSGDCGQLGHGVEEDIDMCVKFPRPVQALSMHRIARVACGGLHTMALT HEGSVFTWGCNDDGALGRIGDENLPGQVTGFPEGTTIVTIVGGDCHTTAVAVDGSVFT WGSYKDKEGKLWCDGPSPNDTFKKKQLTPYLVPTLANVVDVKSGSSHNLARCADGHVY SWGLGEMGNLGRPVSTEIRDAHGEYKLSVVFNDHLTPQLVKLNGKPFGCKALGAGSYH SLFVANDSGGIYSCGLNNYGQLGLGHDVNQTELQLVEALGSKNGLFVEGGTHHSVVLV TDGTLYTFGRGDSGQLGTLDDPGTGAFKDSPQEIKVPGVTGFRAVACGSNHALAVTDQ DAIYSWGYGDMLALGNGVEKDELKPRRIDWTKTKFGAAKILQVAAGGQHSAVVAEKAT TT H257_12166 MRVEAIAHLLDQLDAVDVLSGRMQASTARDPHHLTFLNEAVSRY AYFVKQKTGERATYYEFAHRMHVDVRARASNRDDVLAYLSKERHHVQCALQRARQRSC GYSSDHLRHPTKRSHADMTSHYHQYPVRADADGDYTNFGLPPKRPAYAYPSSSSFAHV ACTS H257_12167 MRSAASRRYLWKLPIIYLVVHMLDGVSGRSDQSAGVGTEILLPT PPGRERAVLLVGQMSGQLIAFDAWTGEIINSIDSGGALVTNCRNDIMQDLHPDGSTTT SLQSTTTRQQTRVTTTSLQTTTTEAFDQHNFAPTMDGRLYHISKHDGEWKEVLLSTSQ LLQAKKPVRAKGNPELENVVFVAEKKQRLYTFDADTGQMYPFFSADAAAAAATGPSST GEQSTVLMGRVDVTTKMVNLHDVLDYKCVTVSEYFIQFAATAQCNLDTDDENSGPWIE LEVLAESNVVSLAGYDPSSRRILWKWNSHVDLTMVYGVLPKQGVKFFQWNVTSGLDHA TTQTIDDDEVPSSCPTPPPLIPTTTSPPRDPTSSAVTVSRSPRPNLLYRHIDGQPYLL PSPTEASSKHVASLPNGTNSVVVKRHQQQRPWNFKTVEIDGEKGVVLTSTHVAGIVLA VAVVVMLVAYVFYRKGLIAKPEPHDDVPPSPLPATPPSTPVLSPRPEPESSPKSPISA GSPKSPSLHRHKTGLEHLLRMASPAKMHRSLSFGGFSHAPPPHRPNAHHHPHNNGTSP KAEWKQPPVLRLSDLNADDSGEDSTQSGGVDVAVTPTLSPSTTLSQPVTSAATEEFSK ILPYICRGRFANEFEELSTLGKGGFGQVMLAENRLDGRQYAVKRIGLSLRNQTKPTLE KFLREVKILARLDHTYIVRYYQAWLEELGEDEVVPAMSDAASYMTTRTRRYGPTSSVY SARNILQHRSSGEASFDEDGADSLYAPRHRFRSHPDDDGDENGDDDNDDDGGFTWDRG EDNESMEQWSEQDLYDDTVSAARQLESTASTRRHQRRHSTKGTNAGATRIDHWLYIQM QYCSEQCLADVLLAPGRRNYSHMLEMFYQIASALEHVHSLGLIHRDLKPQNIFVMDSD TIKLGDFGLSRYAGSPPSSSETASHDPPISLAKQDESSTWSVEDEKTAGVGTYLYASP EQISGETYNAKADLYSLGLILFEMMHEPFGTTMERVVTLRNLRDGHLPSATWLHDHPP VVAMVLSLVTACPSDRPTAFDVVAWCQTQLHMKSTKNGVVGSLLSGGNSHHLHQNATH DENVHVLQVEAVEWDNSTVCHNLLLALCDVVRAMTSVVIVACGLKQHLSHGQVLEFTL HVETNQVLAQVQDAIVAFEGVKHVELLS H257_12168 MWRRHSKVLHAAFCPWKRHPLATMLMQHVSTSSAANAAYISRLQ DTIHEHTSLVHPDAPGVAQFHYEREFPIWKKQQVSLHSMREGHAKTILQKYANENLLW QEARDLNAAQRHDLVVDVLYRALYLGERDAAWLPRTTSLFAQQVLVAACNLHDVATAV QAVDMLTALPLHAVSRKVLQDASHAVTHLCLHHDDATTLTAVLDAIEPAHVTLDASTS EQVVSSLLRNEGPLLDTHKRIVSLYNAGTCASSLAVDTHVLWSLLTLLRAEEASSSRA STAMNGVDPHLQLVELYRNMQAEYGLTDPDVGNYLVSDSCKEARTPKTQGRVSSIALS YMRDQGFPASVSTTLNVVMAMLASDDADASSVWDTLFNDHDAAVFPWSTQHVSAALSA AALHGHSAAAMALVHRAQLTRLPLLDVSYGHAVATASDPRLRSAFVDQYKAANQAGDV VMACQDDETHVGFHWSGALLHHIAATHEQLAKDVVPMLLQEMQYYGIAASERDMAAVL STLTSGTLYDLYERFPRVVKAAPKAWAAAMQARVLPASSDESTLDDAMQLWRCFVWTE ALQVDESVFAALYYVALRRTSTWHLADEVMTQYTTRHRTKTTTSTSSSCRLVEQALGH AADAVDLPTTKRLLRQWTAHNERLSRDAVACVFRTVGQVRSTRQPHVQDESLSYFSLM VEFPGLFPLDPTTVSNALVLSAQADLYYDCQALLTMAMANGVDLTPEARFACVELLTR TNGVESSGDRQQLLATVRQLWQNSSKERVDSIQGLR H257_12168 MYLRLLQRTPRTSLVCRTPSTNIHHLSTPMLRAWRSSITNASFL SGRSNKFHCTACAKVMRRQSCKSTRMKVRTSLNALPSPSPAHRRVDLLWQEARDLNAA QRHDLVVDVLYRALYLGERDAAWLPRTTSLFAQQVLVAACNLHDVATAVQAVDMLTAL PLHAVSRKVLQDASHAVTHLCLHHDDATTLTAVLDAIEPAHVTLDASTSEQVVSSLLR NEGPLLDTHKRIVSLYNAGTCASSLAVDTHVLWSLLTLLRAEEASSSRASTAMNGVDP HLQLVELYRNMQAEYGLTDPDVGNYLVSDSCKEARTPKTQGRVSSIALSYMRDQGFPA SVSTTLNVVMAMLASDDADASSVWDTLFNDHDAAVFPWSTQHVSAALSAAALHGHSAA AMALVHRAQLTRLPLLDVSYGHAVATASDPRLRSAFVDQYKAANQAGDVVMACQDDET HVGFHWSGALLHHIAATHEQLAKDVVPMLLQEMQYYGIAASERDMAAVLSTLTSGTLY DLYERFPRVVKAAPKAWAAAMQARVLPASSDESTLDDAMQLWRCFVWTEALQVDESVF AALYYVALRRTSTWHLADEVMTQYTTRHRTKTTTSTSSSCRLVEQALGHAADAVDLPT TKRLLRQWTAHNERLSRDAVACVFRTVGQVRSTRQPHVQDESLSYFSLMVEFPGLFPL DPTTVSNALVLSAQADLYYDCQALLTMAMANGVDLTPEARFACVELLTRTNGVESSGD RQQLLATVRQLWQNSSKERVDSIQGLR H257_12169 MLTDAMAADSHRKLEVIRHMAHNEVYIVLDYKMKLDPMYPRETT IQHYGKRGISWHGACVIYKDSQSTHNMRYMDHLIHNDNRQDPGSVLALMELILLQVKT EFPTTAMASFQSDNASTYQNQFLPLYLKDISIGLTAS H257_12170 MPQHDPIIKSVDYVRAELIYESFSMIRDLIQATIIDRDEQARIH KLIALCENFLKYQYKSHVGRAGRSHCVKFALGVDAYLPSIDCSECLAVERFYAMLTDA MAADSHRKLEVIRHMAHNEVYIVLDYKMKLDPMYPRETTIQHYGKRGISWHGACVIYK DSQSTHNMRYMDHLIHNDNRQDPGSVLALMELILLQVKTEFPTTAMASFQSDNASTYQ NQFLPLYLKDISIGLTAS H257_12171 MKYLEEKTLSWANAALNEFCVGDRVINGRLECFSCKKAGQDKKL AKSLELLYQSTSNSNDVVEQQQNSTPSSNCATVAASNNISIGNLADSNTRKLLINLIS TMNASFPDYDFSDLKPEQFHKEVDMRTVVNAINTQLAEIVEMDNVGFLEKLWESIVAA IAPTDCEVYTYIPDMDGCSDPFSDGTLWSFNYFFYNKELKKILYFTCMSRSAVHDING NDDDEDDDDDMVCRSEDDDDDDAVMEGDWEDGA H257_12172 MSSPVEKRQNSLRLSPKQHSSRANVSRQGVPPSLKDLKSQSNFS FRGSSHLEAAVMAVSVEENDTTPQNPPDGKTFAASYWKQQVIASKRKLSAVVDRHSRD VYDVHHKLHRNIPDDTLPQIDHLECAREATLRKIVSKPVPKGDTVHVDRLLDIADARA LQVPTSLHAHVVEHVEDLLARCRVAQAHTLSTLARTSVPASHLVEEYDRQSSALQARH HDLSLQLARLKASNPAVEATDLKHLLRFQETKHSVTKLATRFSQRMHWLSHSHRSAIL RRMHQILVPQSSAMATSVDVPPVVQANTSTPPKFILQESAMDLAIASFKTKLGIEQPT ASNSADDLLQQLRRDFGTLFARHVAPYQSDGMARKATPMTSSVDSSDPHRGSLFPQVP TSQRQQRIGLMDKKQSNCMVLEAELKFCSASEAQHVLDRLEGLAASHVKRALLTIDAV ELRSNSASLTDRLGLVEPPNAPSLPDAKVKAYYILRLLWIRQCKHRMVGYLNYFRSIE AHVLVDWHDMGHENTSTTTTIDRPTNVERFFDRVDDANEMQIVDHVGRPFLYSTAWDE LIEIEQDMLCIGSAVSSFDERDTLKAGTERKVVTVVDRVSVLGDLYECESWYLEAKFK LVRRVFEDVYMRAYGHDAQRHEAQALLDLVAARPLVDLAERYFWDAYVSQVMALEIQA KALRGVGEVNAALADPLFTTAEAAVIVRTSTRQAIAAMDMSMHHLSVIERLAMHRAVH EQAMVCWHVVSTEEREYVTASSTIHCAVFTNYYSKDSGVGTLVEQAANDVYRRNVKHD SLVSDRSIHDQVHHLGTEYVETLSRAVWFFKLQCDLAQQVYATGLLEALHASQMLHLY VDDVLPAGNPTHASVLDQTPAIEALPFVSVLRTTSTLEWIGMHLNESQLRWLTLTVHV QEAERVYLEETLGFNAMLLQPIFDFEASHPKLMAKHVAKFLSTPHINQNHKNFLAQVQ SVLGQRALHDKVTTRIQVELKATAALCVHADAHRQSVRALVTSRVDMHPDVPMTDHIV EYMTDLMESVQMEGLVTQLNAHLDTMRVISRDLPASTINPFVVGGFADRDTLEAWLLR ELSPMEEQLGVATTTVVVDGVKMAKGETIETLWCAAQGDIVSMQYIPHPYELLKRFTK TDVANGRVVFRLARQYEPEIRRILALYTALVAVIDTLVFRAALHRQAPDDVLGGELRD LLHQMHHDCFSRLNDVASSRDLRHVNDVMLEWLQTKREFLHALRATALKAIVNDILAA SITATPRAPSLVWLQYSFHEGQALVRNEDSRSIGSATTQFITGLSTHDCTAARQWWKL LETRASPAGGLGVAYLKKIDAFHALRQYFLQIPVMKEASQVDKAVELQAILATEAVAR DVSITSAHRNSMPPSLEFQVPEPVELDHMIDNLRNRIELHLVDQDIVDVRSQFASVLK SLGESPSPRRQAVVVGQAKLDPMGIFVNRVQETVETAIANKESYNVDLASLCRTFMEA YQDSINFRQAATTTLNVQLRHRIAALEDATSRLESQRRRQDTIADQVLATSVVDKAYK IIFELEEARHAIDMAGEELDVQKAKIISEIRSEYDSKLRDMNLELIQKHGQFEEYRAA VQNDLRVQLHEVQKSSVGKFIETGIPMQIKSQLVRSMRSNQEMEKIMEENTALKQTLM KLRTMYDLKDAATHAAHDKAEATLSAQLAKANLVFHQKTQVDGQLAATQTQVVALQKE LLRAQSVTPTTASISATSAIHKEPKSSAVKTALHVTKFASRLVAQQKAKAVVARAVGE PIDGMDECDHDEDDDEEDEEDLQPREGDRRTSSSPRRNDDAAVRTRAHYIRSAHHLNR EIRRLQQQLAKEVKLKTAALDQLQAARGHVHVQLEHENTKLHTELVDTQRRYVAAVQE IGELQHLISPEPCGHTTARSMAAAAAARHAPSPLSADTPRRPRTSTPSRPPQASFVRP MTSTGYSNKGGARKLKCFSTTLSTMEVEHATRGTSNNSDMVDAPTSTTTTAPQRPKSA APAAVSKSTPRKYDVVLRRDEHMQPQVEGTAQPLATRMRPLYR H257_12172 MHWLSHSHRSAILRRMHQILVPQSSAMATSVDVPPVVQANTSTP PKFILQESAMDLAIASFKTKLGIEQPTASNSADDLLQQLRRDFGTLFARHVAPYQSDG MARKATPMTSSVDSSDPHRGSLFPQVPTSQRQQRIGLMDKKQSNCMVLEAELKFCSAS EAQHVLDRLEGLAASHVKRALLTIDAVELRSNSASLTDRLGLVEPPNAPSLPDAKVKA YYILRLLWIRQCKHRMVGYLNYFRSIEAHVLVDWHDMGHENTSTTTTIDRPTNVERFF DRVDDANEMQIVDHVGRPFLYSTAWDELIEIEQDMLCIGSAVSSFDERDTLKAGTERK VVTVVDRVSVLGDLYECESWYLEAKFKLVRRVFEDVYMRAYGHDAQRHEAQALLDLVA ARPLVDLAERYFWDAYVSQVMALEIQAKALRGVGEVNAALADPLFTTAEAAVIVRTST RQAIAAMDMSMHHLSVIERLAMHRAVHEQAMVCWHVVSTEEREYVTASSTIHCAVFTN YYSKDSGVGTLVEQAANDVYRRNVKHDSLVSDRSIHDQVHHLGTEYVETLSRAVWFFK LQCDLAQQVYATGLLEALHASQMLHLYVDDVLPAGNPTHASVLDQTPAIEALPFVSVL RTTSTLEWIGMHLNESQLRWLTLTVHVQEAERVYLEETLGFNAMLLQPIFDFEASHPK LMAKHVAKFLSTPHINQNHKNFLAQVQSVLGQRALHDKVTTRIQVELKATAALCVHAD AHRQSVRALVTSRVDMHPDVPMTDHIVEYMTDLMESVQMEGLVTQLNAHLDTMRVISR DLPASTINPFVVGGFADRDTLEAWLLRELSPMEEQLGVATTTVVVDGVKMAKGETIET LWCAAQGDIVSMQYIPHPYELLKRFTKTDVANGRVVFRLARQYEPEIRRILALYTALV AVIDTLVFRAALHRQAPDDVLGGELRDLLHQMHHDCFSRLNDVASSRDLRHVNDVMLE WLQTKREFLHALRATALKAIVNDILAASITATPRAPSLVWLQYSFHEGQALVRNEDSR SIGSATTQFITGLSTHDCTAARQWWKLLETRASPAGGLGVAYLKKIDAFHALRQYFLQ IPVMKEASQVDKAVELQAILATEAVARDVSITSAHRNSMPPSLEFQVPEPVELDHMID NLRNRIELHLVDQDIVDVRSQFASVLKSLGESPSPRRQAVVVGQAKLDPMGIFVNRVQ ETVETAIANKESYNVDLASLCRTFMEAYQDSINFRQAATTTLNVQLRHRIAALEDATS RLESQRRRQDTIADQVLATSVVDKAYKIIFELEEARHAIDMAGEELDVQKAKIISEIR SEYDSKLRDMNLELIQKHGQFEEYRAAVQNDLRVQLHEVQKSSVGKFIETGIPMQIKS QLVRSMRSNQEMEKIMEENTALKQTLMKLRTMYDLKDAATHAAHDKAEATLSAQLAKA NLVFHQKTQVDGQLAATQTQVVALQKELLRAQSVTPTTASISATSAIHKEPKSSAVKT ALHVTKFASRLVAQQKAKAVVARAVGEPIDGMDECDHDEDDDEEDEEDLQPREGDRRT SSSPRRNDDAAVRTRAHYIRSAHHLNREIRRLQQQLAKEVKLKTAALDQLQAARGHVH VQLEHENTKLHTELVDTQRRYVAAVQEIGELQHLISPEPCGHTTARSMAAAAAARHAP SPLSADTPRRPRTSTPSRPPQASFVRPMTSTGYSNKGGARKLKCFSTTLSTMEVEHAT RGTSNNSDMVDAPTSTTTTAPQRPKSAAPAAVSKSTPRKYDVVLRRDEHMQPQVEGTA QPLATRMRPLYR H257_12173 MDSSDGEVHLEEGKNIQNNPLSMFDLTPTTSTFTKSSLPYSKSP RHVGAVGTFRYLTPSDLKTSSGKAPYDFAVIAHRSVQQSPKGCWFWPFNTAERLGFRR LCMVSSPLLEDGEDEHRRIVEKLCAQGLSIDIIDGGHSTGELTSDFFILLIRASDEVA TAYAKKFRRKLWVDHGGVCDMPQDFMDHAARPITPAERIQIVEYIVDVRAGLSKARDR WIHDMFPVHDNKMTTQLLTNYVAAFPGTLERRNRQFIDSIRHNFGEKVAYYFAFMHFY NKALLPLALLGIAMQILHSAISTTAYMRVLPFWGVGVSVIWSFVFLKAWDRENATMQF AWNAKLHVKQIEYPNPSFHGQDVENPLTGEMTKKQTQSWRRGPIYVLGAMFMLLQTAI MLVLVALWVSIYEMLKDKYKAGGLFTTQWFAILAEGIVFGLFVDVIQWNFVVTNMARL FTTWENYPTEEQHERALIRKLFLMDFLNYYTWFFSLAFVYVVPTLGDALTNVFNTALF HDAPNCCFGPYLKEVGPFAFECSRCPGGDASKLCTPCTGWFTFDVHHVDLSAMFVTPI VVTQLLNIVINTVMPLLSKWRQERLRAAADADAHQRVMDAGSMKILGNLDYDQTYVLR TCVCKSATVFGNKDQSRYLEYTPAEIETLNQKAREILFESEQAHYDPYNDFHQLTVQY GFTVMFSILWPPMPMACMLINFLKVRTDGYRLCRTLKRPFPRKANGIGAWRDIFGTFA YIAVVVNILLVCMSTGSMEFYSDLCIKDYAFNLDKEGKSLVDFVMGPNFYCLNFTLRL VVIIVLEHLLLAVAYLVMVKIPGVPSRLQAIMDAREHKFKKMLEASEPHLPLDLATSP TIADNLPVPPPTKQRASITKTKSPPKDKTPDSLKRKDSDKAALARQWSD H257_12173 MDSSDGEVHLEEGKNIQNNPLSMFDLTPTTSTFTKSSLPYSKSP RHVGAVGTFRYLTPSDLKTSSGKAPYDFAVIAHRSVQQSPKGCWFWPFNTAERLGFRR LCMVSSPLLEDGEDEHRRIVEKLCAQGLSIDIIDGGHSTGELTSDFFILLIRASDEVA TAYAKKFRRKLWVDHGGVCDMPQDFMDHAARPITPAERIQIVEYIVDVRAGLSKARDR WIHDMFPVHDNKMTTQLLTNYVAAFPGTLERRNRQFIDSIRHNFGEKVAYYFAFMHFY NKALLPLALLGIAMQILHSAISTTAYMRVLPFWGVGVSVIWSFVFLKAWDRENATMQF AWNAKLHVKQIEYPNPSFHGQDVENPLTGEMTKKQTQSWRRGPIYVLGAMFMLLQTAI MLVLVALWVSIYEMLKDKYKAGGLFTTQWFAILAEGIVFGLFVDVIQWNFVVTNMARL FTTWENYPTEEQHERALIRKLFLMDFLNYYTWFFSLAFVYVVPTLGDALTNVFNTALF HDAPNCCFGPYLKEVGPFAFECSRCPGGDASKLCTPCTGWFTFDVHHVDLSAMFVTPI VVTQLLNIVINTVMPLLSKWRQERLRAAADADAHQRVMDAGSMKILGNLDYDQTSATV FGNKDQSRYLEYTPAEIETLNQKAREILFESEQAHYDPYNDFHQLTVQYGFTVMFSIL WPPMPMACMLINFLKVRTDGYRLCRTLKRPFPRKANGIGAWRDIFGTFAYIAVVVNIL LVCMSTGSMEFYSDLCIKDYAFNLDKEGKSLVDFVMGPNFYCLNFTLRLVVIIVLEHL LLAVAYLVMVKIPGVPSRLQAIMDAREHKFKKMLEASEPHLPLDLATSPTIADNLPVP PPTKQRASITKTKSPPKDKTPDSLKRKDSDKAALARQWSD H257_12173 MDSSDGEVHLEEGKNIQNNPLSMFDLTPTTSTFTKSSLPYSKSP RHVGAVGTFRYLTPSDLKTSSGKAPYDFAVIAHRSVQQSPKGCWFWPFNTAERLGFRR LCMVSSPLLEDGEDEHRRIVEKLCAQGLSIDIIDGGHSTGELTSDFFILLIRASDEVA TAYAKKFRRKLWVDHGGVCDMPQDFMDHAARPITPAERIQIVEYIVDVRAGLSKARDR WIHDMFPVHDNKMTTQLLTNYVAAFPGTLERRNRQFIDSIRHNFGEKVAYYFAFMHFY NKALLPLALLGIAMQILHSAISTTAYMRVLPFWGVGVSVIWSFVFLKAWDRENATMQF AWNAKLHVKQIEYPNPSFHGQDVENPLTGEMTKKQTQSWRRGPIYVLGAMFMLLQTAI MLVLVALWVSIYEMLKDKYKAGGLFTTQWFAILAEGIVFGLFVDVIQWNFVVTNMARL FTTWENYPTEEQHERALIRKLFLMDFLNYYTWFFSLAFVYVVPTLGDALTNVFNTALF HDAPNCCFGPYLKEVGPFAFECSRCPGGDASKLCTPCTGWFTFDVHHVDLSAMFVTPI VVTQLLNIVINTVMPLLSKWRQERLRAAADADAHQRVMDAGSMKILGNLDYDQTYVLR TCVCKSATVFGNKDQSRYLEYTPAEIETLNQKAREILFESEQAHYDPYNDFHQLTVQY GFTVMFSILWPPMPMACMLINFLKVRTDGYRLCRTLKRPFPRKANGIGAWRDIFGTFA YIAVVVNVRTVHIYILCFYIGKPMVDLTRVHVHGEHGVLQRPVHQGLCVQFGQGRQVA RGLCHGAKLLLPQLYAAARGDYRAGASAAGRGVPCDGQDSGGAVAAAGYHGRPRTQV H257_12173 MDSSDGEVHLEEGKNIQNNPLSMFDLTPTTSTFTKSSLPYSKSP RHVGAVGTFRYLTPSDLKTSSGKAPYDFAVIAHRSVQQSPKGCWFWPFNTAERLGFRR LCMVSSPLLEDGEDEHRRIVEKLCAQGLSIDIIDGGHSTGELTSDFFILLIRASDEVA TAYAKKFRRKLWVDHGGVCDMPQDFMDHAARPITPAERIQIVEYIVDVRAGLSKARDR WIHDMFPVHDNKMTTQLLTNYVAAFPGTLERRNRQFIDSIRHNFGEKVAYYFAFMHFY NKALLPLALLGIAMQILHSAISTTAYMRVLPFWGVGVSVIWSFVFLKAWDRENATMQF AWNAKLHVKQIEYPNPSFHGQDVENPLTGEMTKKQTQSWRRGPIYVLGAMFMLLQTAI MLVLVALWVSIYEMLKDKYKAGGLFTTQWFAILAEGIVFGLFVDVIQWNFVVTNMARL FTTWENYPTEEQHERALIRKLFLMDFLNYYTWFFSLAFVYVVPTLGDALTNVFNTALF HDAPNCCFGPYLKEVGPFAFECSRCPGGDASKLCTPCTGWFTFDVHHVDLSAMFVTPI VVTQLLNIVINTVMPLLSKWRQERLRAAADADAHQRVMDAGSMKILGNLDYDQTSATV FGNKDQSRYLEYTPAEIETLNQKAREILFESEQAHYDPYNDFHQLTVQYGFTVMFSIL WPPMPMACMLINFLKVRTDGYRLCRTLKRPFPRKANGIGAWRDIFGTFAYIAVVVNVR TVHIYILCFYIGKPMVDLTRVHVHGEHGVLQRPVHQGLCVQFGQGRQVARGLCHGAKL LLPQLYAAARGDYRAGASAAGRGVPCDGQDSGGAVAAAGYHGRPRTQV H257_12174 MLDNEEMVTGVDRTKPTLSRLIMKHIAPGTNIISDKFGSYVSAN ERHILTNNPLLVDQSYGHQCVNHSANFVNPANGAHTQSIEGVWEMRIKRFSKAMRGIH RPHLPSYTSSEG H257_12175 MPRKAATAPSSSSRKSAGVSAAMPSSVIMARSQQDRFHLATIDH HDLNIHLRQVGLSIGNRELLVDAELKLESGVHYGLVGRNGTGKSTLLQALGDGLFEGI SAHVHVMYVHQLFHVDMDNSAQLSVVDVLLSGDKQRLGRQHMMDLLEASLESQTVSHA LKQLDYEAVDAARAKMDRVAIKRSGLRGLTARNKLVALEHQLAQAKAAWDDAVQTSVD SDDDIVAANNWLESLYAQDDLSTEARAREILVDIGLSVEQQDRPFHELSGGWKMRVFL AQIEFLQPDLLLLDEPTNHLDLPRIQWVMHFIQTRLQHLTVVTVSHDRAFLNAVADTI IVMKTNKTLGYFAGNYDTFEQTVQDKQVFHARVEAKLTAKTEKMGKQVAQMLAQGRKA NDDKKLAAAASKKKKIDSVGYEKNDNGHRLRITKEVAGYSFSKGGTSQGECIDLHVVE PWSLPPVPDVATHSVLSVEKLSFSYTPASPPLLKNVSFNIHKGERVVLLGCNGTGKST LIKLLCGSIPCPSPGCCITVPPLVHIRALTQDIVETLHHEPKTPLQLLGADTEEAGRK HLARFGLRGALVSAAPASTLSGGQAMRVAMALVTFPTCPQLLILDEPTNHLDMSSIDA LLLALKTGYTGAVLVISHDVHFLHAFHPDTVLWLTKRGEVKPLNDVDDFLNKYRV H257_12176 MQHGGTSTLGSGSSMSTTQSSPSHYHVQASELSGSVRGVLLRLG DTNVLLNCGNSSPTTATPITSFSSLGIAPGTIISAILITDWRISSSGMLPMVLSAYQN HHNRHKGKDKQFKHQQGTMPPPSVPPPAVFLTHATRALLPHILKECKNDNTNPAAILN DSSLASMTSLAFGQPHVHPAALAGQSNMTVTAHRAGHVVGGCLYSIEVDGIQVSFVDG VNLHGSRILRRAELPCRPPHVAIINSAYVVEVSETRTVLERELCKEVRDTLATNGKVI IPVYGVGAFFHDLLALLQDYWVSMHLQHIPIYATSESLVHAASSFLPLCADSYTAAFV GRSPSVPILKLPDLKLLVEPTSRPMVIFTAGASIGGSGDTHRVLRAIGHHPDNLLVLS EFRTPGTINHAFLNNVACADLPKSFVDSIACRLHTFPCGDEVDAREVVELARQCRPSS SLVLRGADASDPFLLEALRPFHLPVTALQVDDVWTQLVPRDLNVRLRVNLAFQGGVVP TLMLAETRKTLYFNNELSGMRRLKKKKHTLAFGHTWKYPKGGSGGTSCSKAHKRPGKR TPFGLSMLLSNEASESDDELAADDVDANVVGVLDAVVSALHQWLGWAPDQIERQPTWL RVASVEVSVTPDWSITMNWSFEDEELASRIFGLCQRVVETQYRDAHQ H257_12177 MNSKPGYSLRPRFRRRTPLLDVAFAVLVGGISGVYIFNDTLRKV GMPPSIDEATGGGAASAAADAPTPPFAKD H257_12178 MEAELPRDKAPVDTAGEVPIQNTYTFWYMKKNSGKALEDQESYE KSIKEISEFRTVQGFWRVYNHLIRPNDLPNTTDYHLFKAGIKPMWEDTANRRGGKWMI RIRKGIASRYWEDLVLAIVGEQFDVGNEICGAVMSIRYNEDIISLWNRNADNSEACYR IRDTMRKLLNLPQFVSLEYKRHDTSLNDNSSFRNTTVWRNGEKARLQDDGTPMSPSAA NAGQPAANQQQQPPPPSSSRHPNGPSQQPQQQSRGDRSSGTNRSHNRREGNNTRGGSS GAWTRDTTIREKKDRTSSFSRNESTPDEEGWQS H257_12179 MSEDLRHSHSSVPSRSDSRQRTPASPLAHVSSSPLQLVIQRLQG ECEQLRAEKRDLERKVQHETLKSSRYMAETNDVQRNLMVENQRLQSEVSHVKDKLREY AATLELANDRLKQVDASERQLSLRCEVLDDTVRELEAMTARQRQSMDGLENDVDRLNE LVAHLGDERDELLERTEVDAKRVRALWEETMLQHKAEATSLERAVERAKKEARVLQTQ LTKCGAEAGDMRTKLSQASVVASQDTQRIQKLELEVVAMRQELGKSQQQTVTLSQSLQ TVALQVEEQASKLRWTEAQLHDMTKTLEDTKREAATANDALQQSLEQLDVLKTEFATS METYEGTIHDLRHGALAKTSQVEQLRVALDSEKKYAASIAAMLKTYMGDYHRVLSSVD HKLSDLKEHCHVNEDDCDNGGRAQPLQPIRLPRRATPATSLSIFAH H257_12180 MNLARGYTNETERDESSGYNLKAGEVSSGTTIIAVKYKDGVVLG ADSRTSTGTYVANRVSDKLTSIHDRIYCCRSGSAADTQALSDYVRYFVASHADELEGD ALPKVHTAANLFRKLCYENKDRLMAGIIVAGYDKVKGGQVYSIPIGGALVEQDFAIGG SGSTYIYGFIDSHWRAGMSREEAEAFVQKALSHAMARDGSSGGVIRTVTINEDGCHRG FIPGNHLPFNL H257_12181 MNINRPKRGKGLTMGNFFGAFGSPIRTSYMDQAAANGADQHNIS PLEKLPDAQLASDEINPTEVNKADEPAVVNGQDDDADIADDIATIRIDRTRAARPAPV QQRDELFRTEQAAEKVPQIQLDVAKKAKIPLFLKSPFYAAAHVAVPVAAPVPVPVRAS NTQPPVPVGRATLSRLINFADVTLGRVIGEGAFGKVHEGKWRDRPVAVKLLICQELRA DILEEFQSEVEIMSVLRHPNICRLLGACMDPPNRCLVVELMPRGSLWGVLRTSRSIDQ GMRIGFINDTAKGMSYLHNFQPPILHRDLKSPNLLVDRHYSIKISDFGLSRVKAHVQT MTGNCGTVQWMAPEVLGNQKYTEKADVFSFGIVIWECVTGECPYDGMTQIQAALGVLN RNLRPVVPKTCPPFLAQLMKACWARQPELRPSFLQIVSALRNYSIRKSMGEQHKQ H257_12181 MNINRPKRGKGLTMGNFFGAFGSPIRTSYMDQAAANGADQHNIS PLEKLPDAQLASDEINPTEVNKADEPAVVNGQDDDADIADDIATIRIDRTRAARPAPV QQRDELFRTEQAAEKVPQIQLDVAKKAKIPLFLKSPFYAAAHVAVPVAAPVPVPVRAS NTQPPVPVGRATLSRLINFADVTLGRVIGEGAFGKVHEGKWRDRPVAVKLLICQELRA DILEEFQSEVEIMSVLRHPNICRLLGACMDPPNRCLVVELMPRGSLWGVLRTSRSIDQ GMRIGFINDTAKGMSYLHNFQPPILHRDLKSPNLLVDRHYSIKISDFGLSRVKAHVQT MTGNCGTVQWMAYDCYDMHLSNDQHSVDPRCWGTKSTRKRPMCSRSGLSSGNA H257_12182 MAVLYVKESGSATHESIPTVLNLRQHGRLACGIRRRVFYDINQV NDDFCDCMEDGLDEPRTSACSHVVPTLQFACRTPTPVQLVPVTTVRDGSVPTKRANTV LVQVDATTLLCLGGKERIKRREPGPRYGNVHTPGVYSVTDEATLPRPPVTPVGLFKRK RSATDSPEAPQKPLKRLDVTTRPRGGGVATADGDMKSQ H257_12183 MSLCRVCNHHHEDGVKCTICGHIGKSKVFQFLKNNRPINQLRFV SFCVDVNDSPSKGNWSLARLVRERNFGKATIGLFDSHDHSTTCNHALTFVGDAPVAAA RWSWSVDNGVEVAVIDKLSVIDIRRRRTYGTYILSNIIEDIKAKMAVEGRTLYALVAN VAHDQLHPAWKLFVKFGFQPMGEPFAVPSSTHLHVKMVLLHS H257_12184 MVKKKTKSKRLSLHKKYKILRKVREHKRKERKSDRQGAGKKKKT PGIPNNWPFKEELLLQEEQARLAELDRLEKLKTQRKAEKAEKKKADKLVIDGLAQVPT LTPLSVKQHAQADLKAAVTKADLVVIVLDARDPQGCRSLSLEDGLIGHGKKDILLVLN KVDLISRDVAEKWVTYLRRFHPTVAVRAADKTIKDVTRKTQSNSSLSSAALHARTQGL STLRDNGFVDALSHALDDYVRAKKPAAKTNLNVALVGYPNVGKSTLFNALKRKAIAQI SSKPHTTKVLSEAQYGEHIHLIDTPALETSFCDPANVLVKFGLAQEYTMDPVEALESV LSRADMWNIMQVTAVGTYKSTEDFLTKYAVKKQLTRKGGDADVLLAARSVIQALSHGG LPTMTLAPPQSKSRFDLPKWFDAEPLTALEQKLYATNPLAKNSSYLTLKCQGSSHRTG ETTEYDVVMGTLKFEDVQAVDDDESDDEESDDDDEEEEEDEEEEEVIVPAPTKGAKKA APKAAIVKKAAAPAKKEAPAKAVATKAATHKPPKEPTANTPDKKAVVKPTAPVKKAAA AKVVAEKKTKEEVVASTKAAKKDATPTKEPAPSRKRGAIAIEEPTRRNPKRATRTPSK H257_12185 MRLLTHNMLVCNIKACVATARQGENALPLNYPLKIQVDNESGID ITDTNYSKDFVLHILKSIDWTGLVQTVAQLNHPELPQLPQELPVDVAAREDILRAVHH IIFDTTIIEGELVCNNCGRSYPIKNGIPNMLLEEDEM H257_12185 MRLLTHNMLVCNIKACVATARQGENALPLNYPLKIQVDNESGID ITDTNYSKDFVLHILKSIDWTGLVQTVAQLNHPELPQLPQELPVDVAAREDILRAVHH IIFDVSTDRLIWRMDAS H257_12186 MSIIIKKVTDFYKKDECVKYYKFGKTLGTGSFATVKSAVNKADN TKWAVKCIEKASLAPDDEEALRVEVEVLQMVEHPNIVKLKEVFDCPKTFYMVMEEMSG GELFDRIVEKEKYSEREARTVVHKLATALAYCHTLGIVHRDLKPENLLYESTEENAEI KIADFGLAKLIQGNSMMQTACGTPGYVAPEILEGKPYGGEVDMWSLGVITYILLCGFP PFYDENNAALFATIKAGAYDFPSPYWDHVSDSAKDLINHMLVVDAKKRYSAEDVLNHP WVKDPEGASDKQLPSFTTEMRRYNARRRFRAGIMAAKAIRGMSLLHKKGDDGAADGGV LGAASPVGRSGRGTAAAGGENVQVGHARRG H257_12187 MTGTNSRSLHGHAYRPQPSAAASGGNRSNSMRGQQAPYTHSDKD EQLYNLVSDLSNACRKDSNANALKDYNLIETLEKSAKDILARRNSQDPVGGNNIDVPP DSIQKRRRLSVEANDDSDETASPSTANTTPRFQTIKRPVENPPKRYNMPTFFTSMPPR QGSNGTLATPPSSQCSEPEPNASSKPSVKSSATVDFQEFSDNEDNDVPSFMRETISRE TYSRETAVYTREALCMDDLSLDEISMIAPGQEDVHRKRQHDAMASHDTTKRPSAAPQP MDIQSIMDQAKGSVDPVYTHSATSSSSSLSREAPSSSSATSSLSASSFSSINGKEPDF VYTHLGSKLKSDPRSRSGSKDRSRRGSVDDKRRQNSMTASKLISINMRSNPTFCANSS TTTLQTSSRAQMRSQTMLETSTSTSWPIGKTSKTLSSTASSVSKPAASSQPAVSTPAK TSKTLSSSFIASSLQQSSKPAAASSQPAKAIKTRTSTTSSTVPTTTTPLPKEVTVVRR DVSNKPDATSVKPPRKEFSLDDPILDQQPRPVEVPATVAVPAPAPPSVEMLQKLEQLE LQLAAKNAECARLATELSSQDAQWKVQFAASDTAYKGLEASVAALATDKQALTAQLAN LEARIPSGELSTSNRIVWHLREILREEEADNKMESAKASRIAALVNRFEKSNAQVQAD FAQQLRHEIKSIGLTRASASLSVDSATTNQTATVVEQLEERIARHEATIVALTREAQN SQAQLDLALQTAVDRDQDVMQLESRLTSMHLESSPDHASASSVAASQKAAILRLEASV AALEEVVAQRDDEKAELESHVRELTRATQSDATTQDMEHLQTQVKRLQAELEAKAHEL DVLHSETPTRESPSVLLNDSFLSVRKSVRFEDDEDSGKLRQQVRKLEAEVAEMRVALY ERTCDVNALKHEVLANVETIEHLSSDFAKRVEVGAGNAMEKMDMFLFEQVCAKHKEVE ELVAELERKDDEIKELLARPSSTHNFDQQVEQLQTKCVELSAQLKVAMEQNALLTQET QFQARSIESKQNQIKSLLELMQSKEHELAELDEALATAEMQVEYLKHQYNVTFTAEEE DRFAAAYREGGRRSSMSHRRESATYPPNIAPYFTSSAMMDDEGYDEYDRPPIERMSGQ SGGSSTILSTAAMSSPTTSLSVADVEFGGSPRFSCLSIGSRDSIASSRTSEWGLEF H257_12189 MATVQGLSSSDDVKPSEEARSDDVVAAVGVEKIAPEMAGQEFAV GLYMSMWPKVEKCDQYVSMLLETQEQLHSKIKALTETLTGTGDDATLDESQSPLVDYA LRLREFPNRVQVLQAKLEGIRTLLNAVKSSQLGPTPTTPVKGALKQQPTATDPGTVGS ATE H257_12188 MSLVGNYSDSDSSGSDDDVEVKAQPCAKKPIALPSVDDLFADTT VGKKTSLAAFAGAPIRPVTTTTTPTAKRSLDAGSDASIDGTKIAKRKVRSLVPPQLRR PNVSTEDLSAWNTEKTMKQQQQQTGKASSRVSST H257_12190 MLWIMSIFSVVAASSNVAAATMQDADHCTTILVGAKFRSLVEGD VALVAHLTAASDKFATSAHEAFYALFVDVVTRFHDGSIFSDFASESMTVSAMGYPSWW LEEVGYFGPKAADGVAVTGALVLGVVTVAALAVGLGSWLGRRTSTVKSKGYVLVK H257_12191 MTVSAMGYPSWWLEEVGYFGPKAANGVAVTGALVLGVVTVTALA VGLGFWLGRRTSTVKSKGYAFINQDLPGSQLYQ H257_12192 MTVSAMGYPSWWLEEVGYFGPKAANGVAVTGALVLGVVTVTALA VGLGFWLGRRTSTVKSKGYAFIK H257_12193 MQLLLASIGLFAVASAARLVETDKCTAILVGAKASKSGSPMTTQ TNDCSSCDFRIAKVPQKTHAAGSQRDVVLVSQVYPRYVGTARGAPEYFKENQQNNFFN WTETKGIGQIPQVATTYGYIEGVYPLINEHQLAIGESTCGANLWAKPATQGGKALFDI TELARVAFERTKTAREAVQLMGDLAVQYGYYGAEWEGDAVYSEAGETLTVTDTKEGWV FHILPDDSGASAVWVAQRVPDTHIVAIGNQFIIHHVNLTDSDNFLGSSNLYDVAKRNN FWDGQSDFDFTVAYARRRPGESFYYSTRRQWRVYTLANPSLDLSPYTDAFGTTYPFSV ETAGLLDASDLIRFQRDHYENTTFDLTQGPQSGPYGNPDRYSVGENSVAPGTQGKGGF FERAISLYRTTYSYVTVANPNNSNLGFLWFGPYAPHATSYVPIYAKVSSVPALTSKGS LLRFDFNASFWINALIGNYAGHFYKHAMPAVAAVQLAVEQKAFEAQQEVQATAVSILA REGEAALVAHLTAASNKFATSAHEAFYALFVDVVTRFHDGSIFSDFASESMTVSAMGY PSWWLEEVGYFGPKAANGVAVTGALVLGVVTVTALAVGLGFWLGRRTSTVKSKGYVLV K H257_12194 MESLYDMFTDSRLDSWTHLMIQLLQTKQAAWEVPNAATALKLPQ FQKTLPKINLLHTSIMVPTEDEDTPLDPWYEKAAMVLLRDTLPIGDNFTDNWLPGEDM EAYVMQKVGKMWPRVNVHWNDRYSDRALELIAFNGFGQHLVEKLSDPHDDGSYYGVLL DFMHVLEVRPGYAKYGADAFFTRDGKVVKIVRGGNTYMPGDDQWEYVKFCFRSSLNTK VTAVDHLLGIHSMVANYLTTSSREYLPVNHPLRRLIKPFTFRSVVINYAAAWALFWPK GMLHRGFALTEQGMKQTWDYGIARFNFATFPQQMASQGVDSVKLPYHEDGLDYWNVLR TFVSNYVDLYYTGDDAVQQDQSVIHFWDYLDKLPGYFPPLSLDNLKDVLAQCIMWVTG MHNHLGTLAEYVSDPAFCGSAWVEGEAANRPGSAVRIALIMSATGFVQPSVLEDFSHV MLDDKAKGLCHAFTASLHKLADTVDARNSTREQKYVSFDPKTIELAVSI H257_12195 MYPRSSRWLHRSSTVGYLAANSARACTSLARSSSNTHNAVIEFT TRLSTLLRPVTSTWNICVRIAWSSSCLSATSASRTHAFDTPCATRSRLRARAGSTNVL SKSPRSFTAVRSAYPRDVRMPWMKLSTTECRPLTWSPVASSMPKRRARKMWNTKSADV VASDCTPPRAAHRWCSRCTISRSTDLYRFNCDGPARALRSASWAWSYACPSSEGRIGP RRST H257_12196 MSRSEVRKPMVLLLADSLKIEMNESTDGLNSSSPARYSDLKYTE SFNNTLVDVAVNGSTATMPPASDSDTLVSVCRRTWPAASSARWYVVLVRRMASCTSEF MRRMIALFNSGESNDFNRPEKLTYSVWRSCEGVAGMDAMSLLSKIIWVSRRARLLDAL ILCPM H257_12197 MEKLSSPKLMMSPTATWKRQKKFTPTRPIVASPQPMKTRRRRHC TSLLFSPDPDATAAKKPRCDSCTPTTEHEDNGEEGMTGFTSSSKIEDVGLFNCDHDVQ FTGGLYGEGTDGKVSACIVQGQKIALKRSKPHEGFTADQAKRKSAVELHYLRRVRHKP GFIQCLGMCDGIEHTCIALEVMDCKLSDYQRKRMGVKQPFPAPVAHRILKQIAAAMMI LHEEVDAAHGDLACRNILVRMPPKGLEGTIDPEIKLSDFGRIKTRDQEPPILKSSFSF FKNADVGSFGRDVLYRLLVGDILPAQSLESRSLHRLLQDYVVKSVPDAAAAALGPYRS LFDKCTAWGVRPTFRAIHDHMEALEYFEVFENGLFPLKPNLHHLNASSSTSSTSSSAM LSTPDIKRSLSFQRGSSSCSKKHDAAPSPLPPLPAKPDQPPPSDSKVNWLKPRPVPST AVVPLKQGNPGTSRRSLKILNEISKQRYLKQ H257_12198 MAKVRRGLNFGRTTNSTSAAPPLSTGVSSDNRQDGRVRSGEASP DLCSETEYSIVSPVRYSMPSSTHTGAANCKPNLLTNGKESILTLQEIECASVSQAGLV QLLLGDIRLDSSVSPSTAIAGNVHCTASCCAAWPIGFTFLAAEIRSSG H257_12199 MFHAAVNDIMQTLRLTQSCPDADLLAQPQTIGQRRDRAYSTELT HTNHGGIAAWDNKRQKCHNCKHIYLKSLSPTAGFCSMDCKSNAMYLYAMSEKIKCVKE SIVAQPSAPKAAPEVVVQAPIKADDDDVCFESEIEPEDESSVMGSYCPPSTFAEFHTQ KLKCRPVEWSFSALY H257_12200 MSCSVVAIWSSRWLMVLCWERPIIVVAPPRTGATWSQTSWTMDP RRNRNNRNADSSKAAILDWTWCSEYTDSPLMAMRASPGRMALDASAGPMSPACRRRND VTTNASCVRSSTARQNPRPLASFCSNTSNTPVVGRLVVVVVSVGEAGVGGDSNRQANV GRSSDVRVMCWSADGSSSPATTV H257_12201 MHRYTQPSALFEAPYGTVHTYLDTEHDMAQCVIKRRGNHPHDHV RAAENIDREIETTEVLNANGGHPNIIRMIEHFIEDEYSHLVLEFCNQGDLLTVQQREP TGRFDHSRSLAYFRDVVLGLQYMHKHNLAHRDLSLENIFVTDGGRCKIGDFGLSVDAT VPTHASVGKMMYMAPEVVDGLKYDPKAADIWSLGVMLFVMLTGVPLFDVASSKDKLFR AVTRQGLDPVLTICQKKGFLSPAVADLLEKLLALNPDDRLYVDEILEHDAMLMQ H257_12202 MAVCTNSSAYKFGVLSEEELPQLRQRILDYAAGLSSQLFGTILL TVEGLNIRLSGPTDGVLAMQSFLSDQLHANMTPIEFKDSFTKEPTLRKFLVRIKNEVI SMGFNEPVNPAKLGLAEHIAPEEFKTWMDIGKDMLILDTRNDYEVRLGTFDNAVDLNI KSFRVFPDEVKAQMQAVPKDKPIVMFCTGGVRCEKAAYALKHQGYNNVFQLDGGILRY FEKCGGAHYRGDCYIYDDRVALTPELTKAEHISMCFVCRSPLTQGEQASAEYVAKVSC PYCIGGKRSDFRSQVQ H257_12203 MTSSMKIPSIDDIDEHELMQFDRQGQFDSSTMERLLQAEQSVLK AAQATMDASNMMNFNSKGAGITKATSSGGGTGIDEAPASTYTSYCVPADFGDNAMQKP HPSSSLSAVVAGAPTSTPPLPRLKYPSAAPGYDMSFEIPRSEEWSTSSSAATTRSSSM PTTTEPSDGGGDAPPAVRKMASQPIFGVKASSSVVNGMPPTPVSKGSDSIYTFTVKKA DNILDQMSKKSTVLVQIDTHRRMLCFLTPTNPTDKEEFSCAAVNAKSYQKFGLHLRIE KGGQQAPVMNRKITFFGADDRDRFMEALEQGKVMYKTPAKKATHHHHLHAGAAGASGS HESSDSFEDLDQWLIECSHTSFPVQDPDLELSLLEGEHVVEHVQRVTNMVVISQKERA VQGVMKITNYRIAFMPYDTSARYGSFQVPLAALLPIVRDGLKLNLPCKDLRKIYLSMH DAYTHKNYYDAPPQTPDIRWIQLLMTKMRPQISITSLFAFTYKAAKGDAGQVTTTSMD MNPWLVYSPMKEYQRLGFLSNDDDTNDAITWRLLKNPKCRFSQTYPQLMVVPSCMTEE QLVHSARFRSRGRLPIVVWRHPDNKCVLARSSQPNYGLQSKRCEADRILLKSYRDSAN KNSGGVAPPLHIVDARKNLATQGNRFKGKGVENSSHYDGAVVEFLGIANIHKMRDSVE MLQNLVQPSVSDDGHKGFHNTLHETRWLKHIMKILSGGCRIAQILHDEGASVLVHCSD GWDRTPQLCGIAQLILDPYYRTIRGFACLLEKEWCSFGHKFHDRVGVGKDAADMPNER SPVMLQFIDCVWQMTHQFPTGFEFNEKFLLHVVDSLYSGLYGTFLYNSEQERLKVHIW EKTESVWAPVLENPTTFTNQLFQRTTRVLFPRANLKRVVLWEGLYFRWDPEMHPAYVE SLDPLSKTQDMHPGTTTNPTAALIDEFRLEENHLSLSATHDRADTAAYLSDASSLSEN DDDEYPLSRPAQRRKSMQQQAPPATTRHASPSKEDTQALKAALHEFTAQAADRARERT KANMLRETLAIIPDKSRVKYLEDLLTESVARELQLEAQLGSLHEHFGAPAKKADDSAD GGGACHQF H257_12203 MTSSMKIPSIDDIDEHELMQFDRQGQFDSSTMERLLQAEQSVLK AAQATMDASNMMNFNSKGAGITKATSSGGGTGIDEAPASTYTSYCVPADFGDNAMQKP HPSSSLSAVVAGAPTSTPPLPRLKYPSAAPGYDMSFEIPRSEEWSTSSSAATTRSSSM PTTTEPSDGGGDAPPAVRKMASQPIFGVKASSSVVNGMPPTPVSKGSDSIYTFTVKKA DNILDQMSKKSTVLVQIDTHRRMLCFLTPTNPTDKEEFSCAAVNAKSYQKFGLHLRIE KGGQQAPVMNRKITFFGADDRDRFMEALEQGKVMYKTPAKKATHHHHLHAGAAGASGS HESSDSFEDLDQWLIECSHTSFPVQDPDLELSLLEGEHVVEHVQRVTNMVVISQKERA VQGVMKITNYRIAFMPYDTSARYGSFQVPLAALLPIVRDGLKLNLPCKDLRKIYLSMH DAYTHKNYYDAPPQTPDIRWIQLLMTKMRPQISITSLFAFTYKAAKGDAGQVTTTSMD MNPWLVYSPMKEYQRLGFLSNDDDTNDAITWRLLKNPKCRFSQTYPQLMVVPSCMTEE QLVHSARFRSRGRLPIVVWRHPDNKCVLARSSQPNYGLQSKRCEADRILLKSYRDSAN KNSGGVAPPLHIVDARKNLATQGNRFKGKGVENSSHYDGAVVEFLGIANIHKMRDSVE MLQNLVQPSVSDDGHKGFHNTLHETRWLKHIMKILSGGCRIAQILHDEGASVLVHCSD GWDRTPQLCGIAQLILDPYYRTIRGFACLLEKEWCSFGHKFHDRVGVGKDAADMPNER SVLLDFSTMDEKVDSFW H257_12204 MSSSGELEPLLSDALRVRGTLSSQWRTDDPQDCSAKPPMVPGSG SPPKHVPIHPQASSSYSTLGLTTLGARHQLVQAVEQQLEQQRKSGHRGLWFGFVDTPM WLLFLSAFIRLAEFAWREHRIGSTHEQSHFGDLVESLFLFLAAVFNGILNMLLYRRES REVAERVHYAVKVLCKTTPPPAAANSPPLKRDSILAPSSCCVACYRDHKWQSIPMNLL VHGDVVALMSGDVSPGRVRLLPLVPPSPPAPDRPSPPSSVTYARGDKIPTTHALPHDM PRTNATFQPQTILNLCGDMHVYLMEETPVLQDVANSMANVERPRTAVQTLQIGGKSLA SMLCLIMTVVILVSIGVRAALLPEPVHHVVNHVCLALVDVILCFVSLHTPFVWCMGEV AATSHLLTAFEAILESEATTTSATSATADDMDVYDVEEREQSRLRKTKRSFAVERSWY YFWMTLQFRFMDCADAHRTRYHNGKKNKLMIPYSGVRLLERLGSTTMLCCFDDDVLCE EVPCAEEIFLLNEDTNTILDLHPEPLCTTGEKFEDPKWRVHLPSLKPLGLAILLNDCE DPKTEYIDTLRYLSNDAAAGGFYDSYSTTSPQHELLHCVQQLSGYVRLLPYPRHLLNL AKAIGFRVDDLANFHRRQSIHVIAPRLAYQEHTSDHHDQGQEDTRFRGHLKTHLYSTV VLDKRSQGHQLLSRGHPSLAVSQCSEYWDGKSICPLTKDKRRLILDMYHQWRVEDLDC VALTYAPVAQKCNALFGPQDTAFTKLPPLFLVEESSPTDDDDDHPQHRGTPVVSPGVV GSPPSSTEALKPSAAMSPTNSAKVQTSSAATGVERHTDSLWKLQEDQIFLGMVASGIQ PRKGMVGLIEDVTASGIRFVYFSPRNMRRSKLLAEKMGIETDWNCAISLRDSDGPDPH RMTSNYSDWDVKARLPHGMLPIKTHFSMKFNNQSDRLWIGYLVGAIRSPNLYIKSTNY TTTKLSIVVLLRKIWLIFCQIDHSLCGFYMYRSSSGSQLPVFSEVDMELSQMLNTLAC AFTVRNFTHTRVSPMVVVDLIRLGRHMLTNFIQLVHYIFVMQLYVATVVCLSYVLPFP EVASLGCMSILWLLWIVVPALSLSLLSSPPDRHIMTRTPRKNEASAWTDDATRLCMYF LVRYVPSAIFVNIVFQVIFGLSLQFAAEAASLNPSHESWWYFANKGPLLFVHPRPPVI MAALDRAEAFLLLAMGWFCIFSSISHCYRSYSIFSESPFRNHPWMLTCVVCVVLQIGV SVWRAGGLVGGDGLALDAFVRFIPGYVWLALGVWPILVVLVDELAKQHDHRLLIRYYK FLRMQFDTRLGMWSPK H257_12205 MGIGRRELTNDEREAILRETLLKSTDGFPTRLPRGFGPYLASKY HCHVSCIRKVLARAKAQGVADGNMNVSVASLKKGKVGRKHAFTEAEIMAKLLQVPLVD RTSLRSISAHTGISRTSLHRYLKLGRLQSYAAGMEA H257_12206 MNFIITTLRLNGTRIPLHPRPFPFLPPLAETHRVVDILAPDEKL ARRRNYTWSQGGFATGGFLAGGPLTPNELRLIDHFQLNAATTTRLDLHNFFLAEYDLH WLVRPLESDHYDVDVPESSAILVVAYLIQHNPVEATTTLLTMIEPWMDQLRDILMGDF CPNFYSTATSAVAHVKGTIYASYFHLPKVLMPAYDMLAAAIAMRSAAFGSNMPNRYMV QNALLIEQVMVLTTHNALALHESS H257_12207 MPVTPLKRVVLTRAMSSSAATKIVRFLDAYGNERIGQEPAPGTQ QSQLITGKDIFGSKTLTSQFAEVRRVLAPFVPTQIIGIGLNYRNHAKETNMPIPNFPV VFYKNINSVADPETSIVLPKREGTHVDYECELAVVLKAPCKDVSVQDALKFVAGYTCA NDISARRWQGNAMGGGQWCRAKSFDTFCPLGPVLVTPEGIPDPQNLTIETILNDVVVQ RSNTKDMVFSVAELISQLSQDTTLPAGTVILTGTPEGVGFTHKPPIYLKPGDKVEIRI QHIGSLLNYVIGASSL H257_12208 MELSEVYDAFTTIKTPLVSSRRASVHDELKENIDRVMEKKDFWG FFKQLFAPKEVVFVVRSVEKDQHEQPVGDRRLVKEATKA H257_12209 MLRTCKRNDWASFDRLLSSASPVCAPSVADTNLSTVLPSGYEDD PIDRFMPMRDVAAAGCRYWNRDDKLQVLTLWRAWKKFVRYRARLRRNVVWMLVRRSHG WFTQWVKYVAYRSGKRLHIAPAIKELGHMLDQSRQRRSWMAWSRALTLEHTSRVHRHI LLRRQLATIWGQWLTYNLGIQRRRIRHHMSMHLFMGIMWQRWKRGLRVQVHQRLQVSY MLHWKAKTRLRSWSALATHSRRMERCLVRWTIQSTRDTLWHTWYVWLAYGVRRRRQKK WVEQARRWNLQQWWLRWRRSVQALGKVNQRLAVARLHHVRTIKAKSMQHWQQQVVVMT TLDRFCKNFHRHTVMTSTWHALEELVRRRDAHLHRMARFRAHQRLQLWIQRALHTKRR LRRLEQSVHAAWTFLRLYRRWVEWRQHFASRQQGHAIDTLYTDRLMHKVMAHWKAAVA RRQRLRHHIATSQANRSSQRKCFLFQAWKQHLVQVENQRQKVIFLRYRHDQLLARRAL YALHQYRRWQQRQRYCMLLARRHYDHVLRSRYVPLALFEWKAVLEDTLALQHLWTSQL HTIHKYWSRKFVLRLWRRVTTCLHMARQCSRRQLVDRASSMLRAWRRLCVAQQGRRQS LLILTQEQGHRRRCYAWIKWRNRTAQLRAAREEADRRYWRRRLVGLLSCFVAWVQRYK GRKQLWQRAVAYRIDTLRKGSTSAWARWRQAYSVRQGRKDRVVAMIQRNDHRCMRRHF KAWRRRTWTSHEVAKCLAVRAMTFRHQVCLVWANLTSRQRYIRQILAMQAKEVLRRQL HRGVRQWTVHFAQWQHLRDWQVQLVHSAASRRWYFKWRALFQQRQATLHGIFARRLAM KRRCWVLWKCVVSQRHQMVQAEADAVEWRRRWMLRLRWQLWHLYVIGRRHSSQQYRIA STQHCRFRLKTTVAKLRDMCYFNHLMRSVHQHVTRRHLTAWAAHASTASVRRHRASAA KSHMRRWRARQSTLLWHSQAQGRTKLHACLHVASRRRRHSRLRQVWHVWRLLIHPAMQ RKDSSTIVVKQRRGLRLWTDFVHSRRRRRQLERVADTHLRLVQFDHAWRSWLHFHQLQ HTAVKLMVHRRAKWFVHWQKVLRSLKRRARGEFALVARAFQGWGLAVRVHLRARVGLW CVLNRLQLASVLWRWKVDTARRQKVQFAVAKRDRQVLRTSFQAWFQAVTVLWLRRYHT NRRCRDVVRSLLRRWFGVAGQLRIRRNRRQRVCRTVLATWFRFTYVHQAIATLAGRRR GRSAMAVLWRWKKVVAIGQSIRLARLNRTRHLWAVWVEFANDRASQRRTYSMLCRVLE REQLIDRSVAFQQTIRMKDDLRRDRDLLRLVVRGWHCHVVMSKRQGRLVP H257_12210 MDSRKIEKAVQSHLEKLQERMPPISTIPQMPTMLRDYAKLLNKT ASEATAKALKSANIPSLSRAHRSPDEPLKIEQHLAALHAANGRAQRASWNLCQHAFEA PHIRMDSVNESLTFSIENIQEAIHVTKNVHRHFRDLGNTLSDEPCFYRAVESKTDDIN N H257_12210 MDSRKIEKAVQSHLEKLQERMPPISTIPQMPTMLRDYAKLLNKT ASEATAKALKSANIPSLSRAHRSPDEPLKIEQHLAALHAANGRAQRASWNLCQHAFEA PHIRMDSVNESLTFSIENIQVGFDCPVCWPAQRQSCL H257_12211 MDTMEQLLGFSSFGKRKRSNQTPPKDRPKAVKVDYVAEQIDFLQ AHSHLTLDELATTFDTTTTPSTESTTSTDLFPLLIEALESVLDAKTRLHDARLSAGAY REARALANPWEALGRWKFVNRSAMKMAELDARLHLTKSIGTRDLSFVDLCGAPGGFSE YLVFRANYEHVRGFGISIRVRGSSHLDWQLPPSMHDLVSISFGADDTGDLYRQKNMDH FVQSVLRRHATGVNLVVADGGFQDARNHSNQEHVMHRLVLCETLVMCRVLQRGGHFVC KAFELSSEFSVGLLWLLHHLFDEVAIVKPVTSRPASSERYIVGRGWRHFNPHGVIRHL AALNASFDQTPHSATSVVMMAELARDDKFLEFVQNSGIQLATKQVEAIDRILNIAHGT TARETVHRLEFTSVLDRWGLPA H257_12212 MKVAVIGGGISGLSAAYLLSRHPENDVTIIESEKALGMGVHTLR VGDQHVDCPPRSFCASHYVNLAAMYEEAGVEIVPYPGDMSFSDFGEAAFYCHAMGWCI GGLRLPSPWHVAAMLRKFSFRAIYDHVQFFLSPLDDILPTTTLGEYVTTHQFSHEYLY GILLPMMTMILTCSNEACLNYPVGLLKTYLSKSNAVNQVVTKHGSTLAAAQLAKLCKT ILVDTKVTGVWQATDDRPARIAYITNGLEHVEEFDHIVVSSQACSALSFLKDADEAMM ELLAAVPHETAQVVIHRDPVLMPRDRRDWSFYNFITAKQGQKLKPGIVENMVTLWVAK FHAKESDAESLFQTWNPLVDPREDLVLHRAEFLRPLFTKTSHELVKKIRARQGRGNLW FSSTYCVYAVPLQESGTEAAVEVAAMMGFPVHWPSKENQPVVASSSWTSSSWMIGVPL VLSAAIYVLPRLASNLKTL H257_12213 MQPRQIMTRPSAGEKNSRTPETSRPQPNSLARSVVNPPNWRETA PVSSRQPNQILRRQVNASTLAPPPPPPTSLTTRRWETPPLAKPPPSLTSTPPAPTTSA SSQQTSVQQQPSQATTSKKNKQKRSHPPPSKVKASTSFSLADFVSSKPASAKHTPSTA TTKERSTAAHHNTHHPSASQPKAVHSTASDNRPAAVSLGTAAAPLHATVVATPHGHLV GPPVHHERPKKKKLSTLKKRVLKDRAAKWAAFHNHIPIESTTSDQAAEGGTNFATNDL VRTVVAIHLVDPDEVHEDDEYDDTLEDVTVQFGKHGQILSLSLDRSTGTISIEYEDAK GANAAVAAMHNVTFGGQHVSCLLVDVHDQVKCKLQRQVVVDGFCDMAELEDPDEFDEV QSEVQSTFGTTPHTPEHVEMDRTTGSIRLLYASPKQAKEVAMAFHGKAYGGRSITAIW MAQDGSPSGSTSTPTTKGEGGQVLSELPSLQRLPNAQAIREYVDQRVDVGGEVEGLVV AFLGRLMSLQERARLTNPLKAKKTRRLVFGLREVKRGVKNGKVVCLMVAYNIDECAAE GGLDDKVMELIDLARLHNTPVIFSLSKRKLGKALLKTIRVSCVGVYNVDGANELWADL KKKVAALQANPPPQPDCGTAAPEMSECTVLP H257_12213 MQPRQIMTRPSAGEKNSRTPETSRPQPNSLARSVVNPPNWRETA PVSSRQPNQILRRQVNASTLAPPPPPPTSLTTRRWETPPLAKPPPSLTSTPPAPTTSA SSQQTSVQQQPSQATTSKKNKQKRSHPPPSKVKASTSFSLADFVSSKPASAKHTPSTA TTKERSTAAHHNTHHPSASQPKAVHSTASDNRPAAVSLGTAAAPLHATVVATPHGHLV GPPVHHERPKKKKLSTLKKRVLKDRAAKWAAFHNHIPIESTTSDQAAEGGTNFATNDL VRTVVAIHLVDPDEVHEDDEYDDTLEDVTVQFGKHGQILSLSLDRSTGTISIEYEDAK GANAAVAAMHNVTFGGQHVSCLLVDVHDQVKCKLQRQVVVDGFCDMAELEDPDEFDEV QSEVQSTFGTTPHTPEHVEMDRTTGSIRLLYASPKQAKEVAMAFHGKAYGGRSITAIW MAQDGSPSGSTSTPTTKGEGGQVLSELPSLQRLPNAQAIREYVDQRVDVGGEVEGLVV AFLGRLMSLQERARLTNPLKAKKTRRLVFGLREVKRGVKNGKVVCLMVAYNIDECAAE GGLDDKVMELIDLARLHNTPVIFSLSKYT H257_12213 MQPRQIMTRPSAGEKNSRTPETSRPQPNSLARSVVNPPNWRETA PVSSRQPNQILRRQVNASTLAPPPPPPTSLTTRRWETPPLAKPPPSLTSTPPAPTTSA SSQQTSVQQQPSQATTSKKNKQKRSHPPPSKVKASTSFSLADFVSSKPASAKHTPSTA TTKERSTAAHHNTHHPSASQPKAVHSTASDNRPAAVSLGTAAAPLHATVVATPHGHLV GPPVHHERPKKKKLSTLKKRVLKDRAAKWAAFHNHIPIESTTSDQAAEGGTNFATNDL VRTVVAIHLVDPDEVHEDDEYDDTLEDVTVQFGKHGQILSLSLDRSTGTISIEYEDAK GANAAVAAMHNVTFGGQHVSCLLVDVHDQVKCKLQRQVVVDGFCDMAELEDPDEFDEV QSEVQSTFGTTPHTPEHVEMDRTTGSIRLLYASPKQAKEVAMAFHGKAYGGRSITAIW MAQDGSPSGSTSTPTTKGEGGQVLSELPSLQRLPNAQAIREYVDQRVDVGGEVEGLVV AFLGRLMSLQVGELYVTTCRNGMV H257_12213 MQPRQIMTRPSAGEKNSRTPETSRPQPNSLARSVVNPPNWRETA PVSSRQPNQILRRQVNASTLAPPPPPPTSLTTRRWETPPLAKPPPSLTSTPPAPTTSA SSQQTSVQQQPSQATTSKKNKQKRSHPPPSKVKASTSFSLADFVSSKPASAKHTPSTA TTKERSTAAHHNTHHPSASQPKAVHSTASDNRPAAVSLGTAAAPLHATVVATPHGHLV GPPVHHERPKKKKLSTLKKRVLKDRAAKWAAFHNHIPIESTTSDQAAEGGTNFATNDL VRTVVAIHLVDPDEVHEDDEYDDTLEDVTVQFGKHGQILSLSLDRSTGTISIEYEDAK GANAAVAAMHNVTFGGQHVSCLLVDVHDQVKCKLQRQVVVDGFCDMAELEDPDEFDEV QSEVQSTFGTTPHTPEHVEMDRTTGSIRLLYASPKQAKEVAMAFHGKAYGGRSITAIW MAQDGSPSGSTSTPTTKGEGGQVLSELPSLQRLPNAQAIREYVDQVITTDLMIYS H257_12213 MQPRQIMTRPSAGEKNSRTPETSRPQPNSLARSVVNPPNWRETA PVSSRQPNQILRRQVNASTLAPPPPPPTSLTTRRWETPPLAKPPPSLTSTPPAPTTSA SSQQTSVQQQPSQATTSKKNKQKRSHPPPSKVKASTSFSLADFVSSKPASAKHTPSTA TTKERSTAAHHNTHHPSASQPKAVHSTASDNRPAAVSLGTAAAPLHATVVATPHGHLV GPPVHHERPKKKKLSTLKKRVLKDRAAKWAAFHNHIPIESTTSDQAAEGGTNFATNDL VRTVVAIHLVDPDEVHEDDEYDDTLEDVTVQFGKHGQILSLSLDRSTGTISIEYEDAK GANAAVAAMHNVTFGGQHVSCLLVDVHDQVKCKLQRQVVVDGFCDMAELEDPDEFDEV QSEVQSTFGTTPHTPEHVEMDRTTGSIRLLYASPKQAKEVAMAFHGKAYGGRSITAIW MAQDGSPSGSTSTPTTKGEGGQVLSELPSLQRLPNAQAIREYVDQVITTDLMIYS H257_12214 MVLNVFRKTEADVSDEAKAFINSLPSTFMNLFRKKRNLIPVWSS SKYCVMIKATFLVYYRASKEPHQPATSTNGQASDDRHYKFINLRHCHIKIVDDDNLGN VFVITPEQGRGSVTFAADSEKDRAKFITHANNVQRDVPRPTDFQTLKLIGKGHYGRVI LAKDNHNQLFAIKEMKAGQVKHKVIHTERMVMEWVGDHPFVLGLDYAFSSGRSLYLIS KFMPGGDLFLHMQNHGGSFPEAVVKFYASEIVVALAHLHTMHILHRDIKPENVLLDVD GHIKLADMGLSKRLESKHSRTKTMCGTDAYLPPEMVSRTLQGHGLQVDMWQLGCLLYE LRSGYPPFYLPQSKQKHTHQRILFQSPKFTSTMTPEFLDLLTTLLEKDPSRRLGHLNG IADVKDHAWFRGTNWDSVRKKELVPPILPKPAGDDWVNNFDPQFTEQPHALDDEKLHE GALNLAKEFAGFDYVRQTLRPSTTPTLQNREPAIDHSSTTTLEPHMVSLQL H257_12215 MGDVSSMTILGRRYEKGDGVDMDAETAAFYYYHAAAEASRAYHV RGNQPLHEMNSLFHADDVNVAEGQEGDNDKWIQFQKMRADKDEDVEAMVAMGDLHYWG ARGCVRDHSLAFQYFERAATLGSPHGMSAAGGMLLKGEGTAQDNETAIRWYEQAATFH NVRALNGLGYIHFYGTANCTQNQTKGLEYFEQAAAQHTDGDSLFNAGYCHFAGHGTPA NATRALAYFRDAARSFGHFDSIFELGKVALNVDGTFDDRHLGDGITYLRAASAAGDWG KVARQGFDAYLKHEYHHAIWLYHEAREYGYPVAAGNLAFLYDAAGLNNSATYLLEATE VEASLRLGDCYYYGKCGVESSVQLAMYWYTRASADGVSVGAYNVGFMNEFGIGGLPVN VDRAKQYYERAMDLSPSWETWFVITLSMYRLKFGSSFGRATTTAAAYSSVKDSFHPAS TLPTIDWENIGLGVVVVGLVAVLSVQYLRRS H257_12216 MDLLTSIRFLTQRQPTTKTMTSLAWLLCLLVISTQARLTPLLEN VHWNVSKTGSVCPYDAVNVEVSWTTMSRSHDIHVALVRVSDDGTDFVVAESQVLLKPT EFTPDAIAIEHDSHQEEGLTCELDVATCEPTVATLVVPNRPDGLLMAGDILEVEFEAA TNQPRVSTKDDIDAILDFPIDIGDQLEGTWITLKVLRIRILTITTDVWVANITKVPAV KLRPEVLHPRHFRATGISYRIAVPGVYAWRLVIPDAPSQLELDSSHLEISADCAPATV IYVVESTRNEARSWPPPPVIQVRGTVALDGSHALHLPDTSVPHTPSWSLLFWIYLSQD STGSHRTLFYKGPGHNQHRTPSAWLQPHDRRLILRVSTPDNMDVGMTTTSELPLHEWV LLSFTFDNTSAVSLNGSKDDRNKTFSYVYAINGKVDTEMNVHHSVVLPNSGGLYVGGN PWMDGVRGFMQNVRLYAAALTTSQIQTVFRQEYGRLLSSATVAGQLSAIHRDQRHIPV SDQTMTSLMQPLDEPALAELLRMGEAYLYGTDTTPNATLAAWYFKQALRAGEAAAAKA PSPHLCS H257_12217 MKDLTSDQRRAVVDHLLLRVVQKPCKLQRGAIKDVARIFGRNRH TISEIWRRANVSLGGDLPMREIVCEDISSQKKGRVGRKQKYTDLPARIRAVPAAQRTT LMYVAHAIGIPPSTLKDYYKRGLLLKYLDSVMRELSLQTANDLEMSEIFTALEELVIS CEDEEGV H257_12218 MGNSNSRKQNSHLIRLVAKNEVDLYLDDLAEYSFGIADGFEVQH YMPPQFPLLPLITKPRLQLCLKTWEVVQSASTDKMKQYGKPGIILFYDEFFYRLIERD ATFSQVFVNVKERGEVLIKALSFILSMRADDPADVTNMQNRCRFLGHKHRTYARVRPH HFAAYTMTCIEVIMYWLGDDASPLVGDAWSNVVGFVLRYLLEPYLYGRTDPYELYQNT TIAAVREIQESSAQAMSSQQMQPSSSSRSISSDRGGVGGPHRVERRISGNPNNTNLTK T H257_12219 MLEDYTDCSIPGATCSPTCLAVFNDALGVNSSNASDWRRSCDSH HTITWRCCGQQRLDIALPLTLWAIFFVLAGVFSWYTSLRRELLTSVDDLHDIPTQALG VVVARQKKQVLGRTVNDPRRSLILLSMVIELGNFSFLPLNLVFDVPNASHLQLTGLYL VLQLGKLGGFTTLLLLDLYGHHAFKGAMKKVFRPLLYDTFFTTYVYVLLDFGACANGM ESLPWLDCSREDRFWLTYSVAVVAFTTVLWRTLLYKKHLSDQVYAVQFRFQQSYYSLM TYCRTACCLMFITVQKLYLYFDGYNIMLTASVANFGLFSLLLWYNYSHQPCLGVGMLP NNLRSLSFATSCYFSIHLLVLSIHHKLHAPMQLHHDDDVTTIVNYVFIGVYPCFGCAV WHVNKLRAQLFQIPNLSIEASLMHARDRVRAVGAVAMTLEDQTEWTVDYKLHLVNLLK ANLSLTAGEGGMVAAYTSRSIWLLWAKNFTLANVTTEGDDASFSFPFGLFESTPASSI TGPTSMGIKANRANRANSAIPATILEHIQTIKSGCGPTLAALVNSTMHPLSPHDDHKL CSVDPDLVAACRKAIVVMSDVVRCPYPKARQVVCRTIHHMYTNRAVQFTPPTMVYVLC TLLACDDRMLALSAATTLASHFKTHMVRAYATAATDVADEFNLLHLSQFVRMHAKDTA LVDALLRIILDAISWIESAPENPASYIGDGFVSNLWRVQSLADVQPSTVFLVDNILLN VHFCCENFLVHLRRSFSHQPTKQKLSHQRPSILPETTTTVGVMPPSLSFIRVSKMSSL ISQTSVQPADNSFTRSRTKFSLDMATKFLVETHTSNLIRPVQYQIMKKRHDLKKSLQI NIATVVQDGFPQQKAIVELSDHSKRAMRQVMRIVTRFPIFDVWLRTLQEGDPLEYLLE LIEFMRTHPLEVRQFSEGPSVMESIRSTFSIKRSARESVRAPPR H257_12219 MTYCRTACCLMFITVQKLYLYFDGYNIMLTASVANFGLFSLLLW YNYSHQPCLGVGMLPNNLRSLSFATSCYFSIHLLVLSIHHKLHAPMQLHHDDDVTTIV NYVFIGVYPCFGCAVWHVNKLRAQLFQIPNLSIEASLMHARDRVRAVGAVAMTLEDQT EWTVDYKLHLVNLLKANLSLTAGEGGMVAAYTSRSIWLLWAKNFTLANVTTEGDDASF SFPFGLFESTPASSITGPTSMGIKANRANRANSAIPATILEHIQTIKSGCGPTLAALV NSTMHPLSPHDDHKLCSVDPDLVAACRKAIVVMSDVVRCPYPKARQVVCRTIHHMYTN RAVQFTPPTMVYVLCTLLACDDRMLALSAATTLASHFKTHMVRAYATAATDVADEFNL LHLSQFVRMHAKDTALVDALLRIILDAISWIESAPENPASYIGDGFVSNLWRVQSLAD VQPSTVFLVDNILLNVHFCCENFLVHLRRSFSHQPTKQKLSHQRPSILPETTTTVGVM PPSLSFIRVSKMSSLISQTSVQPADNSFTRSRTKFSLDMATKFLVETHTSNLIRPVQY QIMKKRHDLKKSLQINIATVVQDGFPQQKAIVELSDHSKRAMRQVMRIVTRFPIFDVW LRTLQEGDPLEYLLELIEFMRTHPLEVRQFSEGPSVMESIRSTFSIKRSARESVRAPP R H257_12219 MFITVQKLYLYFDGYNIMLTASVANFGLFSLLLWYNYSHQPCLG VGMLPNNLRSLSFATSCYFSIHLLVLSIHHKLHAPMQLHHDDDVTTIVNYVFIGVYPC FGCAVWHVNKLRAQLFQIPNLSIEASLMHARDRVRAVGAVAMTLEDQTEWTVDYKLHL VNLLKANLSLTAGEGGMVAAYTSRSIWLLWAKNFTLANVTTEGDDASFSFPFGLFEST PASSITGPTSMGIKANRANRANSAIPATILEHIQTIKSGCGPTLAALVNSTMHPLSPH DDHKLCSVDPDLVAACRKAIVVMSDVVRCPYPKARQVVCRTIHHMYTNRAVQFTPPTM VYVLCTLLACDDRMLALSAATTLASHFKTHMVRAYATAATDVADEFNLLHLSQFVRMH AKDTALVDALLRIILDAISWIESAPENPASYIGDGFVSNLWRVQSLADVQPSTVFLVD NILLNVHFCCENFLVHLRRSFSHQPTKQKLSHQRPSILPETTTTVGVMPPSLSFIRVS KMSSLISQTSVQPADNSFTRSRTKFSLDMATKFLVETHTSNLIRPVQYQIMKKRHDLK KSLQINIATVVQDGFPQQKAIVELSDHSKRAMRQVMRIVTRFPIFDVWLRTLQEGDPL EYLLELIEFMRTHPLEVRQFSEGPSVMESIRSTFSIKRSARESVRAPPR H257_12219 MFITVQKLYLYFDGYNIMLTASVANFGLFSLLLWYNYSHQPCLG VGMLPNNLRSLSFATSCYFSIHLLVLSIHHKLHAPMQLHHDDDVTTIVNYVFIGVYPC FGCAVWHVNKLRAQLFQIPNLSIEASLMHARDRVRAVGAVAMTLEDQTEWTVDYKLHL VNLLKANLSLTAGEGGMVAAYTSRSIWLLWAKNFTLANVTTEGDDASFSFPFGLFEST PASSITGPTSMGIKANRANRANSAIPATILEHIQTIKSGCGPTLAALVNSTMHPLSPH DDHKLCSVDPDLVAACRKAIVVMSDVVRCPYPKARQVVCRTIHHMYTNRAVQFTPPTM VYVLCTLLACDDRMLALSAATTLASHFKTHMVRAYATAATDVADEFNLLHLSQFVRMH AKDTALVDALLRIILDAISWIESAPENPASYIGDGFVSNLWRVQSLADVQPSTVFLVD NILLNVHFCCENFLVHLRRSFSHQPTKQKLSHQRPSILPETTTTVGVMPPSLSFIRVS KMSSLISQTSVQPADNSFTRSRTKFSLDMATKFLVETHTSNLIRPVQYQIMKKRHDLK KSLQINIATVVQDGFPQQKAIVELSDHSKRAMRQVMRIVTRFPIFDVWLRTLQEGDPL EYLLELIEFMRTHPLEVRQFSEGPSVMESIRSTFSIKRSARESVRAPPR H257_12220 MNTPPTNEPPDTSRPRQATPETTPYDPAESIGTYASICNESIYM IPTCRGVACTGSGMFPRGMECPLYGDVGASNCSGRYCTAPEDGRCQMIKANTWGCVFP LIMDAFRSLQVGASFNSKRFEKDIKLFKNKNGPTGTGVTNTNIGAVDFFGFDKKTPIA TKKVAAPPPRKDRSASMDVPLWAGAGEVAPVQKEGSKQRRKRKLSEDALAEAVVSDQD RKAVSQLLVPTTSTVTTSPKSKKKHRKKRTQRTASQDLSGDSDDDDDDNDTDDMTVFG KPTSTETSATTPHEPKAVSHADDIKALRRRLNITVEGTVVPDPILEFAQMRTTATALK TVLLRNIEDSQYKNPTPIQMQSIPSILKQRDVLGIAPTGSGKTAAFAIPMLLNLGAPD LKGIRSIVLVPTRELAVQIHGEFQRLALGRKFHIVLLSKASAATITSHSKTCTVNYDV VIATPLRLVHLITNDNVKLDSVEMICLDEADRLFDMGFVEQIDDVFAACTNPKMQRMM FSATMLQGVEEMAQSVLKDPIKISIGTKNAGATTINQKLMFVGKEEGKLVAMKQLIQE GLKLPVLLFVQNKDRAKQLYQELVYDGINVGAIHADRTKEQRDKVIKDFRTGTVWVLI CTDLMSRGIDFKGVNMVINYDFPQSAVSYIHRIGRTGRNGRQGEAVTLFTENDMVHLR TIANVMKLSGCDVPAWMLNLKKASMRQRKQLLKAPPERYRIETVSGYDLQKANKRRLM KAKADGTAAADDATADE H257_12220 MNTPPTNEPPDTSRPRQATPETTPYDPAESIGTYASICNESIYM IPTCRGVACTGSGMFPRGMECPLYGDVGASNCSGRYCTAPEDGRCQMIKANTWGCVFP LIMDAFRSLQVGASFNSKRFEKDIKLFKNKNGPTGTGVTNTNIGAVDFFGFDKKTPIA TKKVAAPPPRKDRSASMDVPLWAGAGEVAPVQKEGSKQRRKRKLSEDALAEAVVSDQD RKAVSQLLVPTTSTVTTSPKSKKKHRKKRTQRTASQDLSGDSDDDDDDNDTDDMTVFG KPTSTETSATTPHEPKAVSHADDIKALRRRLNITVEGTVVPDPILEFAQMRTTATALK TVLLRNIEDSQYKNPTPIQMQSIPSILKQRDVLGIAPTGSGKTAAFAIPMLLNLGAPD LKGIRSIVLVPTRELAVQIHGEFQRLALGRKFHIVLLSKASAATITSHSKTCTVNYDV VIATPLRLVHLITNDNVKLDSVEMICLDEADRLFDMGFVEQIDDVFAACTNPKMQRMM FSATMLQGVEEMAQSVLKDPIKISIGTKNAGATTINQKLMFVGKEEGKLVAMKQLIQE GLKLPVLLFVQNKDRAKQLYQELVYDGINVGAIHADRTKEQRDKVIKDFRTGTVWVLI CTDLMSRGIDFKGVNMVINYDFPQSAVSYIHRIGRTGRNGRQGEAVTLFTENDMVHLR TIANVMKLSGCDVPAWMLNLKKASMRQRKQLLKAPPERYRIETVSGYDLQKANKRRSH RLNILSTTVVQYLHF H257_12221 MWAAQWVMSRQLVELLWALTSAAQWVMARQLVELLWALMWAAQW VMSRQLVELLWALTSAAQWVMARKAVVGTDEGGMVGSSVVGKEEL H257_12222 MDWLTNQDLFSASKLKVGAAVFTAIVSVMVVTTIQSPSDSDLSA SLSPKVNTPPAVDPNGTIGDAPDPFYSQRQPNDTTSAPTLGPYIVLPTTIDPALWNSS NATPPSPTWSPTTTPTTPPKENSTSTTDSTNSTSSSSSASPTFPTTDEPTIPPSSVPT TALPTNEPTSTEPPTSEPTTAPPTNEPSPTEPPTSEPTTAPPTNEPSPTEPPTSEPTT APPTDETLPTEPPTSEPTTAPPTNEPSPTELPPSTPTPMNTPPTNEPPDTSRPRQATP ETTPYDPAESIGTYASICNESIYMIPTCRGVACTGSGMFPRGMECPLYGDVGASNCSG RYCTAPEDGRCQMIKANTWGCVFPSLGCMKAIPSSRR H257_12223 MLKTLVKRALRKDSSGDEQGTNRPAAIIVKNKSSKKNKQSRVLD TAVFHAITKAVVERRKVSSTELCQTFEKLDEHEVGLLTEASFTKGLVKIGIKLKPDQV ESLADCFRREVKQKKNAPDIDYYAFVDFAIQERDTDVLVAVGDKLRRAIASFDKKADD RQPWNAMDELRRLDKKERGRISPDAFRTFLESNRSIEFELSMKQVSAVTERFEFEDDK GTSGVDYEQFATWLQPSLHVDVAQLHVHIKRLFAKAQAECNLSLKTIFEEIDDDDSGH VTASELKEALRNLGLPITDTQIKCLVDEYDVNGDGKIDYSEFTSAFAAKRSTSGNVND SDDNDNSSNHDMNKKKKQPKQRDDARRPLIPVATQTAIEKAVVRKDGHRLSGKVLCAV FEQSDTHELGHLDDATFAKCVGKLGLKLKSAQMKQVVECFRVRPNQKSKTKDVVDYYG FVDYAINIPDTDKLTAIGDKMRRAISRHDKNAKHDNEPFNLGGALRKLDKKERQWLSS DVVRGYLESSHGSVDFELTSKEVALLVGRFEFEFDSAKASNLAVDYEQLATWVQPALN VNVKQLHTRVSMLLQKAKNEHQLSLKNVFDAIDDDRSGSINRSEFKQALHVMGLPLTE AQAYCLVDEYDTSGDGRIQYSEFASAFGSKATSSSKDESEDDNTKKDAPKTKATRLVP VAAEEAIATAVRGKRKLSPADLCHAFEKYDKGDHGGGLIDEATFTKVVGKSLGIKLKS EHLKVLVECFRKGKSFKSSKSKTTADIDYVGFVDFATNIPDTAKVAAIGDKMRRAIKQ YDASSTDRQPFNVLEDLQSLDKRDTGRLKPNVFREYVESNPRIEFGLTEQEATRLTER FEFEYADKSAGVDYDQVAKWLQPSLHYNTNDLHKHVSKLFAKAKKDGHMLSLKAIFED IDDDGSGHVTRMELGDALSGMGLPLTSGQIKCLVDEYDLNGDGKIQYDEFAKAFSKPV DGSDGSGDSSSDEATRRKQKNHPVKSPRPSKSKPKTTRTTLLTSATLDAIAHAVRGKR KISATELCAVFEKYDTDESGLLDPPEFTKCVAKKLGIKLQKDQLNAVIDCFRKDGRVD YYGFADFAVSTPDSDKLCAVGDKMRLAIDTYNNQNSDSKQPFNMMHELRKLDKNDRWR LKPRVFRGYLKSNKTVTFNLTDKEVELVASHFEFEYAHDDDVGVDYEHFAKWVQPQLH VNVADLHMHVKALFHKAKKQCNLSLKQIFEDIDDDDSGYITRNEFQTALRGLSLPLTD TQIRCLVDEYDMNGDDRIEYAEFAQAFAVAPDTDESDAKSETSGAETESFRNRIVRRW GKVKKLAGRQRRKNEGVDDDEDKDESDRKTAKKTLATIKHLFSPKSAKPSKKQRHVSS ESDSDPPTTKSSNKSKTGAVESSDRDKPKTPTTTKTPKKKEHSKPVETTNHSSDDDDD YSDSRKKKQTSKTSRSSPTKSAKGGHAQKAKKGGQYATSDDDEAVSPSKTSPRKLNKQ KAALAADETSDTHSSPRKKAKKTKHKKKPQRQSDGSDDSSPVRTSSPSKRNHTSRPHE RSSSTSSVQSSSPRKAFQRGKPSRKKKPRAPVQSSDDGDDAPSSGMSVSDLRKFKDRA RSKSKHKSHKHRRDSSTDSNASDAEYFEHMKAALRTAFDFFDVDYSNSIDKDELGHIL RAVGDDVTVDELHEIMRQVDMDASGQIDFDEFYLMMKTRLRTKQWAFNAQTEMDVSAI FDHMDTDHNGALDIAEFQHALLQQMKVPLTQDEFYALLDEVDTNNDGTIDLHEFTSFM KVVQDVSTAGYHNDATRGIPRAALSAMKKVVRRAPVDPESSLLMLLGVPTNFRPSVTS TAVRMRKHTMEYVLSFPPPEVIYNMAQAGNLVTVPAQPPQEAWLGVEKSEAQHCQAIV SLKQAKGVPTPYDKRQQDVVGRKVRVCFFDVGDMSGTVAASSARPMSGNIVGNIHEIP VAWNKGEEDVWNFSKSSTKADDYKFIMRTNAPKDDLYLFIEFIVDLVSESASEMKRRE RKQTKEEMPPPLSDTSIEMTCCWAKVPLVNLLSTTVDIIRLEEPLFGGTILNPVELDE DEISRRRYGWRAIAKALKPYTPPMLSIKSLQIPKLSVQEKLQIAQLPSTVVAPYSAVP MLQEYMLLMMSVLSNLQSPSSVHTCEPALKLFPKILDDHIVYEAFRLVFDNEMRGPFK TPNDRTQRFCDLVLRMWPAFIVPKPRIADEETKECESKDDRAKHMHSMAKGKYGLRDV KETSVPFHVREVSFQRLI H257_12224 MPRMRGTVILLAAAAVYGLYGHYVGVHAGDTDGTCDATGGSTSC GMPSVLERGLLQRTPSYDSILQEEKQYGSDVLTKRFHGDTLGYVTPWNNHGYNTAKLF RSKFTYIAPVWYQIRHNDKRKPALTGGHDVDLEWMTAVRGDDTSGPQIVPRFMFEMNS LSPQEAERIISLIRSEVKDQRFDGITLEIPVLGVTVEFITSLGQALQSDGCILILVLP TSQRNDFIRVVSQRVLPSVHRLSVNAYDYSTTGPNSPLPWLKATLEALKPFQNNAKFL MGLAFYGYDTNEAIVASKYRELLDAHHPAIEWDDSAHECYFRYPVKHIVYYPCLQSIQ DRLDLYLSAQTGAAIWDIGQGLDYFFDLL H257_12224 MPRMRGTVILLAAAAVYGLYGHYVGVHAGDTDGTCDATGGSTSC GMPSVLERGLLQRTPSYDSILQEEKQYGSDVLTKRFHGDTLGYVTPWNNHGYNTAKLF RSKFTYIAPVWYQIRHNDKRKPALTGGHDVDLEWMTAVRGDDTSGPQIVPRFMFEMNS LSPQEAERIISLIRSEVKDQRFDGITLEIPVLGVTVEFITSLGQALQSDGCILILVLP TSQRNGQLTTSTDLIAKVLPSVHRLSVNAYDYSTTGPNSPLPWLKATLEALKPFQNNA KFLMGLAFYGYDTNEAIVASKYRELLDAHHPAIEWDDSAHECYFRYPVKHIVYYPCLQ SIQDRLDLYLSAQTGAAIWDIGQGLDYFFDLL H257_12224 MPRMRGTVILLAAAAVYGLYGHYVGVHAGDTDGTCDATGGSTSC GMPSVLERGLLQRTPSYDSILQEEKQYGSDVLTKRFHGDTLGYVTPWNNHGYNTAKLF RSKFTYIAPVWYQIRHNDKRKPALTGGHDVDLEWMTAVRGDDTSGPQIVPRFMFEMNS LSPQEAERIISLIRSEVKDQRFDGITLEIPVLGVTVEFITSLGQALQSDGCILILVLP TSQRNGQLTTSTDLIAKYGLNHVQMLGFHPSCFAKGAPVCASVVCERIRLLDHWPKLS AAMAESDARSVEAIPKQRQVSHGVGFLWLRHQ H257_12224 MPRMRGTVILLAAAAVYGLYGHYVGVHAGDTDGTCDATGGSTSC GMPSVLERGLLQRTPSYDSILQEEKQYGSDVLTKRFHGDTLGYVTPWNNHGYNTAKLF RSKFTYIAPVWYQIRHNDKRKPALTGGHDVDLEWMTAVRGDDTSGPQIVPRFMFEMNS LSPQEAERIISLIRSEVKDQRFDGITLEIPVLGVTVEFITSLGQALQSDGCILILVLP TSQRNGQLTTSTDLIAKYGLNHVQMLGFHPSCFAKGAPVCASVVCERIRLLDHWPKLS AAMAESDARSVEAIPKQRQVSHGVGFLWLRHQWQARDVNMGNVD H257_12224 MPRMRGTVILLAAAAVYGLYGHYVGVHAGDTDGTCDATGGSTSC GMPSVLERGLLQRTPSYDSILQEEKQYGSDVLTKRFHGDTLGYVTPWNNHGYNTAKLF RSKFTYIAPVWYQIRHNDKRKPALTGGHDVDLEWMTAVRGDDTSGPQIVPRFMFEMNS LSPQEAERIISLIRSEVKDQRFDGITLEIPVLGVTVEFITSLGQALQSDGCILILVLP TSQRNDFIRVVSQRVLPSVHRLSVNAYDYSTTGPNSPLPWLKATLEALKPFQNNAKFL MGLAFYGYDTNGKLVM H257_12224 MPRMRGTVILLAAAAVYGLYGHYVGVHAGDTDGTCDATGGSTSC GMPSVLERGLLQRTPSYDSILQEEKQYGSDVLTKRFHGDTLGYVTPWNNHGYNTAKLF RSKFTYIAPVWYQIRHNDKRKPALTGGHDVDLEWMTAVRGDDTSGPQIVPRFMFEMNS LSPQEAERIISLIRSEVKDQRFDGITLEIPVLGVTVEFITSLGQALQSDGCILILVLP TSQRNGQLTTSTDLIAKVLPSVHRLSVNAYDYSTTGPNSPLPWLKATLEALKPFQNNA KFLMGLAFYGYDTNGKLVM H257_12224 MPRMRGTVILLAAAAVYGLYGHYVGVHAGDTDGTCDATGGSTSC GMPSVLERGLLQRTPSYDSILQEEKQYGSDVLTKRFHGDTLGYVTPWNNHGYNTAKLF RSKFTYIAPVWYQIRHNDKRKPALTGGHDVDLEWMTAVRGDDTSGPQIVPRFMFEMNS LSPQEAERIISLIRSEVKDQRFDGITLEIPVLGVTVEFITSLGQALQSDGCILILVLP TSQRNGQLTTSTDLIAKVLPSVHRLSVNAYDYSTTGPNSPLPWLKATLEALKPFQNNA KFLMGLAFYGYDTNGKLVM H257_12225 MAQAAAIDTFSLDDGGCEDLDAEVSIKSPRSGQRQLTFSVDLLE KQEHIHESVYVPPEAGDLRVFGVMRIVHGCIAHGGHLAPNLYAPREIWALDRVRLVGL DHKLRLLEVCATQFKILEAMPAPNSTDNQSIFDHALHNITLSLAESKADLVRPFPNLV PESHPSVHEPTKIERDIVNAVGNNTMGKLTSLAFGFGRTLRKQAVAVVERANSAQFET ISKDALGRYAGLLSAFFDQVQFLAIWLDPSYAPPLGLAASFSEEELVRHRAIGHTLEA TARREIVLGLAHFLEEILVELVLRDVQSLLLSYLQRLSHALGAFSIDSAITTRPHRAQ TT H257_12225 MAQAAAIDTFSLDDGGCEDLDAEVSIKSPRSGQRQLTFSVDLLE KQEHIHESVYVPPEAGDLRVFGVMRIVHGCIAHGGHLAPNLYAPREIWALDRVRLVGL DHKLRLLEVCATQFKILEAMPAPNSTDNQSIFDHALHNITLSLAESKADLVRPFPNLV PESHPSVHEPTKIERDIVNAVGNNTMGKLTSLAFGFGRTLRKQAVAVVERANSAQFET ISKDALGRYAGLLSAFFDQVQFLAIWLDPSYAPPLGLAASFSEEELVRHRAIGHTLEA TARREIVLGLGT H257_12225 MRIVHGCIAHGGHLAPNLYAPREIWALDRVRLVGLDHKLRLLEV CATQFKILEAMPAPNSTDNQSIFDHALHNITLSLAESKADLVRPFPNLVPESHPSVHE PTKIERDIVNAVGNNTMGKLTSLAFGFGRTLRKQAVAVVERANSAQFETISKDALGRY AGLLSAFFDQVQFLAIWLDPSYAPPLGLAASFSEEELVRHRAIGHTLEATARREIVLG LAHFLEEILVELVLRDVQSLLLSYLQRLSHALGAFSIDSAITTRPHRAQTT H257_12226 MREDQFCICILSPTSIMAGYASISLRDESTPLTPRGRTKQAASS SSYKLLLGLVGGLCFVVGSTHLLAPLALTYPSTVSNLHKSTTESASSSIHLHHPERKV TKKPNATDDDDELFCGIARQKSGYIKLPHKEDDHYFYWFFESRRNSNTDPLVLWLTGG PGCSSMMALLHENGPCVIDKDLRTQLNPLSWNNIANMLWLDQPTGVGFSYGASEDFDS NEDQVGENIWNFLQGWLRENPSFIGRDFFIVGESYGGHFVPAAAHSIYTQNKLSKGRH IPLQGIAIGNGLTDPLVQYAHATDMTTNAYNLTLVSDKQRDEMNALVPECIRLIASCQ NDSTVCDDALGFCHGNLVTPLFTTTSRNPYDIRLDCPGQQGVGCYDFSYIEAFLNAPG TMAKLGVNTDRVPVWKECNFDINQQFSLDWMKVYSQLVPPLLEDGIRVLIYAGDADLM VNWQGNEAWTLALPWSGQREFKRATEKPTLFQGKQVGYSRSYENLAFLRVFNAGHMVP MDQPEVALAIVDSFLRNEEL H257_12227 MTARYPPRGELRTDFRQHVSTPEPDIASGAPIVVNFETAHNRSS INSSQSSQPRRTSSRHQNMPLPVPVERTVFETDVAILGASQNHTSKPQELNDEYYAYL WTIEGAWYKKLYFGIWGIVIAIVLGILVAFVIDESTRIDVDFTNLREQPKQFVLAQYK KAVGLLVLKRSINEWIGLPGDLLIRGLSCLIVPMIFVNVTIGVADIYSLKKGKMVGWR MFALCLLTTVLAVGQGMTISAILPDDLFQVATKLQSPGNAKRIVDMNGTNMTSVNPPS TKFGPPVSVTLRCPLKAANASELFMNTAVDPLTNVTRMVCSPNMATLALTDINNTFKA SVNWNVRPPTVAAVFFNLTDKLVTDNIVGAFTKDGSLVSLVMFAIPLGVALATLGVNN PVIDLFRQLNSIFLIMIGWVINFVPVAVVFLVASAFLVPEDPTLPVDVTTSTHDINDP VGYERLLLIMPQINVRSSSFFENLGDDFKPPLALILIFVLGSALHMLVVLPALTFVCT RRNPFAYMMQMSRAINFGFGCGSSLAALPITVKAIDNSQTVSHQLTRFLLPIGTGIHL DGAAFYLAACTVFLLRTEYLGRVTTDVNDTIALTVSRVLIMFFTCVINSWSCPPLPHG ALVGLISVWASMGVMEGGSVVNKPTYFVWIVAMDVLLDRFSTVMNIMSNALVIRIIAE QIDETYIDEQDRLNMHALDDADEDDD H257_12228 MCIPETYAGLATALNPWLVEHGLTRLPRLFACLEDMHAIMLLHG AYMGRVDVVEVALNDCGVSPAMTMLMIDLAAWNNQRLILDYFQQHPHATGWKCSSFAL VAAARSGHVHIVRFLHSQFPSVPCTEDAMDMAAEGGHFNMVEFLHVHCEGCTELAMDL ACEHGHLDVARWLHEHRSWEGFTECAFDKAAGNNHMAVVEFLHFELDAPSTEKAMDLA AENGHLGMVLWLHEHRSFQGCSERALGMAAAKGHLDVVKWLHANRSESGSPSGFAGGA AAGGLEMMEWLMAHCPDCDLYKGAMGKASKDGLLEVVQWLLSHGQEVTEAAVDDACLG GHMDVIKCLFQHRPSAFTSGAFHSAIEGGHLELVKWLYYDLGITHRDAMEGAATYGHF NVLKWLHELNSFTATTYVMDEAASYGHLQIVQWLHSHRHEGCTGAAMDGAAANGHFSV VKWLHRYRTEGCTIDAMNFTAAMGDLTMVEFLHTHRTEGCTSHAMDCAAANGHLTAVM FLHAHRSEGGTNYGFEWAVMEGRLGVAKWLHTIQGRAVSRGTLMFAARNGDLAAMRWA LLHSSEDISGVPFADYAIDEGRLSMVHLLLDMGCTWTMGAMDHTAERGHLAILIKLQG HWMYRYVKAMQNLIAMYLEAVEAAGGLRDAEPPPSKRQCL H257_12229 MATSTVRVQVLGGYRRLLRASQQTFGADKRAVVEARKAVRAAFL ENRDVHDGHALTGLIKGIEEAEAMLLYNIVQGKANEKGVFQVELSDPQASRIRKDEEL SELTPDSGKTPVVTKSCPDGGCPA H257_12230 MCPLRYILLALSLIVAFIGLSQAALEADEASSSAGDDDTTTDGS KAAKKSKFQTLVDMLSGRYLLNAYYGAPTAVKVD H257_12231 MLLRGRLLRAAIPLQVACFSTSTSPKWWEWSRLASWFTSTQPSE PVPQDVEPKTCVFIDGNNMLYAKFSPTCTLASNGVAIGATLGFLHELHKVVDKMKPHR VCVFFDTPVITQRKKEDPTYKAKRERMGDALRRQFPLTGSVLKSLAVPVVQVPGVEAD DMIASYTKASLESGFNVIVVSNDSDFFQLVQSNASPTVSLYKFRTRWLMGQDEVLQLI GGTSPRLHPDLRALRGDQWGKTPGLPGGISKELAVELLETAGGLLPLLESLDNVQDEA LRNRLHASTDMLKRSYRASKLDDSLALPIPLQDFAMGRVNQAGLALLLTDPTLVKTHA LEV H257_12231 MLLRGRLLRAAIPLQVACFSTSTSPKWWEWSRLASWFTSTQPSE PVPQDVEPKTCVFIDGNNMLYAKFSPTCTLASNGVAIGATLGFLHELHKVVDKMKPHR VCVFFDTPVITQRKKEDPTYKAKRERMGDALRRQFPLTGSVLKSLAVPVVQVPGVEAD DMIASYTKASLESGFNVIVVSNDSDFFQLVQSNASPTVSLYKFRTRWLMGQDEVLQLI GGTSPRLHPDLRALRGDQWGKTPGLPGGISKELAVELLETAGGLLPLLESLDNVRRPC HVLRMNGSCRSKTKHCAIGCMPQPTC H257_12232 MSAAAATIFEVERLEAKFGFLYKWLVQCSPSGNVPGSHELVESD VKALLQLHEPNDATLDAKLNDVLANQAKTLPLAAFQQYMVTKRPIDNLEEKLKLPSSL S H257_12233 MAYRPLSQHGGSTSHDSIQYESVEFVTYDARFTKGDLSHLQGDI APPTFAPCGCGPTTFSWRNKMISLTKWLLTLLIGVATAHVAVLINLATSSLVSWKFHT LQALLVQEAQGTSMHGMGVLFLVACNAWCVGIASLLTTFWAPASAGSGIPEIKSTLNG VHVKVWMSMDTLVCKIVGVILSVSGGLPVGKEGPMIHSASIIGAFFSSKRSYQGCGWR PVVFLQEKDVRDLVTCGAAAGVAAAFGAPIGGVLFALEEGASFLSPKLIWRAFFCAMV AACVVFGSSLASFSETASKSSLFAFGKMVDPTVDRASYLPWELVVFMAIGAVGGVLGA IFNQINKCIMYRTQLRQLPLPLKVVYVMGVSVGLSVVWYFLSAFIGTCQTMPPAISTT EKARLVNHLVPFTCPSGQYNDLASLFLAPQDAALKQLLHLQSTLASPPTFTTSSLVAF AFCYFLGTAMVFGSSITSGVFVPCILVGAALGRVAGRWMHSDELNFVNLSTYALVGAA AVMGGVTRMTISLAIILLEATGDLQYALPLMMALMPARWVGNLFSEGLYEVHIHCRRL PFLDWSPPKGAEHMSVTLVMKPEPVSCFGKRERVGTLLAELELHPQQMCWAVVERRYD LHTGQPEKVLEGTIQRNVLVRLLHQKATWNPFSCADHESDRTNVHVLESDHEDAVPLD MSKFSVADRECFVNLEFYVNPSPGLINVEASAAQAYRQFRSLGLSFLCVVSKRGELMG VVTRDEMHALAMGTIPKGSHGSSSSYMAK H257_12233 MAYRPLSQHGGSTSHDSIQYESVEFVTYDARFTKGDLSHLQGDI APPTFAPCGCGPTTFSWRNKMISLTKWLLTLLIGVATAHVAVLINLATSSLVSWKFHT LQALLVQEAQGTSMHGMGVLFLVACNAWCVGIASLLTTFWAPASAGSGIPEIKSTLNG VHVKVWMSMDTLVCKIVGVILSVSGGLPVGKEGPMIHSASIIGAFFSSKRSYQGCGWR PVVFLQEKDVRDLVTCGAAAGVAAAFGAPIGGVLFALEEGASFLSPKLIWRAFFCAMV AACVVFGSSLASFSETASKSSLFAFGKMVDPTVDRASYLPWELVVFMAIGAVGGVLGA IFNQINKCIMYRTQLRQLPLPLKVVYVMGVSVGLSVVWYFLSAFIGTCQTMPPAISTT EKARLVNHLVPFTCPSGQYNDLASLFLAPQDAALKQLLHLQSTLASPPTFTTSSLVAF AFCYFLGTAMVFGSSITSGVFVPCILVGAALGRVAGRWMHSDELNFVNLSTYALVGAA AVMGGVTRMTISLAIILLEATGDLQYALPLMMALMPARWVGNLFSEGLYEVHIHCRRL PFLDWSPPKGAEHMSVTLVMKPEPVSCFGKRERVGTLLAELELHPQQMCWAVVERRYD LHTGQPEKVLEGTIQRNVLVRLLHQKATWNPFSCADHESDRTNVHVLESDHEDAVPLD MSKFSVADRECFVNLEFYVNPSPGLINGAFPLVV H257_12233 MAYRPLSQHGGSTSHDSIQYESVEFVTYDARFTKGDLSHLQGDI APPTFAPCGCGPTTFSWRNKMISLTKWLLTLLIGVATAHVAVLINLATSSLVSWKFHT LQALLVQEAQGTSMHGMGVLFLVACNAWCVGIASLLTTFWAPASAGSGIPEIKSTLNG VHVKVWMSMDTLVCKIVGVILSVSGGLPVGKEGPMIHSASIIGAFFSSKRSYQGCGWR PVVFLQEKDVRDLVTCGAAAGVAAAFGAPIGGVLFALEEGASFLSPKLIWRAFFCAMV AACVVFGSSLASFSETASKSSLFAFGKMVDPTVDRASYLPWELVVFMAIGAVGGVLGA IFNQINKCIMYRTQLRQLPLPLKVVYVMGVSVGLSVVWYFLSAFIGTCQTMPPAISTT EKARLVNHLVPFTCPSGQYNDLASLFLAPQDAALKQLLHLQSTLASPPTFTTSSLVAF AFCYFLGTAMVFGSSITSGVFVPCILVGAALGRVAGRWMHSDELNFVNLSTYALVGAA AVMGGVTRMTISLAIILLEATGDLQYALPLMMALMPARWVGNLFSEGLYEVHIHCRRL PFLDWSPPKGAEHMSVTLVMKPEPVSCFGKVISIVLCMNDWHDGNMDMCRESEWGRSW PSWSYTRNKCAGLWSNADTTCTLDSPKKCWKARFNGMCWCGCSTKRQRGTHFRVPTTK VTARMCMSWNPTTKMPFRWTCPSSRWRIGSAL H257_12234 MARKRNNRRGDDSDDEPAPAAAAAPPAEENAKGSKKDKSKGGKK QQAAAVVVDENDDEDEEDPYVQAAAAAASKKKDKKKDKKNKQAYADEDDEVDNVPAED DEEDDPYVQAAAAAAAKKKDKKKDKKKQAVVVVEDVDEASDEEEDPYVLAAAAAAAKK KDKKKDKKKQAFVAEHVEAADDDNDDDDADDPYVQAAAAAAAKKKDKKKDKKKQAVVI EEVESEVDDTDIVVEGIEQAAAADEEEEEEVVETKVVPASDADKKKDKKKAKKKAAFA AALLETIEKLDDAANDPTTTSSEAAAKKKKDRSAKDGKKLSNKERRKLKEDADATERD AEYHRAANPMDGKQFSVSQQAFTEDANWENATDIHIDNFTINAHNKLLYDNASLHINH GGKYGLVGPNGQGKTTILKMIALGELRIPPKIDCLYVEQEVVADDTRAVDAVLKADAE RWALLEEETALLAQLDEAPSDQLDERLNEVYEQLAGMNASAAEARARRILFGLGFDSD MQEKVTKDFSGGWRMRISLAKALYVEPTLLMLDEPTNHLDLNAVIWLDDYLQKWKKTL LIVSHDADFLNSVCGEILHLESKKIVSYKGNYDMFKEMEVQKRKQAEKAWEKQQKQLR ALKAKGASSSKASDIVKKQREPGARADKKKAKSSVESAQDSGKTLELLERPREYTVKF SFKEITQLSPPILEVREVSFKYGNGPYLFKDVDFGLDTGSRVCIVGPNGVGKSTLLKV ITGDLTIEEGEVRRNGRLRMGVYNQHFVDKLPMGETPVEYLRRLFQDITYQDARNLLG KVGLEGHAHEIKNRLLSGGQKARVVLAELILMNPHMLVLDEPTNNLDIESIDALCDAL NAFDGGVVVVTHDARLIAAINCVLWVVGDQDCIAYNGTFEEYKSAILDDIMKKAEHEA SRIQDNAAKKAEKRAEKYKK H257_12235 MAATKGHLDVMERLHANRSEGGSPCEFSGAAAARCLDGMQWLVT HRPDDNCFDEAMLAASGKVIGWLLSQVAIWSWPNSLMAMASHTPERWMWLHHAVIARA RVASRLMCRRRLWTNPPRTAILELSNGFTNIDARAAPPRPWIVRLKKDISALCSGYIG TERKVVQPTL H257_12236 MLLLRRLQIPPHLARDVYSNEVYASLATTLDPWLMEYGVTRLSR LFDCLEDMHAIMLHHAAYSGRVDVVEVEIRVRGVSTAMTMLMIDLAAWNNQRLVLDFF QQHPHAIGWTCSSFALVAAARNGHTDIIMQFLHGQFPSVPSTEDAMDMAVEGGHFNMV EFLHVH H257_12237 MASADKQVISGWLLRRTTMGFFQVKGTGDWSRVWCEFDVLAGLF AVYLDGEKHRRLLNWKIQVCTINHVRNDGRFCIELEYGQQRKKETFASTSKSYDIEWW FDVLASSKLALAEGRVPNPPLLVRTPGVVTHVPVGKKDKVKATPKPLRRRQPKLSASI QRFERFTVYETRTHFYLVGSDRLYSQFRMFTLDRTVKDPANLAAIFTDEPAVLGWDAM EATLQELDFDARKSSASAPSTPVGLVRAFSAVAIVGFVKFLQGYYLVLATQRRKIGCI GGHYIYAIQSVQYLAIRQPAATDGTPWTWVNRWFNPDAVEDAEDRYSVLFKLVDLTKD FYYSPTYDLTHTLQHNMTTNQTKPFNMFEWNHFLTDEFHACLSSPTARDDWVQPLVMG FYEQRKCSLFGRLISIIVLARRSRHFAGTRFLKRGVSDTGKVANDVETEQIIEDESTQ GKLSSFVQYRGSIPIFWTQESSVTVPKPPIKLNRIDPSYTATQMHFADLFERYGSPVL VLNLVKQQEKTAREKLLGQEFKDAIEYLNTFLPPEHRIRYVALDYSRLSKFKVDHSKV EAVRQGLEKVGAWALDQTGFFCSAPKRQIGTGTNKRTARRTTIPTMSPMPKALGGGGP TPPRPTTPPLQGHPAPPDALALKPLSPTIPRDSGDWLEQHGVLRTNCIDCLDRTNVAQ FSVAMLALGQQLYAMGIRNTPVLESSSQILQELTTMFGHMGDVISMQYGGSEAHKNVT KSKENIKAWELLTSIRRYYSNAFTDNLKQDAINLFLGIYVPSPSTSPLWLLESDYYLH NVKIQRGLALSEMGPFHRRTNLKQQRSADDKRLALYHRDALQPQWWKPSIEAFDAPKF IDRQGGGGGAVKPTPRTSSSSSSVDHHRKASTASIGSMDDSILQATDKDELFFFDKAL GHTFMIPVYIVAKDASSDGGHVQHLHHHAMAPPAAASLVRSQSSLMAQKVRAMSAPDE GGGGGAASSTDVTMKRSLSNVGLKDLDVGKVEGSTHNNTNSSHTGEQPSLNIEEYAAA RGIRKEYIGTCTHTTTPDAAYVGYVESKGNLAFWEKDNKSVRSDFVVYLREFSIDADD VDGIHDAAVRGGCTYKIQTGVYAGLDQHTKARALLPTKKFVLKTSDMLLADDRKDDES MWNRPVDPATADLYASYFDQTVNMSWHPAEHGVVGDESITTDTMQSSQLDQTTTATGQ YDDYILFNASAADHQFGDVMKTVNELSFVKKN H257_12238 MSFRELRNFTEMMRALGYPRPVSVENFRKPNFELVSDLLYWMAK KYDPSSSVTEEIDTEEDRIVFLTSVTSEFHSKARIKLNAKKLYAADGFAVKELIKVAR VLYEASRIDPSTVEDDDELSTKSLLGSKLKDAKATRALSAEITECGAKLYDLLDNELE VREARVQAIRFLDTVSSNLESTNEHKYLEKSIKSIIVSVQENVESMERQCSELDAEEK ALGAKIKKAQGDLERSEKRLKSLQNVRPAFMDEYEKLEKELERQYIIYCERYRNLDYL QHELDLHNDREMKKLQENDRTLKKMQKKFRDDELAILRGEQEEIDIPVPDDKDPKKSS APPQSKKDQPRKSKAAATSSDSESEDDEEEDDEDTQGGGSGRGGAATASAPTKAGKKQ PTPQPSKEAANDSDNDSDEVSVADSGELIDNDDDSATGSDGESTGKSASGGSESESES DRDF H257_12239 MPINWAELRRISVVVQRDLDANGLDEHEDYESVLAMWEAELADA KGSDTTAAPAGWYTSGAKYWEDESNCPPTDDGVLGGYGRISPADVEGSASFLDRIQTL RPTMKRNLAIDCGCGVGRVVKHLLLPRYDSVDCLEQSQRLLNTVPSYIGRSSDTSRIR NLYCMGMQDFQPEPHAYDLIWCQWVLGHLTDSDFVAFLKRCQKALAPDGVICIKENAI NEGVPYYVDKDDSSLGRSSVYYKSIFRQAGLTLLAETEQSDFPDELYPVITYALY H257_12240 MAWDGYNRVYFTTSMAVLVASFQYRHYRDMFLLEGYAGLATALN PCLIEHGLTRLPRLFDCLEDLHAIMLLHGAYSGRVDVVEVAIRVRGVSTAMTMLMIDL AAWNNQRLVLSSSIRIPLGRG H257_12241 MNGAARNGDLDVLQFLHANRSEGCTELAIDLACEHGHLEVARWL NEHRSWEGFTECAFDKAAGNNHMAVVEFLHFELDAPSTEKAMDLAAENGHLGMVLWLH EHRSFQGCSERALGMAAAKGHLDVVKWLHANRSESGSPSGFAGGAAAGGLEMMQWLVT HRPDDNCCDEAMLAASGKVIGWLLSRPNFASTTRVGVATSTRANYWKVASYGDHNESN NSSNACEPPERIHDAC H257_12242 MYTLRHLLSDCSGKMTRTSCAAEKKKETLEEVWAALNAWIEARY NEGKGVNVAPFCKISWETVTISRNTRKLRPIFILHETYAKTYGLHYKKRITAPDIAAL EDINFTKIAIKFSKNLNKDTVFCGVRDLLHKIGEIASTGAQMSIDMALGKIVAKNRTV HMLFDPKLFPKHLETASTTSAPPSILGDLADFDLLLDESTNPFGDGEEGHLQDEPLAI TPGRHPSTPRPVKLQPHRLDTAASPHKTALVLPSVEKPPPILATPPTLSQLRSPRPAS RNGQGYQSVDVPSRDFTTMALSPPQLRQHPAFNEPSFDFSFKEILKHELERSGSSASA SATESSVADAAYERHIRRIEKDVELEAQHSMDIHRHHIKDLDEISSEKRKKRANAERL QESIKLQMAAAAAAREKQKRVQNGTDPSENTFLSHSQHSRLGFNSADALKKNQNNLHQ YLEAQMLEKDQKRREARSNALADDKQFLAKLERDIQEDREYVTSEQQRQRQILTQAWE KDHSIKTATKQSRKIMHDRIKTAIATSPARHSAGHQVGDEGGDDFSVGFDSRAKSTGK H257_12242 MYTLRHLLSDCSGKMTRTSCAAEKKKETLEEVWAALNAWIEARY NEGKGVNVAPFCKISWETVTISRNTRKLRPIFILHETYAKTYGLHYKKRITAPDIAAL EDINFTKIAIKFSKNLNKDTVFCGVRDLLHKIGEIASTGAQMSIDMALGKIVAKNRTV HMLFDPKLFPKHLETASTTSAPPSILGDLADFDLLLDESTNPFGDGEEGHLQDEPLAI TPGRHPSTPRPVKLQPHRLDTAASPHKTALVLPSVEKPPPILATPPTLSQLRSPRPAS RNGQGYQSVDVPSRDFTTMALSPPQLRQHPAFNEPSFDFSFKEILKHELERSGSSASA SATESSVADAAYERHIRRIEKDVELEAQHSMDIHRHHIKDLDEISSEKRKKRANAERL QESIKLQMAAAAAAREKQKRVQNGTDPSENTFLSHSQHSRLGFNSADALKKNQNNLHQ YLEAQVVLRLVVSYIHGGLSINTYRCWRKIKSDERRDRTP H257_12243 MDQADRAKRLKALRDARDKKEGFVPAEEKEEVVVVKDVSLTAQE GSVSPAGDVATSDDNTTDEVLTIAPKKPTWDLERGLEKQMKKLERRTQNAIVEILREK MEREMQNDEDDGSDEDAEAA H257_12244 MVDIAMSFSPTTAARLKRRRDSHFSSVLPPSEKCSRRSTSDCPP NHAGVLSDPGGVLTTAFELSTIQTDSEAMVIAAEMSIDFYNEAFALYNMMSDFRTSGS EATTMDVLIARLDLHVHDERILADVENVRNIYRGLIHVFVYLYREHYRQFAQLDMASH LSLCWHRLVAFATEYRVLDPKVLHGSYEYTMSLVRQK H257_12245 MASGKSKVKMWKNAYVHFADKKRMELTKEHPSMDNATISRELGR LWKGLPADERNVWINLAAYDRARYVAECHMEATLDPSPLPGKASALSTTSITVDTSPE YDMSSFSMMSPHPQSSSQPTTTYGDPLSQFSLPLLDTTPPSLPSSSKKRKRSTSSSGS HVQLAYYYFRRTKRDSVLAANPSMLSADVNREIGRLWQALRPEQKQPWTQLAIAHAKS NQSDQSVGAANVRPKLKDPLAPKAPRSAFHFVVEARRMERPDMTYKEVTKEAAHMWRH LPDDKRAPWIKLERQDRLRYEQQIKLYKAPSYARDIVHSTDEASTKPQSAYAHFFREK RTLYPDLSFVDVTRELSRQWKRMAVDAKRPWLQKALDDKLQKKNRGAHHSISSAHPTE QQQKLSSLPSLLHPRLSRRKPRIGPTRPKSAFVHFQLHTRHSMPDVPYKEYMQTIAAM WKATPDEGKRPWQQLAKEDSERYTRECAAASETTSRSSATTTMDPSTTSGALAAHNAT PGGAKSPLAARLRYGFACFVQAKKHDLLATTPHLTHNELLHEVGKLWRGLSPMERQPW RELLDTPKTQQVVDPQDPTTSTACSALEADDSTHLELMEGLWGDDASVTTSMFYEDDL SQSHHHLSLPMMEDMDGMQHHNHPHHSSEMHLQ H257_12245 MASGKSKVKMWKNAYVHFADKKRMELTKEHPSMDNATISRELGR LWKGLPADERNVWINLAAYDRARYVAECHMEATLDPSPLPGKASALSTTSITVDTSPE YDMSSFSMMSPHPQSSSQPTTTYGDPLSQFSLPLLDTTPPSLPSSSKKRKRSTSSSGS HVQLAYYYFRRTKRDSVLAANPSMLSADVNREIGRLWQALRPEQKQPWTQLAIAHAKS NQSDQSVGAANVRPKLKDPLAPKAPRSAFHFVVEARRMERPDMTYKEVTKEAAHMWRH LPDDKRAPWIKLERQDRLRYEQQIKLYKAPSYARDIVHSTDEASTKPQSAYAHFFREK RTLYPDLSFVDVTRELSRQWKRMAVDAKRPWLQKALDDKLQKKNRGAHHSISSAHPTE QQQLSSLPSLLHPRLSRRKPRIGPTRPKSAFVHFQLHTRHSMPDVPYKEYMQTIAAMW KATPDEGKRPWQQLAKEDSERYTRECAAASETTSRSSATTTMDPSTTSGALAAHNATP GGAKSPLAARLRYGFACFVQAKKHDLLATTPHLTHNELLHEVGKLWRGLSPMERQPWR ELLDTPKTQQVVDPQDPTTSTACSALEADDSTHLELMEGLWGDDASVTTSMFYEDDLS QSHHHLSLPMMEDMDGMQHHNHPHHSSEMHLQ H257_12246 MDGAAQAMESPASLKIGYRGEIHRIRVDLATFGFHDLQELFAST FRLCHGSIAIQYKDDQRGSIVHDAIDSLRFFAVSNTEAMFHGHVAESMRTGAVVQQDQ LDTCSLSALTLHNPHDAVVVYTATDQAVDVSLAPTQCTIERSLQLNNLGKLPNPAMPN PSTSDDPRGLAKWAAELALVRIIVPNADTAILVALLETSKGNVHVVMDALTS H257_12247 MSTYEKTLIPPLNFSMVDSGVYRSGFPNKKNHAFLQQLGLRSVL YLCHQEHRPENIVFFEENNIQIFQCPIDGNKEPFLGIHPEAMINALRHLLDVRNHPIL VQCTKGTVSRRHFSSSTCKQH H257_12248 MTTTTHIRWEKAAPTTDITMEDPTRRYAHAATVFRPNDQAGTLN QVIVYGGAMLSEGEKADTPLPDVWLMTIVGETTPPSAAAATATPSPVGATPTVEWKRL RSFNAPTRGHNKYHTMVAVGADVYVFGNRAMGTLKEERFLQHLFEIQKFRVDVATLSA TWEAVKYNAPSMIAGRLGHGTAVLADGRIVCYGGKDVGINSTRYYNDVIVFDPKTLDV TYHPEERDQSASRAYFALAAAGSRLFLNGGCAFAVDGQTMTVMSKSSPLRLLDVTRAV PPRSSRWRDIKFDHVKPINAARLDHSVAWLNGHTLLYLGGTNVSSYTILDPWAFNIQT NNVVNVVMSGSVPSKRANTVLVQVDATTLLCLGGKERIKRREPGPRYGNVHTPGVYVG TISVTDEATLPRPPVTPVGLLKRKRSATDSPEAPQKPLKRLDVTTRPGGGGGVATADG DMKESSKQSAPTVVPRPLDAELLSIQNDDRIVALQHEVDALRHENAQLRIESHRWQVE ASHAKEQQPSTQLHEIKLSIDNVAMMVDEKRRYQSNSQSTVNSSQDSPSDLLVNADGH PLSHAALQVELHRASTRLAAAIRKASESSKMAIKSRKAAEADQDALVAAAEKVADISR AMTRDGANNDSTSSGSATQEDSVL H257_12249 MPSNNQTSHHPQHFHTLHHEDLEAGHHHPTTSQEASKAVQDYLD LVRVEIGDQDELYRQFMDGLQSFLVEVPEKYRRMLEIFQGRPSLVAGFNIFLPDMYRN YDLTPPRTSSFGPFAKQPVATPPPAPKSTAAPTARTSSKQPLIWSTSSLVPHCTTTTA EYVESLHSPKSRPSFSFGKPTTQSSSTVVAESPFMDAAPQAPTKSSHEQKLFGQQGYT PPQLPPKGRPTQVAAVPRAMPAKLGDFGSFSLPLAATASTPPSSTGLNFFSSNTHKTG LSFSSTKPLPGFGKPAAVTTPSSHAFERAATTTPAAVTPQAAPPSSDWLVSFNSPTPG KTTPELTSPCYELNVPGSAPSSSFGCHHPTKVHMPWTFTPATTAPAAVSSLATTDSFV RMVHQRFHANPAKLSTFQTLLSQLQRHYCTQSHLLMQLCATFGHQANDDLLGQVATFL QLSCSHHSNSQSKQTSNSWPTRCAHVMDGSDVVPLMAFNSTTTTLAQSPRGLFHRPSN DATQPSSPFSTHANQPSNTSDERVVKLGFQAHFHRIRVRATSFTLADLEALFHHKLEL TPGSFTIKYKDNDGDYVHVDTVADFNEAMHLAETTKRFRFDALPVQPTTTTEAAVASP EPSLVEDTCVSNQYDESVVGDEEVDVHEEPVDDASQGDWRHTPEPDTDSEQPAAVVVP DEELEVSTTEASALTAEHALKWAAQLAVVQEVIPTASGQDVVRKLEAAKGNLQVVVNQ LVDM H257_12250 MEQQQLTAIKVGFNGEIHRLRVDLAAFTFADLEALFETTFTLNA GTFVIQYKDNETDHVNVKSAADFAEAVRFFSTSSDDAVKSLRFIAVPSTQLVFHDNVA EPILKVIEHLVQTLNEAMEKVKQEQWAAKAQENARDFAAKAQESAGEWASQAQVNAGV WSVKATDAVHTTGAVLNETLTQTSVIVSEKLAEAGAVLGPLASKTVEESKVAFEAAKK GLNEIEFDKIKQSLNEIDFDRFMKDATEGMKAAAVVATTYANNLVSELNKLKEHTVEV TVVSVDHEAEAPVAVAVDAPMEDVIEVAVPVPGAAESEWEQVVDETTPAPSAEELKWA SQLALIREVFPTSSSDSVVALLEAANGDVHVVLNQLVEL H257_12251 MPSRTSFSGGSAAILVALLVAIVLQTWHHPSSSFSVRGIPSIEW HDSVLPSLHAARTPVLLVHSPTDKWNTAQWTLAHVLSRFNRITVHSASPPVFKHYDPS LELSSVCPRPFNTSTVNTSYAHALLTSTHTDRDQHDDVPSTGYYINDELRLLAPHLAA DIRPTSPFVAPSASSSTFVKLWVGGPKVVANLHYDATHNFFHQIHSPKTFILFPPDAF DSLYVHSRLHPSHRQSQLDLTLPEADLLARFPRYRHALPSRVQVTLQPGETMYLPPFW FHCVITTAPSISVNVWSDSMELSLLESLLQNAMPYLSSFEAHGWVHDLPSHLFALQLY VTTLLGRVMPHPRDWIAMHIDSITSSQGGAGAATAWPLDPFDCGAPSPSRCTLQRIHD AMKSHVDKVMATSFDRMPTNASKVILMSSFVEAVLAHTVDPVHVPAFLHACIATPDER DVFETITTNH H257_12252 MPCTIKIRLVEARGLPVVERASKVADAYVDITFASFEARSTVSK KSLTPRWDEEFRFDVVDDAVLQSQPIMFKVMDHDVYTTDATIGIVYVDLNCILMKEGC SVQGWYPIYDTLLGVRGELHLVIRLHYFGDINPFRESSAGVQFFSLSTLDPAIFTTQR MLGFVEELVVHADPEYSWSDSFRTSRKSNEYRQLLLYKLSSQVSTMVGKKALDLGGNA VLGYRQFFDVEGDSGMVARACGTAVFLVPTTDTSGVAAPIDGDVDALISGLLKRSVGT DDAATLPSPSVDAAAGSADQGSGSPRLATMASLRKFTSTFKPQRTTKTFELGAHDEIQ LLTVKAFHPDTRVRLGGIVTARSVKFLGKMATKLADQETRDSWWLELREEVRAHAQSL QCSFVIGYNESCTIHDDVCVMSACGTAAVVKYPKKPRRPTAAFPPDATAAPLSAADAL DASPQLSRSARRPTKASSNRTVFHESPCMMCHIPYSRSLAPFSNMRMVRCGVCGRKWV PEMLLCSTEPLCGMAITGSGTFIQARVCRQRRRGTGDANATIVSEALPFLEYEMHRQL MAKMRVLGVNALFGFESQVQISGGFVIGIITGTGIYLPALPMPQPLRITRNIDVKDDE DRRLVQLQSQIEAKSNRNRALMKQDAKCVVPPEFKAERAKIDSDDRLGHKRALRKLKK KDDVIERKPSMEGTVEVLVVADEDKGSSSDSSSESDDEVVNSDSNGDNKHPFVLEIDD ETDEDLMSVLLEQPLPEGVSICNTDSLPGTTQHGANIHLFLSMKRVEWDEEHTRDTRV NVLFSHVFKMLFTSLLFKLRAFAPCVVCGLRTRVALAGDNMIEVVLMGMAMLAGPELP PLDSLLPLAGRRSTSLEPDDADDDDGEPSDHSSLRLQILQNASSFRPPQSPSADIAAF IGPHAREWIELTPLSYVPGAKILRYLGRITLHFIKESWTVRECGGLGAFFHLFLSEAI SVVRAHVRALGGNAMLSFRLVPIESSQLYRNQVYNMISVTGDAVLLEREQSPLPVGWH TSPVARLHMPPVDYFGSNSTDDLTTAPDE H257_12252 MPCTIKIRLVEARGLPVVERASKVADAYVDITFASFEARSTVSK KSLTPRWDEEFRFDVVDDAVLQSQPIMFKVMDHDVYTTDATIGIVYVDLNCILMKEGC SVQGWYPIYDTLLGVRGELHLVIRLHYFGDINPFRESSAGVQFFSLSTLDPAIFTTQR MLGFVEELVVHADPEYSWSDSFRTSRKSNEYRQLLLYKLSSQVSTMVGKKALDLGGNA VLGYRQFFDVEGDSGMVARACGTAVFLVPTTDTSGVAAPIDGDVDALISGLLKRSVGT DDAATLPSPSVDAAAGSADQGSGSPRLATMASLRKFTSTFKPQRTTKTFELGAHDEIQ LLTVKAFHPDTRVRLGGIVTARSVKFLGKMATKLADQETRDSWWLELREEVRAHAQSL QCSFVIGYNESCTIHDDVCVMSACGTAAVVKYPKKPRRPTAAFPPDATAAPLSAADAL DASPQLSRSARRPTKASSNRTVFHESPCMMCHIPYSRSLAPFSNMRMVRCGVCGRKWV PEMLLCSTEPLCGMAITGSGTFIQARVCRQRRRGTGDANATIVSEALPFLEYEMHRQL MAKMRVLGVNALFGFESQVQISGGFVIGIITGTGIYLPALPMPQPLRITRNIDVKDDE DRRLVQLQSQIEAKSNRNRALMKQDAKCVVPPEFKAERAKIDSDDRLGHKRALRKLKK KDDVIERKPSMEGTVEVLVVADEDKGSSSDSSSESDDEVVNSDSNGDNKHPFVLEIDD ETDEDLMSVLLEQPLPEGVSICNTDSLPGTTQHGANIHLFLSMKRVEWDEEHTRDTRV NVLFSHVFKMLFTSLLFKLRAFAPCVVCGLRTRVALAGDNMIEVVLMGMAMLAGPELP PLDSLLPLAGRRSTSLEPDDADDDDGEPSDHSSLRLQILQNASSFRPPQSPSADIAAF IGPHAREWIELTPLSYVPGAKILRYLGRITLHFIKESWTVRECGGLGAFFHLFLSEAI SVVRAHVRALGGNAMLSFRLVPIESSQLYRNQVYNMISVTGYVMYSQYICIQTGVGMR CCSSENKAPCPWDGTQVRWPVSTCPQSITLARIVLTT H257_12252 MPCTIKIRLVEARGLPVVERASKVADAYVDITFASFEARSTVSK KSLTPRWDEEFRFDVVDDAVLQSQPIMFKVMDHDVYTTDATIGIVYVDLNCILMKEGC SVQGWYPIYDTLLGVRGELHLVIRLHYFGDINPFRESSAGVQFFSLSTLDPAIFTTQR MLGFVEELVVHADPEYSWSDSFRTSRKSNEYRQLLLYKLSSQVSTMVGKKALDLGGNA VLGYRQFFDVEGDSGMVARACGTAVFLVPTTDTSGVAAPIDGDVDALISGLLKRSVGT DDAATLPSPSVDAAAGSADQGSGSPRLATMASLRKFTSTFKPQRTTKTFELGAHDEIQ LLTVKAFHPDTRVRLGGIVTARSVKFLGKMATKLADQETRDSWWLELREEVRAHAQSL QCSFVIGYNESCTIHDDVCVMSACGTAAVVKYPKKPRRPTAAFPPDATAAPLSAADAL DASPQLSRSARRPTKASSNRTVFHESPCMMCHIPYSRSLAPFSNMRMVRCGVCGRKWV PEMLLCSTEPLCGMAITGSGTFIQARVCRQRRRGTGDANATIVSEALPFLEYEMHRQL MAKMRVLGVNALFGFESQVQISGGFVIGIITGTGIYLPALPMPQPLRITRNIDVKDDE DRRLVQLQSQIEAKSNRNRALMKQDAKCVVPPEFKAERAKIDSDDRLGHKRALRKLKK KDDVIERKPSMEGTVEVLVVADEDKGSSSDSSSESDDEVVNSDSNGDNKHPFVLEIDD ETDEDLMSVLLEQPLPEGVSICNTDSLPGTTQHGANIHLFLSMKRVEWDEEHTRDTRV NVLFSHVFKMLFTRY H257_12253 MASVQGIPEGWEVLTSRSKGLDYYFNKKTGKQYWVDKDLPEGWS KIIEADRRTYYFHISDEKRTRSYEKPTMLAPASPPPPPQRPHAPSIDASVEPPPFHPP QRRGSNSLHDLLSPVGAPSVASPDEQHTTSRHDRSEHGHEDDVDSSKAKFARHHESSP ASIPSHEHRRSSPKPPREFEPVWSGSATRGAVPITSRSGGTTSDRTDQEKAAAFYSNL SRKKTSDRADSRLYHMRCMNNWVKSVLIQEYSQKQSRVLDLACGKGGDMNKWAKHNFQ LYMGVDIAKGSLEDAAARVQQNGDLSRSDIHLVHGDLGEVSLLQDTLLCWTTRRDWHR GVPVDRPHSFDVVSMQFAFHYMFCDEPRATRFFETLHQSLRPGGMFIATTIDPNRIIQ KLMATVGGTEVVDGNVVGPAPIELQDAKGRTLCTIRMDPSTRDRLLHPSRDDQGFGLR YMFTLNDGDDEEAVNLPEYLIPSSMLRRLLDLHGFDLVLQENFQTFIGHNKDAHRHLL MKMNVLNFQGTISDVEWDIAGLYQVLAVKKRAT H257_12253 MASVQGIPEGWEVLTSRSKGLDYYFNKKTGKQYWVDKDLPEGWS KIIEADRRTYYFHISDEKRTRSYEKPTMLAPASPPPPPQRPHAPSIDASVEPPPFHPP QRRGSNSLHDLLSPVGAPSVASPDEQHTTSRHDRSEHGHEDDVDSSKAKFARHHESSP ASIPSHEHRRSSPKPPREFEPVWSGSATRGAVPITSRSGGTTSDRTDQEKAAAFYSNL SRKKTSDRADSRLYHMRCMNNWVKSVLIQEYSQKQSRVLDLACGKGGDMNKWAKHNFQ LYMGVDIAKGSLEDAAARVQQNGDLSRSDIHLVHGDLGEVSLLQDTLLCWTTRRDWHR GVPVDRPHSFDVVSMQFAFHYMFCDEPRATRFFETLHQSLRPGGMFIATTIDPNRIIQ KLMATVGGTEVVDGNVVGPAPIELQDAKGRTLCTIRMDPSTRDRLLHPSRDDQGFGLR HDVGTCLH H257_12253 MASVQGIPEGWEVLTSRSKGLDYYFNKKTGKQYWVDKDLPEGWS KIIEADRRTYYFHISDEKRTRSYEKPTMLAPASPPPPPQRPHAPSIDASVEPPPFHPP QRRGSNSLHDLLSPVGAPSVASPDEQHTTSRHDRSEHGHEDDVDSSKAKFARHHESSP ASIPSHEHRRSSPKPPREFEPVWSGSATRGAVPITSRSGGTTSDRTDQEKAAAFYSNL SRKKTSDRADSRLYHMRCMNNWVKSVLIQEYSQKQSRVLDLACGKGGDMNKWAKHNFQ LYMGVDIAKGSLEDAAARVQQNGDLSRSDIHLVHGDLGEVSLLQDTLLCWTTRRDWHR GVPVDRPHSFDVVSMQFAFHYMFCDEPRATRFFETLHQSLRPGGMFIATTIDPNRIIQ KLMATVGGTEVVDGNVVGPAPIELQDAKGRTLCTIRMDPSTRDRLLHPSRDDQGFGLR H257_12254 MTTATFTPVRWHVPVPMFLPWKTSEFLPPLATSATKHSKRITFT TATTYFFDVDCGGSAVPSDDGPPLGLAKTHTTLECHDIYSQVPMTRPRRVRRYDHVER MLLLQHAGYSRKQVAMCCFEGIAIRKSRLASNEDAEIERLKKRRRGYEDAVALTPGAP KRATHCL H257_12255 MTTTALTWHVPAPMFLPWKTAEFLPPLATSATKHSKRITFTTAT TYFFDVDCGGSAVPSDDGPPLGLAKTHTTLECHDIYSQVLITRPRRVRRYDHVERMLL LQHAGYSRKQVAMCCFEGIAIRKSRLASNEDANVEPWEVVVEEAPVLKRRKFVR H257_12256 MTTATLRWHIPAPMFLPWKTAEFLPPLATSATKHSKRITFTTAT TYFFDVDCGGSAVPSDDGPPLGLAKTHTTLECHDIYSQVPMARPRRVRRYDHVERMLL LQHAGYSRKQVAMCCFEGIAIRKSRLASNEDAEIEPIKKRRLGYEDTVALPGVPKRRK H257_12257 MPRATTNHDLPDDQRWSLYHDLLENKQSGRLARGKASELLLKYG ISRQTLSKVWKRGQETRSQGGRADVALKRKSRTGRWPKRTMHEVEAAVKSVPPHLRKT FASLAASSGIPPTTLWRVLQTRKLQRRTSRLKPMVTDKHKADRVDFVRSFVRSTGSGP MRWDDMHDRVHIDEKWFYLTLVNRRYYQWHDEAVPIRKCSSKRHIIKVMFLTAVARPR YDYNPRTMWDGKIGMWPFVSVVPAQRKSKNRDRGTAVTTPVTVTKPVYREYLLKHVIP TIKEVWPGRRSEPIYNQQDNARPHVEVDDADVTMAGCSDGWSIRLVAQPAMSPDFNVL DLGFFNSIQGLAAP H257_12258 MDFLSQASSRYLAEFYSTPNHVQPGNRIDDLNINKMYLELDQVE HCNSYVVDPTLSETDLDARLEEIKPQTTIIQREVIIRETTKKLANQRSAAYIFLVSAI STNFRRL H257_12259 MTSPSSPPPADVPIPVLFGNAEYSMPQVSPNGRQIAYLSVDATT AVMNLFVHDVGTPSSAVQVTFEAVRPIRVYRWAETSAHLLYVQDLNGNETFHLYVVDL ATMTTVNRTPFPNVKVQASFCSISCKINRLTSSRCPQEVVIGLNQRNPAVFDVFRLHI VTGELTLLASNPGSVDAWVVDYDLQVRARIQHDDSEVWTKSLWVCNGDTWRVLASWGL DDQVELLQLTADGSGLYITSTLAHTADAADSSPQAANPKRLGRLLLLSIDESAAAVTI ASHAEGDVAHVEFHPVTGLPDFATVESFGHAKIVLQPAMKSDLAVLAAVAPGTISIVS RSADDNKWTASIASDHHSLRYYLYDRTAQAVTLLGLERPLLEQYTFAPMQPVRVPCSD GESMVAYLTLPLGNAVAAKLPMVLNVHGGPWGQDVWGFNRVHQWFANRGYAVISVNFR GSEGYGMRWLNLGNQEWGRRMQQDLTDTVHWAIGSGYVDASRVGIYGGSYGGYAVLAG LAFTPDLFACGVDIVGPSNIKTLLDSTPPDWAIMKKEFAVRIGDVSDAAFNRRISPLF HVAAMKSPVLIGQGANDPRVVKSESDQVARELFQARHDVTYIVYPDEGHGFCRPPNKI DFCYRTERFLANHLGGRVGPVDDGVATKNHTAIVVDLATL H257_12260 MAWEDDDDDMDQAWEILGFHQSSARPKGSTANRQPAKPKVARWG LVSEPLRIPSTHAHRLYTQTSQVHQLDARATATYDYYTSSYCPAVVEN H257_12261 MTTTALTWHVPAPMFLPWKTAEFLPPLATSATKQSKRITFTTAT TYFFDVDCGGSAVPSDDGPPLGLAKTHTTLECHDIYSQVPMARPRHVRWYDHVERMLL LQHAGYSRKQVAMCCFEGIAIRKVLHICQLVALITESATKR H257_12262 MTTTALTWHVPAPMFLPWKTAEFLPPLATSATKQSKRITFTTAT TYFFDVDCGGSAVPSDDGPPLGLAKTHTTLECHDIYSQVPMARPRRVRRYDHVERMLL LQHAGYSRKQVAMCCFEGIAIRKSRLESKDEEIVEDEGDDVQVKRRKIGTE H257_12263 MTTATFPLVGWHVPAPMFFPWKTAEFLPPLATSATKHSKCITFT TATTYFFDVDCGGSAVPSDDGPPLGLAKTHTTLECHDIYSQVPMARPRHVRWYDHVER MLLLQHAGYSRKQVAMCCFEGIAIRKSRLASNENANVEPWEVVVEEAPVLKRNKFVR H257_12265 MLESKIGENGLGQSQDRQQPTDGTTQSQFSINVREHFCIALAIA TWGPLLTDPLGLNTVHVEILTDNTSALAWSTSLVSSNSYSQELNRWFGLHQAMHQLHV SSRYIQGVLNTNPDPGSRALREP H257_12266 MHEHTCRRLPRVFSRSCRVDSFPDRSDWTRSCAFAVFANGMDAV PVDKSHVKTGGSERCHHRKSESIVGTRQSMADILIDKNLIVDGAVMRAIHVRLATRCR CQMRSRYWGYHTSQRGLTCRCRLLRLLGLRVRTMRLGGDRPMPRHRPCP H257_12267 MAKQLPKHNGSREPLHDHNLSVAHFLPLTDHERRTWNDDAAVNP RNFSASVSPPRPRSLQSAQAILDALDTLDAFFLPSAYGSPTASQYFSCAKRFCTTLNR RFPITPLSVPVVVAWLDDQFHSFGSAIATDFQYARRPSVYTQSFTTFDINRPASYQLQ MCITQAYLVSQPTRTVHHNPTRHSTTTVQSDSIGQHSTAQHSRVGIPPAVRDNIPTSD GKSICLRFLSNKGCNAGPLGALCGRGSRARIHAIPTRLPSSVSSHIVTHLNGLKQEYS HLA H257_12268 MTAHPKASARLDLSNVYDGESGCRKWNGIAKFGDDVVCADVHMD VSAGDTADLPVGIRFDVAARKVLWLHTDELVDANHDKRLLQ H257_12270 MSRNPPSSIPVPTTVFPAINFVAAVAAYHQQSTTDPNFISPLEP NAPLPPLHSIVTIRPCALLHDKPLA H257_12271 MSLLPSSVQPFVGPPLKDLHPLAYTLWKTDILSQASSRDVVDKM YLELDQVEHSERNVVDPTLSETNRDDVSNPTGPEMESGTTPVTTTVIALMAALIVWVA MTRLPLLLVRLFQPDSALLCDVFASLPHDPMLIAGTTLAPTTVEPGDHFPPPVDAGDH VFAEVPSTEWVFHCSHAVPSIFLLRRRKHRWFYNVYQYVWTVSIPIPHSKLSKVKAFS PSMRAFATVKATPVTRRYLCRDSRRHLCPFYGSDMCEITTTLADVLRAPNNAMGLYLQ DQVRVLDRPAPWGAKHLRGQLCEPYAYAKSKRSPFDSSAVFRAASYLALLHSNVKARF YRRVSYELFRTDAMSIFKPDVGELRHSPPMDISTLLSDDAKEYEKLGRIIKSKYNTKL GWLSPTPTPPRKTP H257_12272 MTSPSSQPPADVPIPVLFGNAEYSMPQVSPNGRQIAYLSADATT AVMNLFVHDVGTPSSAVQVTFEAVRPIQIYRWAETSAHLMYVQDLNGNETFHLYVVDL ATMTTVNRTPFPNVKVLTSFHPTTSCKINRLTSSRRPQEVVIGLNQRNPAVFDVFRLH IITGELTLLALNPGSIDAWVVDADLQVRARIQHDDSEVWTKSLWVCNGDTWRVLASWG LDDQVKPLQLTVDGSGLYITSTLAHTADAADSSPQAANPKRLGRLLLLSTDESVPAVT IASHAEGDVAHVEFHPVTGLPDFATVESFGHAKIVLQPAMQSDLAVLAAVAPGTISIV SRSADDNKWTASIASDHHSLRYYLYDRTVQVVTLLGLERPLLEQYTFAPMQPVRVPCS DGESMVAYLTLPLGKAVAAKLPMVLNVHGGPWGQDVWGFNRVHQWFANRGYAVMSVNF RGSEGYGMRWLNLGNQEWGRRMQQDVTDTVHWAIGSGYVDASRVGIYGGSYGGYAVLA GLAFTPDVFACGVDIVGPSNIKTLLDSTPPDWAIMKKEFAVRIGDVSDAAFNRRISPL FHVAAIKAPVLIGQGANDPRVVKSESDQVARELFQTKHDVTYIVYPDEGHGFCRPPNK IDFCYRTERFLANHLGGRVGPVDDGVATKNHTAIVVDLATL H257_12273 MDVTPPARPPGRPRLKEGPKKPPTRFRNVHVSFKKKQDGMDSFD EIGMAATLMKHFPHLRGPPLDTTRKKVYAWLKQRARIKVKATNPRTSKHLCSRELRMA TTLAKESDVLIALWVHSMRKDGVPLTPQMIQIMALETAVDVGLDEKALVASWSWLESF KRRFWLSLRARTRQGQDTQGDGDAVMATFSAHVAQVVRDNDIDVIYNADPTGVNYEYL PTKTLNARGDNTVWIKCGGKSKDRATAQISTFKLQAPKRPTLVQWITDAWFGLSEAII TNGFAKCKIVHQDEAVDETVETTVPVYILSELVAISALDDNRWTFT H257_12274 MDDVVNLHKRLTKFLAGEDNDQNGVADAMEHLNTVDMTLAILKD TKIGQTIAKLRKHDAEVVSSKAKHLLKKWKTLASRPSDSDIKVDPDVKSEMGTPKVSP TASDLSAKDESKWSVKVETIKDEPKRPVKDDPAATPITIRRAPVHAFSSQRNSTPFEF IPKGLQDVRATVRRKLKEVLSVAETPELVAEAEFVAVGIEVAMAREFKMDSARNTNEG KKHYTDKYRQVSFNLKKNESLRQNLLTHHISGDQLIKMSPDEMATEERRKEMDQLRDD AFQRARLDWAEANADKINKACGIKDTRGLFTCGRCKSTKTSNTQQQTRSADEPMTVFV ICHNCGKRWKC H257_12275 MAQEDKTNDAAVEEHQLQTGWSLWFDKKMPKRTDVSTYQSNLQK IANFHTVEGFWRHYIHVKRPSQLAKDTNLYLFRDQLNCAPMWEAFPNGGCWILKIKKK ANVLGKMWQDLLFAVIGEAFETLNVVGIAMALRSKEDMISVWNADNADDNVRFAIGEK LKEILMLDSNTLIEYKFHSNSIRDMSTFRNAKPYVFAAST H257_12276 MSTVATTSEEAAAPFNRLTYPSRQARFAHHIPTSRNRRGASVKA AYGSLRSTSQPPPVGMGPFAVDVAPEGSLLWCSNSVGIWCHTGTS H257_12277 MGSPSSPPPADVPLPVLFGNAEYSMPQVSPSGSQIAYLSADATT GVMNLFVHDVGTPSSAVQVTFEAMRPIRFYRWAETGAHLLYVQDLNGNETFHLYVVDL ATMTTVNRTPFPNVKVLTTFQLTSFFNQINRLTSSRRPQEVVIGLNQRNPAVFDVFRL HIVTGELTLLALNPGNIDAWVVDADLQVRARTQHDDSEVWTKSLWVCNGDKWRVLASW GLDDQVEPLQLTADGSGLYITSTLAHTTNAADSSPQAANPKRLGRLLLLSTDESVPAV TIASHAEGDVAHVEFHPVTGLPDFATVESFGHAKIVLQPAMQSDLAVLAAVAPGTISI VSRSADDNKWTASIASDHHSLRYYLYDRTAQVVTLLGLERPLLEQYAFAPMQPVRVPC SDGESMVVYLTLPLGKAVAAKLPMVLNVHGGPWAQDLWGFNPVHQWFANRGYAVMSVN YRGSKGYGMRWLNLGNQEWGRQMQQDLTDTVHWAIGSGYVDASRVGIYGRSYGGYAVL AGLAFTPDVFACGVDIVGPSNIKTLLDATPPNWAHMKKAFAVRIGDVSDATFNRRISP LFHVAAMKAPVLIGQGANDPRVVKSESDQVARELFQAKHDVTYIVYPDEGHGFHRPPN NIDFCHRTERFLATHLGGRVGPVGDGVATKNHTAIVVDLAAL H257_12278 MAEAAREGPLGTNSTRQLSTTVQDLRDNRLAMSSKKGYHSGINQ VVIWLHSSGPSNMVNDDGSINLNEFGYAEFTEFVLYKYKHAKVSLSTLSGYRSAIKDY YNRLNVPLPAGFANDATVIFQGIRRLCASETQSGAIKPGGKQPLRHHQYVVLCKESLS KFDSGFTHLFLILTWNLMCRSKSTSTVLEDRPGRHEAA H257_12279 MKTIAILALASTAAAFAAGNTTALVQGPDRKLSTDAQAQAIQND ANVNLECHTTNDGYIQTLKAGEYSASKFHNCFRTSEQIFEYVDALVAQNPTLLKKEKI SSTVRGKTIYAYKLTSGASKPRSLYYQSLLHAREWIAGSSNLFTLSSILDDIANNKPT AADKFNLYFVPIVNIDGYEISWTKGKRLQRKNANEVDLNRNWPTDFKNAEPVPLWSQS YPGPGAFSEPETKGIGEWLHTKNSELAGWVDIHSVAGLILYPYGDSTELIGKGEDAKF QRLGRNVADATGGDYIPQTAASPPLGPLFGALDDYLYRKYKKPVLTIEVAGAGFNPDV STIRTRGTEIFKALTQFAEEVENFDVNNTAC H257_12280 MDSSYDDKITYSPSGLPQVPPSESGPKYGPLLILTVAPLVVGVV VAHVVYTQGDKSAYDLRMLTAALNADMLWTCLAVVVLGRVVAFANCYPLAVKGCFLTK DDRQLWANSFLLTEIGPNCAKNVVVMDSEGDVGMYNRANRALQDMVQTCGVVLAALFL ASTVFAFPAFAVSLAFCWGWVVHVVSFAMNYDSHGLGYLLATLAAATLEGMVALMALK ILWGF H257_12281 MYLGMAYTTTTCMYSLRTCLNELQPLEPNNQQQAFDGRNGKQRQ HKPKAMAAIARRVANLVRNATNSKHDRGHKHGKCKHVAGQEESGHERAIVFDQVLQRS IRAVVRADFAVVDKHNRILLRILANRLVNERVRAQVARVAAHDLRLVDRRVKVHKRHR ATQQHDRQARPVQLVAQQRLDAFFVRRLGAEGIHRIRNRRADGKGHGQYDEQPAVRFL LLRIRQGREA H257_12281 MAAIARRVANLVRNATNSKHDRGHKHGKCKHVAGQEESGHERAI VFDQVLQRSIRAVVRADFAVVDKHNRILLRILANRLVNERVRAQVARVAAHDLRLVDR RVKVHKRHRATQQHDRQARPVQLVAQQRLDAFFVRRLGAEGIHRIRNRRADGKGHGQY DEQPAVRFLLLRIRQGREA H257_12282 MVYEVRLKLSADDEGVMWSHRPQVGPLGLLGSFRNLPLRAFKNA HAGPYPPSSTSSNATGNARFRCVAFSVGGDIFAAVDEKGRVFAFFPLRNRYSLVCHLG TPALECAFSPQQRTELLVTCEDMTVRCVNVATKALVSTLRGHRQVPTCVSFQQPGQLA LTASADAVIMWDSANWSRFRTLNAGPGVEAAQFVGNGELVAVCFRDDSILMWELSTLA LQYRFTLPAYEHPPGLKRFCVSDNCHVIVASGQSPFIYVWEFESQTLVRIIELPATVH RIIHHSFVPQTAHIMGVLGDDGQLNFLNVTSKQPKVSLQIAHKVKALTAFAIDVHGKY LAACSSDGCLVLYDLDMARITAHQVQRIRQEEHAEDLAYLAHRSGLAAASHKSPTSSP LMESLFGAPPQSPPPSSNPPTSQEQSPSSGETPAPLLPPPRAMPKLNPHEYAVQRRRL QHLLRSYGRFPEKYRLLAWKYMLRLPNNTAALEQLMAKGSHATTARLRDLYPIQNTRL FRRLERVLSALAHWCPVYGEATSIVPALVFPFVKVCVNNDVVAFEVVLSVLLHWGRDF VLQYPYPPRPQLARLDAALQKRDAQLHAHFTSHRITPEEYGWSLVSTAFSEVLARDDW LCLWDNLIAYWDHPSLLWAAVLAYLTENRANLLQQSTASGIQAAFHQQQPMQVKRWIA TMHAITLPDIIDQTQDDEKYFPLPPGQYPAFTRYPTFVVDYQIQERNRIATDEAALES KRRLLSEIEARTRELEAAHTQWMQDKALLLESEQRRRVEAMQAEKARLMEMTILHAQT RDRRLQQIALMEQNAKEALRETSKVLQAEHDRWLDELNVRSDKEKWQSQHQMDEEELT RLEMDAQRRVADLTKDREREERLQTMRLEFFAQLREQELCDQMVFDGWKAEDKQAIAA EVDRQEKKAAKQVRREEAKLRHEWRTKLNEQRMQKQRQMQLLAQDRAMRRQRRPPQED SDGTASDESKEDEQQDGTPATIRLPNQQRPMPPPPASPSQPTADMSPSMSATTLVTPK PLEPVVVQRSDNVANPLDTNKLSSPPSRRRRRPSNLGSSRASSLKWSDHELLQRALDD ISSEEEGGGGDALSTSPRHITPPRLSQLEHDLRAEFSDLSDDSLQQRPLSELERDLDE LLGTSDDDEGGGGDVAASSGATSTAGESLLGQGGEDPPVVVQGMTPTPPPKYAKQASD TTRKLQQLRSKLSDLEAMATKAIPAEVEAKLDTEMSGAGDDSKHEHIMLMERAKELLE QHAAARLNR H257_12282 MGVLGDDGQLNFLNVTSKQPKVSLQIAHKVKALTAFAIDVHGKY LAACSSDGCLVLYDLDMARITAHQVQRIRQEEHAEDLAYLAHRSGLAAASHKSPTSSP LMESLFGAPPQSPPPSSNPPTSQEQSPSSGETPAPLLPPPRAMPKLNPHEYAVQRRRL QHLLRSYGRFPEKYRLLAWKYMLRLPNNTAALEQLMAKGSHATTARLRDLYPIQNTRL FRRLERVLSALAHWCPVYGEATSIVPALVFPFVKVCVNNDVVAFEVVLSVLLHWGRDF VLQYPYPPRPQLARLDAALQKRDAQLHAHFTSHRITPEEYGWSLVSTAFSEVLARDDW LCLWDNLIAYWDHPSLLWAAVLAYLTENRANLLQQSTASGIQAAFHQQQPMQVKRWIA TMHAITLPDIIDQTQDDEKYFPLPPGQYPAFTRYPTFVVDYQIQERNRIATDEAALES KRRLLSEIEARTRELEAAHTQWMQDKALLLESEQRRRVEAMQAEKARLMEMTILHAQT RDRRLQQIALMEQNAKEALRETSKVLQAEHDRWLDELNVRSDKEKWQSQHQMDEEELT RLEMDAQRRVADLTKDREREERLQTMRLEFFAQLREQELCDQMVFDGWKAEDKQAIAA EVDRQEKKAAKQVRREEAKLRHEWRTKLNEQRMQKQRQMQLLAQDRAMRRQRRPPQED SDGTASDESKEDEQQDGTPATIRLPNQQRPMPPPPASPSQPTADMSPSMSATTLVTPK PLEPVVVQRSDNVANPLDTNKLSSPPSRRRRRPSNLGSSRASSLKWSDHELLQRALDD ISSEEEGGGGDALSTSPRHITPPRLSQLEHDLRAEFSDLSDDSLQQRPLSELERDLDE LLGTSDDDEGGGGDVAASSGATSTAGESLLGQGGEDPPVVVQGMTPTPPPKYAKQASD TTRKLQQLRSKLSDLEAMATKAIPAEVEAKLDTEMSGAGDDSKHEHIMLMERAKELLE QHAAARLNR H257_12283 MSPPSPSSAKGRRQQHQSWFSLRYILFGCVGILVTFQAYVAFAS TQGGAPTQSFRVSNAHWEPDLLHLNQFNALCLTKGDTVIAWKPKHQVRILKQDMDPDV LLAELSQCPEVDVFLPVGIRSHGYCEDAMAYVKFLHTRALPMWVFGMEFHDHNGKVST YFDLCPNSAVMFMNHYWDGLDQMSTFPPQKKIVLMPNVEMYELKPIHYQRADYVIAKT EDGYRRITEWYKKRGHNPRHTHVVYAQHTTSDPTATAALKAKMDPNFGAIAAKDWDKL TVFHANGRSTQKNTPKILDCWHDRPDMPLLHVYSNDGPSNDTYWNHFRDKKPGNLQYH NGEFIEPAKFGKLMAEASVILCPSIMEGFGHYINQARAAGALLVTTDAAPMNEFVDDA SGVLIENAVARPTWGKVLMGNDDTEFDVTPADICAAMDKILAMTPRERALKARQGQQR YFDQLQFFSDRMDDFRTTLRDRMKKGRP H257_12284 MAGEAEASGGDEVVSIQLVAGCIIVVVVFVAIMERIVEHFLHLA KRNKKYKEMLVKTIGELMVVGLIYLLVKFVLYVGGLSSLHYHAIDAADILVFLVVIFL VLQALVIFVVLTYTNDLTDLVDLYSMSELLKLLEALFVKVYELPPLFSFPKYILEIQE DQVVQLVDLRISHWIILVGLFLVFFTCTGEMQTSHAPYRVVDGSSSSAVATRQDTRVL VMGILAGSLLCCMLLLMLALHRARLFLLRRAGQFLAIHQNSLGDITPLQSRDDEVNEP QHLQLFVDAQIRQMRVVRDIVRSREPSKHRQIFGAELLYRLYAMLCHKPPAHPNALEA RVPGDMFYLPGFSLPRAQFLTTLFLLVNGLFCAILCTSVIPSIDSTNNGVYVAACCVP IGLNMVVVALNLISNFAIVLGVWKIDGRVLAKTIDHFVYVAKLKHQLHQDIHQTNMQV DDLAASWLTIRTGMSTCTPFTPWRCHGARRSLVMSSTRCSTWNAIAAAGVFWSPMWSR CANHPMELCRFVLTRRSRNPHGATRHTNG H257_12285 MCDDAAIDAVSDLSAQAHALLEEASKLTSAVSVTLTKLRSASSH PQQPSQDQEATAGRPRLDEEATAGRPRLDEEVAQSNDKEATAGRPRLDEEAAKSSDND AQVMRTMEARVQRAEEKASKLESAYRSLSDKYLQAKHDRDASVHRFWNWLACHVSVVG PDQASLLAQAWGYECGRDASSSLDPNDANECKRLLDEFKASSGVYILPIPPVVSAPAS LPSGQSNRTVDDGEPPDNLDGPTPQLLGNASPHPEPGKCDAPADPSAERKKSNGMVHM SERKSPPTVHDEVKTQAAVVPERRLGSMDLLLEAATARQPITRDKVATHGLATSSQSQ ANNATKAVNTTTSAASAGTTTSLPTPFAGPQVSMLPATPAMATGGDASIARSVSTTDR NGSARPGCFGASCVVRAVPAATSSLVVHMTHTKAAPSSASGLSPTNSLLSHHKVHKPF TSSHDFSTGDDDGEKSAVDGSIPLIPSHVLSVMHSSLRDPTTGRKLCNFPGCVKFSRC RGVCTQHGGRQYCDTPQCGRVVQYGGKCTTHGGVKPCDVPGCLKSVQSRGKCKTHGGG DRCKAPDCTKGSISNGFCRGHGGGLRCSVVGCTKWSQRQGMCVRHHHNHVADITSDMS DMS H257_12285 MCDDAAIDAVSDLSAQAHALLEEASKLTSAVSVTLTKLRSASSH PQQPSQDQEATAGRPRLDEEAAKSSDNDAQVMRTMEARVQRAEEKASKLESAYRSLSD KYLQAKHDRDASVHRFWNWLACHVSVVGPDQASLLAQAWGYECGRDASSSLDPNDANE CKRLLDEFKASSGVYILPIPPVVSAPASLPSGQSNRTVDDGEPPDNLDGPTPQLLGNA SPHPEPGKCDAPADPSAERKKSNGMVHMSERKSPPTVHDEVKTQAAVVPERRLGSMDL LLEAATARQPITRDKVATHGLATSSQSQANNATKAVNTTTSAASAGTTTSLPTPFAGP QVSMLPATPAMATGGDASIARSVSTTDRNGSARPGCFGASCVVRAVPAATSSLVVHMT HTKAAPSSASGLSPTNSLLSHHKVHKPFTSSHDFSTGDDDGEKSAVDGSIPLIPSHVL SVMHSSLRDPTTGRKLCNFPGCVKFSRCRGVCTQHGGRQYCDTPQCGRVVQYGGKCTT HGGVKPCDVPGCLKSVQSRGKCKTHGGGDRCKAPDCTKGSISNGFCRGHGGGLRCSVV GCTKWSQRQGMCVRHHHNHVADITSDMSDMS H257_12286 MKQLHLETQAHKRPVYLQTPTTNTTTTTTTALPAKAPSQTTQST PGTTRPSGSLPDSTPPATPTMTTGDASIVNSSPIDMKAQPDNVLSVGVSSVGSEVPAA TPVERTPRQTATKDVAPSASSPLSASVLTQTPHEVAVRDFNEALRHFWATSAEMLWEC RFCWQTTRPTMRLLEENVVLLVGRLHLLIDLFDKDDALIQFLSGTPHPTWPVMIAKFP LSLKHIYAMHGDATVVDFSRTQRHRLWPVYPPIRHQGRWLSYRLNSLDDDVELTWPLS ETFVYVASRRLTPRLLAKLADMEIPNPIRVIRRMARVARRFFEKGCPPPDEPYPFVSV VVADDLVGPQWSHGLDPPPTTYTSHVRTLRPTKSCLV H257_12287 MSAPIPLPLPLWQPFHADDEAKSSDDFARQSMENEPDIEPGHAG DPAGSRRSHGKANMTKRKSPETVEEEVDSAKAVSWKRLRSMQLLIDATQAVTPNEAAT LGNASPSKRPVYLQTPTTNTTTITAALPAKARSQITQSNYVLTPPAIPTLVAAESTGS SILTKWKGTARAGIVDPVSSVVPTVVSAILSSGKALAPSPQAAPPRGTPLTTSADDDQ STKSAAIVFKKCPTRIAKLLDKIRATKPWLQYTRVASFLPEVAHVDTTLDAAVRNFNA ALRHFWAISAVLLWESRFSWHTARSAIRELEDNVVLLVGQLQWGPPPSVASHDIRAPP SLQQIYAMYGDAAVVKFLRTQSHRLWPVYPPSFIQPPPRPTSIKVPNLTWPLGETFAF VAARLLTPTLLEKLVDMGVVNPTQAFRHMSNVSQRFIQRGCPPPQEPYPFVSVVVADD PMGPQWSHGLDPPPTSYKAHVPTLRPTTPSFLVIQ H257_12288 MLSTAKLTRAVAQKLKSQVRLFQSSAVLNNVQGEAPPLTRVYGG LKDEDRIFTNLYGEQTWRVDGALRRGDWHRTKDLLCMGPEWIIQEIKDSGLRGRGGAG FPSGLKWSFMPKQTDGRPSFLVVNADESEPGTCKDREIMRKDPHKLVEGCLIAGFAMR ARAAYIYIRGEFFNEAIILQEAIHEAYQKGFLGKNACNSGYDFDVYLHRGAGAYICGE ETGLLESLEGRQGKPRLKPPFPANTGLYGCPTTVTNVETVAVAPTILRRGGSWFAGLG RKNNAGTKLFCISGHVNNPCTVEEEMSIPLRDLIEKHCGGVRGGWSNLQACIPGGSSV PVMDEATCQNIHMDFDDLKNTGGSGLGTAAVTIFDKSVDMVGAIRRLSHFYTHESCGQ CTPCREGTGWMESILTRMETGNAELEEIPMLEEISRQIEGHTICALGDAAAWPVQGLI KRFKHKLVERIENPASFNKADYFQKAWPGAKFQNQDWVNKYADGSAYAKH H257_12289 MSSKDQPPTSLEASMEALAMHKPTPMDAPETPRTPSNQSTLPAP PRSLHIYTNQPEAIEDSHARVMMRLSSGGKRALGGVLACSLSLVNDGSESRTWAQSYF RFLADTCCDLSSSASEGLMPVVQTERSRSQIAEDNSTSAFLREMPIDSAPFVAVLESE LTRDEIVQLFCFILWRTTHAVGYNFHLLNHPDVSYGHILSYDARTRSMLRLASRQFHD LPWTAIGAEEVVLARALLLEATELQSTKKVQSPRKWSDWRRNLAIGGAAVAGGTLLAL TGGLATPLVAAGFTALGGAGAAIGTALASSGVVTAATVLFGTAGAGLAGFKTDRRTLG IKQFEFQLLTPGDGMHVYVCVAGWLDDDNMAEFSTSFGTPREYLRAFYAQFCPEKLGS VDSMAERYKGREDELFDMLRKQYNVPLDQDPITISLDQGLPMEGKPSPVQLRAWRWKH RMPFGDQYSLCWEKDVLVRFGKKMRTFTKEMVLSYARGEIIQRTIFAALFAAVALPKF VINLCNMIDSEWAMVMSRADSCGVLLAKALLARQQGLRPVSLIGYGMGGRLIFACLKH LAAQGDAGLGIIENAVMLGAAMPVVVTEWNAVKAVVAGRWINGYSANDWMLAVMFRYQ NFSIGAPAGLAPVKVCGVENADLSALITGHLDYPNKMGLIVETLRLEV H257_12289 MSSKDQPPTSLEASMEALAMHKPTPMDAPETPRTPSNQSTLPAP PRSLHIYTNQPEAIEDSHARVMMRLSSGGKRALGGVLACSLSLVNDGSESRTWAQSYF RFLADTCCDLSSSASEGLMPVVQTERSRSQIAEDNSTSAFLREMPIDSAPFVAVLESE LTRDEIVQLFCFILWRTTHAVGYDARTRSMLRLASRQFHDLPWTAIGAEEVVLARALL LEATELQSTKKVQSPRKWSDWRRNLAIGGAAVAGGTLLALTGGLATPLVAAGFTALGG AGAAIGTALASSGVVTAATVLFGTAGAGLAGFKTDRRTLGIKQFEFQLLTPGDGMHVY VCVAGWLDDDNMAEFSTSFGTPREYLRAFYAQFCPEKLGSVDSMAERYKGREDELFDM LRKQYNVPLDQDPITISLDQGLPMEGKPSPVQLRAWRWKHRMPFGDQYSLCWEKDVLV RFGKKMRTFTKEMVLSYARGEIIQRTIFAALFAAVALPKFVINLCNMIDSEWAMVMSR ADSCGVLLAKALLARQQGLRPVSLIGYGMGGRLIFACLKHLAAQGDAGLGIIENAVML GAAMPVVVTEWNAVKAVVAGRWINGYSANDWMLAVMFRYQNFSIGAPAGLAPVKVCGV ENADLSALITGHLDYPNKMGLIVETLRLEV H257_12289 MSSKDQPPTSLEASMEALAMHKPTPMDAPETPRTPSNQSTLPAP PRSLHIYTNQPEAIEDSHARVMMRLSSGGKRALGGVLACSLSLVNDGSESRTWAQSYF RFLADTCCDLSSSASEGLMPVVQTERSRSQIAEDNSTSAFLREMPIDSAPFVAVLESE LTRDEIVQLFCFILWRTTHAVGYNFHLLNHPDVSYGHILSYDARTRSMLRLASRQFHD LPWTAIGAEEVVLARALLLEATELQSTKKVQSPRKWSDWRRNLAIGGAAVAGGTLLAL TGGLATPLVAAGFTALGGAGAAIGTALASSGVVTAATVLFGTAGAGLAGFKTDRRTLG IKQFEFQLLTPGDGMHVYVCVAGWLDDDNMAEFSTSFGTPREYLRAFYAQFCPEKLGS VDSMAERYKGREDELFDMLRKQYNVPLDQDPITISLDQGLPMEGKPSPVQLRAWRWKH RMPFGDQYSLCWEKDVLVRFGKKMRTFTKEMVLSYARGEIIQRTIFAALFAAVALPKF VINLCNMIDSEWAMVMSRADSCGVLLAKALLARQQGLRPVSLIGYGMGGRLIFACLKH LAAQGVT H257_12289 MSSKDQPPTSLEASMEALAMHKPTPMDAPETPRTPSNQSTLPAP PRSLHIYTNQPEAIEDSHARVMMRLSSGGKRALGGVLACSLSLVNDGSESRTWAQSYF RFLADTCCDLSSSASEGLMPVVQTERSRSQIAEDNSTSAFLREMPIDSAPFVAVLESE LTRDEIVQLFCFILWRTTHAVGYDARTRSMLRLASRQFHDLPWTAIGAEEVVLARALL LEATELQSTKKVQSPRKWSDWRRNLAIGGAAVAGGTLLALTGGLATPLVAAGFTALGG AGAAIGTALASSGVVTAATVLFGTAGAGLAGFKTDRRTLGIKQFEFQLLTPGDGMHVY VCVAGWLDDDNMAEFSTSFGTPREYLRAFYAQFCPEKLGSVDSMAERYKGREDELFDM LRKQYNVPLDQDPITISLDQGLPMEGKPSPVQLRAWRWKHRMPFGDQYSLCWEKDVLV RFGKKMRTFTKEMVLSYARGEIIQRTIFAALFAAVALPKFVINLCNMIDSEWAMVMSR ADSCGVLLAKALLARQQGLRPVSLIGYGMGGRLIFACLKHLAAQGVT H257_12289 MSSKDQPPTSLEASMEALAMHKPTPMDAPETPRTPSNQSTLPAP PRSLHIYTNQPEAIEDSHARVMMRLSSGGKRALGGVLACSLSLVNDGSESRTWAQSYF RFLADTCCDLSSSASEGLMPVVQTERSRSQIAEDNSTSAFLREMPIDSAPFVAVLESE LTRDEIVQLFCFILWRTTHAVGYDARTRSMLRLASRQFHDLPWTAIGAEEVVLARALL LEATELQSTKKVQSPRKWSDWRRNLAIGGAAVAGGTLLALTGGLATPLVAAGFTALGG AGAAIGTALASSGVVTAATVLFGTAGAGLAGFKTDRRTLGIKQFEFQLLTPGDGMHVY VCVAGWLDDDNMAEFSTSFGTPREYLRAFYAQFCPEKLGSVDSMAERYKGREDELFDM LRKQYNVPLDQDPVSGHVFLMRLIVGSHRIAQTASHIYIYCFCRRKD H257_12290 MKAVSTLLGVAVAATASATAAATLSTCSSALFSTASPPAWAPLG AASPVSLRSIVQGESICVQVSLQNQPTATWFALAIAKSSRMINSPPTNAVLFTTHNAT AALYVLEDAAPLGVHYQPDQTSSLRVVDSVVANGGITLTYERPLAAASSFDVPITLDV PTTVNWAVGFSTFPDYHELQGSAHVTFTDGPKPPSVCSPSLLKEVPAVRLNDGPLSIQ SVFVGATTACIQVTLTDAPEAAWFGLSFAPTTNMINSPANNALVYHIPNSTAVTYDLV AAAPESVVPSANQTASYHLVYASSVDGKVTYVVERTLAAATATDVAILADKPTIVNWA VGSTSFPDYHESQGSARLQFSKYGVAAASIKPLVAPLVTSSSVCDEAAVASTPAVHLG DGPLSIQSIVVGGSACIRVTSTDTTLAWFAISVAPTTNMINTPTNNAIVFQLKDASAL VYDLHDAAPDSVLPHPNASASIRVLHVSSSSTQISYFFERTLAAATSTDVAISTTESS PTIVNWAIGMSAFPDYHDVQGSTKLVFTSTHVWDLDHPVADPPCNHVTFASISSVTLS EESRLSLKYVVQGPNVCIQVVLLNPLATWFAISVAPTTNMINTPTNNALVYLVHNASA HTFDLVDSAIDSVVFGGHQSSVHVESSSNVDGVVTVTFRRPLVAVGPTDVAISTTSHT IVNWAVGFTSFPDYHDAQGSASIAFGTPVLPPPPVCSQASFPSTVRAVNLGPIALKST LLGPFACFHATLRGYPNATWFALSVAPTTNMINTPANNAIVFHAANGTAALYDLLDSA PDGVVYQPDQSPLKVLHSSVVNGDLVLLFQRPVAATVPTDVAISLTGPNLVNWAVGTS AWPDYHDIQGSALIQFDVATETQSVSPTKTTVVPTYTSWIAATTFTLIALLGAIVTHS GSFRWAKHQRLTAPPATNLGWFSGLVKTLADVTWGEVVVVAIYVLALVGVAISVLVQF PTATSSRLVALVSGHVSLLSLTFILLPVARGAHWEWLFGASHERLIKLHRWLGRVFVL TATLHLVANLPLATYAKSFGSQQVVPVFGFVAYLSFASMALLALESIRRQTFEVFYYY HRVASIVGLVFVVLHSATIRAAMGVPLALYAATYVWRFRGYLNKYHAHIQSHVPGTVV FTLPVTPRTQTWARTMNPCSFFWVNIPSVSVLQWHPFSAVVTPDGHSIAFCIKALKAG SFADQVIAQAKTNLVSMTLFVGGPYGKPSVDFTKYDEVILISGGIGVTPFVSLVNQLP HTLPSAPFNTHIQFHWVVRTEAELLVADSLMFAAPLPTFVSPRYYVDGSAIDGSVVTA DGHTIAYTGTRPKLDKILSAESYVGKKVCVLVCGPPSLALSVQTHAYNAGFDFHKEVF EY H257_12291 MRHVSVECVVTGSGHNDRAPCRVALVDLHNRVSWSAIILVTPVL DPLTAITGLTTAQIQAKGRPFDVVRDELIGHLGLGMVLVGQKVTNDVGWMQLEAGTQY ARTVNLAELFRVWNPHFEHYMYFTLRK H257_12292 MRLAHLMNDPARLEKVKRQPATLSHKMQFPKALTEKVAVEGVCT YAFDPVRPPNDLTIDLSSSNITFCQ H257_12293 MSWNDNHADITGHLHLTLVAMSRYVPKKVLADALYGQVLLCHDT HSGDLVAVKRIHLSAVSEVVEKAVHERMSSHGGHSHVLRLRQSFIQQGYDHLVMDYCQ NGDLFDLVSNAGMLHPDVAQRYFRQIVHGVAFMHSRGVAHRDVSLENVLLDDKNNCHV SDFGLAAATTELRTDVVGKPFYMAPEIVAEQAYRPVQADVWSLGVVLFMMLTGAPLCE TASATDSRFQFVQTHGLRALLHSWQLSHRFDCVTLDLLEKMLHPNPNARLTMAQVLQH PYVSGRQEAAAQSTSKKPSTKFKSGPSFVQRWICRTQNAVKAAKVS H257_12294 MGTYCIDRTLSEALYGEVLLGHDICTDELVAIKKMDPVTAFHGC QCPRVREDIEVEKHVNRLFRSHGGHPNILPMRQDYVQNGADHLVFDFCRKGDLFSAMD HGALPNDVAKRYFHQIALGIAFMHANGVAHRDVSLENVLIDDSDTCRVCDFGLAASTT ARCSDKVGTEFYMAPEVAQGLQYDPSIADVWSLGVVLFMMLTGVPLCQLATPSDCRFK YLSHYGLRNLFNSWKMDVNAEATELLELMLEPNPVMRCRLDQVLAHAYLRSVEVDNSV EISTEAPDAFAPAKVILEATKAWAYVNRLWKRPSNDVVMPAIASV H257_12295 MDRFAIQRPLADALYGRVFLAHDTATGESVAIKQMNVLAAAAHR PVGNTRRGRIAENVAIEKHVNRLLSSHGGHPHILRMRADFVQDDHDHMVFDYCPGGDL FDALRHGPLLPPVAKRYFRQLIQAVGYIHDKGIAHRDLSLENVLLDHHHNCKVCDFGL ATSVGAPVCDETVGKSFYMAPEVVLGHSYDPCKADVWSLGVILFMMLTGVPLWQVASP DDQSFEYFKMNGLHNLISIWGIELEIRVVDLLAHMLEPQPAQRFGLGQVVDHEFIAGR RKSVLKATLESNTKCSRAATAFAFVQRIFKHGDPTCAP H257_12296 MDSRVMALDIFTGGTTLAKEPKDYHGMFDHAYYVGWFQRLLDEL DEIRVSNALIVMDNAKYHKGRPSNTPQSRHRKEVLIAACTMYGIPVTGIEFKSLLWEK LAAYIETNVLPVVMTMASERGHTVVYTPPHHSNLQPIEMIWALVKGDVGRQYTDMTKF PEVKTRLVAAFAKLTPHAIQGCVKVAEGSLHMLHEHLQQIDRLESDEESSAGSESDDG GSDSD H257_12297 MTNISPSEFNVIWANVRIYVTKHWNVGSGRKSEVSARDLLLTTV GEKYSMALLTTSGHQFANFQFVRYVTDVTFQQTNVPSGSYVEKKTYFSGKHSQYGHKV EVPVLPNGFAINSDKRYQGIQRVVRAVLPKKKLAGGILTLEDVRSNITLQAITYRWSR DNYDVLFQTCMALTNVHIRLHPLRADDGEVYSQYINRMSSIGSKKDKGKKTSARTYRM KLKAR H257_12298 RRFQLFGMHQRYTTQRVIANALYGQVLLAKDQWTGDLVAVKKMD VEAARNHEIVRGDHRHVDEDIEVEMHVNRVLSAKGGHPHIVRLRDEFIQDGFDHLIFD YCSGGDLFEALDQGPFKTSVAQRYFGQIAHAIAFMHTKGIAHRDLSLENVLLHNDQCY VCDFGLAASVSCRSHDIVGKPYYIAPEALDGRGYDPSKADVWSLGVMLFMMLTGVPLC ISATLNDRRFVYLKCHGLRGLLLSWHIDMGPEELDLLEHMLCVDPSRRIRVDQVLAHA FVNGGGGFERIDNSHSTKTEPPPHKASVTSKAFAVMLRFFRQPNVDVPVM H257_12299 MDKYRVEKVIANALYGQVLLAHDLHTGDLVAIKKMNVAAAAAHT VVRGDGTSHVPEDIDMEKQVNRLLSNTGNASMHPNILRMRTDFVHGDYDHMVFEYCAG GDLFGVLDHGALSSSVAKRYFQQIASAVAHLHSIGVAHRDLSLENVLLDAHDTCYVCD FGLATSVKVYCDDTVGKHFYMAPEVVRGGHYDPAQADIWSLGIMLFMLVTGAPMCMSA SARDSRFKYYTKHGLADLLKSWNMAVDAQAMDLLEKMLRVNPAERVTMPQVLSHAYMG GVNRVTVAAVENVSKTKLQVKAKAASVLHRLFKRKVADAHPLP H257_12300 MEAYTIERVLATALFGDVVLCQDIYTGEQVAIKRMDLAAAKNHK TLLDHRHVSEDVGFEAQVNRKIHAGGGHPHLLRMRTDFVQDECLHFVYDYCAGGDLLD KLARETRFGTHMALKYFCDIVKAVERLHEFGFAHRDLSLENVLLDADNQCHVCDFGLA CHVATVHSSRVGKKFYMAPEVVAGEDYDAVKADVWSLGMLLFEMLSGAPLFGEASASD PRFHVLQTAGPATLFQCVEDSEIVQLLTELVSLDPMKRPSLHMILQHPVVSRAMVSKM ETPSSSSVVSGYMAGMASSTIVTYLNRLLLSPWRSLDPTAAGRA H257_12301 MLRRNNACLNLAALDTSYNAATSTLHATMLPARKRLSDSDATTT TGKGWPSTNAKRSTPVPIPEPKNRPFYCVNQDAHSRPGRLVM H257_12302 MHRYTTERVLADALYGQVLLCHDNITGEQVAIKRMNVAAATAKT MIHTASIQVSEDIAFEKRVHRALSVGGGHCNVLRLRSDFVEDGFDHFVLDYCVGGELF DLMDSMPDQRLDMDMARRYFRQILHGVQFMHARGFAHRDLSLENVLVDGKDNCYICDF GLATFADAPSQDTVGKAFYMAPEVAVVTRGASYDPVKADIWSLGIMLFMMLTGSPLVE MASVKDSRYRYLQTQGLPRLVDAWRVGHAVHGDALDLLQRMLCRNPASRLSLQAVLDH PFVSQLTVPSSSLTKCLGGFFKKRARRTVLDVYSASMM H257_12303 MDRYTVERTLSIALFGDVVLCHDNTTANDNRPTSMVAIKRMDIA SATARQVVGSPRHVAEDIEFERHVNLTLTRDGKRHPNVLAMRDSFVDSGVLHFVFDYC AGGELFQVTDDLPGHRVDGATARRYFRDIVLGVAYVHAMGFAHRDLSLENVLVDANDV CVVCDFGLASSLTAHPTDQSKVGKAFYMAPEVVADAALPYDLATADVWSLGILLFVLV TGAPLVEMAALTDVRFQYLEAEGVRALVESWDMMHLFDPQCMSLLEGMLCVDALYRIS MDDILRHPYICGTDDCTSCSVQVDDVQVGLGDVVDLKALNPATQAMDLATFTV H257_12304 MHERFSNPQVLAAALDGHVLLCTDVATGDAVAIKRMHLASAKTK LALGTSNRVSEDATMELHVHRILSANGGHANVLRLRDHFHDESNLYLVLNYCPGGDLF TVLRTHGTLEMATVWTYFRQIVQGVAYMHRQGYAHRDLSLENILLDGNGNCCVCDFGL ATPVSAWVSQKVGKLFYMAPEVVAAALYDPTKADVWSLGIMLFMLVTGTPLLGVASNM DRRYRYMISHGLQRLVAHTYKQRIDAKVMMLLEGMLATDPRLRLTLDDVVKRMGESRG QLSHRTTIGQTIKRWFQPKKNGGQPIDEPLILGI H257_12305 MHRYTISNVLADALYGKVHLCRDRITGDSVAIKCMCVVSAATKV STGGLRIQEDVSMEKHVNQTLDARGGHPHILRMRTAFVEAGYEHFVLDFCALGELYAV LDEAPGGLFGNDRASRYFRQIVSGVRFLHQSGFAHRDLSLENVLVDGNDNCQVCDFGL AASSSHLQAERVGKSFYMAPEVVANDAVYDASKADVWSLGMMLFVMLTGQPMFDVAEH SDDRFHFLATKGLRRLVTAWNLDSLVTAEAMSLLQGMLTVDPALRWTIDEVIAHNYVS TKPNALTTYTGMQSTALSPNGIVSCFETYRSSCGFV H257_12306 MSRVEPDPGGSTASSKDDEVQSTERTGFHVVSSPEAKHSNDWRP SFLTETILAPHTLPPEATHTNRLLANVLKKLASHNDHNGQETKSKYRALIQKAAQRTI MIVRAKHSAQTVQKITVRFSNHQPTDLPRTVKLPLLKEKIVCHNRYDDSRKSTVLFRQ VFESSPELEAIVKDMFWYIVSSEFQTGKHTKLEHDFYERVADNFTALFIRLQMNPATR DSGVMEKLPDAMSQLLFLALHDAFPISRYLFDDDVRKKLITICYSWFVGFVPSKIAWE HWLPELSMQSQRKSAGLHEFPALRNRVRRAERLEKIKTIEIQNHQKNVKLMKAEDVDE ALHRPQNQNQEPTSGDGATNQVKHPLPVVSQRLKSLERATYSLRNSPLITTFLKRHNL EHNAKGLNVDLRLTNDSDHHLGKQEALHQKGAPMQRKRPVLDANSYNDLVTKFEAHGK QLKAQYVSEKAVMLQQNEDSKALYAAAQKHLSDQYHTITSRSRGVHELSNMLVCKAQG TTDAMNNSKQQLPVPQPPGRHHHRSLGTPRRTNAIAP H257_12307 MMSAHENFSPQIIQRVAKEIRQLATNPPSGIRYLPQDDESLAEI HVELVGPEDTPYAGGFFEMKLLLTEGFPTVPPKGHFLTKIFHPNVASNGDICVNTLKR DWSADLDLSHVLQVIRCLLIVPFPESSLNDEAGKLFMECYDEYAQRARILTSIHASKT KCKDADVVGTTKSSSTQQHTSQTTNKRPLAACASDNDVAKKKQAVKKSLKRL H257_12308 MDGPSSPVVVPTAEPAANEPNRENKSVSKYEVDTANEDNDADDD ESDGNAPSKPGSVRPVPSTDDFPQMSLSAHHEPPLKLKFKRQHTFQTRQRRHLKKQVK KIVATSHSMRQFLLVLWRTCGSIHAHEMLAFALIVVGILSESATPAPRYNVPIGTVLL TVSIKKIELKLLTTGLLVAIGMDIVWLCRHETTSYGGTFPFSILSITRVWVACCMCLK AGFTMSVYWFLEPHEMSTKYPTVASARQLRRLIFQKIGYFFPTTALPPLGDLSRHTLL RVVALLWIHVVSGGVLLVLGLVSCASFTMYPQFRSAALGIPLHYMILVKGGTTLASVM LFVNHLHLPVWRCLRLLHSLQGFKGPLIHWHGIGYGQDSNWIKTLQFAKAADAFCGCY LLLVLYSAFHHGSGFYGGVMAVLTIATILVVLLECWVPLLGLVVYKFVGVMEQAHRDF DSFKLLPRNWNATQSSKDPDEESNDDTNDEGDSSSSSSSSSDSDDSSADTQKHDGAAS ATWLRYTDEYNRSYVRNTLTGEMFWDRPNDDPTPSAHELTHPSPSDLGGLKPELALSN TMYMTADDFKYLWNTLPPAGGFLCRILSTPTVDDLTSHLSECRFYVITDGLAAAHIRA VYFYAIHTDTLAHFLGAFLMDSLSMQLEAKFKCDQPDMVAPIVRCLQLRYILGDYEPL GDM H257_12308 MDIVWLCRHETTSYGGTFPFSILSITRVWVACCMCLKAGFTMSV YWFLEPHEMSTKYPTVASARQLRRLIFQKIGYFFPTTALPPLGDLSRHTLLRVVALLW IHVVSGGVLLVLGLVSCASFTMYPQFRSAALGIPLHYMILVKGGTTLASVMLFVNHLH LPVWRCLRLLHSLQGFKGPLIHWHGIGYGQDSNWIKTLQFAKAADAFCGCYLLLVLYS AFHHGSGFYGGVMAVLTIATILVVLLECWVPLLGLVVYKFVGVMEQAHRDFDSFKLLP RNWNATQSSKDPDEESNDDTNDEGDSSSSSSSSSDSDDSSADTQKHDGAASATWLRYT DEYNRSYVRNTLTGEMFWDRPNDDPTPSAHELTHPSPSDLGGLKPELALSNTMYMTAD DFKYLWNTLPPAGGFLCRILSTPTVDDLTSHLSECRFYVITDGLAAAHIRAVYFYAIH TDTLAHFLGAFLMDSLSMQLEAKFKCDQPDMVAPIVRCLQLRYILGDYEPLGDM H257_12309 MSYLVPSEYLQKFTPKEIQDLKRLFKQFDTDTSGSIDAAELSRL LLSVGEQPSPELLNELIASVDTDHSNTIEFPEFLTLLYELRHPVPNAAPVHYPTGPTF CNDSAAALGGWNTTFDKPASSPVASPSSFAPKASSAFTSAAATFNKGTSVPTPPVVVV KKPARAATPIAAPAAPVTAAPVPVEPVRRSSVAENAAKFGATKKSMSPAQSPALGPAA HPPVQRVPSQLATIVQKHLTINESHSATGGVHSYSEEEKAAFAEHINHCLSGDPQLPY LPMDTSSNALFTSVTDGILLCKLINQAVPDTIDFRAVNIKPKLNLYEKTENLNLVINA AKSIGCSIVNIGPSDLLEGKAILVLGLVWQLIKIQLTSTINLKNHPELVRLLLDGESL EDFMKLPPDQILLRWVNYHLAAANHDKKIANFGRDIADATAYSVLLHHIAGSKCDLAK EPSAVERAAHVIRNAEKIGVDPFLKPTDITMGNTKLNLGFVAQLFNTCPALDGVDVAE LRDILDDDVGDSREERCFRMWINSLAIHDVYVNHLYSDLKDGLVILHVLDKTEPGVVS WPKANVAPTNKFKRVENCNYCVVLGKQLKFSLVNVGGVDIADGNKKLVLSLIWQLMRH STIKLLSSLGQDVHDKDIIDWANAKVLAGGRHKRLTMVQFRDQALSDSVFLLDLVYAV EPRAVNWDAVALGSPLSHVDKEANAKYAISCARKIGAAVFLTYEDIVEVKPKMIMTFV ASLMSLDKQHR H257_12310 MTTITDDTAPHAQVPRVKKEKKEKKEKKEKKEKKAKKRTRDEDA ADKVSAEDAQVATTSAESDNDVSVKDEPVVKKPKTHNVSTIGEDNPPLSNFRISAATI DNLEKRGIPTLFPIQAMTFDSIYDKKDLIGRARTGMGKTLAFVLPVVELLLKDGLRKN RGRPPKVICMAPTRELAKQVAQEFELTGPTLSTTCIYGGASYQSQNSDFSKGVDIVVG TTGRICDHLERGTLKLHQCSFLILDEADTMLEMGFREDIQKVFEAMQFAKAAEDHHIQ TLLFSATIPSWVETVANQYMSPTREYVNMVKDNEAQASTDVEHIAIPCHWQTRAALLA NLMSMYATKTSRTIIFAETKKDCNELAVNPDIKQDAQVLHGDIAQGQRETTMQAFRDG KIRLLIATDVAARGLDMDVDLVINSEPPRKQSGRADCETYVHRSGRTGRAGKKGICIT LFTPKQKDNLILIERHIKRKFTMQSAPNVDDMITTSGATASAEIDAVHKDMSALFVPH AEALVEKHGAVQALAAALACITGYTRPTPQASLLTGAPDMVTVLFESTNPIYAKGYVW NAVNRDIPEEFAGAIKNMQLTADSMGACFELPLAGLPLLEELMKKDQGDFKCPYSIPR ALPTMQEIPARSNGGGGYGGGRGGGYGGRGGGGYGGRGGGRGGGGRGGGGRGGRGGRR Y H257_12312 MKDLTSDQRRAVVNHLLLRVVQKPCKLQRGAIKDVARIFGRNRH TISEIWRRANVSLGGDLPMREIVCEDILSQKKGRVSRKQNEDYLVMAVTLRFTVPPTV VHEYITCVQLNAIIDNDFSHGFSVLQERRSTKSGAWGCKHAGCCFSLRASRHADDQVL VSSMNLAHNHALRHHKRQTP H257_12313 MKRRQWFYDPVIQSIKSKSSRLCLDAPEHKHGGSVVLANCDPNN VNQKWVLNDFTGQIHHATHFGFSLGAPDDVDGLVRLLWSDKNNVNQHWNIKPVKANA H257_12314 MELPIPQAEFKDLWICRRRRPRQFVCATNWMRSSIPGYNPLVDP LRRLLDVATKAAGSCKKSALVRVALPAVGWSSDHLKCFNDVKHALAHVVPLSHPREDM TPGRAPGWFPSYHFDWLSMPMAKSGQKQVLVVKDDMSGFVQLFAAESADAAATAQCLI TWFTTSGCVDTWVSDGGSHFKNEVIEKVWKLVGAHHHITTIYSPWANGTVEVVNRLVL RAVKALLSEMKLNADERPHVLPLVQGALNHQLAGRLGGIAPVTAFSGLPAKTPLAGPT SKEVYVADWLGAARQKHVTDLQLALEEMHRNRKSQVKFAGFQSAILCSSDRVITDHVM ETQQLVPPYEVTVHHACRLKMYHEGGREVTEDLEAQIAFGDGGFHVERLDEARCVDGQ HQVLVMWLGLDDEESSWEPAANLLDDTPVVFRKWAAVNKEDPAVAALIKTLDFP H257_12315 MPNCRVVSEAAAVVVPKVTHDRRNLALRVIVSSLHVATTVYLVV WVLLMLFSTGPSVVTAKLYQPKVTAAGYTMISLMHVGALVGPKLCHRKSAATSLSKPP QPPPPAVTVRRLSSKTQSRIIVRRLSKRAATDWFDHMFIVFNFIVILCQGRQVYEMLS TMVDPTKVVSYAVIVLLYSVLSPCLIFINNIQTKYTLVCYVDALFSFTLSCGHPMFSV AIQGLELILINPNLVRDNRWTTETLLFARLFGITSPVDFFVKHVMHLSTYFTICRLAN TSHSADSNKDQSSVLQRSQQNRVMRRLNLAVSSGLGVVCAILLGRCLLYREPCPSYCV SNMQPLMDLGCHCAYANINCHTLGIDDPTPLLDPRIIGTRLVYVQFSRCDLENGLNAT SMVPFQQITKIQVTFSNMSSWTGPLPASLNNILVQYSRLETIPHALLYDIPPELVTIL IDAAPLKTIPDIAFNAWSFVQRLQLLNVSLTTFPNGILSMPHLTELNLRGNNITSMFP ESAWPSPLTIAGLAGNGLKSVPWTAAKRGVNIDLSGNPIEDTTTLDAAELKLVHRRSV ILDDTPYCNVSQDTTCKHMCGPDCFAFMVGDYFCDLACFTPVCGFDKGDCDGFGFS H257_12316 MPNCRVVSEAAAVVVPKVTHDRRNLALRVIVSSLHVATTVYLVV WVLLMLFSTGPSVVTAKLYQPKVTAAGYTMISLMHVGALVGPKLCHRKSAATSLSKPP QPPPPAVTVRRLSSKTQSRIIVRRLSKRAATDWFDHMFIVFNFIVILCQGRQVYEMLS TMVDPTKE H257_12317 MCTANGAEMCWPLSATIAPRAVPLLAWLASLCGSTPAPTTTTAT TMRPAWSDRFHLKPTT H257_12318 METCSVCGSDDSPTLRQCNMHLSKVYHMYIVEEAAKNGWPEAEE GQELWAVHKVPSSAQDVPPTAKKRGRPKGAKNKAKVPDVPFHEAVVWCCDVRRSDQAD LLALIKKHIRPGTHIISDKVGSYVSTNEQHTLANNHLLAGMRYTH H257_12319 MRGVLKERIPAFLDEYLWRSWHFASGAIGKKGAKEKVWLAYELS QLPYAFSKFGASYVDGTSPWTAQYGPAKDDELLVVMAALSDVASIDALPANVCRRRAE DTTDDATCLD H257_12320 MVDLNSGLQVTNRALHHLSYRYRHATPEKKGYPDEEDPRHNDSL YHPDDKETTPSKAKHKGRCLCFVAGIMSDGDKFQPKDYHSMFTHAFFVDCDGQCKIPS RKAMKKADMLSACETYGINVNQRLTRPVVWAVLKDHVDRAVKSEVESMAMERGHLVAW TPPYRSDLQPIEMVWSDVKGKVGRQYTVTTSFEDVRVRLDAAFASLPSKTIYNYIGPT ERKVAAMSLYLETLDEANDELGQGSSDDENSVDMASEASSDDDE H257_12321 MTNCRVVSEAAAVVVPKVIHDKRNLALRVVVGSLHVATTVYLVV WVLLMMFSAGPTIVTAKLYQAKVTATGYTMISLMHVGALVGPKLCHRKPDATSLSMPL QPPPPAVTVRRLGSKTQSRIIVRRLSKSAATDWFDHVFIVFNFIVILCQGRQVYEMLS TMVDPTKVVSYAVIVLLYSVLSPCLIFINNIQTKYTLYSRLETIPHALIYDIPPELST ILIDASPLKTIPDNVFNAWSFVQRLQLLNVSLTTFPNGILSMPHLTELNLRGNNITSM FPESAWPSPLTIAGLAGNGLKSVPWTAAKRGVNIDLSGNPIEDATTLDAAELKLVHRR SVILDDTPYCNVTEDTTCKHICGPDCFAFMVGDYYCDLGCFTPACGFDKGDCDEFGFS LS H257_12322 MTTEESQLALRVYKWAKRKKMHPTTMMTLLEYGLGIPVERPLIP DVRFDCNMRDVDAHMSFRFDVRGVLQLSSLLGVPNVVITPNRDGVVGVEAIAILLRRL RYPITYYDMLSMFGRSREQLCRIFNYMVAFMYQQWGRHLLQQKNCTLPYCQLCYCRFE QGFTIVKCMGVPRWDKDRIVSYQCQYQWCRGFEPPKANLFGPQSHALPQFLRFDHPGR SVHPLFWAIGGYCSRHDVTLLRVSKLQEYFDANTEMQHKIMLSNVESVVLVAMFMTNC NCCYYGGNQISSYFDIQPPTLKEYLTSE H257_12323 MALTDKRRNWTPEEDVVLLIQVAADLPFAADKCQVTKSWQSLAD KLVACEHFDRVVDGRKVQNRFTALVDEHRKFDTASAKLSGVDQEELEKHTLLDDLLPL LDEVKSTAASKRVKMEDEKDKIEQGGMLVSFFVDEVKKKPAVENRRNSLVAAIEADSE RKLASRVKQLEFDRFNTH H257_12324 MKAKNEKCLFRKQANVTRVHDHKGNHQTTNPAWAALCVERQFLE VTTERLVALQTTLNKECYEVKAQVAVRMSEERLKIEEERRMWTEQVLSDRMLLEEERR AMVGSSALTES H257_12325 MSTPSKRRSFSEEDDIMLLRQVSLEMQFQARRGLVMERWNSVAA SLRTSEDFSRSDIDAKRLCNRFMLLLDAYRKSDKQSERASGIDEEFTEKSMLLDDLLA AYDDVKNTDLRRTEESRLAAEHVDAMGSLVREEALQSLGKRKRDKEDDDGASGGGIFM KMFTLMHEQAKADLEFKKEKLERKERRGARS H257_12326 MEDELRNDVSTRQQSITVQDLRDNRLAEGSKKGYLSGVRQVVPW LRESGRSGTKNPDGSINLDILATDQPSRTTTAGKMWPFRLVSSLMPLQSIKTQTGTIK PGGKQPLRYHQYQELCRASLTKLGAGFTHLFLTLSWNLMCRSRSTENVRVDHLSDEGD SIDNVASAPYPSGDYCFERLPAVVITSNRIRVHHDEALCILLGRLAFPVRFHTMSRTF GRSRSSLCEIFLHVVNYLYERWGSLLFFKKQKNINRYCAAVASKGAPLSNVFGFIDGT H257_12327 MSGKKARTIDLVKSALVAFFGSKSVSPNPAEDTNARRYIVGLQK SNKTNNVDEEKKAHALTLHPEERPIRPTSLLRIPAASCARGGLLGLFPNQRSAELCSN DVQIVFDGNDRYQSVLLRWHKKANMEEDCQI H257_12328 MVYLVVWVLIILFSAGPSIVSAKLYQPKVTAVGHTMISLMHVGA LVAPSVWRRKPATSLSKPLPRAVTVRKLSSKTQSRIIVRRLSKRAATEWFDHVFIVFN FIVILCQGRQAYEMLSTMVDPTKVVSYAVIVLLYSVLSPCLIFINNIQTKYMLVCYAD ALFSFTLSCGHPMFSVAIQALELIVVNPNLVRDNRWATETLLFARLFGITGPVDFFVK HVMHLSTYYTICRLANTAHSADSNKDQSSVLQRNQQNRVMRRLNLAVSSGLGVVCAIL LGRCLLYREPCPSYCVSNMQPLVDLGCHCAYANINCHTLGIDDPTPLLDPSVIGTRLV FVQFSRCDLENGLNASSMARFGQLSKLVIQFSNMSAWPGPIPPSLNSIMVRYSRLKSI PPALMEDIPADLVILFIDAAPLKTIPDNVFKAWSSVQRLQLSNLSLAAIPTGMLGLPH LSELNIRGNKITTAFPESAWVSTLKIAGLAGNGFKYVPWTAAKRGVKIDLSGNPIQGA TALEATQLKLVQQRSVILDDTPYCNVSQDTTCKHMCGPDCFMYMMGDFICDLACFTSV CGFDKGDCDGLGFS H257_12329 MFGTGLVYQDHDRIFAASTSFLNHTATYVDQRGLSVAGTALEAV ASREWNHQSASRQDDSLFAVNKSCADKAMHISQGAKENVRLAYELDQLPYAFLKFDAS YVDGASPWTAQYGPAKDDELLVVMAALSDVASIDALPANVCRRRAEDTTDDATCLD H257_12330 MAEAGEADQTSANVNWLLEAYQEDPEDALYAEDVACSTPTMEKP VDQDGEIKHILDGMIAEATHLGLSPAQEGELQRILGDLGDVFRLEFGQDLPVDVEPLK VPLKEEAVPIKCALRRYPQAHMGGSAAYCHQESPGRISNDYHLSPDQRMDDPHALADA KLGRRDGDGYWQLPVHPTCQMWYSFLTPFGVYTLTIILTGQADAMAYYQSVVNEMFGG LLYAGVLSICGKFHLKLHPKKCDFFLKKATWCGKVISAEGITHSPDRVHGLCALETPT SGADLQQFVCATNWMRRSISSYSELIDALRGLLDVAAKAVGGLKKTALARIKLATVGW ASEHDACFVAVKDTLRQMVPLSHPSADKIICLYTDARDTHWGAACTQIPSEELELPPL AFLSCGFDGASARWLTVEKEAFAICDRRVVQMTGVPAAPAAGIQTMARYQARKLQRWA MVMTTLPYTVQHVAGEANVWADLLSQWGAASLPATVARVQQLVVVSLLQDKNFAWPTP AKILGIQKAAWKAADKDVPNVTRSASDGYYVTVAGKIWIPEVAEELQQQGAAGRRGVD ATTQGSALNHQPADHLGDVALVTAFQGLPSTTTLAGFVHPRTKEVLTKLQQQVRDYRE KKAHVHLAKFALGDFVQHLVGPFATSVHHASRLKFLSDVALDVTHALVDYAEFGDEGF FKGLDEEESSWEPALQLYEDIAVVLRRCIVKNASDGVIKAMCDDLEVTLGHPL H257_12331 MPLRIALLNCFRGGAFSAVLNITLFVAGVSIQHMLLHAVFTPVK SATEIPMLMVGFGSSVVALFAADVGVDLVGKVEQDIPKDDPHNLSVIADLIGDMVVDY VGSSADVFV H257_12332 MEFPSNLPDLAKTPKCTRWSPSGAAKRTPLWDDDGIAALFRLRY KSQLSARFYSKSNADKKTAYVMLAAELSVVTEKEYSVAQVQDKNAKKK H257_12333 MLGDARYEQWFHDNVRCDQAVFRRPVDLLRQRLQPNERQSSHSF EKNVAVTLYFLGSEGGYRETAAAFGMTKSWCITVVTTVVDVLASQAKLWIRLPTSPGN FGVASSVKVQRFPGVVGAVDGHRMVFLSVEIRPGSQLGQTIRRCFPTSSLVNGDSGYT LLLSLLTPYVPHEEGGRLSNTQKRFNYKLSSSRIVVECAFGRLKEGFRILKTEMNERS LDRT H257_12334 MVNKLYLALRGAATDIHTAHTAVTTATSTRLKMSILFVCTSPLV HGQLRDEGHLRFVSPGSPVPVEVVHEPCVVFANHPSLRVGDVVQLIPKLERNAANAIV FIDPLVSPFEDMTPFQRAVLMGVIHVHIDFRLSCNNANILIAECKPQTLVLPGCYASY ELIVFVLANSNDAEVGVMVQESATSEAYAAQEYATAIDGDGMSIRDFKVTSTAKAKKY HPNDSPFSALTIGHDTKEGAFLTSITGFAQPTS H257_12335 MLKVKSIAGHNFVPDVKDFMLEVLWEGFEDIESSWEPLHKLVHE CPAMVKNYVEVKKTASEGEELARPKWSSTEATGCRPLANRHEKA H257_12336 MTSTSWHLRLCSAGATVEQNFVFCAPHVTDDGISCSSKLKGGRR QYIVTSISKITPDQELPVDSSFSTPAIGNDTKEGAFVTSTTGSVSPRHMQTIAPTRYF YGGVGKGLHTFRFVYITRDAVEGILTQPPPQPSNPPPPPSIPSLQPPPPSIQPTVVPP LVPIPAEPSDVPIAAEPPVLPGAAELPIVPVAAEPVHVKAEGNPTVPKRMKLEHQGLP RNNIALVSNNQVVDLTADDSADDKAGGPPWTQQTKKTGIAGRYSKDK H257_12337 MKMACPVRRNIEAKIGAEYFVRCTNTRPQPTILKRLSTGITKAL NCMKATISIDGQAATTSRLVTVGSVKHLRLRSGRGTVEQNFVFCAPHVTDDGISCSSK LKDVGRFVD H257_12337 MKMACPVRRNIEAKIGAEYFVRCTNTRPQPTILKRLSTGITKAL NCMKATISIDGQAATTSRLVTVGSVKHLRLRSGRGTVEQNFVFCAPHVTDDGISCSSK LKDVGRFVD H257_12337 MKMACPVRRNIEAKIGAEYFVRCTNTRPQPTILKRLSTGITKAL NCMKATISIDGQAATTSRLVTVGSVKHLRLRSGRGTVEQNFVFCAPHVTDDGISCSSK LKDVGRFVD H257_12338 MLYVHEGDVHCDTADIFDVFNVPVFWPILLMYFILLFTLTMKRQ IKHMCKHNYMPWSSGKQVYKDIK H257_12339 MMLNNYHTLEKKSFDETTGTVEYPWYRVKEHFTREILAEGTGMF ITMAFLNGVVSQVVLGGATHGDYSHINLGVGLAFMMGIHTCGGISGAHLNPAVTIALA VHGRFEWKKVPLYIVAQLIGAFLGAAVVFAIYYPSFNEFDADRSIAKSAGIFATYPID LYAQNLFSAFLCEFFGTALLVFAIFSLDDPTNMPTNPIMKPLTVALVLVMIGMSFGLP TGYALNPARDLGPRIFTAVSGWGVGVFTAANYYFLIPIFAPIVGAIAGGGAYMVTIYN HHDQHDDLKALKVLGESRGYQAVPSSTIDDSVVGSIAA H257_12340 MGASDRVHIFNSSRPPEGGYPDSLIATTKPPEDELRRMHGIVCL LLADGTFDVAEQLLQASVDLFMEQQQAADDGHGNVDARFGIMTGIGTAYAKNGQHQDP H257_12341 MVDDMNHACSLEKSSFSLNLVREAATDALRRVLGSLDLGDIELF VEPRLLSLFHHATSLSGEDLTVLRVREIHSLASPSAPPMPTSTVVYIVRPQTTHVQLV AQHLLQQLRGASSSSSVVLYTGTWNALCADVLSRAGVDKQVAVHPFQLGFIPTDNDML TLAHDTLLRDCYIQNNKTTLTELGHALHVLQQTTGDIPTVHYKGELAKAVWKSLSEFN AASPPPSSRPKKLRIDHMIILDRKLDYIAPLSTPLTHEALLAELLGLQNGTVTVDATA NAGTVAPTSVTISDKEGVVWSLNGDDRIFQDIRNKHIQAIGPYLHSTSAVFAEERKHM ELLLHAESTTVKQLDELGTTLNQHMDKAAVLSQHIALAELVQTTTKSKSFKSQWQLEK SILERQPHLGDIEALVWQHAPVYTVLRLLCLHSLANQGLPKPAYMHLKTQCLHLYGFE YLHVFENLEAMGVLATPTTSPPGGGNASAPSNPLVAWNLTGHFDCDPCNPDDPSYVAG GYCPLTVKLVQAALSPEGWTPLAARLNMLPGPSAVLAAAAKPKKTKKVLVVIVGGISP IEITALRFVGQKIKMSFLIASDTVASGKAFLGQAMEPIANGLLTTNK H257_12341 MVDDMNHACSLEKSSFSLNLVREAATDALRRVLGSLDLGDIELF VEPRLLSLFHHATSLSGEDLTVLRVREIHSLASPSAPPMPTSTVVYIVRPQTTHVQLV AQHLLQQLRGASSSSSVVLYTGTWNALCADVLSRAGVDKQVAVHPFQLGFIPTDNDML TLAHDTLLRDCYIQNNKTTLTELGHALHVLQQTTGDIPTVHYKGELAKAVWKSLSEFN AASPPPSSRPKKLRIDHMIILDRKLDYIAPLSTPLTHEALLAELLGLQNGTVTVDATA NAGTVAPTSVTISDKEGVVWSLNGDDRIFQDIRNKHIQAIGPYLHSTSAVFAEERKHM ELLLHAESTTVKQLDELGTTLNQHMDKAAVLSQHIALAELVQTTTKSKSFKSQWQLEK SILERQPHLGDIEALVWQHAPVYTVLRLLCLHSLANQGLPKPAYMHLKTQCLHLYGFE YLHVFENLEAMGVLATPTTSPPGGGNASAPSNPLVAWNLTGHFDCDPCNPDDPSYVAG GYCPLTVKLVQAALSTP H257_12341 MVDDMNHACSLEKSSFSLNLVREAATDALRRVLGSLDLGDIELF VEPRLLSLFHHATSLSGEDLTVLRVREIHSLASPSAPPMPTSTVVYIVRPQTTHVQLV AQHLLQQLRGASSSSSVVLYTGTWNALCADVLSRAGVDKQVAVHPFQLGFIPTDNDML TLAHDTLLRDCYIQNNKTTLTELGHALHVLQQTTGDIPTVHYKGELAKAVWKSLSEFN AASPPPSSRPKKLRIDHMIILDRKLDYIAPLSTPLTHEALLAELLGLQNGTVTVDATA NAGTVAPTSVTISDKEGVVWSLNGDDRIFQDIRNKHIQAIGPYLHSTSAVFAEERKHM ELLLHAESTTVKQLDELGTTLNQHMDKAAVLSQHIALAELVQTTTKSKSFKSQWQLEK SILERQPHLGDIEALVWQHAPVYTVLRLLCLYFIDYIYI H257_12342 MKLIQLGHADEGVSSFLLGTCGRQVLLDCGLNLKALVHLPLHGA FRFHGPSLHFIDAQTVDYVVISNHMSLLGLPLLTERTAFKGEIFATAPSVQYGRRMLL DMLDMVQTRQSNSGGRPWMSRAHIKTLPHADQQLLLGMEYDQWADPYTRFEIERCLQR ITIVPYHQTLTLSYELKVTAVSSGFALGAACWVVESPMEKLVYVPAASSEVNRHPAAL DVQHLRDADIMLVTDLKNDRDTRMIDKRLETFLNHLLAVFTRRGTSVVPCHFDGILFD LLENLQLFLAAINHAPIPIYFVATEPLDAEPCAPQWLCQSKMQKLFAGASPFVHGQLR DEGHLRFVSPGSPVPVEEPCIVFANHPSLRIGDVVQLIPKLQRNAANAIVFIDPYVSP FEAMTPFQPTALMEVIHAPIDFRLSCNNANILIAECKPQTLVLPACYASAPHPDGQCV RSLKDLIVTREVDSTVLLHHLEPIIVTKPKKAVDAVLDPELAAQINLTTIDKHAAALV RTTLSFASGRVELKAVDGDFWAFRRPPPPPPAAALDPSSHSSLEHADGAPCKKLCVRE QSHVLLGELDLESLLHQLQVEFGPVHIEDLDMATQVSFGDTVITFVTNENKTVVASGS DRVRDRVAHLVVGQLSRF H257_12343 MVCDAANGLSHLHASACLHRDVVVCQHVARTMSKSATLGWHDGD SWWTDGGRMSSDLVTTIPPSRSNPPYLSLYKEASDVFAFGVTMWETYHDAVAVRVSDM HISTSNLEMVRRCFAVNPVPTKADRAMKQIRE H257_12344 MEFTVAQQLYSPVDNSSPSACTSPPPPMSPKRDRLVVVGVDVCA TRIQRAFQRRRQQQKARRQQQPLQRLKPLSILTPLPHTPFPGHMSTSAPPVEAGGTQF QRQQADTSPSLRGSPRHMTTTNSSLYGLCPSTGRVAPISSFRFTYTLFHLVVGRHTDE IVAGLMDRKHGSIVVGGAYRQYLGSYQGKQVVIKALRQADIPWLQHEEVVLSMFGHHP STVPFVGACTNFNSLLAVMTEFISFARVADFRRVFPFLVL H257_12345 MQVVWLDPTTNKAEGVLLLPPAEVAGAATVDAIKKLLAKKLLLE NVSRSTLRLHGQHLTSGDLDAYLPCFRSNIPRFVFSYQLTLKCQADMRTSCIFVSMLA GNVITVSTTNLTTVAEVCSEIEYKTGIPQEEHRLMYGGAQLQKCRQLCDYGIGRDSTL HFSLSLNGGLSYVSKAGEFVDVSNDDILEVRKFLRDAPMWRHVQIGLNVEGVCKNKDC DAFGHLVICPTKWRPFNLLQHRIHCALCCASIVPFTCGFYNCRWRFEGVQIDNGMHMS SPWKAASGEFYHRFNEGKNVTWQSLVVVATPLDTKGCGMCFGSLVGLKQPLVVLPCSH KVDYACFKALMTSCDRRKVAVTCPTCWSPAGADGVRFQ H257_12346 MQVVWLDPTTNEAKGVLLVTPAEVAETATVDSLKKLLANKLLLE NDVSQRTLRLHGQHLDTYLPCFQSNIPRFGFSYKLASTVQGNAPSSSIFVSMLGSDVI TVPITTLMAVSEVCSEIEHQTGIPPHEHRLMFGGVPFQHDQHLCDYGIRRDSMLHFSL RVKGGLWFVAKVLVAFARSYGGPKWGYVYID H257_12347 MGHPRKTCTELGCNKLAASGGLCVGHGGGKMCQFPGCTKGYQRG GFCRNHGGGSRCTVPFCIKVDAGHGLCRSHGGGKRCKAVDCGKADVGGGYCTAHGGGK RCGFPSCDKNSQGGGFCRAHGGGRRCTVPDCTKMGRGASGKCPEHGGSPLCNAPNCRK IARDGQGRCREHSKDIHNEVLSQTSDDSDLLSRRVARPSSTASSQTANSPLESLVMLA SAPPVAFAYRRLLVQVQLQVPWTELRVLALLQSEPQVRSVHILGYRHSSAPRQPKSAV CFVVRWAAHSSAALELALGALDVRYSVLENSLVEDMASLVVVETILKVNGMMCVANCG NTVVRAIQSVASVLEVHLEFDDAQVVVQSHNTVTAHALIARIQAIGFEADLVSVTPVP WHRQFHMQRTGGCHVTMDVALQLETVLSHVEGVEKVAVVPHLATVDVFGFFDAHEVVL MAATVALHLTDRESSVDPLQAEGGSTPVHHECSFACSSGCIKYQTTMAHTAALAVGWA VPGCGMAFGYECNCGDSCKCAGCPTHNPGTTIDPVTALL H257_12347 MGHPRKTCTELGCNKLAASGGLCVGHGGGKMCQFPGCTKGYQRG GFCRNHGGGSRCTVPFCIKVDAGHGLCRSHGGGKRCKAVDCGKADVGGGYCTAHGGGK RCGFPSCDKNSQGGGFCRAHGGGRRCTVPDCTKMGRGASGKCPEHGGSPLCNAPNCRK IARDGQGRCREHSKDIHNEVLSQTSDDSDLLSRRVARPSSTASSQTANSPLESLVMLA SAPPVAFAYRRLLVQVQLQVPWTELRVLALLQSEPQVRSVHILGYRHSSAPRQPKSAV CFVVRWAAHSSAALELALGALDVRYSVLENSLVEDMASLVVVETILKVNGMMCVANCG NTVVRAIQSVASVLEVHLEFDDAQVVVQSHNTVTAHALIARIQAIGFEADLVSVTPVP WHRQYVQSAAITRFNITVGSTCNAQEGATSRWTSHCSSRLC H257_12348 MEDDHTYNITSNPWGNLQEFCPKVIAPTVTLGAELMELHAFLTN QKPNGDVDAAIKAMNKKDIEAKKRELENWNFRLYLDEGKEMQRGQQLKILSSDFPMGT HGKGGTKSATAVSLSPSTNGTAGNGLGRSSSSTTSKRLRRV H257_12349 MAWVCSSGNDAATQPNAIQGADVMDVLTTFRPQVRALALTNTSD KVAQDIVKLCDNVRRQKQRTAKTHEQRDHDGLHQVRYKSPPTYKSHSVSQSAPFTWTA TSTATSSHVQYIITKTKPQLLRHD H257_12350 MYGSPLVLVAVLALAWTSPVATALRAVPLPASTYRFVGATSNFG LTTSVDPVWTSPPIFQSDAVSITLQLSSLSLPSNSYVLLRPLATAEYPTNASTYFPSG DTGYINVTLPRVYTNYLVVEIYAADDHVRFTEAHSLQVDAFTYITSDDLVAQTQALGR REALCGTDDSVHAVCGYNPASATNSMYAHSRPVVRITVDPNNPFGTDLCTGWLWGSDG HIVTNNHCIGSIAEASTAQFEFVAETIDCNDSMRSTCPGVIEVVSAKLVYTNPTLDYS LLKVDGAVARKYGYLQATPVAPVVNLPVYVPQHPRGGCKLISFLDSTSRGPVTITSLQ TAGCETKGGLKYNADTDGGSSGSPVISAIDHSVVALHYCGASSCNNAGIPMLSIVADL QRRGFQLPPDAVALPKSVGSGLIPPFNDPRINPRPPVANFGPPVAFNGILRRLGTSAR SFQTTVDQYEITLDAPGQVAVDILSYEIDEVANAYADLNHDCRFNFFDSNVYVYSRDP SVPKYTAYFNDDVDWMLRPSDGRSDGSVSKKDSFLVATLPKGTHVVAVGVSNLSLKNA NEAVNEYRWAALESCVGENPAVKAEGTYRLTLTSTVGITVGKAPPKNPSPPAQCTTDL TQLQADCANEQQAYFNQQMNA H257_12351 MATSTRWRAAGVASRMLMARRCFLTVPEFLQPSSLRKEHREKKV VPFPCQAMFDVVANVDEYVTFLPFCVTSRVVSRPSSNSMEADLTVGFQIFTETYRSRV VLESPRRILIKSINSPTFKSIESEWTFRPVSTTSCEVNFRVSFEVGSILHAHAMRLFF DDVARVQLNAFIGQASKLQQQRQLAQKRQQQSPPEPTPAGKPWTVPFLQGKVPAPVLA HLKHVFIAHATAEAGTYKLSLAGFGAACHDLVHTSPWAKASPTVEDLKSISANSQSAL AFAVFASYIMPSQHQPHTHEQPPHRQELSFTEFATGVYLTLYGTVDDKAVHMFQAIDI LHDGRLSPDELRRAMETRLRVVRDVFPLLLQEQLELAASSSSSQFTSSTNLNEEGMKV GLLAIESLMAQVESDIPLAVHQIFLSMTLEEPNHINLTEWRRMWHDHPELVEMMTIDG MKKILHVAAVVTPANR H257_12351 MATSTRWRAAGVASRMLMARRCFLTVPEFLQPSSLRKEHREKKV VPFPCQAMFDVVANVDEYVTFLPFCVTSRVVSRPSSNSMEADLTVGFQIFTETYRSRV VLESPRRILIKSINSPTFKSIESEWTFRPVSTTSCEVNFRVSFEVGSILHAHAMRLFF DDVARVQLNAFIGQASKLQQQRQLAQKRQQQSPPEPTPAGKPWTVPFLQGKVPAPVLA HLKHVFIAHATAEAGTYKLSLAGFGAACHDLVHTSPWAKASPTVEDLKSISANSQSAL AFAVFASYIMPSQHQPHTHEQPPHRQELSFTEFATGVYLTLYGTVDDKAVHMFQAIDI LHDGRLSPDELRRAMETRLRVVRDVFPLLLQEQLELAASSSSSQFTSSTNLNEEGMKV GLLAIESLMAQVESDIPLAVHQIFLSMTLEEPNHINLTEWRRMWHDHPELVEMMTIDG MKKILHVAAVVTPANR H257_12351 MATSTRWRAAGVASRMLMARRCFLTVPEFLQPSSLRKEHREKKV VPFPCQAMFDVVANVDEYVTFLPFCVTSRVVSRPSSNSMEADLTVGFQIFTETYRSRV VLESPRRILIKSINSPTFKSIESEWTFRPVSTTSCEVNFRVSFEVGSILHAHAMRLFF DDVARVQLNAFIGQASKLQQQRQLAQKRQQQSPPEPTPAGKPWTVPFLQGKVPAPVLA HLKHVFIAHATAEAGTYKLSLAGFGAACHDLVHTSPWAKASPTVEDLKSISANSQSAL AFAVFASYIMPSQHQPHTHEQPPHRQELSFTEFAVQCTLHIQQIVVISHFRYWLDGCI LDTIRYSGRQSRTHVPSDRYTARRATQSRRTSPRHGNQASGGARCVSAVVARTTRVGC IVVVVAVYFVDESERRRHESGTLGH H257_12352 MLYSDYKAYQQGAPAGYAGWCLVAIFKFALDCNHSPSSMSGTKT PTTVTSQQQSPIDINPKATKEVVMDKRVGHIHLALGSASGHMEYLDTNFRVNWCGDAK SVLTLRDGREYHPIQFHFHTPSEHTLEGKRFPFCMHLVHQAANGDLAVVGVFFEEGEE SSFLKQFWHYLPELDPHGEHLTVNNIDFDSLDITNESFFRYQGSLTTPPFTEGVEWVI VKDARTVSKAQILAFIDAIPGESNARELQPIRGAAGKMLFCC H257_12353 MAQQSPIDIDPRAVKEIIMDDYSGHIDLTLGAGPGRFQHGYTNF KVNWSGDATTVLKLRDGREFRPIQFHFHAPSEHTLQGHHFPFCMHLVHKSTDGKIAVV GVFFQVGDDESPFLKQFWNVLPDLDPHGVDVTVDNISFDDLYIASAMTDEAFYRYQGS LTTPPYTDHVEWILVKDPRTMSKAQLQHFIDILPGGSNARDLQPIQDAAGQLLFCCDA H257_12354 MTLDLRETTSGIVGAVFNVYAGLPFDVVKVRLQTQGASTPHYNG LMDCFARTVQQEGVRSLWKGALPALSSAIVENSVLFTANGALKRLFFERGDTLSTLDE ALVGSASGLFSAAAITPAEVIKCRLQTYAHAGSMGIWRCTKNIVRESGVMGLTAGLGA VVLRDVPFNFCFFGMYDYYTAKCMDVFGVESRRELHPLAVLVSGGCAGATSWTVVFPA DVIKSRLQVDATLTFRKAVRNVWRVHGLVGFYRGWSSAVLGSFPADGCLFLGVEMTHR LFAHFEDNNV H257_12355 MAESTKRTWTGLFLYTVFSCLWAYAYQVVFVCVLLVGILVAAST CKARNLLSGVARAVWQAELWVHRLYVTDSVLCVPRVESITLGTVWWTFVYFLLTKAVL TAYFAAIFTSLLGEYTCFRVDSTPTLSPYVYRDRWQTQPPWYETSENSAAAIVVTVLV SFIFNIYMVWMSTWWTDRYYTWMVSLVPADETRMLPHFHPYRLPNAIDPTLHPPPTFL PPPPPPPPLIPFSSQSSSGSAYAMGAPPPQPPQLEHKSSSQSSLSSVASVASSTTSSH SAHHLPSSDRRAMSMAFQRSHLDQEALHAQWISMQSQQSPAGGTQLFGPPTTAHTLGQ SPANPALHHHPLVQFSSTLTERHGVVGFPVPPPPLRTSSSSGRLTDQHLQRTSRRAYI PASPRPPQPPPQPPTRSKSSRKKDLALRTKHEHKLESMVDHARHQVQALHHILSTLKL HHDSHAVAHARRRLAVLNQLVAHAPLSQDERLQFELACHEFAAVLDNNNESDDESQQD DDAHVFDTVIESAQGHLRYIQHVWAATTTTDTTSVVPHETVSDLRGQLGAIIKSLQLV PPQWPRRQALEQQCHALDTDLTAWLVRQDRDKKEQLRRQLDAMQHTLVHGVGLSDDNK AQLQRICDDLEAKLVAMEHAPPPMPVIIQPLPLTTQLQTRGDDRPVATDPPPNDVLPT TSVAQTLVERYAAAALAASNKQVPRSVASLPSAHIVREVTMEDVDRDEAVQRPDDARE VHVTLAARSGWESPVGYAAPPSSTTSYDLLSPTGTSHSTVIPFAFTPSTTYGQLSPIS APSSPSLFDSTTFAIAPTFRSATAASFVSDQVEVDPVHFQSFGPPTVSRDMPFKFSIW AFLAHQRDEAVEEAMAADASARQVSRELLLRVRRGALAHVTLELPSSGFQNESEPTQV LTWTGAVSSVRYNVLCTSSAKIGQVLFKATIVVGTEVLVLRSYISVSASQISWENYAQ NVTELECKLEVLPKTFAEIPFHDLSIHELVGRGHFGDAFRADYHGKQVVVKTIRASAL GGDSADQIVSEFRHEAAVLSMFGHHPHIVPFVGASTDPSSTLALVTEYLPFGTIEDQF KRQLSASQKTRIVGDAAAGLLNMHEGGFIHRDIAARNCLVDGALRAKVCDFGLCRRVH KANETSSHFEHGVGPLKYMAPESLQPPHVFSTKSDAFAFGVLVWETFAEKKPFAMMTA PEAAAYVLEGGRCLDIHSSDIPNAMQCLMAQCFQEDPELRPSMTDIVAACRRGVDDLT RVD H257_12356 MVKAFSSHQHPHQALPYDLVRATPEGCNWSRQTSPAKLRESGKT TGADAQLSARVRAHVRGDKAHELLCHLLRVNPAERGTADQVLSHAFFDQRDENLSLVS GTVQTILRTQQRRRQ H257_12356 MVKAFSSHQHPHQALPYDLVRATPEGCNWSRQTSPAKLRESGKT TGADAQLSARVRAHVRGDKAHELLCHLLRVNPAERGTADQVLSHAFFDQRDENLSLVS GTVQTILRTQQRRRQ H257_12357 MAPKERHYLTSPSLIANKRTRGQPCTPPATEARSSRDVMNGGID QGPLIAGLITFPACIHVIDAEGRTALDVGKNLKTTVATDMNQSVAVVQECGRTLLAMA AMRGNVEIVQEALDHGAKVNAPDDMGNSPLHLAVMNGMVRVVRRLASLPKCQLSTRTV EKRGGGDDLALWIKANHERPFLQHLVRSLGGCSRATCLKFLASLAKIDVDSKKTSHRF HLILDNHEKFQKDSVYLDGVDRR H257_12358 MKLLSSFAIAAAFAASSVAALDAKFYGINYDVRTHRWGGCKEFK TIDDDFNILKNVTNNVRIYGTEFECAKSVIEAAGKKGLKVWLGLWSEVRTDEVLDTFS LQYQALKDLMSHTDLINNDNILGIQVSSEALYRYYVLGPGSDRRGIDTVVGYLNKVRS YLRDHDLTFPVVISDNMDMYTRFPELYDEVDVVSVNQFSFRENKTAKEGAHFTFKRFQ EQETRAKRAGKLILLHETGWSTAGESPKVREASPQAQGVFTQDFLTLAARQNLNAFYY GAFDLPFNPTEIERNFGIYNADREMKPEVEAVHVGAPLQAVRLWAGDNVIKAHRYWNA DDDSVNENFGSVYAAKPSVGPLGVLDDEIWLWDAASSILYSKSSNQCLDSYGDLNTQT LHTYDCSKVNHNQKWSVANGNIASQNDANFCIDVDVKRSKTPDGNLVVAMYRCNGHQN QLISMVPAADEPLEIGIKTNGGVLTEWFGKVTWETSRKDNADCHQWFYDPVTQLIASK SHRGMCLDAYERKNYGVVHLYSCNATNVNQKWVVNDITGQIHHATHIGFCLDGPDNAN GLVHLWSCYKTEANQKWSIKPVKA H257_12359 MGVFDLQARICNDHSNGFDAIALGQTHGSEPATKQTAARRHKID DLLSGARHQFHFDMPPNSTITDDEYDEITSYVKNDHEH H257_12360 MKVLSSFAIAAAFAASSVAALDAKFYGINYDVRTSQWGGCKDFH TIAEDFNILKEVTNNVRIYGTDFECAKNVIEAAHNSGLKVWLGLWSEVGTTVATDSFP SQNNALKELVNRTKLINNDDILGIQVSSEALYRYYVLGPGSDRRGIDTVVGYLRTVQS YLRDHDLTFPVVISDNMDMYTKFPELYDEVDVVAVNQFSFRESKTAEEGAHFTFKRFQ EQETRAKRAGKLILLHETGWSTAGESPKVREASPRAQGVFTQDFLTLAARQNLNAFYY VAFDLPFNPTEIERNFGIYNADREMKPEVEAVHVGAPLQAVRLWAGDNVIKAHRYWNA DDDSVNENFGSVYAAKPSVGPLGVLDDEIWLWDAASSILYSKSSNQCLDSYGDLNTQT LHTYDCSKVNHNQKWSVANGNIASQNDANFCIDVDVKCSKTPDGNLVVAMYRCNGHQN QLISMVPAADEPLEIGIKTNGGVLTEWFGKVTWETSRKDNADCHQWFYDPVTQLIASK SHRGMCLDAYERKNYGVVHLYSCSATNVNQKWVVNDITGQIHHATHIGFCLDGPDNAN GLVHLWSCYKTEANQKWSIKPVKA H257_12361 MEGTPTKQTIQASFPGASTRQTYATYQKHFEAFCATHKQGADPV TASTEDCTDFFHQLYSLGRKARTIDSAKTALVAYFKENNVEPNPAQASESKQYVVGLQ KYNRQNNVDDETKAHPLTIHELSTLMNGFASLNPFLGALYRVMLSCCYLGSFRMGEML ALKWNDVALGRIVGFIIL H257_12362 MDKSMLRKEANGKTKATWKQRRAAEETLETDRPSMGNDEVHGDR QASDGRPHMMTPQDSAKLKPTQAKKGGHQPTMAHPNDATPSTGTLASSPAHICGPLID PQPSAKKHAKKNVKRANAASWQQHPAPSRTVPTENTLPLPPPIPTTVASHQSTTSNDI SSVSNKEPPQPARIHASVPSAAAPSVSNVDKKKKKKKKVIPPPPSSSTLPGDANTIEP AVAPPNQKPPPPLQIEAKLKPATKPRHRSNVPSTASIPTLANTTRSLIHDTLGQQLPS PPHRGSGGGPHDDLNNAIAIPKKAKSRTDYAKKYTPEYEPHLAYDDVVRGLCDGSLVS GVLRVNKHNRMDAYVTIPGLDRDLFIDGLSRQNRALDGDTVVLVGLPQTKWRTKSSSL ATSTATPSPACISSDAETLAALWNPLVQPSQPPAASPSSARHDVAVGSGIDRLQAHVD AVQSHLKAGQKAQPTASVVHILERADVSYVGVVRVTKQNVTLFDALDKRLPRGMRIHN MPPEYHRNPHLFATTMLCLVKLDQWAVHYKSPTGVLVQVLGPASQVDSDLLALLTTNS LLRHLEPFSPEIAASLPVATEWTIPQSELDRRRDLRGWTVFSIDPSTARDLDDAMSIR RLPDDDTFEVGVHIADVSHFIVPGSALDVEAQARCTSVYFVNQVLPMLPRVLCEQLCS LNASVDRLAFTVLWHMRKDGTMVADAPIWFGKTIIRSCCQLDYATAQLLLDSSTTHDI AWPRPPTGGHSKQSIATCVRQLGDIAMARRRQRFATGAVQLPRPKLSFQLDNDGNPVG MTEYPLRESNYLVEEFMLLANYLVAQQLLQHGPPCALLRSHSPPDETKWGNALSQLDK MGVHLTNQQHLTPFLAQLERERGPVVLQTVRHVLTKPMATAEYVIVEDRHLTDRHRHF ALNLPYYTHFTSPIRRYADVLVHRLLEASLSGSTSSVAVTPKMVDTCNLQKLRSKRAQ QSCDLIYLARYVEHKGEVATTAVVVGVGSRSFTLMFLSFGFEQRVDAPDVATNFKFDD VKKCLSLTVASGATVPITLFEPVQVKLSTTKRVPLELVYTLVPSSSS H257_12362 MDKSMLRKEANGKTKATWKQRRAAEETLETDRPSMGNDEVHGDR QASDGRPHMMTPQDSAKLKPTQAKKGGHQPTMAHPNDATPSTGTLASSPAHICGPLID PQPSAKKHAKKNVKRANAASWQQHPAPSRTVPTENTLPLPPPIPTTVASHQSTTSNDI SSVSNKEPPQPARIHASVPSAAAPSVSNVDKKKKKKKKVIPPPPSSSTLPGDANTIEP AVAPPNQKPPPPLQIEAKLKPATKPRHRSNVPSTASIPTLANTTRSLIHDTLGQQLPS PPHRGSGGGPHDDLNNAIAIPKKAKSRTDYAKKYTPEYEPHLAYDDVVRGLCDGSLVS GVLRVNKHNRMDAYVTIPGLDRDLFIDGLSRQNRALDGDTVVLVGLPQTKWRTKSSSL ATSTATPSPACISSDAETLAALWNPLVQPSQPPAASPSSARHDVAVGSGIDRLQAHVD AVQSHLKAGQKAQPTASVVHILERADVSYVGVVRVTKQNVTLFDALDKRLPRGMRIHN MPPEYHRNPHLFATTMLCLVKLDQWAVHYKSPTGVLVQVLGPASQVDSDLLALLTTNS LLRHLEPFSPEIAASLPVATEWTIPQSELDRRRDLRGWTVFSIDPSTARDLDDAMSIR RLPDDDTFEVGVHIADVSHFIVPGSALDVEAQARCTSVYFVNQVLPMLPRVLCEQLCS LNASVDRLAFTVLWHMRKDGTMVADAPIWFGKTIIRSCCQLDYATAQLLLDSSTTHDI AWPRPPTGGHSKQSIATCVRQLGDIAMARRRQRFATGAVQLPRPKLSFQLDNDGNPVG MTEYPLRESNYLVEEFMLLANYLVAQQLLQHGPPCALLRSHSPPDETKWGNALSQLDK MGVHLTNQQHLTPFLAQLERERGPVVLQTVRHVLTKPMATAEYVIVEDRHLTDRHRHF ALNLPYYTHFTSPIRSHAQDGRHVQPPKASVQESPAVLRPHLPGSVC H257_12363 MHPTIHSSKSQLSYILHPNNSDDDDCTIAATPSPPASKTSPTPT MSMAQLDLSSPQSSSISPARPPKSSSVKLMYNRVRQRGVRQKEKVERQLLRQQVETLQ VQLRHLQAKQRQTSAEGRRMRFYGLQRWQGPAAAPTNKGDSAAFSDHSN H257_12364 MAVKTTPRANHIPSAHKAGISVKAGGSLGGNARASRSYYHYKRL YVAAASAVAVLAIFDWIPKSFPTKDTVLAPFSFFTLLPMAIQVIGLTLVFAIAAFFAT SGRPFLLFAWNCFIRPFLHAKKANGVDSDEHQARLEQFYESQADIYDVTRRRLLRGRS TMLKLCAAQLSQFYPVRQPTVSSDSDGDVMMSPRYPPRGANRRFAWIDIGGGTGENIE RMNQFFPIANFDKVYLVDITPSLCEVARKRFERLGWANVTVLCMDATKFTVPEEDGGG DDMEIALITLSYSLSMMESVFGLVDSLTNILCPTGILGVCDFYVSPKRSADSTRQLSW IMRWFWQIWFDLDNIYLHPSRREYLEYRFNTIKALNGKNHFIRPIVQIPYYVWLGAQK NVPVPVCDDVADGERGVETESNASNNSSDDDAAEVMAVEGGGGGTLVTYQHVHGSGQK WRQPFDPKLLARFDTYIYCFAWEDPRVDLEFLNLTRDDRMMVITSGGCNALEYAVQVG PARIHCVDLNPCQNHMLELKLAALSSLGYADFWKLFGQGYVPGFHHLVDTHLSPFLSP HAYHFWKQHATFANLFETGCSGLAVRVFRFVVAARGLKAQVRALCEAKDLEMQWHVWT TELRPHILSRWLIALLNNDHFLWGALGVPPAQMQMLLEEGSAQSYIENTLDPVMKHSL LRTDNYYYYSCLMCCYNHQNAPSYLQEDGFRVLQTDPTRLDAIKIHTATVVQVLTRDI PDEDLTRVILMDHLDWFSEEDAEAEIRAVSQKMRQGGRAFWRSAGKYPWYNSLFEKCG FRVYPCQIRQGDHLYIDRVNMYASFWAGEKM H257_12365 MRDFACCGDRSIYRFVRFHIMSLICSAWQYLMLAALALGFILRL LFWPLRKHRDGHRHHAKASVQSYCLKYAVVDINIRNSYNLQAAVPSKTPSVAALAAHK TNVALYFLLWKPVLCTLYILSTLALASAAAVPDITAAAMAGIVIVPLFRYVQIEDSST DLGLPTTSTPTVDHSMTNYTRLPSATDVEDRELYCIAVPAPPFVSTFMNQHPPPLSMT SVSRDSSNDSVTKQKPPRYSLPLAQESSENDDRSRTTNSFSNYPVAGPFNASRLGSLL DPLTITPQTRLSSSSRSSPQYSSPPKYSSLPTERSQDSATSSSTQSVQIQAYDASSAA ESTSIVDAPDKRIKVTVPTNITREYDAFEYADYEDIQSPSPHAVPDGVYCSAPSPSST LPHQQHATSPPEPESTWLSPTNYASVASVYSPSSPAYGLLSPQGAAAPPRQDKPPNRP EFHPPQVFRSVDAKGLNKQDAVHFVAYAPPSVALHSTFLFSIWAFLVHQRHDVHEQAT AGDSKSRQLSREVLLPVRRGALAHVQLQVPDGFVVEGDGPTQAFTWTGDVTSIPFSVT CLACPSSSQVLFKATIVVGAHVMVVKSFVFVHTTTIPRLVVDDDDNGIMHELTTELER LPTTFQEIPYDLLDFKQLVGEGHFGDAYRAVYNGRDVVVKTFKAQDLTLHGDSTSTDQ LVHEFRHEAAVLNMFGHHPNIVPFVGASTDPSQPLALVTAYLPHGSLESQWLRGPTST STSSSTRTSTLSVPQKQVILADAAAGLLNIHEGGFVHRDIAARNCLVDDAMRVKLCDF GMSRRVNAVVGGSHVSTGVGPLKYMAPESLVPPHAFSYGSDVYSYGVLIWETFAEASP FADLSGPQAAAYVLEGGRLNMSTRREDGSSIIPAKYQKIMAACFAEDPSKRPTMTQLH RAFTTW H257_12366 MSHGFQLADSMTMTRPTSAAPRSSTVMSSATPPLFRLTCYILLS LLLCFFLVLHVVGSVLVLLAAIVLRVRARATTTDFPDRSKSLVSTYRKTWLALYKADA YILCWYRPRLADELHVPRDESEVADDLWAHFAYFYLWRGVVGTCIAAVPTVFWTTAVS FLVAFFNASPAEDIVADTLPSQPLPWTLLLDGCAYIALGIVVANALIPWCLEWCVHAN MLLFPAVNYNLHFEEGAPLIEPPSSSWTQRATQLALSAAKRRPGAVMEKTFDDAVKSL VTSKGVAAELKRVHHRTLAKQHTHQTLLLVRRLLEHFVTTVPSGGAGVSHWVDSTVAP LRKSIAGYDDDQGRLANQLERVEALLRQLTDGSLAKSGECGVSTSPMGVTVVHGAQPP TSHVDSLYANAATFPSSLEGRPRQDAQRTTASGDGKSSVNERSDLEGYDDDVVQDMTP RRQKRPTQLAQLGAEESPEYHVLLSPTSDAATYGMLSPPNRADTGIFRSIFSTELVDT SSNTSSSSSTTTSRDDAVHFQAYAPPVVAAPSTFEFAVWAFLVHQRDEVRELAVSSSA LSREVLLPVRRGALAHVTLEVPRGFAILDEPTKAMDWQGDVTTVQFQLQCTSSSSNDG QAMIQATIVVGAKVMKLKAYLFVSGKPTHAQSDDDVAALSCELERLEESFHEIAFDDL DVHDGQVVGAGHYGDAVRATYRGAEVVVKTLRPDAFGSNSDQIVREFRHEAAVLNMFG HHPNIVPFVGASTDLSKPLTLVTSYLSYGSVADSFTVSSNVKLSLSQKEIVLYDAAAG LLNVHEGGFVHRDIAARNVLIDPVGRGKVCDFGLCRRVDASIGGWHFQHGHLPLRYMA PESLQPPHAFSFKSDAYMFGVLMWETLTEAKPFGALAPQDAAALVLEGHRLAYNNKDG SNTIIPPKYQHLMEGCFAEDPAKRLSMVELVHALGRLNVV H257_12367 MGLLRLHVAAAGVVAFALASSSAASTPASSSIRFVAPDEMLGSG CASQSDCGYLPGLACVRSTCTLCDVDADCGATPSDTSKRCVVDHGTTTVTSVDGTTTT FRPNSVCMEKNLFDPFTTHDAVASLLAFLCTALGSAAGTGGGGLLVPMYILAFGLGPK HAVPMSKTTIFGGAIATLMVNVMKKHPYRSRRPLIDYTLSAMMEPPTLIGTIFGVMGN ATFPSWLILGLLLALLSFMAVRTLQKVQHIDALEKATTQSEIVQLIPTQTSRVPDPKD IAKQARIQQQDYQDFETLAPVVVPANMDKTVYFRQLKTQLEDEESHVFPWTYVLPLVL CWLLIFAQSLFRGGHGTPSVVGIPCGSSTYWLLTCVPLVGLILITWFMGQRLRVRNQL RVLSGADFAVGDVHWTKKTAQIVFPIYCVVAGVASGLLGIGGGMVQNPIMLEYGLLPA VSSASASYMILFTSSATTLQFAVAGQFPGQLQYDYILWYSLMGFLGGCFGQNCVGIIV KKYKRSSILVYVLAFTIAASAVAMGISGYETVIHDFTKGVHLGFSNVCGK H257_12368 MHVMTMNELFAAVGCNNASQCLSRAIPSRHGDSSYRIAFGASTS IAIASFASSVPAAIPSIVTRLHGHPARISSVHWNSHYVRNGVEQDIILSGDAAGNLRL HLNLNNDGQWISHEVATCTSSISAVNSISTPTQTYLLAATSVGEVLVYTLDDKSPPSI AHSCLLPLGQRHIVETLATTTLNGSDDGVLVALGGVDLQVHLYKLDPSATAAALVHVT SLAGHKGWIRGLDFTRHDTSILLASASQDHKIRLWRIDSTSSHIMSVSCDAMLVGHDD WVTSVTWVVPGLILLSTSMDNRIILWHLDKHSELWTPQLRIGDLGGLGLLAGAAPLLS PASSLMSEVVALTFGGQIHRWAISSGSDGLLAPVVSVTGHTQSVSDVVWSVAGDYFVT VSQDQTARAFAHGSNGRWTEISRVQVHGYDLHCGCFVDASRFVSGADEKILRVFAVPE GMAALVRGELSSAGFGMLPELSLTTKATTDASTSLVFVGDQLNRSVWPELQKLYGHGN ELLCVTSNSARTRLASACKSRDSQFAAIWLWASAEEDIVPLQQLPGHASSVVQLAFSP DDRSLVSVSKDRHVCVYALQPDGSYTLATKQKVHKRIVWTCGWAHDSRLFATGSRDDT LLLWGHVRSTWQPVASALSFSSAVTAVAFAKPEMSTKEGIYLIAVGLESGWVHLVAAS AAADGKWEMATTQSIRAHAATVTRLAWHPTTAGLMVSTSQDTSVVLLQLGRGEGDTIE H257_12369 MLPSSESKPLFNGRAAPHPLAAYRQLGLTLAGCFAIFALVFLCT DSPVGMQPDDEDASTAALPTVSSWSTPTQRPSTCPEILPQDRVVLFWQSEVGGCEQVP NGVTHVVFGFAQTFGGIVTPSFQGAVASCVQSLRQRCMYVMGVIGGANNNVGMSTIHD PQAFAASVKSFVQQYLLDGVDIDDETSYFEGLYDDHRVRSYMQALHTALKTNGNDYLL SYDAYMLEADPVCWKSARCFAKGVEEYVDWVNVMAYNVDTNVARANQIYAGATKNVFE AWARIVPRDKITMGMCMGGACSYGPGPPSWVVQSWAQYNYASHMGGLMIYAGSMDINT GFETTKQIVLWMRQAAKTFGGRRWRTPRLTTTATTSSTSTTATTRTPAPTPSPHRRDS TVCGMCANCLYVPTHGCYIGWTYDQCTASAFTWCGQ H257_12369 MAGCFAIFALVFLCTDSPVGMQPDDEDASTAALPTVSSWSTPTQ RPSTCPEILPQDRVVLFWQSEVGGCEQVPNGVTHVVFGFAQTFGGIVTPSFQGAVASC VQSLRQRCMYVMGVIGGANNNVGMSTIHDPQAFAASVKSFVQQYLLDGVDIDDETSYF EGLYDDHRVRSYMQALHTALKTNGNDYLLSYDAYMLEADPVCWKSARCFAKGVEEYVD WVNVMAYNVDTNVARANQIYAGATKNVFEAWARIVPRDKITMGMCMGGACSYGPGPPS WVVQSWAQYNYASHMGGLMIYAGSMDINTGFETTKQIVLWMRQAAKTFGGRRWRTPRL TTTATTSSTSTTATTRTPAPTPSPHRRDSTVCGMCANCLYVPTHGCYIGWTYDQCTAS AFTWCGQ H257_12370 MVRVFVRAPVPDTPASFLATYHRLSVLVNVGFALNLATTPLMAY VAEPYPWGIPLQNTFADVPYDALDAAAAPMFQGLYNNMTMAPLEWFKLDLATLSYAVR YTLVIPPADEFVSHPLRQVNALVSFPAAGFYGVGGRALVYDFLAANSSARASNRWCTC QFAVLLGIPIAVGCLWFYSTSSSADTLPSTSITAFFSVQLFETFSMCWFKLAYRVALS LYVVWLLWSRYYRHIVALHRSLNRLGLDCTSSCTRYKIMLGDPSGLIMSEFVVAVVVL LDIWMNVSYLSIAFIQVSQVTDVWQLILGCMYTVRFVWGGALFVCILTVFTKRYKWEA IFTPVDPTVLTIASYIYGGPVLAVFVATPAMVVVYMLRHISLPGKNPVVDMEVSASIV AISLFVSSIPVVYSLARTYIRYRYPPRPIIGGPRRMTHRTYSDTWWQVHKTTGDIKHR LLRTLYKQRSKDCRGGSIYKLFDRNPRYRMKPLTSLLSADVFVLCARGGEGPQVYDIQ VRLSLVSLLDTHANDPALAITTCPREHRASVATLSHPTSCTTTSSPFVAHAFTCVHLG ETDWLM H257_12371 MRRVAGIATAAAVLLGTAGPWNAVDASTIHHAAVGLQCTQRQDC GFLPGLACINQTCAHCTTDTDCGASPSDLSKRCIVQVSRAASSSPRHPLTSVCIEKNL FSPFTSADAFASFMAFLSAALGSGCGVGGGGLLVPLYILVVGLSPKHAIPLSKATIFG GAAATFLVNFRKSHPLRPKRPLIDYALAAMMEPPTLVGSIFGVMFNRLFPSWLILILL ITLLGYTAYRTLQKGKKLYGKEQLALHSDSPPSADDSSSCLINVDKIQIKVDWCARKW LLLTREARHRRQQRADDDADFASLPPLILPPDKDQFFADARAALEADEFNTFPIQNVL PLVVCWCLVFAQAIILGGHGTPSLVGVPCGTVSYWLLTILPLTVLFGITTMMGRRLRL RNRLRVLSQVEFVDGDVHWTRFKTQVVFPAYCIVAGIAAGLLGIGGGMVKGPVMLENG ILPPVQSSSASFMILFTSSATTLLFSIAGTFPGQLQYDYVLWFATIGFCGGLFGQNVV GLLLKKYNRTSVLVFILAFTIGLSAMCMGYVGAMTAWRDFIKGGDLGFSSVCAAEP H257_12371 MRRVAGIATAAAVLLGTAGPWNAVDASTIHHAAVGLQCTQRQDC GFLPGLACINQTCAHCTTDTDCGASPSDLSKRCIVQVSRAASSSPRHPLTSVCIEKNL FSPFTSADAFASFMAFLSAALGSGCGVGGGGLLVPLYILVVGLSPKHAIPLSKATIFG GAAATFLVNFRKSHPLRPKRPLIDYALAAMMEPPTLVGSIFGVMFNRLFPSWLILILL ITLLGYTAYRTLQKGKKLYGKEQLALHSDSPPSADDSSSCLINVDKIQIKVDWCARKW LLLTREARHRRQQRADDDADFASLPPLILPPDKDQFFADARAALEADEFNTFPIQNVL PLVVCWCLVFAQAIILGGHGTPSLVGVPCGTVSYWLLTILPLTVLFGITTMMGRRLRL RNRLRVLSQVEFVDGDVHWTRFKTQVVFPAYCIVAGIAAGLLGIGGGMVKGPVMLENG ILPPVQSSSASFMILFTSSATTLLFSIAGTFPGQLQYGTI H257_12372 MARTSVDDDATVPHEDEQALLKKTSARVEDTSYVGGVPNLWHPS HIGLMITYAGVGVLHGAFPRTVYPFFRNYLNMDGYQVAACTSIIDMAWSFKVFFGLVS DSVPVWGYRRRPYVLLGWVISLAFMLHIATTPAEAPYYTKGQILSVPDVQLRHVDNPH APADGTKYILLLMGASMGYVMADVACDAAMVELAQTEREDVRGHTQSWSYIIKYMFNS MATGVVGLVLNGPEYGGAFTWSISFNALMWGLSGVVMAVLPAVFCFPDKLPPSVSPLG EKCREMYTVVTQRAIWQTMWFQYLNGFFLGFDAAPSSVVASDWAHVEPINDSIFSMAS TALMALGMYVTNRCFLDADWRVLVGVTTVAIVALDSFVSALTIYDVVRNQWFYLGAPV LNNLPQGIRFVVSGFVIVEVADMGHEGATYGLVTTVSNLATPLASAASSFIDGFFHVY QADIDSDTPPVRNRVAYTYAVAYAMKLTSLLTLVLLPGQKRQAQALKRDGGRYPWVGF WTLVVTGASLVAGVVTNLLAIVPATACMKVAGGPGCSPKSSAFAGW H257_12373 MSNVPVVGSPPPPDALESLVTKSGGAAKSNGGLDVLRDLNIKYP TGEQAVLLDAIGCGFCYYDTGRLAVCVSKVNALQKRYYFYENNRSKALLCSIDEHVVG MAGRPHGIKLVLTKDNAILSDAKDDIVKTWRWNPNAQNAGTPPTDPIVIQLNECLTFK FVDRKHILVKFVNTGVSLEFQCGERLKRDDTYLQHSTKVQSGPQRGKLVVDTAHQPNL VQRQKQIEVAALEKRSKQNPRSKDLTHASIKQVVTALEDKFDDYHGCQVTPYCTGPWL QDAHNQTLAELPVLPKTGFEVGKEPTLYGKDMAPHSTSHLLSALQDKDGKWLSSLDIR TRLELSNPVLPRTAVLCNASGRYSVDIQIPGGSAQPEGTKLEVVAGCRLDGFLKDDCA SDQLVVVACLREDDLTSRQAEKVLQLVATILADPQGDLSCHALPQNIVYIYMLTCSAG IVNAKYRLVKVDLAESREIAKRFCLHATPTFLMFFEGKLLGATSLGGHAVRIAPTTRN VHLTNKMDHPPRTLLVQGSAKQQVVSEKILRKELFAWDLALDADQAIQRVSKLSKASA VNNGVCPCYNLLLICDDVGEAGLRTLDRFIRTTDSKKVSNPSQQCVVGIIITNPDFEA SFASTLCQLCRTAQGRRVSVVTMTDDGVCPHCGIVPKSIVEASPAVSTLVSIAHVFVY RHIRAPTLHRLAERWADQVTQKKSEQMLRGAEVEVHKGLTKDTLFREMEHYLQVGRRG LFAPKDGALGMALSATDTFIMNTHLTTKPHSA H257_12373 MSNVPVVGSPPPPDALESLVTKSGGAAKSNGGLDVLRDLNIKYP TGEQAVLLDAIGCGFCYYDTGRLAVCVSKVNALQKRYYFYENNRSKALLCSIDEHVVG MAGRPHGIKLVLTKDNAILSDAKDDIVKTWRWNPNAQNAGTPPTDPIVIQLNECLTFK FVDRKHILVKFVNTGVSLEFQCGERLKRDDTYLQHSTKVQSGPQRGKLVVDTAHQPNL VQRQKQIEVAALEKRSKQNPRSKDLTHASIKQVVTALEDKFDDYHGCQVTPYCTGPWL QDAHNQTLAELPVLPKTGFEVGKEPTLYGKDMAPHSTSHLLSALQDKDGKWLSSLDIR TRLELSNPVLPRTAVLCNASGRYSVDIQIPGGSAQPEGTKLEVVAGCRLDGFLKDDCA SDQLVVVACLREDDLTSRQAEKVLQLVATILADPQGDLSCHALPQNIVAGIVNAKYRL VKVDLAESREIAKRFCLHATPTFLMFFEGKLLGATSLGGHAVRIAPTTRNVHLTNKMD HPPRTLLVQGSAKQQVVSEKILRKELFAWDLALDADQAIQRVSKLSKASAVNNGVCPC YNLLLICDDVGEAGLRTLDRFIRTTDSKKVSNPSQQCVVGIIITNPDFEASFASTLCQ LCRTAQGRRVSVVTMTDDGVCPHCGIVPKSIVEASPAVSTLVSIAHVFVYRHIRAPTL HRLAERWADQVTQKKSEQMLRGAEVEVHKGLTKDTLFREMEHYLQVGRRGLFAPKDGA LGMALSATDTFIMNTHLTTKPHSA H257_12373 MSNVPVVGSPPPPDALESLVTKSGGAAKSNGGLDVLRDLNIKYP TGEQAVLLDAIGCGFCYYDTGRLAVCVSKVNALQKRYYFYENNRSKALLCSIDEHVVG MAGRPHGIKLVLTKDNAILSDAKDDIVKTWRWNPNAQNAGTPPTDPIVIQLNECLTFK FVDRKHILVKFVNTGVSLEFQCGERLKRDDTYLQHSTKVQSGPQRGKLVVDTAHQPNL VQRQKQIEVAALEKRSKQNPRSKDLTHASIKQVVTALEDKFDDYHGCQVTPYCTGPWL QDAHNQTLAELPVLPKTGFEVGKEPTLYGKDMAPHSTSHLLSALQDKDGKWLSSLDIR TRLELSNPVLPRTAVLCNASGRYSVDIQIPGGSAQPEGTKLEVVAGCRLDGFLKDDCA SDQLVVVACLREDDLTSRQAEKVLQLVATILADPQGDLSCHALPQNIVAGIVNAKYRL VKVDLAESREIAKRFCLHATPTFLMFFEGKLLGATSLGGHAVRIAPTTRNVHLTNKMD HPPRTLLVQGSAKQQVVSEKILRKELFAWDLALDADQAIQRVSKLSKASAVNNGVCPC YNLLLICDDVGEAGLRTLDRFIRTTDSKKVSNPSQQCVVGIIITNPDFEASFASTLCQ LCRTAQGRRVSVVTMTDDGISLLYGV H257_12374 MAATAVAWALVEGYPWWPVYLCDTKPMQQHVHLPHDDLTVQSML ATVQQAPTKYLLVYYFGLGIFGILAPKAGTNLLPWLCLSHRKFLRGFPVEIMQRSHTF PERLAKAVQEAMAFLSGTMTLPFVSSNTLASRPPSNAPMNPPTACDKDVVEVASRDTK STPSQRNSVAETNRSRSAVPNEIKQINHVAWSKLKHDAQLWPVYVCDPSVMREWLHHL GHAHRSCLEIAKTDDTNCLVFAFGVYTFHLVPPQSIQTWASHSIAQQPPSPDAPLEWA IEEASTYLTSDESTRTLPYLVPSDMNPSSQLPPPSQKILPPGSVVWTVRTTERDQVWW PGVTCDSEATSTNHTTCQSQYRIALFGTDHTVIIQKREFVKLWPDPVMQTLLVRGYPS YIVDTALATAIGQATQAYVAAKSVRPPQPSNYSATSSDTRAAQPSTTPPYDPEHASQP ATEPEPAAATQTTMAPPYRIPNPPDNTKSSSTSKPTSRGPSANVLAWRVGKDDTCEPV YVVDAAADVSTVYSFESQSFASVMTSQLRSWRGGRRRRGGRVGPKAKLAISVAHEFIQ RLGWERAGIGWTTTGHDMSWPVWRQSEPHDVADQLLVYCFGDHVFRWLPRQKVTPGPH HKRPPSESTAAVPLGWTKAMAEMEEFVQAVVAVDEKEAPRSKKR H257_12374 MAATAVAWALVEGYPWWPVYLCDTKPMQQHVHLPHDDLTVQSML ATVQQAPTNGILAPKAGTNLLPWLCLSHRKFLRGFPVEIMQRSHTFPERLAKAVQEAM AFLSGTMTLPFVSSNTLASRPPSNAPMNPPTACDKDVVEVASRDTKSTPSQRNSVAET NRSRSAVPNEIKQINHVAWSKLKHDAQLWPVYVCDPSVMREWLHHLGHAHRSCLEIAK TDDTNCLVFAFGVYTFHLVPPQSIQTWASHSIAQQPPSPDAPLEWAIEEASTYLTSDE STRTLPYLVPSDMNPSSQLPPPSQKILPPGSVVWTVRTTERDQVWWPGVTCDSEATST NHTTCQSQYRIALFGTDHTVIIQKREFVKLWPDPVMQTLLVRGYPSYIVDTALATAIG QATQAYVAAKSVRPPQPSNYSATSSDTRAAQPSTTPPYDPEHASQPATEPEPAAATQT TMAPPYRIPNPPDNTKSSSTSKPTSRGPSANVLAWRVGKDDTCEPVYVVDAAADVSTV YSFESQSFASVMTSQLRSWRGGRRRRGGRVGPKAKLAISVAHEFIQRLGWERAGIGWT TTGHDMSWPVWRQSEPHDVADQLLVYCFGDHVFRWLPRQKVTPGPHHKRPPSESTAAV PLGWTKAMAEMEEFVQAVVAVDEKEAPRSKKR H257_12374 MAATAVAWALVEGYPWWPVYLCDTKPMQQHVHLPHDDLTVQSML ATVQQAPTKYLLVYYFGLGIFGILAPKAGTNLLPWLCLSHRKFLRGFPVEIMQRSHTF PERLAKAVQEAMAFLSGTMTLPFVSSNTLASRPPSNAPMNPPTACDKDVVEVASRDTK STPSQRNSVAETNRSRSAVPNEIKQINHVAWSKLKHDAQLWPVYVCDPSVMREWLHHL GHAHRSCLEIAKTDDTNCLVFAFGVYTFHLVPPQSIQTWASHSIAQQPPSPDAPLEWA IEEASTYLTSDESTRTLPYLVPSDMNPSSQLPPPSQKILPPGSVVWTVRTTERDQVWW PGVTCDSEATSTNHTTCQSQYRIALFGTDHTVIIQKREFVKLWPDPVMQTLLVRGYPS YIVDTALATAIGQATQAYVAAKSVRPPQPSNYSATSSDTRAAQPSTTPPYDPEHASQP ATEPEPAAATQTTMAPPYRIPNPPDNTKSSSTSKPTSRGPSANVLAWRVGKDDTCEPV YVVDAAADVSTVYSFESQSLYEYCHWSISVICICV H257_12374 MQRSHTFPERLAKAVQEAMAFLSGTMTLPFVSSNTLASRPPSNA PMNPPTACDKDVVEVASRDTKSTPSQRNSVAETNRSRSAVPNEIKQINHVAWSKLKHD AQLWPVYVCDPSVMREWLHHLGHAHRSCLEIAKTDDTNCLVFAFGVYTFHLVPPQSIQ TWASHSIAQQPPSPDAPLEWAIEEASTYLTSDESTRTLPYLVPSDMNPSSQLPPPSQK ILPPGSVVWTVRTTERDQVWWPGVTCDSEATSTNHTTCQSQYRIALFGTDHTVIIQKR EFVKLWPDPVMQTLLVRGYPSYIVDTALATAIGQATQAYVAAKSVRPPQPSNYSATSS DTRAAQPSTTPPYDPEHASQPATEPEPAAATQTTMAPPYRIPNPPDNTKSSSTSKPTS RGPSANVLAWRVGKDDTCEPVYVVDAAADVSTVYSFESQSFASVMTSQLRSWRGGRRR RGGRVGPKAKLAISVAHEFIQRLGWERAGIGWTTTGHDMSWPVWRQSEPHDVADQLLV YCFGDHVFRWLPRQKVTPGPHHKRPPSESTAAVPLGWTKAMAEMEEFVQAVVAVDEKE APRSKKR H257_12375 MKSTTPDTTNQVFLVPSVVVFTVAGVVVIFAASSAVVVSAGVVV SRVVLTLRWPAPSLETLETPKPEINQSLCSLRAYSRLETCSDPAKSTNPTARRGRSRA PSGGGACDRLLARWTFKLPVARLTVNDFTVNHV H257_12376 MTLERFVVEAAGCLKPSADSLTDAMDFLDDDYDEGIVEDTTAAR LHDDDSVDTAAVKPKKVNAFRKRQREELTYLRSKVREMETDLERLQDKHAKFASDLTP WKILATQLRAEKDRAVQDNAAWRAALQDQIEFGNALHAILKKRPRLSMIPTLGDAQWK MLNLPASPVARRDTAATIVDQQYRLLPSVLVSTGLMQQTTNVTSCIPKSWHDDLLVVE AAACRYYEGSDFQLWAQMFWMVLTGELHLAAASPTLVLERFGEDMMYMDTPCRIVDLD GQCRDVVKRYMEPAREIFIFRSILHDEHVPLTKEFIANEMARIVVEPVDDLGGCSVKY FNKCTPPTLQPGVTSPDVAVVEKVIDGLIRHSSHILRHFEDVMNGLIPPSAPTEA H257_12377 MTFPTMAALGLATLGWSVGRVHISLNTRHEDPRPAPRPSYITVR AHRAGRSSEHPVPCHQRSFDRWFTAQWLPKGKAFSCRLVISLDHIAGLPFQVDDAAWS VHVSHIRFKPLQHLINMSIQMIRVTLS H257_12378 MNQATLERDDVLLQCMHLAQSLQLAFPTKQHPHMYDDAYLPHVV HSLAHTQALPVDLLSALTFVADVYQQLTQAQYVESNVLQSVLYLLQMPPLNAFVQPAA SYPMQYHSHHPHHEHPHAPPYVSAVRHPATPLYMPPLQHYYGNSFTPLQPPYAATSSH RHVPATPQHVGLPPSMNQMECPVSPTSSRGYASPPQHLYQHDHIMHPDDCYYYDDEDL ASDSFPSQSTPADARRSSAPSPLLFASPPDGGDFGIAHEETLLDTSFLCRHFATSTLL PFDLLSGGEPSASPTYNRSHRPETNYTFSKDIFSVDLQHHKQLDDVDDGHPHHGGQPQ RPLFAFSHQHHESCYDRHDDGAPKASPSAHIHPASLSSLPRKALPIPSTHEVDQYLST TTSSPSTSREDDLYDAALELRFQPFLDSLTHDDDDDNDHVATCVSLNQVQPQQSQFDG QDHEPHLDDDDEPAGPTHEDDRMQPPHAQLHPCSDEPEAVPSMSASLLADGESVTSSS SASLDDVEATSATKLSTKGDAEVHVVQPKRRYLYDAPTALEIQNQSVQIHMHTTSNNH NDAASKPPPRTTATTATATTTNPRATTTKSINKEPLATTEDVVAAMTTWISRMHAQGH RFDDKARQKFQELAAVDPYRAVGITVSFDLRNHTIQNKSAWLARACFNCQRKHHPSTL ATKSTSYRKAVPSKITRTATTTSTPLPPK H257_12379 MDRFAVDELDFESVEPCRPSSFDGTSSFKFDVGIRARQALEAIH LKVPTQIKDPRHMDWQFYKKVKDVKIHRLDEYTHDMHATRVSFRLSCDVKAMLESIVN VLAPTTTQEYMRVEKRVFPKLFQCAVLQQGPENSHNIDPADFAASFPRHSIKWHGSHL LTNRLTGRLGTPNDFVFEEYVEYETWHGRPRSFGYMQSVDSAEDELKKLCPVKCKRAA IRKGAFVVSAVDDLCMTHEVSIMYILDFPRSFGVSAERVIAAYVDRLVNMREVLFNTL FQTIKILPRHKWVDDRSRQYCAVCESLFTLVRSRHHCRACGEVICHYCSRKWSIPIQD AGELQTRLCTPCSLRARSHLMPTAPMTGPAGGGGGMRLSRSNTTLQPSVDDFDYNDVI HSMHEPPPPNHHNHHRPLYSMPHRGSTVHGQPSVGPMPPLPPSTVRVGTAMSASADTA HAVTDAWEKLVCMLQQPPHFVFVQYSCDHDPPAIVDTLQHLNPAVVFCGHSIGVLGQD AVLFQEEAFVRRTHVLSLWGLWDPDGNFATAAAAFSSVNPASTGKDETRAAVNDAIRK LHLGPTDSPDFVWLVPSEGYEDVVVDTVSALLDTSLSTVGASAAHSRICGMAGEAHVF SKDQPSVVLAMCCPSVQVAHAYFTCYDQNDRLFTVDKADGNRLMVLDNQPPLGLLREG LDRSKRKLYLDSKVFPAFGRIQPKDRRLQLIEPVAVHSDLTMTLSAPVSRGDQMCLMS MDRKAIPVEIAARIRQGRHELEAVGCLVSCSASIVQHLGDDFDLLRTAVQTGLGKDPS IAITGSLSMCQLGVVHGAHHLSHSNGMVAALIITSKRKSNWRPRVAHRKSF H257_12380 MASVDGTRASWTAERDEFLVAALQAQVLKGKRSDSGFKKEAWAE VTSAFNLRFVTMYHTSKIKSRLDCLKRDYKDVKYLRDNSGFGWNSELQLPTAPDDVWA SIIKANPNCKRFRSAPFPLFETLAMLLEGSYADGRFAFMPPGVLEPDMDVTVEATGTT LPIPQSVFAPPSELVQDDEDSDASSNDPTPPPKKQKQMRKRDKRSAGAVIGDAIAKLV EVEASKVTSQPDPHARVTQAIDCLIENYGYMDGLQIAALADLMGEGFNATIFMALRGD ARDAWVHKNSI H257_12381 MQTILAGCAAWWAANYVIKEACHTSALKGDEWISELLLGNPRRF RNQLRMLPSTFMMLLKMLQTKYSLKSSRFVSAREKLATFLYVVGQANSNRGAQERFQR SGWTITQSINEVLDALLRLYAVVVTLPSKDIPYEIHSNPKMFPFFEYCIGALDGTHVR ATPPPSARMAFRNRKGFMSQNVLAACTFDLRFVYVLAGWEGSASDARVLNDALMTKGF SIPDGKMYLGDAWYGLRKNLLTPYRGVRYHLREWANGNQRPQNAKELYNLRHAQQRNC IERIFGVLKKRFKVLEYPSEYPFATQVNLVYALCAMHNIIMEVESDSHFLQKADEAKR KRDKKYQQRMTRSRSRRPRRSVPPSDDCTAEASVLRDSIANAMWQQYTSTLQARQ H257_12382 MLRAAVIKRAFMPRFARHHASSSSEFADLTTNLTYVNDEEEAYT RASTNMKKFLPPLRTGKKGIDLIHDPLFNKGTGYKHAERDRLGLRGLVPPRRLNMKLQ LEKLYSLYQEEENPLRKNIFLSELQNRNETLFFRLLIDYIEEMAPVVYTPTVGLVCQK FGSIFRRSRGMYFSAEDKSQFGSMVYNWPCDDVQVIVVTDGSRILGLGDLGVNGMGIP IGKLALYTAAGGIDPRKVLPVVLDLGTNNETYLKDPYYLGMQHRRLEGEAFYSVVDEF MRAVRHRWPKALVQFEDFSSDHAADVLHAYRLKQLCFNDDIQGTGATVLAGALSACLR VNTPLKEQRILVLGAGSAGLGVSTTLLQGMLQEGAKLDDARKRFYVFDQFGLLGESRT DLTSGQQFFARPDLTDKTSLLDVIKQVKPTMILGLSAARGAFTEECIREMAKHVEMPI VFPLSNPTSVAECTAQQAYDWTDGRVVFASGSPFAPVTYNGHEYVVSQCNNMFIFPGV GLGAVVCGATRVTDRMLYVAAQALATCMTPEEIAKGQVFPSVKQIRKVSLKVATAVVQ CAVDDGLALSPPVLRKGANLEEFVASKMYLPIYRALVE H257_12383 MLNDAGTKVAVALALSALVYYVGSKNTTKPAASSSKGPRKLSLV QGQGSGFGPKDSVTVRVPATTANMGPGFDCIGMAVDIWNELTVERADAFSLTNEGEGS DVLPTDETNLIVVGLKAAFNAAGQDLPLLRIHCRNRIPFARGLGSSSAGIVAGIIAGL VIAGHELSVLGKEELLQLAANIEGHPDNVAPCIYGGLQLGIFTDDRWYSSRVQFPSTM QCVLFIPETTGQTSVARSILPKKIDRADAVFNIGRSAILVNALRNGNYKELRHATQDK LHQPQRGAEQYPHLFPLVDAALEAGAHACFLSGAGPTVLAICSGKSGDIFTQVAAERD ETKVADAMREAAASVGVQGCVFITNPEHRGAHIVRVSPKISDHLVARYEGDITDL H257_12383 MLNDAGTKVAVALALSALVYYVGSKNTTKPAASSSKGPRKLSLV QGQGSGFGPKDSVTVRVPATTANMGPGFDCIGMAVDIWNELTVERADAFSLTNEGEGS DVLPTDETNLIVVGLKAAFNAAGQDLPLLRIHCRNRIPFARGLGSSSAGIVAGIIAGL VIAGHELSVLGKEELLQLAANIEGHPDNVAPCIYGGLQLGIFTDDRWYSSRVQFPSTM QCVLFIPETTGQTSVARSILPKKIDRADAVFNIGRSAILVNALRNGNYKELRHATQDK LHQPQRGAEQYPHLFPLVDAALEAGAHACFLSGAGPTVLAICSGKSGDIFTQVAAERD ETKVADAMREAAASVGVQGCVFITNPEHRGAHIVRVSPKISDHLVARYEGDITDL H257_12384 MATTSLVTLGRQFAPSPPSRRRSIVRWCLGDRHSHLRTLLFFLC NYPWTIVADLAAIVLVVLSVPFAIFVSLQARPPHKYTEPALQRCMGRCLDVASRSLSV YWRVELSLLALVDPTVSGDPPSRLEPGNTSIETIQYVSMYILAWKTLVVLPATTAPLW IWWLGVQSLLVSGTSLTVDVLVYWTLYIVAGLMVGSVLCAIVVKVSLYFLRATFDVHC ATSSAASLDSEDSHLLHHHHDGPAKTTYESLCDLKSPLLTRETAASHQTTTDTMTVEI CINEENSPLDRTATPIAAVVSSGENSPPLPPLPSTTTSIPTIPLSLHVIGIPTVDTTT NHDDDTAAIPKMDHFQPSISVAPSSQPPHDFSSSPPAPLPSSSGNAEASSAALATLET DLATVEASILEYTKMLAQGPNNKLRRRLEKERKGFEDRREKYRNDIASLHLHHHRQPP QEQSAMSIPMSSSSHHLAVLLPTPYAPYNEVDPPDNATLSNSYLPPTHSFQPEFNSPV FRGTSGAAAAAWGSPVAYSQAFLASPSSLSPTAAAYDRLSPPTAPSPFIPPLSPLSLS SSSYVPPPPATFYPGGVPPVFRSLAPPTSQSTRSSDNFVVRDGGRGDGRGNLSFHPTF AFVAPNPEDEPVHLVAYAPPCVVPASEFSFAVWAFLATQRDDMHDEASQHDPAASQLS RDVLFPLRRGARAHVSLEVPAGFSVTDGATTQALTWSGSPTAAHFAVVASATVAAGQV VFKATVVFGTNVLHLRAFVFVSGNHQPQPPTTRQGRTNDDMLMMPLQAELELLDETFD EIPFASLQLKELVGRGYFGDAYRADYNGRDVVVKTIRSSDLGTSTDQIVDEFRHEAAV LNMFGHHPNIVPFVGASTDLSKPLTLVTEFVPGGNLEDGRAALTCREKMQMLVDAAAG FLNIHDGGFIHRDIAARNCLVDVHRRVKVCDFGMCRRVNRAVGGSFIRDGVGPLKYMA PESLQPPYAFSTKSDAYSFGVLMWETLAEQRPFQHLSAHEAAVRVLEGGRLDVNATNT HMIPPACAALMAACFQEDPAKRPSMHAILVALIQAQATMMTLTGY H257_12385 MSDSDSDLQPLRKKVKKTSKVSKVRADDDSDFDDDQPLTKLKAP KKSAKVDKTASKPTAAKKTSPVKKASAKKVSAKDSKTKTAAKKKPAVKVKAEAGSVRK LKVQSKSERLDMAIKAYRWWNAEELPEGIQWRSLEHNGVLFPPSYEPHGIPVLYDGVP VTLTPEQEEIASFFAAMPADGPQLGNPKTAAMFTKNFFADFKASLGKNHVIKDIKKCN FEKIQAHLADLRDVKKDRTKEEKAPEKIKKDEELYRHGFAIIDGHLEKVGNFRIEPPG LFRGRGEHPKTGTLKKAVLPEEVTINVGMEDRVPPCLTPGHAWKEVIHRDTVSWLAYW NENVMGGIKYVWLAASSSFKGKADMEKYEKARRLKNCIAKIRKDYTDGLTAKDMFTRQ RSTAMWVIDVLALRVGNEKGEDEADTVGCCSLRVEHASFSATNCELTLSFLGKDSMPY NNTIQLAVYGTVGEQVFNNLKSFCAKKEPHQDIFHELSVTELNKHLSSLMPGLSAKVF RTFNASVTLEKELPRVLPGDDVAVKIVSYNDANRKVAILCNHQRSVPKGFGSTVDKMN ATLSQLNDQLNELQAMRVAVKKNKPKAIQLRQDDADDADDADAKKAQLHRFTKVPTVE QVDKKIDSWMKKVKALDLRLKDKNDNKEVALGTSKINYMDPRITVAWAKRNEVPISAV FPKALREKFVWSMDVDSNWSF H257_12386 MSATSVRSFLDGLMKRYAPDPANMQSSPPVKKAPADLDVRTLAS RPDLAPHWLAQLQKTRVTPLKSGVRPTASKVAILDDDLKVFLKCMDFTQARSHAKREF IDESVRIQALKHPHLVQVLGYSVVAYSTTFCVVLEFMELGTLRSCLRNPSFFHSQTAP SASNNTSPSPASAYQMCLDLAMGLRYLHSHRLPHGAISSSNVLVHANRQCKWNIQQLM QCQPRHYSSRRGHEGDRFGICDVVYVAPELLRCSTVEDLFAADIYALAVLFGEILTQK APFADIYDALGPVGADVHIATIKADPTSTPEVLAPFATTIWPTENALIMAKCLDPTPA NRPTIDAVVVALTPLVEKPTHVLES H257_12387 MTETDKKLAKKLRKEQKRKEAAEAAATAEATPAVEKTAADDDVV ESKKDKKQKKKDKKRKHAADEPVAAAVDEPSSSKKAKGVAVDFSNGPFQQPPPSDKSF VKSFYVESATTAAMTDADVDAFHQRHQMIMSGNDCLYRPLLTFKEVNFPKHMMTSTTG FATPTPIQSQCWPILTSGRDIIGIAQTGSGKTLAFAIPGLVHIADQVKKNASIKGPIM LVVAPTRELAIQCADVIAIAGNAASISSICIYGGVPKHEQKRQLKAGVHVVVATPGRL KDLMEERACSLKHVTYCVLDEADRMLDDGFEKDIRVIIGGCHPERQIAMFSATWPQSI QKLAHEFLTNPVKVTIGSEDLSASANVTQIVEVVDEFGRDAKIDGLLRKYHASRKNRV LVFVLYKKEAVRVEQMLQRKGWACTAIHGDKGQQQRMEALDAFKSGQIPLLIATDVAA RGLDIPDVEFVLNYSFPLTIEDYVHRIGRTGRGGKKGISHTFFTNFDKPKAGELVNLL RDSNAAVPDELTKFGTHVKKKEHKLYGAFAKNIDMTKKATKITFDD H257_12388 MGHEAVNDPAIEATQTYASAVKRAAIDYAAGCLGGVMGIIVGQP FDTIKVRLQTHGAHYTSPWHCARHTVQHEGVRGLFKGLASPLVGSVPINALVFGVHGS TLRALDSAAMPSLSSVFYAGSIAGFVQSFVVAPTDLVKCQLQVQDGFQRGGRRTFAGP MDCIRHITATHGARGMFQGLWPTILRDTFSYGVYFYVYEATLRHLEARREALNATSQQ AASMLFAGGCAGVVSWTIIYPLDVVKSVIQSQPSTSDLGLVRHAHTLYREHGWRVFTK GLGTTILRIATIDVINTIPEYPAEDSKIRATSSRRSRGGNGTNVLVVCAQIGAFDSLH WMGVLVNPETNGDAKFICDELHGQYGIHVDHCQVVASGGMPTSYIMASDASGSRTIFH HRDLAELSVDHFASRVPLVQGTVSWTHFECRDAAATPAMLRLARPVMPIISLEVEAPR HDWSLVKSLMVPLADYVFVSQGYITSLGYPSATSFLQSFAQEYTASVVPTSSRTDNDD RWDVHRYLKAMICPWGSHGAFVWIVPSNPTVGKGDTLHIPVLPVESVVDSVGAGDAFV AATISSLHRGNSVVDSVAYGCDVGRLKCVQVGLHFDPCSLKTSCPK H257_12389 MHQSHRIQEDQARANVAIASEIGDVAATSVWLARLEGLMAGSSS SLSEQGGDALGSLNTRPLLPRHIFVCVHGIYGKPSDSDHIAAALTRTFGESAKVLQSA ANTHKTHLGVRLMGTNLAIEVLDMLHSHQTHPGKDVPTNERRGDGTRLSFIGHSLGGI VARYAIVYLQAALIAYGIQPTSFTTLCTPHLGSRRPGGSLGKELWKSAVHSLMSVSFI YGQTGMELLCQDHNDTPLLELMSKPDSVFMAALKAFDHRTAVAMLQGDYIVPRASAAI QPHMPTLPRPSNHPGWQWSVAYSGFADELDTTTGSTSPTSSSSFPPPLDASSRWMADD RDQVAISLDILTGLNTVMWRRVHVHVTYAGPCLWHWLSFHTWPLGIQVPTTSKSRQFI FHVLGRMLAQDHHHQHHTMMMMRTTL H257_12390 MAPPTTAAETAYISLRPSYKNKPTTTKMKQIIATVLQQKLDHTA YQSDKASQLTKEIADAVKLQLKACEFPRCKYVVQVVIGEQRGEGVRMGCRCFWDAETD CYATETFTNDSLYCVATAFSVYLY H257_12391 MSHRNRFLHFKADTVADTLMWLDAFAAIGTPPHAPKKRRVHDTA AGVPTHATSATQTAATHTLPTTTTACRSLSPPSSLSSNTYVVTLPSLPPAKVLHIVLV RHGHYVNAHSKHARDSDQVLSHIGRRQAEWAGRHLHHQLVAASSSRHDLVLVHSDMER AVETAGIIGDFFPDCSRTPSPLLREGWPGAPSSNRPSAVQNEFDDAPPHDAKERGEQG GRGVSGAAFTSSPSPEAQAIEDRRLDRAFQATFMVQASMATSSTSSTSTTLEDEAATV GPTCRVVVCHANVIRYFLCRAMGIVAAGVWGAFEINHCSITRIDVSSTGACKILAVNE CGHLPGTLVTSSEDHL H257_12393 MLRVHASATDDAAQVQSDFVESSASSDDASSDVGVPATVEAVLG VPVVVQAAEQVDDDDDVVITEVRQPTMQHHPTYRFMIPTLRQHHVRTNPRPLPTTAST AIDLVDDAMNSSPPRPLKRRRADGMVVPPIPPVPAEDVGRVQQDSINAAKFHMRCPLC LDTMVSITSTRCGHVYCRDCIAQAIVDMHRCPLCNKGLTLRDIHPVFL H257_12392 MDDQFVGYNAWAAEALDIAVNADLVYEETSEGGDRGVYISDEIQ AQTTILSIPAASLLNVHTMAQSVLRDLVSLPLREDDCLAWFLIYERFVNPQSKWKRHL DVMPQAFHNILYFTEDEINMLQGSNVYYVALQLKQQVASDFGELQRTLLPTTLRLLHA DHSADDLIRVFTIENYKWALSVIWSRFVSIAIHATAADDDDDTTAAVKSMVPVFDMFN HDPFAQMSHGFDPTTNSFVLRSHQHWPAGSQVYMNYGALPNHKLLTLYGFVLPSNPFD VVELWAPMHEDVPSYDRKLALLTAHGLAEHATSTPFDLYSDSVNDELLASLRIQRLTD TELSQFESGTPHFAFEPIHDDNEKDTLTALIYALQQMLAAFPTAVEDDEAELAARQEG DDEQETGDEASHHVMALHLRVSDKRILHAQIDMLQELLLPVLARLNLASQD H257_12392 MDDQFVGYNAWAAEALDIAVNADLVYEETSEGGDRGVYISDEIQ AQTTILSIPAASLLNVHTMAQSVLRDLVSLPLREDDCLAWFLIYERFVNPQSKWKRHL DVMPQAFHNILYFTEDEINMLQGSNVYYVALQLKQQVASDFGELQRTLLPTTLRLLHA DHSADDLIRVFTIENYKWALSVIWSRFVSIAIHATAADDDDDTTAAVKSMVPVFDMFN HDPFAQMSHGFDPTTNSFVLRSHQHWPAGSQVYMNYGALPNHKLLTLYGFVLPSNPFD VVELWAPMHEDVPSYDRKLALLTAHGLAEHATSTPFDLYSDSVNDELLASLRIQRLTD TELSQFESGTPHFAFEPIHDDNEKDTLTALIYALQQMLAAFPTAVEDDEAELAARQEG DDEQETGDEASHHVMALHLRVSDKRILHAQIDMLQELLLPVLARLNLASQD H257_12394 MEPFLAVIVVVLLGVAGYLYLSWQSTAPTDAKRPPNGPTEVAKP SKPVAKKPVKHHHAHHHAPHSDAAKAKQVHLPDHALLSHVLKGHTGPITSACFSPNGR FIATASTDRTIRLTLRESLGSKNPTFKTINIPYDYATTCTFSCDGKTLGAVTADGQAV HLYSKFKAKPELTHTFPIHEHPQGVASLLLNDVGDDWTTVVTVGKDDDTDIRCWAVDG TLLQSTNLNQLQAYHGVQSKDNRFIAVASFTPEVKIYEIHRTKGTGTFEKLHKVMALQ GHTSGVLDVAFDGSDSTPVNHIVTLSRDGTVRMWDINVRYKLQEDPKCIKTYTSDVVY STVDITPNGKVIALGHGHDVAFVRVDTMQVMLVIPQAQEDTISRLQFDAVGHELLVQG TRARVVKVYRTPDL H257_12395 MQKTAARVGGARLRVDNGRVLLMLSSRGLVPRHDQGSTDSEKWR KGRVSVHMAATPWREVVCGNKQLGSGGRLCAVLKRSVVAFQRCGWVLLSGGRNHQQTA GGCTPSRALEGDSNRHTKGASF H257_12396 MLTTPPHKYSTFEAVPSGPHPLESASGLSKLAFSWAESMMSLGN KRQLSPDDLWELQSNTKVAPLTVAFAAAYQRLGHGILRTFFSIYLWQFIWLGVLQVFT AVCDLYGPGFVLGQVIAALEAPEFDSTYVLQLIASLYVLSTVSAFAKVHTSFMNDVVG IKFSASLRAMLFEKALKLSAKSKKEKTAGDIANLFSVDVINIMVFPLTAHQMWIVPLQ VLAVLYLLFQIVGIASFVGLAIVVTIVTINAKSAVLLGKEEETLFERKDNRMKVLNEV FGAIQIVKFNAWEEKFRARVQELRDLEATSLWTFYQYVIVLMTLINCTPVLVTVSVFS VFTLGMHQVLTVSVVFSTVALFKSLQDAMANLPFSIMSLVQSLVSAKRINDVLQMEQV NPHNIATPETDPALAATYATDQCVVAIEAGSFGWDAASPLFTNVNLRVAKGELVVVHG AVGQGKSSLCSILLGEMDKYAGSVFVGGDVAFFGQQPWIQNTTIRENILFGKPYDRVK YAKVVDACALTNDMASFPAGDRTEIGAKGLNLSGGQKARVSLARACYSDADIFILDSP LSAVDAIVASEIFKKCLLGLLQHKTIVLVTHNPEIIDSPHVNRVYLVQDGHVIASTAP APHSASVGNASGTSSPPLVSPLPSRAPYWTPPPTTDDNVPPVYDVANVREYDMLVTPS VRTPYTFNTLEMLFTPRHTNLPQGDGGSDDDGRLVVDENRAEGRVSAQVVRKYMDSIG GWPAITLMLSATVGTQALKISSDLWLTSWTNSGATMSALAFSASTNYNMVVYGSLAVG SCVLTGVQSSSVFWYCVRGASRLFTLMLHALLEAPMRFFDTNPIGRLLNRFGDDISTC DMQIPFSIAHMLFEVSSAVLTLGTTLVLTQWLGLSIVPLLYVYYRVGAYFLEPLREVN RIQKTTRSPLISLVSEGIDGSATIRAYGPKQLRRFYRVHDQKLEVFCASRFVNSAINQ WFSLRIQLISSTIVAMILLAVVVLHESLSPGIVGLLITYGLTIPSNLAYLVNIWSQLE TSLISPERLYEYINLEKEGDRYSSAGGDVHTWPSAGQIQFDNVSFRYKPNDPLVLKHV DFVVRGGEKIGIVGRTGAGKSSLMMALFRMNEVASGSIAIDGVDIATVGLKHLRSSLA IIPQNPVLFKGTLRNYLDPFDEYNDAQLWDALGKVKLMDRLATVEDKLLGPVEENGEN FSVGERQMLCMARALLHQAKIVVLDEATAAIDHETDQLLQRVIRDEFAASTVLTIAHR LDTVLDCDRIMVFDQGQLAQCDSPKTLVSQGSGIFFELVTEGGYMDKMVVA H257_12398 MASTAFTRKKAAIAPNETKDVSNWNAWTTPTTSLSTPALCHTTF TNRSNPTNQKRLQYNEKSAAKARCG H257_12397 MKAIIELFATIQVVKFNDKFVAKNYCRPKRRSGCDGSVLRARSG RNVRHELHAPAGHHGCLCCVYAVDAPTKIDSNEHVFCAGVVQSRPRDTYHVADCSYGQ GRQSRPRHGSICIMLEMTDF H257_12399 MLDAVLADGMAENFATCRESVALATQRLFDDITVAVQDSSSRMS RLTQRSIQDSAVDVEVSTLNKFVCFVCDKVDVRNVDGLVALAQAFVWNDPALVDNFIH NGKNVVVYAKESSCSKPPALEQVIAAAKSTPAPPLAISPPTTAPEHVTFAHVPRSPPS SPHVVEIAPDVPSKKRALNETSFSEDKLPPSSMDPPPFAKKQRPMTSGVWEPVDGVMI QLPSQPAAATSSSRRNSQRVFAVDDSTSFTGTMPKSKREAIDRIADLAGAKPDADWIV AKALELYSMTVHPDYARKILSRR H257_12400 MASNEHGATGNISTCQGTPNSIDHAGDTVLPEAVTTERLIDNPV EHYSRDPMERATTLNDFMRFLREMTSVANLDGLISLAQAFLWGDLGMVSSFHKHGVPV VVHGSKSNNRDLRWHAPLPVIAHLKPPTFTSASAKHKGMPSTAVESAPLLTPPPAAAH NADDIIEELYQALRMQVRESKAKNSMQDTILPDQAKRPHQPNASSTKPRKKPQTSHHC VMATHGHR H257_12401 MSSDGVGQQQRPSHLRGPPRLSVVMPRPPTAPAMLFVHFNDMAR HEEMLNSMLHQALASVLEAENGLSAALHDLFMASMTLGLDNVYGPVHPTSPKTSSTFL NTLQDHWWSQLPATDTCNSDCPICLSDFTASDLVINLPCHHTFHSTCGLPWLRDHNVC PTCRFQLPSDDHQHAAKQDAGRSSSIEVLPTEPDERRAPEPVSQLPGSVDDADSMLEA EADALVADDDMGDLVEEILDEMMEVEATSVVQQVQRQRQRMLEVDRILDEAIRTDTVE H257_12402 MSALLLHATASAAATCSVIEENTDYAGNDIKQTTRSSAANCCAD CGNTPGCTVYSWEPFGSSGKCFLKSKPSNKEVLWGSRAAKLVLPTATCSTIQENTDLP GNDIGDPLQLDTVGLCCSFCAYTKGCVAYVWVLRNNVGTCLLKSSKGVPSLFQGARAS YLSTPASSPTPAPTPAPTPAPTPAPTPAPTPAPTLAPTPVPTPAPTPAPTLAPTPVPT PAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTSSPAPA QCSAILPNTDLPGNDIATLQLATTGLCCSACTSTAGCKAFVWVLRSGVGTCLLKSSRG IPYAYTGASASYVVEATPAPTPSACPVVENDVDYAGNDILYTSRANYQDCCTDCQNTL GCSLYVWGPDNGGACYLKSKKGSSSPSPGARAGVLPLTIPGTPLSNVKSGLYAVNSLP PTAFNYITGAQWIDQGTLSVVNSETESFVAVALATNFSHGSGPIVVNNVEMALSMTVY INVTSAGECADMTATYNNNFFTYWASHLYCIVHLHTAATSLQMLTATGQAITFPQDSD PAYLSTALTNVATNTDCVLACTSKGNCAGVEYSTSAKTCALYQPQPATFPDVTAGWVL DPVSNVDVAGVQYSKMTTAALPNAYIKESVPGVASLQACASSAKAKGYVLFGFNSNTK VCVFYAPTPSPTKGISLVNTPLVPVVLSSGTFGSDVASGAMAATTAADCYKLCVPSQN LCFATVFDSTSKACTYVQPSFDAASTMGWIIPKTLPDAMTTVSQVDVYVTAHEDDHEL FMSAPVYNSIKSPTTKSVFVYLSAGDAGETSGWWQAREVGTVAATKTWVNMFGVFSPV PVTSTVLLNGHHIQKVSIGNTAHYFLRLSENNLDLVLNSNVKRAPIDQPTEYYANAQA VKDVLKGIIVAEATKVPKVNAHYSDYLLDPSGDHVLHVASGRITAELLNADAVFAACV SQFPYFGYQRWLDTVNMNNPEQSAQRAVWLGLGAGILNQYPRETWSDHSPALGRTYTG TLLVKATACAF H257_12403 MSRGLLLAVIAAISVFSAINLSDAAATCSAIEDNTDYAGNDIAQ TTQASASNCCTDCGNTPGCKAFSWEAGTCYLKSQPSNKVSTPGSRAAIVNLPAATCSA IQENTDLPGNDIGDPLQLPTVGLCCTFCANTNGCLAYVWVLRNNVGTCLLKSSKGTPS SYQGARAAYLDSSITPPPTPSPPPSGTCGVVERDVDYPFNDIISTQRSNYNDCCADCQ ATPGCSLYVWGSTDGGTCFLKSKKGDRVSSPGARTGDLTVTGPITPLPNVQSAVYGTN PLPTIAYNYISAAQWIDQSIMTAVISQVERFEAANLANNFSHATGPFQVFALESVIDM NVYINVTSQGECATLTAAYGNNFFTYWPQHLYCLVHINSMASSLQMLTASGVAIVYPQ NSDPAFVASTVANVATNADCVTACATKRNCAGVEYSSANRNCVLYQPRASTFPDVSAG WVYNPISNVDNAGVQYSQMTLAALPNAYIKESIPGVASLQACASSAKSKSYTLFAFNS ATRVCVYYAPTPSPTKVLSLVNTPLVAVVLSGTFGADVASGAMAASTAADCYKLCVPS QNLCFASVFDSASNTCTYVKPSFDASSTLGWIIPKTLPDSMATVSQVDFYVTAHQDDH ELFMSAPIYYSIKSRTTKSVFVYMSAGDASRTDGWWQARETGTLAASKTWVNIFGLYS PVSVSSTVLFNGHHIQKIVIGNTVHYFLRLSEANLELVLNKNQQKAPLDQPNEPYANA QAVKNVLKSIIVAEATKVAKVTASYSNYLIDPSEDHVLHVATGRVTAELLNADSLFKT CVSQTPFFGYQYWLDAVNMKDPEKISQRAVWLGLGVGIFNQHGDQTWSDHSPALGRTY TGNAVIKTAACAF H257_12404 MTMWSLCAALALAASLVADSTLGATTQCPIIEENTDYIGHDVRI TAQATADGCCADCAATPTCTLYVWVQDGSSGRCILKSTPSSKSYFPGARAAKLVVAAD TCSAIQENTDLPANDLGDPIQLDTVDLCCTACSRQQGCAAYVWVLRDGLGTCLLKSSK GQPSFYPGARASYLAPPSPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTSAPTPAPT SAPTPAPTVVQPQCAVVEENTDYIGNDIGDALQLDTIGQCCSACWNTSKCVVFVWVLR DNVGFCILKSSKGEPSTYPGARASFPLIPTPAPTPSKCPTIELNVDYAGNDILATSRA NHADCCGDCERTPGCSLYVWANGMCYLKSKKGEASSVPGAVAAVLPVTAATTPLSTIT SGSEGKFPLPTIAFNYIKGGQWIDQSILAMVQSQVESFQEETLASPSSTTEGRAVQLF GGLEAAIGLAPYINVTSAGDCAVVTSAYKGYFFTYLPSLLVCLVHDNTLDKSLQMLSA PVAGQAPVAVTYPQLLDDAFKSGTQANVTSSADCAKACQAKSTCAGIVYTASSKLCTF FQPKPSTDANVVAGWVNQAVWPVDSSTNVQYSRMPMSSLPRAYVTDMMPGAASLDACA KLVAGKSKVLFTYVASTKVCTIFSPTPASQSLGLVNTPVVPVVLPSSSFGADVARVAV AATTAPDCYRQCVPSKSTCFGALFDATTKVCTLLTPTVDLTSTLGWIIPKTLPTTMAT VSQVDVYVTAHEDDHELFMSAPVYNSIKSPTTKSVFVYLSAGDSGETTGWWQAREVGT VAATKTWLNLFGTYSPVSRTQTVLIKGHNIVKIVVGNSVHYFLRLSEAGLLSVIVNNT PQAPLDQPSQPYANAQAVKDVLKAIIVAEATKVPKVTASYSKYLNDEGIDHVLHVASG RLTAELLNADALFKTCVTQYPYFGYQHWLDAVNMNEPERLAQRAAWVGLSVGILSVHP RNVWSEHSAHLGRTYAGPVVTKSAPCSF H257_12405 MVAHRYAGFAGIVVASSATTPTPTAGFTSPFNLQTCGALVASAT YRSLANMNAVACAQDVPEFVPGGGGTGQSGLTRLGKVPISSATISRVLASTACRLWYG NLAMSIVNTSPPCTYTDVLAGQTVATNRYTTSMAAYITLVNDRAIQNAANSTQETTDA PPTIMFPPGVGNTAATFGITLASVLIAVLAALA H257_12406 MPKFYGRGQWDPKLIFLQIVCMQCSHYLALGLLLALFHGRNITM DQFFSYKFHAFESIDGMQTSTAHVLGGLWSALFLCVIVERAKKCLDFGLTLYFIDFVF CCLYGGVPSSLSWWAVHVISLGITVVLGEYLCSVRELQEIPMLDLFTHRRPHSPTKSS YAPLPTSNNTIAPEKQS H257_12406 MPKFYGRGQWDPKLIFLQIVCMQCSHYLALGLLLALFHGRNITM DQFFSYKFHAFESIDGMQTSTAHVLGGLWSALFLCVIVERAKKCLDFGLTLYFIDFVF CCLYGGVPSSLSWWAVHVISLGITVVLGRSVFEFSSPIYCTCP H257_12407 MEVAPALPFPTHTTTYEAPPTAYMPHNLEENPMRVQHRNTSVKL DALRLLRGRLHVVPSNAFKTTLVLHRGDVDREANPSGGLARLGSMESLVLDFLDAQDL VLRASMVCHRWTSLCRQDGLWEKFMTTPVEGYPLRLLLSLPPQPSIMPNIQVYMLFRL SGLGDGPLLGRALDNIHAEHTIDESTPPDGNEPLATLHLLETTSVVSIHVQRVQLHRE DRADLVLRPLSSMENSLFDEDFTGSCLSLRSWLRRWTATTPPPPPASTDVLRSLLHQL LHGLVALEASGLTHHKVSIRTIALHPIKTLEPNGSPAVDQILADHDDHGTMAVPLLQV AGHAFIKRLPPRRDPGVQGAPPLNLHHMDESFHNRFWTCMKKPRGDGGLRRHALDDDE GLRDSAPSPPLIMLVSFMHCVLDICSRGRWVTADAPLVDLTVAPPPNPPNVASPRSLW RTLRQPTASLLPDCRAMLQCAAHLVYTGAPSMAPLLRHPYFDRPTTGDSVLPVDADDA VDSHTFMESINQWYAAELPRYMAAPQRVIESTQRRHFQFKDEASQSSTRRGKGRGKGM LLLPLSSHLVHPFLDGPLTSSQMALARYGSISAPRNATSRWLQSVAISQAGTLKRLDL SKCVDLSSRTILTSLNLFGEIEQLMVPKAMLREPTVDFVVAALAGGALTKLQGVETSF NMALAALESSYTVQLHILNGVLGRPDEIDGAVAA H257_12407 MEVAPALPFPTHTTTYEAPPTAYMPHNLEENPMRVQHRNTSVKL DALRLLRGRLHVVPSNAFKTTLVLHRGDVDREANPSGGLARLGSMESLVLDFLDAQDL VLRASMVCHRWTSLCRQDGLWEKFMTTPVEGYPLRLLLSLPPQPSIMPNIQVYMLFRL SGLGDGPLLGRALDNIHAEHTIDESTPPDGNEPLATLHLLETTSVVSIHVQRVQLHRE DRADLVLRPLSSMENSLFDEDFTGSCLSLRSWLRRWTATTPPPPPASTDVLRSLLHQL LHGLVALEASGLTHHKVSIRTIALHPIKTLEPNGSPAVDQILADHDDHGTMAVPLLQV AGHAFIKRLPPRRDPGVQGAAPPLNLHHMDESFHNRFWTCMKKPRGDGGLRRHALDDD EGLRDSAPSPPLIMLVSFMHCVLDICSRGRWVTADAPLVDLTVAPPPNPPNVASPRSL WRTLRQPTASLLPDCRAMLQCAAHLVYTGAPSMAPLLRHPYFDRPTTGDSVLPVDADD AVDSHTFMESINQWYAAELPRYMAAPQRVIESTQRRHFQFKDEASQSSTRRGKGRGKG MLLLPLSSHLVHPFLDGPLTSSQMALARYGSISAPRNATSRWLQSVAISQAGTLKRLD LSKCVDLSSRTILTSLNLFGEIEQLMVPKAMLREPTVDFVVAALAGGALTKLQGVETS FNMALAALESSYTVQLHILNGVLGRPDEIDGAVAA H257_12408 MEPDTKYALHHATDPILQKLQAGSVSIRFAAEKTETSVLRVDGE SFELLSFQEDRSVSHLCTLKREQPSSSAGGGEGYAFHETGRIAKKLLVQRMLDGTEKD RLKDRHAQCVVDSKARSSILLDDKPATGSKTKARLKRQVIYLDPSSLKSTVSALSTST RKRRLPSALTPEVLQNMTLAIDKDELRHLRPPASSASPHPAAASTDAVEETVVKKKAR KSAAVRGAPSSTRKATAAKKPKASIPPISSTTQADSVDKCTSQPDPQEENHLEAKQPP SPENLLVPVRTTSDGPPLIRAKRRVLSVALNALSTFTPEVEAVFKHHVAVPRTVLPIA TDEDFVARQTQHAALLSEWSLLDKAYAVENVLHRAKAITGTYHVPAYEKNASRRAEGM TVLQTMLEQIHDQLSQLHEAIMVYADENAERRRMAASTV H257_12408 MEPDTKYALHHATDPSTLKWAATIKLTPEVLQKLQAGSVSIRFA AEKTETSVLRVDGESFELLSFQEDRSVSHLCTLKREQPSSSAGGGEGYAFHETGRIAK KLLVQRMLDGTEKDRLKDRHAQCVVDSKARSSILLDDKPATGSKTKARLKRQVIYLDP SSLKSTVSALSTSTRKRRLPSALTPEVLQNMTLAIDKDELRHLRPPASSASPHPAAAS TDAVEETVVKKKARKSAAVRGAPSSTRKATAAKKPKASIPPISSTTQADSVDKCTSQP DPQEENHLEAKQPPSPENLLVPVRTTSDGPPLIRAKRRVLSVALNALSTFTPEVEAVF KHHVAVPRTVLPIATDEDFVARQTQHAALLSEWSLLDKAYAVENVLHRAKAITGTYHV PAYEKNASRRAEGMTVLQTMLEQIHDQLSQLHEAIMVYADENAERRRMAASTV H257_12409 MCSSWSSSSSSWGETAHDWAPTLTSATPYASVLMIARTIAWTSC RCSSIAAVVRLLSSCDRVNTGLVLLPLGSCSKSKSFQPASLSRKKYWTSRDGGDPTVT WRTGYPSICTCCS H257_12410 MLAASTKRAAARHAAPFVTSRIMLTPMTSMQQTRTIYGGLYKMA KKMMPRMSKTEKAALDSGTVGFDRDIFSGSPKLSALDKYSAKLTPEEQSFMDNEVNEL CEMLNDYDIFHKQDLPLAAWKFIKEKGFLGMIIPKQYGGKQFTAHGHSQVITKLNTRS TTAGVTVCVPNSLGPAELLLRYGTDEQKNHFLPALATGKHLPCFGLTGPTSGSDAANM KDTGVVEVQEGVLGIRATFSKRYITLAPVATCVGLAIDVKDPENLLQGLGHEGITVAL LERNHPGLEMGRRHDTLSVPFMNGPVTGTDVFIPMDKIIGGQTRVGYGWNMLMDCLAE GRSISLPGGGVAGAKVSAVAVGGYARIRKQFKVPVATMEGVQEHLAAIGNHAFITMAG QFLVNGMINQHEQPAVISGVCKQQITARGRDAVVQAMDVLGGAGICRGPNNFLANTYM SLPVAITVEGANTLTRSLIIYGQGLTRAHPHLYPLIQSLQHGDDVKSFKIHANALVWH GVTNTVHSLTRAVGRSRSKGDLVGHYESQLSRLAANFAITTDLALVLGGKLKFKEMIS GRFADAFSSLYLGYASLWFYKQHHDTTDGLDVVFDYALTTLCYDAQEALIGISSNFPI PGIGPVMKGVAFPFGRAYAKPTDAQVAAVAELVSSDSGVRDLFATSMFISKDPTDRIA QIHHALPKAIQADKMLAAIRKDKRSATADEQKLIDQVEALRDAIIQVDAFDALGAEIG APHEYKRPGLVNSILDQKP H257_12411 MSTLFWSVVDLGAKPGFGDLQTSLSVSPSPPTPSTSSPPPSSSS SSAQDIPPRPAVVSRSDDMESKLVADEHDSRSDASSKLMADGARSKSTRRRATIPRAN DWRMMHA H257_12412 MDDLGAIVLDNGSGLVKVGFSGEDTPRGTFQTTYGQPRNPDWLV SHNAGVKPDREYFVGKECHAIREHLDMVDPIQRGVITDWDAMEKVWDYTFEHEMRMNP EAISMPVLCTSSSPTPKAQLEKTAQIMFESFKTTAFYSMQQCVLSLFASGRTRGLVLE AGHGSSHAVPIFEGYALPHATLHLGIGGYDITKHLELLLNRHGHALKSFSHCMFADMK ESHCFTPPLSILENDNNDATSINNHSPKPYELPDGTVISIHPDVRAKATDVLFHPIQL PDDHPAKHAKSLPDLACQAISMCDKDLQKDLRAAVVLAGGTTMMPGLSHRLHQELQTK LGESDIRIVPDYNSRERGYNTHRKMAAWIGGSMFASLPTFKDIQITRQEWEEYHESII DRKCF H257_12413 MRELHDSVDQDAKRLTNDKHKHLVRPCLGSKRQASFTLAKAILF DGEPSTSMKSQWKGGNEAAINPTKYLPTHRSVLQRLRCRSRIDMNNGGSDNDVSCSVS ASASPAAAPRSGDSAVANNRRETHHEVFGDGNLNMVMLVVILVHLMQYMMRAPCTC H257_12414 MMSITIPRVLDHDQNNSSPVDDRTRSPTFSISSLLNPVALKQQR DATKGMGATAFAKDLTGAYGLLDLRRDTQCFLSSCTREATVGGLCVDHTCKVRGCRNF SIHNDMCQFHSTGIDDNTDMGALTTPRMRPDALRLHHHHLPSLDVTSPMCKSSAAIAA ASALLANSNSSKTYVRSRYCKITDCHKLRHKNGLCVAHGGGWYCKVDGCTKHSKAKGL CSAHGGIHYCRVDGCTKYRKKKGLCIAHGRGLFGRSDSIGSADDE H257_12415 MEAIPLYPADKVSPQGMAWTAEGFVSLMFNMWVPTEEMDINKQL DDNMAWGYASMPSL H257_12416 MPTPHDQFNGGAHGVNYLWRRRQDRPHHDCGQLGHTRNKLQCRV CRCLDTHAHPATSHANTHDRPRCRQSTHCRHAMRSSPIEYASKPSRTPHTLPPSSAKT PPNAACVLAEREAAFDGGIQRAFFVQLGLSEIPRHTQHVLVMEASKRRRWGERQQGSG PVHI H257_12417 MLEYDNSAFYYFGISLGSIYVIPMTYLSLKRIIYGLFLKDKVLD LNNVRCDKELAKVRKLQAEKTSLKNVFNPVFVANLVILVVLWYGLYQMIMLVKDDSEI KSFDPYSVLELAIGSTEKEVKRAYRLMSLKYHPDKNIGDPVAEQKFVLVAKAYQSLTD PVAKRNYELYGNPDGRQSLQLSIGLPTFLLDKENHLAILTIYLIVLVVAIPSVVALWY SKSKQYGDSMIMYDSYGFYNYALSENSHLKMMPEILAGSAEFRDVPLRESDQAELGAI YRDLKQEQTIVKARFNHPVIAKANLLLHAHLTRKPLSPALRADLNLMLKKSIHLIDGI VEICVSKGWLQSIINAIEFEQHISQALWVKHSSLLQLPHFTEADVKAALVGKNAVRSL AQFIELSEDDRKGMAKMSDDEKAEVNRVCDTILPNVDVELSVEVEDEDVIAEGDILTV TVKLTRKNVPPGDTIDLVYAPSFPFPKSERWFVLVGDARMNHLHAFAKVTSQEREVEE KLRLQAPPKAGTYQLDVWIKSDSYLGLDQRKAVRFTVVAAADLPAFQPHPEDVELDND PTLFEQVMSLQHDDSDSDDDDDDDEEDKKTK H257_12418 MPPPTSSTASSTTREKKKLIDAERHSIYETLLERSVRGELPYGC ITQAARKFQCTCKTIAIVWNRGRLSLRDGRNTNRKCVLTDEEIEHAVKLVPLQARQTM RTLAAQSGVMKTTIIRQMQRAKPLMRKTSHSKPYLTDANALGRVQYALSFIKPTSKGT IFDSMHAHVDEKCSFFTTVKKRYYAYEDEDLPTRQFKFNRYITKVMFLAAVARPRYDY HNKCIFDGKIGVWPFVENVLAKENSRNRPKCTPLLVPQTVTAEVYLKMILEKVVPAIQ AKMPRQDQSKIIYL H257_12419 MATPMLRGCCFSGCANVALADRDGKCDLHKHKGKCQAPLCVNQV YARHLCVRHGAKRRCQIPDCWANARRGPLCSRHAELPALRKTCIEDGCTKHAQRHQKC VAHGGGRRCKANDCMRCARSGGYCARHGRELLEQTSLLASTAHIEPVMVSTISLDTED AGSSNESLGAFTEVDAVVLGYFLR H257_12420 MKSIAVFSVISFAATAAPLDHCIESNYVAAVNLTQASPFFSSCA IDSNITTEQLSNKVIPSAAQGDKFIASANCQSLFKDMQTLSAQQRCLELSVVPNVTWS MVASAVKVAVYPTVPTPCDFAAIQKSAMRLITKLSVLMCLPSMGMNLAKLSEIPPVAK LENARKNSRCRDAYGAVQTLIKGFPHCSVTNMSATTFPGLDIHAFETLSFDSALDMLQ LASTFQTQVARRAKLSAVEHNMPVVVVVRMLAGAIMAFVVVVAYQARSRRGYTPI H257_12421 MKSFSILAILLAAVAATTTTTAAPSTSTACTEAQYQVVDSASKS SWYHGLCALSIGVSREALDSHQVLSEEAEAKFAESTTCKWLYGDIRKAAATQQCYELD LIAHHITWPMVVALMDVESYPKVNDTKCSKVQLTSAFAGLALQPNFYSCIGTSSKDLF TVPDHARVDAFRANPSCADLFVQLQEVVETQPHCAIDNKGTDIHVLTKLNWNVTLDWL AILGNATTAPGVPGAVKFAASSVHPSSQNVTGWLTATLAGVGVAVLAVVVLKKRRATA AAAAKRSEERIKLLRV H257_12422 MFMGWCRPLESPRPSKFDHFGRESSRAAKLLLHVATEKSIDVDV VDHAVTSCGHDTFTVYIIVVTINGVASTVLRRYRQFFALHEQLRQSVYAAASTAFPDR LVLNNRSAALVRRRQDMLNVYLKALVADDSAGSSHPLRTFLGLEVEGNDASQYVGFEW THRSGRFS H257_12423 MPPARGSSRHGSNTILKAASEPSDSIKTRTIDVPSTPPPQHDRP PSPSPPPRHLMELFPPLPGAIYVHCLQRHAYLVETLSQPSCVHSILETNRHQVLAHVV MEILDASSSDIAIASLTLPPTLRFVLQLLILHEQRVWPNETPTPDLVVGLCGILVLLW RVTARVDALLAHVDTTNGVEEALPLDEINANLKLRLALLTEEWAHAIGVVVRDSFHAV VAEKAIMGNGGTPLTNSNHAAFMTKLLQELLRPMGNFLLTVDDDNARRYVLTQLLDKT IDTTIEEVVASVARVTEADRRQLQANIDHLKAWIDATCDRLSTLPSVKRLDRLNQEWN ILGIDNRRRRSSFDIIMDTLTSDTMARFYRGKQHQQHSVASMRRMSSKMVQTPTGKK H257_12424 MEAPKPLLEPLAPSPTDLSHSRRRRCVWSSVIALGVVVCTVVLI LPHKKELASNTATGLHIDGTANLTFWYRNNSHWLPEPDLAKVFKLVSPLLALLESNPK SNTLVFAATNTTVVFRADVWSVKECSTISRALNKSFFTYDFAHQLCYLNNPPPSMALD VGSADSTSKTDCLVSCNRTLSCMGMLYKSQECTLFKAATTTAMATAGWIVPSLKVKST NNNPTVAPAAVEFVSKMATKVHVYGTAHQDDHELFMANALYTTFQDRNVKAVFVYTSA GDANRTDGWWEAREVGTLAVTDFCLKEAGFYTTNRKDEVVSIYNHTIGKVTIGNAVHY FLRLSEFNLTSMMAPSPGNNAPSQKLYVPLGALNAGETAYSSLSDVLAVIRYIIRKET AGVPSVSAFVPEFIDKGNDHQLHVGTGKLFADALAAEPGLQGCVTTTTFYGYQHWLEA INMKEPMVSFQRRVWLALAAALDPVYPGKDVWSNHVMNLGRTYVARVNASTPGVQCTV A H257_12425 MTHASALHERDETTDMTTTTMYLAVITGTVLALLVLGYGVRFYL SRRRHGDSYRNLDFGAATMSRGDVLQREDLEESFVEEGRIEWQCVVCAHMNHPDKSIC ALCGATEVMATHPSNATSAMLTSSLLTKSFLMHSFLDGVVDEDGHPCPPSSSSTRHPI PRLSLPEARQRALVYRRNNMQLTQRQRQAKRRRLWQRAQLPTGEYVWVRTATFVNAHG NRDDTFLVAMAAAAQSNPSKQQLSQATTPATLHSKESLDEMLHRKNAASFGYIVKQNK ETGDLAWSRASLPGRQHLEDIDEDLTDEGGHEVDVEGTMALPFTAKKTWFVKRIKQLV TLRVDSMFAIRVRRQFIFEDSVKLLQHSIVAGRIREYMHISFEGEEGLDAGGILREWY LLVCHEVFSATLGLFSTTHAENQSYWINPASALSMGPRHLEYFRFVGHLLGRGIQEGL VLNAYLSLPLLKHILGVPISFSDLEYLDQDLYKSCVYVRDHTGMDALSLTFSMMGNHG EEIDLKPNGRHIDVTDANKLEYLSLVLEYKMLGSIAPQLKELLLGLYEVIPRSMLSVF DYQELEFFMCGLPNISVPDWRKNTTVRFFRDHSDQQHEVLEWFWAVVEGFNDVERGRL LQFATGSSRLPVEGFKGLTSSGGQIYPFSIQMVDRGPPPAGMCPKAHTCFNRIDLPLY HNLDELENYLSLVIQMEITGFGME H257_12425 MTHASALHERDETTDMTTTTMYLAVITGTVLALLVLGYGVRFYL SRRRHGDSYRNLDFGAATMSRGDVLQREDLEESFVEEGRIEWQCVVCAHMNHPDKSIC ALCGATEVMATHPSNATSAMLTSSLLTKSFLMHSFLDGVVDEDGHPCPPSSSSTRHPI PRLSLPEARQRALVYRRNNMQLTQRQRQAKRRRLWQRAQLPTGEYVWVRTATFVNAHG NRDDTFLVAMAAAAQSNPSKQQLSQATTPATLHSKESLDEMLHRKNAASFGYIVKQNK ETGDLAWSRASLPGRQHLEDIDEDLTDEGGHEVDVEGTMALPFTAKKTWFVKRIKQLV TLRVDSMFAIRVRRQFIFEDSVKLLQHSIVAGRIREYMHISFEGEEGLDAGGILREWY LLVCHEVFSATLGLFSTTHAENQSYWINPASALSMGPRHLEYFRFVGHLLGRGIQEGL VLNAYLSLPLLKHILGVPISFSDLEYLDQDLYKSCVYVRDHTGMDALSLTFSMMGNHG EEIDLKPNGRHIDVTDANKLEYLSLVLEYKMLGSIAPQLKELLLGLYEVIPRSMLSVF DYQELEFFMCGLPNISVPDWRKNTTVRFFRDHSDQQHEVLEWFWAVVEGFNDVERGRL LQFATGSSRLPVEGFKGLTSSGGQIYPFSIQMVDRGPPPAGMCPKAHTCFNRIDLPLY HNLDELENYLSLVRTLL H257_12425 MTHASALHERDETTDMTTTTMYLAVITGTVLALLVLGYGVRFYL SRRRHGDSYRNLDFGAATMSRGDVLQREDLEESFVEEGRIEWQCVVCAHMNHPDKSIC ALCGATEVMATHPSNATSAMLTSSLLTKSFLMHSFLDGVVDEDGHPCPPSSSSTRHPI PRLSLPEARQRALVYRRNNMQLTQRQRQAKRRRLWQRAQLPTGEYVWVRTATFVNAHG NRDDTFLVAMAAAAQSNPSKQQLSQATTPATLHSKESLDEMLHRKNAASFGYIVKQNK ETGDLAWSRASLPGRQHLEDIDEDLTDEGGHEVDVEGTMALPFTAKKTWFVKRIKQLV TLRVDSMFAIRVRRQFIFEDSVKLLQHSIVAGRIREYMHISFEGEEGLDAGGILREWY LLVCHEVFSATLGLFSTTHAENQSYWINPASALSMGPRHLEYFRFVGHLLGRGIQEGL VLNAYLSLPLLKHILGVPISFSDLEYLDQDLYKSCVYVRDHTGMDALSLTFSMANIGR DSIMDQSNTRIQNH H257_12426 MPALYRLRLAKQRTTSRRLACEFPLRGHGRSVRHEVGGETGGGD AWKEVGARGESHALGLQGVQVAVNGRAGVPNNVDRGRDHVPCHVGQPVMQFHAGGAGS DIRDNVPVQSFACRAVSKRVSLQQRNVASNDVLQRFSNVSFAR H257_12427 MSISEALDCCALVSIHEEMNPSKRRSMEDALRVVDGFAGNARHG FFGLYDGHGGREISAYLQENLHVTLENELAHVDNAGRTDVATCISRAFIVADMDCCEL PAAENAGSTAAIALLRDEDNHRVLYAANVGDSRIVLCRNGTAERLTQDHKAELHSEAV RVCDSGGFVIHNRVSGVLAVSRSFGDSALKKWVIAHPYTSKSILDASCSFFILACDGV WDELEDQAAVDLILALSESDRAQAAEVLVGAALEEGSCDNISAIVVFL H257_12427 MSISEALDCCALVSIHEEMNPSKRRSMEDALRVVDGFAGNARHG FFGLYDGHGGREISAYLQENLHVTLENELAHVDNAGRTDVATCISRAFIVADMDCCEL PAAENAGSTAAIALLRDEDNHRVLYAANVGDSRIVLCRNGTAERLTQDHKAELHSEAV RVCDSGGFVIHNRVSGVLAVSRSYSIYAMQRRCLSCTCTRSFGDSALKKWVIAHPYTS KSILDASCSFFILACDGVWDELEDQAAVDLILALSESDRAQAAEVLVGAALEEGSCDN ISAIVVFL H257_12427 MSISEALDCCALVSIHEEMNPSKRRSMEDALRVVDGFAGNARHG FFGLYDGHGGREISAYLQENLHVTLENELAHVDNAGRTDVATCISRAFIVADMDCCEL PAAENAGSTAAIALLRDEDNHRVLYAANVGDSRIVLCRNGTAERLTQDHKAELHSEAV RVCDSGGFVIHNRVSGVLAVSRSFGDSALKKWVIAHPYTSKSM H257_12427 MSISEALDCCALVSIHEEMNPSKRRSMEDALRVVDGFAGNARHG FFGLYDGHGGREISAYLQENLHVTLENELAHVDNAGRTDVATCISRAFIVADMDCCEL PAAENAGSTAAIALLRDEDNHRVLYAANVGDSRIVLCRNGTAERLTQDHKAELHSEAV RVCDSGGFVIHNRVSGVLAVSRSYSIYAMQRRCLSCTCTRSFGDSALKKWVIAHPYTS KSM H257_12428 MQDPKPLLTPLTGPSRRRRQYVWSALIALGVVACMVVLLLPNRK ELASNTATGLHIDGTANLTFWYRNNSHWLPEPDLAKVFKLVSPLLALLESNPKSNTLF FAATNTTVVFRADVWSVKECSTISRALSKSFFTYDFVHQLCYLNNPPPSMALDVGSAD STSKTDCLVSCNRTLSCMGMLYKSQECTHFKAATTTAMATAGWIVPSLKVKSTNNNPI VAPAAVEFVSKLATKVHVYGTAHQDDHELFMANALYTTFQDRNVKAVFVYTSAGDANR ADGWWEAREVGTLAVTDFCLKEAGFYTTNRKDDVVSIYNHTIRKVTIGNAVHYFLRLS ECNLTSMMAPSPGNNAPSQKLYVPLGALNSGETAYSSLSDVLTVIRYIVRKETAGVSS VSAFVPEFIDKGNDHQLHVGTGKLFADALAAEPGLQGCVTTTTFYGYQRWLEAINMKE PMISFQRRAWLALAAALDPVYPDKDVWSNHVMNLGRTYVARVNESTPGVQCKG H257_12429 MLVYLILSVLIALLLGLILYLACFVPYRQSVLTSQDLTVFQEPD ADVFRENLSDSFVEQQLWVCSVCSFSNLPDKAHCDLCQTVRETKRFKMPSLWQPLFQP RTKPHQDVKRSRAKSDELRLNKVQLAASRRHNWKREAMSNGEERWVRAMPHQHDNHTA MLGEDSLDMRSSRGSSCMSLASVGYVRVRDSTGRLVLNESDQVMGAHRFAPRRFGLAS AALVLEVSKMPFLSKLKWYSMEVHRLWVPWELGHVEFVVRRDHLVEDSLANVMCLSPE QLRQRWRVSFAGEPALDAGGVLREWLALLVLALFEPSFGLFVSTASSDHCAWINPMSG AYQDRHLEYYSLVGRVVGKALFEEQLLPVHLTLPLLKHILGVPISFSDLQFLDDELYQ SLVWLKRCTSAADVEALALDFTVTRTIPRQALKGHREVECIPLAPGGDCISVTLVNKA AYLDLVFQYHILDSVSYQLLLLLGALYSVVPEELLKVFDYKELELLLCGVPTVDVDDW QRHAQVSFLQEVTPPSRLELQNVAWFWANLHDLSNEQRAKLLQFVTGSSRVPAQGFKA LISTDGRVQPFKLSFCPMEHLYPRAHTCFNRLDLPLYESKSEMLTYLIAVVSQDATGF SME H257_12429 MLVYLILSVLIALLLGLILYLACFVPYRQSVLTSQDLTVFQEPD ADVFRENLSDSFVEQQLWVCSVCSFSNLPDKAHCDLCQTVRETKRFKMPSLWQPLFQP RTKPHQDVKRSRAKSDELRLNKVQLAASRRHNWKREAMSNGEERWVRAMPHQHDNHTA MLGEDSLDMRSSRGSSCMSLASVGYVRVRDSTGRLVLNESDQVMGAHRFAPRRFGLAS AALVLEVSKMPFLSKLKWYSMEVHRLWVPWELGHVEFVVRRDHLVEDSLANVMCLSPE QLRQRWRVSFAGEPALDAGGVLREWLALLVLALFEPSFGLFVSTASSDHCAWINPMSG AYQDRHLEYYSLVGRVVGKALFEEQLLPVHLTLPLLKHILGVPISFSDLQFLDDELYQ SLVWLKRCTSAADVEALALDFTVTRTIPRQALKGHREVECIPLAPGGDCISVTLVNKA AYLDLVFQYHILDSVSYQLLLLLGALYSVVPEELLKVFDYKELELLLCGVPTVDVDDW QRHAQVSFLQEVTPPSRLELQNVAWFWANLHDLSNEQRAKLLQFVTGSSRVPAQGFKV RSI H257_12430 MSGHHDQQVRVQETEPLVPTTLHSACFFNGCINPVVVGDKCEFH RRRGKCLSTDCRNQVYARHLCVRHGGKRLCQFPGCSANARSGTLCCRHGLRSRKKQCS IDGCTKVAHARQRCVRHGGGRMCKVDACNTHARIGGYCCRHSTVPSSPPTSPRKQELN SIVVEGDTWEISPRPFDAWEGYCILDPALGCFSEMDAFVGMDDLLSDVQCVFDA H257_12431 MTESRVPDDAEVPAPLTSEEKKAVVSLSSREHFRIRFFHGEKIV DSLLKLPLTMEPVPVAEGTQCLHLELWLKDQFGIASDHSVFAVVPVLEAYSPAMPLIL LNTIVFRLLQELPSPDAPLPILDYALVVRPLSNLNTPIPPATDETSPFFAIDGTALGG TDPLARQHAQVQFVSKMKTFGFARIQVTREQAQIPLDAWEQVRTWLAAQLALPRADRW GERVDTTEPLDSATSSDATLASTPLDDDHEPSQPSLLSSLVCFWRSPTPPLNPTLPPL LASKGRYVGFSCDPNREYLQLRHPLRSAGTIWPRPYFHETNQAEFATNLLKLLNVLDT VGRDCMEAVCAVLNIDRSFVFNELLDDVSAPPTSAADVTATDPSCRYGASVLRVYNYR NKKADVHTADNRRMDLHMSCGSHADLGLVTVSPCATVPGLQMWNLDRMLWTDVESDAS PLHFSVFAGETLGYLTNGLIQAPLHRVPATVVADEASRRMSMPYFLRARPEACLNPTR SADVAPLTVRDLMEERIFKSRPWRRESCATPDY H257_12431 MTESRVPDDAEVPAPLTSEEKKAVVSLSSREHFRIRFFHGEKIV DSLLKLPLTMEPVPVAEGTQCLHLELWLKDQFGIASDHSVFAVVPVLEAYSPAMPLIL LNTIVFRLLQELPSPDAPLPILDYALVVRPLSNLNTPIPPATDETSPFFAIDGTALGG TDPLARQHAQVQFVSKMKTFGFARIQVTREQAQIPLDAWEQVRTWLAAQLALPRADRW GERVDTTEPLDSATSSDATLASTPLDDDHEPSQPSLLSSLNPTLPPLLASKGRYVGFS CDPNREYLQLRHPLRSAGTIWPRPYFHETNQAEFATNLLKLLNVLDTVGRDCMEAVCA VLNIDRSFVFNELLDDVSAPPTSAADVTATDPSCRYGASVLRVYNYRNKKADVHTADN RRMDLHMSCGSHADLGLVTVSPCATVPGLQMWNLDRMLWTDVESDASPLHFSVFAGET LGYLTNGLIQAPLHRVPATVVADEASRRMSMPYFLRARPEACLNPTRSADVAPLTVRD LMEERIFKSRPWRRESCATPDY H257_12431 MTESRVPDDAEVPAPLTSEEKKAVVSLSSREHFRIRFFHGEKIV DSLLKLPLTMEPVPVAEGTQCLHLELWLKDQFGIASDHSVFAVVPVLEAYSPAMPLIL LNTIVFRLLQELPSPDAPLPILDYALVVRPLSNLNTPIPPATDETSPFFAIDGTALGG TDPLARQHAQVQFVSKMKTFGFARIQVTREQAQIPLDAWEQVRTWLAAQLALPRADRW GERVDTTEPLDSATSSDATLASTPLDDDHEPSQPSLLSSLVCFWRSPTPPLNPTLPPL LASKGRYVGFSCDPNREYLQLRHPLRSAGTIWPRPYFHETNQAEFATNLLKLLNVLDT VGRDCMEAVCAVLNIDRSFVFNELLDDVSAPPTSAADVTATDPSCRYGASVLRVYNYR NKKADVHTADNRRMDLHMSCGSVR H257_12431 MTESRVPDDAEVPAPLTSEEKKAVVSLSSREHFRIRFFHGEKIV DSLLKLPLTMEPVPVAEGTQCLHLELWLKDQFGIASDHSVFAVVPVLEAYSPAMPLIL LNTIVFRLLQELPSPDAPLPILDYALVVRPLSNLNTPIPPATDETSPFFAIDGTALGG TDPLARQHAQVQFVSKMKTFGFARIQVTREQAQIPLDAWEQVRTWLAAQLALPRADRW GERVDTTEPLDSATSSDATLASTPLDDDHEPSQPSLLSSLNPTLPPLLASKGRYVGFS CDPNREYLQLRHPLRSAGTIWPRPYFHETNQAEFATNLLKLLNVLDTVGRDCMEAVCA VLNIDRSFVFNELLDDVSAPPTSAADVTATDPSCRYGASVLRVYNYRNKKADVHTADN RRMDLHMSCGSVR H257_12432 MASRIAQKGYAYASKESALIHRQAGSASDASDNSDGNDSDAPQL SGPPGKQLRMPPPSAVENDEDSDGYASVSSSSSSGSSSDDSDSDDDDDTPAVPTPQYP ILGARARKRVRPSTSYSKQMAVYVRTCLLNLRHATEDQPDVYLNDVDHVAFREALRER RVERVLVADSPPPDKQDMSSSTDKSPDDVGAVPPRSTKDKKRSKKNSSSSSKESPLSS KKAKVDPTHPSPATSSATSTGSTSFVNNSSSHPPATLPPVATSSSPTTTISVPKSALS STVTPPTTSALSTSKHHSKTTNGASALDDKVTDSMSPAEKSPVKRPAPPQLSGGDGAD VEPPPPCAKKPRVASSAAAVADRTRPQASFQIPANADVTDMDRVRRDISKLQKEGIAL KHEGDRVGRADISAQGVCYLRASAKFLQQALLLSDLKAMYKALNDSATASKWSKDSIS TLSQTAALVESTVGFFNKANDKRKVALSYKFGAIVMLTSYRLQHNMLYGYYSQLHAPG RSPDTGERLSKANEDPIRSLILKEMGAMMRGFEFWRRFEACAVVPPVLPNVRDPATVD LPTLWQDLDDELSVPGGRPH H257_12433 MSEGHLLDELAGPTLAEDANVAATLTLHPPVEGGETVASTPPMF VPVQLVEPSNGAKPANTNDHDNNSKIDTSTPPTPKFVPVELLQVPKPTPLQFVPIDLT IESTTVVTGDVEVLPAPGRATGDVLEMDLPMKSTTFRATPHDKAMLVDDVLPSTMDHA MIDDAFISRKPSEESLEGTSLAPIDALPSTTQQQGSGFPSQPSPQPTPVSSTKVSSDE KEGGNNEVAPPSLLNDFNNAIQTTPMFIPVDLLDMPKATPLQFVPVDVTAESLAKLEH GSPLDRASGRLSDHCTPQTTETSRATRHQTAISADETLPRTMEGRGGIHDDDDDADSI ADDDVEIAAILKEAASITDLAIQQGRLEAFRGGFASSSLRQRTDNTSLLPASVLVVEQ PPQQPMFVPVDLHTPKSTTETPLLYPSSTQQQLLRVDTNQRRTHSQDLAAAPSSHATT PTYSDESGTESTPSTPTAGTQGGRTRQRTPRAAAGRPTSDNIQTISTVKRIAKHNSKV AHIVAHIQKKAAVVQSPPTTTAPLMIAKPERATPPPVAGNSSKSTPTNSHASNRKAVS ATQFAKLSDTKHCRFYPQKSKASVAAMRNPACGYDFVHRGQADDDDDNEGHGSSGRDF LNRMEVAEQNRRKKLDTTRGEEAYMLRQNKKECPKCGMVQSYAEYRDKKKRCTFCGVL FALPKAWGDVGTSFLRRMEDELQQREIHRMALLKIVVAHETSRDVVPKSNIRRCLAKQ QQLPKQPDVEVFNHVMAATVGEDAFDPPAFDWSAT H257_12433 MSEGHLLDELAGPTLAEDANVAATLTLHPPVEGGETVASTPPMF VPVQLVEPSNGAKPANTNDHDNNSKIDTSTPPTPKFVPVELLQVPKPTPLQFVPIDLT IESTTVVTGDVEVLPAPGRATGDVLEMDLPMKSTTFRATPHDKAMLVDDVLPSTMDHA MIDDAFISRKPSEESLEGTSLAPIDALPSTTQQQGSGFPSQPSPQPTPVSSTKVSSDE KEGGNNEVAPPSLLNDFNNAIQTTPMFIPVDLLDMPKATPLQFVPVDVTAESLAKLEH GSPLDRASGRLSDHCTPQTTETSRATRHQTAISADETLPRTMEGRGGIHDDDDDADSI ADDDVEIAAILKEAASITDLAIQQGRLEAFRGGFASSSLRQRTDNTSLLPASVLVVEQ PPQQPMFVPVDLHTPKSTTETPLLYPSSTQQQLLRVDTNQRRTHSQDLAAAPSSHATT PTYSDESGTESTPSTPTAGTQGGRTRQRTPRAAAGRPTSDNIQTISTVKRIAKHNSKV AHIVAHIQKKAAVVQSPPTTTAPLMIAKPERATPPPVAGNSSKSTPTNSHASNRKAVS ATQFAKLSDTKHCRFYPQKSKASVAAMRNPACGYDFVHRGQADDDDDNEGHGSSGRDF LNRMEVAEQNRRKKLDTTRGEEAYMLRQNKKECPKCGMVQSYAEYRDKKKRCTFCGVL FALPKVVKPRINCTYNRRGGTWARRSYGGWRMSCSNGKSTAWRC H257_12433 MSEGHLLDELAGPTLAEDANVAATLTLHPPVEGGETVASTPPMF VPVQLVEPSNGAKPANTNDHDNNSKIDTSTPPTPKFVPVELLQVPKPTPLQFVPIDLT IESTTVVTGDVEVLPAPGRATGDVLEMDLPMKSTTFRATPHDKAMLVDDVLPSTMDHA MIDDAFISRKPSEESLEGTSLAPIDALPSTTQQQGSGFPSQPSPQPTPVSSTKVSSDE KEGGNNEVAPPSLLNDFNNAIQTTPMFIPVDLLDMPKATPLQFVPVDVTAESLAKLEH GSPLDRASGRLSDHCTPQTTETSRATRHQTAISADETLPRTMEGRGGIHDDDDDADSI ADDDVEIAAILKEAASITDLAIQQGRLEAFRGGFASSSLRQRTDNTSLLPASVLVVEQ PPQQPMFVPVDLHTPKSTTETPLLYPSSTQQQLLRVDTNQRRTHSQDLAAAPSSHATT PTYSDESGTESTPSTPTAGTQGGRTRQRTPRAAAGRPTSDNIQTISTVKRIAKHNSKV AHIVAHIQKKAAVVQSPPTTTAPLMIAKPERATPPPVAGNSSKSTPTNSHASNRKAVS ATQFAKLSDTKHCRFYPQKSKASVAAMRNPACGYDFVHRGQADDDDDNEGHGSSGRDF LNRMEVAEQNRRKKLDTTRGEEAYMLRQVGRVESIVRSSDRVFETVVRA H257_12434 MADDGGDGYVFHMKSVAQDDNDEDDGGDDIGDIAGLLSQAGAIT DNAIASGLLSHDATSQRPSTLVLTPPSDATTPTACSTLDHREVISFSPTKQVEVSDQG LRGPDVVVSASFVGRVSDHERSILTNTNNGHHSPPKVLPTSASSATIVDENAVISTVC HQGVKVQIDADTRTYKGPNDPTTHASSTSPFLPQTSMATPPSSSLNAPQPSSSTNTAM TRPLLPQQHRRDPVAIHTVSSRSSCSTGTAHCKVAYITALRQLAAKKDKTGAPSASTS QHTTLNTAPSESHWSVDTAVNQLVQQVHDSSRDGNAVTPAVKSENNIALAVNKLSKPT KKNPKSKQRAFATVEDARECRFHPKKSQASVRAMQNPACGYDFVSRTTDNDKDFIGRM DAAELNRQKRLETTRGEEAYNVRQNKKQCPKCGMVQSYAEYRDKKKRCTFCGIPFVLP KAWGDVGRSFLERMEEWGQHHEANQLKIRHAVTLLETNGGGKSSRQKVLEKRLATRHT DDPLAFIHEYLRLKQTQPTRKTNGQRRIQVPANLQQKIVEAAIAAGIPSPDQHNNIHD DKG H257_12435 MPLDLQSIKSVIEASIEDLVRVADTKINWNNAASTSASKKGYVY LPGVYVWEADKSSTAQPTPPASCPPSARTTEDIHDANNQPLHSSIYPLNDDHDDHDSG GVIDHFPKPPASSLPDDAPPDDEGLADCVPPVEDADGTPLSPDLSTPCPTPHPPVVDN SVAAFLMNDDDIASIGYTLVLHGDNTFEYVWKQKKTGTRPMEHKVELTGRWHTPVLNR DRYGERDQRVFLDTARARFQRVANYDPTTNGWKQTLRTLTRNGDDWVVCGENDRGLPP VRMVFNVLDNHVIESIGAQFPRDIISNAIPSRVLAGLTKKAGTKLGAPIDVMTDKWLP CRKLCLLPVSSRPDIVVKTFNPLLCLAAALKQRRQPNRPVTVAHR H257_12435 MPLDLQSIKSVIEASIEDLVRVADTKINWNNAASTSASKKGYVY LPGVYVWEADKSSTAQPTPPASCPPSARTTEDIHDANNQPLHSSIYPLNDDHDDHDSG GVIDHFPKPPASSLPDDAPPDDEGLADCVPPVEDADGTPLSPDLSTPCPTPHPPVVDN SVAAFLMNDDDIASIGYTLVLHGDNTFEYVWKQKKTGTRPMEHKVELTGRWHTPVLNR DRYGERDQRVFLDTARARFQRVANYGTALFDGRKDHTIVCLHARGERGIFIFGAWLIY NVGGG H257_12436 MQPHPRASMSRLNLAEFQRGGEWTEARTPPPSQASSSPLSLSRT STSRLPFLRIASMGDHHRRTHHKALVFVFILLLGIIGGPLNYASKRAIQALLDLRNRI ATSTDSVAWNGVLWVAYSIALLFFAILWTKLSPSAVGSGVSQMKVVLTGVDPNLYLPG YFSLSTLVAKIGGIVFCNAAGLVVGTEGAWTHLMSILTHQLLRLPCFAPLQAKPSTRL QLLAAASAVAVSSAFASPLGGVLFSIEVTATYYLISNYMKAFVGALGAAIVVQVTTTT IVQGHPSIYKIEFPSAAAPIINIPLAIPLGVFLGLLSALLIRIVRLLSDKRTAWRKSS STVVRVLVTWFDPLCVVVLTSVLSFSPSAPYISPPDLPRFYMSANASSISNAVVDGFV PVVLLPLAITLAVPTGVGLPTFVMGAALGRVYGSLLTSALPDWNLLPQAYALIGAASM TGASTRTVSTAVIALETSASLAYMLPIFAATLVAIETSRAFATQSVYDATVNDLPYLP CLDFADDTTTADDIKEPHVIFVTRKPTLVSILVALNRMPGHDIPVVESEANRMLLGRI SSRALCRVVARYYDAADLGDHRADCGLDDGECLSPTADPDMTADKSTWTSIKSAVTSL NHRDPMYGNSVDRLYCRALPPPPPPPSSDLPTARAEMGSGLNAANIIALLSQRWSADK KELLRFATTKLPASAVQALPISVSSKTLLEDIHMMFLMLRLDHCFVTNRGALVGVVTT NAVINASRRGSTHNQDHNRANAV H257_12437 MDALFDGAAALTATMASPAPATSAAESQHHTPQCFFNGCSHPAR PGSVKCAFHRKKGTCMGPGCRNQVYARGRCVLHGARKPCTHPGGCNGFARSRGLCSRH SRGADEGTSSPDRVSGGKSLAPPRTRHTSRSSSSAQHCKISPTTQVLLTQVDPKARSC LDALLQLHGQHPSVASSTPRHYRSVAPLLPLRDVPFAYTPNLPKLPSIHTWFAPCIM H257_12438 MTSDTHDNADDEGVELLQRARLLVQAASNLPDFSTLFSKRNPPE EDNGEASSGLPVDSSYPHHESGAKPPVVVAAPKKSKKKKPPVTDSNNDQHHHTFTSNA DEATAKTAQHKQASSSSSQPEHPQHSAFTSKPDGGDDAATTLGKQQRKAKKKAPTKPC PEVVAEVDSSEKLAAQALARKYAQERAAARVASKLREKLAEKDREEQSHVACVHEFYE TLDDKEQRLKGDRIRAKERLVKAKHAKELEKQMATNLPGGNNTPHAAPLSADQLEKFQ RQTKERLVKAKEAKRQAELLQLAKLKQEEEDKARHLADEAAAADDTKRRADQVRRETK RRLKAMQAHKEDIERKELELRQQGLDKYKHHKDEMERVAKGGGGGGLSSVSKPGKSKQ PLDDDRKVLSIDKDEVEATVPLHDEGGRVPHHSSRTSDNNDTIADSNEPDRLEGHENS VDVSEQSQELPPLVAPSAETATAAPLKKIGGPKKALWKRRPPVPIPTADEIVHRSKQA NMPPVTHNPGGAPPHPRHDSYADRMKSRSAPSSLSSQAERLASATRERAKQMDNAAK H257_12438 MTSDTHDNADDEGVELLQRARLLVQAASNLPDFSTLFSKRNPPE EDNGEASSGLPVDSSYPHHESGAKPPVVVAAPKKSKKKKPPVTDSNNDQHHHTFTHVC SSNADEATAKTAQHKQASSSSSQPEHPQHSAFTSKPDGGDDAATTLGKQQRKAKKKAP TKPCPEVVAEVDSSEKLAAQALARKYAQERAAARVASKLREKLAEKDREEQSHVACVH EFYETLDDKEQRLKGDRIRAKERLVKAKHAKELEKQMATNLPGGNNTPHAAPLSADQL EKFQRQTKERLVKAKEAKRQAELLQLAKLKQEEEDKARHLADEAAAADDTKRRADQVR RETKRRLKAMQAHKEDIERKELELRQQGLDKYKHHKDEMERVAKGGGGGGLSSVSKPG KSKQPLDDDRKVLSIDKDEVEATVPLHDEGGRVPHHSSRTSDNNDTIADSNEPDRLEG HENSVDVSEQSQELPPLVAPSAETATAAPLKKIGGPKKALWKRRPPVPIPTADEIVHR SKQANMPPVTHNPGGAPPHPRHDSYADRMKSRSAPSSLSSQAERLASATRERAKQMDN AAK H257_12438 MTSDTHDNADDEGVELLQRARLLVQAASNLPDFSTLFSKRNPPE EDNGEASSGLPVDSSYPHHESGAKPPVVVAAPKKSKKKKPPVTDSNNDQHHHTFTSNA DEATAKTAQHKQASSSSSQPEHPQHSAFTSKPDGGDDAATTLGKQQRKAKKKAPTKPC PEVVAEVDSSEKLAAQALARKYAQERAAARVASKLREKLAEKDREEQSHVACVHEFYE TLDDKEQRLKGDRIRAKERLVKAKHAKELEKQMATNLPGGNNTPHAAPLSADQLEKFQ RQTKERLVKAKEAKRQAELLQLAKLKQEEEDKARHLADEAAAADDTKRRADQVRRETK RRLKAMQAHKEDIERKELELRQQGLDKYKHHKDEMERVAKGGGGGGLSSVSKPGKSKQ PLDDDRKVLSIDKDEVEATVPLHDEGGRVPHHSSRTSDNNDTIADSNEPDRLEGHENS VDVSEQSQELPPLVAPSAETATAAPLKKIGGPKKALWKRRPPVPIPTADEIVHRSKQA NMVRQMELYCP H257_12439 MPTGPTDTIFEREDDEETYGDGDENAHRDRARSLEDLDLSGDEM ADLHKEGSDAAAKRTLDPNMLNELLLVCSNLGVMTETEDGGTMFTRGEDCEEWVHDLQ RAIRRDHATYRLVGKTLGRWKILQKKLLPLLINHQNDWSLVFSILKVLVMLTMKPTNE SDNIAIQLSYLRGYKHAFLQHGVIQVLMAILVEPLSREGSSRTSQDYLNMELVLTLFR NLLAIPNADSRVLTSATNYLSRLQEDLICILHDENVFDMLLLFAEDIEAAENREWNLL VMEMLDLVVQTTTPKQLVASIASSTSDDKVVVAPVVVATTSTTTTPSLLTQLHQQHKT TYNRHSNFGGMLVLNGVMGRKTVVTDFSKTGDQVIPQAHRKPPRQQARKRHGINDISE VFGSNTTCAVDGASALGTALRYVCDSLLSKCYTSLTTSLKNEFRRGSAKLLPNDRLLY FHLIWFLTAYHRAKGPHLSKLHTHAVLAYEAKKALGFQTDGLDASLAVEAPPPMVSYD QKAILSTLDMFSFNFVLQSIESFAEVKNHHAMMLAVKLLAEMIAMLTDLSTSDDIRLQ RIGHSLQHKLFYERDFLDRLPILIKSWIPTAFNFEYATNVVTLTHLVLKMLESQGDHL KVLQKRRHGQAKKPKSKDDENESEEETDRLIEQEMRRKEVQFDSKKYFGSMVTHETIQ LYCYVLHQYKTNTAKVNHYVYAYFFRVQQFHAIEDGTMEPMLFNIHVLLVFNKMLQDT ATTGRSQTFRPFVEFIRTIIRNFFALADTNHLVFVESLIRHSYAPRTCAQIQNKYKSM AMGSKDAISVGQDDNNDNKELRKYLPGNFDLDGEAEFDGGVASFGVMAPASSSADVAL AGASAPSTKSSKNAVRKKRDNTKMWSKVEDRYLGKMYHKYRHLPSVFEVISYEDMFQD RDRTPDQIERRVKHLKLHKLHEYDVSSDSDDKQADKPWDKGDDSSDDDGGNTSGKPSV ERGATTLGTPIERPVVRSRRRRRLVRHAASNDKDESNSDDDLAMLTGASSSKKAANVP ANKKQKTDGGEEGDADVPLGTTLSPLLKQKSTTRLIRTNHDSDDDDDDDLDELMAASR RAQVLSEERVTPESPKRRRGSDDDVADDFSSSKRRRPHEESEPSTADVGSTTHDQPMD DEQSEVRGAIEQSEDYTFDQSIDM H257_12440 MEFEADDDDEWVWPNELKEARLMEGILRCQVCGEFLSGPVLLRE CRHSFCSECVRKHLLARGTNGCCPECKCACSSSDLIPNRPLEQLVGFFRALKPKVLHL ASTSQGSGDGATLASLSTSDLTDTATASTSTDITARMPTTSYNFLKDSQIRQLLKSAG LLAILPTSKDNMITCHKEYTMLWNAQLDTINPKNASQVRAEVVTKFRQRQHETAAKAS TRRSLGLRPDDPIEKAITRTSALNDNFRKLAQQVKAQKANAATTQPNPSAASPSTASP AKTPSKAPEVSPQQDVGGDVARSYIVDGTDRNLMPNGDLRFLPRELPPATPAATTTAA AGPWRHLYSNTLSKPIFVHSSTAQVTDIPPPAFASMTKPPPIKRPMELPTKWSCARCT LENESHVDTCEACGAPTTRPARPKRSRQSKLSL H257_12441 MARASAFPCILPLRQHPTSRSSMCMETLPMRLDPSSPFLTTTRT CMTSIRPQTRPCSRRRLCPSNPFAAFHPNSFTTAWVLVVVACDPLPTFQSSGDLPLRV KAIKPVVANSDLRPYNHDLFTVHLLLVDTSAMPSPNPSPSQMSTSPSSQPLLTDERHI ILTMVRDQQIFVDQAERLLIATASHATSAAAALAAYSSPSSGVSAVALTLVEVKATLS LDHGHTWVAADQVELNGHSNDVLTYLIPKGGVDKVQVTARFPAPNKHGQWSHRAFVTK LLLHPAIVRYTFENALGQVTSLDVAFQNPPLAPLPSRDPLTDWFFMDMDHLDDFTRSY AKVTRPPPLNDSTDTDPTLFVLHSCHKNGPTSSYSVTARTVRLWRSQLNHRLQHPIQS APTELEYLPLLAISEPNKVEWTACFDSTRSTLVLLRVKLMYENDVAEDSWVLDWPAVT ASHFPSS H257_12441 MARASAFPCILPLRQHPTSRSSMCMETLPMRLDPSSPFLTTTRT CMTSIRPQTRPCSRRRLCPSNPFAAFHPNSFTTAWVLVVVACDPLPTFQSSGDLPLRV KAIKPVVANSDLRPYNHDLFTVHLLLVDTSAMPSPNPSPSQMSTSPSSQPLLTDERHI ILTMVRDQQIFVDQAERLLIATASHATSAAAALAAYSSPSSGVSAVALTLVEVKATLS LDHGHTWVAADQVELNGHSNDVLTYLIPKGGVDKVQVTARFPAPNKHGQWSHRAFVTK LLLHPAIVRYTFENALGQVTSLDVAFQNPPLAPLPSRDPLTDWFFMDMDHLDDFTRSY AKVIYKTSIVIYIYIFISSIWSFPGFNNTFVFGRCCV H257_12442 MEPIAAGGRPGAVPGRTKIWAIFLGFWMVAATCRMGYMAGLFEV QGTAMSSSVHKDLRVSPDTMTFIVYSSMWEEGNYPARMEAIENTWASHLPRWFAVQTK TPSFHISSSSKRHSKRTLLVPPARDMDTPIKFALETVYNDQHQYNWIYLAADSSYVLP SNLVHLVQGLDPSEPHYLGHPLQLPTSGPYSWATLDHLIFNSMAGILLSRAAVDLYLE ALRLGCKSRCVECGEDLTIAFCLREQGVEAVDTRDPVHFGHTFHIFQPGSVVGNPRVN GSYDYTPDNCDWFQLYSLWPIHMSLDCCGSYTAMFQYVNADEIYAIHSLLMDVKPHVP TSSQDITDTQLVELILEHGKEIDELFPSYVHPTYWKVRRLLLHQVRLFHMGQRDEEND GAAVVQVRPIQDDAKASAGSHVVLHQEAHERRGKVVAAKTRTTM H257_12443 MEVVHHTPHIRRNDDPRHMGDIQWSAPELLLEDCDENIDVHSFG VVLTELDTREVLFAAEMVAMPRAEEMMKLFTRGAAGHVR H257_12444 MGCGPSKSPQQPYVHVPDANFRPAPVTPRQNYGGTNGSRPGVGT TGSRQGISYPGGSTASRTTTRTTSNGGTRTTNRSTRGPGDRIVGGNVPMKIHDDVHCG EYVELESFKHEYWLDPNDIVHTRAVQSNYMKTELGHYLGQPVLIKSLNFSASSDDLAK NKKALVSEVRSMTRIQHPNIVKFLGFYITEDHGLCCISEYMEGKTLRHLLNNKRQSLS WPKEKIAIALDIAAALVYMHSLSPRLIHRNIKAEKVLLTNRREAKLSGFGCARDRTYE NTMTAGVGDIQWSAPELLQDGEDYDEKVDVYSFGVVLTELDTREIPFANEMAAMARAE ITMKLVTGMLRPAPSAECPPCIRKIIHQCLQHDASLRPTSDRVLQLLRDARLQLLDLK H257_12445 MSRALAALRSRGLLAGLTHEHATSVLDAAVAKHQANPSSVKAVG VYCGFDPTASSLHVGNLVTVMALRHFQLAGVKPILLVGGATGMIGDPSMRSDERVMLT ADAVAANARHLVDSLKNVLDFDCPKVGAEVANNMTWHGHMSVIDWMRECGAFARVNAM LARDSVKRRLDAESGLSFLEFSYQLFQAFDFVHLRRHHNVLVQVGGSDQWGNIVSGCD LVRKTDGHEVYGVTVPLLTTANGDKFGKSAGNAVWLDAAKTSVYDFYQFFIRTDDADV GTLLKTFTLLDMDEIDTIVATHSAAPEKRHAQQILAEHTTRMIHGEVALKAAQVAAKV LFGGSLDGVDAVDILAIDAPRSSLALELVRGKRVVDVLALIRAVPTKAEGRRLIKAGG VYINNVRVDSDAAVIEASQVIEGRAVLVRVGKRNYHVLHISDAVYCS H257_12445 MSRALAALRSRGLLAGLTHEHATSVLDAAVAKHQANPSSVKAVG VYCGFDPTASSLHVGNLVTVMALRHFQLAGVKPILLVGGATGMIGDPSMRSDERVMLT ADAVAANARHLVDSLKNVLDFDCPKVGAEVANNMTWHGHMSVIDWMRECGAFARVNAM LARDSVKRRLDAESGLSFLEFSYQLFQAFDFVHLRRHHNVLVQVGGSDQWGNIVSGCD LVRKTDGHEVYGVTVPLLTTANGDKFGKSAGNAVWLDAAKTSVYDFYQFFIRTDDADV GTLLKTFTLLDMDEIDTIVATHSAAPEKRHAQQILAEHTTRMIHGEVALKAAQVAAKV LFGGSLDGVDAVDILAIDAPRLQSQHIYIYILPKD H257_12446 MAELDVLSRGDATGGEWLNALRAFSDKYGGASSFDWDRQVKHVA VANVWSQLQKQTTTSGEDPLLSLTVVKILMRGRDSIDVFLSVEAFELFIRVAEQATDE AAACEAIKCAINSVYKRPSFVADLLATQTTYDTLFHLTSLARPFAWHTLVWKCLLATF EHPAAIERVEHTLHGYACILPTLAFCVQCPHSLPHDGDRVALATELLKVLYVFASAWQ NAMCLPPAIESIADPAMALLSNVLALPNSQSLLELKLHAANVLLLVQHPALVDRFICY DGVGHTIALLEYVIMKVRVEHTQDPGVVVPVVIGLHQLAKNSVKGLSLLQQAIFQINN HTSAEIAQTTPLSELPMSPPPLVKGCLQQTLCTFMTSTNTDLKRCVSEFLFTLCRHNA LEFTQRTGLGNAVALLRLKGIM H257_12446 MAELDVLSRGDATGGEWLNALRAFSDKYGGASSFDWDRQVKHVA VANVWSQLQKQTTTSGEDPLLSLTVVKILMRGRDSIDVFLSVEAFELFIRVAEQATDE AAACEAIKCAINSVYKRPSFVADLLATQTTYDTLFHLTSLARPFAWHTLVWKCLLATF EHPAAIERVEHTLHGYACILPVVLTPHHEIYYLFNIGILYILSLQYWRSLRQRPPYFD GELRSGMYVDIGFLRAMSALVTPRRRSCRPRHRAAQGAVRICLCLAECHVPATGHRID SRPRHGFAEQCVGPAQLAVAPRAQIARCKCPLAGAAPGAGRSLHMLRRRRPYDCAVGI MKVRVEHTQDPGVVVPVVIGLHQLAKNSVKGLSLLQQAIFQINNHTSAEIAQTTPLSE LPMSPPPLVKGCLQQTLCTFMTSTNTDLKRCVSEFLFTLCRHNALEFTQRTGLGNAVA LLRLKGIM H257_12446 MAELDVLSRGDATGGEWLNALRAFSDKYGGASSFDWDRQVKHVA VANVWSQLQKQTTTSGEDPLLSLTVVKILMRGRDSIDVFLSVEAFELFIRVAEQATDE AAACEAIKCAINSVYKRPSFVADLLATQTTYDTLFHLTSLARPFAWHTLVWKCLLATF EHPAAIERVEHTLHGYACILPTLAFCVQCPHSLPHDGDRVALATELLKVLYVFASAWQ NAMCLPPAIESIADPAMALLSNVLALPNSQSLLELKLHAANVLLLVQHPALVDRFICY DGVGHTIALLEYVIMKVRVEHTQDPGVVVPVVIGLHQLAKNSVKGLSLLQQAIFQINN HTSAEIAQTTPLSELPMSPPPLVKGCLQQTLCTFMTSTNTDLKRCVSEFLFTLCRHNG TRSLSSYPWCQDL H257_12446 MAELDVLSRGDATGGEWLNALRAFSDKYGGASSFDWDRQVKHVA VANVWSQLQKQTTTSGEDPLLSLTVVKILMRGRDSIDVFLSVEAFELFIRVAEQATDE AAACEAIKCAINSVYKRPSFVADLLATQTTYDTLFHLTSLARPFAWHTLVWKCLLATF EHPAAIERVEHTLHGYACILPTLAFCVQCPHSLPHDGDRVALATELLKVLYVFASAWQ NAMCLPPAIESIADPAMALLSNVLALPNSQSLLELKLHAANVLLLVQHPALVDRFICY DGVGHTIALLEYVIMKVRVEHTQYVGHFNLYMSLYCAYIHRNLDFWPNTFRIHWILFN LVHTILYNEQYIYGFAKEVKRLSFDI H257_12446 MAELDVLSRGDATGGEWLNALRAFSDKYGGASSFDWDRQVKHVA VANVWSQLQKQTTTSGEDPLLSLTVVKILMRGRDSIDVFLSVEAFELFIRVAEQATDE AAACEAIKCAINSVYKRPSFVADLLATQTTYDTLFHLTSLARPFAWHTLVWKCLLATF EHPAAIERVEHTLHGYACILPTLAFCVQCPHSLPHDGDRVALATELLKVLYVFASAWQ NAMCLPPAIESIADPAMALLSNVLALPNSQSLLELKLHAANVLLLVQHPALVDRFICY DGVGHTIALLEYVVLNFST H257_12446 MAELDVLSRGDATGGEWLNALRAFSDKYGGASSFDWDRQVKHVA VANVWSQLQKQTTTSGEDPLLSLTVVKILMRGRDSIDVFLSVEAFELFIRVAEQATDE AAACEAIKCAINSVYKRPSFVADLLATQTTYDTLFHLTSLARPFAWHTLVWKCLLATF EHPAAIERVEHTLHGYACILPTLAFCVQCPHSLPHDGDRVALATELLKVLYVFASAWQ NAMCLPPAIESIADPAMALLSNVLALPNSQSLLELKLHAANVLLLVQHPALVDRFICY DGVGHTIALLEYVVLNFST H257_12446 MAELDVLSRGDATGGEWLNALRAFSDKYGGASSFDWDRQVKHVA VANVWSQLQKQTTTSGEDPLLSLTVVKILMRGRDSIDVFLSVEAFELFIRVAEQATDE AAACEAIKCAINSVYKRPSFVADLLATQTTYDTLFHLTSLARPFAWHTLVWKCLLATF EHPAAIERVEHTLHGYACILPTLAFCVQCPHSLPHDGDRVALATELLKVLYVFASAWQ NAMCLPPAIESIADPAMALLSNVLALPNSQSLLELKLHAANVLLLVQHPALVDRFICY DGVGHTIALLEYVVLNFST H257_12447 MALRTYTQLQHSSPKLTPSKGLAWEAGHLSTINHVPSLVDKCVQ ALVVADGSPMRKVDALFPRLPEELAQCLLAALVAHGKVTDDRLSAFLSISRRVIRLGG CGGIRKSVLRQIPFRCPQLTYLDLSNCIQVSNTVVRDILQGCTTLQQLRLDNCRHITD AAFQPDQSLFYPLRACLSLRVLSLAGCSQLTHSLVMYLLKAYRSLEELNFSRCKRITS ESMKMLLTSSMHLRLRVLNLSFTDISDDALSAVSLSHLTDIDLGQCKITDAALISLVR RCPSLEVVRVRWCSQVTDAGVTRLVAGCPNLRLLDLNNCGLITDLSLSALKACPQLES LNVAWCVNLTDAGVADLANSCASLEHVTLTYCTQLTDVSLGCLVQKCPRLQSVHVNGC TGFTPSAVAALPVPVVLPRPTE H257_12447 MALRTYTQLQHSSPKLTPSKGLAWEAGHLSTINHVPSLVDKCVQ ALVVADGSPMRKVDALFPRLPEELAQCLLAALVAHGKVTDDRLSAFLSISRRVIRLGG CGGIRKSVLRQIPFRCPQLTYLDLSNCIQVSNTVVRDILQGCTTLQQLRLDNCRHITD AAFQPDQSLFYPLRACLSLRVLSLAGCSQLTHSLVMYLLKAYRSLEELNFSRCKRITS ESMKMLLTSSMHLRLRVLNLSFTDISDDALSAVSLSHLTDIDLGQCKITDAALISLVR RCPSLEVVRVRWCSQVTDAGVTRLVAGCPNLRLLDLNNCGLITDLSLSALKACPQLES H257_12447 MALRTYTQLQHSSPKLTPSKGLAWEAGHLSTINHVPSLVDKCVQ ALVVADGSPMRKVDALFPRLPEELAQCLLAALVAHGKVTDDRLSAFLSISRRVIRLGG CGGIRKSVLRQIPFRCPQLTYLDLSNCIQVSNTVVRDILQGCTTLQQLRLDNCRHITD AAFQPDQSLFYPLRACLSLRVLSLAGCSQLTHSLVMYLLKAYRSLEELNFSRCKRITS ESMKMLLTSSMHLRLRVLNLSFTDISDDALSAVSLSHLTDIDLGQCKITDAALISLVR RCPRYIYIVNLLYTFKIRVVCPIHIEARWSCDWLNILQQPIK H257_12448 MPPRPWKAMMRCIIVISLVAAEYEGTERSPSLPSTDESTVVEKV RVISATHMSPPLESDAKATIKGIQVIPPPHNDKYASSQVHADRSMARVNGGLASTVAA DHEDATIPVAARHNPYTPDPLLPKSIEIAESSMESLPGPSHDEDSLFYELEEFEARRF GDVHPSEPDDERSFAASTENSLDVQDETMKVPDRHVASKSRPTEEAAASDTVQATDDG QPPHVKVTSQVSSGAASDVSSVGRTQHNFAKKRPSSSADDTVALGPSRAFGVHDHLVA DGETTTDSVASSSSSSLPSTPSTTAAPPLSHIARSYLSDLDWIRHLASVQDVYFQVLA ILAVLWVDFCAMARLCLSDGYDALGAGVGRLVVLYQWWKHDILSTNDDLQLLVRNAWG LALLTALGAAFGSVYVLLSQAPRVGLDLVATWDDTSKDTGRGVLLVTIVGTLVLLNAK WWYWATIAIATAFYYWSGNVTRTPPSNSSSTTT H257_12449 MFNRLRQYDVYTKGVDGIQEKTMGGAIITVISVAIVILLFLSEL GLYTTTEVVNRMHVDTVPQDAVMRIEVDITFLHEKCADVAMDASDIKGNKDLLSDSNI AAVPVVSDESEGCRLTGTVDVHKVAGVVSFAHAGSLNMFSFSDFLEFNSSHVVHRLSF GPEIPNMVNPLENVHKTVEKNGRYLPSYLSFIIRHLVIVVALYKYGLSIVPTQHTPLY GPPVQTYQYSVTEYTSEPSEEGALSFPGVSFMYEFSPIKVEYVESKPSFLQFVTSTSA IVGGVFAVARIVDSLIYSVSSRKMD H257_12449 MFNRLRQYDVYTKGVDGIQEKTMGGAIITVISVAIVILLFLSEL GLYTTTEVVNRMHVDTVPQDAVMRIEVDITFLHEKCADVAMDASDIKGNKDLLSDSNI AAVPVVSDESEGCRLTGTVDVHKVAGVVSFAHAGSLNMFSFSDFLEFNSSHVVHRLSF GPEIPNMVNPLENVHKTVEKNVALYKYGLSIVPTQHTPLYGPPVQTYQYSVTEYTSEP SEEGALSFPGVSFMYEFSPIKVEYVESKPSFLQFVTSTSAIVGGVFAVARIVDSLIYS VSSRKMD H257_12450 MADPVKREPIASSVPRTSTTPATVIASRSYPQQVPSSQEPARKK KATNQSSSHESPRPSSQPIAATLEMSPKSQCSNSSSTDEGAAAAATLDDDNDMNDDEE QIDVDYYSSTSENEFDDDDMGVDDDEDEEDIELDQLSLQNGKSCSVEGCTRGAKRGGV CIAHGGGRRCQADDCQCSAVGGGFCIAHGGGKKCTFEGGCENSARSRGLCPAHGGGTR CRAEGCTKHVVSGGRCVAHGGGRRCKADQCVSSAVSRGLCVMHGGGRKCKADGCKKHV ASAGLCRAHGGGRRCKMDACMSSAQSRGLCYVHGGGGRCQKKGCSSSAKKGGYCIAHG GGHRCKMDGCKSSAVSGELCRAHGGGKRCSIADCKSSAKTGGLCIAHGGGKRCMVDSC RSSAQRMGLCKAHGGGRKCNTDSCANSAVSRGKCIAHGGGKRCIIPGCSTTARKGGFC FAHGGRSLKDLKECDPARFVVGKITVEDGGDSDDTVVVLQHENNHPSPIKVQKTDDES TNQEEHPVGDEDMEPGENKAKEQKRPSGYSWERLQETVLLESKAQGIACEDEKSPADG DDDDGDNSFRLLVSAVLCK H257_12451 MHTLVVVPVLVAVCSSIMYMAAITPSGEAWNANWMAIAEAANGN VSWMQEGAQEGYQFRRFGENKTFCFSPRASLPFRVDSLNDPRQPYLVQYLTRFEQVNA WLAHVSPYVTPMQHFLGSIQPLMWGWSDLRVAIKSDSMSQLSFSYKTPPSNVCHILAH VQSSVYPSIRDALPDVVLYVLSKGAELANRYCEGCSKNGCPLACEASNSPAICMATLS PYQYPCVTVAGPETGLLLSQARVAITSVFYSVVSLQYVQNMGLGFALYFLSPLLAHSR LFHFVLGAAIGIVCSIALLLYQLYKQSQSTLRLLPGASFLQSASVLTTLAFPVTGLML LPTLYSLLQWALGLLFTFWSSDQVFGVPHLGKFYFVFFGAVGMAFVWWFQWWAPPNAQ DYATSSFQQPNDDDGDDDDVVVWRDLRRMEAEDLRLPDNQQRLARTLQLVAIMLLFQS TSSTLISTVVVAVALLWSVLEALYAHLYFWYWSETPGLHSTLISTDEYVAQGKTETEK ALAALRQHLKDHPTVAESVREDHEVRLRRFMHGKDHMDATGKAAKKDASQRSKAGARR WCVIQ H257_12451 MHTLVVVPVLVAVCSSIMYMAAITPSGEAWNANWMAIAEAANGN VSWMQEGAQEGYQFRRFGENKTFCFSPRASLPFRVDSLNDPRQPYLVQYLTRFEQVNA WLAHVSPYVTPMQHFLGSIQPLMWGWSDLRVAIKSDSMSQLSFSYKTPPSNVCHILAH VQSSVYPSIRDALPDVVLYVLSKGAELANRYCEGCSKNGCPLACEASNSPAICMATLS PYQYPCVTVAGPETGLLLSQARVAITSVFYSVVSLQYVQNMGLGFALYFLSPLLAHSR LFHFVLGAAIGIVCSIALLLYQLYKQSQSTLRLLPGASFLQSASVLTTLAFPVTGLML LPTLYSLLQWALGLLFTFWSSDQVFGVPHLGKFYFVFFGAVGMAFVWWFQWWAPPNAQ DYATSSFQQPNDDDGDDDDVVVWRDLRRMEAEDLRLPDNQQRLARTLQLVAIMLLFQS TSSTLISTVVVAVALLWSVLEALYAHLYFWYWSEVGLSISAIRYSWFFKYFM H257_12451 MHTLVVVPVLVAVCSSIMYMAAITPSGEAWNANWMAIAEAANGN VSWMQEGAQEGYQFRRFGENKTFCFSPRASLPFRVDSLNDPRQPYLVQYLTRFEQVNA WLAHVSPYVTPMQHFLGSIQPLMWGWSDLRVAIKSDSMSQLSFSYKTPPSNVCHILAH VQSSVYPSIRDALPDVVLYVLSKGAELANRYCEGCSKNGCPLACEASNSPAICMATLS PYQYPCVTVAGPETGLLLSQARVAITSVFYSVVSLQYVQNMGLGFALYFLSPLLAHSR LFHFVLGAAIGIVCSIALLLYQLYKQSQSTLRLLPGASFLQSASVLTTLAFPVTGLML LPTLYSLLQWALGLLFTFWSSDQVFGVPHLGKFYFVFFGAVGMAFVWWFQWWAPPNAQ DYATSSFQQPNDDDGDDDDVVVWRDLRRMEAEVRLHVDIYIIPSSITI H257_12452 MPPKKAKKKPKADGSGEANDVQRRLDEAEAQLREEAKLIDRLTR ENQSKQEHIQSIEAAMANEIEKHDAIMQVFKDRELTQKQDAEHCIEVLNAKICDLHVR IESCSTLESVNDSLRLRVDELRRQLEDESKSHNDEIHAMRVDMFNHKMALEQTFRKSL QELDAQYLKKAFNAMADESKNALVANAKLKDELQMQSIGVENLMHRFKTQADQYHKMK VENEILEMGSSLRLKEISLLKASQHGIDRAVHNIKEEFAWKQSQVQSATTKLVEELKD EAKTLRSLYDQTQKRCQKWKSRYMALASSNQQLQQQQQHQRGVTQRTDEEDEEAGDPF HHHANFHSTRGSLEAGALKRRSKLHGDGFVAPPAMQGIDPKELWSASFAQDHDDACSN RSATAPLRPTKYDVNVPLKAIKPEPAIHTLVKLKKSHSQTTISRRPATTMR H257_12452 MPPKKAKKKPKADGSGEANDVQRRLDEAEAQLREEAKLIDRLTR ENQSKQEHIQSIEAAMANEIEKHDAIMQVFKDRELTQKQDAEHCIEVLNAKICDLHVR IESCSTLESVNDSLRLRVDELRRQLEDESKSHNDEIHAMRVDMFNHKMALEQTFRKSL QELDAQYLKKAFNAMADESKNALVANAKLKDELQMQSIGVENLMHRFKTQADQYHKMK VENEILEMGSSLRLKEISLLKASQHGIDRAVHNIKEEFAWKQSQVQSATTKLVEQCLD GRRNSRTKPKPFEACTIKPRNDVKSGNRGTWPSHHPTSNYSSSNNTNVALRNAPTKKT RKRATHSTTMQISTPRGDPSKQVL H257_12452 MANEIEKHDAIMQVFKDRELTQKQDAEHCIEVLNAKICDLHVRI ESCSTLESVNDSLRLRVDELRRQLEDESKSHNDEIHAMRVDMFNHKMALEQTFRKSLQ ELDAQYLKKAFNAMADESKNALVANAKLKDELQMQSIGVENLMHRFKTQADQYHKMKV ENEILEMGSSLRLKEISLLKASQHGIDRAVHNIKEEFAWKQSQVQSATTKLVEELKDE AKTLRSLYDQTQKRCQKWKSRYMALASSNQQLQQQQQHQRGVTQRTDEEDEEAGDPFH HHANFHSTRGSLEAGALKRRSKLHGDGFVAPPAMQGIDPKELWSASFAQDHDDACSNR SATAPLRPTKYDVNVPLKAIKPEPAIHTLVKLKKSHSQTTISRRPATTMR H257_12452 MANEIEKHDAIMQVFKDRELTQKQDAEHCIEVLNAKICDLHVRI ESCSTLESVNDSLRLRVDELRRQLEDESKSHNDEIHAMRVDMFNHKMALEQTFRKSLQ ELDAQYLKKAFNAMADESKNALVANAKLKDELQMQSIGVENLMHRFKTQADQYHKMKV ENEILEMGSSLRLKEISLLKASQHGIDRAVHNIKEEFAWKQSQVQSATTKLVEQCLDG RRNSRTKPKPFEACTIKPRNDVKSGNRGTWPSHHPTSNYSSSNNTNVALRNAPTKKTR KRATHSTTMQISTPRGDPSKQVL H257_12453 MKLPDEALLRGRSKAPLDDVEVLYLSGCALDGLANLDACVRLHS LHVAHNRLVDIAGLRSLRTLWHINLSYNPRLHDLSPLADFPALGFLSLEHGTFTFDDV AVLRDMHIADLRLRGNPALMLVVGQDADKDVSTYRLKTVALLPNVWALDGHYITTDHR LVALDTFGEFEYATTQGRTDRYGSTAMTWQLLLPPSNPLADHLVHLVKHEPTSHRILR ESYRLKALLHMYNHLSTHANAHLYLAPKSSAAAGGSKPWPVVPADDILRMPPRARLNL AILLAATLDFPSLPPFLLLEATTINLVGHLDPANIRAILDAPPYVATALLFCLGEQGL KDNLSLPENDRKLWSSLPVVLSTFVDAKSIDFMDPITDDQTQLFTRRCCYAVILLSRA PSFPTHGVDTSSSVASAVATSLQPLLDKAKMRVQDLFPDAAGGMSAWIGSQKRLDGIR SGHPGQLPWNKPSNDRSNGSIKYPRPWVTPAIAANHDSNEDEPGNLNPLEPQQVPSEP TRTLLPTANSMNLHSRSSPQLLHDASSVKRAKLHRDAHGYHKEGVPRAFGVPNMDLSA QDVIAATAAVSMGSPSLHRSASKPVELFATNATWNANYVLAPPALVHAQNVWMDKNQQ QTDGWSRMGDLPTYSIDATTHLVAQSRPLGPTRARAVMHVTTSHVRKESIMVENEEDG NQPHDEAQQVRHDNDGQMMQLLQRDLALLLGKAPATTDDAPSQSCFMTQSSSHPKLLP STTRNQEISSTISQPRVSPFQLDMAPVTTATATKPVKKAGFKTWHAVPAKSQLIISSP SSHPPPIMPTNMRGKKSPSLQKSFTTDVASYAALPSLPSSPSSSKTVRSALLPRSASL PVL H257_12454 MSLHIDTDDSIPRVRWNENDHRFHYTVPVAEQPGKEGYVILHEV GPNESGALLSPKDKSRLTDMKTANDLIHDLEVAKKYRDTIKFLEHKRSNHKSNKELYD ERATSQGDVVTNQALVHEKKPLAKQVVSKAQPHVTHQRQLKQPAKKSF H257_12455 MFGFNVNKLKPSLKMAVHRIGIVKNKKAITSKAQRKEVAKLLAD GKEEKARIRVEGLIREDFVIEAYEIIELLCELISERTPLIKSERECPYDMREAVCTLI WAATRTEIPELSEVKNQLTKKYGQDFTAAAMRNVDGCVNERVIHKLSVQPPNAYLVIN YLKEIAKQHNVAWVPDESQIVDPLAPMAAPTGTSIGQAGVSGPDFAAIYAEAPPPGRV PTAFPTVPHSSPAYIQPPSAPPKTVDAYAPPPAAAAAPPVNDSAPATNDVPDFDELAS RFEKLRRKN H257_12456 MLLNHGVYLLGGGGYTEDGAGGAGQQRVPRGLPPPPPEGVGGVV TCRLVWGHGRNSSIGPVKVLGHFTLDAIGDGHAVVGLATTCIIITHNNNLLFVVRVAA IHGQNGRRHHGGLLRLIRYITGFELDQWCQLDQLQPQRILCQSDLVHRHTKLDHPFSL SWVE H257_12457 MDRIYDMIVAAMDFVWSLWLSSCTSSSSPAGDQRGLCGDAFNPS CATDILSPTSPIQSTTPMTTKVEPVVEEGEPLGMSSPLKVLMAQWGDLEDVINTMPTP TQSIDELIAALEAIDFSSMLETPQDSWPHDNEDHCNQGERLSVSSVIDEDDDAPFSVA FTMQGILSSWRDEVVGSDWLHNSKRMFVRGFDWSCKMLCESHLMPFIQCAINLLGALL P H257_12459 MQLRRSSSAAKWACKHDACPFHVSVSGRVFISTMDLSHNHALNH LGIGRRHEGITHNNK H257_12460 MSAKPNKIKCMVDECGFITSYTHFPSHVKAKHKHVPEHRNIRKI WGCEVNDNGEMQMPGPSTKCNDAKPIFDPPGTRSLINQADHDISIPLARNNAVGVGTL RLLLFVSRKLGGNSNELLQPFLGYRDDDSGDFEDGEQPSGDDYAVDGDDNEQDELVPP VKRAVSSVSDGAELEAPTPKRPVPLDDDAMVDRLLARMAKQLL H257_12461 MPFGFMVRAKHPKRLDRMIYASRAMMATVKQPPSVRGARTATCR PKIAAFIARSFLVNSTKMRCDAILSSYPDGWRSRQDNSQRTAVIDEINTVRVVNVSTP TKNPPITHAVVVEMAVAVDQPINKLMLPIAMNTEAASPNVVMTTAVILTVATPKAAIS NEDMPKAANKELRLRADTINVMKVRFATTTSHSHDRPAKSFNDGYHCLAPPELYPVQN HR H257_12462 MKHGSDQNATANGHLQKLSIVVQVPSRLSNDAHQPEYDPEGFKG VVPKLTHEKRHNNVLRSVAGTLHFASLVYLVISTASSAAAPSHHLRLHHLRGLDNFDE WHFELTTIVLQGEGLTTQATQCAGVDAKWFIKELTRASRVLDADTRKLRRHIDDEVLH PSSEPPLPPPVLDDVEPKLIDPMMEYLTEHRLVKFLEARAAKASLEELIKTEHADVER SIALVYASLSESVRRNAAAANLVPCAHCIIEWPRGKFCS H257_12463 MSDPSFKDKRVEYVVAFGELMGQGKIPIWIDEAKLQLVHLPHQG TGNNLHVIGAMSSANFFFCSHKRGAYKHQDANLWLREMLRAASQHFGRLDDIVVIADN AACHSMLEQVFEEEEYDSATLLCLSSSSPMFNPIENLWSEFKAHVKTLLRERLAAFMG PPPDGLTWEEFRMQYLEHMAQEVIRGIDIHRLNRYALRLEYFYGRAERMEDMEVGM H257_12464 MVSLQIRRLILVGVCQMGSVCEPTDSEVCRQVHDMLDEKVHRAR DSEESGKQQRLSRPSIVADQVWVDYYEFQRLYRHGKHGMTTRQRETEQRVSIAHQRVF GLDVVDENEAWRQDGVQEHNRSVRHYLGWVDHRAQHFVRLSTLAKDHDEVEHDKHVVK PVGGGALGELTHNYSGLRFGTESAHCHRWRRGLQRLPQ H257_12465 MGLDSEPGRRKASVRRPLPLLQAIVFVLVITCVVVNFSYFSTRV EPLGPRIALSKTQHAMRGFVVPMFDAMIPIGVSLIQELRRYGNNDVIQVYHCLGELSP LSMQLLHRADDFIEVIDVCHEYVTQGNLTLEQAKDFRNFYIKPLALIHTRLEDVILLD ADDILFSDPAALWNVPAYKATGTIFFYDREINENSYLNGKHRWTNDAGVDVEENTLQE MVRMFESERFGLRRNQPSDHVRNSLPFNSQGAHEQDSSVVVVDKRRHHIAMDVLWFLI TAWRYRFTYSWGDKENFWLAYELSQSPYYFSPHGTSAAGGRQGHDVQTVCGDIAHFFP LDGRDDILHINGNKLINPYTKIDAVNGYDHSFQASKLTDLVANLPGYFAGLRRRGPTP IMQPNSSCPQECMYQRGAVAVTNAQRQSMVQRISDTFEVAAAVDRHVVVQQGVVLPIV TDADAARAVSSINQLRRVGYQGWVQVYHCAGNFPSHVLQTFVRIDAYVQVVDACQGFI LNGNLTMDQIPDFQNSYLAPLALIHTSMSHVVVLNVHAVVLQDPTAVLWSDVLYSETG TVFFHDHHDATSKSFLNHPATYIDERGRSVAGTALEAVVHTFNYSKFNLDGPRTLSPS LKASRAWNHQSASRQDNSLFAVDKSRADKAMQVLWELITTTRFQHGYSKGAKENVWLA YELSQLPYAFSKFGASYVDGASPWTAQYGPAKDDELLVVMTALSDVASIDDLPANVCR RRLVDTTDDATCLDQHNEGAQRLTYSQVQALQVRWSNTHPRHAP H257_12466 MIQIRPLLLPPTSFSRHDARGDMVRFTWRSSTSYGLTTKRATPT RVEGKAKPTEKGTSVRAMGRVASNVIAGMLLFVPLVTLVVLVTEGMLDRRVVSFNAQT SDYGWADYGKSCVLTTSTNGWALHTCDANTAAVAPLNVFDTIGQVLSRQWARELANAS GTLHVTTCAMGGTAREGWANLQFIAGYDYFPECLPPLPQDVAGMAMLETTTRDDDGVY ALIVYADLDPDMTPYTHTNSDGTVQKLIANPQRTLISVDGIVENDPTGENYIIYSRPL GPRYLVTGYCKSEIEELSVIHKDWTVPGWSQGKYAKHPVVTGWACGHTVSKATELIAL QVVFSLCTLCLFAGDIYITLQGLQGVFTNKPVLTYQVLAGLERRKLLVAFILITTMPG LLYLDVSRIYFSTQNGFRIWCLSCLMFGTFHAFGFIFFLRIPCQLSHVVHYSAPTCLY GAIIGTAVACCQQPIYETAYHKFYAASPLLMLRVFGQDWSSGSYTVDGTPPVIVYLCE QILVPICIAFVVSIVVAVVDRFKLPKSLFMSIAWCRTNSFITHAQAPNWITALPLNQT NAIKIGNKMYCKPSTQALMGFATVMARDTPQVAPTAKTQSTVDVSVPIQVVNMYALVP ALWFPTLIRQYGTIHSNEFTATTIQANLPPKKYKHTRGACAK H257_12466 MIQIRPLLLPPTSFSRHDARGDMVRFTWRSSTSYGLTTKRATPT RVEGKAKPTEKGTSVRAMGRVASNVIAGMLLFVPLVTLVVLVTEGMLDRRVVSFNAQT SDYGWADYGKSCVLTTSTNGWALHTCDANTAAVAPLNVFDTIGQVLSRQWARELANAS GTLHVTTCAMGGTAREGWANLQFIAGYDYFPECLPPLPQDVAGMAMLETTTRDDDGVY ALIVYADLDPDMTPYTHTNSDGTVQKLIANPQRTLISVDGIVENDPTGENYIIYSRPL GPRYLVTGYCKSEIEELSVIHKDWTVPGWSQGKYAKHPVVTGWACGHTVSKATELIAL QVVFSLCTLCLFAGDIYITLQGLQGVFTNKPVLTYQVLAGLERRKLLVAFILITTMPG LLYLDVSRIYFSTQNGFRIWCLSCLMFGTFHAFGFIFFLSILDRIPCQLSHVVHYSAP TCLYGAIIGTAVACCQQPIYETAYHKFYAASPLLMLRVFGQDWSSGSYTVDGTPPVIV YLCEQILVPICIAFVVSIVVAVVDRFKLPKSLFMSIAWCRTNSFITHAQAPNWITALP LNQTNAIKIGNKMYCKPSTQALMGFATVMARDTPQVAPTAKTQSTVDVSVPIQVVNMY ALVPALWFPTLIRQYGTIHSNEFTATTIQANLPPKKYKHTRGACAK H257_12466 MIQIRPLLLPPTSFSRHDARGDMVRFTWRSSTSYGLTTKRATPT RVEGKAKPTEKGTSVRAMGRVASNVIAGMLLFVPLVTLVVLVTEGMLDRRVVSFNAQT SDYGWADYGKSCVLTTSTNGWALHTCDANTAAVAPLNVFDTIGQVLSRQWARELANAS GTLHVTTCAMGGTAREGWANLQFIAGYDYFPECLPPLPQDVAGMAMLETTTRDDDGVY ALIVYADLDPDMTPYTHTNSDGTVQKLIANPQRTLISVDGIVENDPTGENYIIYSRPL GPRYLVTGYCKSEIEELSVIHKDWTVPGWSQGKYAKHPVVTGWACGHTVSKATELIAL QVVFSLCTLCLFAGDIYITLQGKTPKYMHGSATTWGYCVGLQGVFTNKPVLTYQVLAG LERRKLLVAFILITTMPGLLYLDVSRIYFSTQNGFRIWCLSCLMFGTFHAFGFIFFLS ILDRIPCQLSHVVHYSAPTCLYGAIIGTAVACCQQPIYETAYHKFYAASPLLMLRVFG QDWSSGSYTVDGTPPVIVYLCEQILVPICIAFVVSIVVAVVDRFKLPKSLFMSIAWCR TNSFITHAQAPNWITALPLNQTNAIKIGNKMYCKPSTQALMGFATVMARDTPQVAPTA KTQSTVDVSVPIQVVNMYALVPALWFPTLIRQYGTIHSNEFTATTIQANLPPKKYKHT RGACAK H257_12467 MDAGIIAAFKSHYKRRQMDHVLDIIDDPTVESGREPRNLYAVDV LTAIRWSVSAWNCVTSTTIRNCWAHTGNEFKILNWPSMPRPKGDRAGVMSKKRPLREK LAIVEEWEKSGGSKYVVAKKYGLQSSQITRWARNKATLVERASAHPNNLTLHVGRCVT NPDAEEKLLAIYRHRRENDLPMTANILIEQVLTIDPNFHHGAKKALTSWVYGFIERNK LAVSRSKRNSVTEVATRRASAKPRKSTTSTTAARPKAPIAGDDGKDGQTSKQAKGDVI KGNHTEENSLLQPSTDTAEDLSAAACRHVRLFKDALGSEYYYAALDLLSDPAVARTFM VMGDDDRLGWLQWKLTKAASS H257_12468 MKTSELTVKQSSLRKTPFQEKHGVMYGLHVRSRDSKGEAVSVQC RFCVHFGREERQGRALRKTLTTIKVYVPPYRPENYRHHNATQHPKMWVAYQAMSPEDQ STFFATSTRRSTALEPANPSPHADGASNQVAALSDHVIGMNLSKDACHQVQALKEALG DRYFDAVDMLTDATAARAFLVVGTADRVGWLQWRLARQDVTSSTIS H257_12469 MKTTSFALVAYVAAAVNAQTTNSPTTTSTNCPTKLDPSNALVKT TGLPPDLVSELPSSWVGCLGTLNENTITADVTATLLAQPTCLAAAPYLIQLMQAFQSP TGGDLVPKNGSSTKDDDNIKVSSSYESYFLSFPDSDVQKICTPLTKSVPCLKAGILPA IFKQINSNPCCSAMMAEVKALTGETPDVLLANLVEKATDVVCTIQTPGFNGAANQTCG FSWVKSFTRGNTNTSDASVVALTGRGLTALQIPNDQGCAAVNGNSFTSTTGAAVTTLF VKPNVPGSCAKPADALLSWVRKFPVLTNATYSSIRLLDLFEDGKCVKGSLIANAVDID DHIYRDIGISKANFNASCFHLSNGAFQTCAFSDSIPQNSTPAATSAANRVAVVSMVAS SVALLSLAW H257_12470 MDALKKRRTEAAVEAVRSKKMNISEAAKEFRLHRMYISRRLHGD VGLFSMRGQSSLLTPHQEQVVLDTARAKIRQHRRCLSPTELATVMRECVQASDSSRKL PKNFPSLRQVRSFVDRHRKNDPSRQIVTGTDDTKQPVPGLPWAPDAVKAC H257_12471 MVVTFATAPAQQGFVFTWSTNGGRIAAPRHGIKALMIDTHVDWT AAFVTTGQSFKATEEPVGESSRLASVWTVSDHAVAKDRAAKHIGCEYAEARIVPAIAF TSIAPRQRSHASGSPNICWAMSILLSRYIAYNGLVASLGNGQ H257_12472 MATATTTPPPSLDGWLGSRDPRSYRRIFVYYVLNWVFAVSLWVG FVVCLVLGVVTCGLLFHFRGRLRRRRVVYKLVAWMWTQEISLMNLVSPTSLYHVSHWD LCRATVGLSLAYFVVWKACVGWLLTSLPLFLFVLDSTYLSALNINMDVFSTRLDAFHP LVARLGILAYFVLCLWACDLALTVLAQMSSTAYAKIFEAPELFRRDLQATFPHSPLSF PLPPSLHHHHHQPARPDVDGRRQLVHRSLTTYSRVSSGRTCMADTLSPVAMVDVTSKA TGTSTGYVAKKSSPNAPLALSLHESEQVQDRTLLCVPEKVRVPQLRVATAAPAYDCLS PTQPPSSYETMSPASSPAVLDPMTRPRVSRALPHPPYPSNPSSNVEDAHFAMYGPRTI RPSHSFSLHVWVFADTQRADMHELAVDTMGHRSLSRETLLQNVSHGTLIQATLDVPRG FNLLHPLSASQSMVWTGDLHRLAFRLQSQGHRQSDAGHVLFKVTLVVGSVVCSLRSYI LVHTMDDSCRRPVSTNQTDDDDDDDVDDDMVQCLESVLEVLDDTYQEIPYVDLDMHEW IGSGAGGDAYRARFRGQEVVVKTIRASEYGSSGDAIQAAFQHEAAILNRFGHHPHMVP FVGACSDLSTPLSVVTSFMPLGSLESQFASSTLDKDLLLADVAAATYTIHATGFVHRD LAARNVLVDDNGRGILSDFGMCRRVGHAGGAFVQHGSGPLKYMAPETLQPPYGFTAKA DVYAFGVLAWETLFESKPFANLTPLQAAVRVLEGQRLPMGEELSDTHRELLTACFQDN PTDRPTMQWIYGTLRKAQQSTWLHNDGDDDSGNKRPPSAITRRPKREVSS H257_12472 MATATTTPPPSLDGWLGSRDPRSYRRIFVYYVLNWVFAVSLWVG FVVCLVLGVVTCGLLFHFRGRLRRRRVVYKLVAWMWTQEISLMNLVSPTSLYHVSHWD LCRATVGLSLAYFVVWKACVGWLLTSLPLFLFVLDSTYLSALNINMDVFSTRLDAFHP LVARLGILAYFVLCLWACDLALTVLAQMSSTAYAKIFEAPELFRRDLQATFPHSPLSF PLPPSLHHHHHQPARPDVDGRRQLVHRSLTTYSRVSSGRTCMADTLSPVAMVDVTSKA TGTSTGYVAKKSSPNAPLALSLHESEQVQDRTLLCVPEKVRVPQLRVATAAPAYDCLS PTQPPSSYETMSPASSPAVLDPMTRPRVSRALPHPPYPSNPSSNVEDAHFAMYGPRTI RPSHSFSLHVWVFADTQRADMHELAVDTMGHRSLSRETLLQNVSHGTLIQATLDVPRG FNLLHPLSASQSMVWTGDLHRLAFRLQSQGHRQSDAGHVLFKVTLVVGSVVCSLRSYI LVHTMDDSCRRPVSTNQTDDDDDDDVDDDMVQCLESVLEVLDDTYQEIPYVDLDMHEW IGSGAGGDAYRARFRGQEVVVKTIRASEYGSSGDAIQAAFQHEAAILNRFGHHPHMVP FVGACSDLSTPLSVVTSFMPLGSLESQFASSTLDKDLLLADVAAATYTIHATGFVHRD LAARNVLVDDNGRGILSDFGMCRRVGHAGGAFVQHGSGPLKYMAPETLQPPYGFTAKA DVYAFGYVAEGSYCL H257_12472 MATATTTPPPSLDGWLGSRDPRSYRRIFVYYVLNWVFAVSLWVG FVVCLVLGVVTCGLLFHFRGRLRRRRVVYKLVAWMWTQEISLMNLVSPTSLYHVSHWD LCRATVGLSLAYFVVWKACVGWLLTSLPLFLFVLDSTYLSALNINMDVFSTRLDAFHP LVARLGILAYFVLCLWACDLALTVLAQMSSTAYAKIFEAPELFRRDLQATFPHSPLSF PLPPSLHHHHHQPARPDVDGRRQLVHRSLTTYSRVSSGRTCMADTLSPVAMVDVTSKA TGTSTGYVAKKSSPNAPLALSLHESEQVQDRTLLCVPEKVRVPQLRVATAAPAYDCLS PTQPPSSYETMSPASSPAVLDPMTRPRVSRALPHPPYPSNPSSNVEDAHFAMYGPRTI RPSHSFSLHVWVFADTQRADMHELAVDTMGHRSLSRETLLQNVSHGTLIQATLDVPRG FNLLHPLSASQSMVWTGDLHRLAFRLQSQGHRQSDAGHVLFKVTLVVGSVVCSLRSYI LVHTMDDSCRRPVSTNQTDDDDDDDVDDDMVQCLESVLEVLDDTYQEIPYVDLDMHEW IGSGAGGDAYRARFRGQEVVVKTIRASEYGSSGDAIQAAFQHEAAILNRFGHHPHMVP FVGACSDLSTPLSVVTSFMPLGSLESQFASSTLDKDLLLADVAAATYTIHATGFVHRD LAARYSLIMTTWL H257_12473 MASIRTTVGNEGAGGEAPGTAAATSTALTSAIPGACNATSFLAR RDNANDSDLSDGEDSAPLIAKRVVVTPDISTPAIAIPSDASSECDYDSEEEARLSSRW TCQTIRSKIQKFLATKTMTQTAFLKTIGANSNSFGRFMKLKGSFGGSQNSTYWGSLRF FDRLDKKTKKEKAAAKASGAKAKTAVKRKAVDTDKDDDETPAAKKKKFLARLDQIEAV ELAEDIKVFDDCDVVRDNIQAFLLTKVMTQTALSAHLEVYVAVLSKFLACKGKREDVG ITNKRKKVETDRPEGYSLKRDPTHFLVHISEINPKVRW H257_12474 MASIISTPKGNEGADAAAAGIAIGTATASTTPSTVIPDAYKTTS FLARRDNANDSDSDHSDGDSTPAIAKPIVATPAVVTHATATPANALSEDEYYTDDDET LSNRWTCQTIRSKIQKFLATKTMTQTAFLKTIGANSNSFGRFMKLKGADGGSQNSTYW GSLRFFDRLDKKTKKEKAAAKASSAKAKTAVKRKAVDTDKDDDETPAAKKKKFLARLE QIEAVELAEDIKVFDDCDVVRDNIQAFLLTKVMAQTAFSAHLNVSVAALRKFLACKGK REGVGIVYKAAYRFFEKTRLLDNAPKTNKRKKVETDRPEGYSLERDPTHFWVHASEIN PKVRW H257_12475 MTSSPVASVFPQQQQQQLPNNYHVPGTPTDTLLARHLQQHHGQQ QRHHHLHLQHMTNNNQERQHGTSTPDESDESCSSSSPYKQVKHRKVGVPKFLRYLFQI LDNEDPHIIAWSADGTSIQILDMVSIAHTILPKYFKHSNYASFQRQLNYFGFRKWTKS QTTICTFSHPEFLRHRPDRMARIKRKNRPDRMGTTRHKPPLAATASSSTSPFPTTSWA DAAMDPPAAIPRHGSYVDLKGMLPQGRGVGGFDGPFQDIPPLHSAGTMTHLMEQVHFI TPLHQYHRPYDHTRPHIQVPPPADAAPMWYYYNPT H257_12476 MKVLRNAISGSRNRYTQDGYDLDLTYIHDRVLAMGYPASGVEKT YRNDVSDVSSFLNMKHQDKYFIYNLSERHYDGHKFGDRVDECGFPDHHPPPLQVLVDI LNRMLDWYTRDPDNVVVVHCMAGKGRTGVVVTCFLIVIGFFEDEYGISIYHKSDQDLL EYVQMVNGIFWKKRGQGVRYPSQARYVYYFTKYLSRLPYRPDRLGYRLPPLPRATKLF LRQIVMTGMPYVDAGGCSPFLLVHPAPSEHVPSKLLYNSAWDTPQLQSYTDPHTTLVF RTECVVEGDFLVRIFHANATAVLGKKESQLCHFTLRTDFVTSRGSIVLTKADIDGATD HKRFPDHFSVACEFEPTTNLHSHPHNQMLPDLVPHDVRQKECYIKRGWLFKQGGFVQN WKRRWCVAKDGTLTYYKSDTNCHANGSVALQGATVNRWQCHKFTAKVGHPCHFFKVEP PSSAQKRRVYYFGADTESDLVEWMRVVQASASPQLQRSQSAALIGLGRHSHHPRCQDN SSFVSTTSSCTGHNNNNPHTPKTDQYYSNRSSMPTTSSTATTSSSSGFNTGSSTGRVM ARLSFDDRMARVEATKKATVVSTYEYSTEELLAAADLQAHLQDTGQFVAFCEMPRVDA VQLVLLLAHDMFPSLLDAVDEDSAHMVDMVAGRESFK H257_12476 MYIYACSHYDGHKFGDRVDECGFPDHHPPPLQVLVDILNRMLDW YTRDPDNVVVVHCMAGKGRTGVVVTCFLIVIGFFEDEYGISIYHKSDQDLLEYVQMVN GIFWKKRGQGVRYPSQARYVYYFTKYLSRLPYRPDRLGYRLPPLPRATKLFLRQIVMT GMPYVDAGGCSPFLLVHPAPSEHVPSKLLYNSAWDTPQLQSYTDPHTTLVFRTECVVE GDFLVRIFHANATAVLGKKESQLCHFTLRTDFVTSRGSIVLTKADIDGATDHKRFPDH FSVACEFEPTTNLHSHPHNQMLPDLVPHDVRQKECYIKRGWLFKQGGFVQNWKRRWCV AKDGTLTYYKSDTNCHANGSVALQGATVNRWQCHKFTAKVGHPCHFFKVEPPSSAQKR RVYYFGADTESDLVEWMRVVQASASPQLQRSQSAALIGLGRHSHHPRCQDNSSFVSTT SSCTGHNNNNPHTPKTDQYYSNRSSMPTTSSTATTSSSSGFNTGSSTGRVMARLSFDD RMARVEATKKATVVSTYEYSTEELLAAADLQAHLQDTGQFVAFCEMPRVDAVQLVLLL AHDMFPSLLDAVDEDSAHMVDMVAGRESFK H257_12476 MVNGIFWKKRGQGVRYPSQARYVYYFTKYLSRLPYRPDRLGYRL PPLPRATKLFLRQIVMTGMPYVDAGGCSPFLLVHPAPSEHVPSKLLYNSAWDTPQLQS YTDPHTTLVFRTECVVEGDFLVRIFHANATAVLGKKESQLCHFTLRTDFVTSRGSIVL TKADIDGATDHKRFPDHFSVACEFEPTTNLHSHPHNQMLPDLVPHDVRQKECYIKRGW LFKQGGFVQNWKRRWCVAKDGTLTYYKSDTNCHANGSVALQGATVNRWQCHKFTAKVG HPCHFFKVEPPSSAQKRRVYYFGADTESDLVEWMRVVQASASPQLQRSQSAALIGLGR HSHHPRCQDNSSFVSTTSSCTGHNNNNPHTPKTDQYYSNRSSMPTTSSTATTSSSSGF NTGSSTGRVMARLSFDDRMARVEATKKATVVSTYEYSTEELLAAADLQAHLQDTGQFV AFCEMPRVDAVQLVLLLAHDMFPSLLDAVDEDSAHMVDMVAGRESFK H257_12477 MGPKKDKKKGKDKKGADESADNGGVLTPEDQVKMLGCVNRSLQL QLADRHEMAVKAMEAKKELQGRVTDLQKDFEMGKTQTFGITQDMTRQYKSMQEELLNR INALENTNTELRDQLELARVHLEEVKREKDGVIGSKNSEIQELKAKMEEMAAEFGDML KETLDRMRERIEISNTSYDNESGQPMMRRLEEFNLSGSPSKSMQPVK H257_12478 MESSCAKCSSSIAASDQFCIYCGTAVDRTEASTTAVDRTEASTT ASVASPVVEPVVAEAVPVPSPPASAPTPARVQSSSNPTAANVPSPPKSAPATSVRVAE SMRKISKSTEDRRDSIDGYAHNESSLAQIADLQRLWVPDDFSSDCMDCGKTFGFPQPR RHHCRVCGQLYCRDCVSNKCTIPSSFGYADKPQRCCKSCTISLQMKAIKTPADVFSQR EQAQTRAAPTPKGASSSAASVRAAPPASIRAAASTVIATNRIKSTANDASSGVNLNDF ASKNPTQVARAEQKECQICFRQFALGRRANHCQRCTRSVCNGCSQGQKPIPELGYATP VRHCNNCMTKPPQLASLETEGVAEPLPGFEFLSKMDFKIAVVDLGGDTSETYKVDVYF EPNAAHVKALGETLRPSDIEAAKEYAMTRKRSITDFEWLAAALGDRVTAKALPNFPEK RVPRGAKKGQSLQVFLAGCLVHPLYRSCDALKAFFGLTNEQFRAFKNAGANTELKVAP EYTDVLMWLQLKMEQDQMEQKLATLVQRRKDNESRVSKQQARKKAYEGRKVNQMARRT NAQARYEALVARKESQLARHGREKDRLQTQIESVNIVFDDTKDDEVVRAVEEEVRQKE KVEFVKSKDAFQTDTTEWNKDMALWSRQRADWSDHHNPPVSKQIANEWLIKAFGVFHR FTAEGNTAAIPKELANLHAKVEQLQDEEPSLLEKEGQSLDDEWSELSKERDNWAHDRS NMKREDEMCADEDVRYKHEHEHVRQYLECRQTKINGIEADLAALDMEIKSRAKTYTQR KLRHEALDKEYELEWKKNQDLRMGYTAERMTAHSERIARGKKRCESLSEQLARQQKSS RLLLFKRAAMNEERDADTTVFTEHKDGCKSALDAAVSNLAMAPEYVTRLDSDVDINKQ ETDDILASNYRTPMEGDVVDDRDPFMNDVRTRRRAFQVELDAQQNHLAAEFGVCESLL RRISGFITRLNEETAIAVTEDTLIVEYHAFLDSEKKLIADEEACREQKKAYLTSLLTD AGNWVYDALHDHSKRKKREADRLVKQALRASELQRLVQHFTHRVIEQEERIMRQKQRI LLGEHKLEMLKSSDSWFLHVTVHTPDIGKHDAKCLEMARKEREDDILQGGKLLAEDDG DTTSVTAELAKSKACADEKSVKRDVWAYVEEVYNADKPQEKDEDMMMTSQIRGLVAQL GETFEMLTNRLAEEEESLEHAHSILVGEVESIKHFMERIESEESALSATEKNSLQKES NVRKSESDLVEQRARALSSNYKSIASEHAKLPVTFDTVRKTRASREIPLKLRDAELDV ARRLVKTRNYYDKKACADFARKFIGTPAVELNEVREVLDWLLVTVDGDIKAVEKWLTL SKAERKEMTLLATKANEIDWSADMLTKIPAFIDVDAVIKEKGVSAVHAKEQWVVELIN VKRAIASKDKDLCAVVDETVVIAKKEEAKVSSCLDKLKASKEEVINTLRIIDREEAKA VRNGKPYLDESASTSGAAATAASVVQSNRKPRNSQNRATELVVSEPTIPEEPSASITE GDEAAAEQQTTTADSEAAAVPAKNNTPSAVPREQSTVVEMTL H257_12479 MSLGAHFQFPNTVDKENRWFPYHNVRSSMWLSMLALPQASALHT RHPVESESTMAPAPHPASNTAALVSSWSHYVLLAVGLLLVVGAHIVRKSNSSVQRRLL CRRRQRQFRSKMIPVAKVVGYSVLGSPNDELPAHEDYVLIEV H257_12480 MALPSFLFASSILKASSTGSSMISITDDVVSNVRRFRRRISSGD QRDTVPATPPPYPTRHQF H257_12481 MIVNKKPLQSRSNTKDVPHPRARKASRQASLPALCTPPRKPMTK SRTTKSSSLPSPPLVTSTLASSHQLPQDIHALATRLLGLPREGDHNCGSVRIKFNHYN RLFPIHNGVLQWLDVDTEYCFSFVFRGAYTRDVYSISRTSLVDGSVKAPMERDINGDL FVRTSLVDGSVKAPMERDINGDLFVGLVVGDQYEVTVQEDPIAGVGAKGLRVNSNPLF ASSTAAAVMSGNHATRLLTQQLRNMHPEMLGSDEAKEIIARRDIEDVLFT H257_12482 MSRCCCMGWVAVCALLGYVYAERSATVQFSSAVIWPSALDDTLT IDEHEVRSTGDMTVVYDTSIDLTNKFEWHPSVDHVEVSVVRTPTLSDTSLWTNYIGPT VKTLHEAAGVHVRVHHRGSSSDLLDIQRHASVVLTSLFGTSDGSIDDPIAFASTVVYA NASCDLSTSVLVHTSSPSPSPTQRSSFCLVSSRDALHVVAPTLFPSTQPTPLDSAVQR TIHLVGGIQLSSAWQTRATHFSLDKSQVLHMQEVVSSTAKGHDHVRITSPQLAKKSHV VGSIVHGAAHILSLVQPTDADAIVVVPSTTRHDVVRRAHSRMVGSGFHQVLRLTVESR IVPGNLHDEICSLLVSQTFPTTAYADMDELRRMERFHSFERVVSYAKHIEIERPAAVS SQHVVAFVVQLNRETSTIDIPVHFRYQFPSNTTLYRPVHLVAPSLHVHCKTAADVSKS TDQDELHTALSGSSLEALVRSEPYWSRVSLEQPLHALVVQIPVGNLNDGRFVTAVTLL VSVGGCLLLYLTLLKKRDTASPSSSAGPSPSWVPRHTKQA H257_12483 MEYSGQMSDGLMHGQGMLAYPNKEKYTGDFVRGKRHGMGVMQCE DGSVYTGMWENDVNHGMGTRQYPNGNRYEGEWVNGMINGEGTLHYYDGDFYKGHWKDG KMDAHGVYQFHNGDRYDGEWVEDQRHGRGTIVYKGGDGHIHEKYEGEWCRGQMHGNGA YWYGDGSLYEGEWKSDQMHGNGTYVYHNGNKYTGEWVHDLKQGRGVMEFTNGEKYDGM WHMDVFHGLGCFRDILGHTYTCQWVGGIKQGKGELVFKNRDVFVGEWGRDGNVACGVL TFANGDKYEGQVVGYMRHGSGTFYCKTDGKSYHGEWQHNKKHGSGSLRFPSGEVLRGE WKEGSLVPGSLVLTLAPASPWLDPLY H257_12483 MEYSGQMSDGLMHGQGMLAYPNKEKYTGDFVRGKRHGMGVMQCE DGSVYTGMWENDVNHGMGTRQYPNGNRYEGEWVNGMINGEGTLHYYDGDFYKGHWKDG KMDAHGVYQFHNGDRYDGEWVEDQRHGRGTIVYKGGDGHIHEKYEGEWCRGQMHGNGA YWYGDGSLYEGEWKSDQMHGNGTYVYHNGNKYTGEWVHDLKQGRGVMEFTNGEKATHT LANGWVGSSKARGSSCLRTAMYLWASGVAMATLRVACSRLQMATSTRARSWAICAMAR ARFTARLTARATMVSGSTTRSTAAVVCGSQVAKC H257_12483 MDAHGVYQFHNGDRYDGEWVEDQRHGRGTIVYKGGDGHIHEKYE GEWCRGQMHGNGAYWYGDGSLYEGEWKSDQMHGNGTYVYHNGNKYTGEWVHDLKQGRG VMEFTNGEKYDGMWHMDVFHGLGCFRDILGHTYTCQWVGGIKQGKGELVFKNRDVFVG EWGRDGNVACGVLTFANGDKYEGQVVGYMRHGSGTFYCKTDGKSYHGEWQHNKKHGSG SLRFPSGEVLRGEWKEGSLVPGSLVLTLAPASPWLDPLY H257_12484 MESVGTRLFTATSHFLRAKPMLTEEEVRSMLTLKEYLDRVYRYH RRRSSLSGSGRQYFNASNFRPIKLKQSGSTGSRRSVGLVASRAEHESGLATAAIKGIL CAVHPFTELSEYEVNTSVFEKLFGTTIAYYWSLYKPFATKIAWITTLWCLLYNSPLQS LFLTLTSETVCRLISMFAILWLVLYFWSQLNWRMTVTVLGYFDAWFFITQAILYAVAI MALYDWDVVVIVYNVFGILGFSAVIAYDAYPLSMRNMVKYMLFVIMACLFAGVIMMGL DSGFMDRSLRMDLFHGLVHANCQAEGNVTLTWSFNVYDFAIQRLQILTVYMIRNTYWA FNAPRYCVIIKSRVALEEMPVIGVRILPSEPTPGRRNSLIGQSFKATNQSPVTASTPP PLTSSSSTVTPVAAGIPAAASASMTSSPLASSSRQQSAVHLQPNTGPLYDMHPIQNDT IHTYDGRHRMSVVSVPNLELLNSGASGRAKSHDDDASTSVEPTSAIQASAFTVVVSAP MAVHRPPKPTRSLKLPKLSTRKLLMESVSRSRNMTKAVLRTSTVQSSLRGYIQRIPVK KRKSSSVPSNLHAFARVP H257_12485 MVPSKFRFDNQVAIVTGAGAGLGRAYAVLLAAHGAKVVVNDVGG TQGDKPRPADQVVLEIRAQGGVAVPNYDSVVDGAHPPYSYRNPTYSNHIQLMMLPRIS RDQCGGDGYPSLWSRGHCGQQRWHPAGPSLPQDVSKRMGRREKRAPRRKYLHIFQQTY RKIILRPVGFAVTHAAWPYMRGQKYGRIVNITSVNGLYGQAGQANYAAAKAGMVGFTK ALAKEGVRSNIKVNAVAPGAGSSMTATILPEVVVKQWKPEYVAPTIAFLCHESAPCTG AVFECGGGWTAQVQFTRSEGYFFDLEKPISIDAVADHWKDITDFANATNPELDEMTPQ LKQIMSKI H257_12485 MVPSKFRFDNQVAIVTGAGAGLGRAYAVLLAAHGAKVVVNDVGG TQGDKPRPADQVVLEIRAQGGVAVPNYDSVVDGTSVVATAIQAFGRVDIVVNNAGILR DRAFHKMSRSEWDAVKSVHLDGSFAVTHAAWPYMRGQKYGRIVNITSVNGLYGQAGQA NYAAAKAGMVGFTKALAKEGVRSNIKVNAVAPGAGSSMTATILPEVVVKQWKPEYVAP TIAFLCHESAPCTGAVFECGGGWTAQVQFTRSEGYFFDLEKPISIDAVADHWKDITDF ANATNPELDEMTPQLKQIMSKI H257_12486 MRLVATAAAAILVTFLGTSVDAIAPIEIKGNRLFEYGTGKPFHA KGLDYYPRPNSGELNVNNLDFFTDDHEHIWKPHVAEFIALGINAVRLYAVDSSKSHDK FMCALSEAGIYVLVDLAASCEDCAITKDPYPACYPAPLKTRGQQIIAAFSKYNNVLAF SAGNEVNHYVDSMEISAPCQKKFIKDMRAYISSCATNMRYIPVGVVLADHQRSKNALY YACRTDPTDELENAEWYGLNAYLQCDRTASPWHVGPGYDKLLKDFTSYELAIPTILTE FGCLNVGFPTVDGFQAQRTWVDAAWLLSPTFADVFAGGFAFEFTTEKANAEKDSPFPF KTFGPQNYGLGYLEPETCDADKTPCVFQRMPNFDSLAAAYRDASTAGLPERSSYSPSH TKPPQCPAGVRPIGEVHWSADSETDVQCPDLTQTPLCPGDIINTGKAGPPKPSVTTED PVKDVPTETPTSTPLPTTNSSTPLPTTTSSTPLPTTNSSTPLPTTTSSTPLPTTTPAE PSPPSNVTDTVTPSTASPPSNGTGTVTPSTASPPSNGTGTVTPSTASLPSPGSTQGNP ATVAAPGVVGVVVMATVAWLMVWV H257_12487 MSRRSSLQASQPTPSSTPLTTRVIRLGVALLENLDQQRYAKRAR CSTVLADEPDNLDSNSPIYDSILASQGAEGIVITTNFSPSEFNLIWTEVRQYIFRQWN VGSGRKSAVSARDLLLMLFTSLKDCGTWDVVAAVLKQKPTTFEKRVMSFLSKSPMQVL AESGHRFKNSPAARYATDVTFQQTNKFYKGSVSDKTIFHENINSHLASLAKRTGETTL EDSEPGMEQWAVLADKGYQGIQHNVRAVLPLKKPIGGILTFAEQAKNDRIASDRVIVE NYFGRLKTLWATCSDTYRWSRKSYDIVFQACLALTNVQVRLHPLRAEDGDSNAQYINR LNAIGAKIIKTKRAAGKADAELGFDNEDGNSSFIM H257_12488 MKEEARLKARSSYVKPLLTEVNMVARVEHCLRFLRVMPGGGRVF ENMHDYVHVDEKWFFLTKVNRRFYVYDDEELALRSVKSKRFITKVMFLAAVARPRYDH HAKKEFDGKIGIWPFVEHTLAKRTSKNRARGAPVITPQTVDSGVYQAAILDKATPAIK AKFPRTSQSSEIYIQQDNASPHRCVTTALMLSMGIQGISIANQPPNSPDFDVLDLG H257_12489 MAAERHCKAKGEGESQTFSSDLVDFMELVRDGEHFLITAHLVTW VKFYQPQWLSAYMADKSNNDRAYKSLVQWCLRFANCHGLSHRVPCHAKIP H257_12490 MSLPTAPATLTLTQCLLSSENYDEWFFELTSIILAGENITEMAI HCAQVETATAMKGLHRSYKTCSYGTAFSRASHTRICASCLSNTLAGLGHQVPALPRLG ADRHSYTSVWLHCRYRGLAHWRFWHFAMAQSSEMAMQKRLMYPGGHKATIRVGLVWLL PDLRQPLDVHLHEAFRCVPPECGASTLCVPAVQAVTVVANATAKVAGVVEELEHPSST SFAKITHPAAHPVTHQAVNQATLPVIVLATQEWVKAAIPPTPVRTTAVVATINESLSG DQYRDYREDRGYHREFCGYYRDDRSRSVPPANQGYAPPGPIAQRSK H257_12491 MRLFATAAAAVLGIFVGTSVDAIAPIEIKGNRLFEYGTGKPFHA KGLDYYPRPNSGELNVNNLDFFTDDHESIWKPHVAEFIALGINAVRLYAVDASKSHDK FMCALSEAGIYVLVDLASSCQDCAITKDPYPACYPALLKTRGQQIIAAFSKYNNVLAF SAGNEVNHFVDSMEISAPCQKKFIKDMRAYISSCATNMRYIPVGVVLADHQRSENALY YACRTDPTDELENAEWYGLNAYLQCDPLASLNPGQVGAGYRKLLDDFTSYELAIPTIL TEFGCLNVGFPTVDGFQAQRTWVDAAWLLSPTFADVFAGGFAFEFTTENANAEKDSPF PFKTFGPQNYGLGYLEPETCDADKTPCVFQRMPNFDSLAAAYGNASTAGLPERSSYSP SHTTPPQCPAGVPSIVGVLWPADSETDMLCPDLTQTPLCPGDIINTGKSSPPKPSVKT VSPVKGMPTVPPSAPSPPSPGSTQGNPATVAGTGVVGVVVMATVAWSMVLV H257_12492 MFIVAESVHRAVADAYAATQPLNAVVVDISEAEKKEGGSVAGKL VFFGGLAHFATLHRVLQPSGVLEAFLAVEGNESNKNAVLMQLILQGFLNSNVDIDGNG IHARAQKSGFVEGASVAVKFTPVQKPKWTVVADEDDEEDIVDEDDLLDDADDILSRND DCGPGKDGKKKRRCKDCTCGRKDEDDQPIVSEAELKQMVSSCGNCYKGDAFRCGGCPF LGQPAFKPGMGKVVLNLDSSDDF H257_12492 MFIVAESVHRAVADAYAATQPLNAVVVDISEAEKKEGGSVAGKL VFFGGLAHFATLHRVLQPSGVLEAFLAVEGNESNKNAVLMQLILQGFLNSNVDIDGNG IHARAQKSGFVEGASVAVKFTPVQKPKWTVVADEDDEEDIVDEDDLLDDADDILSRND DCGPGKDGKKKRRCKDCTCGYVVSQSSLDCELFHISMLRRKDEDDQPIVSEAELKQMV SSCGNCYKGDAFRCGGCPFLGQPAFKPGMGKVVLNLDSSDDF H257_12493 MPPPPTPPTLLDLLCRKEIMFNGVMSTEPILSRVVSYLGFQHNL GEARSPMQLAAVLGATCVKYLKLTRRNPHFYRWLVLQPPSAVTQSAFERLVDLHSSAI RCLDLSFCHEFITDTELTRLATTCPQLTQCVVWGCHQLTDTGMMALASTCPYLTFLNF GACAKITDASLERIGTDLLYLDNLHLSGCPLVTDAGIVSLLPSSRVVLQLRRLKDPSP VPTEFDLHHMKTQLRKFDCSKVTFRRVTDTNDELVLHFATRPKAVALWCEAKPNKFMP RLMSSDATHHFAGQYVCISLKVLNVIGCPKVSRAAPAKLKSHHIQIKVLQ H257_12493 MPPPPTPPTLLDLLCRKEIMFNGVMSTEPILSRVVSYLGFQHNL GEARSPMQLAAVLGATCVKYLKLTRRNPHFYRWLVLQPPSAVTQSAFERLVDLHSSAI RCLDLSFCHEFITDTELTRLATTCPQLTQCVVWGCHQLTDTGMMALASTCPYLTFLNF GACAKITDASLERIGTDLLYLDNLHLSGCPLVTDAGIVSLLPSSRVVLQLRRLKDPSP VPTEVASVVVMGLDPHVMAV H257_12494 MEGFSLNIATPSAPRVVKRKKVSKFRQNKKKATAQRKAPHASGT AGPASPVSGIVRPKPSPSTKLDVVAPRQNDEPSKNDESAPEPEQDESADESEDDDIPA SAAPVATKVYSFDHLTKNVAAADTYAIKASGGSLTTDKGTRRNPNKDAYSADSYNRSA LDMSTMVVHNAPLASKESTDIFAKTTSFGAMKLHPHMVAILAKASDAGGFGFNQPTRV QVASVPAILAGGDVMLKSETGSGKTLSYCLPIVHSLMSRKVRVNRSEGCLAMILAPTR ELCVQIHETLERLLKVAVYIVAGSVVGGEKKKAEKARLRKGVSILVATPGRLVDHLTN THAFTYNRLQFLVLDEADRLLDLGFEKSIAQILEYVVAKIDDDVTRQSILVSATINAG VQRLAALSLTSPKFIDADASKGPETTYATPDQLVQHFMVVPAKQRLCALSAFVRGECR RNKLRSKIVVFLSTCDAVDFVSNLFQKCQWPQAPSMFGPAVFRLHGNVNQQDRTATFQ AFCKAQSGVLFCTDVAARGLNLPTVQWIVQYDPPTETRDYVHRVGRTARSGAVGNSLL FLLPSESAYCDHLKTHGLNLTALSLEATLARSAYKGEFKPSSKKEVHEVVVHELQYLF EQTVLANTELFDMACQAFQSFVRSYATHSTDTRQYFHVRSLHFGHVAKSFALREPPAS NKVTKGSQQAKTGTLKKRKALQDIDDSIEKSKQKKAGQAKRKYNNHVSEFAE H257_12495 MHAAKRSRPADTCTAPPLQPDSINAAKPRVRDHAAHTPLVAVAA NSDGDTSQMDESVLEAMEKTLLRCIQFVLGSIDPVQARNVAQCVLHVCRRLPVLCPLA QALEANADVQKLLQHADSSSSMELSVHTSSRWQWLWPFGSRTPVGSPRGGAMHHMSAF DCDQSGDADTDDEDDTSNIAWAVRYMQREAKLPQEVHRRMQFMMHTQVPLNLFRVEVK YAENEDLDGAVVGNVSPESFPPTPIGREYHTQRATHYTVAVLDRANQALLHLNACTLT DH H257_12496 MSCNHLSNFPRIMATAAAKLDELQLAEAIPHGFKRREPKIRQDS TMLQAFDNESLDTFLARVRPSEEFAAVLSPVSQEGSWRWIWVPGPQRADHQINDETRL QCTAELRAIVDEWSDRLEILPPPLVPTEARKVSANMFSLAKRCNYGSGRLLLSVDSNQ VDDAWEAVARATVQGKLGCSAKVSTRTTSDQHVLCVMLDCFWDEANVRGVLEQLSSLG LHVTAFKPDIFSVLRTHDLKALHLHSLLYNTPTP H257_12497 MKAPSACLLAEFREWWKLHAQVEKDASHAYDRDTRGNLPLHWAG RDPDVPVAVLHLLVDAFPDGRRETNYDGQLPFQIAIEHGLPPSHIQLLNVDHANDVDP AWSVVERSDPSEHVTEGGATADNGDHLQSLVTQLNALRRSLHAQAKIYSHLRKHNWAA STSPGPSPSSISCQPDPLGRYTLTWKRGDVGIRFFNSSVGCRVEKLSQGHGITAGIMN CRLGDVLVAINGKNMEKHSVRAVMEFLLGSPKPVVLEFVAGDNITSENSQSDNDDDSI AWCHSQHEMHDQVLLLVQDTIARCESAVLHSDHSVCP H257_12498 MVEVVDQIRDAASCLQNLLATFKRLEESNALRVTCNGSTTSGLK ARVRELEAQVDERAHETARLRGQVHDLEGQIRHVVEQKDVEIAKLHARLEALDEQLKQ AESDGEGSDEWTHHADTIPSPKSPHHGGSMGYQFEDDFDDDDPPVASPDAGSPSVRRH RASFSLLQCSSSDNVRDVFIPPHRTIRTKCHAKNNVQMTWTNASRGPKTILLVKKPNE PTVAQTMREVATWLMTAKHMRVFLEPVVHAEEGLDGTLTWTDAADWHDKQHEIDLVVS FGGDGTVLWVSSLFKTNVPPVFSFAMGSLGFLTPFEVARYEDHLSKLIRGGFNLSLRH RLVCTITPHVETIAMPVHALNEVVMDRGLGAALVELDCFCDDVALTKISADGIIIASP TGSTAYSLSAGGSMTHPSVPCMLFTPICPHTLSFRPLLFHDSAVLKIVVPATARSSSV MVSFDGKMRVQMNRGDALEVRVSPFPLPSVCNFNENEDWFASVKSNLYWNQRKEIKPF HDVPT H257_12499 MDEIRDAASSLQKLLAALEAQENEDDQSPACVKATKLKARVHEL EQRMKNVMDEKDYWLRRCVQYEASFATMVRRARSNSELREMADTITNPMCSRSSSPRE KNSPAHLAEMSHTPSMLPSMIKIGPKRASFRLLQCSDMECEVLPSLNRTIQTQCRSKN NVQLMWDTPPTTILIVKKPNEPTVAQTMREVATWLMTAKHMRVFLEPVVHAEEGLDGT LTWTDAADWHDKQHEIDLVVSFGGDGTVLWVSSLFKTNVPPVFSFAMGSLGFLTPFEV ARYEDHLSQLIRGGFHMSLRQRLICTIIRSDKTPHVETIAMPVHALNEVVMDRGLGAA LVELDCFCDDVALTKISADGIIIATPTGSTAYSLSAGGSMTHPSVPCMLFTPICPHTL SFRPMLFHDSAHLKIVVPATARSSSVMASFDGKMRVQMNRGDELHVRVSSFPLPSVCN LNENEDWFASVKSNLNWNQRKEIKPFHDPPPTMESSESSSFNE H257_12499 MDEIRDAASSLQKLLAALEAQENEDDQSPACVKATKLKARVHEL EQRMKNVMDEKDYWLRRCVQYEASFATMVRRARSNSELREMADTITNPMCSRSSSPRE KNSPAHLAEMSHTPSMLPSMIKIGPKRASFRLLQCSDMECEVLPSLNRTIQTQCRSKN NVQLMWDTPPTTILIVKKPNEPTVAQTMREVATWLMTAKHMRVFLEPVVHAEEGLDGT LTWTDAADWHDKQHEIDLVVSFGGDGTVLWVSSLFKTNVPPVFSFAMGSLGFLTPFEV ARYEDHLSQLIRGGFHMSLRQRLICTIIRSDKTPHVETIAMPVHALNEVVMDRGLGAA LVELDCFCDDVALTKISADGIIIATPTGSTAYSLSAGGSMTHPSVPCMLFTPICPHTL SFRPMLFHDSAHLKIVVPATARSSSVCTTQVQTALLS H257_12500 MRDDPTPPDSVGTVGDDHVRWASAVVDAKAKEHPFDCLVCGSKN VVLDIKTQHVRCRMCGVSTRNVYAHAVPNDRLREMEKLEADVATEMLRQVLGAFQDPH FKRRLDESKAHTRFMDMVLQHTSVALEAVGSVVTRFGFAHSLEGVMKAVRAIQENAGD NTVILDGLERLRVLLSPRNAFEPEEALQKVQEAKVVEEARLREFHVESQNLEKLEDAA QERRRVALMRAKKAARGLDPNQTYAPSIRRHPPPSVVLFPGRSAFVSVVADQSDTYTW CFNGAPIPEDAPGFRGTRSCFLKIQFFTKAMCGAYTCRCSNDDGVIESTPCLVSTATL TPSLVYRHKTPHVVHSMASLPHYCMCYRTMHGPVFGSTNSKLLYDSSSCLGSNVTSDV PVVAMATMADSASPGPTPLVHPAKPSQPSPCLPENHRPRVYLGMANGVLRVDSVAIVL PEDDVKRNKSLPRQPTNPCAATSTELCMATSLTSIRRVAFVGQNAWLLLSDMQHTILL YAIRKDGVVESQLPTHALTCAKRAHVIATSPWLPHFCIMYGKNAAMEVVTMAQGYRRQ QVPLTHKPTCVEFAAMGSQVAVGEKRVQHGVLRIVNVETLQTNMIVAAAHFGGIQFLR WIPRHHNFVFSLGHDHTIKLWDTAKKECVVSFQVHTSVPSDVLVTCNAAMECTITVST FAKQIEKWTIGALQQLLHSVGVEHSYAIVLIQKQWRGALTRSHLR H257_12501 MTYTDYVQGWLSYVALVIHFVVEKVWTSVGVPTPSSASAAPGED DKDASHLLLGGGAYSRSSSSTLTPEISEDSTIVIIHHGSVAQFCGTPDVIEHRTMEEF CSPPPQNNEQLLEYIDGYVGWLTSPRQDNKHMRRVSTANKAKEFMQWLDCEPEADQPF VGDGKGTVASGSDNQEEPECDAFDLSKEEYGGVAAPWDAEAYNAV H257_12502 MSTVGGIDDVGARDAALHGGFHVAQGHFTYHPSPDSDKCIFEHF HRSAESWAAFTKAIEGSTRQVKVIYFIRHAEGEHNAAKVRHGAEVWFRDIACTDLYLD ALLTAKGEAAATVTAARVEAELDRGMPLQKIIVSPMRRTLQTATSIFRRQLGHVPFVA LELCRETIGMHTCDKRSAISTLSPLFPSVDFSHIRDDVDTLWRPDLRESLDDIQSRAV TFLRQLHADVPDTFIAVVSHVGFITACLRVLHMPEYRVGNCELVPVVLDVHDNHIPSP EVVPYDVAIS H257_12503 MAERHPTNSTMTASAMTFRSLDGFFAYEAATAEGINRPASKLFD QYTRIPTSWHAFRHHISSLAISTDAVKVLYFIRHAEGHHNAAEKHHGTAVWDHSVSKQ DAYLDAELNAAGIADASKRSISMRGELDAGMPLDRILVSPLTRTVQTANLYFNLTSPT TSQVPVHAIESARETLGVHTCDKRRPTASLEADFPHVDWSRIEPNVDILWHPSHRETT DEIHARCRDFLHEVFYTVPDTYLAVVSHGGFIQACMDVLGMPSYKPANCEVVPVVVAM TSALNPTNNHLIEDDDDISCMSTLLLAIAVVVITKWVKYATMHGR H257_12504 MTTATQLTTLRVLTDPGLYLLINAFNDGLEFKHWTSADHAAYAG HLYILQTRMQEGLELAATTNAMDWAACNGHMELLKWLHNHHDRGCTFRAMDWAANHGR LEVVQWLHVNRTEGCSAGAIDNAASGGHVHVVEWLLANRPEGCSVHAMDSAARHGRVD MLAYLHAHTSEGWSVMAMNEAAGYGHLSCVQWLHANKDHNAALLKEGENDLENDAAKA VLLEELFGGDDEYDEPFDETAFTSELPYIPDLEFIDEEHFDRAHLDHDVVEDKVMLGP LDIAASKGQLAVVQWLHGAGYPCTTYAMDSAVGNGHLECFKWLAAHRAEGCTTLGMDV AAENGHLEIVRYLHEHRAEGCTYDAMNHAARNGHLDVVAFLHAYRREGCTTAAIDGAA ENGHLEVVQWLFRHREEGCTTEAMDKAASKGHLDVVRWLHFDAHQQCTTCAMDCAAEN GHLEVVQFLHEQRSEGCTTEAMDTAAFQGHLEVVQWLHAHRTEGCTEHAIDGAAENGH LAVVEWLHWNRAEGCTTDAMDRAARNGHLSVVQFLHSNRHEGCVECVEVITTIGAGCT VHAIDRAAGNGYLATVQWLVEHRDEGFTGAAYEQADDYGYNNVIQYLTSLQEAEDALE DEDIVNTTY H257_12504 MTTATQLTTLRVLTDPGLYLLINAFNDGLEFKHWTSADHAAYAG HLYILQTRMQEGLELAATTNAMDWAACNGHMELLKWLHNHHDRGCTFRAMDWAANHGR LEVVQWLHVNRTEGCSAGAIDNAASGGHVHVVEWLLANRPEGCSVHAMDSAARHGRVD MLAYLHAHTSEGWSVMAMNEAAGYGHLSCVQWLHANKDHNAALLKEGENDLENDAAKA VLLEELFGGDDEYDEPFDETAFTSELPYIPDLEFIDEEHFDRAHLDHDVVEDKVMLGP LDIAASKGQLAVVQWLHGAGYPCTTYAMDSAVGNGHLECFKWLAAHRAEGCTTLGMDV AAENGHLEIVRYLHEHRAEGCTYDAMNHAARNGHLDVVAFLHAYRREGCTTAAIDGAA ENGHLEVVQWLFRHREEGCTTEAMDKAASKGHLDVVRWLHFDAHQQCTTCAMDCAAEN GHLEVVQFLHEQRSEGCTTEAMDTAAFQGHLEVVQWLHAHRTEGCTEHAIDGAAENGH LAVVEWLHWNRAEGCTTDAMDRAARNGHLSVVQFLHSNRHEGCTVHAIDRAAGNGYLA TVQWLVEHRDEGFTGAAYEQADDYGYNNVIQYLTSLQEAEDALEDEDIVNTTY H257_12504 MTTATQLTTLRVLTDPGLYLLINAFNDGLEFKHWTSADHAAYAG HLYILQTRMQEGLELAATTNAMDWAACNGHMELLKWLHNHHDRGCTFRAMDWAANHGR LEVVQWLHVNRTEGCSAGAIDNAASGGHVHVVEWLLANRPEGCSVHAMDSAARHGRVD MLAYLHAHTSEGWSVMAMNEAAGYGHLSCVQWLHANKDHNAALLKEGENDLENDAAKA VLLEELFGGDDEYDEPFDETAFTSELPYIPDLEFIDEEHFDRAHLDHDVVEDKVMLGP LDIAASKGQLAVVQWLHGAGYPCTTYAMDSAVGNGHLECFKWLAAHRAEGCTTLGMDV AAENGHLEIVRYLHEHRAEGCTYDAMNHAARNGHLDVVAFLHAYRREGCTTAAIDGAA ENGHLEVVQWLFRHREEGCTTEAMDKAASKGHLDVVRWLHFDAHQQCTTCAMDCAAEN GHLEVVQFLHEQRSEGCTTEAMDTAAFQGHLEVVQWLHAHRTEGCTEHAIDGAAENGH LAVRCRLCTQRDTSSHVYVRWWSGCTGIGPRGAPPTPWIAPRGMDI H257_12504 MTTATQLTTLRVLTDPGLYLLINAFNDGLEFKHWTSADHAAYAG HLYILQTRMQEGLELAATTNAMDWAACNGHMELLKWLHNHHDRGCTFRAMDWAANHGR LEVVQWLHVNRTEGCSAGAIDNAASGGHVHVVEWLLANRPEGCSVHAMDSAARHGRVD MLAYLHAHTSEGWSVMAMNEAAGYGHLSCVQWLHANKDHNAALLKEGENDLENDAAKA VLLEELFGGDDEYDEPFDETAFTSELPYIPDLEFIDEEHFDRAHLDHDVVEDKVMLGP LDIAASKGQLAVVQWLHGAGYPCTTYAMDSAVGNGHLECFKWLAAHRAEGCTTLGMDV AAENGHLEIVRYLHEHRAEGCTYDAMNHAARNGHLDVVAFLHAYRREGCTTAAIDGAA ENGHLEVVQWLFRHREEGCTTEAMDKAASKGHLDVVRWLHFDAHQQCTTCAMDCAAEN GHLEVVQFLHEQRSEGCTTEAMDTAAFQGHLEVVQWLHAHRTEGCTEHAIDGAAENGH LAVRCRLCTQRDTSSHVYVRWWSGCTGIGPRGAPPTPWIAPRGMDI H257_12504 MDSAARHGRVDMLAYLHAHTSEGWSVMAMNEAAGYGHLSCVQWL HANKDHNAALLKEGENDLENDAAKAVLLEELFGGDDEYDEPFDETAFTSELPYIPDLE FIDEEHFDRAHLDHDVVEDKVMLGPLDIAASKGQLAVVQWLHGAGYPCTTYAMDSAVG NGHLECFKWLAAHRAEGCTTLGMDVAAENGHLEIVRYLHEHRAEGCTYDAMNHAARNG HLDVVAFLHAYRREGCTTAAIDGAAENGHLEVVQWLFRHREEGCTTEAMDKAASKGHL DVVRWLHFDAHQQCTTCAMDCAAENGHLEVVQFLHEQRSEGCTTEAMDTAAFQGHLEV VQWLHAHRTEGCTEHAIDGAAENGHLAVVEWLHWNRAEGCTTDAMDRAARNGHLSVVQ FLHSNRHEGCVECVEVITTIGAGCTVHAIDRAAGNGYLATVQWLVEHRDEGFTGAAYE QADDYGYNNVIQYLTSLQEAEDALEDEDIVNTTY H257_12504 MDSAARHGRVDMLAYLHAHTSEGWSVMAMNEAAGYGHLSCVQWL HANKDHNAALLKEGENDLENDAAKAVLLEELFGGDDEYDEPFDETAFTSELPYIPDLE FIDEEHFDRAHLDHDVVEDKVMLGPLDIAASKGQLAVVQWLHGAGYPCTTYAMDSAVG NGHLECFKWLAAHRAEGCTTLGMDVAAENGHLEIVRYLHEHRAEGCTYDAMNHAARNG HLDVVAFLHAYRREGCTTAAIDGAAENGHLEVVQWLFRHREEGCTTEAMDKAASKGHL DVVRWLHFDAHQQCTTCAMDCAAENGHLEVVQFLHEQRSEGCTTEAMDTAAFQGHLEV VQWLHAHRTEGCTEHAIDGAAENGHLAVVEWLHWNRAEGCTTDAMDRAARNGHLSVVQ FLHSNRHEGCTVHAIDRAAGNGYLATVQWLVEHRDEGFTGAAYEQADDYGYNNVIQYL TSLQEAEDALEDEDIVNTTY H257_12505 MGPDTSLASSAPPTISSSSCAIRVVVRVRPMSHKEKAASQVPVV EVNTKNSLQLRQPGVGDQDTNPPRTFLFDHCYGDALSTGKDDPVQEALFGDIGRDIVV NAFGGFNCSVFAYGQTGSGKTYTMVGDKSERGKGLIPRICEALFDAIDKARAKDSTSS DDPHKTIYSVHMNYCEIYKERVKDLLDEVKAPMHGLMSPTADSSSRPLKIREHPVHGP FVEGLITRPVGSYAEIEEELIAGQKLRTVAATLMNPTSSRSHAIFTIMFTQTRVDPVT LSAHEKTSKICLVDLAGSERSDTSGTSGDRLKEASMINKSLFTLGRVISSLGAKERIP YRDSTLTWLLKESLGGNAKTTMLAMVSPASANYDETLSTLRYAESAKKIINRAVVNED ENDAIIRQLRNQIEDLKGQLMGSQRRRSSETSAGLVKSLLEREEMLGQLQRDVKRHEK CLQLPSGDPSLINLQEDLLDNEPLAYVLEPGMTVLGADPTATFQEPGSTTTDHVHRRS SFLGDVILQQADNQAVHPVHLTHDADTLLPVHVKILNDKGFITLEPCPSAIVYVNGVS IHSFTPLKHRDRIQVGLHHNFRVFVPSEARCRRHTSKTDTQTEEANALCAQADIPYRF QRQVTSDVSILMADTGKECGITWDPPLFIDRLDTLRAYNADRIDKAVLVSMLGLPPLS VQTGEMDPIEDNEEEVAVDETDDTTIDDEAHLGVVAANPLTIEIPPPLQFASKHPDAD PQFQPRSSAVRLMGEARLYSGGGGVACSIPNSVSTKKIHMVDRVVSVFDPVGLHVASI TLEMTTEYYQKTNPDVSKHVISVVWKELRFHTPQLSSEGVYISYHGWATKRGATPKVT SITGVFAFGHQRTSFEVLVPRKQNVDEFFQNEYLSMDVWGWGKVPPSPLSRPLSSSSS SSSLLSELSTSSLQQDPLLPRRPHTSKVDVFVSVDVEERRKADGLYAPVTVKEDGTLR LSQHTSRRLVVRVVQADQQPFCLGSIAHIRIAPARPTTGHSKSQSQFEAATALGSATL GGFFKRFGTKDTLEPHMEDWTTWTTLPIRGPIQVDKEARSVVCVMKWDADPREAVDGR GQRRVFRIALAVETTLSCVPVVLSTKFTAKVLGKMKKDTVWWAREHVSRMHRLGHWFS MDVGLDDDAPRTEVVAEKLLDHFGKGMEKLQAAYKLERFRQQVAAEAAVHGEAALIRV ANLPDLEFQLTRERDEWTIASRSMPQVTIHVMSGVQMNPPAAPPNFSTHKTRALTDPS PSDPLAGEWSGYLMQATSSSSMWNRRWFVLQRPLLCCYKTFAKGEVVGVLDISKCTVE IDKHMTLFPFSFRVTSVVDKVPMTWQLQASSADEMQAWIHGIAPAAMLDKQY H257_12506 MDDQSFDTKLFAAVVARNLDDVKRLLGPIDIHDVDVKPALARSF VEAAGRDASIVHWFLELKFDVNAADEDGDTALMVAARGELKSSTVYAVDASDDTSIVQ SKAKKMRAESTRILQKLLQHKPKPNMNCLNKEKRTALIQASMYSGDETTLQLLLDAKC DPNLVDEKLHSALHYAAGKGHERSIRILLNAGCDVHAKTIDGDTVLHKVCESGNIDAV KTILALRDVDLNAENHEKQTPLMLAASCGYVDIVQELLLRNADVSNRNQIGMTALHLA VSGDADVDVVKCLVEAHSDIENACTDEYSAETVLITAVISSKFSVVEYLVNAGANIEA SNKDGHTPLIVATMHKNDQMMKLLLTMNAATDATDKEGSTALMKAVVNLDHSATEVLL KAGANLQAQNNSGYTAISLAIYKDIQFGKMLYAKYQASVNSSSATNLVAPLKDQQTSS SSLDLLHIGDYVTLNLGDDQVLGCDGFVQTFLQPTEVNSEWDDGVFCIHPQLAYDHVD MTSTCHPYFEVTDRYSEHEREKKRNVAKLSQMLRTKDVVVVDTIFQLFHVKSQKYLRV NPMAGERKANASLDHLASSYSWLQFSPVTGQDVLTSMHLVLVSACIPKLYKGNRKPKP VHLVDDRSNAELCVALHCTYDRFLHHPFTLMTGSSYVCGDGLRQFPLSNSASLALKVE RVDALAAFELQKTPDGSSYEIRHVVSRFVLGIDCPCSKKEQLNATLVNSATTSNLVAK LKLESKAKSLPNHPHLGDPLETDMAGSLYQVSHTCLTCGNKWCLSTQENQIVWNHSDT WFVCELVDPAVVQKVFHVCSWREAYLTVVQHAMNIGTPDETVRQSIQGALVASKQICD LMENPFVGGVAECLQRICSEIVFLDAMFLTTSVFRSMAPAFRGMVDEVLAHFFKAMRV MVVGNDSFEAYLVEQFEHPIGKIKILSGLDIQYASKFVDARTILTSMIGKNTRTVAYL LEAINTDDQLDTLSWTNKAQFLIALSYASRRDPLISYKLYNHEIAIAHMWLQNWDTMS VYTFVQDGDVWVRWNDCCSGRIESPTSLNRWRVQEVGTLRTVFEEEHIAMPLRDIVSA LDKARGTPAHMAMDSWMISYLHHLKLVAALCKNNADVRPRFHGHFPPDLLMDGACSDA LSCPIQSLYLELFQLLYVPTYGVPESVQLTMLFLGIRDPQAHLTPFQLKRLDGLIQAK LVPPTKQDKSATFGFIERLLIAMETLINVGWYTSEKGRCVQAIEMLYAVGMAWDDDDL KFETVRHLEADPFNLHCRSTSGTTSVNACLRRLLGIARKLTRFSVVAKPQKGLKPELK QPIVHFLSRLLNHDDKIVAQEAFLNLSELLPDHSFSWLQHDKLLCSALKKSDHSPMLK VFADANAIFNEGAHIVHGFVEVKTKTDGADGKRKKSKALSANGLQLAAQKINQWISES TKSKILFLRLADVPNRIVAYIEDSLAKNLFVVPTNDILAAEWRYYLTTVAAFDLSDNE SLFLYRQSKERILDDRVALVEVFLGVIHMLAPYLTGDLCVALLNVMVPLLHARPTLVK TVSKVVTKLLKQPFVELDGRFTKIFNALLNHIDSSDEAGQCVLHMLRQSNSDDWKRET MVFLFHSNFDLASVTTLLTSNFHTFEIICLVADGSTNESIYGHLESKSLMPLEWMVDM LSLASVDAQRASLQLIKTMYLESDVATSWMSTDLPKTIKAFEFCRQHLASGAFNESVL LLGIIPFVRAWNALALKYKHLNVFIRDFHVQVRDTLESIAGLLKEIANDHRIHCSNAE QRLDSIVASLGVPSVCSNMIQVTMAAREIKCLYEDPKFAIKLNNEMDPNACKCQFYRQ TGKLLADNMAPYLLYNDSQKQIPSNVLRSTKKIAQCAGIDNDLKHLQRVADYLVQIQM DQAQPDLLALIMEQQEMTAVANATAKSFKWPRSYLHKQLKTPRAKPNERKFGGKNYFT MDIPPDDDADDDADVVDVHDSPVHQAYKKWTSKAEPLDTQTLMESLVRLITLSSSASI LGLRVFIQSLASKRVVAADRTVLNQKFLLHSRLEWKKMISTAVKANATSLVFWCVGES MKEALSPTKSRLAMDLISELLADGFKEGQDSLYAGYDSLDVHYKGLLFQFLTSHVGGI SHEITVVTLKLFQQLCEGHHANWQNVMRSSRFHRSVLDTVINLMSRICNQADGMFSLA NIEILTSSLVFLSEVCQGPCLDNQQYLVESVVPRLCADLSLDKIKCENGQYPLHLTRL KHRSNELLLSLGEGRTDDLVHVHLAELLRPQPLLDVISANRAQIKELRKASRKLELAD AYFMETIELLRVVYSLLSKAATADWDNEVLVQFAKHWDEVQVGNDDVDFFAKQIISVE IVRGDSAINVYFPQPKEAKFLRQPEKRRLLDIMDLGEDNALVAFTSTDARNLAEELRA RHVLASNVEYAWMNEWQTIIRWWMFAVGFYINFVMVLGLALNPDTADPVVHIWIEWFL SVLGGVFCILCSCLWLYNVITETTFSYARQLLRPSKLRRMTRDEIKHEIWNAVGSAGY TIVGWLAIFAVIIMEFGFDDDVTFVILKVSGAYIAVLVVLSLRKIGDIYHFAYVEGEK VKNTQGAGSNMLFWFNAMIDTITRDNFYVFTTYTFCAFMGLAHDTGYSCFMWYGLPLL DILAINARLSNILKAVTSNVAPLSVTLAFGAIVIYLFSLVGFFRYQDLMTDSASELQC SSLMQCYFTFMHYGLLSGGGIGDYISNTLAHPLDYATGTMFHERLVFDLAFYIFILVL LINLIMGIIIDSFTSLRESSERKLEIEMNTCLVCNDSKDDIEYRGILGGFTNNFKRHT ETEHNLWNYLFFIMYLDSKPSTNMNGTESFAYQKLLAKDMSWLPKRLGVPVEKAVDPT A H257_12506 MDDQSFDTKLFAAVVARNLDDVKRLLGPIDIHDVDVKPALARSF VEAAGRDASIVHWFLELKFDVNAADEDGDTALMVAARGELKSSTVYAVDASDDTSIVQ SKAKKMRAESTRILQKLLQHKPKPNMNCLNKEKRTALIQASMYSGDETTLQLLLDAKC DPNLVDEKLHSALHYAAGKGHERSIRILLNAGCDVHAKTIDGDTVLHKVCESGNIDAV KTILALRDVDLNAENHEKQTPLMLAASCGYVDIVQELLLRNADVSNRNQIGMTALHLA VSGDADVDVVKCLVEAHSDIENACTDEYSAETVLITAVISSKFSVVEYLVNAGANIEA SNKDGHTPLIVATMHKNDQMMKLLLTMNAATDATDKEGSTALMKAVVNLDHSATEVLL KAGANLQAQNNSGYTAISLAIYKDIQFGKMLYAKYQASVNSSSATNLVAPLKDQQTSS SSLDLLHIGDYVTLNLGDDQVLGCDGFVQTFLQPTEVNSEWDDGVFCIHPQLAYDHVD MTSTCHPYFEVTDRYSEHEREKKRNVAKLSQMLRTKDVVVVDTIFQLFHVKSQKYLRV NPMAGERKANASLDHLASSYSWLQFSPVTGQDVLTSMHLVLVSACIPKLYKGNRKPKP VHLVDDRSNAELCVALHCTYDRFLHHPFTLMTGSSYVCGDGLRQFPLSNSASLALKVE RVDALAAFELQKTPDGSSYEIRHVVSRFVLGIDCPCSKKEQLNATLVNSATTSNLVAK LKLESKAKSLPNHPHLGDPLETDMAGSLYQVSHTCLTCGNKWCLSTQENQIVWNHSDT WFVCELVDPAVVQKVFHVCSWREAYLTVVQHAMNIGTPDETVRQSIQGALVASKQICD LMENPFVGGVAECLQRICSEIVFLDAMFLTTSVFRSMAPAFRGMVDEVLAHFFKAMRV MVVGNDSFEAYLVEQFEHPIGKIKILSGLDIQYASKFVDARTILTSMIGKNTRTVAYL LEAINTDDQLDTLSWTNKAQFLIALSYASRRDPLISYKLYNHEIAIAHMWLQNWDTMS VYTFVQDGDVWVRWNDCCSGRIESPTSLNRWRVQEVGTLRTVFEEEHIAMPLRDIVSA LDKARGTPAHMAMDSWMISYLHHLKLVAALCKNNADVRPRFHGHFPPDLLMDGACSDA LSCPIQSLYLELFQLLYVPTYGVPESVQLTMLFLGIRDPQAHLTPFQLKRLDGLIQAK LVPPTKQDKSATFGFIERLLIAMETLINVGWYTSEKGRCVQAIEMLYAVGMAWDDDDL KFETVRHLEADPFNLHCRSTSGTTSVNACLRRLLGIARKLTRFSVVAKPQKGLKPELK QPIVHFLSRLLNHDDKIVAQEAFLNLSELLPDHSFSWLQHDKLLCSALKKSDHSPMLK VFADANAIFNEGAHIVHGFVEVKTKTDGADGKRKKSKALSANGLQLAAQKINQWISES TKSKILFLRLADVPNRIVAYIEDSLAKNLFVVPTNDILAAEWRYYLTTVAAFDLSDNE SLFLYRQSKERILDDRVALVEVFLGVIHMLAPYLTGDLCVALLNVMVPLLHARPTLVK TVSKVVTKLLKQPFVELDGRFTKIFNALLNHIDSSDEAGQCVLHMLRQSNSDDWKRET MVFLFHSNFDLASVTTLLTSNFHTFEIICLVADGSTNESIYGHLESKSLMPLEWMVDM LSLASVDAQRASLQLIKTMYLESDVATSWMSTDLPKTIKAFEFCRQHLASGAFNESVL LLGIIPFVRAWNALALKYKHLNVFIRDFHVQVRDTLESIAGLLKEIANDHRIHCSNAE QRLDSIVASLGVPSVCSNMIQVTMAAREIKCLYEDPKFAIKLNNEMDPNACKCQFYRQ TGKLLADNMAPYLLYNDSQKQIPSNVLRSTKKIAQCAGIDNDLKHLQRVADYLVQIQM DQAQPDLLALIMEQQEMTAVANATAKSFKWPRSYLHKQLKTPRAKPNERKFGGKNYFT MDIPPDDDADDDADVVDVHDSPVHQAYKKWTSKAEPLDTQTLMESLVRLITLSSSASI LGLRVFIQSLASKRVVAADRTVLNQKFLLHSRLEWKKMISTAVKANATSLVFWCVGES MKEALSPTKSRLAMDLISELLADGFKEGQDSLYAGYDSLDVHYKGLLFQFLTSHVGGI SHEITVVTLKLFQQLCEGHHANWQNVMRSSRFHRSVLDTVINLMSRICNQADGMFSLA NIEILTSSLVFLSEVCQGPCLDNQQYLVESVVPRLCADLSLDKIKCENGQYPLHLTRL KHRSNELLLSLGEGRTDDLVHVHLAELLRPQPLLDVISANRAQIKELRKASRKLELAD AYFMETIELLRVVYSLLSKAATADWDNEVLVQFAKHWDEVQVGNDDVDFFAKQIISVE IVRGDSAINVYFPQPKEAKFLRQPEKRRLLDIMDLGEDNALVAFTSTDARNLAEELRA RHVLASNVEYAWMNEWQTIIRWWMFAVGFYINFVMVLGLALNPDTADPVVHIWIEWFL SVLGGVFCILCSCLWLYNVITETTFSYARQLLRPSKLRRMTRDEIKHEIWNAVGSAGY TIGTNTSINLSFPQELPCGVM H257_12507 MSAMDADDFEKMLATAIDRRDAKQVEALLSQANVNAEVFHGPVL VRSFVAAACSDTSIFHLLVQANFNVNAVDDDGDTALMVAARGIDSKLYTDEDIPVGAA FSQDCATNVRLLLEAKADVNVINKSGETALFQAVNYGGDQAIVMVKMLLEGDLCHVDV QDEDGLTPLHVAVKRGQADIVALLINRKSNVHAKTSEGKSPLHLACQYGHFAVVEILV KYQPVLNELTEDRQTPLILAASCGSMAIVRLLLDLQVDVTIKDKSGMTALHQAVYNGV ELAVVEALVEAKSDIENICSDVYSADPIINDAVAQSDLNVVEYLLEQGVNIEVTNNEG YTPLIVATQFKRHDVMALLLEKNAKLEAADKNGCTALMESILKRDHIGTDLLVLHGAS LHSRNKNGFSAISLAMYHDIELGKRLYSMHQKKLQSPAKTPSTTTTVMAMQKQLSSDV GRSLRVGDLIYLHVENGMVLGCDGFLHTDLHLTFPTHEWEDYIFCIHPKMSYDYVDLS LGVHPYFETTDAFSEEEREKRRNDEKLTLALQTKDLVREYSTIQLFHVKSQQYLRVNP MASTTHANVELDHLPSCYSWFQFHADKPSSDLGIMTNSPVTLASASVFSAAQAVRKSK PVTLLANHSSTTKVLLGLYTSHDPLVLRPFVWKNGEYAISGTGTRQLPLPRSYSLNFK PQVSKVNSVFHLEMSSKSSAGGVLYQLRHVSSRFLLAMQCPCAKRDHVTASLVNPESL DTWIAHLKVAYHNNTVRSDLAHHDDDHREYAFHFSHTCPKCSREWCLGTTQNKMVWNQ SGEWLVGVPISTDFTKKVHHVCAWREAFLTFSHTALCFLDDTTTTENIIPQSIHAVLV ASQQIHSLMETPEVDEVVSFLQQICADVEILEAMFLATSIFRSMASIEGVDELMVTFF RAMRVLVVGNDSSEIYLQEQFGDRLGQIKILTGLDMEFATKYVDARIIMTNMIAKNSG AVSHLLENINASHIVPGNWTITAQFFIALSLSERPDSLIAKKLYDHEMNVSETWVSKW ETCAIHTRLDGDSICVRWCDTNEDGSAIPGMLHRSATIAAFKSQVKIEPRHVTVPLEL LVDESSKPTLPPLVDEWIALYIHQLKLVSALCEKNVNVRRFFQPKFPKHVLVAGIRRE SLPFAIRTLYVELLHYLYVPPTGMLDNIQLSNLFLALNIHGANPLSSHMPALYILIDA TIHAVVVNNVSYFVFLRKLLSFVDSLLSVGYCGTNPAYYKQLFEALYVTGMKCDAVVK FDSNGDRSTLDPLNLSCNTTYGVANVVLCQRRLVALIRKVIRCCVATTAPGDPPVEFS HGLVQYLSNLLGHNDTLVAQEAFVTLSEILPRASFAWLRAVKPMCELVKPDDHNDSLR QIIHEAQAVFDLDAHTFHSHVQRLHTKILDEGRKKKSKASTDPLQVSIHRVKKWKRNV ASNNPTLLRMLRIAQIPERTIAYLEAALARGVFVVPSNDDLALELEYYKTVVFKSTAI DNNATKGNSHFRMAKEEAVKDRATLAHHLLSLLHQFTPHLSEEFSLRLLVLVVPLVND NFALVKDVSSLVYSMLCQPNAVVDSRYADVFKALLKHVHYNESAGQCVLHMLRESQSD EWKNQASYQLFYSEFDLKTLLVAYPKQVFVLDILCLVADGSTNERIYGHLECKSLLSL EWIVQLITHAQATPSHKRVGLALIKTLYLDSDLETGWVSTHLDTLRPAFAFCRQALSM VGSHMDVVVDGVVPFLKAWVAMVTVQSKNPVVVEFHHQLEGDMKAMISHLDQLTSQHP FMCAHAKYPLSHVVETLSQLTMCTTMALIGNTRNDMAVAYAVELKKAPPQRICYHPFV RGARALLVNHAAKLMVQDPNQLSSALVIGAFQRLQATSIPDATLLDLAIVGKHLIQVD LQASQWDQDLISLILAEQDGLSSSGNKKANHFNKWAKVTPQLHRLKAALAFRPAKAAR DKTFRGKSYQSLDVQSFVDPVFVADHVNKDSSVDTFAHWKKSFEPLDLQTLMQCLVRL ITRSSSSSLLGLRVFVQALTSKREAAEDRVEYDEKALLQARVEWKALLSTAVKSDVTS LVFWCIKESMEEVSNPVKSRLALNLISELLVDGFKEAQDALYAGYVGLDDHFRSYLFG FLMSHITGQSHDASIVSLTLFQLLCEGHHTNWQNIMRSGKFNRSVLDTVINLMARICK QSDNVFALKDVQVMSKVLAFLSEACQGPCLENQQFIAESVVPRLCTDISLGKPQCENG QYPLHLAKLKHNVNVLLLSMVEGRDDTLVHLHYVELIRPQDMCRVLTVNRKKIKDYRA DYKYELADIVFAESIELLRLAYSLLSKATSHTVDNLFYFSKGWHDAQNEHVAFFAKQT INVEVVRGDTEIQVYFPRPRESRFLSPREQKRLMDIMEFGEDNALAAFTSPESRNIAE ELRTRHILAQNATYEWMTENQTFIRQAMFVVCFYINFVMVLGLAIDPDDSEPVVHIYS YWTLSGLGGVFCILCSSLWLYNIATEMSFSYARQKLKPVKLRRMTLQDMTSEVWSAIA ESCYAIGGWVAVYAAITMVYGMDDSLTYITAGVSLAYVLYIVLLAIRKVSGIFHFAYI TDDKVRNRQVAISNVLFWFNAVVDTLIKDNVVVFTLYTLCAFMGLSSDVSKRAYVYYG FPLLDILAINGRLSNILKAVTSNLVPLGVTMAFGTIVIYLFSLIGFFRFQELMTNDDG PQCSSMMQCYLTYIHYGLLSGGGIGDYMSSTLAHPLDYSDQVSFFERVVYDLGFYIVI LLLLINLIMGIIIDSFTSLREASEKKQEIENSICLVCNDTKDDIEYRGILLGLSNSFK KHKEEEHNLWNYLFFIMYLESKPATDLNGTESFVRQKLLAKEMSWIPKKKGNSVRAAA EAY H257_12508 MTSKATMTSTEIDDFDDALYTVVIQRDHAAVQAMLAQVDIHSPD LQQPLTSGFLEAAGRDASIAKLFLDANFDVNARDEDGNTALMVAARGEDTYVFDSFSD HEGDECDPLCERQQHPDLQIEFIESLHNVEQLLAHGAAVDAANHTGSTALLQAVTASG QPDIVRLLVQAKCNVVAIDDKGCMALHHAALRGQAENVRLLLTVHDPQDLQVTTADGD SVLYLACTSGNVDVVNLVMASSAHLHVDAANEDLQTPLMTAVIFESLEIVQRLIQAHA DVTMKDSFGMTALHHAVYSGANIDIVACLVDAKSDINNTCVDDMANTILHDAVTGSSL EVVAYLVKSGAAVDAVNGEGKTAAMLAHEVGRQDMVEFFESLAATP H257_12508 MTSKATMTSTEIDDFDDALYTVVIQRDHAAVQAMLAQVDIHSPD LQQPLTSGFLEAAGRDASIAKLFLDANFDVNARDEDGNTALMVAARGEDTYVFDSFSD HEGDECDPLCERQQHPDLQIEFIESLHNVEQLLAHGAAVDAANHTGSTALLQAVTASG QPDIVRLLVQAKCNVVAIDDKGCMALHHAALRGQAENVRLLLTVHDPQDLQVTTADGD SVLYLACTSGNVDVVNLVMASSAHLHVDAANEDLQTPLMTAVIFESLEIVQRLIQAHA DVTMKDSFGMTALHHAVYSGANIDIVACLVDAKSDINNTCVDDMANTILHDAVTGSSL EVVAYLVKSGAAVDAVNGVNTIVCGCYWLI H257_12509 MGNITAAATRGAATPKPATSKATAPPTDKQPQGKIPVVPPDKQL LFIEDQVAQRAEWNAESVFVYVKRLCGGLQESESEGRKRRQKVKSFVPGVHNTFSFKH NLDDSSASSPRMQAAATTIVAWLRRHMYRMRWRRAVLLLVLRLRDEQRLQRDEAALEH TICRFRDILKEGFTASKVAVHGNLKTIQLRLVVDTTCDECYLTWTPSKKRDPRVMLHE IESVVPARKNMEGTVIPIHLLRKVSYRRTFILSIHTKSMIKGVPAIPKRMILQVATAK ERDFFVKGFHRYLDNRTGEGYVDATGVPRMDTRRAALSFFNPPASPPSSPPQAPTTHP SLSRLEQLELNELRRKKPPAMTMASADTPAPSPVDVLSGDEDEGDGTPMGHESKRVAP CPVDEPPPTPLLSHLYSTRYDAMDMKDAEQAMQARPVLTAHQAHLLDEEDRLSSVFDR ILLMQT H257_12510 MCSSWKKLPPLLRWLLPAAIALLIVWVYVGFALNSMGLLALPVE YWLQFNTTFAIMLVCLGRTMAAQVTLDVAKHVQGDGSIELKYSGEKRFCRKCNVPKPD RTHHCSACGSCIAKMDHHCVFLNKCIGLENYKFFVLFLWWSAVVCLDTAYLTWTHVFG LAFDRLAHDIAARAFQLTSPHTQVVCVFFTSMCVGLALLVFCGMHLVLSMCNLTTLEY CEKRGTIGFVNYYNVGVLSNLHQVFGNWLVACLPIYPAHMTALRQQFPVNVKKFD H257_12510 MCSSWKKLPPLLRWLLPAAIALLIVWVYVGFALNSMGLLALPVE YWLQFNTTFAIMLVCLGRTMAAQVTLDVAKHVQGDGSIELKYSGEKRFCRKCNVPKPD RTHHCSACGSCIAKMDHHCVFLNKYSTPFHDGSRSPCWIHDDLVWRRCIGLENYKFFV LFLWWSAVVCLDTAYLTWTHVFGLAFDRLAHDIAARAFQLTSPHTQVVCVFFTSMCVG LALLVFCGMHLVLSMCNLTTLEYCEKRGTIGFVNYYNVGVLSNLHQVFGNWLVACLPI YPAHMTALRQQFPVNVKKFD H257_12511 MSTRAADRAHLYNRQPNPNSSVNAEQTHRLMEDQNDEKIDQLSI QIGALKKMTQDINNAVKEDNKFLDGMGQDFDNTDSLMGGTLKKLGNMMDTGGGKHMWM LIGFVVVVFVLLYFLMTGKY H257_12512 MAEQAFVGAGQRPGLVAWRIEKLIPVPVTKDLHKLYSGDSYIFL QTVQKNSSLTWNIHFWLGKDTSQDESGVAAYKTVELDESLGGGPVQYRECQGFESDLF LSYFKSTGIEYLTGGVASGFNKVVRDQYDTKLLQVKGKRTVRVNQVATKNTSLTKDDV YILDLGLEIFVFNGSTANRQEKAKALDYVRQLNNNERGARAQITFLDDEPKNEKFWTT LGGFINVTKEGDDDDAHDQAHRESIKLIQLSDASSSLKTVDVTPKDGVLKKDLLNSTD VFIVDAGNVLHVWIGRGASADERKNGVIYASQYLTQTKRPAQTPITRVAEGGEGSAFK ALFHAWDPPRTISFGQQASTSATTRAVEDKGVNASALFSASAAEDDDLFAGQDGTNAI KVWRIENLEKVQVDAATYGEFFGGDSYIVLNTFTPKSGAKPSHVIYFWQGRQSSQDEK AASALWAQRLDDEMGGSPVQVRVVQGKEPSHFRRLFLGRLIVHSGGKASGFKNRHDQD SYDDDGVSLFHIKGTSELNTFATQVDEVAANLNSGDVFVLTTPRQVYQWQGSGSNAEE RRVASNIAAILKGKRAVTVVDEGDADDAFWNFLGGKTDDYPKVKAGQEAEHEPRLFHV TNETGYFHASEIHSFAQDDLNIDDVFLLDCYTTLYLWVGQGANESEKRGALKIANDYL AAAQSDGRGEGTPVISIQSGKEPAIFTCHFAGWDAAFFHQPAFVDPYEAKLQKLRDEK AKAAGAVAPEAAAPAPVHDAPPAPVTAVAGGNQTFTLQQLIAGVDGIDLTRKESYLSD AEFKAVLGVTRGEFAALPKWKQQAKKKEVNLF H257_12513 MAEAAAGPGIPVIARPSSKLPGPLDITHFDFGVTLGTGSFGRVR FATHKASGSFWAIKILKKAEIIRLQQVEHMISEKTILMCLDHPFIVNLAGTFQDTRCL YMVLEYVIGGEFFTHLRKAGRFDFNTTKFYSSQVVSIFEYLHSQDFIYRDLKPENLLL DHEGFIKITDFGFAKRVAFKTYTLCGTPEYIAPEVLLNKGHGKGVDWWTLGILIFEML AGQPPFCDDDPMGIYQQILSGKINFPRYFDRNAKALIKRLLTADLTKRYGCLKNGVDD IKKHKFFSGCDWEAILARKGTAPIIPKVVTPNDTSNFDPYPDSNEEAPVPVYNGKDPF CEF H257_12514 MLGFQDCTVRLKPVPLRFLCSKAECPDIAPSAPVLHLWGDVFVA GVFQHEFAMLDHGPLLVFQLFFQPTYMLESTSSA H257_12515 MAEAAAALLKEFEAAKREVESARQHVEETQKAYERERERYAAAV ETKKSYADEVDVLAKKLDITLSEVTMQPEYIVEFQANIGCYQCYVHVDMSSSSTVPYL QLGSTSNRVELRTSPEHILVDVALDHAVDLASSVVRVQKDHVHVRIPLTSASKENRQS TMTVGLTARSIPRAQLDVQEYAHLHCRCCQANLMTDSETPWTKALPLPSSNWMEMVDF WGAAEGAFEHIPRDGIDAAAGRIYVGSADLLLHRSNVGNVVVATPEPSISSTQPTQAA SIKAVLLCAKCSTPLGSLQNGTNVRLFKHCLDTTASIFHSYTSDSVLVVQMLEVIEAD GFFRFDVVDEAAADGARRLRVQVLSWDATIQTSEFPTPQKVLKVLFAVEKIGPNESDL PSKELTATTALIDDVVERLQRSATLLPSSVVAGMSKGSIGFLFG H257_12516 MLSTRFVLPIAAAAACVGATSPADIPDLSAELEVWKRSRAGQVA KAHGLLPRLESTDEELKRFYDTKLDVARLNLLHPNAQFSMDSPFSLLSMAEFKVLVGR SYATSQPSTKTVAAASPPRHALPSTQDWMTSGCVNKVQQQGQCGSCWAFAAISAVETA MCLASPSKSLTKLSEQQLTSCDTATGNMGCQGGYPTNAINYVASTGVCSLEEYPYVSG STTQDETCATSCTKKITGIKKAVNVAPGDAAVLAALQDRTIVVGVAAGNNEWKQYKSG VLNSCSTADLDHAVVIVGYTDSHWKIKNSWGQEWGDQGFIYLRRTGDSNNGTCGVTSD ASYPSLE H257_12517 MLHSTSVATARLSLHRHHALKRFSNLPFTRPLGFDVAKERSKFG HKVSSFPTDKMNEWLDPDNRDMRRELKVFLEDDSFRPRYNIPLAEEREIALGQLKKIC DAKFFSVKDFHTNPHNIYAAHEIAGLTNPSMATKMTVQFNLFGGTVLKLGTEKHHERF VDDIDSLASVGCFGLTELGYGNNAVEMETTAVYDAASDEFIINTPTVLAQKYWITNSA VHAKWVVVFAQLQVQGEQHGIHAFLVRIRNEDMTVADHVRVEDMGHKMGCNGVDNGKI LFDNVRIPRTNMLDALSQVSGDGTFTSHVSSKRGRFLAVADQLLSGRVCIASMLMGGT KLSLAIAMRYAASRATVGPKGKSDTAILHYGLQKQALLPLVARTYVLAHGLNVVKDKY KAWNKSTDPLDRLELVVLCSAIKPVVSWNAENVVSVCRERCGGQGYLSANRFGEILGF SHAAVTAEGDNRVLMTKVTKELGELVKQGRYKLSPTAGKPFGGTPSWGNVEYVKYLLG VREAALFAKLGKIMKTDLEQGKTVFDIWMVEQSALVQDIALSYVERMSFEATVASMND DPANADLRPVLTKLALLYGVSCVQRDLGWYVCNNIVAPDLGNQVDETVKALCSSSESG LGDDALHLIHAFDIPDYVMAAPIALDWVKFNEADNQGEVV H257_12517 MLHSTSVATARLSLHRHHALKRFSNLPFTRPLGFDVAKERSKFG HKVSSFPTDKMNEWLDPDNRDMRRELKVFLEDDSFRPRYNIPLAEEREIALGQLKKIC DAKFFSVKDFHTNPHNIYAAHEIAGLTNPSMATKMTVQFNLFGGTVLKLGTEKHHERF VDDIDSLASVGCFGLTELGYGNNAVEMETTAVYDAASDEFIINTPTVLAQKYWITNSA VHAKWVVVFAQLQVQGEQHGIHAFLVRIRNEDMTVADHVRVEDMGHKMGCNGVDNGKI LFDNVRIPRTNMLDALSQVSGDGTFTSHVSSKRGRFLAVADQLLSGRVCIASMLMGGT KLSLAIAMRYYSTPSSPIHSPNAKGVMPRYAASRATVGPKGKSDTAILHYGLQKQALL PLVARTYVLAHGLNVVKDKYKAWNKSTDPLDRLELVVLCSAIKPVVSWNAENVVSVCR ERCGGQGYLSANRFGEILGFSHAAVTAEGDNRVLMTKVTKELGELVKQGRYKLSPTAG KPFGGTPSWGNVEYVKYLLGVREAALFAKLGKIMKTDLEQGKTVFDIWMVEQSALVQD IALSYVERMSFEATVASMNDDPANADLRPVLTKLALLYGVSCVQRDLGWYVCNNIVAP DLGNQVDETVKALCSSSESGLGDDALHLIHAFDIPDYVMAAPIALDWVKFNEADNQGE VV H257_12518 MKVPSSLAIAAAFAASSVAALDAKFYGIIYDIHPSEFECKDFDT MTEDLKILKGVTNNIRVYSTYFECITTLLEVARKSDIKVWLGMWSDVETSIVHDGSEH KVVDRFPLDMADFKELVEDTEWIQNENILGIQVSSDALRRYYVEGPGSTTGSSDRRGI DTVLRHLKTVRSYLGDHNLTFPVVISDTMDMYSRFPELYEAVDLVAVTEHAYWDEISP EDAAHYIFKQFQEHQTRAKRVGKLIQLFETGWSSGGNMSDTVASPLAQGVFTQDFLTL ASRQNLNAFFYAAFDLTYRTDDLESHCGIHDVNRTLKPGVKAVHVGAPLQAVRLWAGD NVIKAHRYWNANDSVNENFGRVYGAKPSVGPSGVLDDEIWLWDKESSNLYSKSSNQCL ESFGEGNTQALRMRQCSKDNRDQKWIVANGNLASQNDANFCVRVDVDPTTPDGNLVVD MSPCNEQRKHPISKFPVAREPLEIGIKTDGGVLTELSGKVTWQTTRQSNAENHQWLYD PVAQNIKSASNNFCLDASKGMDGEHVALADCAPANENQKWDVNDITGQIHHATHIGFC LGAPDEVDEIVYLAWCDKDNANQQWNVKLVNAKA H257_12519 MFEDDLYDNSRLLLNCILVRKAITSDKLAVQLEITAFIDAMATP SAKARLFIEECVSPMTYWHQVGATKFPILFVIAQIVFSVPTSQAASERVWSIYDFILT KCRARLSPEKVTKLVELYMNAYTCTTGNLVSVMMGEERDGGASDTDEESKE H257_12520 MTSIVLHTAQTVHAVAAPTLAQFAIVCDLITPLKFEALNAFIDG IVSPSTPPPDVLRYTVCLFGAYPFATLFPLISSPTIKHLVSLGLGVAIAQFVFGSTWV HPLIMTAGSYVLVLVAPRRHVGAISLVWNLVYLSFSHLYRMYVDYMGVTLEISGAQMI VCMKLTAFAYNIHDGVVDGRRFDSPTDNKNLARVFASRKALAVTSVPSLLEYFSFAFC FSTFLAGPSFEFREYIDVINGTKVVGPGRVRAGVTKLSIGLFYVGLTAAFGMQYPTTM FFDDAVAALPWYKQIPTLYFAFFLFKCRFYGCWTVAEGATVLCGFGYEGVLDGKHRWN GVQYMNVWEFEFASCHRDSTRKWNKVTQGWLEKYIYSRTNNSLVATYFVSALWHGFYP GYYLFFMLMPLPTAVNRVAHKKLRPWFLEHDGSEGFKKHVYDVVGGFLNALSIHYISL PFLTLGWTESMQAYTNLKFSGHIVLVTFLAVLTILPTRKNISAKRD H257_12521 MSVSKEVTELVEFLSNPRADVRQSAIQLTVGLTGTDSGIQQLIK ADAVKALCRLIGDMNAIARDAIHALVNITATHHGACENALKHDIVNRLMNQLDSDFQH KDLSVMLLANVTTTPEGAKALVINDAKYSVREVILQNLTVRFLESEPEPDGIDPTTNE PKWDDDYQYVANVLANITQLEEGRAFLLRLRTKQGQPGQVQSLVHVLLPQVHSANVIR RRGIIQALRNLCFDSDNHFFLYDTLDIVVHLQRRLAGPEPLEDADKVGMSSSILAVLG PKKKRETDAQVRKDIVECLLLLCSSRNGRNILRQKKVYPIIRNAHLVEADEDVSEQIY KLVDFLIRDEEGEEPDWNDIRAKSLGADPVLPPPVAAISTPAAAVEEKQVARTNTDVP KNVTKSVVQAPVVVVDLVDEDVEDARAAAIAKEIANLDVSTDEEDDTPPLIN H257_12523 MKVPRQLKPRAAMDVFQNPNVITHITAFQRGLYYDMRDLACLKV PFFDSHDLVETWKPVEGALAPWLTHHKTSRVKLLLSCLPHLEHVVALHAAISGDLPLL KYLHKELNVLLACPYFLLDYAAWSGHLDALEYLHTIRHRGQTPHALHEAARHGFLPIV KFLECYHRDSTSAMIAAAANGHLNIVDFLFNHRQDSFEDKAMDAAAAGGHLHVVMWLY SRGSRSWRALSAAANHGHAHVMHFLLQTPIKNWGPVSMDTAASKNRVEVLRNLQAHPE VVSQHHAIDAAAVHGHLEAVVLLHEIPLATASVKAINVASAKGYLSIVEFLHANNTAG CTLAAMDDAAANGHLEVVKFLHTHRTEGCSTDAMDLAATRGHLDVVTFLHTHRHEGCT HDAMDGAASRGHLEIVRFLHENRTEGCTEAAMDRASQNNFADVVQFLVAYGHASSSSR AIDAAVGNGNTHLVEFLLTHGHRPSVDALESCAWKLDASSFESMYALVDNHSARVDAT VDVAVFAATRGNLALLHTAIRLGGVTAVPDTALVGAATNGHLAVVQYLVPKSNGHQPL SAFDDASTNGHLEVVKYLHHEGFPCTVRAMDGAAINGHLDVVKWLSEHRKEGCTTEAM DGACRNGHLEVVQWLYYERQIHVSPHALTWAADAGRQHLAEWLVTTCAVECDVSEALV SAAASGHLPLFVYFVKSCSQWNVSEDILIVAARHGHLDMVKWIVMTSDPPVTTFMAAK AACNEQRTLVMAYFNSMDTMDSMGDDDVESGSDDDDDEDLGDECGDALLIEQVNDLAD DSSSCGDAGSDYEDD H257_12522 MKCGTSSATSPAMQGGCRQHLLAVFLSTMLLVCCGDTMPAHRIA LSSRLPVSPTNATAAGRVQLQNYGNVQYVGELSFGSPPQVLTVVFDTGSSDTWIPGMS CTECGLHSAFDYTQSSTFQDTHEKFLDSYGSGEVGGVIGVDTIGFGPFVVPNVRFGVV TEETESLGMFIADGLVGLGFESLAKISRPTLFSTLAAQNAGVNNMFAMYMTAEPNQDG SELHLGGYDLSMVGDNASWHFTPTVKLPDFDTFTYWAVQMNGFSVRNNSDNHCDPFCY AIIDTGTSLISIPDTQYTEIVEAITAGLACDGLVCHDVSLASFPPLKFGMAPDNIFTL DPRDYINCDTRHTCRIQFQNSGDEAWWVLGDVFIKTYYTLFDAQNLRVGFACNGDVCK GGRGGVRGSDDDGAFDMWEDVFLFGSVCAAASLLLFVFYMHQHHLPSLEPMPTPPHGR PDMDATHVDIKSPLLTMEQFRNLNTPPVVTSYAEARRNYSQLPTVTSPAKPPAAVKIT R H257_12524 MAKKEEFNAGIMDRKRSPNRLIVDEASNDDNSVVALSMAKMEEL QLFRGDTVLIKGKLGRESICIVLQDDSCDDANIRMNKVVRKNLKARLGDLVTISACGD VPYGKRIHVLPIDDTIEGVTGNLFDVYLKPYFLEAYRPVKKGDLFLVRQAMHPVEFKV VETDPAPYCIVAPDTIIHCEGEPIRREDEEKLDEAGYSDIGGCRRQMAQIREMIELPL RHPTLFKTLGVKPPRGVLLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKMAGES ESNLRKAFEEAEKNAPAIIFIDEIDSIAPKRDKTNGEVERRIVSQLLTLMDGLKQRAS VVVIGATNRPNSMDPALRRFGRFDREIDIGVPDENGRLEIFRIHTRNMKLDDSVDPES IARDTHGFVGADMAALCTEAALQCIREKMDVIDIEDESIDAEILDSMSVTQEHFKYAL GVSNPSSLRETTVEVPTTTWKDIGGLEQVKRELRELVQYPVEHPEKFEKYGMSPSRGV LFYGPPGCGKTLLAKAVANECQANFISIKGPELLTMWFGESEANVREVFDKARAAAPC VLFFDELDSIAQQRGSSSGDGGGAGDRVMNQLLTEMDGMGAKKNVFIIGATNRPDIID PALMRPGRLDQLIFIPMPDLESRLSILKSVLRKSPVSKEVDLNYLAAQTDKFTGADLT EICQRAAKLAIRESIQRDMERDRLKEEAGDAAEDMEVEEEDPVPEITSAHFEDAVRNA RRSVSDRDLHQYSTFAQTLQQSRAQVGASGSSLSSFSFPSRNVVGGGGGTTAAVDEEE EDLYS H257_12525 MYTQNQLSPRDMQGQGQPQSYSVSGHQQQQQLQQQNERQLQDQF QALPSQDQAKVPSHHQAHGQTSASEQLSHDTRMQEHGQMQGLLNDQLQNQLQLQQQQN HLHAQLQGDLHGQMQNQIQRQMHEQMQEQMQRQMQSQLSPSSMMQQHGGHQSSPYTHA MVHPHIPGLIPGQMGLQLKNQRRHHGISDKGMDNMRLPMATHDMGGLMEPDDLEMEQR LHSGLDYDDDDDDDDEGKKGKGDANSKKPWTREENEKLMQLVKQYGAKRWSLIAMHLP GRVGKQCRERWHNHLNPAVRKDAWTAEEDYVIFECHKNVGNQWAEISKMLPGRTDNAI KNRYYSTMRRMQRQSLRKKGGGGGCSASARDTKPRSQSMVATSPTTDDRNMFQRGKQF QKLVLSAVGDKVDSNFFSEYDPVVQRQQQHGMMEFGAPSIPGFPSEYNPHGASSFSYD GPLRRHSSTSDAPHINMYQHPPLHLSPTASSMGLPSTTSSYDNQRGRMSLEAADEDKR HTGDDKSRPHVSVNARLFATAVPAQVSGSTTVVPLDPLKEGKAVGFDTISETQEVYI H257_12525 MYTQNQLSPRDMQGQGQPQSYSVSGHQQQQQLQQQNERQLQDQF QALPSQDQAKVPSHHQAHGQTSASEQLSHDTRMQEHGQMQGLLNDQLQNQLQLQQQQN HLHAQLQGDLHGQMQNQIQRQMHEQMQEQMQRQMQSQLSPSSMMQQHGGHQSSPYTHA MVHPHIPGLIPGQMGLQLKNQRRHHGISDKGMDNMRLPMATHDMGGLMEPDDLEMEQR LHSGLDYDDDDDDDDEGKKGKGDANSKKPWTREENEKLMQLVKQYGAKRWSLIAMHLP GRVGKQCRERWHNHLNPAVRKDAWTAEEDYVIFECHKNVGNQWAEISKMLPGRTDNAI KNRYYSTMRRMQRQSLRKKGGGGGCSASARDTKPRSQSMVATSPTTDDRNMFQRGKQF QKLVLSAVGDKVDSNFFSEYDPVVQRQQQHGMMEFGAPSIPGFPSEYNPHGASSFSYD GPLRRHSSTSDAPHINMYQHPPLHLSPTASSMGLPSTTSSYDNQREAADEDKRHTGDD KSRPHVSVNARLFATAVPAQVSGSTTVVPLDPLKEGKAVGFDTISETQEVYI H257_12525 MYTQNQLSPRDMQGQGQPQSYSVSGHQQQQQLQQQNERQLQDQF QALPSQDQAKVPSHHQAHGQTSASEQLSHDTRMQEHGQMQGLLNDQLQNQLQLQQQQN HLHAQLQGDLHGQMQNQIQRQMHEQMQEQMQRQMQSQLSPSSMMQQHGGHQSSPYTHA MVHPHIPGLIPGQMGLQLKNQRRHHGISDKGMDNMRLPMATHDMGGLMEPDDLEMEQR LHSGLDYDDDDDDDDEGKKGKGDANSKKPWTREENEKLMQLVKQYGAKRWSLIAMHLP GRVGKQCRERWHNHLNPAVRKDAWTAEEDYVIFECHKNVGNQWAEISKMLPGRTDNAI KNRYYSTMRRMQRQSLRKKGGGGGCSASARDTKPRSQSMVATSPTTDDRNMFQRGKQF QKLVLSAVGDKVDSNFFSEYDPVVQRQQQHGMMEFGAPSIPGFPSEYNPHGASSFSYD GPLRRHSSTSDAPHINMYQHPPLHLSPTASSMGLPSTTSSYDNQRGIASSYVNVSPTE QVA H257_12526 MGKQVSSPRSPGTSSMEPAAKDPDADVVGLSRARFLDHFLSFRE HLLAQFLEHQSAAVKLDVDEDVAARSGRRVIVDVDLRAPEMDTDEEVENIDTVEEVNA PPVIPAASMEDDSVSRIRTNDTVDTYRRTPDEGTTTAEQEESSDAVVGDAVTPPTTPH NDIHRRKRSLDTTTTTNSHPADASMSVPLETPSSVIRSPPRKVLRSSTPGRQYQPPVV TTNVRYLGQQALLALDDKQLPKANAALRTGDSVALTDVLRMHTEKVHQLQKAPSKKRQ LPRLKDPLRVKCHWDMLLEEMAWVAADFIEERHWKRAAAWRLARDACDAKSAEKKATE QDKRKLARQVAVQISSFWRAMERIAARYQTRSSPSFDRHISVAKDHPSTSSPRHLLRV GALDGKASLEWMRTGGNPRVRDVVVDLMDTSKRARDAMKANSAVDDMYAHLKLAQFQW NALQFMVALNQGGHNILLNDQLGTGKPYTVSLFLYALSRLQGDDGSGGGDRVPHLIIV PDADVHKWVHYIKVLHPHRRLQLYGGSSVERLRHRRAWDAEYMQSSSSIDTEPVYSVI VAHSVFIQDAAAVFGPQLWQAIVIEDMGQTVDTPLAYTGLRNVSARVVVCEMALDQWA SDRTALWGEFLLKHTMLKDEAWNVVEWDDLNLAEAASVQRMMKHAGMPFKDESSCLQI ALRALTLGRLRNDMEAQLGKVEEQTVACCMTPSQLKYYNNAVTGFNATADKDTVDHWL RLMLRLRAACNGVDVLQDFDRLSIVDRAMLESCSAKLKAMMELVDRLVHQDKVRVVVY VQSDVMLPAVEYAVTTHLNIPCVRVTGSASSQHRALTHFASKDSVRVAILSSRARTIG SNRAACVYGAQAVVVVDSDWDPMCDAKLRAAWHLLAVNGDVTVYRLYCENSIEASLLR VGSTVSEKLFGEMTPTECIASPLFKYDAVCPSWWSSSVNVDLSKALMNAELVEKYCGN VENVEWYHLEAPLTTGGELDTEEHLLLSNSDELTPVEWYAVHLVQSLKEKQFPRSSKP APLDTTITAGPDDGVSSFETVVAERNWSLWKQEPTGGLFYDRHEASKRAVVQSLRLDD GMYAGLVHELHAPEPGDVVLDDTRDDVPVSIVYRARKPPSLVPDKLKVASDLKPIKVK KSKVPGKAADESLKRKSTAADGKKSTDYEGFPLPEASAGFDDDGFWGDTNLDALDSVS WDDTSILGGIQVQGLPDPAPTKKLKTSAAAASANLLQRPRKQVPEASREGWSFVEEGL LKKLHDVYGGNWNLIAQILTRQAMVKRRSARQCQEKFQRLLAPNKDKPVKVKPLALSP AAVSSRVGLHTGGVLLKYPPTTFGIPPPPIRTNWVLKQPVQDHELRHFRSSMEAVLTS VKKKVAPPPVPIPSTAMGPHESHAVILSTPVLSPDEVINKSKLLATYQATVNGGPPIP PPSFPESSGLGSLDLPATSSSHVAPDTTPAWGDMSVLHQNLTRAGAESASSLPNSTGV PVSTLLYVIDRMPEIKIQIQSILHRHDCSESQKVALIARLLSVTSQAATTAPSSTSAR SLLQS H257_12526 MGKQVSSPRSPGTSSMEPAAKDPDADVVGLSRARFLDHFLSFRE HLLAQFLEHQSAAVKLDVDEDVAARSGRRVIVDVDLRAPEMDTDEEVENIDTVEEVNA PPVIPAASMEDDSVSRIRTNDTVDTYRRTPDEGTTTAEQEESSDAVVGDAVTPPTTPH NDIHRRKRSLDTTTTTNSHPADASMSVPLETPSSVIRSPPRKVLRSSTPGRQYQPPVV TTNVRYLGQQALLALDDKQLPKANAALRTGDSVALTDVLRMHTEKVHQLQKAPSKKRQ LPRLKDPLRVKCHWDMLLEEMAWVAADFIEERHWKRAAAWRLARDACDAKSAEKKATE QDKRKLARQVAVQISSFWRAMERIAARYQTRSSPSFDRHISVAKDHPSTSSPRHLLRV GALDGKASLEWMRTGGNPRVRDVVVDLMDTSKRARDAMKANSAVDDMYAHLKLAQFQW NALQFMVALNQGGHNILLNDQLGTGKPYTVSLFLYALSRLQGDDGSGGGDRVPHLIIV PDADVHKWVHYIKVLHPHRRLQLYGGSSVERLRHRRAWDAEYMQSSSSIDTEPVYSVI VAHSVFIQDAAAVFGPQLWQAIVIEDMGQTVDTPLAYTGLRNVSARVVVCEMALDQWA SDRTALWGEFLLKHTMLKDEAWNVVEWDDLNLAEAASVQRMMKHAGMPFKDESSCLQI ALRALTLGRLRNDMEAQLGKVEEQTVACCMTPSQLKYYNNAVTGFNATADKDTVDHWL RLMLRLRAACNGVDVLQDFDRLSIVDRAMLESCSAKLKAMMELVDRLVHQDKVRVVVY VQSDVMLPAVEYAVTTHLNIPCVRVTGSASSQHRALTHFASKDSVRVAILSSRARTIG SNRAACVYGAQAVVVVDSDWDPMCDAKLRAAWHLLAVNGDVTVYRLYCENSIEASLLR VGSTVSEKLFGEMTPTECIASPLFKYDAVCPSWWSSSVNVDLSKALMNAELVEKYCGN VENVEWYHLEAPLTTGGELDTEEHLLLSNSDELTPVEWYAVHLVQSLKEKQFPRSSKP APLDTTITAGPDDGVSSFETVVAERNWSLWKQEPTGGLFYDRHEASKRAVVQSLRLDD GMYAGLVHELHAPEPGDVVLDDTRDDVPVSIVYRARKPPSLVPDKLKVASDLKPIKVK KSKVPGKAADESLKRKSTAADGKKSTDYEGFPLPEASAGFDDDGFWGDTNLDALDSVS WDDTSILGGIQVQGLPDPAPTKKLKTSAAAASANLLQRPRKQVPEASREGWSFVEEGL LKKLHDVYGGNWNLIAQILTRQAMVKRRSARQCQEKFQRLLAPNKDKPVKVKPLALSP AAVSSRVGLHTGGVLLKYPPTTFGIPPPPIRTNWVLKQPVQDHELRHFRSSMEAVLTS VKKKVAPPPVPIPSTAMGPHESHAVILSTPVLSPDEVINKSKLLATYQATVNGGPPIP PPSFPESSGLGSLDLPATSSSHVAPDTTPAWGDMSVLHQNLTRAGAESGNIVMLYIYI L H257_12526 MGKQVSSPRSPGTSSMEPAAKDPDADVVGLSRARFLDHFLSFRE HLLAQFLEHQSAAVKLDVDEDVAARSGRRVIVDVDLRAPEMDTDEEVENIDTVEEVNA PPVIPAASMEDDSVSRIRTNDTVDTYRRTPDEGTTTAEQEESSDAVVGDAVTPPTTPH NDIHRRKRSLDTTTTTNSHPADASMSVPLETPSSVIRSPPRKVLRSSTPGRQYQPPVV TTNVRYLGQQALLALDDKQLPKANAALRTGDSVALTDVLRMHTEKVHQLQKAPSKKRQ LPRLKDPLRVKCHWDMLLEEMAWVAADFIEERHWKRAAAWRLARDACDAKSAEKKATE QDKRKLARQVAVQISSFWRAMERIAARYQTRSSPSFDRHISVAKDHPSTSSPRHLLRV GALDGKASLEWMRTGGNPRVRDVVVDLMDTSKRARDAMKANSAVDDMYAHLKLAQFQW NALQFMVALNQGGHNILLNDQLGTGKPYTVSLFLYALSRLQGDDGSGGGDRVPHLIIV PDADVHKWVHYIKVLHPHRRLQLYGGSSVERLRHRRAWDAEYMQSSSSIDTEPVYSVI VAHSVFIQDAAAVFGPQLWQAIVIEDMGQTVDTPLAYTGLRNVSARVVVCEMALDQWA SDRTALWGEFLLKHTMLKDEAWNVVEWDDLNLAEAASVQRMMKHAGMPFKDESSCLQI ALRALTLGRLRNDMEAQLGKVEEQTVACCMTPSQLKYYNNAVTGFNATADKDTVDHWL RLMLRLRAACNGVDVLQDFDRLSIVDRAMLESCSAKLKAMMELVDRLVHQDKVRVVVY VQSDVMLPAVEYAVTTHLNIPCVRVTGSASSQHRALTHFASKDSVRVAILSSRARTIG SNRAACVYGAQAVVVVDSDWDPMCDAKLRAAWHLLAVNGDVTVYRLYCENSIEASLLR VGSTVSEKLFGEMTPTECIASPLFKYDAVCPSWWSSSVNVDLSKALMNAELVEKYCGN VENVEWYHLEAPLTTGGELDTEEHLLLSNSDELTPVEWYAVHLVQSLKEKQFPRSSKP APLDTTITAGPDDGVSSFETVVAERNWSLWKQEPTGGLFYDRHEASKRAVVQSLRLDD GMYAGLVHELHAPEPGDVVLDDTRDDVPVSIVYRARKPPSLVPDKLKVASDLKPIKVK KSKVPGKAADESLKRKSTAADGKKSTDYEGFPLPEASAGFDDDGFWGDTNLDALDSVS WDDTSILGGIQVQGLPDPAPTKKLKTSAAAASANLLQRPRKQVPEASREGWSFVEEGL LKKLHDVYGGNWNLIAQILTRQAMVKRRSARQCQEKFQRLLAPNKDKPVKVKPLALSP AAVSSRVGLHTGGVLLKYPPTTFGIPPPPIRTNWVLKQPVQDHELRHFRSSMEAVLTS VKKKVAPPPVPIPSTAMGPHESHAVILSTPVLSPDEVINKSKLLATYQATVNGGPPIP PPSFPESSGKHPPLTVDST H257_12526 MGKQVSSPRSPGTSSMEPAAKDPDADVVGLSRARFLDHFLSFRE HLLAQFLEHQSAAVKLDVDEDVAARSGRRVIVDVDLRAPEMDTDEEVENIDTVEEVNA PPVIPAASMEDDSVSRIRTNDTVDTYRRTPDEGTTTAEQEESSDAVVGDAVTPPTTPH NDIHRRKRSLDTTTTTNSHPADASMSVPLETPSSVIRSPPRKVLRSSTPGRQYQPPVV TTNVRYLGQQALLALDDKQLPKANAALRTGDSVALTDVLRMHTEKVHQLQKAPSKKRQ LPRLKDPLRVKCHWDMLLEEMAWVAADFIEERHWKRAAAWRLARDACDAKSAEKKATE QDKRKLARQVAVQISSFWRAMERIAARYQTRSSPSFDRHISVAKDHPSTSSPRHLLRV GALDGKASLEWMRTGGNPRVRDVVVDLMDTSKRARDAMKANSAVDDMYAHLKLAQFQW NALQFMVALNQGGHNILLNDQLGTGKPYTVSLFLYALSRLQGDDGSGGGDRVPHLIIV PDADVHKWVHYIKVLHPHRRLQLYGGSSVERLRHRRAWDAEYMQSSSSIDTEPVYSVI VAHSVFIQDAAAVFGPQLWQAIVIEDMGQTVDTPLAYTGLRNVSARVVVCEMALDQWA SDRTALWGEFLLKHTMLKDEAWNVVEWDDLNLAEAASVQRMMKHAGMPFKDESSCLQI ALRALTLGRLRNDMEAQLGKVEEQTVACCMTPSQLKYYNNAVTGFNATADKDTVDHWL RLMLRLRAACNGVDVLQDFDRLSIVDRAMLESCSAKLKAMMELVDRLVHQDKVRVVVY VQSDVMLPAVEYAVTTHLNIPCVRVTGSASSQHRALTHFASKDSVRVAILSSRARTIG SNRAACVYGAQAVVVVDSDWDPMCDAKLRAAWHLLAVNGDVTVYRLYCENSIEASLLR VGSTVSEKLFGEMTPTECIASPLFKYDAVCPSWWSSSVNVDLSKALMNAELVEKYCGN VENVEWYHLEAPLTTGGELDTEEHLLLSNSDELTPVEWYAVHLVQSLKEKQFPRSSKP APLDTTITAGPDDGVSSFETVVAERNWSLWKQEPTGGLFYDRHEASKRAVVQSLRLDD GMYAGLVHELHAPEPGDVVLDDTRDDVPVSIVYRARKPPSLVPDKLKVASDLKPIKVK KSKVPGKAADESLKRKSTAADGKKSTDYEGFPLPEASAGFDDDGFWGDTNLDALDSVS WDDTSILGGIQVQGLPDPAPTKKLKTSAAAASANLLQRPRKQVPEASREGWSFVEEGL LKKLHDVYGGNWNLIAQILTRQAMVKRRSARQCQEKFQRLLAPNKDKPVKVKPLALSP AAVSSRVGLHTGGVLLKYPPTTFGIPPPPIRTNWVLKQPVQDHELRHFRSSMEAVLTS VKKKVAPPPVPIPSTAMGPHESHAVILSTPVLSPDEVINKSKLLATYQATVNGGPPIP PPSFPESSGKHPPLTVDST H257_12527 MGVDGGVRNASTTFMNPFTTIGHCLYSLLDPSSINACRYTKNMR ARSLVSASGDDEPHILPRPHRFGGSSSSSTCSSSSVHCRQCGISSVAPIASCISRNLE H257_12528 MPTSNRSGSSKEAEITRLIDECTWLLQKDPSCLRSRAIRGHACM KAKKWDIAAEDFTAILEVRHDDIYGRFSRGMALFKGGRIERAHADFTCVLTMNPNHVM ARYARAGCYNTEGEFSRAIQDYTIALKFDEHGHDKGFGRGESRLYLHETAEKAINDKL SLSYRTRDTANVKPPAGVPPPRPVTTVTKDVGTVKVAPRQPVNFRTSTSGQTQCHTAA VPSVARVKIDLTKKQTQPDVLETTSRHHHEYQRTTGHCQAGRSHVKRVTVAL H257_12529 MTAAADGSSSDMDAYLRGRIKGAFDMFDKDRKGCVIQEEVSTIM RYLGAYPSEKDIIKKILPEMQEDEPSTFVTYDRFEKKMLEVLYSHEYEPDTDETLLVA FRVLDPEKKGYIDADVMKDLLVTKGTPFREKELEGFMHVAKDGETGRVYYEDYISLLT HDVDDANNK H257_12530 MSRASIIDGNSANPTTRSMGPPHARATGHVPAAYSSKPCSSISS KSSRNVQPSATALKEFRKRWTILSETERMQCLRGTGVQFFATVAELVPCLACRSGAES LFLRATTTLPLLCGSLNPTNMLNSCIQLNENGAILFHTDQSFVLHPSYLQAADATLAL FLSHEVSHVVQASGGHHAGGSSKHSQKHQHKLQQQQQQLLQQQQHLQLQQQAALAAAT TTSSSHHHHHHPMMPPQFHQLTKHNTTLKVKSTTFNYETTMKLQMHSGSVPGVNGTTS SSMLTTTTTHHGGTSSTTSSTHHHAPSASSMTSMQAAAMQSALSQGAGLGLLGGGSGG PTISPMPPGGPGGLDLGGLGSLTLGLGLSSLSLGLGMNFAKSGSSTGKRCSLHSAKLK THPRPTAFTSLWDKMSGDYQMDITQIDSDQFLNDLECYLRRHRFCCRCKEKVLEAYDL LVGSGCSESDCEDCAGLHCFSDKSSQDDGGIAGGGAADAHAEHLSATSSNDVDDDDDD DDDDRSAFLFDELTFCRDTNQIVLPCNLEYLLQLMDRADQEVVMDRHARTLAEAQDEV LTCLGLVVWDKLQMMWSKIQCEERSADLLLYCSIATIKHNFDVAVEALHGEEIMEQLL AEEAEDEMRTVRKKEKRKEKKKKKKQQQAKSKVNDGDDEEDEHIPDKERQLLSSMGWE HADPVDGDDSEDNAIPEDDLVFWKNNSHNLESKRLAQRQKLQEKFQALLRRNNP H257_12531 MPTSTDVQAFRTGVNGVALGSVGLGGLYQDLPGEAVTIAVETFE TAFPRGVRLIDTAPWYNNAEDVVGQALRRVQTPRHEYYLATKVGRYRSDKHPNGEFDY SRSRIRQSVQDSLAKLGTTYLDVVYLHDVEFVDRDTVLHEAIPALAELQAEGVIKLIG ICGYPLDVLDDIVQRSPRPLQVVQSYSHLTLQNDALLTKAASWHAKGNTVSPIHRRVL LKHYVLPGIVVINAAPLSMGLLTSRGPPAWHPASSALRASCAAALTWLASVEFATEQQ KPSVEGLAIQYALDAQKEHHNVVTTMVVGSMGEAEVLSSIRARDNPSLLSKAHIAKFR QLLGHSYNESWVQDLTCYDAHLLVPPHV H257_12531 MPTSTDVQAFRTGVNGVALGSVGLGGLYQDLPGEAVTIAVETFE TAFPRGVRLIDTAPWYNNAEDVVGQALRRVQTPRHEYYLATKVGRYRSDKHPNGEFDY SRSRIRQSVQDSLAKLGTTYLDVVYLHDVEFVDRDTVLHEAIPALAELQAEGVIKLIG ICGYPLDVLDDIVQRSPRPLQVVQSYSHLTLQNDALLTKAASWHAKGIVVINAAPLSM GLLTSRGPPAWHPASSALRASCAAALTWLASVEFATEQQKPSVEGLAIQYALDAQKEH HNVVTTMVVGSMGEAEVLSSIRARDNPSLLSKAHIAKFRQLLGHSYNESWVQDLTCYD AHLLVPPHV H257_12531 MPTSTDVQAFRTGVNGVALGSVGLGGLYQDLPGEAVTIAVETFE TAFPRGVRLIDTAPWYNNAEDVVGQALRRVQTPRHEYYLATKVGRYRSDKHPNGEFDY SRSRIRQSVQDSLAKLGTTYLDVVYLHDVEFVDRDTVLHEAIPALAELQAEGVIKLIG ICGYPLDVLDDIVQRSPRPLQVVQSYSHLTLQNDALLTKAASWHAKGNTVSPIHRRVL LKHYVLPGIVVINAAPLSMGLLTSRGPPAWHPASSALRASCAAALTWLASVEFATEQQ KPSVEGLAIQYALDAQKEHHNVVTTMVVGSMGEAEVLSSIRARDNPSLLSKAHIAKVC NWLRPLRI H257_12531 MPTSTDVQAFRTGVNGVALGSVGLGGLYQDLPGEAVTIAVETFE TAFPRGVRLIDTAPWYNNAEDVVGQALRRVQTPRHEYYLATKVGRYRSDKHPNGEFDY SRSRIRQSVQDSLAKLGTTYLDVVYLHDVEFVDRDTVLHEAIPALAELQAEGVIKLIG ICGYPLDVLDDIVQRSPRPLQVVQSYSHLTLQNDALLTKAASWHAKGIVVINAAPLSM GLLTSRGPPAWHPASSALRASCAAALTWLASVEFATEQQKPSVEGLAIQYALDAQKEH HNVVTTMVVGSMGEAEVLSSIRARDNPSLLSKAHIAKVCNWLRPLRI H257_12532 MLTLDQMVWKLSFLLLTAPALVDAASDLSGAGLPPWVAWVTGGV LVLIVLYYCCRKAGFDESLQQPLLGGQYTEDGQPLTRQDVQESKEEIDGQWKCEVCDF HNKMSAKSCVLCGTDKGFKLGLASPSPRRSLSIKDSALGALSSGGGSFNRTRSFAIRR LNMLNSRQRGARNRGDWVRKVGTDGKRHWGRRDVVDTFLAKDPVAMEAGGTPKAPSAP LPPSSAAAAAGTVHLDLARQHSLAFVSQLAQTPRHPEGRMTFKEYREVDAAVASRGYE ISEQDLEILEQVAALPFKDKYSWFLEQTTSLIRPWEDGHLKMRVHRENILVESMEQLL GVQMEHIHMPLRIEFIGEVAIDAGGLEREWFALVTEKLFDETIGLFMCAHVESLAYVI NPNSVEASADHLLYFRGAGRLLGRALLEGQLMKAHLALPVLKHLLGVPISFADLEFVD HEVYRSMKWMKDNEGVDALCLDFSVTNRRISGDIEVIDLKENGRHIEVTDANKLEYIY LRLRYIMLESFSEQLQHLMAGVFEVIPQELILVFDYQELELVLCGVPSIDFADWKLHT QSSDDMPADLLVWFWEVVEGFSDEERARLLQFTTGSSRVPVQGFKALTSYDGRICHFT LKAVPYPENAFPRAHTCFNRIDLPKYKTKKEVEDVMSLVINMEVTGFTDE H257_12532 MLTLDQMVWKLSFLLLTAPALVDAASDLSGAGLPPWVAWVTGGV LVLIVLYYCCRKAGFDESLQQPLLGGQYTEDGQPLTRQDVQESKEEIDGQWKCEVCDF HNKMSAKSCVLCGTDKGFKLGLASPSPRRSLSIKDSALGALSSGGGSFNRTRSFAIRR LNMLNSRQRGARNRGDWVRKVGTDGKRHWGRRDVVDTFLAKDPVAMEAGGTPKAPSAP LPPSSAAAAAGTVHLDLARQHSLAFVSQLAQTPRHPEGRMTFKEYREVDAAVASRGYE ISEQDLEILEQVAALPFKDKYSWFLEQTTSLIRPWEDGHLKMRVHRENILVESMEQLL GVQMEHIHMPLRIEFIGEVAIDAGGLEREWFALVTEKLFDETIGLFMCAHVESLAYVI NPNSVEASADHLLYFRGAGRLLGRALLEGQLMKAHLALPVLKHLLGVPISFADLEFVD HEVYRSMKWMKDNEGVDALCLDFSVTNRRISGDIEVIDLKENGRHIEVTDANKLEYIY LRLRYIMLESFSEQLQHLMAGVFEVLSVTYCRRECVYISIYVYLVGDSARVDIGI H257_12533 MDEAAEDVEYKDDEFLPDDHEGREASRVLKGEGGGRDDDEDAHK EQQHSSEMDDALMNNPSPSKRQAAIDDHHRKTLAAKELELRNLHKRINVYRKANAALQ KELEGFHNNDCMAQVENKAREKQLLIEQLSHENKYLANLQRTQAKRIEELEALKEHFP SKHHSVMEELRICKETYRMYKEREKLADDRSNKLHQQVVDLTAKNKALADKIRSHHGS QLNADAGQPGHDDDDDDVAQLQVRVALLEKSKRTDKDKYDRVIQTCQEQLDECKREME AFQAQLLDKEKQLRLQVVELKKLKRELRELAMDTRATQHVVHKLAYRQQQQQPASPKK MPTPPPSMADKKRASTHVRIQTQKTADHAS H257_12533 MDDALMNNPSPSKRQAAIDDHHRKTLAAKELELRNLHKRINVYR KANAALQKELEGFHNNDCMAQVENKAREKQLLIEQLSHENKYLANLQRTQAKRIEELE ALKEHFPSKHHSVMEELRICKETYRMYKEREKLADDRSNKLHQQVVDLTAKNKALADK IRSHHGSQLNADAGQPGHDDDDDDVAQLQVRVALLEKSKRTDKDKYDRVIQTCQEQLD ECKREMEAFQAQLLDKEKQLRLQVVELKKLKRELRELAMDTRATQHVVHKLAYRQQQQ QPASPKKMPTPPPSMADKKRASTHVRIQTQKTADHAS H257_12534 MVKIVVTGFGKFGDIIDNPTTKLAELLKDDANVHEVHVLEVSAE GVRAALAPLWQDAADHADEPTVFLHMGVNGRSKQIDFEQFGYNLANFNIPDERGWVAK NETIIANEAASLETTLPLEKFIAHCTEQGCKVALSNDPGRYICNFTYFLSLAQTKARP NHHALFVHVPPFTVQPQEEQLHAIKTLVAVIQATL H257_12535 MKKGHLKIFRAWLRHMRSALCQCAYCFGKFGPVLINPTTKLATQ LKDDPIVHTSFVLEVSAQGVRAALEPLWAEAKDDDETTVFVHLGVHDGTKTILLEQVG YNIANFRMPDEQGWVAQNEVIVADQPDSIRTHLPLETYHAALKADGLQVELSTDPGRY ICNYTYFLSLVKAQQRVAKNQYALFVHVPPFSVIPEDEQLHSIKTLLGLLSTLDDANT PVAAHP H257_12535 MVKLIVTGFGKFGPVLINPTTKLATQLKDDPIVHTSFVLEVSAQ GVRAALEPLWAEAKDDDETTVFVHLGVHDGTKTILLEQVGYNIANFRMPDEQGWVAQN EVIVADQPDSIRTHLPLETYHAALKADGLQVELSTDPGRYICNYTYFLSLVKAQQRVA KNQYALFVHVPPFSVIPEDEQLHSIKTLLGLLSTLDDANTPVAAHP H257_12536 MAFKVNNHWGVVAIASVVFDIDVGQTMEMVYPESVALSAEAKQS IAYLALPHSNKHVEGDTQFSFRCRRQDASSDATNSSSASENPPLLPSSSFYYGFVLFR QRKDTSRSRGYFQKSLVVITHLPFVGLYDRVLRIVGPLFFQVGNPLLQALYENIGQWP APVADSTMALQVAGTFVTFVVPKIITHEDAALFTRRWSIQDESFEVEAVDVIEEATAA DDDDDGSRTIVRNGKFCMVHGSNPTSSQDVVPLTFADLLNANELGMSFESVGLFSTFE GVEVCLWDLWQLAITGESVLIASPNARVCSQAVLAFTSLIAPVPFHGDFRPYFTLYEP DFNALSKVHDANLSQLPTTVLGTTNPFFLKSLKRWPNALLFPFADSPPRSSTEHETDS SSPNAASLFKPIKRTVDLDSFSDTDMPKLLTRTTRLVVPDNAILRQLVEAASDQGDTS PGEEFPHVSINNALLRKHFKHVTELFLKPFEEYFGMWSNHLNVATTPYMDIASFMKPF HAKEFLTALGKRSLKLPFALRTTKPKVKVLYARFIASPHFQPWFNYRRNECICAFEAV LYTLRETITAKELMRGPCGAPMTRPALVTLLAQIHKKIIVETAKSPVDETHVDTLHRH VHDVQHAIDLLSTTTTTTM H257_12536 MAFKVNNHWGVVAIASVVFDIDVGQTMEMVYPESVALSAEAKQS IAYLALPHSNKHVEGDTQFSFRCRRQDASSDATNSSSASENPPLLPSSSFYYGFVLFR QRKDTSRSRGYFQKSLVVITHLPFVGLYDRVLRIVGPLFFQVGNPLLQALYENIGQWP APVADSTMALQVAGTFVTFVVPKIITHEDAALFTRRWSIQDESFEVEAVDVIEEATAA DDDDDGSRTIVRNGKFCMVHGSNPTSSQDVVPLTFADLLNANELGMSFESVGLFSTFE GVEVCLWDLWQLAITGESVLIASPNARVCSQAVLAFTSLIAPVPFHGDFRPYFTLYEP DFNALSKVHDANLSQLPTTVLGTTNPFFLKSLKRWPNALLFPFADSPPRSSTEHETDS SSPNAASLFKPIKRTVDLDSFSDTDMPKLLTRTTRLVVPDNAILRQLVEAASDQGDTS PGEEFPHVSINNALLRKHFKHVTELFLKPFEEYFGMWSNHLNVATTPYMDIASFMKPF HAKEFLTALGKRSLKLPFALRTTKPKVKVLYARFIASPHFQPWFNYRRNECICAFEAV LYTLRETITAKELMRGPCGAPMTRPALVTLLAQVLTVLYARNTVHPRHLDYLFRTTTT TTTIHKKIIVETAKSPVDETHVDTLHRHVHDVQHAIDLLSTTTTTTM H257_12537 MSWAKHKKILAMAKGYRGAANSCYRTAINRVEKGLQYQYRDRKQ KKRDFRSLWIEKINAGARQEGLSYSKFIGVLNSSDIQLNRKVLADIAATEPYSFKSIM EVVKELKLN H257_12538 MMMQPQIILLKEGTDTSQGKSQLISNINACQAIMESVRTTLGPR GMDKLIHKGNKTTISNDGATIMGLLDIVHPAAKTLVDISLSQDAEVGDGTTSVVLLGG EFLRQAKPFIEENMHPQTIIKSYRKACQLAVQKIREIQVRVSETDSVAYRQMLERVAG TALNSKLISSQKHFFSPMVVDAILSLDTDMDISMVGVKKVPGGSVTDSFLVKGVAFKK TFSYAGFEQMPKYFKNPKILLLNVELELKSEKENAEVRLDDPSQYQSIVDAEWNIIYD KLDKCVQSGAQIILSKLPIGDLATQYFADRGLFCAGRVAQDDMERTMRATGGLIQTSV QDMTPSVLGTCGVFEEQQCGNERYNLFTGCSKSKSTTIVLRGGAEQFIEEAHRSVHDA LMVVKRTVTTSTVVAGGGAIEMELSRHLREYSRTVEGKAQLLINAFAKAFEIIPRQLS DNAGHDSTDILNRLRQKHFKDPDAGKWFGVDINSGGICDTFESHVWEPAANKINSVAA ATEAACLILSVDETVRNPKSEQPQGGAGGGPGGAPLSAAMGGQGMKGLGMGGRGGGLG KGVRAFRGKGGA H257_12540 MHEPNGVAAATLRELTAVLGVCTSWKDLNDVTVLNKLVALSGTL RSLERCSSIVGDDDVATSTSAATLAAFQAWLEEGMQVPVGQGKFNVEQMGDHGNGLRA RVDLPQGVPIMSIPATLLLTSSSLPPALAHLRQDPLCRQFPTVSLALHVLHEALAPSS FFAPYVAVLPRQMHLPLQYTVADFAALASSTAAYASAIQLFYNALRQYLYLHRHFHQQ PTIFRHESFSLTNYFWALSVALTRQNNVPTPDDPSALALIPGWDMCNHAIGDMTTYSD SVSIHCDAMTSFAPGDEITICYGPRPNADLLVYSGFSLAHNPYNGPVSLVLPLLDQKL DPLVKLRTMLLQKRNATISANGLHVQLDAQSGRLTSRLYREQVQLLVLDKPSLAIALR RDVDKINTPSMESISNVKEGGHMQHLIPWPDQETMDKANRRLQDACAAAILSIKHSNE TIHESIRAFLATERRVYELAKSHVVEFKP H257_12539 MAARNLSATARRLRHLRLTSVPKSHAEKHRLQMMQLPQFTGFEI VLLGTGAGMPSIQRGASSLCVQLPAKSWLFDCGEGTLRQIFRSTVRVTTTEKIFLTHV HGDHLFGLPGMLCTLNSLNADYMDPSTKQLGRRPIDVYGPPGVFDFVNAALTASCAHM NNVFITIHELVPQDYQPPPPSPHERVHEDLSHTIIRPERVDGTLAWRVMDDGAHTVMA GALAHSVPSLGYVVEENTRPGRIDVAAATRRGLVPGPLYKQLKGGDSVQLPDGSTLHP HEVMGPSRRGRKAAILGDSADSSGMYALARNADVLIHEATLAHGSGAIALDRGHSTSA MAGYFARHINPTLLVLTHISHRFSPGLLGPNSVQRLVDEARVTFRKRAVVDGFDLMRI PIPLPPIAS H257_12541 MAVQRINRLIRKMDMKEVEAALISTTRAETDPGVYVCDASLEDW KRYVKSEQQALLSRAMAWNHGKIYIVELPGRIHDKILGSLDFAVLSATGTGEEHLLSC RSVFVDNLAHIEPDSSFGPAPGFGATLPHDLAWMEYHTLKVEVGVAKGWPQLDAKAVQ WSQFPGVEYILLIRLSPALGDYQYKLRSVDGGALVPPAMVATPIMNPTNVVLDSRRLL GLPALAPIPARFTAPNLTIDLFPLVQRIIALANA H257_12542 MDFEEYQQAPVTKGRGGRKVSALDDDISSDSDDSDNMMPRKKKP RQQKERRSAGSIIGEAMSKLVEVENAKLDQVKKSSTDPHERIAAAIHCLMENYGHMDG HAGMRAMPG H257_12543 MSTLPSHQSLDLIERFSQSDDKLYDGFKTPDTIEAGGALRAGPP PSYSSLDVLALMSQYASMGLLYGVTYNLSYPFLTVYFHMEGTQLTSANALMTLAWSFK VFIGMLSDCVPVFGLRRKPYMFVGWTINAICMLVLASVDHGLPLYIDPSLEGRPLSNL TADESRGVDASAPSRGAFMVIMCTIAAFGQVVAEAACDALVVEYAQREPLHCRGRMQS LTYAIRLCAQGVTGLMFGICNNSPRFGGTYSWDIGVNGMFILVSITSTLAVPVTIFGV KEAKRTEAVSFQTYLGQCWALAQKRAVWQIVLYLFWSTVFYCSITTTASPYVKFYWAK VESFNSALIMTISNCVSAGVLMLMASYGLHWNWRVTFVVTTLIANGLDAIVQYCTIFD VFRNQWVYLGMPLAEQVPLAINWAVTMYVIVELAEDGSEGVMYGLLTTVMAMPMILGA MVTNIYCAELQLKSTDIASDTPDVRRDVAYSYMVKYGAAAFSCCWVVLFPRQKAECAE LKKNGGNYPRVGAAVLISCFVILCVSVTSTVMSMFDATACYVFAGGQGCDLVETSG H257_12544 MLASKKHTRQALSLADKKRICEISQLLVKSTHQQVADEFNKTAE RSVQRLMVTKTLREKDKWLAMDESQLGRKRMRGGKFEALEHALSLYLQEARAQGARLS DSLLTAHAERLRAEHNIKDSEFKISNGWVQNFKRRHSIRMHSAHHGGMHVNHGDPDDA KVGDLSFLKLASSIDTTDTPSSPSATPSHLSGIHQLIVDGGFKPSDVFHYDEHVLYYG TPASSLAKSMELAPSRHRPRRRMLLGLLTNVVGDWPMVSCVQDVVTSHVFADMLYAAD FVDTHPHAHMTKAIFTKFILHINTVLAVQEPPRRILCFLDASPCHAISMEGHMSTICG MRTVELSHMLLAFLPPRVPPHLTPLGAGLTTYFHLQFKITLLKWLLSDTTSPSTSERR TMTVSQQQVQTMLWVAQLWKTIPPSIAKTSWRQSQWLPPSWVQAWEHDDVDGEVEPMA ESLMAQAQSTYKELHALYHGASLKEDDEDHAPPPSPSSWAESPPDESEDAVVAPTVCQ ALDAAHQVLTFMEQHPVVFTHDDVATLLGVANRISGFDYQKDPPTQ H257_12545 MFRSIYESGGRPTTPYSEIAATIQKLKDKGRQGDETNTFLQRVL TYSELSTKRDALLDANAVVVVASHLRAHDSASTQQYAAGILLNLSQFDRGRLAMASCG SWDCVSYRHACPLFYLLQLAHTSADVMVKRVCTAAVLNCSFHAACQSHIEDIGGVNLL LKLVHVNDEDVCVFSAATCWNLTKSPTFVLKLETIHSIPKDNFARTLSQLLWTRCSNY GLTITTHTAQTSDQAGVLESGGSNLNLVFACAINSKLAIALRVEQHNVTANQSQVEAR ATKYKSMQKFDDHGKRVAVTCSTCAKSIKEKDKAKCLSCASHGCVEIYHVRCSRWAKL EHVHVLRDHTFYCEGCLPSLPLQYLDFCASEMTSNATVLTKLDFDILNVARMDKVELV MLRAPSTNAVVAVGDCKYVCRGAKEGCTMIVQVKFILRHSSSMCYANVSATNNNVVTS VIPMPPFSFPFLKSTVVATSVPLHALALWPTSHVLAVDDAMYLTKSVADLDQAFCYEF DGQRDERFAVGSCDGTELWCALQPSRAKCMKLISEATAKAKPMRKNQREKKPHVERKL AK H257_12546 MATNVDTAAAVMQAVECMLQCIEVVHLIPPTSNEVALTVHAMCI ALSFDKPWTSMFGIYGTTCTLMQSLTPLPSLILSVVRTSDDSHETQEMARLVKSCVQD IHKHRLTRTSSFSQHHKDYSFPTAALTPVLEDPLEERWTDTGGAVYARKNVFHEAFAP ITHCRRYAKVRRSDAAIYKALRVQVRQNLVAREVNRIAKLNHPHFNPTHDDSDNADGG EPGEVDEYDHEGTTKLFHYQDFEQEYAADQ H257_12547 MNAWNDWDCPRCSLINEPQSLFCDACAFIKPDSPPATDDDDHAV PPPKRMKFSIEDLVDLVSDEDDDMEFKAAIAASALEHMHATGTAYNATRNVDATVSNN SSSEMQPPTTSNSFLHDLHAERMQRQQLRQGPPSAITSPLEGSVTMAAPQSPTTLLVA SLNVWFDEVLVEERINAMCNLFSTLAPHVIFLQEVTPDMGALLSSTLRTLGYAAANAV TDQAYSELILVKGLPILQYTRHPFERSAMGRHLHVVETEFNGKPLRLATAHLESLAQN RTTRLAQLKWSFSHLLDNSTNQSWVFGGDMNLGSKDVVAVPASVEDAWVASGRPADHQ HTWDTTINKNLPNVHFAAKCRFDRLYSHGLRCDGFATFGKEPLSNHSNMYPSDHWGVV ASYSSSHNPHQ H257_12548 MHNHEEVSKRWIEMVLSDKIHGDLLHALQDGTILCLLVNHLYRA VGMKHKRVPIETMQTSTSNTLFSTSCRRQNIRTFIESCRAFGVPDDAIFRPNDLLKGS NPQRVYLCILALQAEVLRTARQRTPYERASMGTFAAASSCQDFTDSTLRDDQPSSSQQ HPTAFSWGDTSMFTDAASSVTAWTVLLELYETQQTDMNNATNAQDGGVQSDEKLSYEL WRTEERVRMLVLDEASCRHLTPQMHGKLWMLLSGANTEMDLRKGHYSTLVGHSSVNAE SVRQIEADLTRTVSPDDADWSVERSDQLRRVLVAYAVHNPKLGYCQGLNYVVARLLQC VDDDESAFWLLERMIALLPDDYYTTMLGLAIDQHVFAELVALQTPQIVQHIEQLGGFG AELSLACTEWFCTLFGSPCRKETTIRVWDLFFINGNEALFRMALAFTQLEYPHIMSCE TYGDVLVCLNQIGRDALLDPKLLVHVANSQEIVTSSRIEDLRAYHRLELASGIALSND RTFATVATADDGDPPLSIESAKKRKHPLSNRKITSRTLSRHLSHETIDADVDDSAEAK YFADATAPEIVDDYWGQTEVGPTQVAQFKLITSPSDASLASRPRHFSDTTYRHTFSER NSALYREARSKSTADGLRAHRASLSHAPDPSPPSEDVTRPPLFSHGIFKKIEQWTNKT LKQSRRHSTLNFDFFGSALAGQASFLSAPRGASAGVVGWDSGMMPPPSSRAAASQASH CHVTTPDAAIMDLPPYVAESHPPSPTTAEVSFAVVAAPLPASPVSSESLVKRIVSSHS TPSLVSLDKSYHDDSGDSVDGGSFDDADESSRRHRLRSTSSSVVRPPRHQRDPKKPSS VALMAIPDDLAPPDMRRHTSMPARSRAKHAHGPSSNLAQLNLDNARLIRERAHVVSYL QRKASDVSSLNSPVDSDEYRDSLSSDEGTRRAHRATNRTNSFSFLGSLSVDLERSLLL EDKEQE H257_12548 MGTFAAASSCQDFTDSTLRDDQPSSSQQHPTAFSWGDTSMFTDA ASSVTAWTVLLELYETQQTDMNNATNAQDGGVQSDEKLSYELWRTEERVRMLVLDEAS CRHLTPQMHGKLWMLLSGANTEMDLRKGHYSTLVGHSSVNAESVRQIEADLTRTVSPD DADWSVERSDQLRRVLVAYAVHNPKLGYCQGLNYVVARLLQCVDDDESAFWLLERMIA LLPDDYYTTMLGLAIDQHVFAELVALQTPQIVQHIEQLGGFGAELSLACTEWFCTLFG SPCRKETTIRVWDLFFINGNEALFRMALAFTQLEYPHIMSCETYGDVLVCLNQIGRDA LLDPKLLVHVANSQEIVTSSRIEDLRAYHRLELASGIALSNDRTFATVATADDGDPPL SIESAKKRKHPLSNRKITSRTLSRHLSHETIDADVDDSAEAKYFADATAPEIVDDYWG QTEVGPTQVAQFKLITSPSDASLASRPRHFSDTTYRHTFSERNSALYREARSKSTADG LRAHRASLSHAPDPSPPSEDVTRPPLFSHGIFKKIEQWTNKTLKQSRRHSTLNFDFFG SALAGQASFLSAPRGASAGVVGWDSGMMPPPSSRAAASQASHCHVTTPDAAIMDLPPY VAESHPPSPTTAEVSFAVVAAPLPASPVSSESLVKRIVSSHSTPSLVSLDKSYHDDSG DSVDGGSFDDADESSRRHRLRSTSSSVVRPPRHQRDPKKPSSVALMAIPDDLAPPDMR RHTSMPARSRAKHAHGPSSNLAQLNLDNARLIRERAHVVSYLQRKASDVSSLNSPVDS DEYRDSLSSDEGTRRAHRATNRTNSFSFLGSLSVDLERSLLLEDKEQE H257_12549 MSDHSDTEQTDARRAPKRLGEDPNDASRKGAKKPKTKNVGKYLR PAPEGQVIRSTKDKKLKGELARYNKKVQDAAASAAKAEILLPEEAGVLTAEGMEKTFR FTQEQLAEHVDRNTAQKMFHLDLPTFGPYNIDYTKNGRNLILGGQKGHVAVFDTLRMN LSCEFHVKESVRDVKFLHNNSLFAVAQKKYVYIYDNTGAEAHCIRTMADPKRLAFLPY HFLLSCVSGNGLLSYNDITTGAQISTHKTKLGLCDTMALNPWNAVVNLGHANGLVTLW TPNMPDPVIKMQAHQGPIRGMAVHVDGRYLATTGSDRKLKIFDLRTYKELHQYYLSSG ATTVDISHRGMVAVGFGPNVEVWKDALTTKAASPYMTHRMPGTQATSVRFRPFEDVLS IGHALGFANIVVPGAGEANFDSFEANPFENLKQRRETEVKSLLEKLRPEMITLNPMVI GRVDVNPNDEYEEKVATQYRANNQGRDIPKKKMRGKNRPSRRLRKKQQNVVDIEKQKY RDMLESKSKDDARAKQATEWKQKMDSVPTALNRFYKK H257_12550 MPTTLSSTYLMDRGSHLKAATMQKFTVAAIQMVSRATLSPNIDT VRRLVGEAAASGASVVLLPEYWGLLGRKDTDKVALREPFQDVSRPMQQCMQSLAKEHK IWLVGGTIPLESPTDATKVYNTTLVYNPAGDVVHRYDKVHLFGYIPGNGQPTYDEAAT NFRGDPAQHAVVDTHLGPIGLSVCYDLRFPEYYRALGPCSLVVVPAAFTHTTGKAHWE ILLKARAIENQCYVLAAAQGGVHENGRHTWGQSMLIDPWGVIVSQLGQGEGVVVGDVD LAHLSTIRQQLPALQHRCLP H257_12551 MIPIVVCSPTLTWLLQGRSKFNLTMSERMLDRLHVATTDGGHDR STSSFSVASPTSSNTHPLERTSSSHLSSGPPSPTRFAPVNCEVHMLVPTSPVEEPFAE HIRVLLKETDADPSAFQHLRDIYLQTLQPSTDTASPLLKQLHCMPATSSAIANAVQAS EESSVILGGGTTVSLLKSPMAQKMKSNYHQWKSTFLKTKDSDEDGNADDDDAPPTPLP ITDLVVVFSGDVTPEDFEKIEKSPSGIKADLNRGRRGQFVYLCQKREIPSSYGYKRPP ISDIILIYPERGECPPPNYEFVQRRGVAANLNSGVSGEKLYMCYKRSASSSITDIAVV FPKKGDKVPYGYMKIDKTPNGHSVDVHTNMEVSICYRKHIGLVEYLKPLWWHATLAKP QTSQKGSTRHNAATFVDDERQSVETSLDDDPTSPRHPTLLSKQDSCSDDDLSLLIKFV YPLLVSCHMRQGTIAVQAIARLETILDSNVMKSHVMRNDAYLLTALVETINTSCQQGM RDRVPIVMSLLAKVIKQYAHEFSSVILHEVTKALLFANDFDDGVARAALEDLIHSVMR RVDVDKDVAQANLAKLFASNPSFGQDNYGPLANIVYGVASEAVTAVEIARMNENALNI IKGHSSIYSAGFCHEIQQCIKGLLQTTAECNAYQLIATLSKYATKRNCSTVDQAYEKD CASIKNTLHMLDVALLSGPPTFKDHPIFGQQIRRFVCSVVNSACVMWSEAVLCGALSL VTTLWNQYRRHLKVELALLFENVFLRILRSPGPTAMTFQSLVLHELRPWFQLPHNVVE IFLNFDMDRQFVQQWKVFEQFCAALCSIAETSCVNGGGASSAADDDEASCSSAVDIGE QSLTTILAILRSLMDASGHAHLILRNDRTRILSMEKGGWELDAFSSSPTSPVGSSTST TTTSGGLQYDQAATSSSEGLLSHPDDHDVTSVNSKLGSIYGTVRERNEVQKKSQQLLK RGMEIAETKSFKKALEYLVAMGLIKESPRDITSFLRIYHTFFDEGDIGDYLGEGDEEF KLHIRLTYARAISFTGMTLVEALRHYLTHGGFKLPGEAQKIARMVEAFAQCYFEDLAG ATSFSSSDTIMILAYSIIMLNTDLHNPQVKKNKMSKDQFVKNNRGIDNGNDVPKAILE DIYDDILVNPIQLKGLAYTLPSNKQEHGSHADTADCEKFRMGLSKSVTQSEDLMKDLA RSKFTFNFFGVDASISPDLVKILFERVWFHFLALSTTILSNNQSDLSLVLQCLDMLRY CISICVFLEMHVERQAFSNQLTKLQTSDSFGKEPATEPAATDDKQTGCHQLEWLTGYK TSDADPWGVIGDIHVYVNKLKDSIQKRQTVETLKSVTKRINRSHVYLHDSTQFIKEGD LTKKCRTGRHRLYRFFLFNDQLLYADKGSISGNFNAHQSLRLRLTRLADIPDSLLVRH AFQILNPVKTFTVIAESASMKAEWMREIEEAIMEANKKTNINLRRLSTVRGQKRENNA AIIAEAKATAKEKADETTPTMWLCQLEV H257_12551 MCYKRSASSSITDIAVVFPKKGDKVPYGYMKIDKTPNGHSVDVH TNMEVSICYRKHIGLVEYLKPLWWHATLAKPQTSQKGSTRHNAATFVDDERQSVETSL DDDPTSPRHPTLLSKQDSCSDDDLSLLIKFVYPLLVSCHMRQGTIAVQAIARLETILD SNVMKSHVMRNDAYLLTALVETINTSCQQGMRDRVPIVMSLLAKVIKQYAHEFSSVIL HEVTKALLFANDFDDGVARAALEDLIHSVMRRVDVDKDVAQANLAKLFASNPSFGQDN YGPLANIVYGVASEAVTAVEIARMNENALNIIKGHSSIYSAGFCHEIQQCIKGLLQTT AECNAYQLIATLSKYATKRNCSTVDQAYEKDCASIKNTLHMLDVALLSGPPTFKDHPI FGQQIRRFVCSVVNSACVMWSEAVLCGALSLVTTLWNQYRRHLKVELALLFENVFLRI LRSPGPTAMTFQSLVLHELRPWFQLPHNVVEIFLNFDMDRQFVQQWKVFEQFCAALCS IAETSCVNGGGASSAADDDEASCSSAVDIGEQSLTTILAILRSLMDASGHAHLILRND RTRILSMEKGGWELDAFSSSPTSPVGSSTSTTTTSGGLQYDQAATSSSEGLLSHPDDH DVTSVNSKLGSIYGTVRERNEVQKKSQQLLKRGMEIAETKSFKKALEYLVAMGLIKES PRDITSFLRIYHTFFDEGDIGDYLGEGDEEFKLHIRLTYARAISFTGMTLVEALRHYL THGGFKLPGEAQKIARMVEAFAQCYFEDLAGATSFSSSDTIMILAYSIIMLNTDLHNP QVKKNKMSKDQFVKNNRGIDNGNDVPKAILEDIYDDILVNPIQLKGLAYTLPSNKQEH GSHADTADCEKFRMGLSKSVTQSEDLMKDLARSKFTFNFFGVDASISPDLVKILFERV WFHFLALSTTILSNNQSDLSLVLQCLDMLRYCISICVFLEMHVERQAFSNQLTKLQTS DSFGKEPATEPAATDDKQTGCHQLEWLTGYKTSDADPWGVIGDIHVYVNKLKDSIQKR QTVETLKSVTKRINRSHVYLHDSTQFIKEGDLTKKCRTGRHRLYRFFLFNDQLLYADK GSISGNFNAHQSLRLRLTRLADIPDSLLVRHAFQILNPVKTFTVIAESASMKAEWMRE IEEAIMEANKKTNINLRRLSTVRGQKRENNAAIIAEAKATAKEKADETTPTMWLCQLE V H257_12551 MTLRYVDERQSVETSLDDDPTSPRHPTLLSKQDSCSDDDLSLLI KFVYPLLVSCHMRQGTIAVQAIARLETILDSNVMKSHVMRNDAYLLTALVETINTSCQ QGMRDRVPIVMSLLAKVIKQYAHEFSSVILHEVTKALLFANDFDDGVARAALEDLIHS VMRRVDVDKDVAQANLAKLFASNPSFGQDNYGPLANIVYGVASEAVTAVEIARMNENA LNIIKGHSSIYSAGFCHEIQQCIKGLLQTTAECNAYQLIATLSKYATKRNCSTVDQAY EKDCASIKNTLHMLDVALLSGPPTFKDHPIFGQQIRRFVCSVVNSACVMWSEAVLCGA LSLVTTLWNQYRRHLKVELALLFENVFLRILRSPGPTAMTFQSLVLHELRPWFQLPHN VVEIFLNFDMDRQFVQQWKVFEQFCAALCSIAETSCVNGGGASSAADDDEASCSSAVD IGEQSLTTILAILRSLMDASGHAHLILRNDRTRILSMEKGGWELDAFSSSPTSPVGSS TSTTTTSGGLQYDQAATSSSEGLLSHPDDHDVTSVNSKLGSIYGTVRERNEVQKKSQQ LLKRGMEIAETKSFKKALEYLVAMGLIKESPRDITSFLRIYHTFFDEGDIGDYLGEGD EEFKLHIRLTYARAISFTGMTLVEALRHYLTHGGFKLPGEAQKIARMVEAFAQCYFED LAGATSFSSSDTIMILAYSIIMLNTDLHNPQVKKNKMSKDQFVKNNRGIDNGNDVPKA ILEDIYDDILVNPIQLKGLAYTLPSNKQEHGSHADTADCEKFRMGLSKSVTQSEDLMK DLARSKFTFNFFGVDASISPDLVKILFERVWFHFLALSTTILSNNQSDLSLVLQCLDM LRYCISICVFLEMHVERQAFSNQLTKLQTSDSFGKEPATEPAATDDKQTGCHQLEWLT GYKTSDADPWGVIGDIHVYVNKLKDSIQKRQTVETLKSVTKRINRSHVYLHDSTQFIK EGDLTKKCRTGRHRLYRFFLFNDQLLYADKGSISGNFNAHQSLRLRLTRLADIPDSLL VRHAFQILNPVKTFTVIAESASMKAEWMREIEEAIMEANKKTNINLRRLSTVRGQKRE NNAAIIAEAKATAKEKADETTPTMWLCQLEV H257_12552 MTDMNNHVDGMDGSTSFSQRLQDGDLATYFLENAGGSTKGPYED ASLRHVSTSLGLAMPKATPIDFSFNHMPSSGTPKNESPAISYNRRGSSVDRGSAASSD GGDGPHSPRFGGDNDFDTEDEKRRKRLERNRISARDSRRRKKQYLELLEEKVAQLTED IDVARMEHLDTADKTITTVKAQLVTSLYEKLAPFPPNAPLPPDVDDELQQVAQLLKDR YGPNSEERQALIKYHFNQLDGLLLPPYTCFLLWMSLQDDAFYARTAQTPASGKKAGDV SERKEVGGKKDGLWASLSADIAMSYDQEEKIKGHYRANQDSAQTKNERRRIAASLANV QQLQKSMAAQTAAMQTHADSIHAILTPEQVVRYQHWAAQHRDQYGDRLKDQSSNMQQF LDTSAESISDAHAAMLRKPDHEMSVDDVAALLASLGSEDL H257_12552 MTDMNNHVDGMDGSTSFSQRLQDGDLATYFLENAGGSTKGPYED ASLRHVSTSLGLAMPKATPIDFSFNHMPSSGTPKNESPAISYNRRGSSVDRGSAASSD GGDGPHSPRFGGDNDFDTEDEKRRKRLERNRISARDSRRRKKQYLELLEEKVAQLTED IDVARMEHLDTADKTITTVKAQLVTSLYEKLAPFPPNAPLPPDVDDELQQVAQLLKDR YGPNSEERQALIKYHFNQLDGLLLPPYTCFLLWMSLQDDAFYARTAQTPASGKKAGDV SERKEVGGKKDGLWASLSADIAMSYDQEEKIKGHYRANQDSAQTKNERRRIAASLVRG RANVQQLQKSMAAQTAAMQTHADSIHAILTPEQVVRYQHWAAQHRDQYGDRLKDQSSN MQQFLDTSAESISDAHAAMLRKPDHEMSVDDVAALLASLGSEDL H257_12552 MMNELYIDPSFTKLPNVRLERNRISARDSRRRKKQYLELLEEKV AQLTEDIDVARMEHLDTADKTITTVKAQLVTSLYEKLAPFPPNAPLPPDVDDELQQVA QLLKDRYGPNSEERQALIKYHFNQLDGLLLPPYTCFLLWMSLQDDAFYARTAQTPASG KKAGDVSERKEVGGKKDGLWASLSADIAMSYDQEEKIKGHYRANQDSAQTKNERRRIA ASLANVQQLQKSMAAQTAAMQTHADSIHAILTPEQVVRYQHWAAQHRDQYGDRLKDQS SNMQQFLDTSAESISDAHAAMLRKPDHEMSVDDVAALLASLGSEDL H257_12552 MMNELYIDPSFTKLPNVRLERNRISARDSRRRKKQYLELLEEKV AQLTEDIDVARMEHLDTADKTITTVKAQLVTSLYEKLAPFPPNAPLPPDVDDELQQVA QLLKDRYGPNSEERQALIKYHFNQLDGLLLPPYTCFLLWMSLQDDAFYARTAQTPASG KKAGDVSERKEVGGKKDGLWASLSADIAMSYDQEEKIKGHYRANQDSAQTKNERRRIA ASLVRGRANVQQLQKSMAAQTAAMQTHADSIHAILTPEQVVRYQHWAAQHRDQYGDRL KDQSSNMQQFLDTSAESISDAHAAMLRKPDHEMSVDDVAALLASLGSEDL H257_12552 MTDMNNHVDGMDGSTSFSQRLQDGDLATYFLENAGGSTKGPYED ASLRHVSTSLGLAMPKATPIDFSFNHMPSSGTPKNESPAISYNRRGSSVDRGSAASSD GGDGPHSPRFGGDNDFDTEDEKRRKRLERNRISARDSRRRKKQYLELLEEKVAQLTED IDVARMEHLDTADKTITTVKAQLVTSLYEKLAPFPPNAPLPPDVDDELQQVAQLLKDR YGPNSEERQALIKYHFNQLDGLLLPPYTCFLLWMSLQDDAFYARTAQTPASGKKAGDV SERKEVGGKKDGLWASLSADIAMSYDQEEKIKGHYRANQDSAQTKNERRRIAASLVRG RVGHV H257_12553 MAAAAINLEEIDEAINFCPEDDDLLDYFLSGPGGADGLLDELTL GAPNEALDVIPTELSSDPIPHDDYGFIVSLPQSSAPHPSQSHSRHISVDTASTTSSVE FDAPDSPSNLAENDHDTEEEKRRKRLERNRISARESRARKKQYLELLKKKVAQLTEDI GSARGRHLESADRTLSSLKAQLVSSLYDKIAHLSPHMPVDPSVLDTLRRGTQLLPLRF GPNSNERRAVVTYRFKQLDSLLLPPYTRFLLWMSNQDDRFYTKSTSPPAPAKKPDEPR KDSVAKKEGLWAALSTELGLTYEQEEKIKGHYRASDSHTAQLERRKIATAVNYLHLLK HNMAERAHAVQSHADKIQGMLTPDQTVRFHKWALDHRANHTATLNARRVAPAPHLSPD MSSILQTTDVTAHDVTAMLAAMTNPEHGAARP H257_12554 MAAELDHVASVDELNLLPEDEDILSYFCAAETDVPLLRDVVSKR ATPRAGGVESTHDLSVAGNKRSIETASNASSELDGPESPTGGDNEQGTDEGRRRKRLE RNRESARQSRRRKKQYLELLEGKVAQLTDEIDEAREEHLDSADSTINALKNQLVTSLN KLLDNHPPSAPLPSPLEDELREGVYSIQTRFGPNAKERQAVVNYHFTQLDSLLLPPYT RFLLWMSIQDEVFYTKTSAPPTPGGSKSTKPKESDRKDSVANKDGLWAALSTELGLTY EQEEKIKGHYRASDSKTAKLERRKIAMAVTYLHQLKANMLERAHAVQTYADTMQGILT PDQTIRFQQWAMQHRAGHADVLKERGLQFAPSSAMDPSAKISTILHKQDRDLTVEDVT SLLATLSKKPSASEEGAASVPSTSDSTR H257_12554 MLVHVPIIYSRLERNRESARQSRRRKKQYLELLEGKVAQLTDEI DEAREEHLDSADSTINALKNQLVTSLNKLLDNHPPSAPLPSPLEDELREGVYSIQTRF GPNAKERQAVVNYHFTQLDSLLLPPYTRFLLWMSIQDEVFYTKTSAPPTPGGSKSTKP KESDRKDSVANKDGLWAALSTELGLTYEQEEKIKGHYRASDSKTAKLERRKIAMAVTY LHQLKANMLERAHAVQTYADTMQGILTPDQTIRFQQWAMQHRAGHADVLKERGLQFAP SSAMDPSAKISTILHKQDRDLTVEDVTSLLATLSKKPSASEEGAASVPSTSDSTR H257_12555 MASKPLIELEDGWQKIKLKAVDVLLEILNKGFDKVTTCPFPPSQ YMPIYTVCYDMCTQRTPYNWSAQLYTRHGETFEVYLQQNVLPALQNQHDEFLLNELHH RWQNHKLMNKWMAKFFMYLDRYYVKHNSLPTLVNSGLNAFKLVAFSAIKKDVVDAMLE LIEKEREGEIVDTTLLRNCIEVFETMGMGSLDVYTSDFEEPFIKRSTAYYATKSQAWL EVDSTPAYMSKTEESLKNESSRVARYMHSTTEAKLLRALEIELLEKHQKQLLERENSG CIALLQNDKSEDLSRMYRLFSRVPEGLAPIAVLVRRHIEAVGNQIVDLRTQAIVSDNI KDPSADPAFIKEILALHDKYVKVVQDQFGGNSLFQKALKEAFVEFVNKDVGKDCSAKL MSTFCDRILKSGGEKLSDVQVEEYLEKVVHVFSYLTDKDLFAEIYRNQLAKRLLNQRS SSDDAEICMISKLKLRCGAQFTGKMEGMLNDLAIGGDHQAEFDVFQKSHNHGAIEFGV QVLTTGHWPSYQPLQVHLPPRMVKCMSLFKTYYDSKTSHRRLQWVHSLGNATVRATYA NKKWYDLQVTTLQAVALELFNTDASLTFDQLQESLNVSPDVVKRILHSLSCGKFKVVK KTPENKSIATTDTFQANAAFASPVRKLRIPMASLEESHNPKHVEEDRSIAIEAAIVRI MKARKTLNHQQLISEVLSQLSFFTPNPKLIKRRIEALIDREYLERDPDSTTTYRYLA H257_12556 MAGVKTSQSASKVELALNDQLFGGKGKGFAALKRPLLNLDNAVQ RQAAWIDEDDEDVTVDLENTSRLRKLRKTGEETHVQGDVFQQRLKKQFESGRSAASWA DIDAIDAKKRAGRRADLSDGESDDEEFDLFATSGALMERSHDVLLPGTLEVGRVKDAN QHGQSNAVVQSVQFHPNGQLLLTAGLDKALRLFQIDGSTNSKIESIFLKDMPISCAKF TADGKRIIMSGPRTYIHSYDMEAGTVQRIPRVGSRKERKWDSFAVSRSHAAFLGKDGI VALLSATSFEWMGNLKMNGDVRSASFCDDDNYLLTTGSDGDVYKWDLRTRRCVYRVND EGSLGSGAIAAKGKYVAVGADSGVVNVYNHETLTGETTPKPLKAFLNLTTSIDQLVFN PDAQILAMASKETKDALKLVHLPSMTVFANWPTAKSPLHYVTSMDFSPTGGYFACGNA RGRVLLYRLNHYAST H257_12556 MAGVKTSQSASKVELALNDQLFGGKGKGFAALKRPLLNLDNAVQ RQAAWIDEDDEDVTVDLENTSRLRKLRKTGEETHVQGDVFQQRLKKQFESGRSAASWA DIDAIDAKKRAGRRADLSDGESDDEEFDLFATSGALMERSHDVLLPGTLEVGRVKDAN QHGQSNAVVQSVQFHPNGQLLLTAGLDKALRLFQIDGSTNSKIESIFLKDMPISCAKF TADGKRIIMSGPRTYIHSYDMEAGTVQRIPRVGSRKERKWDSFAVSRSHAAFLGKDGI VALLSATSFEWMGNLKMNGDVRSASFCDDDNYLLTTGSDGDVYKWDLRTRRCVYRVND EGSLGSGAIAAKGKYVAVGADSGVVNVYNHETLTGETTPKPLKAFLNLTTSIDQLVFN PDAQILAMASKETKDALKLVR H257_12556 MERSHDVLLPGTLEVGRVKDANQHGQSNAVVQSVQFHPNGQLLL TAGLDKALRLFQIDGSTNSKIESIFLKDMPISCAKFTADGKRIIMSGPRTYIHSYDME AGTVQRIPRVGSRKERKWDSFAVSRSHAAFLGKDGIVALLSATSFEWMGNLKMNGDVR SASFCDDDNYLLTTGSDGDVYKWDLRTRRCVYRVNDEGSLGSGAIAAKGKYVAVGADS GVVNVYNHETLTGETTPKPLKAFLNLTTSIDQLVFNPDAQILAMASKETKDALKLVHL PSMTVFANWPTAKSPLHYVTSMDFSPTGGYFACGNARGRVLLYRLNHYAST H257_12557 MRTPRVTSQVDDGRSDSPGRKGAIAPEAKLLRGDDRTVLFPQVG KTVMDPENQVNNAHHPDDVAKAVALDGFLLLDTCRVEFPEEATRADVNGLNIRSVVVD DLLFFSNLVFLDMSDNQSPFEPLGTLPALKELDFQCNAVHKITNLTGFDALEWLNLSF NCLTSPDVEELAKLPKLRELYLSNNAIATLPPIMDRFSRLETLSLERNNIQGMGVFTL LAVAPRLRNLNLSHNKIVEFPESALALHDKQSNCGFVTLAYLNLAHNKISRECDILPL VKMRSLQQLVLYGNPLAHAAVQTQDKTKLLYNPVPDMTDVHIDIVREVELNIVVAYPA TKKKKSTYKNVQIARVAQAALPTSFEFKARAQRMLFSPLPQDHQHTTIFPRLEKKDLS DAKPSDVSTPPGPDSTFLTGLRLECSTSALVARQDKFPHVKDRRHMENDVPSYFLARS LAPTTDVQQHKLKTAMTSLRYQLNHPLTSHNDMDVMADCQASRPNAIHKIRQRPKRHD DKVAVGGVLATIDRAIDDVNSKLHHRDLARHRGDGVNAILRKAHRLVQHG H257_12558 MTVEYEPLCARNPEADEPISVVDEMLYHLRLAAPLVFVHLMDYL PGVTSIYLVGQLPNVADVDTNMNAAALSTSFLNMTSTSLGMGLCSAVGILCAQAYGAG NTKQFNAYLHAATLGILVAMIPVVFVTWHSDNVFAFMGHNPTTCAKAATFTILSSLGL PFFFAFEILRKMLQAHSSVDGMAVISMAANVVHVTVGYYLTQHTTWGFYGAAVGRSIS NVSLIVGTAVYFHLEPTYKTWGLQWQPSLARAHLMEFFRFGLPGMVMFWVEFGSLWLL SFLAAKLPRPYIQTRVNTILCHVLSMMYVVYLGIATASMVRVGNLLGANCPHHAKTIM RLSFVLVAACLTFTIMFIASTRHLIAAAFFAQPDVVEAASDALLFILPLHALNAFNTN AQGVFRGMGRPGVGALVNFCSVFLVGLPSAAAVGLYWRRDVTGLWEGQTMGATASLVV FAIILQRIQWARLATAAKIRSEA H257_12559 MGDNRPVKTGEESLPLVHHSPKTIGRSSSSTEDGSAMDELKVVT RLIVPVVFTLLNEYLPAVTNIILVGHLNSPETKEHVAATAISSMLFNITSMSVGLGLA TAMDTLCTQALGAGNAKKFGAYLQGGMLAMALVLVPVFVVNWYSGWILKSLHQDATIS AMAGTFTRYSTVGLPFLCLYELVKRLLQAHHITWPTAVVAVLGNVIHVGVGYYLVNFT SYGFYGAAIGRSVAYVCLPFLLLPYFWWHPIHLDWNIVGQWSLRDAWASLPAFLEFGV PGMVAMVVEWGAFEILTLFSGLMPDHTVVLGVNSIIMTIISVVYMLFWGVSTAACIRI GFFLGANQPAKAKQVTLLCYAITFGCCLCTALFLVLTRNVLPQLFVEDVDVVQRTAMA VLFVIPCHMIDSMNCVTTGVLRAMGKQHVGVVVLTSAYYVVGVPAAALCGLYFGWSVE GLWVGFTLGTTVACFTYALQLRTINWTQLAQDAAARSTE H257_12560 MLFRALSRHAGLAPCRRLSTAAAAREFQVERLTGDLDGVALLTM SRPAARNALGKQMMREMREAMDSIRFDTKVRVVVLHSTVDRVFCAGADLKERIAMTPE EAAATVFGLRAAFTELEQLPMPTIAAIEGAALGGGLEMALACDFRIAGAKALLGTPET GLAILPGAGGTQRLPRLVGLSKAKELIFTARKIDSVAAERIGLVDYAVDANAALEKAY ALAREILPNGPIGVRCAKEAITKGIEVDLASGMAIERACYAQVIPTKDRLEGLKAFQE KRKPVYKGE H257_12561 MVSLALVKRRICLFTLLSAVFFISCLVPLVLDSLIPVQPATYYC DTTQVLTMRSDLAQLPWGASCISATAFIEVFLPTLVDVKNRPVKPPTSPMLNAFCTVE CADAIANLKAYAFLPCDSVLDGKTRSIGSIPPLLCPNGSSVVTVPPAPTSMDRAGFYC GYATLNNAFGTQGTTPLRGSSNTCTQAAARVFFPDVTTDGIPYFPVTLAMVDTLCNQC PDTVTMLRKATMPLCDTVINDKTISFANLSARMCLVKDVTATNQTIANRSTS H257_12562 MEKGLLLYLNGERVLAKDTQPEETLLDFLRVKQRLTGTKLGCGE GGCGACSVIVSRVDPTTQQVTHKAVNACLTPVCAVEGCAITTVEGLRQKTLHPVQTAI AEQHGSQCGFCTPGIVMALTAIVQDDSVTMDGIEHQLDGNLCRCTGYRPILDAARSFG SDALTLKCGSSACDQHCMTGDIEDILSVDVVSCSAKKIRAEAAKHLNTPRKLPPIPDE LFSYATTMAQSPTTIHGTTRTWHRPTSLVELLHLKASHPEAKLSVGHSELGIEVKFKK MRYPHLVAVTHVPDLHILGLVQSPSFHGIQVGAAVPLVRLANFCKTHVVKKTNAAVSP HQKRTFAAIRRMLKWFASVQIRNVASLGGNLATASPIADMNPLLAAMGATVEVASADQ GTRVVAVSDFFVGYRRVALAPSEVIVRVLIPLAKQHEFIFPFKQARRREDDISIVTAG MRVLLAPSPRNEWLVEDISLVYGGMAATVKVPVATQRALVTSKLWNVANVKAACNVLA DELALPASVPGGMSAYRTTLSVSFLYKFYLQVVEALGHENPQDPLWLALDARERSGAT SYMSVHRPLSSGVQRFGVDKGGLMTSQLKPVAGDDVRAPVGNPLMHRSAYGQVSGEAK YVDDMADVHNVVHGALVLSTVPHGDIVGIDASTALSMPGVVAFLDATVFDMESFGVNA MGPVITDEECFASKRVVTVGQPLGIVLAATHQQAVAAAESGVHVQYNVLPSIVSMDEA IAAQSFHTNGRSLVRGDVDAALAACDVVVEGHVYIGGQEHFYLETNVSYCVPMEDGAM HVTSSTQAVANTQTTVAHCLGVPAHKVVASIKRMGGAFGGKETRSMFVACAAAVAAKA LKRPVRLLVERNVDMLTSGTRHPYFAKYKAGARSDGTLVGYDVELFNNAGYSLDLSEA VMDRALFHCENAYFIPNLRAVGKCCKTNLPTNTAFRGFGAPQGMMVGETMVEHIANAL KLPSRVVREKNLYQANMRTHYGQVLTDFHLDALWHRAMRESQLVEREADVAAFNRQHK WKKQGLCILPSKFGISFTNRFMNQGGALVHVYTDGSVLVSHGGTEMGQGLHTKVIQIV AKAFGISCDDVSIHDTSTDKVANAQPSAGSMSTDLYGMAALDACEQILARLGPVVAKL GPTAAFADVVRAAYFDRICLSAHGFYIVPGENCGYDWSKSSDENSATGQTFNYFTTGV CVTQVELDVLTGDTHMQSVDIFMDVGASINPAIDIGQIEGAFIQGFGLFCMEQHVWGD ANHPWIPRGAFFTRGPGTYKIPAFNDVPLQLNVWLEPNMKNKLAVHSSKAIGEPPLFL GATAFFAIKAAVDAARLEHDSELLPPTFHALESPLTPERARLAIRDAFCASSVAPYGC Y H257_12563 MQTTTKRSAYAQATTPEMRLSGCSAAAMSQRSLLATLRPRHSDY FSKILQAPSVRQVASMQTAGVRVSSFVSSCSSLGAAVPMLEDGDDNDVFKDREFGDDD EDEPTGLVQFDALKHVVHTIANADGAIDKQTFKDTFGMDLPDAAMESVRCASFLLTAA MEMDLADDEKLRLIFNTMDAQSTGLIDRGDVVTLLRAKFASVKLKCVGTDYSSLADIL FAKAHAAHKTHIDYAQFCHVFRDYIKDATTVVTADCLKSTKSTHRTNVFSTTTSSSRH DHASLSSLGSNVHAWYLRNRLRLWWGALYIVFCLYQGIGKAMKFPVDSAVGWSLRIAR GMAQVSMSNMFLALLPMCRSVVEIVKTHTPLGRFIPFDDVLAFHRVAGAVSLLAGLIH TGAHVYNEVCLYLVVSPDRIHRSFLVAHISTLRGPNGEALVPPFHQFVMTLPILTGVV MLVIALMVLSTALIPWVRQRHFNVFWYCHVSLGLFLAAGCLHGAMSWLATAQSFFWIL PPLSIYLLERRVRFLKYFPVATKTVPVAIQRDRTTIYKQSSSEDTLALFLDKPPSFRY TPGMYTFVNVPAISTHEWHPFTISSAPCDPYVSLHIRNAGDWTCRLHELIDQGQFPAL FLDGPVGAPTQAYSQYKTILMVGGGIGVTPFASVLKDVVTEMRSRKAGGRVCPDFHLE KLYFHWTTRQQDSLRWFEDTMNDLHALDDDNMFETHQHLTSVKEHDTPEFMKLFQAMV HKETGTDVVSGLHTGQLTHFGRPKWDQIFHEIASTHVGETIGVFFCGPHIIDVELDRL CRQHTSNGTRFEYHSEKFA H257_12564 MNVLPVELRRMLTGSVSTSASQTFLCAQVTVLVQRKHCQSFSPR SCSNTRFKRSVPSCTDENTAVLVFRVETPNCTLGGMSDS H257_12565 MRASGVAEDVTEKTILLDDLATQVDEAKNEEMRRASTEESTAAQ SKEAGARVRDVAMKSQGKRKAEEGDGESNASGGKMLKILTLMNEGNRSELDLRKFMFE RELEERKKDREREVEERQRDFEERKMDRQREVEERKKDREVQMQQMQVMQCAMTTVFD ALVKKL H257_12566 MALSVHTITMLLALAKTKSTRDMLMCMYVYQYVEPPLLPRVVFS LDSYAHANALLDFRFDVVGIKRLGYLLRVGTNESIASTTKPSLPQMAFVITFGDQLRV DATTQRCSRTVASYKRLQAIRQCLPQNTSTATQHME H257_12567 MDECVQEATILFDRQQGVPQYLPVPHKGQPDRYPAYTMHIPHGF LNWRMDRRDVVAFPTQDVAGSILNFCRCGVVRGGIFFTRSSPDADAASSDDARYMVAV KIMDRHQLQLQRDDIENELSVMRVLQPGGFTGVLQNPHILQWEASSDSANEYIATDYV SNGSLVMYAHHRLQELRFHVSMELPQHPELHEARLAQLWTHESLHLFLGVMKGLTYIH AQDVAHLDLDPNNVVIDDDRTPRILDFGSGAYLQSAMGAGAAGGGTSLVKCKPLYAPP EVRRHNQTPPPRTPFNGTAADMWSAGTMLYQLVCFGYPAGEYALIRDVNWRRNLIAHA NDQPHAGHECYICVREIAFPPVAYEIFRSLLNEDDPDARMSAFAVAGLLGQYLSQTT H257_12568 MTVARRQGDVVHAKGPYLNDVTAWNEGTLSESAVVKEELESLGM PSPLEELMTQWGDLEDIINTMRTPSQSIDELISALEAIDFSSMLETPEGAWDHLSGDN EDNCNQRGRSRASSTFDEDDAAPFSVAFTMEDIQSSWGEEVLASNLLHIPKRERAMAR TIVLNLLRMFLPRFDSSYKMLSESHLVQLIQCANRILGAFLP H257_12569 MSFGKTNYRFGGTRGGQDQFKWDDVKNDKYRENYLGHSLNAPVG RWVKGTRIPSTTMISSWTSVGKDLTWYSKGKGGQSAVLAEEIALAKQRDEDRMNEALG IAPKRHHEPTGALDANEMKTLLKRGETERNDGDAERVEGLGAATFVTGYEDPTAKRRT MAERYQDNVGKQDLTHGLPGVHNDDEADEKSRKKDAKKQKKMKKEAKKEAKKHKKERK RSNRSPSRERESKRSKRDRSRD H257_12569 MSFGKTNYRFGGTRGGQDQFKWDDVKNDKYRENYLGHSLNAPVG RWVKGTRIPSTTMISSWTSVGKDLTWYSKGKGGQSAVLAEEIALAKQRDEDRMNEALG IAPKRHHEPTGALDANEMKTLLKRGETERNDGDAERVEGLGAATFVTGYEDPTAKRRT MAERYQDNVGKQDLTHGLPGVHNDDEADEKSRKKDAKKQKKMKKEAKKEAKKHKKERK RSNRSPSRERESKRSKRDRSRD H257_12569 MSFGKTNYRFGGTRGGQDQFKWDDVKNDKYRENYLGHSLNAPVG RWVKGKDLTWYSKGKGGQSAVLAEEIALAKQRDEDRMNEALGIAPKRHHEPTGALDAN EMKTLLKRGETERNDGDAERVEGLGAATFVTGYEDPTAKRRTMAERYQDNVGKQDLTH GLPGVHNDDEADEKSRKKDAKKQKKMKKEAKKEAKKHKKERKRSNRSPSRERESKRSK RDRSRD H257_12569 MSFGKTNYRFGGTRGGQDQFKWDDVKNDKYRENYLGHSLNAPVG RWVKGKDLTWYSKGKGGQSAVLAEEIALAKQRDEDRMNEALGIAPKRHHEPTGALDAN EMKTLLKRGETERNDGDAERVEGLGAATFVTGYEDPTAKRRTMAERYQDNVGKQDLTH GLPGVHNDDEADEKSRKKDAKKQKKMKKEAKKEAKKHKKERKRSNRSPSRERESKRSK RDRSRD H257_12570 MDSETTIVVSEKSALVDRADGASNEAATISLWDEVSSLVTLAAP IVFTLFMEFIPSITNIILVGQMQTPNLKQYVDATAMAVMYTNITSYSVGLGMATALDT LCTQAYGAGNLRKFGVLLQSALLGMALTLVPVTLLNWFSGDILKLLGQEPALADLTGA FIRVMTVGYPALYAFEVLKKLVQAEGVTTPMAVLTGLGNILHVSMGYYLSQHTFLGYL GPAVALTVMETAVLLGMLGYVLLWNTMYTGWCIEWGWHAAWSHVAQFFQFGVPGMLMM MIEWGAIEILTLVAGILPNHILTIGVNSILSSTLNLAYMPYFGLSVAATIRMGTLLGA NQPDKAKRVMFLTLRLCFACALLTASAIVLLSPVLPRLVINDVDVIARGSTALWFIAP LHVVDAMNAACQGFFRAMGKQDVASGVNAAAFYLVGVPVAVLFGVYLEWSVEGLWAGF TFGSLTAFVVYQLLLCRVNWVAVAQDAVNRE H257_12570 MDSETTIVVSEKSALVDRADGASNEAATISLWDEVSSLVTLAAP IVFTLFMEFIPSITNIILVGQMQTPNLKQYVDATAMAVMYTNITSYSVGLGMATALDT LCTQAYGAGNLRKFGVLLQSALLGMALTLVPVTLLNWFSGDILKLLGQEPALADLTGA FIRVMTVGYPALYAFEVLKKLVQAEGVTTPMAVLTGLGNILHVSMGYYLSQHTFLGYL GPAVALTVMETAVLLGMLGYVLLWNTMYTGWCIEWGWHAAWSHVAQFFQFGVPGMLMM MIEWGAIEILTLVAGILPNHILTIGVNSILSSTLNLAYMPYFGLSVAATIRMGTLLGA NQPDKAKRVMFLTLRLCFACALLTASAIVLLSPVLPRLVINDVDVIAYVVYRVESVL H257_12571 MERFQELDKERAAIDAEIQLIVEELTAGPNPIGLKGPLVDDEGF PRSDIDVYTVRHKRHRFACLQNDLKWKMQEIEDVMTSIYAEKKAQQPPPPPKQPTIPA GPSAHSKLHAADVVSDSTVPVKLEGNAGSPSSEAGLQVGDLVLEFGTATADNHRELAA IREIVMRNLDAPIEVVVQRRGTADQFRLSLVPHSWIGQGVLGCHIVPLHV H257_12571 MERFQELDKERAAIDAEIQLIVEELTAGPNPIGLKGPLVDDEGF PRSDIDVYTVRHKRHRFACLQNDLKWKMQEIEDVMTSIYAEKKAQQPPPPPKQPTIPA GPSAHSKLHAADVVSDSTVPVKLEGNAVVLSVPFARVESIQDGSPSSEAGLQVGDLVL EFGTATADNHRELAAIREIVMRNLDAPIEVVVQRRGTADQFRLSLVPHSWIGQGVLGC HIVPLHV H257_12571 MERFQELDKERAAIDAEIQLIVEELTAGPNPIGLKGPLVDDEGF PRSDIDVYTVRHKRHRFACLQNDLKWKMQEIEDVMTSIYAEKKAQQPPPPPKQPTIPA GPSAHSKLHAADVVSDSTVPVKLEGNAVVLSVPFARVESIQDGTYLSLHRIPYMLSQV PPRAKQDCKWATSFSNLEPRRPTITAN H257_12572 MKNGTDYTNSPRGTVHSAASRKTAFSSSSKLQIKRQTSSSSSVV GRTRPLASNDDDDDRDSITSHMSTVSGRYGSNFKVVIRVRPPLPRELQGDKPFQNIVS VDSSGHYLTVSDSNHHHNPSSNDDQQGNSSSSSSSYSNHSFSFDHVYDQHSTQRAVYE NTAKAVVESSLEGYNATIFAYGQTGTGKTYTMEGFNSSGGSSLEDRGIIPRAIEQIFM HIQANMSARMRFLVRASYLQIYNESISDLLKPERNNLTIREDKKRGVFVEGLSEWVVR SPEEIYGLMERGGAMRATGSTKMNEISSRSHAVFIIIAEQSQTTYVDTTGKEVAPDEF TALVNTQARDRTKLESLVRQSFKVGKLNLVDLAGSERVRLSGATGQRLEESKKINQSL SALGNVIAALTDTRGRQHIPYRDSKLTRILEDSLGGNCKTTMMAMISPALEAIVESLS TLKFANRAKNIKNEARVNEDLDQKSLLRKYERELKRLRAELEEKNRNVVDKRRLLELD EQRRRAEEDKMAAIRALEQRSLEFMLEKEEKKKLEQRIVMLTSQMLMSHGNKPRPDND STTDTSEFSTEDPQFRIALKEQQDRIRKEYECRLADLEKERETIEEEKAQVDRYKQLL LKQRDIMIALTQRLNERDEQIMALQDELDAYDRHQKALEEKLDEKTAQLIHLQRVTME HNATSPNKNAELIRALGEWGFKQTQRQQLLQGPSSSVDGSTLSPHLLTTHKQFLPHEP PYPMNNNHHPEVTKSPPKGLLLSADEKIHELQDVVTSQSLELAKAAKELDEVRADKVC VESEVRERVEKMVQMELHQQGQATSAKYQRRIHELESTAKLCGDLQGRCDTLTKERKA VQTIMEQKIKALVDAIARASDATLETVGGVAHVGEPAKWLCREVAALQRLVNASIVAL RNANNSEKSSASTASTEKQTPVQPPAVPPSPPQADTKRPALSSYVTGGLSVEELIHKR RQQMQREKHQRHHT H257_12573 MMLDPFEYMDEHDKCLLLTDGFSRFIQMLLGVCAVGVLFVKREI EIPKRAFNVWTFDVSKQGLGALFVHVVNIFLSILLTQRSKAEDDQCAVYFATFLIDVT LGTALVLVFLAQVKAVATWMGWSSILASGKYGDPPQFTVWLKQLVVYLVILIAMKVVV TVLVYILYIPLATASTYLFSVFSHHRHAELVVVMILGPCFVNVVQFWVLDNYLKHAIV IPTSPKTFARLPSTDDTIDEDRPHTPLLL H257_12573 MMLDPFEYMDEHDKCLLLTDGFSRFIQMLLGVCAVGVLFVKREI EIPKRAFNVWTFDVSKQGLGALFVHVVNIFLSILLTQRSKAEDDQCAVYFATFLIDVT LGTALVLVFLAQVKAVATWMGWSSILASGKYGDPPQFTYVKLVRRRHVPSQDGIVCCS VWLKQLVVYLVILIAMKVVVTVLVYILYIPLATASTYLFSVFSHHRHAELVVVMILGP CFVNVVQFWVLDNYLKHAIVIPTSPKTFARLPSTDDTIDEDRPHTPLLL H257_12574 MRAIAVVHAICVLLLEVSTSEVDPRSMSLGSKTPYYSQELPSEE SPLPPSCSPVHINMVVRHGTRFPTTKVIQAIGAVSAKLRAAAIGPRHPPLPSWLESWQ VESYYPIHESGMLAATGVAEMVGLGRRIRQKYAATFPSAYAKSSFTFEHTWKDRTRES ATSFAFGFFGGHQPIHYEVAAKGDDHELRFFDNCPAYDRHVEQNQSATEQYDAFATSD SVLAALLHFQTAFNLPSLTLKDLSAVYDACAFDIAVHGISHHWCTLIPPGLLQTMEYW KELKQYYRKSHGNPLSVAIASPLLRDVVEAMVNITSRLDGVDGHFRFAHAETLLPLLS LLGFHQDQPKLVASATAPYDRLFRSAKLAPFGGNVAFVLYQCPNSTEPLVQITVNERV VATPGLGCVSCPLSEWIRHYSHWTPPTKFSQDCTLP H257_12575 MWSNKATTKDSKGLLPGRATFGPLFLIASTPIAAILFTHIFVAE GGEWWPLAEKFSSLGVLPTLQAIWPSPFDPTAWQIILTFMAIQLALIKLVPAPTVYGP VTPGGNIPEYTDNGFRSYLITLALFVGGAYAGLWEGGFAYTHMLHIISALNVFSFALC LVIYIKGLTFPTSSDYGVTGSPLFDFFSGIELYPRIFGWDVKLFTNCRCGMMFWGVGI VSYAWKQYELYGYVSDSMAASVALQLVYVAKFFWWEAGYMRSIDIMHDRAGYYLCWGC LVWVPSVYTSQAMYLVQTPITLGTPLAASIFLTGVLMVWINYSVDLQRQEFRATNGKA LVWGQKPTFIVAKYTTEKNEKKESLLLTCGWWGLSRHFHYIPEILASLCWTLPAWNSS FVPYFYVFYLCILLTDRAFRDDARCRAKYGQDWSKYCERVPQLIIPGVL H257_12576 MGAHESRIKEDDHTKLNQEQDNDNRQPVVQVRVSADLVKSLKEP QQNTASNTHAATSKGSISLEESEKLQKEAYLRGSEDAYKRVEAEAKKSTAKPVDLKKQ EAEELQRVKQVVDELNQKHYRAPVNDVQCSKERETCLQCYRESGTDVLKCKEVSDAFF RCADAATTEFVKK H257_12577 MVLSPISMQPSALAAVLLVVAATTEVDALVNKCHQRHPNRTGVV TSPLPHQYVTDLPKNFDWRNVNGTNYVTVSRNQHVPHYCGSCWAFAATSSLSDRLRIY RERNPGKHSRVEVTREINISPQVLLNCELESQGCHGGDGLSAYRYIHDHGIPEEGCQR YLATGHDVGNTCTPIDICRNCDPSKGCFPQKTFDKYFVSEFGEVKGENELKAEIFARG PIVCGVAVSKEFLDYSGGIIDDKTGATDIDHDISIVGWGEDEHGTPYWVGRNSWGTYW GEDGWFRLRRGNNNLGVESDCQFGVPSNDGWPSVTPESDSESSESAAMVHAPVWSAFK SPADADSTRMCRAAAVHFPKGEKILTALPHETLDASALPKAWDWRDINGVNYVTWDKN QHIPQYCGSCWAQGTTSALSDRISIMRNATWPEIALSPQVVINCHGGGSCQGGNPGAV YEFAHDRGIPDQTCQAYQAKDDTCSALNICETCWPSNSSFTPGECRVVPTFTSYYVSE YGHVRGADHIKAEIYKRGPVGCGMHVTDKFEAYAGGIYSENVLFPIPNHEISVAGWGF DEETQTEYWIGRNSWGTYWGENGWFRIQMHHHNLGIENDCDWGVPIPDGSKPPLTN H257_12577 MVLSPISMQPSALAAVLLVVAATTEVDALVNKCHQRHPNRTGVV TSPLPHQYVTDLPKNFDWRNVNGTNYVTVSRNQHVPHYCGSCWAFAATSSLSDRLRIY RERNPGKHSRVEVTREINISPQVLLNCELESQGCHGGDGLSAYRYIHDHGIPEEGCQR YLATGHDVGNTCTPIDICRNCDPSKGCFPQKTFDKYFVSEFGEVKGENELKAEIFARG PIVCGVAVSKEFLDYSGGIIDDKTGATDIDHDISIVGWGEDEHGTPYWVGRNSWGTYW GEDGWFRLRRGNNNLGVESDCQFGVPSNDGWPSVTPESDSESSESAAMVHAPVWSAFK SPADADSTRMCRAAAVHFPKGEKILTALPHETLDASALPKAWDWRDINGVNYVTWDKN QHIPQYCGSCWAQGTTSALSDRISIMRNGTNQPNHHPPSCFHGDMPRSHVARDRIVPT SGHQLPRRRVLPRRQPRRRLRVCT H257_12578 MTVEVTVARTSDGASAVECHGDVESDREEVPRSLPTIPRTKREY ESQYRGRYLIWPGVALLVVLAVVGVVLTTVYSWKAHNAMASRRSDFNSRMGKRFSIGG DDGSEGGDGGGEDGTLRNPATYANRECAMPNYKSKQGKIFAVGPRGEEVALGIKGINW FGMETGLAIPFGLWANDMNGTTVYEVARFLAKNRFNSVRLPLCVSHILTNQKPQQDVI NIQENKAINASTYMSALSSIVQTLAFRNISVLLDMHTLTPFESGGLPWTSPTEATAFF DAVDVLTAQLCNAKHWNIIGLDLKNEPHLATWGDGTATDFQLMAQRIGNRMLSKCPTW LAFVEGINAPRTLAIDGKSFAFSDWWGGGLEEAGDKPVELGLESKVVYAPHYYNPAVY PQLYYLKSGTRAGDTIINYEELDDATLALRVQATSEHMFGHLRKTQEGALVMGEFGGL YSQDLHPMKTTQRATEALIEIMKQPGYAGGYLWALNPESAYQFNPSNTRVTTTEGLLD ETWLNVNEPFLRAMAAMDSLPNLRSFPCFTP H257_12579 MATSPRVTSPRAEPDEDENQPRSPSEYKRYSSSSILTDSSQEDS SSDVQVPASTGAKGTKQHKGGKTAQERKNQPTAGKCFKSWWKQVLFIVVVVGSVVAGT VIVILKLVQQKDSANSGFNGNGYFPIPTAEVDSLAQTAGRIADGTSVTSESLPLGVNP TKYPLQSCKLPQYTTSGGKIFVQGPNSLPQPISIKGISWAGMETADAIPSGLWHTPNN GTTVFQLAAFLARNNFNSVRLPLCIESILNDRRPNPDLINTAANRAINVQSYTGLLSS IIRALAFRKISVLLDLHTLTPTDAGGSWTSDNLSEISYLAAVDVLATKLCNDQHWNVI GIDLKNKPYAATWGDNGPNDWAVGAPKIANRMLKGCPNWLAFAQGTVSKHTLRSDDNT QVFSYTDWWGGGLQNEATAPLKLSIPNKLVYAPHYYSPSEYPQSYFVLNGIRDHDLMV GYTEYTNETLKRRVTATAADMFGFLTQYQQGAIVLGEFGGLYTKDAFANLTNRRVIEL TMDVASQPGYAGGYVWGLNPETTYEYNPSDTKGSWSEGLVDETWATANQPFLTALKKL DALPNLQPWPCLT H257_12579 MATSPRVTSPRAEPDEDENQPRSPSEYKRYSSSSILTDSSQEDS SSDVQVPASTGAKGTKQHKGGKTAQERKNQPTAGKCFKSWWKQVLFIVVVVGSVVAGT VIVILKLVQQKDSANSGFNGNGYFPIPTAEVDSLAQTAGRIADGTSVTSESLPLGVNP TKYPLQSCKLPQYTTSGGKIFVQGPNSLPQPISIKGISWAGMETADAIPSGLWHTPNN GTTVFQLAAFLARNNFNSVRLPLCIESILNDRRPNPDLINTAANRAINVQSYTGLLSS IIRALAFRKISVLLDLHTLTPTDAGGSWTSDNLSEISYLAAVDVLATKLCNDQHWNVI GIDLKNKPYAATWGDNGPNDWAVGAPKIANRMLKGCPNWLAFAQGTVSKHTLRSDDNT QVFSYTDWWGGGLQNEATAPLKLSIPNKLVYAPHYYSPSEYPQSYFVLNGIRDHDLMV GYTEYTNETLKRRVTATAADMFGFLTQYQQGAIVLGEFGGLYTKDAFANLTNRRVIEL TMDVASQPGYAGGYVWGLNPETTYEYNPSDTKGSWSEGLVDETWATANQPFLTALKKL DALPNLQPWPCLT H257_12580 MSTTPRHNVARIESLEAPVDYSRLDSSSALVQDEDESKEDDNNE GGQVALNDVADTNSISSRCPNTCLESRRTKAILLFALTVLSIGGVAAVVLVLFGTKGD AASHSSANGSQTSLTTDTSTNVPSVTPFATSSSIPSIAIPTTTTPSTIVAPSTTPPVT EPITSTSAPSMTPSMSPPLTPNIGRILDGTSGTLPTEETNPTSFPDRGCKLPNYRSHD GQVFIQNSASGVETPVAIKGINWFGLDTAENVPFGLWANDQNGTNIYEIVSFLARHKF NSIRLPLNVRGILANGPTNENLVNTYWSPSVNLTTYLGTVSSIVHAFGSRGISILLDL HYLSPTDKGDAWFSATTPESNSLAAIDALATTLCNDRHWNVLGLDLKNEPWDTTWGDN GARDFKVGAATLGNRMLKRCPQWLAFVEGNAKPHTIDIKGQRFEYYDWWGGGLQKAGD FRLALDVPAKIVWAPHYYSPSVYPQTYLVKDATRGKDVLYGYSEWTDNELSDIVRATS EDMFGYLRHVQDSAIVFGEFGGLYALDAHPLKTSRRVIQDCMKVMKEPGYAGGYMWSL NPESGYAFNPSDTAGYWEEGLLKNDWVTVNMEYLHALEILDDMPNLRSFPCFT H257_12581 MDEHLEIHLLANRTVTDDSTGKTIKYTNYTIYIKNVSTGQKYSV QRRYSHFYELRNQLVAIVNWGHCPFCSHCCSVVKSYPFPKRTPLSWQLSVVQERIDGL ALFLKVILRDLLGGAFRQCTHADTNIRHNVIRSFLEIDEQHLMRRVPKVPEVKVERIR SYLEPQRTTPDITPKRKVAADTCPSCMSKWIDCYCNDDEMFPERIQEMESPTK H257_12582 MRAWIYSAVAHSLHVGVEGVVTKAPVWSASTTSQISLSGDVIDY PGGWILPQRNQCVDICTKSSPTPTCFVNTTDCIDKKQEPGDYDTLILEQIFLPQYCRD LLDGIDSTVAHRPVAPYPSGIRCLAPVRSILSIHGLWPNYDGGYPSCCNVSSVIRNNP FDPLAFRAQHPGLVRSMTERWSDPTQPRDDALCELWNHEFQKHGLCYASAGEDFNTAA ARYFTAAMAVADTVGAATSQIHAWAATESAIVSGADIAQLYRRGVQIDCSNGQLARIR TCWAKDSSQVDCPAPGSCNLAASIRLDVYAPPHPFE H257_12583 MPSISTFPVMLSRVLRSVARAPAASAFSRRCFTTKFAPSHEYLT IAGNQATIGITDFAQNALGDVVYVELPAIGDQVTKGEVFGSVESVKAASDVYAPASGK VVEINEDLTSEPSLVNDGPQTTGWFIKIELDDVSETSDLLDESAYQEHCDKDDH H257_12585 MKVQHPALTFVVVLLLCLQTSALALDDVGNVVQVPQVTSEALAE TTEDTSLQVAAEAEETQSLSIGSGVIFVAGVAMLGIIGYVVYRQHRRNVAKEHDNQLM QSLLDSEMDYAAM H257_12584 MQVIKSLAPCQHKMECKEVKIVRARRGHLLHMKAWAVYLCLTAG HRVAATEAFADYAYCSKHVEQNVIAPLDNPDQYTLQQVQIVMRHGARTLAARSSCWTG YNVTWSCNAKMRVSPQLDGDSNPHHVYEMRYMEGETELKGNCHVGQLLDEGYAQEFQN GRTFRTAYIDAGALFPASNPADLSNPDDIFLSSTDMHRTVMSGQLVVDAMFPPPSSSA VVPWHVGDIALSSYVPNPTACPKLVEIKAQFEASPGYVAWMANQSAVVDLTRATFQSY DARMLFDCLVTSRCSQPQSLPHLLSSSHYDQIVTYERDKRMKIYVDSDAVYAKASIAK VMLAIRNRMLLRVRGGANTSRFALYSGHDDTVMPLLAALGGSQWLQDWPPYAAYLSFE LYENNSGSHFVRFVYQGQPLTVPGCQDDKLCPLDVFTNMTAFATDKAICAASLKVAVK PVQSESFDVGNDEDVDTQVSFKALVLFVFVGLLLGVVFGLIMAQTLAKNGQPKHTSID KGPAKSTFVTIQSPGNQRDDDCQNEDDTLLLCQSPKHKR H257_12584 MQVIKSLAPCQHKMECKEVKIVRARRGHLLHMKAWAVYLCLTAG HRVAATEAFADYAYCSKHVEQNVIAPLDNPDQYTLQQVQIVMRHGARTLAARSSCWTG YNVTWSCNAKMRVSPQLDGDSNPHHVYEMRYMEGETELKGNCHVGQLLDEGYAQEFQN GRTFRTAYIDAGALFPASNPADLSNPDDIFLSSTDMHRTVMSGQLVVDAMFPPPSSSA VVPWHVGDIALSSYVPNPTACPKLVEIKAQFEASPGYVAWMANQSAVVDLTRATFQSY DARMLFDCLVTSRCSQPQSLPHLLSSSHYDQIVTYERDKRMKIYVDSDAVYAKASIAK VMLAIRNRMLLRVRGGANTSRFALYSGHDDTVMPLLAALGGSQWLQDWPPYAAYLSFE LYENNSGSHFVRFVYQGQPLTVPGCQGPTLTIASLHHITRLNCLVADDKLCPLDVFTN MTAFATDKAICAASLKVAVKPVQSESFDVGNDEDVDTQVSFKALVLFVFVGLLLGVVF GLIMAQTLAKNGQPKHTSIDKGPAKSTFVTIQSPGNQRDDDCQNEDDTLLLCQSPKHK R H257_12584 MHRTVMSGQLVVDAMFPPPSSSAVVPWHVGDIALSSYVPNPTAC PKLVEIKAQFEASPGYVAWMANQSAVVDLTRATFQSYDARMLFDCLVTSRCSQPQSLP HLLSSSHYDQIVTYERDKRMKIYVDSDAVYAKASIAKVMLAIRNRMLLRVRGGANTSR FALYSGHDDTVMPLLAALGGSQWLQDWPPYAAYLSFELYENNSGSHFVRFVYQGQPLT VPGCQGPTLTIASLHHITRLNCLVADDKLCPLDVFTNMTAFATDKAICAASLKVAVKP VQSESFDVGNDEDVDTQVSFKALVLFVFVGLLLGVVFGLIMAQTLAKNGQPKHTSIDK GPAKSTFVTIQSPGNQRDDDCQNEDDTLLLCQSPKHKR H257_12584 MQVIKSLAPCQHKMECKEVKIVRARRGHLLHMKAWAVYLCLTAG HRVAATEAFADYAYCSKHVEQNVIAPLDNPDQYTLQQVQIVMRHGARTLAARSSCWTG YNVTWSCNAKMRVSPQLDGDSNPHHVYEMRYMEGETELKGNCHVGQLLDEGYAQEFQN GRTFRTAYIDAGALFPASNPADLSNPDDIFLSSTDMHRTVMSGQLVVDAMFPPPSSSA VVPWHVGDIALSSYVPNPTACPKLVEIKAQFEASPGYVAWMANQSAVVDLTRATFQSY DARMLFDCLVTSRCSQPQSLPHLLSSSHYDQIVTYERDKRMKIYVDSDAVYAKASIAK VMLAIRNRMLLRVRGGANTSRFALYSGTS H257_12584 MQVIKSLAPCQHKMECKEVKIVRARRGHLLHMKAWAVYLCLTAG HRVAATEAFADYAYCSKHVEQNVIAPLDNPDQYTLQQVQIVMRHGARTLAARSSCWTG YNVTWSCNAKMRVSPQLDGDSNPHHVYEMRYMEGETELKGNCHVGQLLDEGYAQEFQN GRTFRTAYIDAGALFPASNPADLSNPDDIFLSSTDMHRTVMSGQLVVDAMFPPPSSSA VVPWHVGDIALSSYVPNPTACPKLVEIKAQFEASPGYVAWMANQSAVVDLTRATFQSY DARMLFDCLVTSRCSQPQSLPHLLSSSHYDQIVTYERDKRMKIYVDSDAVYAKASIAK VMLAIRNRMLLRVRGGANTSRFALYSGTS H257_12586 MQVPSPTGTSWTVTPTSPQERAIDGPAPIVKDKNYLYSGRKSFA VWLDWTEWQTVYADVFASELNLLDDGSDSPHTRKLRALSYMAAWRARSDVPVAVEVTA QLVEISCHDSLLGLALPGRSAYRSHEELRLLYSATVVRCVNGLVDASQRGAYAQAVSS LALRIGIPLWIVDIRHEAAHTKLPSLPTLQLACQTLRQWLFEHYWHPQDAAIKQRVAQ VGSALSRRLHFNEPLRQLLGLDVATLSGIVVPLLVQGHQYGERVSSGTALAGLIALNL DVDGTIVDLLPKDVALDFTRFDAEWLQLCGQDSLRQVVEECQGVWDDFVGWFLVAVAR ELCRICQDETQDVKSVLLAKWIVHVASPDTWTLDRRGHRLPVAASYALALLRNSPEQD NLLGHYWKAAEAALVACSTNDHPANVENDVITSWKKFEVWTPSPMGTQLPFGSIARDL SLTDAVDEWDSGNLIVLSELPPADTSMMADFDAKYFANVAAIVDARNKIADEIVVAGH TSHNESISHDEVVRLQNAIEIW H257_12586 MQVPSPTGTSWTVTPTSPQERAIDGPAPIVKDKNYLYSGRKSFA VWLDWTEWQTVYADVFASELNLLDDGSDSPHTRKLRALSYMAAWRARSDVPVAVEVTA QLVEISCHDSLLGLALPGRSAYRSHEELRLLYSATVVRCVNGLVDASQRGAYAQAVSS LALRIGIPLWIVDIRHEAAHTKLPSLPTLQLACQTLRQWLFEHYWHPQDAAIKQRVAQ VGSALSRRLHFNEPLRQLLGLDVATLSGIVVPLLVQGHQYGERVSSDGTIVDLLPKDV ALDFTRFDAEWLQLCGQDSLRQVVEECQGVWDDFVGWFLVAVARELCRICQDETQDVK SVLLAKWIVHVASPDTWTLDRRGHRLPVAASYALALLRNSPEQDNLLGHYWKAAEAAL VACSTNDHPANVENDVITSWKKFEVWTPSPMGTQLPFGSIARDLSLTDAVDEWDSGNL IVLSELPPADTSMMADFDAKYFANVAAIVDARNKIADEIVVAGHTSHNESISHDEVVR LQNAIEIW H257_12586 MQVPSPTGTSWTVTPTSPQERAIDGPAPIVKDKNYLYSGRKSFA VWLDWTEWQTVYADVFASELNLLDDGSDSPHTRKLRALSYMAAWRARSDVPVAVEVTA QLVEISCHDSLLGLALPGRSAYRSHEELRLLYSATVVRCVNGLVDASQRGAYAQAVSS LALRIGIPLWIVDIRHEAAHTKLPSLPTLQLACQTLRQWLFEHYWHPQDAAIKQRVAQ VGSALSRRLHFNEPLRQLLGLDVATLSGIVVPLLVQGHQYGERVSSDGTIVDLLPKDV ALDFTRFDAEWLQLCGQDSLRQVVEECQGVWDDFVGWFLVAVARELCRICQDETQDVK SVLLAKWIVHVASPDTWTLDRRGHRLPVAASYALALLRNSPEQDNLLGHYWKAAEAAL VACSTNDHPANVENDVITSWKKFEVWTPSPMGTQLPFGSIARDLSLTDAVDEWDSG H257_12586 MQVPSPTGTSWTVTPTSPQERAIDGPAPIVKDKNYLYSGRKSFA VWLDWTEWQTVYADVFASELNLLDDGSDSPHTRKLRALSYMAAWRARSDVPVAVEVTA QLVEISCHDSLLGLALPGRSAYRSHEELRLLYSATVVRCVNGLVDASQRGAYAQAVSS LALRIGIPLWIVDIRHEAAHTKLPSLPTLQLACQTLRQWLFEHYWHPQDAAIKQRVAQ VGSALSRRLHFNEPLRQLLGLDVATLSGIVVPLLVQGHQYGERVSSDGTIVDLLPKDV ALDFTRFDAEWLQLCGQDSLRQVVEECQGVWDDFVGWFLVAVARELCRICQDETQDVK SVLLAKWIVHVASPDTWTLDRRGHRLPVAASYALALLRNSPEQDNVCTHSSNRGQLTD TML H257_12587 MLVAWEGFCFDVALARGCAFVYHFHELKVITDAGRFRRRVVLRN SNGSFVMASCTSTRMDKVGQAVALNRHRVCHQEQLLDLLYDRLSFLTDCGNPFAEDEV DVKQQLGKVLRLDKDTVAVLFQRQLGLTKSQLNAIVVFFPHGAREPLLRGSGGSYELP LVWQSADEDFFNVACAFGDALELT H257_12588 MQIFVKTLTGKTITLDVEPSDSIDNDGRTLSDYNIQKESTLHLV LRLRGGMQIFVKTLTGKTITLDVEPSDSIDNVKQKIQDKEGIPPDQQRLIFAGKQLED GRTLSDYNIQKESTLHLVLRLRGGSC H257_12589 MTRALLHLHLTTMSKESTASHNLRTLVQHNLLDKMIKQTSLASH GWVVLVLDDTTTHLANTVIRMTDLTERGVSIVERLELARQPFPEMAVIYFISPVATSL DKVVADFSKAETPMYGAVHLYFNSRIDGAVLAKLKTCPSLLSRVKTLKEVNLDYLAIE QAAFSLDMPHAMHTLYSPLSNPSTVDPILQSISAKLVTLCATLDEYPYVRFRAGQHKM ETLARLFQTKMNDYVAGNSAFAYCPQRSTLLFMERSQDQMSPLMHECTYQAMVYDLLD GNGLDGDAISYPADTANGTVTKQALLNENDPLWCELRHTHIAEVSQDIGQRMAALSAS NAGTSLTGKGGKAPDVAQLAAALRELPEFRDTLSKLSQHLYLAGKTLDVFTKTDLLGV STLEQSMATGVDETGKKIKLPKVMKDMEDLLRDPKVPNSDKARLLAIFVITNDAVKDV ERKKLFQLANLPAITDKALQNMKYLGVFMSKISVVANATGHALTTDDLTTAAKKATSS EYATSRYEPKLKGWMEKILKKTLDATEFPYVISPPSGSNSPTSKKEPVSMRKKVAAKF NKGGESPTGGKDAAFVGEKLIVFVAGGATYSELRSVYELRDKEKRDIVIGATSFLRPK TYLDNLVTIEDPMTSMDRTTSAAPIHPSDVNMDEIKLSPSKSDAGQHH H257_12589 MTRALLHLHLTTMSKESTASHNLRTLVQHNLLDKMIKQTSLASH GWVVLVLDDTTTHLANTVIRMTDLTERGVSIVERLELARQPFPEMAVIYFISPVATSL DKVVADFSKAETPMYGAVHLYFNSRIDGAVLAKLKTCPSLLSRVKTLKEVNLDYLAIE QAAFSLDMPHAMHTLYSPLSNPSTVDPILQSISAKLVTLCATLDEYPYVRFRAGQHKM ETLARLFQTKMNDYVAGNSAFAYCPQRSTLLFMERSQDQMSPLMHECTYQAMVYDLLD GNGLDGDAISYPADTANGTVTKQALLNENDPLWCELRHTHIAEVSQDIGQRMAALSAS NAGTSLTGKGGKAPDVAQLAAALRELPEFRDTLSKLSQHLYLAGKTLDVFTKTDLLGV STLEQSMATGVDETGKKIKLPKVMKDMEDLLRDPKVPNSDKARLLAIFVITNDAVKDV ERKKLFQLANLPAITDKALQNMKYLGVFMSKISVVANATGHALTTDDLTTAAKKATSS EYATSRYEPKLKGWMEKILKKTLDATEFPYVISPPSGSNSPTSKKEPVSMRKKVAAKF NKGGESPTGGKDAAFVGEKLIVFVAGGATYSEVYLNSRHEIPQ H257_12590 MTAANMNSLEFDTLSADLAGALPDVFGTYGIYGLYAGVGVLVWL VSYLVVISLEIRPLAAHEGLVSSQPDTVSRIMSISSLFFALLCVLTPPVDVYVATTHH LNQAKAIGTFYNVLFVVLLLYSFLLSPFAYFYAKQSEIHHITRYSTSQRVASALKRTA CFLCFMLILILVVLVIVVGGKPKTSDIDWLKPLLDLQTDATLTLHVFLGLILSMGMIL WIWLGCRAMATVPVDGFLRPRHNDRAALSYLMEEIELEAHSIERARQAVLRKFTVTGV MSDVDQARLDDLKSRDQVLLERRRVFAKQSKKWLCCTSLVWRLPIGIVLMLVSILIVV SLLLTSIDRLLHSTYKSGFVIDHPSLPNPIDIVLVLASQIFPLDYAIFATCFLYIFVV SFVWLGRRGFQFLCFRIDRLHRHHTSPSTLVLTTLSMVYLALVGLFSLPTLAPQYTAF GHQQFTINGTTLPCSFNATTVDTTCKASQLAQIYNGFAVSVPLAGTAFVVAQVLCALV FVPFVIQAYLLTDDRPTDGPADDPKREALLKR H257_12591 MKLVTQLAGMTVAAALMAAGSLCINASKVDGKMLYSSATVMFLV EVLKGLVCVGVVCVALPRPTTLWIGWRETLYFAAPALLYTIDNNLVFVILRFIDPATL SILWNLKIFTTAVLFRVILRRSISHLQVVSLVLLMLGVATTQSKHNIQPSMLQRHGNG TTSGATSIPTTNHSLHDDPSKALMGMGLVSIACLISSFAGIATEYALKKNPGTPFVLQ NIYLAGFSMAFNGLAAIAQLDSANDFFTGYNTWTWVVMVIQVAAGLCMGLILKFLDNI ACVFSHAMGMLFTTLVSIVFFSFDPSLEFACGLSVCIVSIYLYHVPSAGTDPAPCHGE RDNPTAAIEEYQKVARMSPIAVDMAPQSPSETQDDEDDEDLAIKTSA H257_12592 MSTPDSTVSDDLYVLLEVERTASEADIKASYRKLALKFHPDRNR GVPGAAEHFKKLATAYAVLSDPNQRRFYDLSCKDGSGGSVAGLHGMQPIDVNEMNGFG RMLGALCSKIGIPLPTQISQNVLMAARDLQTGMGGTNVKDLPVGMEMSGKVDKQEGHF FRVAITDRMVESGFVMTCRSIAKNKFKLILFDKDGSVRSVQESDTRAKHTSADMFLTR LELMDTDDTFKCLSEQEQTLPEVFHRLKTLERIQTPALDAGTHLFCVYGDNWFSALHY VIQCLPIEPDTVESIQHVEQDLLRTKLELDAYQAEFTAAQKAFEAAVAKADGLDKRTK GLMATRRAAYDDFLSVAALPYKDMNRKPGAQDEAAGTFASIWNRFSVQAAPPPGACKR DEF H257_12593 MRNQVDDTRNNLDKRTARTRHAEWFKKGINQLRTEYLARAPVDP QPFLHAVQVFARIRPMLEHEVRRGEYTTISCVGQSTVAVHNCLMKPDMVHKYIDTHAH EFSRVFDESTPTSTVYEVVAQPLVQHVLSGGESVCMMYGQTGSGKTHTMTGFLTDMGR DLMALSSSIEMTAVELVGSKCVDLLHDRKKVLICESEEDQTIHLVHSASKVATSVPEL LALFHEAVSRRATESTQANTVSSRSHLIVFLKLVGAGPPSDGGQMVLLDLAGSERKED QYTNDKQRQQETIETNMSHLALKQCLLAKEQNGHVPYRNSALTRILKNSLWATHKACQ AAIVVTASPIPADTEHTLCSLVNARRMVEVNPTVAQSVLEIVETSDARQVKLFKTFSH VEIQAWLGRVSKGALQAYVDNIKPAITGAAMLRLPPARLAQLCNGHTGHAKLLQHAIK EVIAKEQEDKARERDMRRANHAKK H257_12594 MSTGLLRPDNLNIEFVLLAILLVATTERTMRSYIRDATRRINDP GMGLPRLTYSVNMDKIGEDLRSKFIEFDCDDETQQFLDSCFDTGVYHMITSMLSTVLN VFYSVTDTNGMLNRGQMFVFSHAQAARLLNFPDGRCGGKLLDIGAGDGNVTAKLATFV DTVYATEVSMPMVRALNAKGFNATHTTDLTHPDIVAHGPYDFISLLNVLDRADTPLTL LRQIHSLLTPNGVVLIAVVLPFSAFVEVGTQKLPPTEMLPMKGGLCADRDCFEDAARI MATMVFGSSGFDVRAFSRVPYLCRGDISQPYYVLHDALFTLKKSSSDF H257_12595 MPTPTQSIDELIAALEAIDFSSMLETPQDSWPHDNEDHCNQGER LSVSSVIDEDDDAPFSVAFTMHGIQSSWRDEVVGSDWLHNSKRMFVRGLDWSYKMLCE SHLMPLMQCAINMHGALLP H257_12596 MPTPTQSIDELIAALEAIDFSSMLETPQDSWPHDNEDHCNQGER LSVSSVIDEDDDAPFSVAFTMHGIQSSWRDEVVGSDWLHNSKRMFVRGLDWSYKMLCE SHLMPLMQCAINMHGALLP H257_12597 MLRRWLSSSATAAVEKQRLPLEGIRVVECGHLIAGPFAGTILGY FGAEVIKIEPKTGDQVREYRMVDDEHRTSLWWYSIGRNKHSVSVDLKSEKGQAIVKRL VDKSDVVIENFRPGKMEQWGLGPKEFEATNPGLIYSRISGYGQTGPNKGKPGFASVCE AFGGFRYVNGFPDRPSARPNLSLGDTMAGLHAALGITMALLGKVRHVGGTGQVVDVAI YESMFNVLEAIVPEYSYNGTIRECSGSTITGIVPSNTYHTLDNKQVVIGANMDSLYLK MMDLIGKPGLKAHNTNAMRVVHQQAIDDAIGSWTKTLPLAEIVRQLDAAAIPVGPINS VADMANDEHFAHRHMFEDVVVPGYSKPLSLPSISPKLQSTPGQTKWPGQPLGSGTRHV LTTVLGLSAAQVDALVTDQVVFEQQTTTK H257_12598 MSMVKTQAYQLDLRAKRETNPVLSQTYGRHILLQKAHMQLYQAI PHTARARQGSPLHQRSNSTGNNDGSQSAREWTEHDDARVPVKGMLLEHILEQRQKAHD DLAQLQLASPSTTTPIVEELEEMLRRIPAHTVKRFIASKTPADTEGDDHMPLRGCRDT STDTAVSWLRKQEYFTRKGRVLERDKAAFLALHMKALSMELSRAINSFDDARDLEARL LVQKQMQLQATMRDTVASIAQLKAKRAHGVPVLVSDVDDCRSQIDSTEEAILHVQSKH AERVEELLRLERNLQRDLTTFETKMGSWDSHNQAKVVGTRVLNRAQSLLRRNGLVSVT GDRNNDQQVPECQDDANRVRALDALILQTGQGCGGWDATDHAAFVSALRHLGWTDGTL TSRLQTHEEGLDALQVEAVVRRCASSGVLVGKSSDSVRTHLEWYFSHMKLVNEKRRAI AKWKGRRQEQRRASGVSSAQAPVVVDDAPNERRVHERADTKAQVRAWRAERRVQQQHQ ASQGSSSNQLTSSSALDALKRLETKQKVALYKLQKEEDLARQQAAAELVRKATLARQP SKKQLDATSDAAIAMAKVKLDKVQSQQAAIQQAATLPQRPKLPLFVPKDSTVTQPTKA SAARGTTPNELQAQATARHTSGAHEAYVPGLAGAGHVKGKSFGHVSSQPRAVPTWRRR G H257_12598 MSMVKTQAYQLDLRAKRETNPVLSQTYGRHILLQKAHMQLYQAI PHTARARQGSPLHQRSNSTGNNDGSQSAREWTEHDDARVPVKGMLLEHILEQRQKAHD DLAQLQLASPSTTTPIVEELEEMLRRIPAHTVKRFIASKTPADTEGDDHMPLRGCRDT STDTAVSWLRKQEYFTRKGRVLERDKAAFLALHMKALSMELSRAINSFDDARDLEARL LVQKQMQLQATMRDTVASIAQLKAKRAHGVPVLVSDVDDCRSQIDSTEEAILHVQSKH AERVEELLRLERNLQRDLTTFETKMGSWDSHNQAKVVGTRVLNRAQSLLRRNGLVSVT GDRNNDQQVPECQDDANRVRALDALILQTGQGCGGWDATDHAAFVSALRHLGWTDGTL TSRLQTHEEGLDALQVEAVVRRCASSGVLVGKSSDSVRTHLEWYFSHMKLVNEKRRAI AKWKGRRQEQRRASGVSSAQAPVVVDDAPNERRVHERADTKAQVRAWRAERRVQQQHQ ASQGSSSNQLTSSSALDALKRLETKQKVALYKLQKEEDLARQQAAAELVRKATLARQP SKVLCDKGHSMLMVTIVETTRCDIRCRHRHGQS H257_12599 MLRQTLRKHVGHVGTRRVFSSIGLSQPEVETAVPVVNLVVEETA VDSDELGRKLKPKEVVDQLNKYIVGQNDAKKAVAVALRNRWRRQQLPDDLRPEVSPKN ILMIGPTGCGKTEIARRLAKLAQAPFVKVEATKFTEVGFHGRDVDQIIKDLLENSIQL VKKHRMERVRKDIAGVVEQRIIDALAGQHTGAQSRQTFLHLLRSGELDERMITVDVRS SGNAGNIGSISIDPSGKVNSNSSTVNDLMKVISGGKKLEKKQLSVADARPILEEMELE NAIDMGDVIKEAITEAEENGIVFIDEIDKICSSGAYRGADASDEGVQRDLLPLIEGST ISTKHGNVNTDHILFIGSGAFHSVKPSNLLAELQGRLPIRVELKALTENDLWRILTEP VANLIMQQKALLATEQVNLTFDDDAIKEVARVAYEINHTVENIGARRLHTVLEKVVED ISFEASDYPDGHVLTITKEIVHDRIGAMLKKSDLSKYIL H257_12600 MKFVATPIQRVRCRGFEVHIKRDDVHFLSGNKFRKLFWLMEKDA SYFAGNHLLSYGGIQSNAMLAVAQLAQQKQVPFTYFTKPVPAQLMDRTKDIQTNFSLA KALGMQHVTLSENQYDVLADTHDFSPVAPPNATTWLGVPQGVAVPEAELGIRRLAHEL NEYAETYANNVAVVLPCGTGTTAHYVAKHVHPSIHVYGVPCVGNAAYLRQQVAKLESS SGPSPLRVLEPRKRVAFGTLWRPLMDVHAEVLEDTGVEIDLVYGCLAWDTMLHALHLL QSFEGREVVYVHCGGLSGNASQLERYRNKYKNM H257_12600 MRGVVGNHLLSYGGIQSNAMLAVAQLAQQKQVPFTYFTKPVPAQ LMDRTKDIQTNFSLAKALGMQHVTLSENQYDVLADTHDFSPVAPPNATTWLGVPQGVA VPEAELGIRRLAHELNEYAETYANNVAVVLPCGTGTTAHYVAKHVHPSIHVYGVPCVG NAAYLRQQVAKLESSSGPSPLRVLEPRKRVAFGTLWRPLMDVHAEVLEDTGVEIDLVY GCLAWDTMLHALHLLQSFEGREVVYVHCGGLSGNASQLERYRNKYKNM H257_12601 MSKRFPTPKWAGVPTRLNSKTYLEVWKDGVVAEKLPIGQQACYV LGRNADVCDFELAHPSISRQHAAIVHTKAGTLEVMDLESAQGTTVDGVELSEPNEFRK AVDNGSEIVFGASSRRYILRGYNQAPAISSGASSSSLADMAQLPTGFATTNNVKQSQK AADRAARDEEIRRMTMEMMTQAPKVSHVPAVSADEVGNTTHEVNNQKPRSSVPRGPQN NPNKQQQPTETVDSDGPESDSDDDDNAATSADSYARDLALRYNLPLKNQVVLTSHTKS ITSIAVDAPGARVATGSMDYHMKLWDFAGMARQVRPFRDTEVEEGHAIVALSYSPTGD RVLSVTGSSQPTVLSREGVKETQFVKGDMYVMDMVHTKGHTHTCTGGSWHPTLKQSMA TCALDGTVRLWKLDGKTAFNKLLNDQVIKFKSKQGKRIEVTACAYNTDGSLVFGATTE GSVFGYDLRRATTTTAAVKMPGAHAAGSPDLSVSSIRFANDKVFGTRSCSDDCVKLWD IRKLASPLKTLRDVPSHFAVANMAFNATGTAVAVGTGVPTGKERHGQVLFFDVLTAVT APLTAIDMHPDESAVCVAWHPKINQVFVGSSAGTVRVFYDEAISTKGVLLSATKKLPL ASAGYVRIDESSDGAIVNPHALPMYRDANAKPTKRKYAKIRLDPIASKKPSKPITGPG FGGSTGGSTLTQFFMRDQIKSESIRSEDPREAILKYAKVAAADSTYLGSAYATTQPTD QIAAEYQLAKETLEQEKLTKEEQNRGLLDL H257_12602 MKKSELAKAIRKILGASDLETMSRKMVRKQLETTFGVSMEEYKS FINEEITKVIEEQEEDDSGDDEEEVDAPPPAKKAKKASGAAKVKAEPKKRAPRKKNED GSATGGFAVEMLLSPELSEVLGITQSSRPQLVKKMWEYIREQGLQDPNDKRTIILNDA LKNVFQRDSFTMFSMNKYLKRHVLKPEDLPATGWADIQRDGESSEEDEEKTAEKERKK AAKLARKTHLKETGEKPKRAPPAPNSGINAPLTLSEELSDLLGEVQMSRPQVVKKLWE YIKENNLQNPDNKQEINCDDTLTHLFGEDKVTMFTMNKLLKPHLLGKAKLEAVDDHA H257_12603 MKAIAAAIWMGGILICMTWTIPSVHAASSGRPEQVHIAIGGIES AGMTISWVTATAGESRVKYGQHNASLDLESRATQPASQYKFCHYTSGYNHHVLLPSLE PNAQYFYVVGGNESGWSKVLSFRTPPKRGDVDTPLTLGILGDLGQTNYSLETLGYIQK NPLVNAVLLAGDLSYADTVQSRWDAWGTFVEPSTSAIPWMMAAGNHEIETSCQLTTFD AYQARYRMPFHESGATRGNLFYSFDVASVHVVVLTPYIPTYRASIQFKWAARDLERVD RRVTPWIVVMMHGPWYSSNRAHQSNVEPQHAMRKDMEDLLFDHRVHVVLAGHVHSYER TFPVFRHERTVNAPIYVTIGDGGNREGLADKYIEPRPVWSAYRKARYGYGLLQVHNRT HARFEWHEDKDKTSNVHDSVWLHARTIVEHTRH H257_12604 MLLRRRATESCPQASSNVSLKRKGLADLCGEPRQLESAITRSAT TKNAFSAFKRTKCISSDDAAKKVVADSPRAKWRLLDTETKLQMQRVPVIEFGRFEIET WYPSPYPTHMYPDNKLFVCENCLGYTSTKRLLEHHRSDDCPLAKQRPPGRTVYEEALP DAPSPSSSSLILVEVDGMASSTYCQNLCLLAKLFMDQKTLYFDVSVFWFYLLCIYDKR SGNVHPVGYFSKEKANPDQYNLSCIVVFPPYQRRGYGSLLISLSYELTKREHTVGTPE KPLSVLGRAAYMEYWRFVLLTELCKMGGSSSTTTSSTTISIQKLSAATAITPDDIVAT LRECPGVVVSDKSTRGSPTTKPSFGMCLATASALVAASRPVRVCKPRQLKWKRPTKLR H257_12605 MLRCGIMRRAGGVLSSMQHRAMFSISPRQLAAREAEVLQTLKLV KDDLVGQDLITLGRVKNLEISDEHAVSCTIDSPSPVLLQNQEWITQATDRIKALSWVT GANVALSHPTPRNIQATRSSSLANVGNIIAVSSCKGGVGKSTVAVNLAFALKKRGARV GILDADIYGPSLPTMISPPDVAIRKSTVNPHFVRPVEYQGVQCMSFGFVNSKAAPGAG GKGAAVMRGAMVSKVIDQLVLGTEWGVLDYLVIDMPPGTGDIHMSLAQQVAITTAVIV TTPQKLSFVDVEKGISMFEDLKVPTSAVVENMSYFDCVHGHRHYPFGHGYLTTLQSRY SIPHTFQLPMADAMNISVDTGSPVVLSDALPTVTAVYDDLATTVAQECVKLKHLARVA PELLFDKTRGMVLRFFSTDSAEEIVLSPVDLRARCRCAQCIEEFTGKQILDPTTIQED IRPTAVQRKGNYAFAVVWSDGHSSSLYTFEHLRQLAKEVQDDAA H257_12605 MLRCGIMRRAGGVLSSMQHRAMFSISPRQLAAREAEVLQTLKLV KDDLVGQDLITLGRVKNLEISDEHAVSCTIDSPSPVLLQNQEWITQATDRIKALSWVT GANVALSHPTPRNIQATRSSSLANVGNIIAVSSCKGGVGKSTVAVNLAFALKKRGARV GILDADIYGPSLPTMISPPDVAIRKSTVNPHFVRPVEYQGVQCMSFGFVNSKAAPGAG GKGAAVMRGAMVSKVIDQLVLGTEWGVLDYLVIDMPPGTGDIHMSLAQQVAITTAVIV TTPQKLSFVDVEKGISMFEDLKVPTSAVVENMSYFDCVHGHRHYPFGHGYLTTLQSRY SIPHTFQLPMADAMNISVDTGSPVVLSDALPTVTAVYDDLATTVAQECVKLKHLARVA PELLFDKTRGMVLRFFSTDSAEEIVLSPVDLRARCRCAQCIEEFTGKQILDPTTIQED IRPTAVQRKVYKMDVACSCADDVGLFMLKGNYAFAVVWSDGHSSSLYTFEHLRQLAKE VQDDAA H257_12605 MLRCGIMRRAGGVLSSMQHRAMFSISPRQLAAREAEVLQTLKLV KDDLVGQDLITLGRVKNLEISDEHAVSCTIDSPSPVLLQNQEWITQATDRIKALSWVT GANVALSHPTPRNIQATRSSSLANVGNIIAVSSCKGGVGKSTVAVNLAFALKKRGARV GILDADIYGPSLPTMISPPDVAIRKSTVNPHFVRPVEYQGVQCMSFGFVNSKAAPGAG GKGAAVMRGAMVSKVIDQLVLGTEWGVLDYLVIDMPPGTGDIHMSLAQQVAITTAVIV TTPQKLSFVDVEKGISMFEDLKVPTSAVVENMSYFDCVHGHRHYPFGHGYLTTLQSRY SIPHTFQLPMADAMNISVDTGSPVVLSDALPTVTAVYDDLATTVAQECVKLKHLARVA PELLFDKTRGMVLRFFSTDSAEEIVLSPVDLRAR H257_12605 MLRCGIMRRAGGVLSSMQHRAMFSISPRQLAAREAEVLQTLKLV KDDLVGQDLITLGRVKNLEISDEHAVSCTIDSPSPVLLQNQEWITQATDRIKALSWVT GANVALSHPTPRNIQATRSSSLANVGNIIAVSSCKGGVGKSTVAVNLAFALKKRGARV GILDADIYGPSLPTMISPPDVAIRKSTVNPHFVRPVEYQGVQCMSFGFVNSKAAPGAG GKGAAVMRGAMVSKVIDQLVLGTEWGVLDYLVIDMPPGTGDIHMSLAQQVAITTAVIV TTPQKLSFVDVEKGISMFEDLKVPTSAVVENMSYFDCVHGHRHYPFGHGYLTTLQSRY SIPHTFQLPMADAMNISVDTGSPVVLSDALPTVTAVYDDLATTVAQECVKLKHLARVA PELLFDKTRGMVLRFFSTDSAEEIVLSPVDLRAR H257_12605 MLRCGIMRRAGGVLSSMQHRAMFSISPRQLAAREAEVLQTLKLV KDDLVGQDLITLGRVKNLEISDEHAVSCTIDSPSPVLLQNQEWITQATDRIKALSWVT GANVALSHPTPRNIQATRSSSLANVGNIIAVSSCKGGVGKSTVAVNLAFALKKRGARV GILDADIYGPSLPTMISPPDVAIRKSTVNPHFVRPVEYQGVQCMSFGFVNSKAAPGAG GKGAAVMRGAMVSKVIDQLVLGTEWGVLDYLVIDMPPGTGDIHMSLAQQVAITTAVIV TTPQKLSFVDVEKGISMFEDLKVPTSAVVENMSYFDCVHGHRHYPFGHGYLTTLQSRY SIPHTFQLPMADAMNISVDTGSPVVLSDALPTVTAVYDDLATTVAQECVKLKHLARVA PELLFDKTRGMVLRFFSTDSAEEIVLSPVDLRAR H257_12605 MLRCGIMRRAGGVLSSMQHRAMFSISPRQLAAREAEVLQTLKLV KDDLVGQDLITLGRVKNLEISDEHAVSCTIDSPSPVLLQNQEWITQATDRIKALSWVT GANVALSHPTPRNIQATRSSSLANVGNIIAVSSCKGGVGKSTVAVNLAFALKKRGARV GILDADIYGPSLPTMISPPDVAIRKSTVNPHFVRPVEYQGVQCMSFGFVNSKAAPGAG GKGAAVMRGAMVSKVIDQLVLGTEWGVLDYLVIDMPPGTGDIHMSLAQQVAITTAVIV TTPQKLSFVDVEKGISMFEDLKVPTSAVVENMSYFDCVHGHRHYPFGHGYLTTLQSRY SIPHTFQLPMADAMNISVDTGSPVVLSDALPTVTAVYDDLATTVAQECVKLKHLARVA PELLFDKTRGMVLRFFSTDSAEEIVLSPVDLRAR H257_12605 MLRCGIMRRAGGVLSSMQHRAMFSISPRQLAAREAEVLQTLKLV KDDLVGQDLITLGRVKNLEISDEHAVSCTIDSPSPVLLQNQEWITQATDRIKALSWVT GANVALSHPTPRNIQATRSSSLANVGNIIAVSSCKGGVGKSTVAVNLAFALKKRGARV GILDADIYGPSLPTMISPPDVAIRKSTVNPHFVRPVEYQGVQCMSFGFVNSKAAPGAG GKGAAVMRGAMVSKVIDQLVLGTEWGVLDYLVIDMPPGTGDIHMSLAQQVAITTAVIV TTPQKLSFVDVEKGISMFEDLKVPTSAVVENMSYFDCVHGHRHYPFGLFVIYRSFICS TLTGLGHGYLTTLQSRYSIPHTFQLPMADAMNISVDTGRYIWLSSHPICQRKMELHRS DATCSCALCVG H257_12605 MLRCGIMRRAGGVLSSMQHRAMFSISPRQLAAREAEVLQTLKLV KDDLVGQDLITLGRVKNLEISDEHAVSCTIDSPSPVLLQNQEWITQATDRIKALSWVT GANVALSHPTPRNIQATRSSSLANVGNIIAVSSCKGGVGKSTVAVNLAFALKKRGARV GILDADIYGPSLPTMISPPDVAIRKSTVNPHFVRPVEYQGVQCMSFGFVNSKAAPGAG GKGAAVMRGAMVSKVIDQLVLGTEWGVLDYLVIDMPPGTGDIHMSLAQQVAITTAVIV TTPQKLSFVDVEKGISMFEDLKVDRYQPNSTKRVNPVDRCRRLPWWRTCRILTAFTAT ATTPLVCSLSIDRSFVQH H257_12605 MLRCGIMRRAGGVLSSMQHRAMFSISPRQLAAREAEVLQTLKLV KDDLVGQDLITLGRVKNLEISDEHAVSCTIDSPSPVLLQNQEWITQATDRIKALSWVT GANVALSHPTPRNIQATRSSSLANVGNIIAVSSCKGGVGKSTVAVNLAFALKKRGARV GILDADIYGPSLPTMISPPDVAIRKSTVNPHFVRPVEYQGVQCMSFGFVNSKAAPGAG GKGAAVMRGAMVSKVIDQLVLGTEWGVLDYLVIDMPPGTGDIHMSLAQQVAITTAVIV TTPQKLSFVDVEKGISMFEDLKVDRYQPNSTKRVNPVDRCRRLPWWRTCRILTAFTAT ATTPLVCSLSIDRSFVQH H257_12605 MLRCGIMRRAGGVLSSMQHRAMFSISPRQLAAREAEVLQTLKLV KDDLVGQDLITLGRVKNLEISDEHAVSCTIDSPSPVLLQNQEWITQATDRIKALSWVT GANVALSHPTPRNIQATRSSSLANVGNIIAVSSCKGGVGKSTVAVNLAFALKKRGARV GILDADIYGPSLPTMISPPDVAIRKSTVNPHFVRPVEYQGVQCMSFGFVNSKAAPGAG GKGAAVMRGAMVSKVIDQLVLGTEWGVLDYLVIDMPPGTGDIHMSLAQQVAITTAVIV TTPQKLSFVDVEKGISMFEDLKVDRYQPNSTKRVNPVDRCRRLPWWRTCRILTAFTAT ATTPLVCSLSIDRSFVQH H257_12606 MSDTVFQIGSYATTFIAMRIAKRADDGRIEWSDTVKNAAEVHTT LADLLTMNSGLGAYDGDMPFMLGMFKTEREITQRLFD H257_12607 MEAPQQDDGGFDAMDTEALAREAKKRENDPNTYKRSGGRNEPVN CLEDIYKQWDELIPADEWDRYEAMTMFVVEWNPQTPRALEKCLIALRRQYKTLPKKSQ LKFVYNVLVKRGTAPPQLAIDTLLVKKAGKSQSGVLVVTVVTSPYPHGQKFSCQWNCY YCPNEPDQPRSYLHDEPSVLRANRNRFDPVLQFCDRCVTLALNGHPVDKIELLVLGGT WASYPVSYQEEFIRDLFYAANTFHDRVKRERKSLDQEKLENETTLVKIIGITLETRPD CITPDELRRFRRYGCTRVQLGIQHTDEGILKKINRGCTTQDAKRAMKLLRDCGYKTDI HLMPNLPGSSVDLDRAMFDYVLHSPDLQADQWKIYPCEVTPWTVIKRWFDAGEYVPYG DNELMALLMDVKVQVHPWIRLNRVIRDIPSQYILGGMDEPNLRQVILKRMEQAGLRCR CIRCREIKADAPAATKAELLVRTYEANEGTEYFLSFETPDEKTICGFCRLRLSPTAGG GTFPELEGAALVRELHVYGQLVVVNSVDKSKAQHGGFGTQLMLKAEEIAQEHGFTKMA VIAGVGVRNFYRKLGFEVEGEGELMIKHFPKKKSSWAKPEWRWVAAAAMATVAIGVAA LKKRA H257_12608 MAKGNKTKRPRNDAKSPSSGGLVIVNPALLRAVVKPSAPPAKKH KAGKDSTGKPKHEKTKPVGPPPQIHKSDKKSGTYVCDACKISVTSGARLMHEQGKKHK RAVLNAGGAAAANVPTPSTAPTDSSPPQSIQSVPIQQQHHLHVRDEDVVGAVYYLLEV KNLCRAVVVVPTKATGQLTPQCVAGTLKQLGFSVFAIHSKTNPTIRQNYLDKFGSSSH EGVVVTTEHFASLVASATCTYAATVVQIHASPSRGGYALLAPGTAASSSIAVDISKPM LQKATARANLASSIFDLQQAQPQDHDAQWIRKLANASGLGDEPEAKPKALTPAQQKLQ ALSDKLFVLIATPLAATSPVNPAKMKQKLAAVGLVAQNAATGMSIHNTRLSAQTQWLD AADGGAFGGAWEGSVRHGATKDVTSLVVRASVTSTDEWAPNPEPSDVQQWGGLYGKPC GHNEVVLQTLRPFFPQEVLNARVCSRMKPAPGNDGYDGCLEFLQWQCRTHKRPMTVWD AEHWLHVTTDGKVSRVSKKVMLTKPLSLIRWLVSNLRVQTVRWSGSVAPAKLFEALGV ALVCGTSETTQKLPLHVRQCILQYAVTGNPDTWKRLDLTEKRSPLNG H257_12608 MAKGNKTKRPRNDAKSPSSGGLVIVNPALLRAVVKPSAPPAKKH KAGKDSTGKPKHEKTKPVGPPPQIHKSDKKSGTYVCDACKISVTSGARLMHEQGKKHK RAVLNAGGAAAANVPTPSTAPTDSSPPQSIQSVPIQQQHHLHVRDEDVVGAVYYLLEV KNLCRAVVVVPTKATGQLTPQCVAGTLKQLGFSVFAIHSKTNPTIRQNYLDKFGSSSH EGVVVTTEHFASLVASATCTYAATVVQIHASPSRGGYALLAPGTAASSSIAVDISKPM LQKATARANLASSIFDLQQAQPQDHDAQWIRKLANASGLGDEPEAKPKALTPAQQKLQ ALSDKLFVLIATPLAATSPVNPAKMKQKLAAVGLVAQNAATGMSIHNTRLSAQTQWLD AADGGAFGGAWEGSVRHGATKDVTSLVVRASVTSTDEWAPNPEPSDVQQWGGLYGKPC GHNEVHSLPISLCLSRALTTKVL H257_12608 MHEQGKKHKRAVLNAGGAAAANVPTPSTAPTDSSPPQSIQSVPI QQQHHLHVRDEDVVGAVYYLLEVKNLCRAVVVVPTKATGQLTPQCVAGTLKQLGFSVF AIHSKTNPTIRQNYLDKFGSSSHEGVVVTTEHFASLVASATCTYAATVVQIHASPSRG GYALLAPGTAASSSIAVDISKPMLQKATARANLASSIFDLQQAQPQDHDAQWIRKLAN ASGLGDEPEAKPKALTPAQQKLQALSDKLFVLIATPLAATSPVNPAKMKQKLAAVGLV AQNAATGMSIHNTRLSAQTQWLDAADGGAFGGAWEGSVRHGATKDVTSLVVRASVTST DEWAPNPEPSDVQQWGGLYGKPCGHNEVVLQTLRPFFPQEVLNARVCSRMKPAPGNDG YDGCLEFLQWQCRTHKRPMTVWDAEHWLHVTTDGKVSRVSKKVMLTKPLSLIRWLVSN LRVQTVRWSGSVAPAKLFEALGVALVCGTSETTQKLPLHVRQCILQYAVTGNPDTWKR LDLTEKRSPLNG H257_12609 MKGAYLFAVLVGIKTILAEIQAGERATYGTPINSYNNQDEYDNY GDVEEYDQPSYGGDVEEYDQPSYGGDVEEYDQPSYGGDDDDTYETYGDYKEPTNDGYE PKKADSYTEDDYNYDTYSEPAPTKRPTTNKPAYGYGYDDEVPSYKTTKKPAYKPRPNY GGDNYGQQETDDYDTPPKSTYGKKKPASYNKEKPGYGNIHGDKFGDEDDYRTYAPPVY EPWTSHYKKDAEEPFPYTFFTETPGAVDSFKVPKLGDYHCFLTGAPWTSCGRKSLTNY FLDQCLVLHFSLSANVDGICGGEPPKPTPKPEEYNDDYYGSDYKKRPTPEPTTTTTAK PKTTKKPDEGGYGSPATAAPTPKPATYEITPDYLKEKCLQSAYVSITTATVMCLTENY IRINFIEHYAADACKNPVEGPVLYLRKLHAFIESVKACTGDAAKDNFPNLYRSFEQPA GTVAYNPNAPYYVKHGEKEIFLQQYTSGEASGLSAFTIGTKFACANPNDLQPIDSDQG AYIYANIPSTIHYSVTDPANNLYTNSKNSEALKALQKTDSQSIYRYCQNADYTTDRTY RGLYDAVHYVEREASALRRPQSIAEIAVRSAGYHEQPILEGFGILYYCAFHACRANND GDLSKCYPEFKTDEWKLSDDLRANSATVTKCIDDDILTAEDITTTAEVQTYNHLLSKR SYLCLAEFDVVEFLGKIYPDWAKEDDAISLVYGRHLADERAYGDLKEGESGPSVDGNG DKLCPLPSEVYAALSSALSKLASTCSDKRKAAAEVKPKVYNRPQYGNPQYGSEAKYGG KYTA H257_12610 MALKMIANVTYGYTAASFSGRMPCAQVADAIVQCGRTTLEAAVK TVETHPTWHAKVVYGDTDSLFVQLRGRTLEQALRLGHEIAHVVTTLNPKPVCLKFEKV YMGSFLVSKKRYVGLKFEHLGDKGHLDAKGIETIRRDSCGVVQHPMRHWLRLVFFTRD LSACKKYLQKYWTHMHDGRIPLTHYIFAKEVRLGTYAGQGPPGVLVAKKAMAKDPRAE PRYAERVAYVVVRGPPGARLMDLVVAPDELVASQKQYSINVDYYVSKQMLPSFERLAI LMGVDVRKWYNALPRKAERAAVAPSLTRIDAYYSSQHCRVCDTRSFHRGSICADCRAH PQRTAMAVESQVVQLDAELQALRRVCVQCMGSSWGGSWDSPMAMVCRNFSCAVWNQWL PTAVATETWKTKVKVESSVCKND H257_12610 MALKMIANVTYGYTAASFSGRMPCAQVADAIVQCGRTTLEAAVK TVETHPTWHAKVVYGDTDSLFVQLRGRTLEQALRLGHEIAHVVTTLNPKPVCLKFEKV YMGSFLVSKKRYVGLKFEHLGDKGHLDAKGIETIRRDSCGVVQHPMRHWLRLVFFTRD LSACKKYLQKYWTHMHDGRIPLTHYIFAKEVRLGTYAGQGPPGVLVAKKAMAKDPRAE PRYAERVAYVVVRGPPGARLMDLVVAPDELVASQKQYSINVDYYVSKQMLPSFERLAI LMGVDVRKWYNALPRKAERAAVAPSLTRIDAYYSSQHCRVCDTRSFHRGSICADCRAH PQRTAMAVESQVVQLDAELQALRRVCVQCMGSSWGGSWDSPMAMVCRNFSCAVWNQWL PTAVATETWKTKVKVESSVCKND H257_12610 MALKMIANVTYGYTAASFSGRMPCAQVADAIVQCGRTTLEAAVK TVETHPTWHAKVVYGDTDSLFVQLRGRTLEQALRLGHEIAHVVTTLNPKPVCLKFEKV YMGSFLVSKKRYVGLKFEHLGDKGHLDAKGIETIRRDSCGVVQHPMRHWLRLVFFTRD LSACKKYLQKYWTHMHDGRIPLTHYIFAKEVRLGTYAGQGPPGVLVAKKAMAKDPRAE PRYAERVAYVVVRGPPGARLMDLVVAPDELVASQKQYSINVDYYVSKQMLPSFERLAI LMGVDVRKWYNALPRKAERAAVAPSLTRIDAYYSSQHCRVCDTRSFHRGSICADCRAH PQRTAMAVESQVVQLDAELQALRRVCVQCMGSSWGGSWDSPMAMVCRNFSCAVWNQWL PTAVATETWKTKVKVESSVCKND H257_12611 MIIDVDSNAQPIMRVEVVDVNYYMDKPLPKDAIPSLPQGPCYER ARSVPVVRIFGATPAGQKCCAHLHGIFPYFYMRCENDPTFDSIDELVTMLPKVAHDIE SAVRTLSQANVKAPVGTTNSTAKPTSRQQNISVAKLAVVKGVPFYGYHASEVLFIKVF LYDPAMMSRIVQVVESGLVTQRTFQPYEAHIPYLLQVFADYHIEGMNYLNLCDFKFRS PLPHAQPHLDSEHQTVWLAPSNALATFTGREAPVVSSPKWNARTAVCSLELDVSCHCI LNPTAATSDSQCYVPSLAPLWEEEKLRRRAAGLAATPEVAPSIQRHLHDVHDGSPHDL RRSESSPLSQSDFNDQMWASLDAILRKVQAAKDMSDHQSTASTGILPSPHDESLGHLY SYSQLDTADGDVRGAAADDEVDVENQELLALLATLERNVATVESRPPHVQHDDGDDDD DDDSMDIMASQRQLENETGEKPWWDDGLDQQEPDSDLVVESTATNDDDDNCNRIMSLH AAAESPEVFQQSTISMFPSATPLPSTPSSTRVWHFGLDPPSVQDVLAGGWPSGEDKPM VRYSCDDDVPDKPVVFAGRRVHFSKQGVEYLRNYPDGACAQPPLTSRRKVRRVRTPLR APPTVADLRDPLPPQTPGSTSQPPGGLIGQHNVHTSNVTILSVEVHVNSREMLLPDPG VDAVVALGYVVEANEGSDSPSTVTGIIMVDQSCVDTFQLPVANQIVAVHCVPSEMALF TALDQLIHRWDPDFLVGFEVQQGSWGYLIDRATTFDPPVNLIQTLSRLPLVRMDSRNQ STNHPDGQPPKIGTVWGMKKASGIWMHGRHMLNLWRCARSELKLGRYTFECVVAHVLK RRVPVYSNQVLTRWFQAGGSVRCRALEYLVVKTSLNMSLLDGLQLITRTSEMARLFGM DFFSVLSRGSQYRVEAVNIRVTKRLNYILISPNRQQVAGQAPMECIPLVMEPLSAFYG DPVVVLDFQSLYPSMMIAYNICYSTCLGRLKNGMDDEVETALGVVSNHVVDINGLLQS GKQTTITPNGVLFCPKTQRHGVLPLVLSEILSTRIMIKQAMKAAVSICSYPPHIS H257_12612 MGATLSSHGLADEHLRSLVTEYTTQSLKTVEECWQIFVDEAHSA RSLTYVQFDEVFGMLLQDTLPHYALFESLTNGTAGANGFEVLVGICLALRVDLKLKLH FIFRMYMCANHENFIESTTKLLIYRDCVNAVTRMLRLSEPPSTEITNAMEMALRATDD TKPLVTLKEAIEYSLTQPFVCSFFEELQSLFTGLVTEDKAMTFFQNSELLEDASAATF FVADCTNDPDVLWSTKIRDVGGQTLYNDDMFHMPDDVQCFLALKELQLRRRRVALVYE HHRVGKAGDRVYSGLVDYETFARCLLAVLPPLEVNCKSTGSYMVNMSKLPDIELVKME GQIADAGRKFAAMSLREVLQLARDSNEFVPSGQPTGLRVSYADDYLFNLVHRFATFES YVPVAPSPKHPTPILGILTPFDVVRFMLEDLSLLNGKQEWPVAKLECVAKPLSMHRST ASMYEAFYLLRAKHMSGMLLLNDMDHELSSFGWQEILELVECWPSKLNFVVEFPVPLP PAITPSVSLPHFSNLVFPIVHVLKNNPPVTVPPMTSVARALQVFFAQRVTRLYIMEEH GNPQHIGVLRLVDVMRLLLSEQKHVGNREY H257_12613 MKASFVAVVVAAYAHAAWAHPWKGNKSFKINDTNVTLLNTFWAT SPGLNKKAPVNVTTYSTDCKDVEFQEDRRWDNCTEERITCIWFPNGTVGRGSNCRKVT RYGSADYGQVNAFRGGSGSVDRKFDNVTGTNVSTTLFPSHITVEHIEGFPTYVETIVI DEIGVRSFNRDMSRTYQTKLPITARFLQMRGNRIASISGVTFSENLQVMDFGGNEITS IGKIVAPNLMTLSFISNKIASLAGAVFPLTLRSLLLQSNEITTLANADWPPNLLILAL SSNLLTSLSYPLPATLIDLRASHMPIDDNLVKVTWPSKLRLLSLVNTTVTELTSNFPP TLKYMFLWNTNITAFYANESQFLLLSNVSTTCEGLSDDGSGDNGDHDYCERLLSHNAT NTTCKGHIRIEWLHGKYPICIIPDDKRPLNKVTIICAVAISGGVLVVGVALLAHWRKV QRRHKWYNDSGAYCEDQTATVVTNDIRTDKLISSYRIPPDRIDRGVEIGRGGYGVVYV ATIKYGGKATRQVAMKRLLPERTHNPTDVETFMDEIRTCSSIFHPNIVEFVGVTWTTL SNVSLLTEFMAAGDVWSLIEADHRRRHRAIEWHIQPDTLLVDLDDLSTFLSGPVADDD DEEGPDHMQAHRRFSKFSILCSVVDALVYLHSLPVPIIHRDIKARNVLVNDVGHVKLS DFGTSREGTMDYTMTSEIGTIPWIAPEILKGVRYTEMADIYSVGVLISELDTGEVPYS TMETTSPNDKYPRVAKTKIMMMVVAGDLRPCVTHECPNIIYDVIRRCVAYCPSDRPTA KQLQHWLRQIQREMTLQPHQQPRTTPA H257_12614 MEPKRWTTIDCCNDGQLIRLAWIGTYSVLMVYMWRWPWLYPTKI FAYFAHEAFRYGAAKLTCGQVEAIDIHPEEGSETSFHGGVPVLVHVAGYVGSLCLGCV FIVCSALTIPRLVCGSVVVVLLFAFTCCSNTRYLRSINALSLTVAVSAAVASYVIHRP EIIEGGVLFSGITHALLFLVDASSNSSRVHPSDFTLFRKAYGAGVAFMCGGVVSVLSS LSLFVYVSMEGNRGSPPVTSFQDMTKPAAVSWMVLLAVVVVAAISSTVKCCWLPPTSQ PYSSV H257_12614 MEPKRWTTIDCCNDGQLIRLAWIGTYSVLMVYMWRWPWLYPTKI FAYFAHEAFRYGAAKLTCGQVEAIDIHPEEGSETSFHGGVPVLVHVAGYVGSLCLGCV FIVCSALTIPRLVCGSVVVVLLFAFTCCSNTRYLRSINALSLTVAVSAAVASYVIHRP EIIEGGVLFSGITHALLFLVDASSNSSRVHPRYFPSIRLS H257_12615 MAQVRRNDVRVIMVAGGAGFMGCNFSRHMLQRYPSYKVVVYDKL TYAGNLGNLHDICDDPRLMFVQGDICDATCVDAAILDHGVDTIVNFAAETHVDRSILN PDAFIHTNVLGTATLLDSARRHEIRRFHQISTDEVYGQVQAERSSVETDRLDPRSPYA ASKASADLLVVSYFVTFGLPATITRASNNVGPYQYPEKVVPLFTTNAMDDLSLPVYGD GLQQREYQYVADHSEAVDVVLHHGVVGEIYNVGTGSNPMTNMAMAELVLESVNKPTDL IQHVQDRPGHDLCYRLNIDKLRRLGWQPSHSPREAVSKSVRWYVENEWWWRQVKRSDA FQRFYKDQYGHRMIQSAE H257_12616 MIQQALALMLQRLLGKFLTLDSSQLNLSIWDGDLTFKNIQLKLG YGRGEVGELQVQVPWRSLWSQPVVIKAQHIRIYAHANSNPSDGSSVQTGAATAPTDEQ DKTYLSKLLACIIGNVQVEIDDIEIQCECADDTRSKAAGFAVFSIQRVVLQNVGGDWE PTFVDPGQGGNVSRKRLQIDGISSYVELYADKSHHYFIHDWCSDIKATLSYQSASAAV PDVDVQMMFSSSNVPMPNSFNTSCMSCAKSEVSHRALPVHIEASHVDVLGAILGEVKA PFDQYESFKLAPSKVQGFALVLTYAKQWLLSDCIDGGTTNGTTMPYSDDDDDDDDVFE DAVAPPSLSVRVAVETSVAISVIPRDNDTTNKGVHWASSMDGLHIQWRQTCVETDVQV TLHALRVREIQSGGVESVVMEHMTIRDVPWFHFACTLPELDSRAVGTPTVVKCWMDSP VTIAISEKCVSEWSALLLPLWTWYAEAPRSPPPPAPLDNASSELSLVLFDIRMRSLDV AVEVGPSCFTLQGRLTALSVQTTSSPDVPATTTTMALESMALVSSQNRSILSLESLSA TLTTRHEVGPKVECCRCCHTFTNVAAAAVPIVRTSHGTGFDLRFSTKETESPLYAFAA TLPPIHVSVHDDDFVHIMALCGTVSRMWMMGGQQQHIQPSGQPPSSSMVLCTNTSVPP LCWLSIDIRVPSIVLTLQPWGQVDVKDLVYASTSCTRVFHATTSVGAFTVSDKVRGLP VLSLPGGCTVDQASCPRSAFEFSNQLNLSDKDATLMHICVLERIQCDVSDQFVKRALE WWTKGQLHLTLSRGTLLPQQQQATAPPTTPSVLPRDMAHCVSETSIWLDQGIHVNLYH HDMDGIHTGGCDMCTSNEALHVASVSCSFATSTSLLYDPNCDVTADARGTVKNLRVED GAHSPTGAAPLRHRPIVSGTRDDNLQHVDFRFASTQDSSGLRVFLTQLRLDAVALTYL LRVHKQVDYFCRYHLHEALYVPVSAADVANVYAQYNSTVPPAHGRGDDEWPEVRWEVV AHDLSLSLPRNSCSNELLLLRSTSAKFQSAHAVTDDFVTSGLFLDEQEVDAIFVETVS RRHELRRLELRHLRRLVKNQRAKLLVSHSRLHADYKTATRESQRFMHGGGGRVESLLH AEQACSILKAKLDSLDQHLDELHTHLAFIEAEIEATKVSEDQVQVVGRYRSRSLDMIQ SSVTSIPEYTFGSLFGAEDAAFHDATDDGAARPATPWLSFELIGLSGWAVDPETRAVD TDHPIFEQAMAVCSVDFQTAWLDSAAYTVQHVSIRLNEWSVDFVEYQYGTIVGMIYEN FKEANNIVNENLWPRCANCGGFHDDTAVCNVDWLRVTIDVMDARVTLHKTIAGPEKTV KMDLEQLNVQINMTTSDDLAFDVSVVGLTVSDDDVLRDPFIKPTQSIRDVAQVHMTST SSYTESTYSVKIGHSHVVILPSSMQMVSSFFTWPFWMAEEKAMTGFVAGPVVDWTSMD VSVAFDKACCFYLLEDFAKADTRALVLMSEVRIDYNWTQHIPTNTSSTKVDLALEPRG LYFSSLPDLAVDVDFPLMNSFTFQYMHLMEFVHHSPTSVDATQRYALSLYADESGKMH VIPVEARLSVQDFLLLSNIAHNYINTDTTTGSTCGPDLTAVVVPPRIVSEKLLADVGG LRVVMVNNSLGVPILDIVMTEIGCEYVNTTDAVMIVGGIFRCNYFNNSIYRWEPLIEP FKIHSDSVLSTSLSVHLMFPFALNVNLTPAMTPILFMDNLLLADDIVASGAKVTTPFW LKNSLGTSVQFSFAHGHSFIQDTVDNGQVVPIDCRDKVTHLRTFDKASELDALQFQKN TLTAQHSLFLWITGSLYMSAHPVTVDVVGHISICLKHVEDGPITDDNHHVPCPVIAAE ISLQEDGSKLIHIHSQVLLTNETGLPLIVWGYAPPGMVEEWIVDREATSYVPLHLIHP EARLSIRPSLDTDYAPLASTFGMFDGDIKACELSFETQRRRFVRTGTCSCKYKANGVT SSVLSMQVLPGMVVRELPPWQCVFDVEAFALINTAVESTTTSSVRRGSATLAVDDEDA AADDGDIFDALLKLDDDDQDASTFAKSQPIVDVLEEAKQAKHVQGVQSEHFAHILTLK PCLSLHNRLACPVAYRILEQSLQLVAEGILGVGDILPLFQINASEEMLVSYRLENYNW SEPVTMVHPKSCPVPFKESVEGIKICGRSFPSATTYKNAQRQVPELQLKLKRKDRDVI VFSPLWIVNHAGMALEYCDALSRKSMETALTFVHNRPGSLASTNFVQRLSVSSPVAAP DDLHLFEKSASIVPVAIYVVVSEARDLFNSHKLVGTQNPYVRASLFIPKQSRNEDLVD SLFCFASTKAHVGGGQHPQFKVNNTMYLPFPEHGGPYQLQFARIVVEMRSVWYGSEAL LGIVTLPLSDVISNRDVYAGFQWHDLVKKRSSSKKGPTEAASAGQVHMSITLATKHLI ESADSPGAVWGTVLTTPRQQARRSVPTHGDTPYNLTVYLPTNRFMSVVVSVVPSTTVV ELVAKVATLGGLVLAPSDFVVVELRLPRFVSLRSAGRPEAERWFGAVLTDKNSPIQVL GKKFGVHLCHKTTFNTLRLYDEMSTASIHHTTPRSLLQHRQPAQNVPVEWAEAINFGS KSGSSWDTLRVKTFKSGWSDAVRIHRNALGNSGVAQLLTLVEESEQSRLNPSKQIELA LWSCYGPGVFRDTIVTTIVPRYVLINQTSQPLWYRQHNCHTVTTLHVNDMKPFHWDKA DEVRKSIQVTFAQGEMDWSGPFTIHSLGSTHLKLRGKADVHDIYILQAQLDMVGGSIV CVFRDESKRWPPYRIDNFTSFRLQFRQTQWQRDVWDEVRPRSCVPYSWDNHEGRQVIS ADGNQTLVLERYLDVRFMQVSSSLALDVTNAVVDTKEYNLDMMQKHKRIQLTRSLPAS LFDGCAVQGILSKKDNAINWSKRYFRLHDHMVYYFMTEHDHALRGVIDLGMNQSETGA VLFLKGWSKPRYKPQAMSKAAASNPLHTMAKSITETLFGEEANAASDSSVAAAPSHCE EFFLWIGSLLRMSDGMVTKAAQWLQALTLNGTELSPSQLFLASGLDVIAFLMAEQLAP STGVALDLAQQLLYYGVLGLWNPPPDVDFDNIRFEPTGTLYRVLVPTPPAAPSTREFS IGIPGGKTYHLRADSPEDAAVWCRHIRDAIIHAMHEGHSTTHHARITHEPDAKTTTKT YVYARVRADGPTKVLEFTEGGEEDDECGGANAVVPLDNVLEDVASVESTDKVEWLQNV HVAVHVASIGLSCVNEKPMELIYMSLQGVDIAFDRHENKMRFGVTWHDVQADNQVPEA TFPTLLCPKQTDPTDPPNDVVCRDCATAQHEAVFHFCCGWSNEQGSTDYFEYCSLYVA PMLLQLDEELVSLIRDFLASVLLHQNGLRRRDLPDAIHTAPLLHDSSTSQILADFKLA MECEELDPLNSATLLETHTVSSSERKVYFAVLNIHPVEVDITFRSDVIGDRFKDSTDQ LVQDDEANMQHVAAWIPSLSMHVPDLDNAPIRLNALVVEHAFGTSGDVTRRVSKYYTR QLWKQVHKVLGSFDFLGNPAGLLDHLGTAVRDLIVEPFEGARAGTGIAGSGLGFGKGL AKGATSFVTNFIDGTSDATSKVTGTFGQGLATMSLDGHYQKIRAKARRRHVHGFKEGL IQGSRELTIGMVEGVTGVVMNPLRGAKTHGAMGFLKGTVTGLLGLPMKPVAGVFDFAS RATQGIRNRSVHHGRRGLRLPRVFGRFNELKFYREEDVVAHMLVRKTGTDEKIIFHSH LDQQVHAHELADEARARHTTVPLHRQVMKMQRYESSQDDLERGKRAVYAVVFTKKGLG LELETDFYGEAVIIKTCLDRSAIHEAQTTSRDVTSKLLQPGDVLVQLGDVNVRNIGFR ETIALIKGSSRPVTLTFESCDVFDDDNDSPTDVQYIYSPHAASVVSTASTRPLNHHPS SSLKVKVTHWVIITDKRVLYIQLDSDSVLDDAILEWSVPLRSIHSIEVLENAIQLHLR VGVNSIFTGPLRRPEWKHETPQAIHTMNVFAMAMKKSFRLPYADLADLQEVYPSDTSF SSVLRVSVSGGPKRRRWCVLCRNCLYVFALYPRKALRLIVPLGRVTITKTDFAPLTWK IQGAVQMEPMPTLQVDGPALSEKMEVDLMLIAEKADEVEMWMSALQHAAGNGMRHSKG TRFYAATDATTLSVGCQETKAYVVVQLVDALKKTLAVFKEG H257_12617 MSAQRRNQEYVDGSVYRIRLHNFLTYGDAELFPGPRLNVVIGPN GTGKSSIVCALCVGLGGSTKVLGRADKMGDFVQHEKDSGFTEIELFFTRRNVVIRRNI FRDNRSTWQLDGKESTQNKVREVLSKAKIQIDNLCQFLPQDKVGDFSRMTPTQLLKAT QAAIGNGELADQQEEILKMEKENSTSNHDLVAARARLETKRSENLQRQRDVERIREQE ARRVELANLKNKLLWVQYTEQRQKVDALNRRKTVLKNRLRTAKSVQLDPLKHLLEEQK DAMRSIRDSKKEIVTEKERVVGSLANIKQHVDRADREETETQAEMQSLKEHQRQLKHR LEREKQKEEKLHEELQGLRAEAGLREDLSALQEAFDAQSNEIFHITSSRESDRHDFGR LTSEIHRIDDKLARLENANLQRQKVLGSRDRDCMRAFEWVQKNSAMFQRKVWGPIALE VQLTDRLYTKYLEDTLQNYVLTSFVVECREDYNTMLRELNEGSQRLGVNVLQLDEGRI KPFQRPYSASQIKSFQENLGMTCYLDEVVKAPEVIHQILRDHGGIHTMLLGTQETEDM INRGVDVFSQLAAINDKAAFLTPFKKYVTSVSKYGNKAATTRSNDIREPRFLAVSADN QGEREQLQQERLAKQTEIAAIQQKIASVKAREKELLDAKHATNIQQNDIKAQLRRRQQ LVAYISDTKAKIRTLEADAAKDVSDKQAALARKLVNTLTKHVKQLSGSREMVSNLMTI TSKQVEFDLTSTTMEHRVTVISRVLSEEEAKLQRLKDEYDDVKRALVDEARHAVKLKE RAERAAPENDPAFDCLPDSAVEIHAQVESITVALAHFRGDLRVLDTYEQVQKEIEEAE AKLARMESSFATLQDRINAIKDPWYAQLTDVVSKINTSFAQYFKDIGCVGEVHLNQDG GMDIFNERKVFSRITKSSCGSQLPQYFLITPKLITGLEYHPDTKVLVILNGPYNILQA EWDVDTFVAKRQKLI H257_12618 MTNSFPFEADAASEVSDSMGDPLREYVNSPYLRRRTVDGVRNRR LLRRDGVSPSFELSLDGVLYADDSLVLERVCLALGNTDDKSPLYSNKNWSMGMFRIFS GIGMVSRMN H257_12619 MNPYKAAEKKWKGFKMVGDDCWEERLREWSSALIDLAASGENGA RQVDTIEISPGRSRPIYAFGGHDDSDKTHDGFFLIPDALDHDTQRFLATKCLTEYAEP PHTTNLHQLNQQVENIWQKSQDSSAGDSKAKDPMQSLHWAALGYHYDWTTRTYPAHVG SRIPDELQALGTKFAHAVGFDLTSEAALVNYYKPSSTMGGHQDNVEVTFDHPVISVSL GCSAIFLKGGLSKDIAPLELLVRSGDVVIMGGESRLCFHGVAKILPVRHLDAFPLEKD GDAAESAIAKYVRVNRLNINVRQVFPTTPSSMKKQQKVNDGD H257_12620 MTVALHLQDKVDTYGAITPAPSNAGLPPLTPETARTTTTATSSS PFTRSPYNTTPKRKMLRKGSSVEFAAHHLEDEDLADEKQRRECRRLSFREKQELYKVR PDLEIGAPLAVQTLMEDQCDQRRRVASSMFAGIGCVMMLILFYYFIAWNMRDDPDFQ H257_12621 MPPAAASVRVGSKIPKMIGTTQMGTIKLHDYIDGAWTLLLTFPQ DFHPVWATELGMLTKLKPQFDARNCRVLGLSVGSLKQQARFLDDVNETQDVQVNFPIF ADESGDLSRTLGLISPSAPVHGSEHFKLPYSAAFLLDIDMIVQFVFYYPVAVGRNLYE FIRVLDGLQLTAYNQVVLPTNWKVNDDVFIEPDITSDAAKALFPHGFHELKPYFRVTP SPTLTEDQS H257_12621 MPPAAASVRVGSKIPKMIGTTQMGTIKLHDYIDGAWTLLLTFPQ DFHPVWATELGMLTKLKPQFDARNCRVLGLSVGSLKQQARFLDDVNETQDVQVNFPIF ADESGDLSRTLGLISPSAPVHGSEHFKLPYSAAFLLDIDMIVQFVFYYPVAVGRNLLF ECWMDCSSQHTTKWCCQPTGRSTTTCSLSQTSRPTRPRRYFPMVFTNSSRISE H257_12621 MPPAAASVRVGSKIPKMIGTTQMGTIKLHDYIDGAWTLLLTFPQ DFHPVWATELGMLTKLKPQFDARNCRVLGLSVGSLKQQARFLDDVNETQDVQVNFPIF ADESGDLSRTLGLISPSAPVHGSEHFKLPYSAAFLLDIDMIVQFVFYYPVAVGRNLYE V H257_12621 MSLTECSPPLIVKQQARFLDDVNETQDVQVNFPIFADESGDLSR TLGLISPSAPVHGSEHFKLPYSAAFLLDIDMIVQFVFYYPVAVGRNLYEFIRVLDGLQ LTAYNQVVLPTNWKVNDDVFIEPDITSDAAKALFPHGFHELKPYFRVTPSPTLTEDQS H257_12622 MSSSGSAQNNNGPPRGTSRSPSRHNTIGELSGGPSSINDRYNSS NNGGRGGGGYGSNNFRVPPGYNNAPMDRGRPSRFDKDPPPYSSYNNSGGGGGGRGGGW NSSRGGRGGFHSQYNKSSRPSRPFRSRSRSRSRDINRRDNSDLQRNRFLRDRSRDRSR DRLRHPPDSTRSPLRPSDDFRHASPLRHHSDSTLSHDHSKRPSPQRHPPPPSPPFRPT APGLLTPPRQLDLARPSVTSPRPGLLDSSHLTSSSSQSVSPHPIKRGDESPRTTIGAP NESSNPPSAYASPLASSSRQSPAHSTQQLPFSTPPPSTVRPSNQQSSPRTPSEASWSM PLGVPTDSGESGGPARQSPQRRFAQAVPPSSHQTSSSPRPPVPATTRPKPPAAPTTPD QTESKRDELSPLKPFLSRRGSLDLVHQSQRSHHHSTSPKLGSRVHSPNVAAQGTSPSK NHHPLSSQRHQADGVVPGAAARRRSIDEHHTANPPSVLVAMLEETILEPPKPKDDDDA KQQRPRLGWGQGLAAASPTATSTTTKRPRMGWGMGLVVTQVPSPKAQPAPSASTPLLS SPPPALSTNDNTPVGVPSSLDDSTTVGGSSRNSDHQPFDGRGSVVVAPLLPPPPPLST PDPVDMEIDDDPVVNLPPPPPMALGVPKEDILSSIDLLDAEIADVTAQLVCAKQGLQQ QNPQTQTTKPTEELPPPPLCSPVDKASPSKKARPVLVDPKLMAMVQSLMEDNKTKAAD AHAMIAALGKQTVQYTRPVDCPGYDDTLVRAKMLHHRVTLRVRRHKQRHYADMKALAA EYGALKKVWRAKVKKLEKDRKKQEKRTKLRHKESGEHKAATTTALDDKHCPNPHPPSN DSQQINHLRSSSRLTNNTQHSQMSMIKHIADVEKEKQAELWDQEIKRKRLKNAMLSSG GSHNSPYVIPDMIVDKELVQIKRFIPLPKPLLTGMEPTPDSHIDGLHYLNAFQFTNPW TDIEKCIFVDKFLQTPKNFFRIASFLQNKTTGDVISFYYHTKKVLDYKAIIREQQLRR RGASIKNTWNCWQLSLCAAMALGVQFPAAIQASVLHQSKFRSHQAAQSILQAAAPVVS KDTIGKADEDDEKPFVLDLTDFLDDNLFTTGYNLTCRSVQSRFDAFRASPDFHPEHPP ATTTPGPPSKKAKMVDDSAATASPVAVRRKPTTPRPIPNKGAVKKKSRPAALKKSDDD HHHHLPPPLASPQVPKVDSKPLQSPDAPHPPLLPLPAATPPLFAPVPLTPRTTPTSSS LFLNAAALTNLPPGKRVVQKWTEQEKSDFLKYFSVYGKDWAALTTSIPSKTAAQIKNY YQNYKNRLGLQKRPEHHRPSTPQTHHQTSPSINSPSQGGRPPPPPPPPAFSFPLSIQV PQEYNTSQQQSTAPTSMNAAQHRLIQLQKELSRIQMQQLPTTTSSSASTSAAAAASSS SSMGGGQAFPSATPGSQLKLLQYSLQQQVQMLQMQMYQQSVQDTHAIHQSYGGVPRLN QPSPYYDRYKGEPSSSKDAPLATSEVLLAARPLVDNQTPKSLPPLDPPTASSAPPSVP SRMSFSSILNESVGSPQYASSPSASTPVVIASAPASNRSSMSSLLNRPSSSSSSDISP MLPNAPFTQRSFSSTLYHHPVLHNHRQQLYHDHHHPPPSNNMQDPTSQIGPYHHIHPL QQQSSLHSLQSMHTSQLPSSMHQPIQPMPCQPMLQPIQPLHRQHNVLPIYRAMDDAWT NQPASADGRYDEHTRHVLDKEAAHLREAQEEAAAAALNAAAAAARVEALQRNVVARQE QQQPTMVLAPPRFASHPPPQPPPFHLNLPPRPPLDPPGTNAVAPSPSSRANTTTADRG H257_12622 MSSSGSAQNNNGPPRGTSRSPSRHNTIGELSGGPSSINDRYNSS NNGGRGGGGYGSNNFRVPPGYNNAPMDRGRPSRFDKDPPPYSSYNNSGGGGGGRGGGW NSSRGGRGGFHSQYNKSSRPSRPFRSRSRSRSRDINRRDNSDLQRNRFLRDRSRDRSR DRLRHPPDSTRSPLRPSDDFRHASPLRHHSDSTLSHDHSKRPSPQRHPPPPSPPFRPT APGLLTPPRQLDLARPSVTSPRPGLLDSSHLTSSSSQSVSPHPIKRGDESPRTTIGAP NESSNPPSAYASPLASSSRQSPAHSTQQLPFSTPPPSTVRPSNQQSSPRTPSEASWSM PLGVPTDSGESGGPARQSPQRRFAQAVPPSSHQTSSSPRPPVPATTRPKPPAAPTTPD QTESKRDELSPLKPFLSRRGSLDLVHQSQRSHHHSTSPKLGSRVHSPNVAAQGTSPSK NHHPLSSQRHQADGVVPGAAARRRSIDEHHTANPPSVLVAMLEETILEPPKPKDDDDA KQQRPRLGWGQGLAAASPTATSTTTKRPRMGWGMGLVVTQVPSPKAQPAPSASTPLLS SPPPALSTNDNTPVGVPSSLDDSTTVGGSSRNSDHQPFDGRGSVVVAPLLPPPPPLST PDPVDMEIDDDPVVNLPPPPPMALGVPKEDILSSIDLLDAEIADVTAQLVCAKQGLQQ QNPQTQTTKPTEELPPPPLCSPVDKASPSKKARPVLVDPKLMAMVQSLMEDNKTKAAD AHAMIAALGKQTVQYTRPVDCPGYDDTLVRAKMLHHRVTLRVRRHKQRHYADMKALAA EYGALKKVWRAKVKKLEKDRKKQEKRTKLRHKESGEHKAATTTALDDKHCPNPHPPSN DSQQINHLRSSSRLTNNTQHSQMSMIKHIADVEKEKQAELWDQEIKRKRLKNAMLSSG GSHNSPYVIPDMIVDKELVQIKRFIPLPKPLLTGMEPTPDSHIDGLHYLNAFQFTNPW TDIEKCIFVDKFLQTPKNFFRIASFLQNKTTGDVISFYYHTKKVLDYKAIIREQQLRR RGASIKNTWNCWQLSLCAAMALGVQFPAAIQASVLHQSKFRSHQAAQSILQAAAPVVS KDTIGKADEDDEKPFVLDLTDFLDDNLFTTGYNLTCRSVQSRFDAFRASPDFHPEHPP ATTTPGPPSKKAKMVDDSAATASPVAVRRKPTTPRPIPNKGAVKKKSRPAALKKSDDD HHHHLPPPLASPQVPKVDSKPLQSPDAPHPPLLPLPAATPPLFAPVPLTPRTTPTSSS LFLNAAALTNLPPGKRVVQKWTEQEKSDFLKYFSVYGKDWAALTTSIPSKTAAQIKNY YQNYKNRLGLQDVLKKRPEHHRPSTPQTHHQTSPSINSPSQGGRPPPPPPPPAFSFPL SIQVPQEYNTSQQQSTAPTSMNAAQHRLIQLQKELSRIQMQQLPTTTSSSASTSAAAA ASSSSSMGGGQAFPSATPGSQLKLLQYSLQQQVQMLQMQMYQQSVQDTHAIHQSYGGV PRLNQPSPYYDRYKGEPSSSKDAPLATSEVLLAARPLVDNQTPKSLPPLDPPTASSAP PSVPSRMSFSSILNESVGSPQYASSPSASTPVVIASAPASNRSSMSSLLNRPSSSSSS DISPMLPNAPFTQRSFSSTLYHHPVLHNHRQQLYHDHHHPPPSNNMQDPTSQIGPYHH IHPLQQQSSLHSLQSMHTSQLPSSMHQPIQPMPCQPMLQPIQPLHRQHNVLPIYRAMD DAWTNQPASADGRYDEHTRHVLDKEAAHLREAQEEAAAAALNAAAAAARVEALQRNVV ARQEQQQPTMVLAPPRFASHPPPQPPPFHLNLPPRPPLDPPGTNAVAPSPSSRANTTT ADRG H257_12623 MWMGHYGDENTNSMHVGDTTTPSARAELNMKEKQRMSKMNDTIE HLRKELEAAGVSSKMNKQSILDNTLHYVTMLQNDVVIAKQKSEYALRMAPAMMESSIS PLERYFDMSSVPKCIITTDIALVRANQAFLTMSGFTEGALRHPSTLLACLSVDTSRLR TIVRDAMETRQPVRAAVENGFATSLSMNNLVFTAIFDSSGTAVDCIEVVVVASSHQYR QRPPTGHITV H257_12624 MSKRHLSPSPKTPTVLRKKREKAPVAVQALAQALFSGYVDSFVI IRVLSLVSRDLSTHGARIVRSLDLHATLRPHKLLSQCVLRRYHAGLLSLSLQWTPSTT DATVALIASSLPQLTNLNLHGCHKLTNDALATISAALPSLTSLDLSFCSGLTDPTPLS SLEHLQTLNLAMCSNLPKTTLTHLPTSLTSLDIGCTGSIDATACLRRFDRLQSLDLSG CSTVDANDIVTFTQATAPRLRHLSLAHCHSVHLSDLWHQWPQLPALKVLILRGIRGGS KPTATWTDRVKACCPSLTFLDISCSDHAGSIKKDLREMMPDLSVHDGHADFPIVACAC DADDQGPRGVRRPADRVLVIRHAP H257_12625 MTTNAMKAQGQLAAIADQADRIHDIVTTRLPHQHGLVAAEIAAT RWLSVANNGNAATRLKKCKMQVTNFRFRVLEQGERLTRLLCDLDLVDSDGDQQIRQER KRLVLHIQDELQLADALKHKCDKLVAFHAYMFPTPVEAATPVGSPIQAEANPEKATAS DNETDDDDDMEPNDDDNDKDIDMDNNDQSDEEEGEPEDESHLPVWKPRFELRDGRDGA VYLVADLTGVDLDRHFDVHVDGDVLRVSGTKLPTPRDLQVMRMTQQPTFGRFAIEETF PRHLFHLQNATLRRVSGGLVEVRVPRARVPFNARRNIYRRPAPFANMGMAW H257_12626 MNQDGSTSEIGQGKEAFETVYELSRLLNTGLDRESLSILISLVE KGVNPEALAAAVKEIRRSSNGAPSGTGKSTNDQQFHY H257_12627 MGLAAEGTTTSTWTQKVMDLLKQDRTIKKYACMLYLMNYLHYVW TAKRPSLTYQSSPRAAAILAKCSLLVEKYHPSWYLLNNGHLHTIMLAKLTSHPEIHYE RQMVSLADGGAVSLDWAVPPGVAPAAVNIPDVPTVVIFHGLTGGSGDNYVCVTAEKLV RQGWRVVVMNARGCANTPLLTPHLFCGAYTNDVREVVAKLRRDHVPTAPLVSVGFSLG SNIMVKYIGEEGAACPLSAAVSVGNPYDFMCNSRNMNFSFVHDAIYNGPLATNLNNLF FHQSNAHQMFAAHPDINLVALQATTRVWDFDEQLTRRAFGYASVSEYYRDASSSQYLK HVKIPLLLLSAKDDPICIHTATPFDDVVANEHLMLAVTDTGGHLGFFTGNSVVDVPDM WSANVVAQFCNAI H257_12628 MLGQHVVPLALRRRVCGFISCKYSTTVGKTVVTAVEKGLEYEDQ VARVMALYNCRLTPTSMSVDGGVDHYGVWALPDNDVQIVTQCKHEQSPTGVSYLREFE GVLSSQPNSVVGVFASASGYSVFAKRFFSRMQNPAIRMTIVHDTMVEFDMNPVARLRL PRLVPGTAFTNNVPNVVLMYDGCVLRAPPFTHG H257_12629 MTTAFKMINSPTSVVDEMLRGLVHSSPDLCLVPDYRIVLHRDYN DLKQRQVTLLSGGGSGHEPAHAGYIGHGMLTGVICGDVFASPSTKQVLTAIRLAAGPH GCLIIVKNYTVSKHSMKPP H257_12630 MSGAKAIQHDLATYPLNIPSKTLLAVASSVANAIGGTSGVLYTI FFTAAGATMATYDHGQELVQTNKASAWVESFASGVVAIQKYGGATEGSRTMLDAMLPA LRTAQQTNWSTQLDGVAAVAKAASAGADATKRIAAHDAFGRTSYVGEEAVKNIPDPGA MAVAVWIQALVPHLQTE H257_12631 MSSIDKLSIRGIRSFSPGRDESIDFNQPLTVILGANGCGKTTII ECLKISCTGLLPPGARSGQTFVHDPKIRGDVEVKGSVRLRFTSRAGQTMVVQRTYRLQ QKKNAVTFAAMDGVIRMVNEHGEKVSMNHKCSDLDKHIPSLLGVSKAVLDSVIFCHQE DSNWPLQEGKVLKSRFDDIFESARYTKALEAIRKLKLDRTSQGKDLKRDLDVINEQVK RARELEEQLEVRQTKLEALKLDQNAMSDNIDALERNAADATHDLAESRSLHAELVQKD NGLASMLQEIQRNYRVNPEFKEMSESTAQLTELLANYDVIVATNNRQVEMIESQESQL QTTQATINEKVVNLRVNKGLLLKAIERLRTAAKARAELACDMGRKHAFGSFPSLSTSQ EQRQFWARFQEALLDKETALKTMEQDSTKVQDQWNSTINKLETQLNQYTLQIDHKNAE MADVQKEEAKVITFLQGHQRSASESTSSQRELSQLEAKLAELEAKLQASKDSHATSRL RDETVAIDKELNSLSFDLKIAQDKVNVLRGFEKEESALEHSRRDVCARKAGVHENLTD PKFAHLLPHQPTEASLDQDVNTLEALAETCKRTIHESHDALRRCEAQATELNLKKQQE ENTVSKLRKELDQLELGPMQELQRIFSAYQLLQPETAVAQLETMYMEAKDKTVSRKNA VMFLKTYKKKGEKEHCCPLCHRGMTPDELAVFSKLVADKMDDSKNQEKIHKAERLEQQ AFEVWKQTETLMPSWHRLQAIQKDLPARSDVLNDLYKQSRALELDLTQTRLVVQQNEA KLSQVVEGWDLLKALKKSYDQVEFDAKKVAASERDLASRLADSLGSNPPTMSMAQEAL ESMQTKCRDLETKLKQKQAEVHAASDALMRLQGEVHRVREEKHQLNQRNIQLEKAKDQ RESLRAKLRKLQEELATAQRDVPSVQRELQIKVNEREISRLQLKDAIDAKRAELTSCQ QDLQLVQRKHEEVDEMQKQNDEAKLHALDQELQQLHAQSERISRDIAMLQPEKTRARS SLSETESFKRQIRDNIQFRQLRDAADKAKEDLEAFKRKMDTFRSVAEAENACRVASET LNAAKEERAKLAGKQDNLQENVREVQVQLAHRDLKNIDEKKRHKFIEFETTMMAVSDL DKYYKALDMSLMQFHSQKIEEINAIIRSLWQITYRGQDIDTIEIVSGHESGDSNSKRS YNYRVVMRKDSAVLDMRGRCSAGQKVLAGLVIRLALAETFCLNCGILALDEPTTNLDS ANKLGLAQAISDILIAREKQQNFQLICITHDEEFVQMLNRSQMLGGSRPEYLWTVSRE EIAPRYFVSKIDKRPWSSDFVYRPTNDI H257_12632 MHFKGGATKSPRHKRKKRDGRRANGLGLVPQWDANSSTVLFPSL KRPTHIPVEHIASKPHKRHPVRPLPAQSDAMTFSNQASTPRTVTSLFQSADEEATPAP GTTTDNTGPTNPSCRRPKPRSTRKSSTFA H257_12633 MGRKHAFGSFPSLSTSQEQRQFWARFQEALLDKETALKTMEQDS TKVQDQWNSTINKLETQLNQYTLQIDHKNAEMADVQKEEAKVITFLQGHQRSASESTS SQRELSQLEAKLAELEAKLQASKDSHATSRLRDETVAIDKELNSLSFDLKIAQDKVNV LRGFEKEESALEHSRRDVCARKAGVHENLTDPKFAHLLPHQPTEASLDQDVNTLEALA ETCKRTIHESHDALRRCEAQATELNLKKQQEENTVSKLRKELDQLELGPMQELQRIFS AYQLLQPETAVAQLETMYMEAKDKTVSRKNAVMFLKTYKKKGEKEHCCPLCHRGMTPD ELAVFSKLVADKMDDSKNQEKIHKAERLEQQAFEVWKQTETLMPSWHRLQAIQKDLPA RSDVLNDLYKQSRALELDLTQTRLVVQQNEAKLSQVVEGWDLLKALKKSYDQVEFDAK KVAASERDLASRLADSLGSNPPTMSMAQEADRSYE H257_12634 MTTAFKMINSPTSVVDEMLRGLVHSSPDLCLVPDYRIVLHRDYN DLKQRQVTLLSGGGSGHEPAHAGYIGHGMLTGVICGDVFASPSTKQVLTAIRLAAGPH GCLIIVKNYTGDRLNFGLAIETAKAEGLNVDMVVIGDDLAIPGAVS H257_12635 MANILAFLTVFTATVNQTDDRQLQTASYFCWKATRTRGVGRVPE SCAAGQKRLGLLCYDSLLCYDKCPVGTTRKGLDCHSICPAGLADQGLFCRNSEYGLGV GYPWKFGDSLNDSGMFQRCQMDHGQDKCEKWGLVVCPKCLPGYTLVG H257_12636 MPPKKKANTPFQDVTRMVATAENRMARESNSPALRDLHVSADQP HEAHERQCPKQSNQWTHLGRLLTIQKSNRLEPMEFSALHIYAQVGTVTDMLNVAIVDQ GEFNDEEHESKCYDKLNPDEQVVVVREIVDNNPLETDAPPVLHGVWVRRAHTALDEEI DQLEALKKYYHNDEIPRPAIDSHD H257_12637 MTMTYFSPSEFNVLWADIRMYVNKSWNVGSGRKCDVSNRDMLVM LLTTMKTGGSWDIVATIFKEASPTFQKRVMNFVRVLHPFVMRKNVNALADKWTMLLLS TSGQRFANYPYACYATDVTFQQTNVPPDSYADKNVYYSGKHSLYGHKVELSVLPNGFA INCTKHYKGSVSDKTIFDENLDFHMASLTKQANEDGIDEPDHATRQWAVLTDRGYQGI H257_12638 MDDIQTNDRIATDRVIVENFIGRLKTLWSICSDCYRWTRQNYDV LFRTCVAMTNVHIRMHPLRADDGDVNYPYVTGLRRTETGSSGCGASLVAPKILITAAH CSSSAWATFASIGSHYLNGTKDGERIKIVKRTVHPKYNKATRFDYDIAVFELETASSF PPIKLNWDEDHFSAPGVVSWVRTTKSGGSQSPVLLEADVPIYSNAACQKALSAYNYNI TASMICAGGGFKDTCQGDSGGPLTVTRNGIEYLAGVTSWGIGCANPGLPGVYARISEA RDFIEPFLPKPAC H257_12639 MATELVLVQPHCQAVPVQSSEARKRNTGNNGVVLILPDAHALVT HMKDLRRQELAVTSAHMMQFLPLDHMAWIENYMATRKTGYQSLLRLLQHFAGRHGFSK QRIYRKKKTQDDLELTRLAFGKKFQEGVPGCRLGIGGSYVANSESHSYRMTALLTTNE FDDYSAGHYYAMQKKAWMNGSVWKYYLREVLSQSIENPSILLVDYFDSDMLARRANAS H257_12640 MVKFVALAAVAASAVAQIEIVNGTEVPIGKYTYVTGLRYTAAGA ASCGASLVAPKILVTAAHCSPVMTVYASVGSHYLNGTKDGERIKIVKRTVHPKYNKAT RYDYDFAVLELETASSFPPVKINWDQDASVAPGIVSWIRGFGNAQLGGLQSPVLLEAD VPIWSNANCQKAVAPYNYTITPSMLCAGGADKDTCQGDSGGPLTVTRNGIEYLAGVTS WGIGCARPGLPGVYARISEARDFIEPFLPKPAC H257_12641 MVKFLALSAVAAFAAADIEIVNGTEAPIGKYTYVTGLRRNETAA SLCGASLVAPKILVTAAHCVPTPWAAYASIGSHYLNGTKDGERIKIVKRTLHPKYNKA TRYDYDVAVFELETESKFPPVKLNWDEDQFTAPGAVSWVRGFGSIYLGGPASPVLLET DVVIWENSKCHAALNKYKNYNVTSSMICAGGGFKDTCKGDSGGPLTVTRNGEEYLAGV TSWGIGCARPGFPGVYARISELRDFIEPFLPKAAC H257_12642 MRTTFSNSTCDAKAVLVCRRHVQVVVNAYFAGFQNAKKSTYLAQ LRSSATGTRICQGVLVAPDFALFTRSCVEDYDKADKVVVGATRIDGRLDNGEWTPVLN KYYSANRSLDFAMVRLAQPSKVPPVRILWDDVDPGKLVRLRGWSWFPFNNGNTLSETT VQLLANDQCQAKLNRPIYAYQGCSNNDNIEMCVWYIFGSLMIEIDGSDFALGTLALYN CVDSPTLQIFNRISAGRSFIEPFLCNGT H257_12643 MVNHRGLLSSNATNGIGDGNQYTYLTGLSETKSAASFCSATLIA PTWLLTLAECVNASKWAAIGSNCALGDEGTERIEVVRRVLHPNYTRSSGYQQLAMLEL TTASKYVPASVSWNDNLPDNPTLWVRGFDSSTDDKALVESTAKLWSYDECGKFYYDHQ EYGRTLNESMQCLRDSQCQQERGSAVMVDVNALPQQVQPLQLTTHQPPPSQHQAQLLA RIHYPLQLDLHRPTQTNPCLLAPPLNLLALPKLVLPRQDLHLPVPSPLLPLLDLRQPT QTNRDPPAQHLNLLSYPYKAYTHRLHHDSIGYGSCVDQPQSTSTHWLHTQSYRPNPYW PYSNWPNPYWTYSNGSCTYWSKPDWTYPIKDYPIRAYTYGAYTYWSESYWANTYRLHH DSIGYGSCVDQPQSTSTHWLHTQSYRPNPYWPYSNWPNPYWTYSNGSCTYWSKPDWTY PIKDYPIRAYTYGAYTYWSESYWANTYRLHHDSIGYGSCVDQPQSTSTHWLHTQSYRP NPYWPYSNWPNPYWTYSNGSCTYWSKPDWTYPIKDYPIRAYTYGAYTYWSESYWANTY RLHHDSIGYGSCVDQPQSTSTHWLHTQSYRPNPYWPYSNWPNPYWTYSNGSCTYWSKP DWTYPIKDYPIRAYTYGAYTYWSESYWANTYRLHHDSIGYRTCADQTQPAGTRRLYTP ALEHGDTVVHKPHSY H257_12644 MIKFPHTLGTSSAINTIGNGTEHLYLAGLSENNTSEASCSGALI APTWLLTLAECAPMAKWATIGSKCASGEDDSELIEVVRQVLDPDYTVSVTPYHELAML ELKTPSKYTPVNISWDSYLDTSTLWVRGFDTSTMNKTLVETTAKLWGFDECDKFYSGH PGYERTLHGSMQCLREIQCQQNRGSAVMVDVNGTSQLIGLTYWQSQNNSIAPAVYILV AAGEAFIQSPLSSCVDYSSLRLWSNLNSTAYGPIEFAHHHLPFNVRRRSRPSHARLAR LRINRLRSSQLQGLMYPPFQPPVLPPSLFVLRLPIHRRIDLRAQRKAPSPPDPTKAR H257_12645 MQKLIWTAAAVMTGVVVNAHFANLEKAKLTTYMAQLRSTATSPM LCQGVLVQPNFALFTRACAEYYDTASKVVVAPTQMNGRLDGGESIQVLKYHYSVNSTL DFAMVELARPSKFPPVRIMWDNVDPGKLVWLRGWLPYNNTLTTLVETTVEVLPNDKCH AKLGRPMFDYQGCSANNNIDKCSSYIFGSLVIEIGGTDFFVGTMSLYDCMGSPKLQLF NRLSAGRSFIEPFLSKGT H257_12646 MLNWIVNVAAVATGVAMAHYSPLQPAKELPYMCQLRSTATDVMG CPGYLVGSTTAIFSAACVKFFFTADKVVVGATQINGGLDQGEWVRVAKKHFHPNWNEQ TREYDIAVVEFARPIMQQPVGILWDDIAPGNLVWMRGWMPFNPASKGLYETTVQILPN DQCQNHAARAVYATHVCAENQIIQGCQNFIDGPLTIEIDGDEYVVGVLSLYMCNTTPQ FQIYSRISAHRDFIEPFLCPGSLW H257_12647 MTAAAALAVTVAFTSPFAKVDVGIKPYLSQLRQGPPAYSMGCSG ALVTSSIVLFAGSCVKSYPSHGWVAIGPPYKHGKEDNGEWIQIESKTFHPRLSTTTFE YDVVVVKLVSPSKHDPVVILWDDAPPGSTAWVRGWMPRNRTDRNLYEAPVRIETTATC RSQLPWLDFKTIQCASNDAIQNCFTGIDGPLTTTTKSGVDALLGLLSLQLSCFEVNRP SDYSRLSKLRDFVEPLLCPLNV H257_12648 MASTRAYVTHLSIERTHKFFPCVGALVAPNMVLFSANCFNSSTI GMSPNEAISVASTYPNKSGYDEWIPIVQRHYHPKLDEMNQEYDVVVVKLKTPSRYPPV KIHWGDMDPGINVGVNGWILSQPERPAFQPNMTLLDNKDCQERMNDAAPPSFTICDSI QCAWNSDNAKCAEYTSGPREEVLLNGPLVVVLNGKDHLIGISLAPGHCSPLPYMYTRL STMRDFIEPFVSGI H257_12649 MSKLLEPLDPHQASLGLTLAYFHHFIDIHGGRDVVESLATIDRT WCVFEVYLSIVLQTRFEIAMTKAQKTVFLDEMLLHSNDVLEMMSQVSSKHSTTTVSRD RDHIFDLIEKQVGFAELDPLDCQLTTAISPSEHFRSLCSKASALIALGSLTQDEGLLL KVVAMSVDEISHRPRLRDGTP H257_12650 MRALKRFPTETWVPFFVEGLSMVRHLRGPDHPATLDTMKTIGRW YCRSHMYDQGMPLLRECLEIKARIGDTDIKVMDTVHYLGELLYVQDQVVEAQALFGQV YEQMCRVSGPGHPLAMDAQSNIATCAVARGHYAAAEATFSEYFNEMLRQYGVGHRHTI SSLLSLGKVRRLRGNFDLAKANLLHCIENYRDMDKLALVYESQYELGLVAYSTGEYNE ATSKLSDSYSTYASHYGPTSTECGGVLLTRFLVHIEMVPAFASLEIVDDFLSRFHTTG AFNSGWSNWKCLACFGLICGDMVMCLECSRLSYRLCQSCAQATPLLSLEQCAHSNNSS SWKAFTPPLRYLLEHRLTLLAQAEQWDEYAHTPTRTLHFATSFKSKTHNSLYI H257_12651 MRKLRALVVILQGVTASASPSYLATLYPAGGSSAVCWGVLIAPR HVLTSAHCIAQPLAWVEIGNNVERRRKVVDAVAHPQYNAFTRSFDLAVVELDVQSRVP TTPLRWTSVDGSTSAALSWVSGSNSANVHELSILPDCTSITSKADATVICARTFAVDA SCSGNSGRPLLMDGQVAGVGGVGCVSKVAGDVSTTTMYASVALGRSFLEPYLAAEANE NPPALYDPRPSRQPIVESIKADIYAN H257_12652 MFLASTHAAINPTSYTVSLYTATGPSVCGGMLVAPRHILTSAHC ISYQPLVYVQIGAEQRRVIQTVVHPQFNTNTRSFNLAVVVLDLPSRQSTVPLRWTDVE LKSPSTGDATAAWVPRGSGSALESGLVMAKCSLTFPDPSILCARSSVIGATCGADAGK PIMVENQVHTILCPGPGMVATCGEDSGKPLVVNDLVVGVAGVGCTANSAAGNGATSMF ASVSKAQSFIEPYLQPVANADPTRPPRPTSDDDNEYIRPSRDPIFA H257_12653 MTAAAALSTDTSDVVCPATPSMSSPDVDYSMATDNVEIANTTTT SSTSKRKILLAVTAAAALVVVGVVVALVSSFQAPTTTSISVGAAGDVSVCYDSYNSYT AGNIEYHFKRIKERFSGVRTYQTQGLRNHIDVAAETGLVIYAGVWLKNDQWFKDMQAA LDGARRHPNSVKAILVGNEELLGGEYNQWFVLEKVRHMRKMLNDAGLWYIKVGSVQTD GDWLNSGSELAKECDVMGVNIHPFFGASDNSKLNPVEDLNVRWIQMVNRFGGKVVLTE TGWPTSGGTVNGHVPSMHMAKKYMLDVNAWAKRGNGGDTPAYFMFHDNNGKWVDYEKS FGLAWDNGFWKFDFNTVDPAKDYKGIVFFNRPNEKVLGAQWNNMAVDTHTRWGWSWKD DFPSIWSVWETKWQIVTWDAANKRDLCLDAYEPKRGGAVHLWPCDANNGNQKWKYDRG TQQIRHLTHHGLCLDMRQAEGGPLHLWDCLDHEKLQKFEWWVI H257_12654 MADRTHHVPSPAMRQQSTTVQDLRDNRLADSSKKGYRSGMKQIV KWLQTSGRSARLNADGSLNLTVFSYMDFTEFVLYKYKDAGVSLSTLSGYRSALKDYYN TQGVPLPIGFTNDATVIYQGIRRLCASETQAGAIKPGTKQPLRHHQYIELCMASLVKL DGGFTHLFLILSWNLMCRSRSTATVRIDHFSDEGDALGVTFFKSKTDQGGTKRRDPKH VYANPQQPGTCCILALAIYLACNPEHDSGDLFPGSAQRDRFGRSLSQLVGYALPELAS AVGTHSLRKGAAIFAIGGSTSGPSIVNVCIRCGWSIGSVVERYVHYDGAGDQYVGRVV AGLPIDSGDFAALPPHFVSSSEGVVDAAGALVFPRLWSHESLRGVLDTLPGKHPLLSS VLFGDVGMTTLLRANVTLLSSSMQPTGIPPHVSLHTQLEKNLAAVRALPGEIRDGIES LLDEKGVAAGNITHALLEQLLKNAVASVVDTRPVIVSARSPAQDESVPVRPVHYWGGR WHLLAEDYELPSVDVSTGWHLWWCGSPARDIPPLYKIRSMDLTRKQAKILCEWHFATN ALHNVYCSAFGSSMCRPYTSATVIAAFSNIMVYLPPSWGQTHLGRQRRLSQMKMVTFA RLARKRRQETEGIPTT H257_12655 MTLSAGMEDDFREWALAIQGRRMPVTADEMIDRATKTLDVVTPG ATLTRGWNFVTDEDVETLYIKIKDAIEVVANDGDRVFNMDEAGFAPKRHANNVIAQVG STNV H257_12656 MPPPEPTKLGMSPHSPGYLRVLVHLQSHPEHTDELRDEMSTWDS VIALQLPLTSEKALAAFPLLSLLHWGPLYSSDSPIILAPSGLHPLDVRCSLPQVAAPP PRKSPAPRTATTAQPIPSEPVRPVFTTIRSSAATTLFPIQHWANKPAVPHTSNTVATT VPPQQAFTRTKVKRIRFTIWHWVPPREYTTASCAPEPSSLQAHHSHHRYTAHTQLPSV GIRELKHGFLFRNWVSTSNIFGLCGHAQDLGFPSFHCPSSGGRCVHPRRPPRKPIIGT TRHNRQQRLRSGRPTTLPSPRYVRRVKTT H257_12657 MLTTFISRSNNRDELLPEKGAVDDEEGTGRLGGIIGPVVSVAVA PRVEGPGEANPTTPGVVGVRMKAEGRHGFVNAMREAQVKAFNDFLLQACTERMSLLEH KESHLAEWASRFEEITRQQEQARQEAFQLALINMESSFQQQAALVQRGCTKRLEAERE RIGKEARQEVLNSGLVEDTVQGRTTDLDGQLAVTRVVHKELEALVLERSGMVEKLRVE RTDLLDQTKALGDEISRIRSSEEVAVSAALSCMERQRQVDAAMVSALRAELGLSRARA EDDKALDDSELVQTRAAFQAEKREMLFESERNDEKWRTEFLCFKNEVLDASLAIREED RLDGDELRASLAQKDRAITILERADAEKQTAALEACPDARD H257_12658 MLTDMNGELQLDLLYREELRSERKVLEGTAAELVSAQAALAQER FRLDETKRGFAAKVADERALFDEERLSWLTQLSNDRSQADLERREINIAMQAERSRLL SERLELLRVRQQPRTNP H257_12659 MGSDFTIDRTPVLGMHPSKGSVTDKLDAIFDTLKTMQTPFLPTS HSIPVYMVGTSTSVMEPALVSTMGTHAPLRPVPYRSAPAPTSGRGDGGDGNDPRRFGE GAYGSGGLSGGGGPGGNGGDGGGDPAPYPRFGADPYSRRPADRHSLVGNARKQSLKLE SVDKLQLDHFLGHLDDLQIEFELTDIELIRIFEYRVAESKIRTVQDWWARRHREGSNR TWRSTRSAF H257_12660 MSAKMAEITQNSIRKAKETVREYAWRINDAAQDLELRRTQAVQI FIDGCKDPGVASCIRGSETRPGTIQECLDYLRFRDMDLDMRLNDANGHDVPRSTSSAT RVNRTNSTDATSKSTEEAMAALRADVASMMQNQLASLTNAVANIAPRRPSGNQPVVPN IRMDPDETTTQLGRVVCGRCQRSGYGREACPYTKMKCSRCNTVGHANWECSVPFQPRA LAGDHRCYNCNELGHLRVECPSFVCRHEKGPRLLRRKMVDMGGTTFRLKEVSRASSYL PIKHL H257_12661 MSTANNTSLKGFLMDYSKATIRPPPLPWIDPQALEAYKAGPSEP HYKQSWKDIKKKFSKEWITNPLPKADKYYRMTQDPKEPLKTFFYRFNSAAQSARVEYW KSASILEDHIGRFCMALEDESLGDRLSQMVFSSIDDLDRHLDSQRKNQILRQFKNRQA RL H257_12662 MPDVLLIDNMPSTFLSVTVMMRANRNFSVTFDDMTCSIMHHNRH RHAGYQKQCVCPRSSRHPRAVQLCGPRANKTRTLMNEISDLWHQRVEHFTTAAVNRCG AITPNNLRQPPTKCDCCVKNKITKIMPPQKNKNGKSEADHSPLHNVGGC H257_12663 MRSTQVGESDTREGYYLDKGSLYTVGKGNKPNLQLSSGIALLKA EFLLNSIALRLVEAFRLDSGFSLLRSQAVRQRSQLQPESTCTTTKQLFDWSSPATSRL H257_12664 MVREHLFDEESPTNAEERSRSLQKMTLLVWWIWMHMDDDDDTGN AIKEVVTADVFALGAGSMSTSEIKSFDEYYKEFNIKNVSKATAADE H257_12665 MAATSAQSCVRYCITQQHTEHVLDVAASLEVGKASQTRPSSREQ CSRYFFSATTDSNDETTGRWRCTLFQSMYAQEEGRGYTNLLNRNRAQHRNRELQ H257_12666 MVKFLALAAVAAFAAADIEIFNGTEAPIGKYTYVTGLRPNETAA SLCGASLVAPKILVTAAHCATYPWSTYASIGSHYLNGAKDGERIKIVKRTLHPKYNKA TRHDYDVAVFELETESKFLPVKLNWDEDQFTAPGAVSWVRGFGSIYSGGPASPVLLET DVVIWENSKCHAALNKYKNYNVTSSMICAGEGFKDTCKGDSGGPLTVTRNGEEYLVGV TSWGIRCVRPGFPGVYARISELRDFIEPFLPKAAC H257_12667 MGCGTSSKILALSESDRAQAAEVLVGAALEEGSCGIILAIVVVS AMWYSGLGQFEQSVSVPYISLLV H257_12668 MVVVNSRLYLVVHIAPHLANTLTNPAATDLGHRQLAAGNQKAMS AGSLRVATYMQEHPDDAAELRDQLKFWNEVMDHQVPMTKERAEATLPFITALEWGPLF TNTSPQSCSVLRGSIPSMYTAHFLRRNHPPIFTPPGPPTNTGLANQSICIRLRPPAAH LTDPLYNRFNGLNTTDMTAHAAI H257_12669 MWDDAPESMRTWPWKSKVWKTTASCWASSESSVSIAVNVHPPSS CGGFVFLHRLAGCFVPAFQFPLADIAPSVASTHLIGLLPCCQRAFAIVVAPWVGCCLG LGAVSPLGVSQGESATVACRGAGPPPWRRGQRNHSHGASAGRSRIVPGTVGGEAVNPC FGFVMLRAHLASTYVLASAKYCC H257_12669 MWDDAPESMRTWPWKSKVWKTTASCWASSESSVSIAVNVHPPSS CGGFVFLHRLAGCFVPAFQFPLADIAPSVASTHLIGLLPCCQRAFAIVVAPWVGCCLG LGAVSPLGVSQGESATVACRGAGPPPWRRGQRNHSHGASAGRSRIVPGTVGGEAVNPC FGFVMLRAHLASTYVLASAKYCC H257_12670 MSTDVQAAVARPDCTHRANFDHSDAESSHTDQATCIDLTEDDGT AALPAFLWQDKTVRRVPEGWEFPAYPMDEMWFMWFLGDVDDDFRVISPFRLLSSDDFD DAESQLRLVTTQQRMSQLMEVLPTITERVTTGDDLAALARKDVATFEALYTRACSAVD GEATKSSTSFMGSNTRTTTEADFDASSNQLTRTSAALPPTCSPPYDPQTPPSPSQQQH SQPTTAYTKAAHTRSSAPHLSCTSASVKALVPDSASPSLHQPVPDVDATVLAASGNIR ASSSAVLDIPSLTTSLPHLSVTPPTTRTLRTEPSQSLGSSPLYHAKNRPTVMVMAAAS GLSAGSDEGAVSTAMPCREMWRRWFVGHGACGPPLRDMSCQEAGLSALSYQIVHNTMQ WLSYLASLSPCTPKDDESISASIDDVDARYDSAIHRAPSDLSTATVYQVYHVLAKSIV RQHMQGNGSTSTSSTTRLPTFDTFPLLSLAQVWLRWFHPISRSMAYGRRRAWEASAVS TDRLHHAQTIVDALVAIAIEYRYTSSVGDLEAKTDAQLMEILSDVFATFQSRCLIKRR GRRPSINSKVTALYFAMKREDARPPKRRMLSDGSDDS H257_12671 MQPVPVLMATLVAVASAAVWNWTEAPIGQHLFVTGLRGSAASET RCGGALIAPTVVLTSATCAWATWVSVGSHYSVGDKDGERIAVANVTVHPSFTFDSNEF NLAVLELKTPSSAPPIPLVWSADATNNSPPTSTWVYRYGIASKSAAQLPKHLLQSNTR ILSNVECAKFYGSSVKDSWLCAESSVNPNDIGGPLTVVDALNNEFLVGVASWGKSGNE PAVYARIGTARDFIEPFVFETNGTNSTQNLGTIVLPQK H257_12672 MSTAIPCRVMWKRWFIAERSSMRSPIRLVSRQDSGLSALSFQIV RNTMLWLARLYHDSTASMEASYFALVDMGPSGLDAAYDAALHRSVSHFHAPIDICHAH ATVYAVHDMLAQVAPTKSSSLHGRCKSDAFFKFELFPSLSLCQATRVSMDRLYHARRA VEAVAAIAIESRFVSSIADLETKSAGNLMDILKAVFAPFRVRCLQRRRGSHPSIKSKV ASLHFCMNETKTDLKKRPFSQVLADNDTTMA H257_12673 MESIPVSSDHVDTCEADQAAVCIDLTEDDGIAPLPAFLWQDKSL RRVPEGWEFPAYPMDETRCRSCGSVATWTAISSQLRLEATQKRMFQLMEVLPLVMEGV TTADDLTVLARKDVATFEALYTRVCSAVDGAAFKSPPSPTCTAAPSVRTSSITTTGGT FDTSATQPTMDDAVEVAPPPTQPFEPQPRRLSPFLHPNSPSEIAVLMDSSGDIASDKG LGAVGEAVPALESTVPDFPSSLTTSSQLLVNRRISNHPMSLKHFDLARA H257_12674 MSQASFVVLQRDNHALTRENNALHLEMIRIQEELEDKARGMHLQ EKELQHKIEELQFLNTQKTSQLQKKDADLSKLYAQVHRLQGEMQHPTNGGNSTSADAI ELNKLLPPAIDRKSAGTSSAAPLSSIDDHDASSKQLDALGRENKALQDRLASLESQVR TRDAEIDRLGKQLKDSVSTKDYATIKAKYDLEAYQIAQDLDKDQLTRQVDLLNDQVAK YEQKLVDALPSQQRLESLTQELKHAQGLNDKYMEQLQLLQQRLQRIEQEHELCDAKQS ELTMASDQAEKLVQKLQQQLDDTTLQVTRLENALKATHYDKMSSANSVANLEAHVKVL TTELNQLKPKHAAVCQQLADESSNGKHWTKQRQALETELAALQSKLSDALAAHQTALD GQNTLRVECTSLEQVVADRDRVVRTLQTNLDSATLECQAVARQLHQQSLANESQRSID VQAQHASVHSEERVMLRRDNDALQVRVRRLELLRNELDVALRDAQAQGTSWKHQVDHL QDQMTETLHDLAKANAQLNQVKMEVVHLQQDKTQLETQVADARREIEKLSHVAPHEAT AKLQESKWQKRVTEAELSKQLVETQLSAAVAAKAGLEQRLAQAETKLRSADDRAIEVN AQVSSLQSELANVQSELASTKQSKLYFETEYEAAMLAWTDQSKTFQTAQHDLDAADGA QRESARQIHDLQAALTASQTKCHQVENLLGQAQSHRKTLEMQWTLVQEELRHAKDHQL ARESTIKRLQTETSELSRRVVQLEQDNGQLKHLVGEMECGRDKWSLEHKQLKMDMNDL RESNGHLEGVREQLEASIGQLKQSLSQVRSMLEASDRDKDALIHMLDVKTEEMSALDA QSTRVSEQQSAVRNELVSCQASLRQLEAALADKEATVTTLQANLDKADKMSARLKEDV ELGRGEHVALTQDLHHMTIENQSLAGECAQLHHEIAWV H257_12675 MVTQFLLGAWPYYMPGTAVLIVAILFGSRTITFRAPSLRQVLFE ALGRVKGIPIVWIECPAAAARVLKASSIKGEFLERTFSAPAFLPLLSLESADDPQWSV LKAHLTTFMHSLPPVSNLQSIAHRVTLESMSALNRLDADAISSISVATFFEWIFGRAM PIDVRQLMCSATWEWRKEIAVKGKGDMALKQRAVDAIVREILATPHVCHVFGAHQWTD PEFFSVLIQPFLVSPAINISDIAVQVRQQLVHTNTHDPAKNLADLVHAAMDAAHPFVL LERFLPLGLHDDLVQIAPGTHVFIPVDMITTDAAIRFGAGARKCPGTHLGMACMLGIF SAEVLQHDKFQPHVGHLYSGRDQDGQESLQETIFQGAQIAAALWAAFLARIRGALRAQ LKNT H257_12676 MEATSVLLSTDVLLRITSFQVGVYHDMVPLLHATRKCHDDDDHL PSFHVTFAKWLELHTVERVPLLLATVPLMQSLVAVFAATAGHIALLQATMSLRSKCRS HENSTSHLVNVAAQAGQVNVIAFLLSGRGDTAVSHEAMVGAATNGHLNVVQYLHQLRP RDDYTTHVLDVAASQGHLDIVTFLHHHRREGCTTAAMDGAAGNGHLSVVKFLHAHRSE GCTKSAISLAAAHGHLDVIVWLCRHRKEGWHPEALTHAAAGGHVDVLAFLLRRRPRAG CLMLGMDLAATNGHLNVVTFLHTHRKDGCTEKAVAGAVRNGHADVAAYFEQHGDDLVH GVCCACGFNKPRRHASPFQDGVVEAFVPWATYGAVPHPVTANDISAMQRLVSPWLAIH GEAALPRLFKAVPAASRIVQVFAISTPSSFHLLRLSLNIDYQSLMIIAATSGQVDSIR QLQASYHDRVAIHESAALVAAAANGHLQTVKYLHAYCPNLLGADAMVNAAAGGHLEIV KFLHTHRGDDDWSTDVVDAAAANGHVEVVQFLHTSPTQGCTISGLQMATIRGHLDIVR WIHVHRNDLWRASDVMEKAAQGGHLAILQYVLDTSPNVSCTTYAMDLAATHGHLEIVQ FLHAHRTDGCTDVAMQGATSNGHDHVVAYLVVHGHELIHSLCGVCGFYKLRSHYCAVY ERAIARKPQRMRACTEQL H257_12677 MCNTNTTILQLSPSYGPYQLPPPDVGIVRDPPSQQHHDPRWNVL VVTRLQRDARACIFVEVVHCSDAPSALRCSVLALDDSIFSDDANYNNTPPTAPVILTP PLYTSQVMASTDATDVATVVRGLRSMDACLAPWISTQYCWLDFGKTWDMANSAKLQAW CHQNYAANGAVIRTPVANESERSRLWWLAVQRSRQATSERDEVMHWRGWNVTVFTPDW QNYKSIRLVDTFDALELKYKSFKVDLQFPCVLAAWTTSDSAHRHDQTLAVGGNLLCDN TNTKTPLADDLAVFSGTWACNSILT H257_12678 MKFFLYAIAAAIAIVTGQTLMSQSPIDLPASAKPVANSGNFSIA FNTASAVVSQEDHTVKATWSGGRDSYLTLNGKIYQSLQLHPHAPSEHTLGGKQYPFEV HFVHADKDKNLAVVGIFFDLDPHDKPNPFLTQVFSQFDQLTKPGDNFTLAALDPSSLR VSNSNVFQYSGSLTTEPFTEGVEWNVLQKVHKLSKAQLKQWSNVIHHPNTRDIQALNG RVVTLTRAHSVC H257_12679 MEGFAESEPKLAVDFLMAAIAPCVLQKRVKELMKLNENRLFKKD ARAFKTWLSEYMKRYGEFEPLIQAINVDKVPLTNFQPVNSKAACSKCAPGEAQLLMNR AKIVWADAREGRAVAVVKNVRVVEPSEETAIMCAARVVCTASQAVALDASFDSGADQS VIPPNTLRMLQDAGRDVTVMDLSAPVAVRGFVGPSHTVTQEVKLDLKFDTDAGPLMLS NVKCWLSLGNLPHGVGDILLSRPIMYKLGYDPHSILREAAAVSSEYDIADVESTSGVV KAVMLATKQELTDDLADEEQVLVPMELAAFFPDMTPVDPMVEQAKVQLVLDTRVSDAL AAGCGPEFAVVLAQLLTK H257_12680 MYHQLCSTVVGSTVMGEIVMVKNVMGARVVRPLVVVSFGRFLTL CIMQLTCIATIFLGVKGLDTMRLPQSVTFVDKAPFRPLLPYPHAASMDHGEPLPLTPR GQTGMVLHRILSQEDVYAQPIDIPVAQYPPDMAVRHLGQQVRQAVDAQGARINSVQQA VGGQTQQTYEQLMVLHQQQQLTAQRATSEHHAQGLRAAAVASVQHSDAFEEMPAYQCP MTCFHRDPVPKAPAFNGSTKVQKRRLMDQYESYRREIALANAQRPGGQQIMQMPLTAC MDPLSVERIAYWEIGKASHELTEEDWRNCFLGARECDPVDMTKLCQNMAKLKMDGSIQ SAESQARERL H257_12681 MQHSGKWNDVVPHASKTPQQVVPMPACSSVVIALPFVANTISIQ STSSDLPDSPTGMSMTKDQVIKSSVGFMYLALTLASTRHYLTMLSPVMANDLWWAGFN ASRVQSYLIDEYNVPPLVIKAQTL H257_12682 MDCGQWHHPACIPHCQVVLRHSTPTYGLHTLPLRAARTHSVGDG SVTNQGKPSAHGTWSYLGRDGTTLTGYIRIHPDHITPTHCEVHTLLAGLHHSGDTAFQ ICNNTTAIGLVVLARSLKRRGGQLRYSNIHRVELRSLMALFNPVGAFAGDWIRAHQDS TSATAPWLGAIYSRHDWHAAHASKPDARRTIQPLRLNTGELCKWNLPALSFYWRAICY TLHTNVRKHRIQPRWDPHCRTCPASLDTQEHRFGLTHPQCPTATSLTQDLLLAHKPSY PKHGSQTKISTYRERR H257_12683 MHRLLGPPRPTTLALAATQLHWESIQCRTPGGLLPRCLYHTALR CVPLLAPRDFWFSQWMGGPNGATFQCPLGASPHCTIPRPQHTTTGGSMRLATPSPPPE GSLGHTQADSLPITWLLTIPTGQITLRNPSSIHHVSTPQWYKLTTSNPVPIHIGFLTS GTTRCLLTPAQHHWTEALRPLDATHWLHHPPPTLFSTTPADASTTKPKVLTASTSTHC LH H257_12684 MTTSTRDWASTITHLHAPHFHKAWFSAHWAILRQHWQSTCTTDV ENIRTAGELPLLTEVNNNIRLKRRHHDAASMGHDRRIRARRVHLTAKTLRWHSRRLSL LPPAIPPPIGYADPTLRPPRHPPDPEISPPAV H257_12685 MVTRIALLSSDLLRCIGAYQRGYLQDMAPFATTAAATTTRRLFE EWFATYGHARLPLFLSCLPHMSDTVVLHAVATDCMHLLDTLGLDLDDFDNLVQVAAER GHLQAIVRLHEMAYESGTNDALVAAATNGHLNVVEYLADSHRTNAHQVAHAVVAAAAR GNMAIVQFLAKNGSSSSNARAAFTMASANGHLPIVRWLYEHGTQDWSADAFTLAAAGG HVEVLTYLTQVKPRRGATMPAMDAAAMNGHLNVVQFLHQCRPADGCSAKALEGARLNG HTDVVAYLKLHGDHLIHETCNACGFHKPRDHKCRAIS H257_12686 MDAREVTRAKHAAELEAKKRKLEEIRRRKANVKEAGVDAATISA TSVAAAPFQDFLQTILDEQKQKDLDVTMTNESSATTVIPGLSFAEKMAKLSTVVQVGP LDILPIQVETYDKATSMDPDDFPPLSPRHLSVQDDSISHEPEPANSPVQATSAGTSPR KFTSDLSAPPPVRLTKEEREQLLLSADLDAFLGKSGRVMERALIQASSFDVMKDYSVD GADADDDGGAAASSQALKLAHVYRDAKWTKGRAVTDIDVSPFYHELSLVAYNARGYLE DDDDQASWDVMHEDGGDAEGVVLLWSSNLPSHPEYKFTCHSQVMSACFSPFDRNLLVG GTYSGQVVLWDTRAKHTPVQKTTLSATGGHTHPIYSMSVVGTKSSSSLVSASTDGRMC VWNMNQLHTPMDVLDLRVAGPSSNGIAATSAATSAAGRRMAVPVTAMAFQRPSQNPTN AFAIGTESGDLWGAQLDELHPSSESKAREVLVSALPGLSSSHFGPVTSMQYHPLVPHH QDVLLLTSSVDWSCRLWSQKGGDKPIMSFEPANDYVYDIRWSPVHPGLFCTADGSGKA SVWNISNDSEVPVAEVQVSTERALNKVRWTADGKRLLVGDSAGDTHVYDVPSEISQPR PDEMARLESKLSQAIARTTDVYMG H257_12686 MDAREVTRAKHAAELEAKKRKLEEIRRRKANVKEAGVDAATISA TSVAAAPFQDFLQTILDEQKQKDLDVTMTNESSATTVIPGLSFAEKMAKLSTVVQVGP LDILPIQVETYDKATSMDPDDFPPLSPRHLSVQDDSISHEPEPANSPVQATSAGTSPR KFTSDLSAPPPVRLTKEEREQLLLSADLDAFLGKSGRVMERALIQASSFDVMKDYSVD GADADDDGGAAASSQALKLAHVYRDAKWTKGRAVTDIDVSPFYHELSLVAYNARGYLE DDDDQASWDVMHEDGGDAEGVVLLWSSNLPSHPEYKFTCHSQVMSACFSPFDRNLLVG GTYSGQVVLWDTRAKHTPVQKTTLSATGGHTHPIYSMSVVGTKSSSSLVSASTDGRMC VWNMNQLHTPMDVLDLRVAGPSSNGIAATSAATSAAGRRMAVPVTAMAFQRPSQNPTN AFAIGTESGDLWGAQLDELHPSSESKAREVLVSALPGLSSSHFGPVTSMQYHPLVPHH QDVLLLTSSVDWSCRLWSQKGGDKPIMSFEPANDYVYDIRWSPVHPGLFCTADGSGKA SVWNISNDSEVPVAEVQVSTERALNKVRWTADGKRLLVGDSAGDTHVYDVPSEVCRMA SWRKGMDGRSCGYRFRNHGQTRWRGWKAS H257_12686 MDAREVTRAKHAAELEAKKRKLEEIRRRKANVKEAGVDAATISA TSVAAAPFQDFLQTILDEQKQKDLDVTMTNESSATTVIPGLSFAEKMAKLSTVVQVGP LDILPIQVETYDKATSMDPDDFPPLSPRHLSVQDDSISHEPEPANSPVQATSAGTSPR KFTSDLSAPPPVRLTKEEREQLLLSADLDAFLGKSGRVMERALIQASSFDVMKDYSVD GADADDDGGAAASSQALKLAHVYRDAKWTKGRAVTDIDVSPFYHELSLVAYNARGYLE DDDDQASWDVMHEDGGDAEGVVLLWSSNLPSHPEYKFTCHSQVMSACFSPFDRNLLVG GTYSGQVVLWDTRAKHTPVQKTTLSATGGHTHPIYSMSVVGTKSSSSLVSASTDGRMC VWNMNQLHTPMDVLDLRVAGPSSNGIAATSAATSAAGRRMAVPVTAMAFQRPSQNPTN AFAIGTESGDLWGAQLDELHPSSESKAREVLVSALPGLSSSHFGPVTSMQYHPLVPHH QDVLLLTSSVDWSCRLWSQKGGDKPIMSFEPANDYVYDIRWSPVHPGLFCTADGSGKA SVWNISNDSEVSCLCCCRLGLARDCRCPWPKSK H257_12686 MDAREVTRAKHAAELEAKKRKLEEIRRRKANVKEAGVDAATISA TSVAAAPFQDFLQTILDEQKQKDLDVTMTNESSATTVIPGLSFAEKMAKLSTVVQVGP LDILPIQVETYDKATSMDPDDFPPLSPRHLSVQDDSISHEPEPANSPVQATSAGTSPR KFTSDLSAPPPVRLTKEEREQLLLSADLDAFLGKSGRVMERALIQASSFDVMKDYSVD GADADDDGGAAASSQALKLAHVYRDAKWTKGRAVTDIDVSPFYHELSLVAYNARGYLE DDDDQASWDVMHEDGGDAEGVVLLWSSNLPSHPEYKFTCHSQVMSACFSPFDRNLLVG GTYSGQVVLWDTRAKHTPVQKTTLSATGGHTHPIYSMSVVGTKSSSSLVSASTDGRMC VWNMNQLHTPMDVLDLRVAGPSSNGIAATSAATSAAGRRMAVPVTAMAFQRPSQNPTN AFAIGTESGDLWGAQLDELHPSSESKAREVLVSALPGLSSSHFGPVTSMQYHPLVPHH QDVLLLTSSVDWSCRLWSQKGGDKPIMSFEPANDYVYDIRWSPVHPGLFCTADGSGKA SVWNISNDSEVSCLCCCRLGLARDCRCPWPKSK H257_12687 MPTTFHGVLLSDDLMLRISRFQQGVPQQVLPLATYHQPTSSIPM SEVPAITSLIHQWLTLHGQHQLPKLLTWCPRVGRLLLIHAVATGQVNLLQVCLSSLVE SAENLMDVAAYMGQLTMAVALVPYTLDDDAIMAAMFTAVSRGHLNIVEFLHRQLPSKS PQLHMPHLMDVAASNGHLSVVRFLHFNRHEGCTTLAMDQAASRGHLDILDFLHVHRQE GCTSAAMTLASTHGHVPVIQWLVEHRTRMAWRWSDAISSAAANGHVDVLGYIYAIKPR AGWTKQAMDVAACNGHVDVMRFLHRHRKDGCSEAAEALAAANGHVEVVAYLKEHGDEL VHCVCCACGFVKPRSHACAAVARAAMRRRVCVK H257_12688 MAIVLRDATEADVPLIYDFIHELATYEKLAHAHVGTHEDLRDTL FRHKYAHVVLASVDGAEVGFALFYFNYSAFLSKPGLYLEDLYVRPSVRGQGVGTTLMK HLAALALAKGCRHMNWSAIDWNTPAINFYVGKTVGATLMNEWKMFRLQDDSLTRFAAT TTTE H257_12690 MVWAIVGHQYTQDTKFKDVHVRLTQAFAELVACSIKGCIHMADR QLNKLAGYIMEQQEVDASDSDDENSQNTLFF H257_12691 MAIVLRDATEADVPLIYDFIHELATYEKLAHAHVGTHEDLRDTL FRHKYAHVVLASVDGAEVGFALFYFNYSTFLSKPGLYLEDLYVRPSVRGQGVGTTLMK HLAALALAKGCGRMEWSAIDWNTPAINVTSPGLRCDDR H257_12692 MSVLATSLLAGLLQGYHSTKPILLSCRRILSTTTATLRRRTGCH VPHTVQSSLNAWHPASLVCHFDYVVDTLPAKHIVFGTPIFRQPLMLEALKADKPAAAT IWHPTTNLHYAGKTSKVSELRFCIRGADGCTSFQRDLNSPPPRQQQLSHFGG H257_12693 MATVPQRETSTLEDIHGALVAERSKTAYASGIRQVVKWIQQTNQ ADALLSADGSINLAAFSYEDFVRFIVWTMQNTAVKASTMSGYRSAMRNYYKMQKTTSV KDSGKRPFFKSKTDQDGSKRRDPRHIYANPLQTHTCAFLALGLYLACNPMLAAGALFP GSSQRTRFGKGLKLALIEDNPVGSSEIGTHSIRKGAATFVSSGSTGGPLLVSICLRCG H257_12694 MLRFVVLGAVALWGLHLAYAQTALPKLTPLSTGCRDVDVVSCKM NCASQYKDDSRFTCPCYRYIPPIPPLPITPPCCHFQSKMGECLVRVGCSFVQRKQVMT SCTRKGYCKAGYCTYRVGDFTPRNGVRAEIFAKSAISPPGSPCVDGCCPDSRGKTCIE DPALKVLREPSRAYRNEEVQLPPRHGDPRLSVNQNYDLMPYSLPLQNLPPVDANFMLT FRQVEDTKVNNGAVGSTTRFTQTTLSNSTNN H257_12694 MLRFVVLGAVALWGLHLAYAQTALPKLTPLSTGCRDVDVVSCKM NCASQYKDDSRFTCPCYSKMGECLVRVGCSFVQRKQVMTSCTRKGYCKAGYCTYRVGD FTPRNGVRAEIFAKSAISPPGSPCVDGCCPDSRGKTCIEDPALKVLREPSRAYRNEEV QLPPRHGDPRLSVNQNYDLMPYSLPLQNLPPVDANFMLTFRQVEDTKVNNGAVGSTTR FTQTTLSNSTNN H257_12695 MTRWSASTPPSTLQSAQPQPSPQPSQPPHHMQTPPPPPPSTCLH VALLSGITVVFGFACVCAVLVGTSISSTVRIPPSHEPNTTAHIHVDAWLDAFRFASAF SCNVHLVVAIVCLVIAMVAASCPSIVTLPRSKLAFCVYLAMLVIAVATAVVGFASVTI VNDWLLVKYPSTSATDNEAQVAHGYHYALCTRKFCAASATTLDSYFDLKLANLSNGQT SIQDVCTASVSNSSFPPLVRQACTACTNEPDDTAATSDLLNWMADVCPGDVPTSTTTN TTSSTTPPPSIDAFCGLVLTDRDLAPIPSFASPYKRCRRPLLAEWIYYAKRVAGGSTI LAASTVLFMAVLCRRPQQTYPLAPPIANVGSTHYTTMNRV H257_12696 MDIPDTDPTLVAVLPDTSTPMAMELPQYIVDFQVKISTPLSKME WREFALTGSMLDVYNLDKKKTHSFRASEVTIADMSSEGLYSLDVNGQRQLVLRVSSQS RLTKFQHVLRLAATTSQWTPPVADVLTSLLSVATDIVEAHARSPTKEVNVSTVSIDHV QAHLADMHAMYQLQATCSTMEQVYAHLLDIEADYCRNVHTNNFAHTVYKLHPVQYARH VTEQLSNESPPSARPSIKALLATCPHSRCGQPLTLHDMYNLHVLDEAIVCARCTNTMS IQAFQIAAFIAEAPQFHIRRDIRNNPQNLLVVTPGMPQSGRVQTFLAELVRRISAVMS TAVADALASLHAEVAAQVERHFGTAFGSFHVDLVLAMVRQLDFVNKLCPHMEYWTTPV VIQASMTRYHKYLHILALYRIQQRKKLAKKHKPKQPHTRKLITGLVATSDIDLIMQAH QCYDACNYHKMVTRHDNKDHLHNEEDDLSAVVGPGIYEDLDDVDKQYADTFLLWTSTF NEPYSSFAPSYAAWSSGKLFPHFAKTKWRRMCRVPSYDCRFVGVDEAFAVEALPFATV VPDEMAVAASKTPVPDAVAVYLAVIGTPGMDTRVRLAYSRHQFLLGNTKSAAMRYMTS RSGFEEAKFGTMGGSMGIFGCDAGMSMMTAPPMG H257_12697 MEWSVDDTDEGGVLHVIVTTSLTLHTLRDAMAIAAAIPRRHSVT RLHVSHPHTTLSMQLLDAVVRELGRVFSHCACLEWSLIGAKNVPSLAWLAALWRDVWC LAPSTPRQIRLHLDSHHVTHDVASLDLLQPHLDGLYIRAKATMPTLVPQLGSLTMLTE LCLAKTFSSWNRHVLASFLTKLAPYPRSRKQHTYLPYLTTLSLTNNMFNADDVVGLVT ALRYHDTLRHLSLAYSLPHMTAKTGQWISAGLLHSPYSNLQSLNLSGLSIHQDAAHAM IGCHDLSDVAPPPPNIAHGVPHSPVPRGLYAIPATILLQHHGNWQISSHSSSTYNVEV VCSTALDVAVVVPGGGIVWLDRYKFPMQQLCPDVKQQAHKDKHMRELVLDDLTSANVV VPLLTSFPNVRSLQRLRLRRYGLTTDELREVLRCCPLLVALDLQACQLSDVSPLIEAW RSPPYPSVLQRLNVAKNVLGLVGATAIFQSLAECPHLQVLDISHNCIGSSALDTLHDA LQDNHTLQTITIDATPEGDALSKDHHGTPLHVLPLSVEVQQACFHALYRKLEHQHMLD PSVVRSIFQFAAPTVYRRVRQVP H257_12697 MLTELCLAKTFSSWNRHVLASFLTKLAPYPRSRKQHTYLPYLTT LSLTNNMFNADDVVGLVTALRYHDTLRHLSLAYSLPHMTAKTGQWISAGLLHSPYSNL QSLNLSGLSIHQDAAHAMIGCHDLSDVAPPPPNIAHGVPHSPVPRGLYAIPATILLQH HGNWQISSHSSSTYNVEVVCSTALDVAVVVPGGGIVWLDRYKFPMQQLCPDVKQQAHK DKHMRELVLDDLTSANVVVPLLTSFPNVRSLQRLRLRRYGLTTDELREVLRCCPLLVA LDLQACQLSDVSPLIEAWRSPPYPSVLQRLNVAKNVLGLVGATAIFQSLAECPHLQVL DISHNCIGSSALDTLHDALQDNHTLQTITIDATPEGDALSKDHHGTPLHVLPLSVEVQ QACFHALYRKLEHQHMLDPSVVRSIFQFAAPTVYRRVRQVP H257_12698 MHKPVAALDLQERVSYVSATKSHKVNGDYVDGKTPNDLEDGALR EGGAPVYTSPEVLALLAQYFAVGLMYGSLPSVPYSVLVQYFHLQGTQFTSAKALIGLG WSLKVFVGMLSDVCPILGFRRKSYMILGWLLCAACLLVLGVIDHGDPYDGARDGGKLN PENVAANARGTTVGLLCALATIAYILADVPADAMVVEYAQREPEHVRGRMQTLIYGVR TVASTITTALMGFCLNSERFHSTFSWDMGLNAFFLVLAVPTFVVALITYFFINDRKTT DAVVWAEYKAQFWGLVQKRCVWQLMIFNFAFNLFAGYITTTAAPYVAQTWAGVENLNS SIMGIVGSLIFAGMLAVMGKWGTMWNWRRWLVVTTLAANAIDAVVQYLTIYDIVRDQW FYLGVPLAEQIPAGIQFIITTFAIVEIADVGNEGIIYGLLTTCSNMPNVFGAMITNIY CDQFRVSDDDIARDDDDAKHQVAYTYLVYYGTTVFACCWVLLFPTQKKMLQEWKRDGE KYPVVGASALIIGFGIMVMSITSNVMTMFVSTKCLRFAGGHGCD H257_12699 MHSRFTLIVSLLAIATTVATADTNCTSWMLSKQLSVPPCPRPAR LLLKNSSVLCLPPCIAAITAVAASQSSIQDGKALMTSPNGQTSAERGELPLLTEVNNN IRFKRRYHDAAPMGHDRCIRARRAHLAAKTLQWHSRRISSLPSALSPRVGYADPPLRP PRLPPDPGIPPPAA H257_12700 MMDGSRSSSGHHGRGPRGIPEEDDSALSPNTVSEATPLLPPHVQ IEVSKSLKENQDKWKHLMDIDASNNTAQQMADDIDVDIEALKFFDDKEFPRTQHDMSR SLSLSNIMYSPLSHDTKTASVDVNKFMVAAAFIEDGIHGRKIGYRIDAQALRMVRWFH STWYRYLFNVVGIGCCALAFVEDGQARPLDVWSLVELLCLLGFSMDVYYRYAMSSDKT KAQFRQREPWATLRFVLLVVTFAEMGLCAAGVSIVQPRYTRIFRPFMVIARRRNIRVV FASFLRALKDVAVVLALTLCVVLFFGLMGFLLFADSSVILNVPYFATLGDSLYNMLLI QSCLPVMMAVMLPYYVQSQWSALYFVVFVLFTNFFLVKLTIAVSYRRYKRNTEKMLYK RLQKRKIALSKAFELLSDDPLDEDTPRTITLDAWLNVCRFLKPKWTSEEAEVVFYSSD VQQTNAVDFTAFIQLSSVLVNASVSRRHRRPSLFIQDMKKWQTRTRNFLLAQTTVWGY PVIYMEVFVGFLICLSVVQATQVNNYALTNSLNHTWRLVGVGLLSLFTVEILLKLFAF GSTEFFNRPFCQFDIVVAVVGWLFYAMTSLVPAFPVVFYDLALAVRSLRVLKLLNLFP PFHSILWTMNRIIPLIGQLFLVILSVVYVFAILAQANYGQVLATFPDSLKANASAWYI HKEEFQLDTFENCLVTLFEEATLAGWNSIMDALFVVTQSPHTLMFFFTYRITISNILL PIFVGFLVESFSSNQKPAESEELASTETLKVLPASTDAPPTVQKRVKYKMSFQRRTSD VQSAMFDFSSKKIQQDQFERKVKELNLIVLAKNEQLAALRAQVDELMQAQATAHVPTS H257_12700 MMDGSRSSSGHHGRGPRGIPEEDDSALSPNTVSEATPLLPPHVQ IEVSKSLKENQDKWKHLMDIDASNNTAQQMADDIDVDIEALKFFDDKEFPRTQHDMSR SLSLSNIMYSPLSHDTKTASVDVNKFMVAAAFIEDGIHGRKIGYRIDAQALRMVRWFH STWYRYLFNVVGIGCCALAFVEDGQARPLDVWSLVELLCLLGFSMDVYYRYAMSSDKT KAQFRQREPWATLRFVLLVVTFAEMGLCAAGVSIVQPRYTRIFRPFMVIARRRNIRVV FASFLRALKDVAVVLALTLCVVLFFGLMGFLLFADSSVILNVPYFATLGDSLYNMLLI QSCLPVMMAVMLPYYVQSQWSALYFVVFVLFTNFFLVKLTIAVSYRRYKRNTEKMLYK RLQKRKIALSKAFELLSDDPLDEDTPRTITLDAWLNVCRFLKPKWTSEEAEVVFYSSD VQQTNAVDFTAFIQLSSVLVNASVSRRHRRPSLFIQDMKKWQTRTRNFLLAQTTVWGY PVIYMEVFVGFLICLSVVQATQVNNYALTNSLNHTWRLVGVGLLSLFTVEILLKLFAF GSTEFFNRPFCQFDIVVAVVGWLFYAMTSLVPAFPVVFYDLALAVRSLRVLKLLNLFP PFHSILWTMNRIIPLIGQLFLVILSVVYVFAILAQANYGQVLATFPDSLKANASAWYI HKEEFQLDTFENCLVTLFEEATLAGWNSIMDALFVVTQSPHTLMFFFTYRITISNILL PIFVGFLVESFSSNQKPAESYVVMSLSST H257_12700 MMDGSRSSSGHHGRGPRGIPEEDDSALSPNTVSEATPLLPPHVQ IEVSKSLKENQDKWKHLMDIDASNNTAQQMADDIDVDIEALKFFDDKEFPRTQHDMSR SLSLSNIMYSPLSHDTKTASVDVNKFMVAAAFIEDGIHGRKIGYRIDAQALRMVRWFH STWYRYLFNVVGIGCCALAFVEDGQARPLDVWSLVELLCLLGFSMDVYYRYAMSSDKT KAQFRQREPWATLRFVLLVVTFAEMGLCAAGVSIVQPRYTRIFRPFMVIARRRNIRVV FASFLRALKDVAVVLALTLCVVLFFGLMGFLLFADSSVILNVPYFATLGDSLYNMLLI QSCLPVMMAVMLPYYVQSQWSALYFVVFVLFTNFFLVKLTIAVSYRRYKRNTEKMLYK RLQKRKIALSKAFELLSDDPLDEDTPRTITLDAWLNVCRFLKPKWTSEEAEVVFYSSD VQQTNAVDFTAFIQLSSVLVNASVSRRHRRPSLFIQDMKKWQTRTRNFLLAQTTVWGY PVIYMEVFVGFLICLSVVQATQVNNYALTNSLNHTWRLVGVGLLSLFTVEILLKLFAF GSTEFFNRPFCQFDIVVAVVGWLFYAMTSLVPAFPVVFYDLALAVRSLRVLKLLNLFP PFHSILWTMNRIIPLIGQLFLVILSVVYVFAILAQANYGQVLATFPDSLKANASAWYI HKEEFQLDTFEKYVYFKYILIYIL H257_12700 MMDGSRSSSGHHGRGPRGIPEEDDSALSPNTVSEATPLLPPHVQ IEVSKSLKENQDKWKHLMDIDASNNTAQQMADDIDVDIEALKFFDDKEFPRTQHDMSR SLSLSNIMYSPLSHDTKTASVDVNKFMVAAAFIEDGIHGRKIGYRIDAQALRMVRWFH STWYRYLFNVVGIGCCALAFVEDGQARPLDVWSLVELLCLLGFSMDVYYRYAMSSDKT KAQFRQREPWATLRFVLLVVTFAEMGLCAAGVSIVQPRYTRIFRPFMVIARRRNIRVV FASFLRALKDVAVVLALTLCVVLFFGLMGFLLFADSSVILNVPYFATLGDSLYNMLLI QSCLPVMMAVMLPYYVQSQWSALYFVVFVLFTNFFLVKLTIAVSYRRYKRNTEKMLYK RLQKRKIALSKAFELLSDDPLDEDTPRTITLDAWLNVCRFLKPKWTSEEAEVVFYSSD VQQTNAVDFTAFIQLSSVLVNASVSRRHRRPSLFIQDMKKWQTRTRNFLLAQTTVWGY PVIYMEVFVGFLICLSVVQATQVNNYALTNSLNHTWRLVGVGLLSLFTVEILLKLFAF GSTEFFNRPFCQFDIVVAVVGWLFYAMTSLVPAFPVVFYDLALAVRSLRVLKLLNLFP PFHSILWTMNRIIPLIGQLFLVILSVVYVFAILAQANYGQVLATFPDSLKANASAWYI HKEEFQLDTFEKYVYFKYILIYIL H257_12701 MEDQGANLVRARSERDQLQAQIDALPDGLVKTHMLAALAALEAQ LQPTTPGASNNNGDDPLISRMKTQAVLVKQPQDALVAVVHFMMQEAGFVHTDNTHKDT SLFLPPHWDMHSDQGMFVFQYTHPYAPATTYTLKALFVGRRTLAVHVTSNDQAVYSLE LSVPMYIRDSASTLAGDAVQQSAALRRQWTGFSQAFRPKEPPAVVPTSGDYNEADSDS LRLPDRRPVPAPPLYPNVGGGDAFPEFLGPPNPILGRRDPGMEVGPGHPLFGGQVGTY GPVPGARFDPYGPVGPSHLFRPQPGRQPRGPPLFGGPDNDHLPMPGFPNRLNDDDMFS H257_12702 MFPFFGNCIGALDGTHIHATPPRDARLPFRNRKGFMSQNVLAVC TFDLQFSYVLAGWEGSASDARILQDALSAKGFSIPDRKMYVQRNCIERIFSVFKKRFR ILESPPEYPFDTQVKLVYSLCAMHNVIMELENDPHFLEKVDEAKKKRDRKIQRRKDRR RGRRNNAPTRVVEDHTAEAGAVRDSIAAAMWHQYTNTLQSRQ H257_12703 MPRISSHSSLAPSRRPRLQRLQHRFRPNESNFPSSTRWLASHVD AAHSAAEPWRPRLRQAWKSPHQSQHQQIACRACEDSPFVFLVEEEWLHSSWRLIRRCL PKVQHTAEQFELVVVSQSLCWSHPREQCWVLPFLGALSKTDRRRRNPPTVLPGNEIEG TV H257_12704 MSELITYRSSGYDDEPPRSPQPSTDDDDDMVMYRRDLDESFAVL EAISIAKPHRNNIPKHDGEVAALKPSIVHCWPLLFGLLYVAGAGFGVSKLVKAGWIDP EYGNATYAQYREDSVLGESCRGVDHAVPWRELKRKLKRCRRHEYYDPMYDDCHACPAA APDDKIFAVFWETQNDCTRLVEDLSTRYVTHVMWSFAEPLEDGTINTKLQFWDDDHIR DCIGQLRMRCIKSMIAVGGASFRERFLPLKSPDNLARFKATAVQLVQLYDFDGIDIDD ETGNMVATGGNWLKSHGPTVVSYLTALREGLDAVQHPDEPRYLLSWDEFPYAWDPPQP DNANYVGCIRYTEGEDGWHRCYEPRISNLVDFVNVMFYNINGGDGVYRAVIEDTLPNK AAAVIPKNKIVVGACCGMGCVTLQPPGQEVFNAGNGSAYYKGTMLWSSTIDILYENSS STNRMGRAGNYGVKMPFRMPPP H257_12705 MKTTFLGFTTILTTIQLATAAECTLDQSSLVLEASMAVPWANCT NKGYETATSMMSLLPSDPAKFAAFCAIPTCVANLNSWLMSFPDCSVQGVSQKDTMSKL AGLVCDSGSTTGGACSVSQLMSVSQVLVAPVPATCASATGIPATTTIMGLLNQSTTII CDAPCIAAVKSTAAALPNCTTDGKSVNDATFYVKLCTSSASSFGLTMVSLAVALIGAM V H257_12706 MSNNTVREPLLPVGATAKAVPPYGSPANTRDAYTRKLGYVPLIF QRMVNFVTTPRKMDRATTHGDAEASEFPHHQQAQAAPSPSSSSVFIPPPGSIRGSVFN LMGATLGAGALSLPYAVAVSGVGFALAQLFLAAYLTIYSISLLMRAGDITKLKSYEDL AMYCFGKRAELFVEVCILVFSFGIAVAYLVTLGDLITPLGLLFFGPDSLGSSRPFLMS IFCGAIMLPLSLLKDVSSLQFSSMLGVFSIVFLVVAVAIRSGMRIHDDGLPTSLDWGM NLSHGPNFMLSVPIVMFAFTNQPNVFSIFTELQRPCIRRMSKVVNCAAYASLWIYLTI GLVAYVAFGAVLAEPHVKGNILLSFPMSDVLIALARAAITFTVAVAYPLNIFPCRFSI DMMFFAQAKESLVRHVVVSTALVVGSLVLAIVCPNINVIFGIIGGTCSSVVCFCFPAA FVLKLEPGSVFSRAKLGPLVLLVGAVIIGAVSTGVTIWSNFYVTTNAQ H257_12706 MSNNTVREPLLPVGATAKAVPPYGSPANTRDAYTRKLGYVPLIF QRMVNFVTTPRKMDRATTHGDAEASEFPHHQQAQAAPSPSSSSVFIPPPGSIRGSVFN LMGATLGAGALSLPYAVAVSGVGFALAQLFLAAYLTIYSISLLMRAGDITKLKSYEDL AMYCFGKRAELFVEVCILVFSFGIAVAYLVTLGDLITPLGLLFFGPDSLGSSRPFLMS IFCGAIMLPLSLLKDVSSLQFSSMLGVFSIVFLVVAVAIRSGMRIHDDGLPTSLDWGM NLSHGPNFMLSVPIVMFAFTNQPNVFSIFTELQRPCIRRMSKVVNCAAYASLWIYLTI GLVAYVAFGAVLAEPHVKGNILLSFPMSDVLIALARAAITFTVAVAYPLNIFPCRFSI DMMFFAQAKESLVRHVVVSTGTNDDDEV H257_12707 MNQPEEPELVSAFPAPPAFVSLYADGPDAGPPPPPPLKPTYHSF GTPYSTEDAVPDLIPDDKKLYATDHNVKDEMKKVNRSLMYSFLELVDVLILNPTKFNA KLDDIEQLFLNMHNLINAYRPHQARETLIDLLKQQIQERKDATAEIRQVVSKAREAVL TAHTALDTSFDQAAKGDDVVDGNADTPASVASIGGSSSDIPADEVADLAAAAALEEAR QRIQDDQDQFFATCQAIVDAMAGSQSI H257_12708 MSGSMHHIMSHELKGLETFIAQGVAALPSLTSDASIKSAHKTCS TYHAKCSGLLREVLEHMAHYSPKHCKLVAEIWDVASVNVLLLLKVCQASVQEKLQVKE DFAQFNRFSQTCASDQSAHVAALVATVDALKGELRETKAEMQTMQRRLGRAVFEKERL EKILDRVTSAANGSTVDPYDSDEDDEMEAQGFLIRHGDIMQYHEVTPLESVVDDLDKL FMAIDQETGGQLTTLGNLDRYMDSNLVSILWKHQATTHRNPFVEKMFCLEAKATQTDD LSNTHGDDDTDRQSELDESLQSPRMRKKILAIPSCIRSLLDTVPKVHKMLAKHSLGHT ILTLYLRKLDNERTKPNLSFALSIREFFMFKFGLKSLTDFHLVELVKSVIYARRKLDN FSARYESDKSGCNLAWDDGRIFLFGRFLNIFPDEALCSYLPDEGFATMLDFLGDVLEL DPTVPSLQSVLDIDGPVMVTREVVVFVWKTHFGYMAPDVLTKVEYDLNEHDRDHASSV ELDWVLSYVLFQWSNAELELDATVRRAFREVLMATSGTHQSNLLLQMDGFVSAVQQVW PDCVDHDMQHLYMDMMATKRENFRLASERRRKEKLRAAKTTHVAFTTVLGIDGVFEEE FVAQVGKVLRGRRVKWGIRTRGQLLWHAAKGTWVGGYGKVIANATFQENMLKPGTKLA H257_12708 MSGSMHHIMSHELKGLETFIAQGVAALPSLTSDASIKSAHKTCS TYHAKCSGLLREVLEHMAHYSPKHCKLVAEIWDVASVNVLLLLKVCQASVQEKLQVKE DFAQFNRFSQTCASDQSAHVAALVATVDALKGELRETKAEMQTMQRRLGRAVFEKERL EKILDRVTSAANGSTVDPYDSDEDDEMEAQGFLIRHGDIMQYHEVTPLESVVDDLDKL FMAIDQETGGQLTTLGNLDRYMDSNLVSILWKHQATTHRNPFVEKMFCLEAKATQTDD LSNTHGDDDTDRQSELDESLQSPRMRKKILAIPSCIRSLLDTVPKVHKMLAKHSLGHT ILTLYLRKLDNERTKPNLSFALSIREFFMFKFGLKSLTDFHLVELVKSVIYARRKLDN FSARYESDKSGCNLAWDDGRIFLFGRFLNIFPDEALCSYLPDEGFATMLDFLGDVLEL DPTVPSLQSVLDIDGPVMVTREVVVFVWKTHFGYMAPDVLTKVEYDLNEHDRDHASSV ELDWVLSYVLFQWSNAELELDATVRRAFREVLMATSGTHQSNLLLQMDGYMAHFSVYM H257_12709 MTSQGTILQWVQHQRKPSTVGTKGTPLGASKNPGGRSGSSTEYE MPTKRVKRYDQSSSELQSQSPLETRKMIKDSVHGCIYLEPLCMEIIDTVHFQRLRHLK QLGASYFVYMSATHSRFEHSVGVAHLAEVMLTQLRLHQPWLDITDRDILCVKVAGLCH DLGHGPFSHVYDGIFMQQLHERGLDYPAMRGWTHEQGSLDMLNALLVEYRIDVTAYGL EAIDLDFIRELILGHPVGKHSAKLFTGRPTKPFLYEVVNNAKTGLDVDKLDYFMRDAQ YTGAKASCDTHLLLSTMRVLPDATTGVLTMCWPDKMAEQVMKVFRTRYDLHQAVYQHK ATRAIEYMICDILLEADIADFRIRGARIAQAPLDMNAYKCLDDRILALIETSDDPKLA KSQAILNQIATKPLYKWAGTTQVTAHSKARTPLQIKLEIAAMGSGGGVNPTQLIVEMN SVHFGQKHKDPMHAMRFFRKHATTSATCFQLHETTYAMHCPKYFMESNVRLFVRDVRY AEAARRAFAMWCSHQNMSTVYPQEA H257_12709 MTSQGTILQWVQHQRKPSTVGTKGTPLGASKNPGGRSGSSTEYE MPTKRVKRCEQTDDTDGEAGSSQESNMLDPPLFDLGIPCVQSIDTYTMVDDQSSSELQ SQSPLETRKMIKDSVHGCIYLEPLCMEIIDTVHFQRLRHLKQLGASYFVYMSATHSRF EHSVGVAHLAEVMLTQLRLHQPWLDITDRDILCVKVAGLCHDLGHGPFSHVYDGIFMQ QLHERGLDYPAMRGWTHEQGSLDMLNALLVEYRIDVTAYGLEAIDLDFIRELILGHPV GKHSAKLFTGRPTKPFLYEVVNNAKTGLDVDKLDYFMRDAQYTGAKASCDTHLLLSTM RVLPDATTGVLTMCWPDKMAEQVMKVFRTRYDLHQAVYQHKATRAIEYMICDILLEAD IADFRIRGARIAQAPLDMNAYKCLDDRILALIETSDDPKLAKSQAILNQIATKPLYKW AGTTQVTAHSKARTPLQIKLEIAAMGSGGGVNPTQLIVEMNSVHFGQKHKDPMHAMRF FRKHATTSATCFQLHETTYAMHCPKYFMESNVRLFVRDVRYAEAARRAFAMWCSHQNM STVYPQEA H257_12709 MTSQGTILQWVQHQRKPSTVGTKGTPLGASKNPGGRSGSSTEYE MPTKRVKRCEQTDDTDGEAGSSQESNMLDPPLFDLGIPCVQSIDTYTMVDDQSSSELQ SQSPLETRKMIKDSVHGCIYLEPLCMEIIDTVHFQRLRHLKQLGASYFVYMSATHSRF EHSVGVAHLAEVMLTQLRLHQPWLDITDRDILCVKVAGLCHDLGHGPFSHVYDGIFMQ QLHERGLDYPAMRGWTHEQGSLDMLNALLVEYRIDVTAYGLEAIDLDFIRELILGHPV GKHSAKLFTGRPTKPFLYEVVNNAKTGLDVDKLDYFMRDAQYTGAKASCDTHLLLSTM RVLPDATTGVLTMCWPDKMAEQVMKVFRTRYDLHQAVYQHKATRAIEYMICDILLEAD IADFRIRGARIAQAPLDMVRA H257_12710 MPVSRELKNKKNDHKDRHSRGRAGSGAEPKKGGAGGHNWGSVLG TSEADAPGALDRGDPNYDSSDDGKLHA H257_12711 MPQSKEDAAGMDDTPLGIHDDMETHPLYRNLAHIKTKKVKELEF YKRYAYTLQRQVWQGRQQQRRRKSSLLPWEEVARALQDDTLDRVRDNRRLEQQVEANK RLCSVLKPWVRHMAMLESPSKPPNSFQSCWRHAHLFRGDDAMRRIGSRWLLQHMYYNM DHAMEEAAFPNLTDPFLDISRSDLSSGTCLWHPSLSLGSSGRQTMDFELLVTQQFVVE FPLAMTADGVWAANRTFAQFVLKQDFATNYHDLTPKGTEDSNDVEYAQEEVGTATQRM SLNWIQGRFNEPHRTAILVKTIVDDAFPLAENAWTMYFHHWIVLYQLTDSTTLVRVQY TVHQPASADGFVPLSQVAQYRSVGMGTTDDVAARLVVERDIRSHTQQRQLFPIHLNNV LHSLTKHKLETTGSSVEMGW H257_12713 MQALFSFVGLLKLVLRERERKKYAFVVYLVHCLHYLWAAKRPTL THQDTSTTRQILRSCRRLGISPCSGSYLQTHLQTLMVALSVTAPTITYDRQLLTLSDR GTVSLDWAIPTTH H257_12714 MDTYRDASSAAYIKHIAIPTLCVSARDDPICPHTVIPYDECRSN PNVVLCVTHSGGHVGCFTSDHLLDDKPGMWCADVIAQYCYGMMAKEQDNEIEASVLLV HPPEQRLERPVKLDDGHCTSRVLPPYLEWARGGDTSTWAS H257_12715 MVEVCVVKSPADFQDWWVTEMNAGYQLYLCVLLLFFSLVIAMPL ARLTFFLLHSLTPDRWKWPGEARTMILWETYWLFRVGLFCAALQAVRLTTLLCQWPLW IFGVPLLLWLNKAGDVIREVAVRRGSAKTLLFEITTVVKILVLCMTFYFLYILVFPGI ADDILRGFYTGVCVLFGLALVPVVRNISGSQLLLINPTRPADDDIPTTTSNTSSRTTY THHKRHVHLDHAPTGVLQDAPIGYVLTTCDVLSKIYVPAGKSMDAPMVLFQETPWWPL RLHVELPLSTSAKSIRLFIQLVDAMLNSTNPTEAPRAQHNESPFGATRTTTSTLHRRR LPTTSTHQTNHKIMHSALSSFFRTPATTAESSSHRQVDERRWRVLMEGPWVVTIQGQV FAGGYPLYRQALSEVVEMVMVILQDYGVGGVVRE H257_12716 MPSNTGATHAHTDAILMIVDKTLARRRYFREKQREHRRKVYADE AFVKAQYEHLQSVLDGLQAGRPSSVAPREASDGPLSWHSVATVFKREAHRVLKDRQSL VTQTQAYQSLMHAMQRFVMLNIPPPMSRSNDAWHSATLMADPRARNLGKEWLTQQMYH NIHEPFALLPAVRNEDEFVQYDFQASDEHDDSFTWMQRVQFTWPGTMQMFRRVVETNM QAVVQEMTSNTRLFHTITPKGAFVNTLQGHFVEANRFIVVERQVEHDETYVCHPLQLQ RHDMSWTEVRQVSPTHILVRSVDRVSHIFRPATGFLSVDELAALVDIDLKGMEDDQKD ALVRREVIRRSYAGYLSWRQRFMDLMHQRATN H257_12717 MNVGYEQPKNDFMIMGYTVEFPDGKKPFAAQFAVMNKVLLALKK EQNALLESPTGSGKTLALLCSSLAWQRQHATEVAGRNRANVDAFVASKLREAQAKAAR DEQVARLSAEQRLASHALGDPIRPVNVTSSTGGASQPLRPPNLDLLDQSQLLQDTDRL NSELMMVPAFYSAVDRPQKEFELACMILRADMLRGGNANRITLQFQGWPPKTYMLDDS TGHIQPVVVEPTTSPCTDQPIKPSPSPLVKAEDKGHDDNEDDDDLMPMSFSQLEKQPK PSRTRVTSQSKYGAKFPPESPELNILKGLVQGDGNASPFLPFDLAVAGAAAVAPPGQA VAVAAPGAVAAPGAAVAVAATGEVVAVAAPGQAVAVAVPGAPGAVAVAAPMPATMDAV KKEKVPQIFFCSRTHSQLAQVIQELKKCPFASRIKMTLLGSKKHYCVHPRVRKLEGGN LTDECADLLDSMTCRFQTKGKQRNEIRLHAPPVWDIEDMVALGDHLKECPYFYARSQE DIAEIVFCPYNYIIDPQIRSSCSITLKNAVVIFDEAHNIEDVCRDAASFELHQASLED SAKILTTALQNPNVSDSKKHDLKPLLKLINGWNRWLTNVKPTLKPMGYEYETRQYSGP DAAAILSEYCGLTPESLDFFKMAMEAVMTDENIPEGEFAPDETTKKVKLGMATVMALS SILNVADYLFRNKMQYINDFKLAAVRQRGYGRRSGDWEYKACVWCLYAGVAFGDITSQ VRSVILTSGTLSPMTSFAGELGTAFPITLEANHVVNMHKQVWLGSLVQGPPKHRDFSA TYANQQDLTYQDALGDLVLNLCHIVPGGVLVFLPSYRFMQLLSDRWTTTGTMAKMEAI KTCFVEPRGAGKDFDALLDEFKTSIHHSRQPNATKTGALFLAVFRGKVSEGIDFSNDN ARAVVAVGIPFPNIKEQQIALKQQYQNERSQYDKSCAPGRVWYEHQAFRALNQALGRC IRHRLDYGAIFLVDSRYRNDRYTGQLSKWTRGHCQEYQVAEEALEHVTHFFGRVGIDP DLQGHVEAANKLAAPVAAMARKIPKFGKPTATSTGGWKPKKPKTMHSFFPHAN H257_12717 MNVGYEQPKNDFMIMGYTVEFPDGKKPFAAQFAVMNKVLLALKK EQNALLESPTGSGKTLALLCSSLAWQRQHATEVAGRNRANVDAFVASKLREAQAKAAR DEQVARLSAEQRLASHALGDPIRPVNVTSSTGGASQPLRPPNLDLLDQSQLLQDTDRL NSELMMVPAFYSAVDRPQKEFELACMILRADMLRGGNANRITLQFQGWPPKTYMLDDS TGHIQPVVVEPTTSPCTDQPIKPSPSPLVKAEDKGHDDNEDDDDLMPMSFSQLEKQPK PSRTRVTSQSKYGAKFPPESPELNILKGLVQGDGNASPFLPFDLAVAGAAAVAPPGQA VAVAAPGAVAAPGAAVAVAATGEVVAVAAPGQAVAVAVPGAPGAVAVAAPMPATMDAV KKEKVPQIFFCSRTHSQLAQVIQELKKCPFASRIKMTLLGSKKHYCVHPRVRKLEGGN LTDECADLLDSMTCRFQTKGKQRNEIRLHAPPVWDIEDMVALGDHLKECPYFYARSQE DIAEIVFCPYNYIIDPQIRSSCSITLKNAVVIFDEAHNIEDVCRDAASFELHQASLED SAKILTTALQNPNVSDSKKHDLKPLLKLINGWNRWLTNVKPTLKPMGYEYETRQYSGP DAAAILSEYCGLTPESLDFFKMAMEAVMTDENIPEGEFAPDETTKKVKLGMATVMALS SILNVADYLFRNKMQYINDFKLAAVRQRGYGRRSGDWEYKACVWCLYAGVAFGDITSQ VRSVILTSGTLSPMTSFAGELGTAFPITLEANHVVNMHKQVWLGSLVQGPPKHRDFSA TYANQQDLTYQDALGDLVLNLCHIVPGGVLVFLPSYRFMQLLSDRWTTTGTMAKMEAI KTCFVEPRGAGKDFDALLDEFKTSIHHSRQPNAT H257_12718 MMLAQVFRRCISIKSSRLTPEEITAKTKRHFKQPVKLSSPPGKT SLSKGLPSVAITKNANVGAVDDRSSTPMRLAKRLAMAGVSSRREAEKIILEGRVVVNG AKVNQVAVNVTFDDVVTVDHKTLAARPSKRRVWIAHKLAGELVTSSDPRGRPTILQRI KAMGVESHLMAVGRLDFNTEGLLVLTNDGDYARYLEHPKHAVQRVYRVLVWGQVLPSK LDELRRGALVDGVKYRPMAVKIESTTKDKETWLQVKLTEGKNREIRNAMAHVRLVLKR LIRVEYGPYRLADLNKGNVLEVHPKPIDV H257_12718 MMLAQVFRRCISIKSSRLTPEEITAKTKRHFKQPVKLSSPPGKT SLSKGLPSVAITKNANVGAVDDRSSTPMRLAKRLAMAGVSSRREAEKIILEGRVVVNG AKVNQVAVNVTFDDVVTVDHKTLAARPSKRRVWIAHKLAGELVTSSDPRGRPTILQRI KAMGVESHLMAVGRLDFNTEGLLVLTNDGDYARYLEHPKHAVQRVYRVLVWGQVLPSK LDELRRGALVDGVKYRPMAVKIESTTKDKETWLQVKLTEGKVRKRFCNILWTCIWFVV PYIYIYIYIYYTVSWIRCVFVGNDSVSLRLK H257_12719 MLDLTCVVVGDGHIFSAQIDADETVHDVKIAFTNEFIHGCQADA VELYRVEGATHGAGTQVVFNGTPVDASTCTLATFGGSTTQMVDGSKVSSYFDEANAHD AQGVHILVVAPGAVVQPGALKVRRTTPSSSRQERWDTLNAILEDKLGMTGVGVVAFSS VKWLDVKDVFEPTPYTQPSIELPPENLDFLARYLKMASTCLGPISEGNEAQRVHLIAP ILFCVCSLFDGDVRITTEKKMHGRDVKAQGRFEFVLRGGKKKNVCIVEAKSTDLWQGM AQALLGCEVQAEVCNLHEVFGIVTNYTRWWFLRSLDDKIEKETCSLVIEGNVPTSASL RTITGKIYALLSED H257_12720 MKIIHGCRADAVELYRVEGVTYDKGTQVVFNGTPVDASTCTLAT FGGSTTQMVDGSKVSAISTRPMRTTLKGFTYWWSPRALWFNLERSKQARDDGVGVVAF SSVKWLDVKDVFEPTPYTQPSIELPPENLDFQARYLKMASTC H257_12721 MYGDFAHTLDLYRVNGLTADEDGRCEYHGATIDMTNCSLETFGA DKKVMPAAYWVSYFFNQDDEPIDRKVHVLVVLPDAVVPRVAPADPNMPLQVLRRSRRG PIDNGPAAHNTSSIAFAEVTWSQVRRVFQPTPYIQPYKPLPDAQLASLAQYLSVALKC LEPITHENDAARVHVVAPILVCVCSLFNGDAKIQVDEYVKGVYVKAHGELEFVLRRGD KTVCITTVTKDNMSAGMAHVLLGCEVQAELGHLDQVFGIVTDCLRWNLLRSLNGVIEL DECTLAVGPTGPDLASLGIIAGKMYAMLSDD H257_12722 MTENLPAMIPSDAKSGRLVEVAKYSLHLTSQKHMRHALLHIFFR YRRNAHSLPPGRKYKLQLPMRLDIFPLDVFDHLKLRVEIEQGADTDKDPGDHVNPGSD GPLLD H257_12723 MDVVGSAANVMQLSAAMDTAVHLEAVVYVLLGAGLMSVYVYVVS RVDRMMQRKQFADRMTTYGQALREDRRIRAERLHRMEAILEEDSYDERDVDDPGNDIA LVRMVLS H257_12724 MQVSFLALLWATFVAMVAFADVVPLSFGVGCDHGRYPFQMQRGC FKPAFEREIDNGGDSFIIVRFSDFNLPPKDYVLLRSVDTGETVKLSGAEYHGAFDAPS VSGSRLRLELYTKTNLVGSYTTKSPCTGFSVVGYTSVLQSPPTHEAVCGGNVERTQEA ACFNYSPIMNARSKAVARLVINKDGALTGCTGFLLGSEGHFITNNHCISNQDHASKTR FEFMAQAMACPSKVGDLVCDRQLACPGDVWRGNAKFMYTNEYLDYTIVQLDRAVVAKY SYLKLRMAGPNIGEPIYAVQHPQAWGKRITDKTAWGKATVQSTTNLEASYLLDTRPMA SGSPVLSSTDHAVVALHHGSLTANKCPNFGIRSDLIANDLQALNMVPWTAFT H257_12725 MVIHVIALLWCTLAVTGHSSFVPERRTLGCTNVAVSSSTFVISS NPYSSAFLALRFSAFSLAPNDYVVLRSVDDSTIPRVYLFANESLGIPFDAPPISSTRV EVSLVVAPENNAHSPSSCVQVSGYTSELARPPTNEAVCGLDRTQEAVCYRMSAPTMFN KSNAVARLVINKGGVLTGCTGWLLGSEGHLVTNNHCIEDASQIVGLRIEFMAQTSKCP QSIQDKNCMRQLACVGDAWRGDAAVFIYTNKALDYTLIQLRPSGNHSPGNNNNNVATK YGYLQLRATGPEINEPIYIVEHPQAWGKRISDKTATGRAVVTGLNAFEAEYYLDTQAM SSGSPVLAVEDDAVIALHHAAYSTCPNLGVKSDLIVADLVAQNLVPQDAVLWKPRSTG I H257_12726 MEATLRKKTSVKDTTGTINNNHVLEPLQTLHQYAELLDKAHHHY NQGKYKDGVIVCEQLYEADAARTDNLLLLGALHFQLRNFSEAIFYNQQCIRIDPHFAE AFGNLGNALKEIGDSQGAIQFYLRAIKLNPRFADVYNNLATSYMQLGATHEAIETYKM ALVLDPCLVDAHSNLGNLYKAQGLFEDATTCFTNAIRVKPTFAIAWSNLAGLLKDEGQ LDKAIDHYKEAIRLAPDFADAHSNLGNVLKESGQTSAAIEAYKTAIALRPEFAIAHGN LASSYFDANQVELAIATYRTAIQLEPNFPDAYNNLGNALRDIRQLEQAISCYRTALRL KPDHPHAYNNLGNALKDKGMIKEAIHCYMTAARLMPRFAAAHCNLGSILKEQGKVEQA LAHFQEAVTIDPTFADAYSNMGNAYKDLLRLDDAIACYSTAIRLKPSFADTYSNLASA YKDGGRMEEALTCFRKALSLRPDFPEAFCNYVHSLAVVCDWRSRTEVQ H257_12727 MGFHGSMGAEYMQYIVADKIVLPVDVAAVGYTEKVLYMPQSFFV NDHKQSALSVLDVDSISPSRSTYGLPEDQFVFCNFSQLYKLDPAMFGTWMHILKRVPN SVLWLLRYHHNELVETNLKAEAKAHGIRETRLHFTAVAPKEEHLKRGYLADLFLDTAT SNGHTIGCDILWSGTPMITQTGHHMASRVASSLLLAVDLPELIADTLEEYEELAVALA LDMDKLWELRKKLEASRTTCPLFDTTRWVRNWETAMLLAWSGHDGGMPLDHIDVPDIE DLVAC H257_12728 MLYVSSNFGNHPLSHLMQSVFGLHDSKRIEVTCYATSSSDQSQW RRKIEADAEHFKDLSAMTTGDAARLIHNDGIHILVNLNGYTKGARTEIFALRPAPIQV SLMGFHGSMGAEYMQYIVADKIVLPVDVAAVGYTEKVLYMPQSFFVNDHKQSALSVLD VDSISPSRSTYGLPEDQFVFCNFSQLYKLDPAMFGTWMHILKRVPNSVLWLLR H257_12729 MATLPPPDEARFKRIAKQATADLVRNALSLPNLKLLSRVQHKAT SRHAVIYGGHDSTDPSLPMVGAHTFLRSSLTDLADLFQLNTPAKLDAYGATLGSRITA KQTLFSLTSTEHPAATSSASLAPHCEISWFAYNPPLPGMSKRDYCVLESHTDIEVLDQ SNHVRRGWVRCLHSIDDVAWYPPVPNMVRASIARTGLVCIETDTPGLLEVYYVLVPVL TGAAWNVATKRMAKRQVEKILRLEQFLSYQHLDYGRAISASVVSVKNTTKCCARCTKT FHWLRTKRQCHRCLDALCSSCGSNWSVRGKKLFVCHDCFHPSLQDPAYDDSLRGRQMT ISITQAKVDGLLEAVATPDVLRDDLDSDSERYTNDTTSGVDWDDEMNLVDDAKLLQLL CNRDALGKLCQQYKLDR H257_12729 MATLPPPDEARFKRIAKQATADLVRNALSLPNLKLLSRVQHKAT SRHAVIYGGHDSTDPSLPMVGAHTFLRSSLTDLADLFQLNTPAKLDAYGATLGSRITA KQTLFSLTSTEHPAATSSASLAPHCEISWFAYNPPLPGMSKRDYCVLESHTDIEVLDQ SNHVRRGWVRCLHSIDDVAWYPPVPNMVRASIARTGLVCIETDTPGLLEVYYVLVPVL TGAAWNVATKRMAKRQVEKILRLEQFLSYQHLDYGRAISASVVSVKNTTKCCARCTKT FHWLRTKRQCHRCLDALCSSCGSNWSVRGKKLFVCHDCFHPSLHDPAYDDSLRGRQMT ISITQAKVDGLLEAVATPDVLRDDLDSDSERYTNDTTSGVDWDDEMNLVDDAKLLQLL CNRDALGKLCQQYKLDR H257_12729 MATLPPPDEARFKRIAKQATADLVRNALSLPNLKLLSRVQHKAT SRHAVIYGGHDSTDPSLPMVGAHTFLRSSLTDLADLFQLNTPAKLDAYGATLGSRITA KQTLFSLTSTEHPAATSSASLAPHCEISWFAYNPPLPGMSKRDYCVLESHTDIEVLDQ SNHVRRGWVRCLHSIDDVAWYPPVPNMVRASIARTGLVCIETDTPGLLEVYYVLVPVL TGAAWNVATKRMAKRQVEKILRLEQFLSYQHLDYGRAISASVVSVKNTTKCCARCTKT FHWLRTKRQCHRCLDALCSSCGSNWSVRGKKLFVCHDCFHPSLHDPACMY H257_12730 MWRTFSAGQRRLPRVSSCECTRRAFSDAPASGVLGHEHLLQGLT EADDMRPVTMVQDKQSAKRVLDILESLGPGHMHACDTEVANIDVKKVGPVGNGNVTCL SIYSGPDVDFGNGPYVWVDNLDSADGTLEYFRGFLESKTHKKVWHNYSFDRHVLFNPS TRINVQGLGGDTMHMARLWNTARFQKGGYSLEALSADLMERRKKPMKELFGVPKLKKD GTPGKERLLPLVEELQRFPEFRERWIRYSAYDAECTWFLHKVLQHKLQDTTWHLETSA DGVVSRYTMYDFYVEYLVPFGECLTDLERKGMHVDLPYLAKVERQALDDRAALEEQVR QWVSRYVPEAHRMNLASASQKQQLLFAPFSNPHKNIELPVERLFDVDNIEQVVENPEK QSKPKKKRSIAIRGLGIPPVQFTASGNPAATADALKELAGNPLATPPQYGRAFDHFED PEEGAAACQALKKMYDMSSMDTMINNFILPLQELADADGRVHGALNLNTDTGRLSSRK PNLQNQPAMDKDRYKIRDAFTAPEGKLLVVADYSQLELRLLAHVTQCQGMIDAFKAGG DFHSRTAMGMYEYVLKAVEAGDVLLEWDSTKGKPPVPLLKDAFANERKHAKILNFSIA YGKTPFGLAKDFNVSRKEAAATLERWYADRPEVKVWQQQAIETANKFGYTRTLMGRYR MLPDAKTESKGFSQQKAKSHAERAAINTPIQGAAADVVMRAMLNIHRDEQLRAMGWEM VCQIHDEIIMEGPADCAKEACARMVNLMENPFEAPLSVRLEVDAKIESSWYKAK H257_12731 MKKMVAQQVEEVRRLYKNKRQLTHQFLNLGMVIISALMVWKGLI GLTVGESPIVVVLSGSMEPAFQRGDILFLDNNRPKLEIGDIIVFKIKDREIPIVHRVL DLHTRASDGRDLYLTKGDNNNVHDRGLYAPGQLWLERHDVVGIARASVPYLGYATILM NDYPALKVLALGVMVILAFTQRE H257_12732 MQSTTPPPPQLPSMACRPLSKHEHSMYMNICTSSCQGLIENALS LARSPVSSTLHCGTTMRDATIHRGRDVVDMSLVALCAVTKLEATLSEVAAQYALLPTD QAMDSPHMVDMERRHRSILGSHVVARKQLYCLGGATPAMPLHYAGLVWYVHAASLPLC ARRDFCVLELGM H257_12733 MTNVGRVCKLRSYSERSSHMSSTCSSPHTRSMTLSCSDAQKQVL QQLASPQHSDIGMCEKPTSSMDMWQIGHDDEFSVVMHDLKRTLDQFEAENMLPKALER LAWATTI H257_12734 MDYNLKPRTSQSSGQLFIETDVPGMLAAYSLAVHHYGSVLVPTV KLIKRDVATVLKLEKELATQRVLHRLRAVVPQTKAVQSNIPPRSAKCQQCHRSRCWFR SHRICVLCGEVR H257_12735 MISTFHVRRFSTTDTLFDDAVDAPLSELSITNLFVWPLQRITYT RLLFCAGYVTVTLVALAAFVALVGLSAVLLPCVAMDAALRYRGQGWHHVRWPYAALTI AVLDPLVFCASWMHNLMCPKPLRISLKVHGGDDDDDDGLFAYEQSPIVPNRRHVPPFS CTLKTQWNSTDDVRSATMYFLAVRPALCGFAMAWAAYIVANVSALAQAGGHWKDLGIF TLPQAFRAQDSLGTFALAMVLDFSVIVGLRMCVVRVMCWATRRFCCEHHVHPAWMLRT SMTEVSVTMEPFA H257_12736 MKFFVYVIAAVVAIVSSQTLKGQSPIDLPASAKPVANTGNFSVV LHTASAVMSHEGYIVKATWSGGPDSHLTLNGKVYKSLQLHPHAPSEHTLGGKQYPFED KNLAVVGIFFDLDPQDKPNPFLTQVFSQFDQLTKPGDNFTLAALDPSSLYMSESNVFR YPGSLTTKPFTEGVEWNVLQKVHTLSKAQLKQWSNVIHLPNARELQALNGRVVTLLRM DC H257_12737 MKFFVYAIAAVVAIVSSQTLKGQSPIDLPASAKPVVNTGNFSVV LNTASAMISHEGYTVKATWSGGADSHLTLNGKVYKSLQLHPHAPSEHTLGGKQYPFED KNLAVVGIFFDLDPQDKPNPFLTQVFSQFDQLNKPGDNFTLAALDPSSLYMSESNVFR YPGSLTTKPFTEGVEWNVLQKVHTLSKAQLKQWSNVIHLPNARELQALNGRVVTLLRM DC H257_12738 MRDRVSTTPLVTSETLYNASSGGDDDTQDDKTAPGSARKRSAAS TKLDEWGDINARAYELKKEQLEFTHHVEHQKLEMDRQREARLVEETRLNVRLLTIQAD EAHWKYKLARDMAEIEGCIRKIQTRKDLKERGWSDIDIDLACPM H257_12739 MNGISDKNLAVVGIFFDLDPQDKPNPFLTQVFSQFDQLTKPGDN FTLPALDPTSLHVSESNVFRYPGSLTTKPFTEGVEWNVLQKVHTLSKAQLKQWSNVIH LPNARELQALNGRVVTLLTKDHSVC H257_12740 MNGDRGIPITTPMTVTKPVYRQYLVNKVIPAIQAQWPSNRCGPV FIQQDNPKPHVGLDDPQVMEAGSTNGWSIRLTAQPAQSPDFTVLFLGFFNAIQNLQHQ TSARTIDDLIKSVQDAFTDLPWRVLDKTIMTLQKVMEESIKLQGVNVYKLPHLRKDVQ ENAGVRELHPSCDPEVVAALEALESRLADEDLVDEMAELFKTSSDFAQVENIVVV H257_12741 MKFFVYAIVASVAIVTGQTLMSQSPIDLTAYANAVANTGNFSVV FNTASAVVSHEGTTVRATWSAGPQSHLAVNGKVYQSLQLHPHAPSEHTIGGKQYPFEV HFVHSDKDKNLAVVGIFFDLDPHDKPNPFLTQVFSQFDQLTKPGDNFTLAALDPSSLH VRNSNVFRYSGSLTTEPFTEGVEWNVLQKVHTLSKAQLKQWSNVIHLPNARELQALNG RVVTLLTKDHSVH H257_12742 MKDLTSDQRRAVVDHLLLRIVKGPCKLQRGLQCCLREVIMAAGD NSYKVPHMKKEALKKSGKPPESVMCSEDVFETGHGLLADQDMALVTREPSLQTATDLE MSNILTALEKVGIDVDDADE H257_12743 MDHLDDTPYEAYGCYTQHKAADARRIRRQRHPPFQARLAHDQFM KTYHKAWLDTYVDGKVGPYMSLLRLCQSFSARHRFLQRVPCYMKLPELDMVLIQNDFA AAFWNKRWRHGSIQLTASHLVARRNPVVTSGEKRLAMIKRSIKGFLPTRSRSP H257_12744 MEYNTNSLHNPAQTSDDSLNALYEEPGAYAVNDAKPWTASAGTL MKSWTDIASDLKANGRC H257_12745 MEEAVPAPQTPPPRRGPYKRIPLSAKRRVVAAHDAGQDWKSVAR ANGINESTAKGWLMLDSLTPKQRGGYKLRKLSHQLVDTMEAWVEFDCQITLAELKARI QVDYDIVISETSLHRALEERVFTYKDLHYELLQLNDESFKNKRSEYVQQLREHIIQGK VPIWIDETNFNLFTFRTKGRSKKNTRAKFVRGCSQKGKNLHIIGAISATNFVFCIRRR GALKSLHANEWLKTMLRAANEHFGGLADVLVIAVNAPCHSRRSRVSRRFVLKTRAVLS DVEPDREYVVGVKEPH H257_12746 MTYSHPDVAPTSEYHSLPRDVWWQRTMQDKTGSLLLAPTESTSP PQKLSHQLVDTMEAWVEFDCQITLAELKARIQVDYDIVISETSLHPQR H257_12747 MQRANIDRAREALDAMHKEMNATNSLKRDRARKAHNKKRGMQMA QFVVGDYVLYQDVWQHHRANLRTTWCGPAFMTAVTSSWLYDVKNLITGDEREAHVSRL KFYADKSLHVSEDFKEHVAHNSEGYEVEAIVDARYVAAKKDYEVLLKWRGLNDVENSW EPAATIKEDVSMAKAYFTLE H257_12749 MLFLGAVFVLYHRIGSPSNYDGVISDSYQHRVAQRYEILAAQGR G H257_12750 AVTSSATWDANFQGKKVVIVLDNAPAHSQTEQRVQPHEDLVLLR LGPYSPMLNPTESCFSVLKAHIKRFLASRTNLLFERREFNSFLESRMRLLEEAARESL PCITQSLVIRKAMFCQRNVEKALRFEDMSYGM H257_12751 MSTINNNKQVAYNTEDRQWDARINVQDDAYLQSIIDNIVLENAR GKFKYILIGGVEVGTRPNQTEYQVKHIHVAAIFHNRESKASILKNWDVIEGNGYYLVP RNRDLPYQGWKDHHTKEFSKVSSDKKDWILFEEGELPKDQGQGIKRKGPVLRSESEKK MKTDEVIIDMRRMIEEGKADEAFETYPRNYMIYGERIKSMVHQKKKAFFGKHTDPHLY LHGFPGTGKTSLLQFIYGNYYKKNLENRFWDLYDEEVHTHVMLEDLDSLVLDRLGVQF IKTICDEAGFAIDQKYKAPQLTRATILVTSNQDIDQLINCCDEVKLIESTKAALKRRF YQLRVDQLQRLLGLKLIPAYDRKMLKKAGNEDPSKLYMDYDYIQDCPTGLPIKTPEYY RQVIKDKYYQ H257_12752 MKRACPTEEAPSVSHGILQVDLLERNIELLRKENEQLKKFIRQT QERSRYWEGEYFNKVIN H257_12753 MPPSATVASLEAVKMNCFYYLRMQKSLIRSLFGKVLPNAFLIQL PGTIINPDLNLSDVWARLELEYAQSSLDVSTTLYQ H257_12754 MAGQPTGRELSYAKKMEASLLVEQSHSLPPNSTSTEPPSPVVSA GRRYTHRTRTVAIATLDNALHKRFSVVALASSLCCPTTTRQNVSHFAVRTFIPAVLSA RDTPTSGPPEAPVFDDMWDLVHLDEKCFNAERNMRKVYLTESEEHEQRTWSSKRFIPK VMILAAVARPRHDDERGTNFDGKIGMWPIVQYLPAVLNSRNRPTGTIMPTLVNVDTVV YSDYVITRVIPSIKATAVVFNWCPQWKQVIPSPPIR H257_12755 MTGFMLKLRDDERAVTCTHLVNYLKRNYREWLAEYLQDKRCGYK THLRLLQTFCARHGFTRQRLTKSKKR H257_12756 MLHDISSTTLPPHPRQDQKPNHVPYLKFSGNKKLFTTWKSRIVA HLNSITDEKDFECVDKGQRPYLMPYAVWLQFRPVVSQALVTALPSEYFGSSVIQTHDG FKLPVIETMHKQIFSNKSSKDIAAMSKLTVMGERKRPIADNEVKKTKVSSYTECFYCY GTANVNGTSHMKATCLLRISDEK H257_12757 MDAQYDLHDLHDFSYKEVMKVTCDEDATVAWCLKVGLLKNVMLC PKCDGAMTMSVPTKRWRCRRSSCGDVQRSIKADSFFAKSKLPLTKAVRLMFDWASRKS VSVVTKEQEVSPTSAGDWFNFCREVCSVEMLTCEMKST H257_12758 MTALLPSTKRLIDGLFSASALARTHLSRSITLVESSLPKDRVQA ELLLDHVLAQRKLHHAAKTASFRVGIAGPPGAGKSTFIETLGLSLTQKGHKVAVLAID PSSSVTGGSILGDKTRMTHLSNAPDAFVRPSPTRGTLGGVAQHTNGSPSYSPTPCADE YAEIVLLCEACGYDIVLVESVGLGQSEIVIDDTVDFVMLLVPPAGGDELQGSKKGIME IADIVVVNKADGELKSAAKHTAVDYMHALQLVRRKDPAWKPVVKMCSAREGDGVEGVW DVLTEFRQLMASKMEAKRSKQASKWMWNQLTEELLLLAKKKAAAEAKRLAPDLAHGYI SPRSAAHHLMDAIFKDTK H257_12758 MTALLPSTKRLIDGLFSASALARTHLSRSITLVESSLPKDRVQA ELLLDHVLAQRKLHHAAKTASFRVGIAGPPGAGKSTFIETLGLSLTQKGHKVAVLAID PSSSVTGGSILGDKTRMTHLSNAPDAFVRPSPTRGTLGGVAQHTNEIVLLCEACGYDI VLVESVGLGQSEIVIDDTVDFVMLLVPPAGGDELQGSKKGIMEIADIVVVNKADGELK SAAKHTAVDYMHALQLVRRKDPAWKPVVKMCSAREGDGVEGVWDVLTEFRQLMASKME AKRSKQASKWMWNQLTEELLLLAKKKAAAEAKRLAPDLAHGYISPRSAAHHLMDAIFK DTK H257_12758 MTALLPSTKRLIDGLFSASALARTHLSRSITLVESSLPKDRVQA ELLLDHVLAQRKLHHAAKTASFRVGIAGPPGAGKSTFIETLGLSLTQKGHKVAVLAID PSSSVTGGSILGDKTRMTHLSNAPDAFVRPSPTRGTLGGVAQHTNEIVLLCEACGYDI VLVESVGLGQSEIVIDDTVDFVMLLVPPAGGDELQGSKKGIMEIADIVVVNKADGELK RYDRYLPSYSICSMVEMYIYIYIYVHGVALPSTLRSITCMHCNW H257_12758 MTALLPSTKRLIDGLFSASALARTHLSRSITLVESSLPKDRVQA ELLLDHVLAQRKLHHAAKTASFRVGIAGPPGAGKSTFIETLGLSLTQKGHKVAVLAID PSSSVTGGSILGDKTRMTHLSNAPDAFVRPSPTRGTLGGVAQHTNEIVLLCEACGYDI VLVESVGLGQSEIVIDDTVDFVMLLVPPAGGDELQGSKKGIMEIADIVVVNKADGELK RYDRYLPSYSICSMVEMYIYIYIYVHGVALPSTLRSITCMHCNW H257_12759 MEGTSPSVAVCGDRRSCSKSMNGGRWTDEEHERFLAGLTEHGRE WKKVASKIKTRTSAQIRSHAQKYFAKLAKDSGGTMDSLSDDGNLSDMDSWCSVDTNNN KKRSMPSPDEVLRAVSPSARQSLDDAEVCALQVLAWYATAQPKRLCLAKPPPPSPSFA FVHIQST H257_12760 MQAPRQLDEVQVATACGAVLHAPADYVTSDHIVAIVVILGVSLS GALLPVLAARVSLFRKLMPYVRLLNSLGVGVIIATSLVHMLPPGLQALNNPCLNLDYR GLAIVLLVLTMLSMQILETEMVVLLSSTEMASDLNESDLEGPILSPVPHSAFPSCHPS LSFQDQPNPHHHHHHHHHQPGAIRDPLAKQLNVILFECSVAVHSIIIGVEMGVASGDT FHTLLTAISFHQFFEGVAVGSSSQGAFSQFKTSVFVALGFAITTPCGIFLGILISGSY APTSAAALWVKGILHSVAAGILLYMGVVELLTYQFTVNADFHAKARRDRWAHYACILF GAGVMAAIGYWA H257_12761 MAVERTFLFTSESVNEGHPDKLCDQISDAVLDACVAQDPNSRVA CETCAKTGMVMIFGEITTRATINYEEVIRKAIKEIGYDDPAKGLDYKTVNVIVAIEQQ SPEIQAAVDGAKDEDIGAGDQGIMFGYATDETPELMPLTHVLATQLGAKLTEVRKNGT LDWVRPDGKTQVTIEYKQIDGRVIPQRVHTVVISTQHSPEVTNEQIHIDLMNHVILPV IPEQYLDDKTVYHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDST KVDRSAAYAARWVAKSLVHQGLAKRVIVQLSYAIGVSHPLSVHIDSYGTVKEGLTDDD LIEITNKNFDLRPGCLQRDLKLKRPILQKTAAYGHFGRDHEDFTWEHVKELQL H257_12763 MAPTSLSDRQREQGSDKEGKIGLRERVTMSHARVKEGPFRTHDL AYPNGRNLQRHQHHMWHSTEFYKSQGCPLGEVTLPPLLGLSCQHLHPATHHWATMIKN IPAAIQASWRLCVSHAYVGTVEPTECPFRVGDFVLRRCLVAASNDGRIPILSPSSCML GIKEFAHV H257_12762 MNGVLSSVTWGTWDLESPTYVTRNGEDDDFASAQGSKKERRRRE KGVPRLQTQEMAWFNQLLHDKIEPLKVLHYLPNPLAATTMTSVIPWDDLPLGIHPKDG HLPPQRIQNKRHQVDNLAVFLRRLLRDGDRVVEFCAGSGYVALPLACLFPKCHFVVLD MKKPSLDIAHERINASGLTNVSVFCGKVDEYMDSFDVGIALHACGEATDMVLEKCLES NAAYVLAPCCVGKIKHSSLTYPRSSTLTNVLSRHEYEIVAKAADFGHAALTLTAINAA RRRCKSVVEADRNLRAQEVRRSRSIKLRDGTSPKGTPRPCSSCTRRPPRPRTTSWSGG RRRLRTWKPRDNSSQTAPCTVRCMGTSCHEPCRNPNER H257_12762 MNGVLSSVTWGTWDLESPTYVTRNGEDDDFASAQGSKKERRRRE KGVPRLQTQEMAWFNQLLHDKIEPLKVLHYLPNPLAATTMTSVIPWDDLPLGIHPKDG HLPPQRIQNKRHQVDNLAVFLRRLLRDGDRVVEFCAGSGYVALPLACLFPKCHFVVLD MKKPSLDIAHERINASGLTNVSVFCGKVDEYMDSFDVGIALHACGEATDMVLEKCLES NAAYVLAPCCVGKIKHSSLTYPRSSTLTNVLSRHEYEIVAKAADFGHAALTLTAINAA RRRCKSVVEADRNLRAQESKGYATAMFIMHPAAATPKNDILVGWPASLAHMEAPGQFL TDRAVHCALYGHELPRALS H257_12762 MNGVLSSVTWGTWDLESPTYVTRNGEDDDFASAQGSKKERRRRE KGVPRLQTQEMAWFNQLLHDKIEPLKVLHYLPNPLAATTMTSVIPWDDLPLGIHPKDG HLPPQRIQNKRHQVDNLAVFLRRLLRDGDRVVEFCAGSGYVALPLACLFPKCHFVVLD MKKPSLDIAHERINASGLTNVSVFCGKVDEYMDSFDVGIALHACGEATDMVLEKCLES NAAYVLAPCCVGKIKHSSLTYPRSSTLTNVLSRHEYEVESISKHRF H257_12762 MNGVLSSVTWGTWDLESPTYVTRNGEDDDFASAQGSKKERRRRE KGVPRLQTQEMAWFNQLLHDKIEPLKVLHYLPNPLAATTMTSVIPWDDLPLGIHPKDG HLPPQRIQNKRHQVDNLAVFLRRLLRDGDRVVEFCAGSGYVALPLACLFPKCHFVVLD MKKPSLDIAHERINASGLTNVSVFCGKVDEYMDSFDVGIALHACGEATDMVLEKCLES NAAYVLAPCCVGKIKHSSLTYPRSSTLTNVLSRHEYEVESISKHRF H257_12764 MSSSDKSVAETKRLNDDLEKRLYEFVSNCDDGKGDASACHSYGE WLAVVDKKYGEAAAVYKKNCDTKNYAASCFNYGRLLIAGKGVEMDDFQAKAALEKACN GGHIHGCHHLGLMYLNALGGEKDISKGIEAIDKACAAGEGGSCFRLGSMYLTSQSKYG LSRDVVKAKAYLELSCDANYAPACHNLAVMYKTGDAGVPKDDDLFHKYSLKTKALAEQ NSGGVAGGLKVA H257_12765 MLPEYVKDDPVGYHVSASRPGDGGGYTEGLVKAYNDQHGTVLVR FDDGEEAWYGPEDKLMFLQPSVTSSPKHPPPDNPLQWKVRVASGKQGRVVGYSAPLAQ ILMDSGESVYVNIATHDIQFLYCTSIFQFESTPRHPETEHDPQPSTLPLFAKQFDVTD VRSKLSTYRLILEHCQSSPAWQTKIGSDLKAIENDHRRIHFVFQDLQATDRGLRLAAA RCICALAYENELNQSILSTENGLTVEWLRVFSIPSKFQRLYDDQCKSEGRVPDQEDFI RFLTALVRSNMPTVAASVYKFCDKAAHVPRLWCYPPVAGSVNEWSTIPDPESHLIGFI LTPRAALPKHLTRADIQDLTDLFTPDLSVMTLLKKAQVVQASLASPANRFALYEALKE DPLLELLLEDHPPLAGLLQTFEMEAAISWRDLFGYLSTRIHVDILTKTWGADLMPELL RLFVSLIPVPSPWLFHHSWVWQSQLRATLETSALLNGIHLFFEAFEDTESPVQLVMAL THLRRLEVPPAPKPPGTNPRRKASKGDHRISLAIVSAQEAVRALKAIQAKHHAESSFQ KHLSDVNTITTYVSQNAQKLHDQVLQHAYTPLVLTPLCTNLDEIVSSMQDMKHQTQQD KELAVSTNKERLQDEEKQHQAAVDAKKLKWQLQCDAIEAQKQQKKQATTAKNEKKAAR VRQERQALARRRDQEVRKLEQKVAKIMQSPRHAAEFKPVLKPHPPPKPRIPETPNPPT VTCPSVSSSACRPQSARVVRDRTVAFGNMVSKLHSVEVTMKKEQTKAMWRHVHSENKR FQPPALLSVSNCVAIESKHKSKLLFKSSSPPTSQSNQQPMNLQRAITSLDLPLANEAT CIKLASELIPPAVKPPDIDDDSSNSYCVMAKYNRTLDDDQRQVFKARFSSNHVKLNHR LSYAVQEQYVHMARRNQAWGAFCASSRIYSDDPEQLKRTEFATVAKKVGIVLRDDAEY EAVCRRLDKNLTGFLTWRDFYEWFLDQDLKHAMGVNPDRRSSAEK H257_12766 MDALAYDPEDLEELLYFSGSDSDEHSPEPPPASSTILPPLHFVD MPSRSPYLSASKVSQLSKLGVLNSPFGKYPKQRPSPVISPSLQASPHGKKKMKSPSPL TRFVPEIILPTNMTSPSKLMTFKTNDIIKHGELKLYMCRYTTIQPLFCIPFHETTTLQ ACRILIDDLLMVDAIEYVFVAPTGKSIAVSAEGLFHAHWFYPVLTILVTSVLNQTSHH VSPDKLQQTMAALDQKSPASNEKPWNLSYASFGMFSSKELRMQSAIIQSPMSKKIRKR QQRDALRVSLESPLKSFDGVADAFEHTNNPALDPATVHSILKRSKFDVLEHFSDREVQ RRKNRHIKIQRKALQTALNIQEKVIVLQCWWRTMLSYRALQEKKQAHVAAIRIQSVMR QRSLQLKQLRVRRNSISLNKTRSMKNSANHYVFQAFEGNDKVVVKLQAIQRRRLVQKQ LRVNPDKAEKYAELERRREMVRKSQENFERERALAQLRKLHAKERKERKELNKLALAT RRERAAVAVQTTYRRYRAGKMVLVLRRERKAAIKIQAHLRKNTALTRVETLKLQMRKS SLSGNTTDSESYHPRLFCRRVWFRGAYHVLYSCLSKGHLLMVLHCNANGKQGDAMALE CAFDVDDLKLFGLLPSTMLVRIHELDRLTEGATMALMLSRGQYVLNQQDFHSFRNLSL DARTLLLPNPDMYFGRMYQRCSDSNPSNELLRCFRYSEPTLIYYLAKRVKLGMAHLGF FEDKGVLYVECYISRWHICICVGLQYQEWAFSGHGILALCDLNQKIEISKHMGSRISI GHNGVRVDVRRRLFHIAKKFRLVDDGVPSSATGLFAVYILGQEMQLEVVFADGLARQC SVDAGMLARLGYRKLHQMDMDTVSILSRQLLSGLVIVDHIVQLNL H257_12767 MGGCISTEAFDHAVLVPHKPMAVLHSRFAAQCPVTLLIKQKMWS FSGDDFTIKDIHTGTPYFKINGSALSLRQKKSLLDYSGAQVAYMEEPLLSWTRRQEVY TPQKEKWFEIKPRLTMFSNELDCAAVDSVTGQTLHFGLQGDFIARKSVITCDGVPVAK IWKPIEFVRTQYHVDIAPGVDMALIVLLCVALDEATEKR H257_12768 MSSTTKQVYSEMEEPPMAVPISSDAVGQWKVDVTRASTNLMTDC CPCAPLSDTMSRITKHHKVYGWMLGVVYTAALVAPPLLVITQARSSWAWVAVLVAFLV LAALVVTAARYQVRSFFGIPGNPAEDCFFSCFCMPYTIAQMKAQVEDVPVTDISSLPA KVQGTTWTLPRWVHIMFIALLFSALDKADAKQQHHVYIPVAY H257_12769 MTSVPPPPTPHQLQPDGVSKLEDDNHLQLHDVRFRSHPDVFDVD VVSTQGALPMTISLESHRTKGRWSCHVIDLKVHASKDAHTHTDGWTVVSALQNALVAL QPDSARTCSQSKVDLKHHAASGAMHVLLVVAGHDVDTVLPLGFHFELVPFATVSSADT LDSKMLELIKDMDRVLKVPEPVLFLLSQGIPKPLGTFVFSCWNVHQSLEPQHFALSVD ATELVFLKHGGYHIQIRGLSGHPPASPPPLGAGSSLTASTFELFVDQSKVAMSQGYGN FCQLSYVFTVDKVTAVSVVIRGYHEHHKSVTLVVEQVPSM H257_12770 MWLRRLCGHVLVRPTNASLHRARFSTKEGREEERFFSLLVVNRP GTLAQIAQAFAALHTNVGSLSVQPTVVPELSRMTISASVNEHTTARILRRLRRMVCVT FHHVTTMHQCLVDDACVLQSQLLLRLNVPPYHTTAVAALLAKHSGHVIDDSHNDTDVV PSSSSEVAIEHSSTKTTPLSTTIVHAVNAPHVLNTLVHRLTLLHGVRILDCHTAAPCF LDISSQVTLQPKPLHAATTTAIRPTYSNSRRKLHDRIAAQLFFPQHVPSQLAQPKFIL LLGIPGAGKSSILSELDQSERIVLNDFVNFDVDDVIALLPEFYKAMLNIGLGNVQAQA PTDPHTRYLQCQEEAKFILDANLKQATADRRNVILHGSGRSLDKYELLLRSLDRRYEV HVMCVDIPVELALSRVEARSRGYGRNVPKEFVEDAAVRIRRTFPALASQLPYAHVFDS TKWPPTLVWSKQQHHVVVHEPGHPVQKKYGL H257_12771 MAPSSEIYHQRTNSSRVLVRELDCDPWGITIKYLYNSWMVHQVA SGSPAFMAGLTVGTIIEGVFDASNVCDPVDVVGVLDAFQGRVVYVNVNQPYQSTNHWR ERLAVSSSYLRHRDEFTASHGFHDKAASRLLDWDKRHRWRLDEIMHVTPPNLAKRPDG DPRVTLEDVFQLCLQQPSITSKELESRLKLSPANAQIYLDELVKHTVLTGLVDGAHHC RCRDEAVYKHGLLLIAKKSPNPVATDEVARECGYVPLVARAVVMHLAAAGWVASTTRG HVLVRNGATRTQLGRLRNMVD H257_12772 MAPSSNVYNQRTNSNRVLVRRVASEPWGLLDWDTRRRWRLKGIM QTEPNLSTRPAAPQKPPSEAKPASLQEKPPTLTRSAALTEDGGVVRGVLDDVYQICVD WPAVTAQE H257_12773 MAQSQGGRIRITLKSAVKSTPMVVAPHTPGNSKITETQSLNVIK NLIRVSISEICYLRNLFPDEVFKERVYADMQIKCLAPQENSRDQSMQDAYSITEWLEA GAFDAMEKKYLLQLEFCIYALGKNKTPQNLLECYTYKIKETSNGSTFSTSFTGTQNID SHPEKVKTQAVQMIRNLVSITNTLEPLPKSRYITMKLSYNDLCPSGWQPKYFKELTSD LGESFGESTLKLDVGRMATPFHAISMKLEAAESAFGTGVDAPSREVDISLSQANIVSN EDAKSPAVVSPSPEKTTEGIRRAKPGLPFSQPLQRQTRSPALTKDGLIRYCIQFKTVT IQEIEDKLGYDLTVIKKCMDELCAENVFTNRSDGEYEVMAQQDCYYFDAINLIHGKLR RHISVHTLAKCMSWSIYFAKAILWRMNHDKLIDMEREVAFDGYKVVLEDANRSIIQKA IQSVAEKAAQTTKTPGKKTPQRTSMSKPRPTSSCAYMPILQSNQMAKKRRVMISRVAA TPMSMTR H257_12774 MNGVEGGSGGGPNDDKFVYAEGTVDEKVGFIFDRMKESSFLGDC RNKLKSELKRQLSNMDDDDEDDFHVNLTYMMQRLGLVHAIKTFVMDIHTEGTKDKRDF GKQNAILVTMDPDRRETLDAIEVARKAWEAFTTDALREYVRQTAMPLVVHHQVLAATK ETSETSKPHVASQFLFSSEAFLAALQRITPLNRSPHVPSHPWSSIHPLLRTRDTFEMQ QRYTELSPAHAQYGVDEDVPNIHGDYVYIGGKNKLGDKVLSNGTVPTARQYAKTGSPI TLRPHIWRQALSVAIDPIYFKQLEAKVKASTVVTDGLFMLDVQQTTDSSDYFPFEDHL RSVMLAFSRDEQVASQAVMTLQHPVVFQSNASCSKMAIPPANVMPFHGLVMFVAPLCY LYDDPVHVYFVFRDMYCRYWCQLTGISARPNAILALCKTFETLIHRHIPAVVQHLLQH DIVPLVIAFPWIQSAFSGVLEIDQVLLLWDRVVGYDSLEIIAIFAAALFTFRSHELLQ VTKIDDVKDLFTDALEIQVMPILQQFLFPIYDPQEK H257_12775 MHGSTIYSRSLLVAIIVLTAAAEDALAGPTPLTPYIVAGAGVIA LLLASGVYMTLRRRKRGALTKLCNASTSNSTTKSLIEQPYHAVLNSTKAVVWPTLDLP SPHVFTSMDMGSIVADDPIWLSPTESSNPRVPGSAAETPGSSDHWNNSSTCLSVAQDW PEEGSEVEAPRARDGWGGYLPEDRRLSIEDSYYSARSGASYLTPHTDGTTYCQNPWNT VDYPDTDDDDTHSNVSTEVT H257_12776 MSGAGRKSGYRKGVTQDVLYGEPVPEAGEVIVQVQAMRGSNLFE IVTSEGETGIAMLPTKYRKLIWIRRGDFLIVAAAHGAHKGAVNYSVEHILYKDQIKQL KKQNLWPDSFNVDEADLEPKAADGDAASALGKMALGSTKETSDDLECDSDPMLFVNRN RAGGQYVEEDDSDDE H257_12777 MSKCFFNGCDSEASDGWRCYFHRGRGRCLVDSCHNQAYARQLCA RHGGKRQCRFAGCAFRSRTGAYCTKHGSTRIVSTCSRDDCSNSAQLRGLCVKHGGGRY CKMDGCRTFARTGGYCARHTRILREIVPKEATDIDLNYVHAFNHDNFSSSFVQCHSID NDGVDVEILDIILNM H257_12778 MVATVTSMSLVTASSLSTKPQLVSSNIASSTDSSTAPTLLHDAF RRSISAPTDRVPAQDRRFQRNIHHLRDSLDETSTNLHAAACFDINDDDDGWGWFEDID DVHVVLPATSSTTNNPPSHEPLYASDIVPQHHILKSFPPLVDLLYEPPFASSGFEWLA HLSPRRPQAARLEIRSFRIVQDADGYDRHAEYCIQCWIGEASHSVWKRFAAFKRFAYT LKINGSRRTLRAWSDVLDRSSWFRSLDVSYLHQMCCLLETFAQVLLLEAQTPYLLARF LEAE H257_12779 MNVSALITGHETVGDHTEFVVQISCSGVVWLISRRFSDFDQLHC KLESVFHDSLTVRLPEKQWFGRFDPNFISKRQAGLQLYLDGLLQVPGILDDRSLQHFF EIEKNVELHTDHIRHSSLSIGSTGHLKHISETDRWQLIVEKAEHALIDISEVPEPLEV DQARQKRAEVLAAYAATSSEADGVPNALKTAPASLDTVPVPHAATSQYLAALHDRLDK SLCSKQTIAVPNDDLIAFMPPPVDTIVAAH H257_12780 MEETKYLPLTEDLTVYVPDNDMRYRTLLPPDFDDQLIMEDPMIP FVYTRNFLVMTNQGLRHKHLAGECARPQVTATRRIEDTRMAKIVDMISCTAIQDRNET CCMVRVRWQSVDWTGDTWVLSTRIQNTALLQQFYMGASSSWQTSHLITKMARYKYSSE EKLWDEEPGISKWKREHGIIGYTKPASRASTQPTHPHSTSSSTSVARRSASPRRGAAA ASYSQNRPMPPPPPQYSFHYARYGGPKKQSPTAAELRMQASKQYSVAVLSSKHTFAAD TAHPTTSRTLRDNSHSHRRVEYRSRSTSPSRHRTDRPNSHHPRDNSHHSRDNSHHPRD NSQRNTAGSWGTSTPGSHHPPPRQSGPARTVVKVSQPSDHGEARPRQSSSSSTRKEDD QPTSKRARTSPEVRGRIVGQDRLAVLADRRLKRQHDTAPPPGSAKGGTSEWQIPKRVA PSTTTSTTSTPQTSVEAEVKEDDETAPPSPTGSRGSHAYSPPSSPCPVHRSSSSMPKL PPTPHTKAASTTTSTTPPDSIDPLKPVDPRTKAGSTTTSTTPTPSIDQPIDPRTQPQS KTASTTSSSQTTLAVQGTASTDPLKPKDPRTREPSTASTPASTTSSTPATSEVPSDNP SALLGGSSSCEPDTRANAPSLTADRPIHSPNTKPMIGDFGFKSAQSNASTGWGDLAGS KPSGGWGNPSKRWTSNDSTSRGYGSSTQPSDPRTTPTSTSTRSTSSSSSSTMNGNSTR GWGGGDLPLSGTSRPSGGGGGGGPSRHPSTDSRRTWGGADSTRQRSLDKRPRGSRSRS KTRCRSRSSRSRSRGRHREPPNPPEQKAPEQPVVPSTPPLATTSCPLVAVKPAAPAMW TPYTTPRTSAIQCVCGADAVDDYTGEWVQCWGDACCGYWFHTTCVDMPSSLRPIFQCP SCCSSPTSSPPPPPQSIKRLFDCCQTNSWRLFHSLSSSSTAVDLTSFLAYQPPLLGGC NGLVVAAQVGALAILQDMLHMFSPNQVQSSVNLLNQTPLHVAILNGHLHCVPVLTQMQ PRWHHVADVYSALPLDYLLQVRPAEVLRLLQDKPDLVHVTNPSTGNSIAHAICAIVPP DFASLLAVIPVSQLCSVNHHGQTPAMTLIQSPHVLRHHFELLVQQLASSPDWWTCVDD QGRSVLHFALMYKHPWALEVADLSRFRHFQLLHLAAECALPSAVALLVAAKFSPQQLH GTSRSSGATLGTGWWPILHATSPGCVLELLRVDTGAQLQYLYHQSQAHSTKVLSILNS IASHLPLYDFIQATAAANPHEYLGSRCLFLQRYRKCLRLDLKLTQLKLHVASLIVVPS RAAKRVITVPSERDLWKVLQSAVISTWKCPIQVEIAGELHSSKDTHMWTVLASQLRQL GPHLFASSSRVFELLGKLVGHMVLVGQQLPSAVLPPSFLSTPAEFKPDLALDFKKGLD SVLPNALDNWNGYERFLLLHRVIFPQTMSQWKATKVHYDKPFHAHHPSILAFWTVIEK HLVPQEQLVCRLRCGQPLRITPAPTVGIVEKSVLGIPEAISMDRLHVDLVLYIRGFRK HAKHT H257_12781 MPRYVVYFYHRYVDFRFAEFDAVLKMQGIHDPSTVYAHPPTALD GTTQSPFVQVTLPSDEVAAAISARCVLVKGIYGLLASGATYDDVLQQVLAEQSPLHPA DGTWSFWVDCFGLRLSLQEQDARRLRITSVLDFPGVVQLKNAQFAYWLFEDKGVLTSE VNVKQVIFARQVTATSHDSASTRHLIDKHRLKKRKFIGPTSMDHELSLLMANLALVVP STFVCDPFVGTASVLVACAQFGAMCVGGDIDPQPLLGTRPGINIAANFAQYDLPAPDL LRWDVAHPPLDQRHNSMRLQFDAIVCDPPYGMRESAQSTSVAIGKVTALPPHAILPPL LLFAATHLAPRGRLVYVMACQRRDTNDYAQHVPSHPRLRQLHVCVQEMTRKWVRLFVI LERMEEEGENAEPKTPSEGSRRPIVPLSTELSDVLEDVRGPIKRTCGPSCAQ H257_12782 MNHAMMGRQHAMDTEDAAELNLGEDFQQETCLSNAEVAVILEKQ KSDYESSEKPLTSVFQKTYSYVQRFSGTKDPVANQASVTELREALITYEFTRESPDDP TQAVEVRLEEFEIACLSNLNPEESEEAMALIPSMNKKFSEDDIEEILQIIARTTARMY A H257_12783 MFARSCGVVRRTAASSLMLRLNSTKANVHPPVGDSSGGSKLWLK YKKYLEAYPLTTKCLTSAGIAGTGDVLCQVAFESKPFDVRRFATFTALGGVFIAPTLH VWYGFLNRVVAGTAATAVATRLVLDQFVFAPSFLASFFGVLLVVSPNPDGSTSSLSTR LQDKLSRDWWSAVQTNWVVWIPAQLLNFALVPPPLQVLFSNVVGLFWNAYMSYISYKP IDDDAIPIEVK H257_12784 MATAAKKSSWEVLSQGAEAIVYATDFAGRPCVIKERVVKTYRLR QLDKKLSHRRLVQEARCNFKCRKAGVDTPCIFLIDEAKGRLYMERIQGMSAKQYLYDS YNATTQQYATDALAVCYQIGVAIARMHDADIVHGDLTTSNLMKKDSLSTITVIDFGLA NSQPLPEDKAVDLYVMERAFQSTHVHSEPLVAEILRAYKAKSRRSDATFHKLYQVRSR GRKRTMVG H257_12784 MATAAKKSSWEVLSQGAEAIVYATDFAGRPCVIKERVVKTYRLR QLDKKLSHRRLVQEARCNFKCRKAGVDTPCIFLIDEAKGRLYMERIQGMSAKQYLYDS YNATTQQYATDALAVCYQIGVAIARMHDADIVHGDLTTSNLMKKDSLSTIVHTTFSHP SDDKALRRR H257_12785 MYDDCRSSFCAAPRSKNRSKGEVSARGTSKSANYKTMSTKAAKE SAKGGAAAKAAKHVEEEEVDAPEDDEGDEGDDSVEDEDAEGGDDNEEGNDDDDDDGEG GEDEEDDENDGEFEGEEGGDDDDDDEDHEEEEDDDEEEDEDEKPPAKKQKK H257_12785 MYDDCRSSFCAAPRSKNRSKGEVSARGTSKSANYKTMSTKAAKE SAKGGAAAKAAKHVEEEEVDAPEDDEGDEGDDSVEDEDAEGGDDNEEGNDDDDDDGEG GEDEEVGLAYLYTNFEDDENDGEFEGEEGGDDDDDDEDHEEEEDDDEEEDEDEKPPAK KQKK H257_12786 MEWTIPTIQALKKQTKNDEAQRILERIAEHVLPICTKRRFKVRN LLEFFPKNANLLGMNVNEGWKIFLRLRPASHPTTFLPFEEILGTMLHELVHMKIGPHD ASFYKMLDELTEDMENLMARGLLGATGAAFQDTGDGQSLGGTRVLPSQLASVRAAAAA KRIQHQAIMSTNRLGGASISPSQLRGKVLEAAEKRLRDAVACATVTCTHDVPSSSQTH APNHIKPIVCIQEEYDEQDAIQWQCPTCSEWHSTSRLHICDSVLRKRKRPPSQHVTID LT H257_12786 MNVNEGWKIFLRLRPASHPTTFLPFEEILGTMLHELVHMKIGPH DASFYKMLDELTEDMENLMARGLLGATGAAFQDTGDGQSLGGTRVLPSQLASVRAAAA AKRIQHQAIMSTNRLGGASISPSQLRGKVLEAAEKRLRDAVACATVTCTHDVPSSSQT HAPNHIKPIVCIQEEYDEQDAIQWQCPTCSEWHSTSRLHICDSVLRKRKRPPSQHVTI DLT H257_12787 MAQWRRFAFFEKELLKDQNGLWLRGIDVTSMSGNRGLLVIGDAS GLIHMANRQLETRAFRAHDHFVSHVIMMKKANLLVSIGDGKDPRDPELIKASKAIADA SRTQAQQDEQLFTASDSQGSTALVKIWRTDQQDRDGKPKLLQQIKIFAKFPEEAVTAF SVSDDLAQIAVGLLNGAIILFRSDAKRRSDKPPLLLQPATQYPVTSLHFATKLNVPTL YAATKRGLTCYHCDDSSRSGGMPRSVVLDERGVALHCGAVSEDGDMAVGQSDAVYFYT PEDRSVCFGFDGDKKYLGFFKQYLLVAHVDPRGRHQVNLYDLQNKFIAFNWTLTNTAK GGGGGGGRFGDDAAEEIRHVVSEFGAVFVQSSLGYVYRLLEVDTTSKLDILFRKHLYS IAISLAFSSNYDLTSIMDIFRAYGDHLYQKGDFDGSLRQYARTIGYVEPSYVIRQFLD AQRIHNLTTYLEALHAKAFATSEHTTLLLNCYTKLKDVKKLDSFLLIDDDPKEKKQPL TFDVETALTVLRDNYPTHALTLAKKHHEHSWYLKIQLDRIHSETLSESDQARVLDALT YIEGLSFGEANLNLRKYGRTLVTHVPGPTTELLKALCTGRFHDSTDKADPANFLHLFV SHRAQLREFLEYIVDVETVANPQIGNTLLEMVLGDVGRSPATAEEDAEDKPIIMATNE AAVLALLDNPRVKYDEDHALILMQMHGLKQGKRYLYQKLHMYHMLLQHHMEEGDDLAV LDQVKQHGESNPNLWLLALKYFSDQKDPGHLQTLLSWMMDTSVPAPPIPPLQVVAILS QARDLPLSVVRPYVVSQLKQDQAHIDKEKGEIKKFQQDTAKMRAQVTALSSKAMVFQA TKCDLCSHDLDLPVVHFMCGHSFHQNCISETDRECMTCGPEHRHFVALQSSLQAKASN HELFFNQLETATDGFNTIAEYFGKGIFKPVDDDDDDENRVAESVGSNERFSNEY H257_12787 MPRSVVLDERGVALHCGAVSEDGDMAVGQSDAVYFYTPEDRSVC FGFDGDKKYLGFFKQYLLVAHVDPRGRHQVNLYDLQNKFIAFNWTLTNTAKGGGGGGG RFGDDAAEEIRHVVSEFGAVFVQSSLGYVYRLLEVDTTSKLDILFRKHLYSIAISLAF SSNYDLTSIMDIFRAYGDHLYQKGDFDGSLRQYARTIGYVEPSYVIRQFLDAQRIHNL TTYLEALHAKAFATSEHTTLLLNCYTKLKDVKKLDSFLLIDDDPKEKKQPLTFDVETA LTVLRDNYPTHALTLAKKHHEHSWYLKIQLDRIHSETLSESDQARVLDALTYIEGLSF GEANLNLRKYGRTLVTHVPGPTTELLKALCTGRFHDSTDKADPANFLHLFVSHRAQLR EFLEYIVDVETVANPQIGNTLLEMVLGDVGRSPATAEEDAEDKPIIMATNEAAVLALL DNPRVKYDEDHALILMQMHGLKQGKRYLYQKLHMYHMLLQHHMEEGDDLAVLDQVKQH GESNPNLWLLALKYFSDQKDPGHLQTLLSWMMDTSVPAPPIPPLQVVAILSQARDLPL SVVRPYVVSQLKQDQAHIDKEKGEIKKFQQDTAKMRAQVTALSSKAMVFQATKCDLCS HDLDLPVVHFMCGHSFHQNCISETDRECMTCGPEHRHFVALQSSLQAKASNHELFFNQ LETATDGFNTIAEYFGKGIFKPVDDDDDDENRVAESVGSNERFSNEY H257_12788 MDEFADLKDGSGEWQNIQSVLRSTFQVLLESKVRQERRVAQLEQ KMEELQLQVDQKADKAYVQRSIIQPHVPSSDYDTNNPSTSSGVNSTAKTVQVMQRQLI ELEARFAAMSSDSELCLATERRVEYVEEELRLIVPIIDKKADVEAIHLWLGQANDSWK HAMKKRLKTTSFEREVQNWQAKLDQVECAGSLEHPDTKAQLHQLSSKLHQHEATLARL AYFIQKSPDQQVAIQNSMADLETKLQNTIVMIQAKQHINNDVLSPAGELGEPNDKLET TGSIAAMATKIQALETQVETVAQRGVATSSDQENLARVEQKVHQLTEILHGFVSDVQI ELEHMQHKTDSVNQLDQRIHHVDAKVCQVNTTVQELISAMSLLANHLPDPSLSPPSPA EPPVLLGDETAQLVELEALLASSLQSHDKMILGPQSQLELVRDQLSATLNDSSTSSLR GLHQMMLHPVSQPSDGVSHALAATLAHLNHDQSQRAVEAP H257_12788 MDEFADLKDGSGEWQNIQSVLRSTFQVLLESKVRQERRVAQLEQ KMEELQLQVDQKADKAYVQRSIIQPHVPSSDYDTNNPSTSSGVNSTAKTVQVMQRQLI ELEARFAAMSSVLLSNCPLYSMPIYVQCENERIIIGQDSELCLATERRVEYVEEELRL IVPIIDKKADVEAIHLWLGQANDSWKHAMKKRLKTTSFEREVQNWQAKLDQVECAGSL EHPDTKAQLHQLSSKLHQHEATLARLAYFIQKSPDQQVAIQNSMADLETKLQNTIVMI QAKQHINNDVLSPAGELGEPNDKLETTGSIAAMATKIQALETQVETVAQRGVATSSDQ ENLARVEQKVHQLTEILHGFVSDVQIELEHMQHKTDSVNQLDQRIHHVDAKVCQVNTT VQELISAMSLLANHLPDPSLSPPSPAEPPVLLGDETAQLVELEALLASSLQSHDKMIL GPQSQLELVRDQLSATLNDSSTSSLRGLHQMMLHPVSQPSDGVSHALAATLAHLNHDQ SQRAVEAP H257_12788 MDEFADLKDGSGEWQNIQSVLRSTFQVLLESKVRQERRVAQLEQ KMEELQLQVDQKADKAYVQRSIIQPHVPSSDYDTNNPSTRDGGSSGVNSTAKTVQVMQ RQLIELEARFAAMSSDSELCLATERRVEYVEEELRLIVPIIDKKADVEAIHLWLGQAN DSWKHAMKKRLKTTSFEREVQNWQAKLDQVECAGSLEHPDTKAQLHQLSSKLHQHEAT LARLAYFIQKSPDQQVAIQNSMADLETKLQNTIVMIQAKQHINNDVLSPAGELGEPND KLETTGSIAAMATKIQALETQVETVAQRGVATSSDQENLARVEQKVHQLTEILHGFVS DVQIELEHMQHKTDSVNQLDQRIHHVDAKVCQVNTTVQELISAMSLLANHLPDPSLSP PSPAEPPVLLGDETAQLVELEALLASSLQSHDKMILGPQSQLELVRDQLSATLNDSST SSLRGLHQMMLHPVSQPSDGVSHALAATLAHLNHDQSQRAVEAP H257_12788 MDEFADLKDGSGEWQNIQSVLRSTFQVLLESKVRQERRVAQLEQ KMEELQLQVDQKADKAYVQRSIIQPHVPSSDYDTNNPSTRDGGSSGVNSTAKTVQVMQ RQLIELEARFAAMSSVLLSNCPLYSMPIYVQCENERIIIGQDSELCLATERRVEYVEE ELRLIVPIIDKKADVEAIHLWLGQANDSWKHAMKKRLKTTSFEREVQNWQAKLDQVEC AGSLEHPDTKAQLHQLSSKLHQHEATLARLAYFIQKSPDQQVAIQNSMADLETKLQNT IVMIQAKQHINNDVLSPAGELGEPNDKLETTGSIAAMATKIQALETQVETVAQRGVAT SSDQENLARVEQKVHQLTEILHGFVSDVQIELEHMQHKTDSVNQLDQRIHHVDAKVCQ VNTTVQELISAMSLLANHLPDPSLSPPSPAEPPVLLGDETAQLVELEALLASSLQSHD KMILGPQSQLELVRDQLSATLNDSSTSSLRGLHQMMLHPVSQPSDGVSHALAATLAHL NHDQSQRAVEAP H257_12788 MDEFADLKDGSGEWQNIQSVLRSTFQVLLESKVRQERRVAQLEQ KMEELQLQVDQKADKAYVQRSIIQPHVPSSDYDTNNPSTSSGVNSTAKTVQVMQRQLI ELEARFAAMSSDSELCLATERRVEYVEEELRLIVPIIDKKADVEAIHLWLGQANDSWK HAMKKRLKTTSFEREVQNWQAKLDQVECAGSLEHPDTKAQLHQLSSKLHQHEATLARL AYFIQKSPDQQVAIQNSMADLETKLQNTIVMIQAKQHINNDVLSPAGELGEPNDKLET TGSIAAMATKIQALETQVETVAQRGVATSSDQENLVLPQLVVALQVIQTRRDHPSTPP GAGRAKGAPVDRDPAWVCLGRSNRIGAHAAQDRLGEPVGPENPSRGCQGLPSEHDRAG THQCNVSTRESLARPISFPSFPCRAPGVAGG H257_12788 MDEFADLKDGSGEWQNIQSVLRSTFQVLLESKVRQERRVAQLEQ KMEELQLQVDQKADKAYVQRSIIQPHVPSSDYDTNNPSTSSGVNSTAKTVQVMQRQLI ELEARFAAMSSVLLSNCPLYSMPIYVQCENERIIIGQDSELCLATERRVEYVEEELRL IVPIIDKKADVEAIHLWLGQANDSWKHAMKKRLKTTSFEREVQNWQAKLDQVECAGSL EHPDTKAQLHQLSSKLHQHEATLARLAYFIQKSPDQQVAIQNSMADLETKLQNTIVMI QAKQHINNDVLSPAGELGEPNDKLETTGSIAAMATKIQALETQVETVAQRGVATSSDQ ENLVLPQLVVALQVIQTRRDHPSTPPGAGRAKGAPVDRDPAWVCLGRSNRIGAHAAQD RLGEPVGPENPSRGCQGLPSEHDRAGTHQCNVSTRESLARPISFPSFPCRAPGVAGG H257_12788 MDEFADLKDGSGEWQNIQSVLRSTFQVLLESKVRQERRVAQLEQ KMEELQLQVDQKADKAYVQRSIIQPHVPSSDYDTNNPSTRDGGSSGVNSTAKTVQVMQ RQLIELEARFAAMSSDSELCLATERRVEYVEEELRLIVPIIDKKADVEAIHLWLGQAN DSWKHAMKKRLKTTSFEREVQNWQAKLDQVECAGSLEHPDTKAQLHQLSSKLHQHEAT LARLAYFIQKSPDQQVAIQNSMADLETKLQNTIVMIQAKQHINNDVLSPAGELGEPND KLETTGSIAAMATKIQALETQVETVAQRGVATSSDQENLVLPQLVVALQVIQTRRDHP STPPGAGRAKGAPVDRDPAWVCLGRSNRIGAHAAQDRLGEPVGPENPSRGCQGLPSEH DRAGTHQCNVSTRESLARPISFPSFPCRAPGVAGG H257_12788 MDEFADLKDGSGEWQNIQSVLRSTFQVLLESKVRQERRVAQLEQ KMEELQLQVDQKADKAYVQRSIIQPHVPSSDYDTNNPSTRDGGSSGVNSTAKTVQVMQ RQLIELEARFAAMSSVLLSNCPLYSMPIYVQCENERIIIGQDSELCLATERRVEYVEE ELRLIVPIIDKKADVEAIHLWLGQANDSWKHAMKKRLKTTSFEREVQNWQAKLDQVEC AGSLEHPDTKAQLHQLSSKLHQHEATLARLAYFIQKSPDQQVAIQNSMADLETKLQNT IVMIQAKQHINNDVLSPAGELGEPNDKLETTGSIAAMATKIQALETQVETVAQRGVAT SSDQENLVLPQLVVALQVIQTRRDHPSTPPGAGRAKGAPVDRDPAWVCLGRSNRIGAH AAQDRLGEPVGPENPSRGCQGLPSEHDRAGTHQCNVSTRESLARPISFPSFPCRAPGV AGG H257_12788 MDEFADLKDGSGEWQNIQSVLRSTFQVLLESKVRQERRVAQLEQ KMEELQLQVDQKADKAYVQRSIIQPHVPSSDYDTNNPSTSSGVNSTAKTVQVMQRQLI ELEARFAAMSSDSELCLATERRVEYVEEELRLIVPIIDKKADVEAIHLWLGQANDSWK HAMKKRLKTTSFEREVQNWQAKLDQVECAGSLEHPDTKAQLHQLSSKLHQHEATLARL AYFIQKSPDQQVAIQNSMADLETKLQNTIVMIQAKQHINNDVLSPAGELGEPNDKLET TGSIAAMATKIQALETQVETVAQRGVATSSDQENLVLPQLVVALQVIQTRRDHPSTPP GAGRAKGAPVDRDPAWVCLVRVYIISISDGLMRL H257_12788 MDEFADLKDGSGEWQNIQSVLRSTFQVLLESKVRQERRVAQLEQ KMEELQLQVDQKADKAYVQRSIIQPHVPSSDYDTNNPSTRDGGSSGVNSTAKTVQVMQ RQLIELEARFAAMSSDSELCLATERRVEYVEEELRLIVPIIDKKADVEAIHLWLGQAN DSWKHAMKKRLKTTSFEREVQNWQAKLDQVECAGSLEHPDTKAQLHQLSSKLHQHEAT LARLAYFIQKSPDQQVAIQNSMADLETKLQNTIVMIQAKQHINNDVLSPAGELGEPND KLETTGSIAAMATKIQALETQVETVAQRGVATSSDQENLVLPQLVVALQVIQTRRDHP STPPGAGRAKGAPVDRDPAWVCLVRVYIISISDGLMRL H257_12788 MDEFADLKDGSGEWQNIQSVLRSTFQVLLESKVRQERRVAQLEQ KMEELQLQVDQKADKAYVQRSIIQPHVPSSDYDTNNPSTRDGGSSGVNSTAKTVQVMQ RQLIELEARFAAMSSDSELCLATERRVEYVEEELRLIVPIIDKKADVEAIHLWLGQAN DSWKHAMKKRLKTTSFEREVQNWQAKLDQVECAGSLEHPDTKAQLHQLSSKLHQHEAT LARLAYFIQKSPDQQVAIQNSMADLETKLQNTIVMIQAKQHINNDVLSPAGELGEPND KLETTGSIAAMATKIQALETQVETVAQRGVATSSDQENLVLPQLVVALQVIQTRRDHP STPPGAGRAKGAPVDRDPAWVCLVRVYIISISDGLMRL H257_12788 MDEFADLKDGSGEWQNIQSVLRSTFQVLLESKVRQERRVAQLEQ KMEELQLQVDQKADKAYVQRSIIQPHVPSSDYDTNNPSTRDGGSSGVNSTAKTVQVMQ RQLIELEARFAAMSSVLLSNCPLYSMPIYVQCENERIIIGQDSELCLATERRVEYVEE ELRLIVPIIDKKADVEAIHLWLGQANDSWKHAMKKRLKTTSFEREVQNWQAKLDQVEC AGSLEHPDTKAQLHQLSSKLHQHEATLARLAYFIQKSPDQQVAIQNSMADLETKLQNT IVMIQAKQHINNDVLSPAGELGEPNDKLETTGSIAAMATKIQALETQVETVAQRGVAT SSDQENLVLPQLVVALQVIQTRRDHPSTPPGAGRAKGAPVDRDPAWVCLVRVYIISIS DGLMRL H257_12789 MSCMSLAYPCAALQPVAAAVQQAFARGVRPILLDDGTGGVYACR SLQQRLVGIFKPCDEEPGAPFNPKANGNIVRQGIPLGQMAVRECMAFFADVDAFARVP PTALAFSKHEEFNSGAKKLGSFQAYCPHDCSAEDMGPSSFAVDDVHAIACLDIRLFNQ DRHAGNLLVQRSTSAGEPSQLTLVPIDHGCCLPELEHMDETTFAWMQWPQANLPFSAK IKAYVASLDSFAQEEAMKQSIRPPVKALATLHVGTLLLKKCVAMGLTAFEMGQLLVRS SLAMPSPMECLVAQLKHLDPYRHIHLYLRVFEVALDKLVRRMFPRTTNVVCADNGWTI QQPTQQEFAARKSYAKVLLSSAA H257_12790 MKRPVAATAWVVVVWTLVQSVETNEDDNMDISYRIIESQEVIWA WGKLEGVKGSARYDDWPSVTRDHVLYDNANLTKLTFLNVQLPTPLPVQTLPLQVTDVA IANCDLTAFPPDMLHMTHLQKLDLNRNNLVDFNITALGPSTSFPSLQQLMLQENALRT FDIAAPSLVQLDLSGNQLTALPSCLYTMMELLELYLANNSIPQPLHVSATEFEFLSGL DYFYMDSVVVDSSACMGASQLHALKGNKICVTSSSPSSPHPPPAIDRPSTTWSYSTLS WVLLVSGVLEAVVGVAVYVAYRNQRNFKCAAPPSYTTTTTASSGERERLLSVEHVLYA SMEAWTSSTTLSQALEAEALRLDCDAVSLDVRLAQGGYGEVWRGHYHQSVVAIKLLLP EKRAPSDIEAFMREIVLLASLDHPHIIQCIGVAWPKSKRDLMLVTEYVGGGDLRVLLD ADPSSAHVWRRQKVHFAIDIAVAIEYLHALDIVHRDIKAKNVLVDDTSAKLCDFGVAV RLHNPSLYGGFGTSRWIAPEVLSGDQFTKAADVYAFGMVLSELDSHQIPFAHIRTLSG NDLTNVAILQQVVKGALSPEFGAACPRQILTLAKLCLHPNPKARPAAAAVVAALRQVV LDP H257_12790 MKRPVAATAWVVVVWTLVQSVETNEDDNMDISYRIIESQEVIWA WGKLEGVKGSARYDDWPSVTRDHVLYDNANLTKLTFLNVQLPTPLPVQTLPLQVTDVA IANCDLTAFPPDMLHMTHLQKLDLNRNNLVDFNITALGPSTSFPSLQQLYVHPSRPRH SVGLSFCSMLQENALRTFDIAAPSLVQLDLSGNQLTALPSCLYTMMELLELYLANNSI PQPLHVSATEFEFLSGLDYFYMDSVVVDSSACMGASQLHALKGNKICVTSSSPSSPHP PPAIDRPSTTWSYSTLSWVLLVSGVLEAVVGVAVYVAYRNQRNFKCAAPPSYTTTTTA SSGERERLLSVEHVLYASMEAWTSSTTLSQALEAEALRLDCDAVSLDVRLAQGGYGEV WRGHYHQSVVAIKLLLPEKRAPSDIEAFMREIVLLASLDHPHIIQCIGVAWPKSKRDL MLVTEYVGGGDLRVLLDADPSSAHVWRRQKVHFAIDIAVAIEYLHALDIVHRDIKAKN VLVDDTSAKLCDFGVAVRLHNPSLYGGFGTSRWIAPEVLSGDQFTKAADVYAFGMVLS ELDSHQIPFAHIRTLSGNDLTNVAILQQVVKGALSPEFGAACPRQILTLAKLCLHPNP KARPAAAAVVAALRQVVLDP H257_12790 MPELIIAFDRTFLNVQLPTPLPVQTLPLQVTDVAIANCDLTAFP PDMLHMTHLQKLDLNRNNLVDFNITALGPSTSFPSLQQLMLQENALRTFDIAAPSLVQ LDLSGNQLTALPSCLYTMMELLELYLANNSIPQPLHVSATEFEFLSGLDYFYMDSVVV DSSACMGASQLHALKGNKICVTSSSPSSPHPPPAIDRPSTTWSYSTLSWVLLVSGVLE AVVGVAVYVAYRNQRNFKCAAPPSYTTTTTASSGERERLLSVEHVLYASMEAWTSSTT LSQALEAEALRLDCDAVSLDVRLAQGGYGEVWRGHYHQSVVAIKLLLPEKRAPSDIEA FMREIVLLASLDHPHIIQCIGVAWPKSKRDLMLVTEYVGGGDLRVLLDADPSSAHVWR RQKVHFAIDIAVAIEYLHALDIVHRDIKAKNVLVDDTSAKLCDFGVAVRLHNPSLYGG FGTSRWIAPEVLSGDQFTKAADVYAFGMVLSELDSHQIPFAHIRTLSGNDLTNVAILQ QVVKGALSPEFGAACPRQILTLAKLCLHPNPKARPAAAAVVAALRQVVLDP H257_12790 MPELIIAFDRTFLNVQLPTPLPVQTLPLQVTDVAIANCDLTAFP PDMLHMTHLQKLDLNRNNLVDFNITALGPSTSFPSLQQLYVHPSRPRHSVGLSFCSML QENALRTFDIAAPSLVQLDLSGNQLTALPSCLYTMMELLELYLANNSIPQPLHVSATE FEFLSGLDYFYMDSVVVDSSACMGASQLHALKGNKICVTSSSPSSPHPPPAIDRPSTT WSYSTLSWVLLVSGVLEAVVGVAVYVAYRNQRNFKCAAPPSYTTTTTASSGERERLLS VEHVLYASMEAWTSSTTLSQALEAEALRLDCDAVSLDVRLAQGGYGEVWRGHYHQSVV AIKLLLPEKRAPSDIEAFMREIVLLASLDHPHIIQCIGVAWPKSKRDLMLVTEYVGGG DLRVLLDADPSSAHVWRRQKVHFAIDIAVAIEYLHALDIVHRDIKAKNVLVDDTSAKL CDFGVAVRLHNPSLYGGFGTSRWIAPEVLSGDQFTKAADVYAFGMVLSELDSHQIPFA HIRTLSGNDLTNVAILQQVVKGALSPEFGAACPRQILTLAKLCLHPNPKARPAAAAVV AALRQVVLDP H257_12791 MDEEEECGTFLEMRDIQFRSQTSPFHVRVETTDDDVPFTLRVEH KETKHQWTGTVKDTSEYTPKDASYVLPSFVVVAALLTGLPACRVPNHKEPGIDLDLIN EGETTGLMYMVLRMKAFGVFEAEYQFPLKPVEMTRATKAESKLRDLYERVDQLQTNVN AVQLTIQQLYTELDEFRNHMRS H257_12792 MTPRVQEDSMQLTSVKFPSYTDLCRVQVDLSKGDVPSCIVTDTS VHAPKSASYVLPSAVVISILQVHCCKAQPIDSRCSVDLKDGLKCHVKMLLTLKAFGQL SAEYSFELAPLEVDAIEKLESMIRDLNEEIAVIANAPSPLDEVAELRQEITELRN H257_12793 MVVELSKVKFPSQTDSFRVRLAKTDDECRVVFGSCNVKNTLNHA PTTAKSKLPSKVVIATLQVKMKLAMTAFAKLSVEYEFELTPLDVATTDILAAKIRDLQ ENVKALKDVCHTTELAQLREEVDDVRRNLGYY H257_12794 MNQLLEVEFVHFPSHVDTFRVRVDTSDGHLPFKLWVENTTSKHE WAGVFHELNATSDVLPWHDVLAMLKSSLVASSTKSNVPADVDLVDGPNGHVEMTMGQY KFNLAPVDADTTTKLEDRVHALEAQVTELKKTTEWLQQHQK H257_12795 MEFLVHNISHSDLILELTGDSALKTSRNATSLLARPKFSLFNIV SQSIVQQLDKLLTPVQADTYEREMDSPRFQLRERCVSTCHPVGFRLDVKPINLESFPL ELSDFQLRASDETIAEVESSWKHIRITACFFPLLGILVPKWLQVLADVHSAESQQLLY LISGAGIPRNASHSICGNSTEYTAALMSKFVSAYYPNIHVTQIHSGSNIFRYDDNVQF MTRQLRPVLEAHRDLLVTKVGDHWKSHFHLTIAYADGPPARLSALNAALRVYQPSYLH VWQLKTFWHEKKLSLDDVDFHPFENVEATPAVAVADLHDAPLVARAVDEIKAFRDQFV QGEHLGEVGQFWLRKSRKPVLAVLLVEKRTSSGDVQVVVHRGMNCEVSMPTGSLCAER NAIGSALANDPTLLRQSLKMIAVLSVSLHPLKLAPPPPPSLSSTSLLLPAPPALILAH ATTTTVTTAVEASPKTGRKPKRPRTISCDASVPSIQAALDAVDASAATEKDLNPLAPC GACNEWLLKIAEANPSFKIVTFDSIDCDSVYIHQLL H257_12795 MEFLVHNISHSDLILELTGDSALKTSRNATSLLARPKFSLFNIV SQSIVQQLDKLLTPVQADTYEREMDSPRFQLRERCVSTCHPVGFRLDVKPINLESFPL ELSDFQLRASDETIAEVESSWKHIRITACFFPLLGILVPKWLQVLADVHSAESQQLLY LISGAGIPRNASHSICGNSTEYTAALMSKFVSAYYPNIHVTQIHSGSNIFRSHSPSSF ALLSYPCCSYDDNVQFMTRQLRPVLEAHRDLLVTKVGDHWKSHFHLTIAYADGPPARL SALNAALRVYQPSYLHVWQLKTFWHEKKLSLDDVDFHPFENVEATPAVAVADLHDAPL VARAVDEIKAFRDQFVQGEHLGEVGQFWLRKSRKPVLAVLLVEKRTSSGDVQVVVHRG MNCEVSMPTGSLCAERNAIGSALANDPTLLRQSLKMIAVLSVSLHPLKLAPPPPPSLS STSLLLPAPPALILAHATTTTVTTAVEASPKTGRKPKRPRTISCDASVPSIQAALDAV DASAATEKDLNPLAPCGACNEWLLKIAEANPSFKIVTFDSIDCDSVYIHQLL H257_12796 MRFIDTGANADTADEGSPLIQPRRPYNYNSDSGVHYGRRDVDGS LSPSTRRHVYYGAGHYNATNNSSSDEENDDAFAIAIADPKVDRMPLPHAKSSRTALRA FLKKPTPESVNEKANRYTRRTRMRNMKKFRQGMGVPKLLRVSAYCTCDSISLFKLLKW LERVETGQLPGGELNPNGWTHKMHMGAIHSACTSDEREEDLINDEMLAIERKDVFYFA TGCTVFWGLTRAEEQAHIQAIRAFSNGSVKQVEVEDMDFCYGDASSVINDSITLSSFR SSEKIAISFAMAQSSKLDVFEQRVDETIQETRHIPQTLADTGEIKKYSQKDISQLIGR LFIERSDINLNSDMLDDPDFFWDDDEYQPLYKKMMKYLDVDNRVHILNTRLDILRELL DVLSQQLARQHDTKLEWIVIWLIVAEVVVEVFWNILIKDILGFFAHNRE H257_12797 MDQPSSPQRPQMVSRSMRFHESGVEDNETTDELSRVTPEEVHRP FNYNSDTGVEYRRQEDGPSSPSTRRHVYYGPGGHYNATHDSSSDDEGAQTAPYASQWT ASILPYASTFHTPLRQFSIEPAPSGSSFAKINRYTRRTRMRNMKKFREGTGAPPRLLR VSAYCTCESMSLFKLLKWLNWVPTRQLPGGELNPNGWTHKMHMGAIHSSCKASIGHDD DDDDDATSHVVATDDQLAIERKDVFYFATGCTVFWGLSRTEEQAHIHAIRAFSKASVK QVEVEDMDFCYGATSSVMNDVITLSSFRSSEKIAISFAMAQSCKLDVFEERVEDSTKE TRHIPQTLANTGEIKKYSQKDISQLIGRLFIERSDINLNSDMLDDPDFFWDDDEYQPL YKNMIKYLDVANRVHILNTRLDVLRELLDVLSEQLERQHATKLEWIVIWLIVAEVVVK AHIHAIRAFSKASVKHMEVEDMDFCYGATSSVMNDVITLSSFRSSEKIAISFAMAQSC KLDVFEERVEDSTKETRHIPQTLADTGEIKKYSQKDISQLIGRLFIERSDINLNSDML DDPDFFWDDDEYQPLYKNMIKYLDVANRVHILNTRLDVLRELLDVLSEQLERQHATKL EWIVIWLIVAEVVVKVFWSILVKDILGFFDHHGGIE H257_12798 MRSAFAAESKGADGPSVDELSPLMSSSQQRSPTLDYNSDSGVYY GRPRPDASLLLRPQHQNYGTTSTSQYRRHDSLTEDERDDDYDGFDRAFETNRTMPPSS ASRLALRDFFIRPSMQTVLKETTNRYTRRTRMRSMKKFRQSLDVPQLLRVAAYCSCES SSLFKLLRWLKRIQTGQLPGGELNPNGWTHKMHMGVIHSSCVSDDREDDTISHEMRAV KRKDVFYFATGCTVFWGLTQAEEQAHVHAISAFSNGILKHVDVEEMEFCYGDNSSSSV ANDVVTLSSFRSSEKIAISFAMAQSCKLDVFEERVDETIQETRHIPQTLADTGEINMY SQKDISKLIGRLFIERSDINLNSDMLDDPDFFWDDDEYQPLYKHMMKYLDVDKRVHIL NTRLDVLRELLDVLGEQLARQHDTNLEWIVIWVIVAAVFVKIFWNILVKDFMGLFCHN H257_12798 MRSAFAAESKGADGPSVDELSPLMSSSQQRSPTLDYNSDSGVYY GRPRPDASLLLRPQHQNYGTTSTSQYRRHDSLTEDERDDDYDGFDRAFETNRTMPPSS ASRLALRDFFIRPSMQTVLKETTNRYTRRTRMRSMKKFRQSLDVPQLLRVAAYCSCES SSLFKLLRWLKRIQTGQLPGGELNPNGWTHKMHMGVIHSSCVSDDREDDTISHEMRAV KRKDVFYFATGCTVFWGLTQAEEQAHVHAISAFSNGILKHVDVEEMEFCYGDNSSSVA NDVVTLSSFRSSEKIAISFAMAQSCKLDVFEERVDETIQETRHIPQTLADTGEINMYS QKDISKLIGRLFIERSDINLNSDMLDDPDFFWDDDEYQPLYKHMMKYLDVDKRVHILN TRLDVLRELLDVLGEQLARQHDTNLEWIVIWVIVAAVFVKIFWNILVKDFMGLFCHN H257_12799 MRQLPRVAAAVVCNAHLLMRITSFQDGLFGNLLPLFALQRHLVN ELDQRRYDLEVDVEVEFRQTTFRIPLCPFKRLWLEKLLKQALLRRQTAWVRHVLLCKP SAASSSLVDIASQQGDIEMLEFLHTRHIRGSTEALDSAKSLAVVEYLHDRQYACTTAA MDIAALRGALDIVRFLHEQRREGCTVLAMDFAASNGHLDVLEFLHSHRREGYSTMAMD MAIKRRHIHVVEFFLRHRHHTNNTGDDVLQQAAALVDGHSDLPPVNVRG H257_12800 MAKEEETVNWAYFSRKSTTATSIGDSVAWTEDLYSNPAYFECCD GDEGVISLLHPGVYQIQSKLGKALKAIAYTAFTTEPCDVEVSCSRVVDAGATIQILLV SLLPIDA H257_12801 MRLWLEDKKTKAQRKCVATNIADHVTSGASYALPSDVVVTFLKR AMQGTTPETCHVALQDLENGYIQVVLTLAILGSFDELF H257_12802 MASTTALPPESPPDSALSLTNLSFPSHPSAFFRVDLRDTAGDLP MRLWLEDKKTKAQWECVTSNIADHVPSGASYALPSDVVVTFLKRAMQGTTPETCHVAL QDLENGYIQVVLIVAILGVLDEVFLFKMVPLAIDRIDVVEAKIRDLEESIANSSQGRN VSQEPEGEDAHDHVTEERGTVNRAYFSLKCTKATWKNELVVWTDDLYSDPEYFKWCDE DRAVITLVHPGGCIKSK H257_12803 MTPRPASPMGSTPALPPDTSLTFTSLDKKTKAQWECVATNIANH VPQGARYALPSDVVVTFLKRAMEGTTPETWHVALQDLEKGHVKVVLTVAILGVLDADF LFKIVSLVVERIDSLEAKVRDLEEGLGAESTDVDMAEEGGGQ H257_12804 MTVLPRPSLSSDALYLSLASGAPTTPKDFVHWSVHSHKASFALS SDTTEVTFLQAGMYHVQVTGESPNWSGGLGLWLRLNGAYVALTSFHQQGDTSCFAQRL FCPKGTTLKVYSSLAAPILIGATLSAVLIGASP H257_12805 MEVAVGTDHVAVAPTTPTFSMWAGKTIVEAGDLVVLFETHNSIT YAYVKPDEIYQNRHGAFHHNDIIGNRYGAKVYSRMSKGYIYILSPTPELWSKALRHRT QIVFTLDASAITFQTHCAPGKIVIESGTGSGALTTAFARTVAPHGHVYTFEFNATRAQ VAKEEFIANGLENVVTIECRDACEQGFPEHLAGKVDVVFLDLPCPWKAVGHAKKMLKH HGAFASYSPCMEQVQRTCDALRLDGFDRVRTIETRLMPYSARTVALPVPSFEVEYQRV KRKAVEDEVENEADKPKTVTLAKRDEEMRGHTAFLTFAYKF H257_12806 MDEEGTAPQARRAPTSGGGDESTMFIFHAGYPGDVTGDVPIPST TLPPGSDVDMVDEDNDAAASAQQDADAAMNMPVRKKIRLSFMPDETCGSGNSTDDEKD MQQQLQLRLFGLLRTGESKHFAALFTQQPAKTRHTLLSVKDEIGFTLLMNAVKYSNLD ACRLLVAHHVDVNELNDKKCSALFLAAQKGMVPITECLLDGGASSEYRSAALVPAAHF GHIDVVNLLLARGADANYANQKGTTPLMRAAQEGQAAVVSALLGKDADSNAANMEGMT ALMLASQRGHADIAEILISAGALVDKQTRQGSTALLLAAKRGHAGAITMLLTAGADMF LKDERDKTALDNAARRGNDELIRILTIENQQALMKMRLRKLRSLELVKMYTLYLSGRA TLAPRRVPHLVPGSLELPSSPDVYMAFGGGTSVASTTTSSTTLTPNQSYLVRSFTLPK PLFRLIVHFLPLCRMWTIQLRNLTHHLHVDPSHVVHKGIHIMDEVLYDVKRDLPHLDA VTGVGQLVLLRDSPTYQQVLTTWLHAAGDVPVPAGLLDDLRQHGDLQGVLLRYPDVDA IEFGVPVASKVLATLQWLLVWDEERRRHS H257_12806 MDEEGTAPQARRAPTSGGGDESTMFIFHAGYPGDVTGDVPIPST TLPPGSDVDMVDEDNDAAASAQQDADAAMNMPVRKKIRLSFMPDETCGSGNSTDDEKD MQQQLQLRLFGLLRTGESKHFAALFTQQPAKTRHTLLSVKDEIGFTLLMNAVKYSNLD ACRLLVAHHVDVNELNDKKCSALFLAAQKGMVPITECLLDGGASSEYRSAALVPAAHF GHIDVVNLLLARGADANYANQKGTTPLMRAAQEGQAAVVSALLGKDADSNAANMEGMT ALMLASQRGHADIAEILISAGALVDKQTRQGSTALLLAAKRGHAGAITMLLTAGADMF LKDERDKTALDNAARRGNDELIRILTIENQQALMKMRLRKLRSLELVKMYTLYLSGRA TLAPRRVPHLVPGSLELPSSPDVYMAFGGGTSVASTTTSSTTLTPNQSYLVRSFTLPK PLFRLIVHFLPLCRMWTIQLRNLTHHLHVDPSHVVHKGIHIMDEVLYDVKRDLPHLDA VVCVCL H257_12807 MTCASFDWHQFWRSSSNPMETSQLLQKDQPQKASRSTPLKIAAV AVLGLVGSLVVYSSVSSHHGTTGAATSTESNPSNVCLTSRFLTLDQLKTCVHSIPYNA TQKTLVLDHIRRTLPNYVFTEIAKAEHAFGPYTLAPVDLAAELAAIEAADFPNDLALH DALYNVFKQLQDAHTTYHKPSLYSQFYALQPASLISVVRNDKQIIQLAKPDATEVSIY REFFPSETHDFDVVGWEVVRIDGEPALSVLRSFADDHVGILKDGGTRFNLAVSGFGTG RGQFVYRPLSSLDVPTKSFVEYSLYHAATNQTKTVQYNWIGLNGVAKPGRALPASSHN GKLEHYFERLVRHLIYHSLFEPTPEVSYDTVDGDASVGVLKIAAFSAIGGEDSATFTE EFTANVTDALSEFVHSNKTTLVLDLTGNGGGDICLGYATLRYLFPQLDLPGPREGTGP HTEAVYHVQASPLIELLATQGESLLQNDPISCTSEFCPSQWYSTTTKRQFLNASWATQ GVTNTVLGNVSQGLYYGCSSYNDFFPPPGANFKGLSADHVILVSHGYCGSTCSVFSSF IQQHNLAHTVAFGGYKDVAQQFFSFPGGQVYTTGALYDDAVALGVDSNDLVPQPLSNI SDLYAGSTVSFALVAISPWKTQWNKTLLPLEYTFVPATHNPVFPADPLNTTALYHAAV SVVRNAS H257_12808 MSSTHCDDIELLTIACDPEGFFVCVLTIIGLIAVAGMMAGLTMG LLSLDQLNLQILQTSGADDEKVQAERLLPIVKKHHLLLVSLLLFNAAANEALPVFLSR VVTEAQAVMISVTCVLFFGEIIPSAIFTGKQQLAIAAALVPFVKLLMLVTFPIAFPIA KLLDRWLGEDHDFSRYKRKELKALVALHHKQSQKKWLRQSTRDLGTSTTALTPLLEDK PSSYGSVRRPPPPRLTLRSFSSSEDQDDKMPLEMTPTDAAAAPAVVPMYSPPPRPEYA AATPLYSMTGNYLHNDEVAIIHGAMDMSTKTVQMIMTPFDKVFMLDVEEKLCDTVMVR ILASGYSRIPVYKGHRTNVVGLLLVKRLIVLNPAEEKPLKDLILRRPIVISPEHSCYS ILNLFQEGRSHLALVTSQKEVVTGCWKANVDIDPSKVEILGVVTIEDVLEEIIMEEIL DESDVPLRPPAELAREQGVQLAVQKFKGLLGRAKDRQEKDPDDVTREASSTKPASLVI DDDDIV H257_12808 MSSTHCDDIELLTIACDPEGFFVCVLTIIGLIAVAGMMAGLTMG LLSLDQLNLQILQTSGADDEKVQAERLLPIVKKHHLLLVSLLLFNAAANEALPVFLSR VVTEAQAVMISVTCVLFFGEIIPSAIFTGKQQLAIAAALVPFVKLLMLVTFPIAFPIA KLLDRWLGEDHDFSRYKRKELKALVALHHKQSQKKWLRQSTRDLGTSTTALTPLLEDK PSSYGSVRRPPPPRLTLRSFSSSEDQDDKMPLEMTPTDAAAAPAVVPMYSPPPRPEYA AATPLYSMTGNYLHNDEVAIIHGAMDMSTKTVQMIMTPFDKVFMLDVEEKLCDTVMVR ILASGYSRIPVYKGHRTNVVGLLLVKRLIVLNPAEEKPLKDLILRRPIVISPEHSCYS ILNLFQEGRSHLALVTSQKVRHTSNK H257_12808 MSSTHCDDIELLTIACDPEGFFVCVLTIIGLIAVAGMMAGLTMG LLSLDQLNLQILQTSGADDEKVQAERLLPIVKKHHLLLVSLLLFNAAANEALPVFLSR VVTEAQAVMISVTCVLFFGEIIPSAIFTGKQQLAIAAALVPFVKLLMLVTFPIAFPIA KLLDRWLGEDHDFSRYKRKELKALVALHHKQSQKKWLRQSTRDLGTSTTALTPLLEDK PSSYGSVRRPPPPRLTLRSFSSSEDQDDKMPLEMTPTDAAAAPAVVPMYSPPPRPEYA AATPLYSMTGNYLHNDEVAIIHGAMDMSTKTVQMIMTPFDKVFMLDVEEKLCDTVMVR ILASGYSRIPVYKGHRTNVVGLLLVKRLIVLNPAEEKPLKDLILRRPIVISPEHSCYS ILNLFQEGRSHFALVTPQKEAVAACWRGNADIDPSKVQILGIVTIEDVLEELIMEEIV DESDSPHAADTYMDTVRLRGLQRATTKLKGLLTKVRQRKELLGHVAIDCDRFLD H257_12808 MASLGALLNGHAKVPEFLSAESGCGDLVTVACDPHGFYMALAVS VGLVVVAGIMAGLTMGLLSLDQLNLEILQTTGSATEQTYARRLLPVVRQHHLLLVTLL FFNSIANEALPVFLARIVPEAYAVVISVSCVLFFGEIIPAAIFTGKAQLPIAAALVPV VRVLTFVAFPLAWPVAKALDCVLGDDHDVSRYKRNQLKALVALHHRKSLNQLKKSDTS HASVPLLGKKTSTSYEATTHPPHPTDAQPVDSDDESTSAHLHNDEVAIIHGAMDMSTK TVQMIMTPFDKVFMLDVEEKLCDTVMVRILASGYSRIPVYKGHRTNVVGLLLVKRLIV LNPAEEKPLKDLILRRPIVISPEHSCYSILNLFQEGRSHLALVTSQKEVVTGCWKANV DIDPSKVEILGVVTIEDVLEEIIMEEILDESDVPLRPPAELAREQGVQLAVQKFKGLL GRAKDRQEKDPDDVTREASSTKPASLVIDDDDIV H257_12809 MKDLVATDHSEGDYRFPSLPHVHLVKVEWLKPHEAIVSVDHVEA LLAATLSWGAYIRPLLVDIKTGAILDGHHRHRVAQLLQLHQVPCVLVDYLSDSSIAVE HWQRGRVVEKQHVIDMALSAHVFPPKTSRHSMTVDSLGHIAVPLTLLQQPAPFTGVYS NHPLKRHLPPTDNAQLPNTNANNRVWAC H257_12810 MLQEVLEFLRLSHTEECDGELLSPFCDPYEFYMSLGIVVATICV AGMMAGLTMGLLSLDKLNLQILQLEGTDVEKERASRVLPLIQRHHRLLVTLLLFNAAA NEALPVFLARLVPETQAIVISVTSVLMFGEILPSAVFTGKSQLAIAAGFVPFVELIMI LAFPIAFPISKMLDWCVGEDHDVTRYKRKELKALVALQKEAHPLPCRGSMLSYSPSKN AMRARRSAGFYSAVAEHLLDEVDHVLLPHATHLHTDEITIIHGALDLTTKTVCDVMVP WSEVFMLDEDSRLTKDCLAHILSSGHSRIPVYRKHRVNVVGLLLVKRLIVLDPSDARP LRELMLKKPIVVSPDFSCYEVLNEFQKGRSHMALITPQVGYVQHCWVTGEPLEPDDVE FVGIITIEDVVEELIQEEIQDETDRADANVRRFEAQARGLHKCDARLRAWAARARRRV QIRRAKSVKRLSITPRNSKVKRHSVDHATVHDVSAPSPTSWAISMPSESSPLLSRST H257_12811 MRAVAAGLGIPTTTLHAYYKRGAIVKYSSYVKPALTDANKVARP EVGSRPRRRRLVAALQEPDVI H257_12812 MLPRGNVNVDWFNALELNFVRRQLNDIVEYSHEIPVGISLQSMR CGVCFYRVFESPEGRFDLRDLIARCWWGDAKTCCEYLVTWTLSNAIDHRLLLEKRCLV PCGVQGSNLGGQLTADVVVVAVVKCLCVESVVP H257_12813 MSLPIEKVVVDVNSNTNRLLSGCATCQSETDYVSRGIFVKYKSN IRTPLTEANKSHVHATNADLFSFEDMMNVVHVDEKWFFGTKVKNGFNCGEYGGKKKRS VPVEWTASSSSLIQIRQNTLQNSAVEDLAVEEKTASADTRRPRENGSSTIARVFLFDH AYFLMESRPSLDVSSTKIS H257_12814 MPTTSTTAAPGVSIANNDKRKLSNEVRRAIYEELLSRSSDRILP HGSYTDVARMFNCYWRTVERVWTRGLLSVLDGDRVADVDSKFKGNSGGKRRHLPADIE RAVKAVPFHGRQTLRSLAAQSGVPKTTLVRHMAEEGRLKSKSSYSKPYPHRRKQASAY GTRNIFSFAVVE H257_12815 MLDGIHELMPAGSNGWDEVAAKFNAGRYQLKFYTVRNHAKPTGD PSCPVDVVRAKYISRRIDANCAVLTIEDDKDDMDDDGYGDGNSDGNDYASEQDECQVE MNEIEAGHIGAPSGGMTLYVAKKHQSIDKFIDGAMVSDAKDSSDMMSMMLLMDERAAQ REEKHSTKSSNGGCSNKSVRKESSEIDLNAMHDAMKCSSCCSPSFCPGRHSGGYPSKS PCFNGVTIRPNARPPTGKAESDANFIPGARAIMAAQMIVPSSPKQSTPETKTSCRRAR SKARQ H257_12816 MRALKLRASLKERNRVQTASYLYPSLVNTSIYRRGRGGRPPRVQ HKHAVLSIVLHFYTAPVEHKTLQELFGVASTTLSRLLRRGEGALSRALQCMSAAWINW PSKATQLYWASKSQEREPLVSGVFGFVDGKNRRVQELSCADLQNAHYNGMTRECN H257_12817 MDQRDLTASGNPNDDLDADEYWAENDAADPGRQADAPLDHEVAA RHTGKGKGKSKVVPRKFPTPEKRLLPRKDFSSMCMVAQNETTQLKREKFEYMKENNKS IQAAAAVIDLQRSADKLDQRPFKIPLPCSQTYTRMTGVESVVAAGA H257_12818 MGLPSGRSAMDASASINTEALGATLQQQHETHPSRTHVVDVAHD SLKHAQARLSTNVYRSNVKPDARSSR H257_12819 MKQLELAEDGDVDASVEDGKTLLSPRGELEGPMPYYKTWHGSHW FFMNGRIVVHQRQWKCSLATWTFITALVAGFDYFVFIANLSPTLITCMTVLYINILVW FCFTAFRDPGLVPQRYDQLVDTDIAPDRFCTVCLVHKPPRRSHCSTCNCCVDGFDHQT GNCVGRRNYRAFMGFLLACIAAAVFSCVMLVWFTIDQCVVHHVSFHKFFQQFVVVPPL IYFSMTVSAFLVGFAAFHCRLIAQQLTTNQSLRRLQTPQNSSWLRNWKLFLTSPIPPS KLLQAPTFRTVTV H257_12819 MKQLELAEDGDVDASVEDGKTLLSPRGELEGPMPYYKTWHGSHW FFMNGRIVVHQRQWKCSLATWTFITALVAGFDYFVFIANLSPTLITCMTVLYINILVW FCFTAFRDPGLVPQRYDQLVDTDIAPDRFCTVCLVHKPPRRSHCSTCNCCVDGFDHHC PWTGNCVGRRNYRAFMGFLLACIAAAVFSCVMLVWFTIDQCVVHHVSFHKFFQQFVVV PPLIYFSMTVSAFLVGFAAFHCRLIAQQLTTNQSLRRLQTPQNSSWLRNWKLFLTSPI PPSKLLQAPTFRTVTV H257_12819 MKQLELAEDGDVDASVEDGKTLLSPRGELEGPMPYYKTWHGSHW FFMNGRIVVHQRQWKCSLATWTFITALVAGFDYFVFIANLSPTLITCMTVLYINILVW FCFTAFRDPGLVPQRYDQLVDTDIAPDRFCTVCLVHKPPRRSHCSTCNCCVDGFDHHC PWTGNCVGRRNYRAFMGFLLACIAAAVFSCVMLVWFTIDQCVVHHVSFHKCALLQSFQ TNY H257_12819 MKQLELAEDGDVDASVEDGKTLLSPRGELEGPMPYYKTWHGSHW FFMNGRIVVHQRQWKCSLATWTFITALVAGFDYFVFIANLSPTLITCMTVLYINILVW FCFTAFRDPGLVPQRYDQLVDTDIAPDRFCTVCLVHKPPRRSHCSTCNCCVDGFDHQY VPSTHLPDLLTRRVLAVHGSSTHTFKGGTSTTYYCPFTKPNLDFLCADSSNRSILCM H257_12820 MGNLFGKKTEAAPTGPTARQTANRQRAQNQVSSKDKAVLELKAS RDRLKKYQSHLEHESAQLTEKAKQLLEKKQRDRAKLCLQLRKFKQQQIEQADTHLMNV LQMVDSVEWETQQLQIFEGLKAGNSVLDAIHKEMTVEAVEDLMLDTQEAQARADEIGR LIGGSLTVDDDESILEELAAIEALEAEALDALLPVAPAHDAVMAEPAEVVTPEQVQVA VPPKPAASAKKTKATPPVLA H257_12821 MAPSTTATPGDSTNTAAPDASTTTTPGYPIPTPANTFPGATTPG DFTTSAAPGASNTATPSTISSAPGTTSPSCKIPKNEGPVPTNPPADKCTNVSVVGDAT YCIVGPICSGSGSLPSGINCPKKGDVAVNYCHKHFKSYADNHHLCVAPVDASCVKIPS GAWECDWGGVGCTTTPAPYTGTPADTTKAPVITITATPGYPTPTPANTVPGATTTTSS STTATPGDSTTNPVTITSTPVSITSTPVTTTATPETTTATPVTSTSTPVTTTSTPVTT TATPETTTATPVTTTATPGYTTTIPVTTTSSPVTLTSSPVTTTYTPVATTTKVPVTTT KMPATPTTTRATTVRPTHKPTNTPCTTTAAPCTTTAAPCTTTAAPCTTTAAPCTTTAA PGYPTQAP H257_12822 MKLALIVALIAASVIEATGVAQPSYTTTAAPCTTTAAPCTTTKA PVTTTKAPLTTTKAPCTTTKAPVTTTKAPCTTTKAPATTTKAPCTTTKAPVTTSKAPC TTTKAPVTTTKAPVTTTKAPVTTTKAPVTTTKAPVTTTAIPYTTTKAPCTTTKAPVTT TKAPVTTTAIPYTTTKAPLTTTKAPCTTTKAPVTTTKAPCTTTKAPATTTKAPCTTTK APVTTSKAPCTTTKAPVTTTKAPVTTTKAPVTTTKAPVTTTKAPVTTTAIPYTTTKAP CTTTKAPVTTTKAPVTTTAIPYTTTKAPLTTTKAPCTTTKAPVTTTKAPCTTTKAPAT TTKAPCTTTKAPVTTSKAPCTTTKAPVTTTKAPAPCTTTKAPCTTTKAPVTTTKAPVT TTKAPVTTTKAPVTTTAIPYTTTKAPVTTTATPYTTTKAPVTTTKTPCTTTATPVTTT KIPCTTTQTPVTTTGTPVIPTTTPVTTTAIPYTTTATPVTTTNAPVTTTGTPVTTKTP VTTTATPDYPTPTPANTVPGATTTTPPSTTATPGDPTTTAAPVASTTAIPGYPTPTPA NTVPGVTTTAPITTDTPGYPTTTAAPVASTTAIPGYPTPTPANTVPGASTTAPITTAT PGYPTTTAAPGDSTTAIPGYPTPTPANTVPGATTTAPITTATPGYPTSTSGPGDSTTA TPGYPTPTPANSVPGATVTMAPSTTATPGDSTNTAAPDASTTTTPGYPIPTPANTFPG ATTPGDFTTSAAPGASNTATPSTISSAPGTTSPSCKIPKNEGPVPTNPPADKCTNVSV VGDATYCIVGPICSGSGSLPSGINCPKKGDVAVNDCHKHFKSYADNHHLCVAPVDASC VKIPSGAWGCDWGGVGCTTTPAPYTGTPADTTKAPVITITATPGYPTPTPANTVPGAT TTTSSSTTATPGDSTTNPVTITSTPVSITSTPVTTTATPETTTATPVTSTSTPVTTTS TPVTTTATPETTTATPVTTTATPGYTTTIPVTTTSSPVTLTSSPVTTTGHHHQSACHY DQDAGHPHDYSCDHRPSYPQAH H257_12823 MVVGPSPFLSPLQRQVAETGSFPPVPKVCVVTGGTGFVGQRVVE MLVERGAEKVVSFDIVPKTADGHWDHPAIKYVVGDIADKDAVFAAVQGADCVFHLAAA VGPFHPRELYLRVNYHGTLNVIDACKFHNVSKLVMSSSPSTRFDGSDIDGLTEAELPS IPMTSYMQEYAETKAMAEMAITAANSPTLLTVSIAPHQVYGPRDNLFTPNILEAAGNG SLRVFSSKHTGYGYNKVCFTHVDNYAHALIIGERALYPGSPALGKFYVATDGHTHTFP EGYSYFWKTVDEAVVAMGFPSLWEKAMLPSWLLWPAAYVSEAVGWLVGRKLKLNKFTV RVLMMHRWFKIDAATKDLNFEPIVPFSTGWLDAHAWFRTHWLPTFQANYGLGYIANQS QNKIDVQAATLDAKSA H257_12824 MGVQADHVQRSSDGYEKPAEYPLGISHACVVVQVCGCLRRHGQL ARRTAARSRQHTSFWFCMLANYQHTIGNPSETVPRWLADSQGGGGHGQDSDEGAGSVA GLSDPQAHVVHTPSDQQTLDRRDRAHVFDLIEKQVGFADLDSIGVYDTMQSDFHGDAT SQVVSLVVLLGQRKDHARIVESSQSAAGQSSRHLPPTLSEGHGHLDQVRTLKRLPHET NMEASV H257_12826 MSNDDPYADLPSAIAAPALATSFVASPKRSREDDDVPSIKKVAR RDMRHVAFRDDVDATVEEPVDEVKQPLKVLDIRQTIEKLKGYMLVDKKFGKASALFSA LLEEQCTSTPRPDEHTMSLLMECVQTIMNSKPERIHHASFRAAYAALVRVVDKHRTSL LRTSDFDSDVIENWVLDAVLHNDLFTDDTYVFAKAANLIAAHITGRRDEAVSMENLAD VAALDRVLLPCLRSLMARHGTAWAKTSVEMVLALCTYRRLTFHDGDRKEVDEWTSTIH QRKVAPSSRGKHAAAAAEMRKNIVAYNDTQTGIKVGKSNHPLFNKD H257_12827 MFNRLAAWLVPSAAPDDEHAKKRFDILAQLKKAVMEVCNWYEEK NKLEFQGKRPLEEEDIGMHDLLWSIQGCLQHGLREDLTASPSAWLLLHFIKTTLTEPS NPIGQAIDEASKESSTDAGRIRYWIRHALNQSLVEPTLALALLASNEQFLRATYDDNA LLRCQEGTTIMTQLLSYLKELAFNVHVSDREFSRRRTSLPTLSGGLLPPPTSASPLPE SRVDVVFEHIDSFVNDVAAQADEFLTTRARSLSDREKGIKPWQHAFNVELSFLVKNPY HTRYAFIHSHLAVPNFLVECLAFLKMHAATPRLFRTTVSQVYVAPVKDYIESHGKMPP SGVDPHVASAVLLDFLRHLPEPLVTSDKFDAFVASSRMANEADGVRNLTCLVADLPVE YKVTMEVVFGALAEVLNHAEENGLTIVGLSIALAPAIVRKRDMTKMQTQDVRMAAIGA HVVELLLLHHTSIFQEVRDQIANAHEEFQRKQEFLQTFPAMLKQPVMTDDAAALGLVL AAYQRQVDHVDQQLQSSASNDHSTHVVFVDDDVALWQSFGFRESSIAANFTAGGQLLL QCVAYFIRTDATACQLLADRVHEYNMGEALAALALVLLNVLKLAPTPDQPVLDFTLLS MEPFWDVFGDPVFFTKLFALAVRVFDYNWSMSGRPEFGRILEETEAQMTWLLQRSPSS VEELVDDWLLYRKQQTQATVDQTPNDAVNMATKLIGKSGILTDAFVAELDSVLPITCQ LCQWKLLFSNEVHGSSLTSLLTLCKGQSPTLLLLKEDHGTVFGGFASDMWQLSGNYHG NGSSFLFRFTPLGKLDAFTWSRKNNYFQLCSDESLVMGGGNAFGLYLDADLVHGTTGK CDTFGSNPLVPGETFSCTHVEVWGFTLDGC H257_12827 MFNRLAAWLVPSAAPDDEHAKKRFDILAQLKKAVMEVCNWYEEK NKLEFQGKRPLEEEDIGMHDLLWSIQGCLQHGLREDLTASPSAWLLLHFIKTTLTEPS NPIGQAIDEASKESSTDAGRIRYWIRHALNQSLVEPTLALALLASNEQFLRATYDDNA LLRCQEGTTIMTQLLSYLKELAFNVHVSDREFSRRRTSLPTLSGGLLPPPTSASPLPE SRVDVVFEHIDSFVNDVAAQADEFLTTRARSLSDREKGIKPWQHAFNVELSFLVKNPY HTRYAFIHSHLAVPNFLVECLAFLKMHAATPRLFRTTVSQVYVAPVKDYIESHGKMPP SGVDPHVASAVLLDFLRHLPEPLVTSDKFDAFVASSRMANEADGVRNLTCLVADLPVE YKVTMEVVFGALAEVLNHAEENGLTIVGLSIALAPAIVRKRDMTKMQTQDVRMAAIGA HVVELLLLHHTSIFQEVRDQIANAHEEFQRKQEFLQTFPAMLKQPVMTDDAAALGLVL AAYQRQVDHVDQQLQSSASNDHSTHVVFVDDDVALWQSFGFRESSIAANFTAGGQLLL QCVAYFIRTDATACQLLADRVHEYNMGEALAALALVLLNVLKLAPTPDQPVLDFTLLS MEPFWDVFGDPVFFTKLFALAVRVFDYNWSMSGRPEFGRILEETEAQMTWLLQRSPSS VEELVDDWLLYRKQQTQATVDQTYISKYIHVFIILFKYIVPTML H257_12828 MQGSAFMKGSTSSSSVHMDLDGGDRWMYAMVFPNKDEGRVRDIV VQLRQAALEVKLFFSSSQTDGKPSLIICKLRANLKALRAEAARINLPMLMDPEKLRAV AKRGLPAHGIEPFEIGDEKTLQGDVFHPYENIHMKYDLADDVQDLYQRTTLGGHFSST QRMMLIDSIIVNVAHVNIDKLKADGALHDCFPLHEVDELADLQARWVAWTYWPWRQPI THIKNYFGSKVAMYFAYLGYYTTWLGAAGVVGLLVFVAEVLFQNNLIADIPLSHLQLN ATTVVKVGQVVQVYTVPAFGMFMVVWATLFLEGWKRKSARLALTWGTATVVEDEQPRP QFHGHIAKSPVTSASIKFFDPMVRLQRRVVSWLVLAALLAVVIGLTMLIFLLRYYVVT QNPNLLVVTIHGHAYPYGSYVAAVVNLGQIFVMYKIYDGLSLKMNDYENHSTESSYEA NYILKAIIFHFVNSYAALIYVASLKNPIEGHCLNNDCFGELRAALVIIYGSQIVIGNV KEVVVPRLYAWFVRRQHVVTDVVVGSVETQFFQSHYGWKGTFDDFLEMVLQFGYSSFF VVSFPLTPLLSFVNNVLEIRIDGYRLTQDCRRPRPRHAAHIGLWSTVLEHFVTIAIIT NAYVIFYTRNYAADVSVYLNWTNVNHEFVDLALFVAFVTVVLVFRGLLSLGISDVPED VAKQLARQAFLTSKVLDHKKDDGDQALSLHDQRLGAHNVAILNRDDDE H257_12829 MPPKIWYLQILDVSTSVCLYSKQWHWNEGARVEGLRALVHSFAQ FAREIDGGPVQTVHFGQTLSMPLAGSAASSKAATSAAARRYSTSLRQNLLQSRTSNSG SNYGTTPAAAALSINTSSPPSMSSFHSVSPQSSPIVHSPPYAATSQSLHPHKLQMLSV ENEHFQVVLFHDVHNDDVRGTAHILLDSFTTKFQQSKEYDAAKPIIQSLMDKDENRHL LGLFQRFDPVVDDVVHTDVTAVDGIVVSGVDGIQSAQL H257_12830 MGPRLRGNSSAASVATPSGAQQPPRTLAKRVFKALRKQVPCVAS DFVLPFRHQAPLATYFHDLANATAGISDYTLDFTPDEFTAAEAVELTWVPPKYAKTSK NVYIVKKAPMAQASASSRCTCYGQDHQRGSSSRPTASTSSVAVKHKDVSYCTDTCHNK LVLIECGKDTCSAPDPTKCRNRPFQHKATKSTRVEYMGPKGFGLVADTSIEAGDFVVE YVGEVIDEAMAADRRAANIASGQTHTYMLEMEKDILIDAQYKGNVSRFINHSCAPNCS AQKWTCEGDILRIGIVALAPIARGEEITFDYQFTHVGAQSVACHCGAATCKGQMGFKK RDSVKADDKPGDGDQPWPRPIKVSSLALFKSAHLNRDWIDEFGYARKRLFLSHAVPDD VDIDTYFLSTRPTKRQTTPSNWYHRVLTHPPVPCTSFLAGGLLDYSTLLLRESVTTKT MPCSKPRPRRKSLLESRYQLIKDVHEFAEGRSVWNRRLRVTQNQLDVGRIYRFVENIE NGVHHQHGETNDLNEDACHRCGTAGELICCDGCPAAFHLSCAGLHRLPPPTVDWYCQT CKRSKSVVSASQRTAQANAIFQVGPAPETKRWLKRKVGRPKKLVVPSREPVNTSTASP DRVDMA H257_12830 MGPRLRGNSSAASVATPSGAQQPPRTLAKRVFKALRKQVPCVAS DFVLPFRHQAPLATYFHDLANATAGISDYTLDFTPDEFTAAEAVELTWVPPKYAKTSK NVYIVKKAPMAQASASSRCTCYGQDHQRGSSSRPTASTSSVAVKHKDVSYCTDTCHNK LVLIECGKDTCSAPDPTKCRNRPFQHKATKSTRVEYMGPKGFGLVADTSIEAGDFVVE YVGEVIDEAMAADRRAANIASGQTHTYMLEMEKDILIDAQYKGNVSRFINHSCAPNCS AQKWTCEGDILRIGIVALAPIARGEEITFDYQFTHVGAQSVACHCGAATCKGQMGFKK RDSVKADDKPGDGDQPWPRPIKVSSLALFKSAHLNRDWIDEFGYARKRLFLSHAVPDD VDIDTYFLSTRPTKRQTTPSNWYHRVLTHPPVPCTSFLAGGLLDYSTLLLRESVTTKT MPCSKPRPRRKSLLESRYQLIKGTR H257_12831 MAAKVGVSMSIRFRGEEVHAFSVHPEVPEDMYNASESETVPHAY GGKEDGGALPEPKQTGDHALLATAIKNAKASSEAFLADKCEGPVKVDDI H257_12832 MESPGPPPLYTPAISQDANIVDEDDQLLLCQDGYAWDYVLVFPA LPMSPLGEVFHRLHLPTKKAKTTTPTVDEIYYRLKKAGLTLKLACPSASSSSRHLFCL VRGSRQILAREADRIDLLMPMDKDKLRDASHRGFPNCGIAPFPIDDPLHQFKLSPYDS IYFRYTCRDDMQPLYAKQGPHDALFTSSQRILLLESIMTNRHGGAGLNLDKLKHSNVV TTYFSLHDVAELSRVTTSWLQWGQYPWQQPLHAIQRYFGSRIGLYFAFLGYYTTWLIG AGAVGLVLWLVELSDRLPKDIVVAITSTLVIIWATIFLKSWKRRAARLALEWGTSNFS TLEQVRPQYVGQMLPSPVTGQPMLYFSKREKSRRRCLTWLLLTCLIALVAAVVTAIFY LQFVMLERGYSIRVGPTEVVLAGPVTSLANIVQIHAMYYVYNQLCEAMNEYENHRTQS SHEGSFIVKSVLFHAVNNFAGLFYITFVKTYVGAACAGNDCMGELRLYLLMIFCFQLA NGLVHDWVVPHVRVLYSQCRARGTSAAVSSKVEAQFYLLDYSWRVTFNEYLGLAMKFG FTILFLGASPAMSLLTLLNNLIELRSDSTNLVMNHRRPLPRQASTCGQWTTVLEYLVT LSTLTNGFVVVYASNALVVNTNDPGARLVQLRAFGGFVTAMLVVRYGVTTWIKDVPHD VAIQLKRQAFLATKVYVREADDVVPVYESMGSVRLLPVDSASPV H257_12833 MVIAMVGAVITPSTSANPSAGMDPVLWRFMCDKVFANILTQSKE WTSQRRTKMFDNVAFPAQEDPFRVELSLDEPKSMAIVIRNTASKKQWECQVWKTTNDV SHTIIPSLQKALATAGTTLASPECTVDLLPSDKAKCNLQLQLTTPRTEVVFEMTPMRS VVADRLQQLRSGVDALCNEYVLQWRVQAATKRMPLATTGQVQLPGGSLKCDKGPRSRR RLSLYMLAGVSLLCSFGGGVALGLAIAPEKGAPPVGVRVTMTSGDGQSGYSVELTSKD VQSLSTGQKCLGSLESTERLAPAE H257_12834 MTIIDPSTQALDMAIVGTDVLYLALERIVFPMLALAANPPGDDT AVFSIDEGLRKVYNKANKNGWPNKIALSDLPLGLAKGKCSTGRGRRTRQLDTLIDLAL FAAIKGVPTSQRAAPWGVK H257_12835 MGETLRQQETPGEGQQIRNLRKVCFSGKVAVHGVQITCFLGHND AGKTPLMSMLTRMILATHDILYGDVTVTQYLTFYSQINGFRGAGYACRHQDRQGGAQR KKARRDELTSRMDPYSRRSTWETNMNHLQNRIIMLTTHFMDDADTLGDHIAIMAEGGL LQVLALPQEPAACDVAALTAFSKLCTTVGASSSGCRRTCSPRRRWDASGLDAAMSGTT NPYGGVVVLFLLAGAAVISF H257_12836 MLAPVSPSDWMPNVVVTGDSHVLASMVLSCLNAVEIKYMAKNEM MPTYGRLNDGAGHASKGF H257_12837 MQTAAAGLTANTTLQWYRRLKKMGGDEGAVGNRSRAGGRHLGAD QTRRFSHATLSGDVEREPC H257_12838 MEDPVAGVSFSVGFAVAFLIVLCYFRYSWLRDEADDALLSNEFV GHLAGLTRDQLENTDSEAQKWTCNICDFYNADSSPACVLCDTARELYLVVSPAFEQLD RTLLPSNFTDKQRAARLRKQWRRGFDGRVWSWHASDQEAAAQSSWQVVGRPNPQVDLA LMALQDATAGVTLLGQPLTPWWFGQLDQLTRLSFSLKYAWLLEQLAANYDGHARLVVS RDTILEQSLTGLAKTPLRNLCTLSVITLEHETAVDAGGVTREWYSVLALAILEPSQGL FIVTNQDDQSFFINPNSERVHGPNHLERYLAIGRLLGRAIIDEQVLPFHFCVPLFKML LGYPVSIQDIRYLDPTVYSSLTYIRDCDDVDDLALTFSVSVDTDVPEVELVVGGRDVG VTNANKAEYVERMVQYLMFERVAPQLQRLVQGLYDVLPQELLMPFDYKELELILCGFS EIDVGDWKRSTIVSKSLEDVVGWFWDVVEFDMTPSDRAKLLQFTTGSSRVPIQGFKGL TSYDGRLCPFSLHGVPYEYGIFPKVHSCFNRIDLPIYPSRALLAEGLFALVNIQSMAF TMV H257_12839 MAGDGNFLSNEANVPLGFVVAFFVLGLLPFCCMRLVCSKPRVDD DDDPLLPNEEVGQLAGLTRDKLEDTKLEAKKWTCGICAFHNPVDKRSCVLCDTSRATF LLVSPEFGAMAGTLPIDQLNDHQLSAMYRSQWTRRCHGSTWTWQCFPLADPCEYMVLS AASEGDVPYLVELAAATAGQTVLGHALPSWWSRPLLELQALPFSLKYAWLLSYLAVEY HAAHAKLTLTRATIFDQSMHALNTAHVDQLCLLTIISLVGESAVDAGGVTREWYTLLT MAIVDESRGLFVVTSHPDQSFFVNPKSIDPTHLDQYQAVGRLLGKAIIDEQVLPFHFC VPLFKMLLGYPVSIQDIRYLDPTVYSSLTYIRDCDDVDDLALTFSVSVDTDVPEVELV VGGRDVGVTNANKAEYVERMVQYLMFERVAPQLQRLVQGLYDVLPQELLMPFDYKELE LILCGFSEIDVGDWKRSTIVSKSLEDVVGWFWDVVEFDMTPSDRAKLLQFTTGSSRVP IQGFKGLTSYDGRLCPFSLHGVPYEYGIFPKVHSCFNRIDLPIYPSRALLAEGLFVLV NIQCMAFTMA H257_12839 MAGTLPIDQLNDHQLSAMYRSQWTRRCHGSTWTWQCFPLADPCE YMVLSAASEGDVPYLVELAAATAGQTVLGHALPSWWSRPLLELQALPFSLKYAWLLSY LAVEYHAAHAKLTLTRATIFDQSMHALNTAHVDQLCLLTIISLVGESAVDAGGVTREW YTLLTMAIVDESRGLFVVTSHPDQSFFVNPKSIDPTHLDQYQAVGRLLGKAIIDEQVL PFHFCVPLFKMLLGYPVSIQDIRYLDPTVYSSLTYIRDCDDVDDLALTFSVSVDTDVP EVELVVGGRDVGVTNANKAEYVERMVQYLMFERVAPQLQRLVQGLYDVLPQELLMPFD YKELELILCGFSEIDVGDWKRSTIVSKSLEDVVGWFWDVVEFDMTPSDRAKLLQFTTG SSRVPIQGFKGLTSYDGRLCPFSLHGVPYEYGIFPKVHSCFNRIDLPIYPSRALLAEG LFVLVNIQCMAFTMA H257_12840 MVSNKDDQSFFINPNSERDHGPNHLADFQAVGRLLGKAIIDEQM LPFHFCVPLFKMLLGYPVSIEDVRYLDPTVYSSLTYIRDCDDVDDLALTFSVSVDTDV PEVELVVGGRDVGVTNANKAEYVERMVQYLMFERVAPQLQRLVQGLYDVLPQELLMPF DYKELELILCGFSEIDVGDWKRSTIVSKSLEDVVGWFWDVVEFDMTPSDRAKLLQFTT GSSRVPLQGFRGLTSHDGQLCPFSLHGVKYHPQELPKVHSCYNRMDLPIYPTREELRS ALFFLVSIEDLVFTLL H257_12841 MSTNATTQTTVGEAGPASSTFNAGYLVLVISVATAATAVYYYLK WRSKYYDPLLPNEVVGDLEGHTRDSLEDSAVEATKWTCGVCDFHNAVDQSSCLLCATA QGVYVLESPAFATGTGTTVREDQLNAVQSCARRRKQWRRHRDAATGVWEWVTDISTSQ PHYLVVTSSLSHQIEWSTLARASAGIDVLGHHLPTWWSHVLDQLRQEPFAFKYAWLVG HLNATYTGHVKFTVTRAGILEESLQVLSQLPRHQLCSFTSIALRGEVALDAGGVAREW YTLLSNAILDTSNGLFMVSNKDDQSFFINPNSERDHGPNHLADFQAVGRLLGKAIIDE QMLPFHFCVPLFKMLLGYPVSIEDVRYLDPTVYSSLTYIRDCDDVDDLALTFSVSVDT DVPEVELVVGGRDVGVTNANKAEYVERMVQYLMFERVAPQLQRLVQGLYDVLPQELLM PFDYKELELILCGFSEIDVGDWKRSTIVSKSLEDVVGWFWDVVEFDMTPSDRAKLLQF TTGSSRVPLQGFRGLTSHDGQLCPFSLHGVKYHPQELPKVHSCYNRMDLPIYPTREEL RSALFFLVSIEDLVFTLL H257_12841 MSTNATTQTTVGEAGPASSTFNAGYLVLVISVATAATAVYYYLK WRSKYYDPLLPNEVVGDLEGHTRDSLEDSAVEATKWTCGVCDFHNAVDQSSCLLCATA QGVYVLESPAFATGTGTTVREDQLNAVQSCARYNASFVHFLAIYGHIRRRRKQWRRHR DAATGVWEWVTDISTSQPHYLVVTSSLSHQIEWSTLARASAGIDVLGHHLPTWWSHVL DQLRQEPFAFKYAWLVGHLNATYTGHVKFTVTRAGILEESLQVLSQLPRHQLCSFTSI ALRGEVALDAGGVAREWYTLLSNAILDTSNGLFMVSNKDDQSFFINPNSERDHGPNHL ADFQAVGRLLGKAIIDEQMLPFHFCVPLFKMLLGYPVSIEDVRYLDPTVYSSLTYIRD CDDVDDLALTFSVSVDTDVPEVELVVGGRDVGVTNANKAEYVERMVQYLMFERVAPQL QRLVQGLYDVLPQELLMPFDYKELELILCGFSEIDVGDWKRSTIVSKSLEDVVGWFWD VVEFDMTPSDRAKLLQFTTGSSRVPLQGFRGLTSHDGQLCPFSLHGVKYHPQELPKVH SCYNRMDLPIYPTREELRSALFFLVSIEDLVFTLL H257_12842 MKDFVSGYKRKYAQLKDTGEVPMTVGKAPLSVAGYRFLAAAALT SKTDYALHITPHSFLGVCWNLMARAVSASTLRYEHVSWKNDALEIQYGAMKNDQDGQM SFARHVLGVLLFTRGANLSSSPSLLFGYNAKERFSTWLRNTCSNSENDIVSMGLAIED IGTHFFRKGVANSLSNCPGGPQAVSIWLRAGWSLGSVQGQYIFEGSGGDQFVGRAATG NAKILIIRLCE H257_12843 MEDHLHSFVCPITHDVMEDPVVACDGHSYERASISMWFRDNNTS PITNASVHHKHLIPNHTLKKAINEFRERFAPFFDTESSTAALPSGQVLPMLEALPERG TFLYIVVHQPMPVYVAPSFITAQSTMLLVDTIVLGKERLYGEDNVIFVELSGHHEGQY VHECTRDGSPCLQRLEVTETSLAFMVTSPAPLSLWPSHAVPSSTLYKAYPYDVVSIEA TIRDLNGRMYGRLEQSKLWACLDRRHFTELDIEFTPELYLLKQETELRSNANRTNLGV PLLALPAYSIVESDAMFMLRADDSPSSSTSIYVRTTASHGGGFVRGWVALPSSLSMHA PPPRLAEGPAGKHIQLVLQQAGAVALVLDEVQESGDVSQRLITRNLPRRFERQLLNCV QRGRRIHRMALGPRGEWYCSGARPDGSGECCWASGDLPARFHADMQPNSLVSFGGDNE YAMVLGTGGVSSSNVSTKLLQNLTKARRVHMMLLARYGGYVIKDNVGMDLSCLDPAFE VALKTPPRGAGQVCSAAYSEDDYVVVFEHTYVATAGISANIVDALERFYTRHLALRNK RRLLIADYERRWHEIHADY H257_12843 MEDHLHSFVCPITHDVMEDPVVACDGHSYERASISMWFRDNNTS PITNASVHHKHLIPNHTLKKAINEFRERFAPFFDTESSTAALPSGQVLPMLEALPERG TFLYIVVHQPMPVYVAPSFITAQSTMLLVDTIVLGKERLYGEDNVIFVELSGHHEGQY VHECTRDGSPCLQRLEVTETSLAFMVTSPAPLSLWPSHAVPSSTLYKAYPYDVVSIEA TIRDLNGRMYGRLEQSKLWACLDRRHFTELDIEFTPELYLLKQETELRSNANRTNLGV PLLALPAYSIVESDAMFMLRADDSPSSSTSIYVRTTASHGGGFVRGWVALPSSLSMHA PPPRLAEGPAGKHIQLVLQQAGAVALVLDEVQESGDVSQRLITRNLPRRFERQLLNCV QRGRRIHRMALGPRGEWYCSGARPDGSGECCWASGDLPARFHADMQPNSLVSFGGDNE YAMVLGTGGVSSSNVSTKLLQNLTKARRVHMMLLARYGGYVIKDNVGMDLSCLDPAFE VALKTPPRGAGQVCSGTSRAYYLRRMGEWIVGNVMYIYIYIFGR H257_12843 MEDHLHSFVCPITHDVMEDPVVACDGHSYERASISMWFRDNNTS PITNASVHHKHLIPNHTLKKAINEFRERFAPFFDTESSTAALPSGQVLPMLEALPERG TFLYIVVHQPMPVYVAPSFITAQSTMLLVDTIVLGKERLYGEDNVIFVELSGHHEGQY VHECTRDGSPCLQRLEVTETSLAFMVTSPAPLSLWPSHAVPSSTLYKAYPYDVVSIEA TIRDLNGRMYGRLEQSKLWACLDRRHFTELDIEFTPELYLLKQETELRSNANRTNLGV PLLALPAYSIVESDAMFMLRADDSPSSSTSIYVRTTASHGGGFVRGWVALPSSLSMHA PPPRLAEGPAGKHIQLVLQQAGAVALVLDEVQESGDVSQRLITRNLPRRFERQLLNCV QRGRCQCTPNLALFSFKLDYFWAESLPNLYRSILCPDICM H257_12844 MTIYSKHSDDLEDPHPIYEQDKFLNEHGYAWDYVLVFPISSAHR KPSIEDICHRLHAAGLSLKLFYSSAPKSKALRQLVFCLVRAPPDLLKKEADRISLNML VDETALKEAALQGYPLQNIQPFHIVQDTQNVHELPPYASIHVKYSCREDMQRLYLKRG PLNSLFSSTQRMLLTKSIMTNTHGGAGLDLYKILHNKTLAAFYPAHEPTERSELAAAW LSWHFWPWQQPLPSIQSYFGSKIALYFAFLAHYTTWLMGAGVVGLGLWIPQLVLPNTP LRDQVVVCSSSVFIVIWATLLMKSWGRYNASLAFHWGTTNFQATEQPRPQFVGRLVPS PITGRPILSFDKREKFNRMCWSWLLLLGLIGLVAGLVSMNFYLQNYLIEAKYAVRVGS WDVLIGGPVANLANVAQIALMFQIYDSVCVQLNEIENHATESDHEGAFILKSILFHVI NNFAALFYVMFVKRYLGVQCMNHDCLGELRISLLFIFGLQMLWGNLQQVLLPRLWSLW TACRVGRPHFDHIHPLEEEFYLADYGWLGTFYDYLELVLQFGFTILFIGAFPATPLLS LVNNVCEIRIDAYRLLNEYRRPTPRQAATAGQWVTVLEVLVTLSIFTNGYFLVYSSNV FAFQDNTIDGDLAKLRLFVLYVATLLLFRYSVSRYWENVPEAIQVQLKRQDFLSSKVL GREADEEAPQFVRSESFQFRIDSIENRRASV H257_12844 MTIYSKHSDDLEDPHPIYEQDKFLNEHGYAWDYVLVFPISSAHR KPSIEDICHRLHAAGLSLKLFYSSAPKSKALRQLVFCLVRAPPDLLKKEADRISLNML VDETALKEAALQGYPLQNIQPFHIVQDTQNVHELPPYASIHVKYSCREDMQRLYLKRG PLNSLFSSTQRMLLTKSIMTNTHGGAGLDLYKILHNKTLAAFYPAHEPTERSELAAAW LSWHFWPWQQPLPSIQSYFGSKIALYFAFLAHYTTWLMGAGVVGLGLWIPQLVLPNTP LRDQVVVCSSSVFIVIWATLLMKSWGRYNASLAFHWGTTNFQATEQPRPQFVGRLVPS PITGRPILSFDKREKFNRMCWSWLLLLGLIGLVAGLVSMNFYLQNYLIEAKYAVRVGS WDVLIGGPVANLANVAQIALMFQIYDSVCVQLNEIENHATESDHEGAFILKSILFHVI NNFAALFYVMFVKRYLGVQCMNHDCLGELRISLLFIFGLQMLWGNLQQVLLPRLWSLW TACRVGRPHFDHIHPLEEEFYLADYGWLGTFYDYLELVLQFGFTILFIGAFPATPLLS LVNNVCEIRIDAYRLLNEYRRPTPRQAATAGQWVTVLEVLVTLSIFTNG H257_12844 MTIYSKHSDDLEDPHPIYEQDKFLNEHGYAWDYVLVFPISSAHR KPSIEDICHRLHAAGLSLKLFYSSAPKSKALRQLVFCLVRAPPDLLKKEADRISLNML VDETALKEAALQGYPLQNIQPFHIVQDTQNVHELPPYASIHVKYSCREDMQRLYLKRG PLNSLFSSTQRMLLTKSIMTNTHGGAGLDLYKILHNKTLAAFYPAHEPTERSELAAAW LSWHFWPWQQPLPSIQSYFGSKIALYFAFLAHYTTWLMGAGVVGLGLWIPQLVLPNTP LRDQVVVCSSSVFIVIWATLLMKSWGRYNASLAFHWGTTNFQATEQPRPQFVGRLVPS PITGRPILSFDKREKFNRMCWSWLLLLGLIGLVAGLVSMNFYLQNYLIEAKYAVRVGS WDVLIGGPVANLANVAQIALMFQIYDSVCVQLNEIENHATESDHEGTTYTHIYTNNHK LVNIYIYIYIYILRRVHLEVDSVSRDQQLCRVVLRDVCQAVPGRAMHEPRLSRGAPHF ALVHFWAADAVGKPAAGVAAAPVEPLDGVPRRPSSL H257_12845 MPRRSLESFVCPISQEVMKDPVVACDGHSYEREDIERWFAQKVT SPATNAPLPSSRLVPNHSLRFAIQEYARHDSRASLISPSLNSFCCPIGKTAMLDPVVA SDGYSYDRANIKDRKVFLGMFTKHSKSPVTNCRLSLRQVVPNHALKQAIAEFLRTLHP LSPSSIVIFPAFSSDFSPPSPPHVCGNQLLPPPPPTYDGDPTPSFHLSLDQPPNVYRV VRQTGILWSEDVHDTMPPELARPLAPHALLVGFSRGSAFVQVDGDAIGSLVDTAYVAV ADLELVEAMEVRMTFRLRRRSPLALWPTASTAHVLAWMDVGDVVVSEAVVLDATGQAF HRCQDTKCWLRICDDV H257_12846 MRAYREGGHDGAVASGRPLSPGQRSRRDKYSSLQRHFDLVHRAL LCDCHVTVPSNVDFLGHKHLQRRQPQQPPRHLNICDIVLAPDESTAEDERVGRQHRIH PRHPEHHIVGVGRPADCDWRAHAIQYVTRVHDVVELDGGGPAKLARVGHFEERFAKRI STREFACAAQVKVERDARFEPRAADGKLKAAVASNGRVADRVRRSVGRSPGLWHGLLA G H257_12847 MPPANSGGDDIGLATNFSALDTVICATYLVSVLVVGGVATYVCR KKKSLDDYYLGGRTLPWWMLAIADVSSYIDIAGTMINTGLIYALGVRGMFIEVRGGLC LFLAFQLAFTGKLARRCPVRTKGEWIKFRFGAKLGGRVARTAIAIVSLVGGIFGVAYF SIGGGKFVTEFIAVPAYGGLPSDFWASGGLMAIALGYTIVAGFTSMVLTDVYQSLFIY ASFTVVAVWGMQVTLPSTFHVFIPTFNASSFLNISTTHDAWAAASLSSPNIPADSPYA MYNSFGGIVLLYLTLQCLRSASGPGGGGLQTVLATKTEHDVRKQSVLAMLLLLLRWAF GAAIAALAIDFTQHHPNVTVDPERVVPFVLSNVVPSGYRGCIVASLLAAALTTFDSTI NSASSYWTIDIYQAMICPKATPAQLVLQARVSTVVIVLLGWGLSLGIGTINRIWGFMT IAMGGGVVYPYFLSWYWARFNGVGCAAGLCTGVVSASAIFFACPHLGESHVFLWASSA SGVVSVAVAWLTPPTAPKTLNTFYKHVRPPGLWAEVSHTCFQAAELTAIAAENAKDLG CSGLLLIVQVATYVLAVSVVLKVWPQSGVLAAVLAVLLPAIYYKWYLPLDTHLVSHEP LLLDQDKDAWE H257_12848 MAARAAMARKKSNLFVNVGMPFVLFVVGGFTVLNQFLDGKMEKK DVMVKSQSERAFNLDEEHRKIMQKLNTTDLVIKRIPAPGEDPRNVK H257_12849 MIRFILTNGKLPLAHPWRAINALHSPSFSGGDMRLNFGQYIFLF GVSIGSLFAGSIAMHAVLKPDLTIPDLAADDLDDNDRKK H257_12850 MGGAVWQKYMKLGRQMAMPDRVNAYWNGLTVTERQSVLFLDEAD LVKQLYKLNFSLLCVGLMQRRLKKASSSSDEPTYELLEAMEFMDIGTGIMTVKNELVQ DGHAPALFELIQGSLHGFLAQPHVLSDKDFTQLFFHDSEGVSSWDEYQHLIAMLLEQL IVKSFVAYLERESLRQMEALLQEVDEETKHVASSTTKKKKKRRKLACSTTSAPLNEPP SDLQSTTTCPSPPQSPPASAKHPLPIMPPLSPPSDASPRIKATTARLPIRMLNPAAAE FKPLLPLKRKFESFVVHVENDEDAERSREYHGWRRGADDDDSDSDEHAVIDVSRDVEL DAQLAHLYHVTSELFGWNFSKHCEYRGYEAPAAWNDDGFFLTNPVVRFFTPDCDLCTS RMRCRRHQAQEDAWTWRRQTLHEPPPVQDYQDTYYR H257_12850 MGGAVWQKYMKLGRQMAMPDRVNAYWNGLTVTERQSVLFLDEAD LVKQLYKLNFSLLCVGLMQRRLKKASSSSDEPTYELLEAMEFMDIGTGIMTVKNELVQ DGHAPALFELIQGSLHGFLAQPHVLSDKDFTQLFFHDSEGVSSWDEYQHLIAMLLEQL IVKSFVAYLERESLRQMEALLQEVDEETKHVASSTTKKKKKRRKLACSTTSAPLNEPP SDLQSTTTCPSPPQSPPASAKHPLPIMPPLSPPSDASPRIKATTARLPIRMLNPAAAE FKPLLPLKRKFESFVVHVENDEDAERSREYHGWRRGADDDDSDSDEHAVIDVSRGGSR SVMFGRMIDATCRCGTGRAIGAPVPRDVGTVWMELFKALRVQRL H257_12851 MGSSKCTWCQKATWKFECDSCARPHGVLAAVRHCQSCSEAWHAV GASRLHVRREAPTTKIAATSVEDALEVQIQEKMLLLQQTLDTPQGTQESTSTDVVPTA DQPFAVDSSETNSLGLDVAATSHSTDVSVSQNCRNGDMKENDSASSTTSGNFPGDDNG PEIIVINDDDDDDSPEDAATADVDEEWSQLEPLDPALVDQLLAMCTIQDAVNCVHFTQ CRDSACVAASQHQVHVTCPDQSAMCRAFGMLTQHCETCPTAATCAVCVLVLQRRLQYK YVALCQALSVLPATAPSSPVAVTQFQAYARQSLKIRKQTCELELKDTVDTIRRLRLPT TTLPPIQNHFHRVTIPKWKRMRDPISDLMFAGTDTEKWRKWVQEGLHIVQAMECKYKK YCRRECATWVQAIQTYTTMHKLDPSKVTSTPEGEAIARHLSHYPCKFRQCMYCTLMRR SENEVKLAMATLCVSKLAGLLRLERNPVTLRQLEAKFRRFQAFQGEVEKKLRWFAQAL PLWSRPHTPVVDTPGDHYSG H257_12851 MGSSKCTWCQKATWKFECDSCARPHGVLAAVRHCQSCSEAWHAV GASRLHVRREAPTTKIAATSVEDALEVQIQEKMLLLQQTLDTPQGTQESTSTDVVPTA DQPFAVDSSETNSLGLDVAATSHSTDVSVSQNCRNGDMKENDSASSTTSGNFPGDDNG PEIIVINDDDDDDSPEDAATADVDEEWSQLEPLDPALVDQLLAMCTIQDAVNCVHFTQ CRDSACVAASQHQVHVTCPDQSAMCRAFGMLTQHCETCPTAATCAVCVLVLQRRLQYK YVALCQALSVLPATAPSSPVAVTQFQAYARQSLKIRKQTCELELKDTVDTIRRLRLPT TTLPPIQNHFHRVTIPKWKRMRDPISDLMFAGTDTEKWRKWVQEGLHIVQAMECKYKK YCRRECATWVQAIQTYTTMHKLDPSKVTSTPEGEAIARHLSHYPCKFRQCMYCTLSTS QATCMMVNDDHA H257_12851 MGSSKCTWCQKATWKFECDSCARPHGVLAAVRHCQSCSEAWHAV GASRLHVRREAPTTKIAATSVEDALEVQIQEKMLLLQQTLDTPQGTQESTSTDVVPTA DQPFAVDSSETNSLGLDVAATSHSTDVSVSQNCRNGDMKENDSASSTTSGNFPGDDNG PEIIVINDDDDDDSPEDAATADVDEEWSQLEPLDPALVDQLLAMCTIQDAVNCVHFTQ CRDSACVAASQHQVHVTCPDQSAMCRAFGMLTQHCETCPTAATCAVCVLVLQRRLQYK YVALCQALSVLPATAPSSPVAVTQFQAYARQSLKIRKQTCELELKDTVDTIRRLRLPT TTLPPIQNHFHRVTVPPSFPIVRRHERVMYIDPKVEADARPH H257_12851 MGSSKCTWCQKATWKFECDSCARPHGVLAAVRHCQSCSEAWHAV GASRLHVRREAPTTKIAATSVEDALEVQIQEKMLLLQQTLDTPQGTQESTSTDVVPTA DQPFAVDSSETNSLGLDVAATSHSTDVSVSQNCRNGDMKENDSASSTTSGNFPGDDNG PEIIVINDDDDDDSPEDAATADVDEEWSQLEPLDPALVDQLLAMCTIQDAVNCVHFTQ CRDSACVAASQHQVHVTCPDQSAMCRAFGMLTQHCETCPTAATCAVCVLVLQRRLQYK YVALCQALSVLPATAPSSPVAVTQFQAYARQSLKIRKQTCELELKDTVDTIRRLRLPT TTLPPIQNHFHRVTVPPSFPIVRRHERVMYIDPKVEADARPH H257_12852 MMGGFVHSAAVMVAAATLYLNGEVMAQLTDLPLCRGNPVYSYTS AQNVFPELKNAIQKVSQNQVVTWWTDNNPDYYKEMQKLLNNCNSSTVPTIAVYGLPNK DCKAGFSNKGANKDADMYVAFIKELASLVGTRPVNYIMEPDGVGLALDAPCGKTAGYL DNMMTAIPMLTDDNLNASLYIDVGYWSLKTDELTSEVVQAVKQLASKGGNVRGIALGT SNYRKTSEMSDMCAKFVKASNKEYKCVIDTSRNYLGPKDGTGEWCNSRYAAIGVPPTS KTGNDLIDYFLWIKAPGESDGPCNTPDITADALKNGPAAGMFFEKAFSLMWDRGYFVD KKLGDKLGRYTLDVDQIQEGGGVSYVALAIVGAICLVLVIGIVFMKRRHDAKQGRART NHRQVDAEAAVRKPYLSL H257_12853 MDANHYGAYQLYVVSGLTLLVSYVSILCRSQLQEATIRAVRQAL LPNASAGHLDGLTRGDLEDVGLEATKWKCGVCAFYNPDETDACVLCDTSRAMFLLAAP EFGDCENTIPIENLNLKQRAAWQRKQWTRDIDAVGEAYWNNAPGIPPTPSAIIYLVHS TRSSYASTAALALSPLTAASASKTLLGDPIASWWLPNLKTLRSYNFSIKYAWLLEQLS LVYTGHTKIEVRRATLMPMSLKLLGEIKPDNLCTKTKVTLLGESAVDAGGVSREWYTL VTMAIFETKEGLFMVANKDDQSFFINPNSERDHGPNHLADFQAVGRLLGRAIIDGQVL PFHFCVPLFKMLLGYPVSIEDVRYLDLTVYSNLTYIRDCDDVDDLALTFSVSVDTDVP EVELVVGGRDVGVTNANKTEYVERMVQYLMFERVAPQLQRLVQGLYDVLPQELLMPFD YKELELILCGFSEIDTLEDVVGWFWEVVEFDMTPSDRAKLLQFTTGSSRVPLQGFKGL TSYDGRLCPFNLYGIPYHVGAFPRGHSCFNRIDLPIYPSRELMKDALFALVAMESLEF TIV H257_12854 MGYNDNLTLYEIEISALGVSAVIVLAAYWFCRSKYKPVENLLPN EHVGHLYGLKRDALEDPTLEANKWSCDICSFRNENDHVECVLCHTSKAVHFLVAPEFA SACAGATMVSLGQLNSFQRSARERREWIRGLNDTTKSPVWKRNSKFGSLASCQGYYVA TCTTSNSMALVPFAPQLAGTSLVTGKPMPSWWFPQLEALHSMHFSLKYAWMVDQVATS NYDHTKLKIYRRNIFDESVELLMHVPVNQLCTKTMITLLGESAIDAGGVTREWYTLLT TEIFNDEQGLFMVANKDDQSFFINPNSERDHGEYHLADFQAIGRLLGRAIIDGQVLPF HFCVPLFKMLLGYPVSIEDVRYLDPTVYSSLTYIRDCDDVDDLALTFSVSVDTDVPEV ELVVGGRDVGVTNANKAEYVERMVQYLMFERVAPQLQRLVQGLYDVLPQELLMPFDYK ELELILCGFSEIDVGDWKRSTVVSKSLEDVVGWFWDVVEFDMTPSDRAKLLQFTTGSS RVPLQGFKGLTSYDGRLCPFTLQAIPYSKGAFPKVHSCFNRIDLPTYPSRELLREGLL VLVNMEVSEFTIA H257_12854 MVSLGQLNSFQRSARERREWIRGLNDTTKSPVWKRNSKFGSLAS CQGYYVATCTTSNSMALVPFAPQLAGTSLVTGKPMPSWWFPQLEALHSMHFSLKYAWM VDQVATSNYDHTKLKIYRRNIFDESVELLMHVPVNQLCTKTMITLLGESAIDAGGVTR EWYTLLTTEIFNDEQGLFMVANKDDQSFFINPNSERDHGEYHLADFQAIGRLLGRAII DGQVLPFHFCVPLFKMLLGYPVSIEDVRYLDPTVYSSLTYIRDCDDVDDLALTFSVSV DTDVPEVELVVGGRDVGVTNANKAEYVERMVQYLMFERVAPQLQRLVQGLYDVLPQEL LMPFDYKELELILCGFSEIDVGDWKRSTVVSKSLEDVVGWFWDVVEFDMTPSDRAKLL QFTTGSSRVPLQGFKGLTSYDGRLCPFTLQAIPYSKGAFPKVHSCFNRIDLPTYPSRE LLREGLLVLVNMEVSEFTIA H257_12855 MITLLGESAIDAGGVTREWYTLLTTEIFNDEQGLFMVANKDDQS FFINPNSERDHGEYHLADFQAIGRLLGRAIIDGQVLPFHFCVPLFKMLLGYPVSIEDV RYLDPTVYSSLTYIRDCDDVDDLALTFSVSVDTDVPEVELVVGGRDVGVTNANKAEYV ERMVQYLMFERVAPQLQRLVQGLYDVLPQELLMPFDYKELELILCGFSEIDVGDWKRS TVVSKSLEDVVGWFWDVVEFDMTPSDRAKLLQFTTGSSRVPLQGFKGLTSYDGRLCPF TLQAIPYSKGAFPKVHSCFNRIDLPTYPSRELLREGLLVLVNMEVSEFTIA H257_12856 MVAASIPVTILTGFLGAGKTSLLNNLLLQQRQLKIAVIENEFGE VAIDNDLLVSNALSVADKVIVLENGCMCCTVRGDILGAFASIAEQVASGHPLDMVLIE TTGMADPLPIVRTITQTPSITASFHMKGVVTLVDACNILTLLGSDNAEAQSQISLADL VILSKVDLVPHPHVHRDIAARIRDLNPTVPILPCVRGDLDFTAMFDRLSGFDLTKVED SFDNSTTDDHNHHDHACHDQCDHHHGDNRHTQTKSFAIVEPHLVVDPFLFAHWMRRLV LPSKDSIAKNVVLYRSKAILSVAGMTNKLVFHAVGDVVDREWLDVPAVHGCKIVFIGR NLDERQVRADFDALLRPHRPMIVPGTSSATSERFTAMHAADPHLVHRIMEFATSKDVV RVASTCRTLHLALTNLQHPRSSSSWLGLAHDPRHGGPYLHPGVALPNIPTYMRAYEAA NVEFVPFGGLKFPTAAHVDAAAVAWLELARLAEPSCRSYALDFTWRPETIAAFFGAPT PIQTSSALVKIEYDVAASDDFDEAATDILRFRVFLIPAVSGDDVAGYRLVFQLTGGRT SSQVYQISFHSIHPAFQVHVAVPDHRVPRAETKEVFYPGHPLLHAVQTEHALRFVVRV KPDGSGPLGEMCGCC H257_12857 MLKRYVAIRGFVHQLNDRTILSLLSTDEQDKEIDILLGILGELE SGTKDQQAEDSTILDARNLFDETILLYPDAAKRLGPNADILVSPNFESAVTKLLNNAA GQLSAVERESVCGLQMYSPATQNPSDKPLTLAERAKKRKKTSHEDRGKSEHLDVLGVQ APTQVNVASSGYTNLYSLLKLKHPGLAGIYAEAIGQNSKSAPLDAWFDPKATNIFNWI EWLIMNEHEFTFVESQLTRKNSTLKPISVKTIKRYCFKLVKAVERRVSSAMAGRPYSI VFDGWSNDSTHFLGMFVSLPNVYASIEKWFVCLIGDNCSTNKATVNLFSRLLIGCHSH RLNLAVDQFLKANVSDVLSNVAAVMVKLRSLKAGGPLRLTTMLKPKLRNATRWTGAVS MF H257_12858 MFVLLPTTQDDNLIRRHLLALKKFKSITLALQRRDVTLSEARLV FDRIRQKYTCLDSHLAPDSNIVRDEGFESAITKVQRHRESTLSALEKKSLKRLQRESV ESIESDSDGSDDFAMVTLKRQRTMTSAPSGYVCTKFNIKRGGTGKQHVQTTLHPNAIG ASWRLQVSTLTHLSAF H257_12859 MLLLPLTLFAIQGLLSTLLILATTLISLLLIPHCSFFRLHACHH REPPVSRHLLLHRATTRTCRVAAVADDVPKVRQTHEATATAMALPTERLRLCPAQRQG RFVFREFEDSDLQSSSFNVRLGIEEGCHDSGR H257_12860 MYQRWRRCLSALPLVAATSSWHVTSNESFVQTEQQKGRQTTSIS DKYEVGKVIGTGGYAVVKIAKDKATGQEVAAKFFDMKSASTAEIEAEIDMLRWIGTHA NIVSLRDVIYEPTDIVMVMDLVRGGELFDYIVSQGSITEADASRMLHDVCLALQHLHE RGVWCVAITTISHRTMSCISCSHRDLKPENLLLTEVSADADIKIADFGQSLRHAVGSK LNDAPPGGTIVYWAPEVIKRAPQDCAVDMWAFGVLLYITLTGIHPFDPKGNYSDAHII SLAVRGAYDVSNSWYKSLSDEAKDLLGKLLTVDPNARYTAAQVLGHPWMHPRLRRRLS TRFRDGLSSRLQGYRRLQHLRANIVTVLLSNQLQNTSQSNWLTNQNRQSKPTQQLHTL NQHVYEEVFALFDQDQSGSISKHELADVLKALGQQYTPAEIDAIMVSADVDGDGGISL MEFTTLVNSSLVQVGQWNDADLHAAFQIFDVNHDGFISADELAYVLNILDKTPISPAE LADLIESIDENGDGQIDYKEFSTLMQTWLVEGRGS H257_12860 MYQRWRRCLSALPLVAATSSWHVTSNESFVQTEQQKGRQTTSIS DKYEVGKVIGTGGYAVVKIAKDKATGQEVAAKFFDMKSASTAEIEAEIDMLRWIGTHA NIVSLRDVIYEPTDIVMVMDLVRGGELFDYIVSQGSITEADASRMLHDVCLALQHLHE RGVCHRDLKPENLLLTEVSADADIKIADFGQSLRHAVGSKLNDAPPGGTIVYWAPEVI KRAPQDCAVDMWAFGVLLYITLTGIHPFDPKGNYSDAHIISLAVRGAYDVSNSWYKSL SDEAKDLLGKLLTVDPNARYTAAQVLGHPWMHPRLRRRLSTRFRDGLSSRLQGYRRLQ HLRANIVTVLLSNQLQNTSQSNWLTNQNRQSKPTQQLHTLNQHVYEEVFALFDQDQSG SISKHELADVLKALGQQYTPAEIDAIMVSADVDGDGGISLMEFTTLVNSSLVQVGQWN DADLHAAFQIFDVNHDGFISADELAYVLNILDKTPISPAELADLIESIDENGDGQIDY KEFSTLMQTWLVEGRGS H257_12860 MYQRWRRCLSALPLVAATSSWHVTSNESFVQTEQQKGRQTTSIS DKYEVGKVIGTGGYAVVKIAKDKATGQEVAAKFFDMKSASTAEIEAEIDMLRWIGTHA NIVSLRDVIYEPTDIVMVMDLVRGGELFDYIVSQGSITEADASRMLHDVCLALQHLHE RGVCHRDLKPENLLLTEVSADADIKIADFGQSLRHAVGSKLNDAPPGGTIVYWAPEVI KRAPQDCAVDMWAFGVLLYITLTGIHPFDPKGNYSDAHIISLAVRGAYDVSNSWYKSL SDEAKDLLGKLLTVDPNARYTAAQVLGHPWMHPRLRRRLSTRFRDGLSSRLQGYRRLQ HLRANIVTVLLSNQLQNTSQSNWLTNQNRQSKPTQQLHTLNQHVYEEVFALFDQDQSG SISKHELADVLKALGQQYTPAEIDAIMVSADVDGDGGISLMEFTTLVNSSLVQVGQWN DADLHAAFQVRT H257_12861 MVQLPSLHFSPCDVSRHVKPLQNECTLGWDNLSYTVSRRGQHSK VIINRVSGRAAPGELVAIMGPSGSGKTTLLDILADRISSGQVTGQIDLNGRPRPVKTF RLLSSYVSQEDALTGSFTVLETLRFAARLSVPANVLATEREGRVQAAIDDMGLRSCEH TVVGDIFRKGLSGGQKRRLSIAIELLSKPTILLLDEPTSGLDAASTYNVMAYIQKLCL SQKHTVICTIHQPSTKVYTMFAKVLVLAQGETVFFGSPHDLLLHFANIGYPCPTYSNP AEYYLSLVNTDFPGHGDVDALAGGFASSTFATHTKLQVTGDRSRSDFAPLSKADLREM KPSVGRQFLMVLQRTALENVRNPGIFWMRFVMFFALSAMSGTLYLNHDHQLTDVDLVS LLFGAPAFTTFLSVAALPFFLDQRAVFSRERANSGLNVGAYAVATFVAGLPGLALLAI TTTVMVVPMTGLRGFGSFFGIMFASLTSAESFMCVLGVLVPNAIMGIAVGSACFGMFV LTEGFMVPKPAIQPYWLWGHYLGFHTYSFEALVANQFQGVNTPSADAVLTRFDLHDVH VGSHAGVLVGYALVLQGLGGVLLYVLHTGRR H257_12862 MTDDNYIHIQADGKQPPSSSSSNHVRVSGHPCVLGWDSISYTVP GKKKGKQILYNVSGRSAPGELTAIMGPSGSGKTTLLDILADRMSSGTISGKVDVNGKT RHSSSFRLLASYVSQDDALLGSFTVLETLRYSAQLSVAANVGPDERESRVQSAIDDMG LRSCADTIVGDLFRKGLSGGQKRRLSIAIELLKHPTVLLLDEPTSGLDSASTLNVITH VLKLSRYQHCTVVCTIHQPSSVVFNLFANIVLLANGQTVFSGSPQHALSHFASLGHPV PPFTNPSEHYLELINADFEGAHDTDVFVAYYLRSDVAARIQNQLAFDRDASVDEDALT THAADLRPSAFRQLGVIIHRNSLNNVRNPGVYGVRVVLYMGLSAMVGTMYLYSNKSLV EDDLVNLLFYVQAFLVFMSVAVLPFFTDQRAVFARERANHALSVPSYVVANFVAALPG IFVIALLSSTIVVSLAGLHAFGAFVLNLFLSLVVAESLMHVVGATAPHYIMGIANAAG IFGMFMQMEGFMVPAKTMPAYWKWANTVAFHTYSFESFVHNQFTAMNTTRSHEILARF GFEQVNVHQHMVVLGVYAVVLEVAFAAVLYKWHTGRR H257_12863 MHRSETLLAFLDEQNINLFEHSVLFPDLNAIENVWGMMVQYVNA IPKTIVTSDERQPTNLRGTWLIAKRVLVQEHATTFQYDGADGWHYCMAGSRHLIPMKL RAYLFRNHPAHPTSTDVIATVSSMPFFVMSYRPSCKACQASTNTRDASRDRAGVFRLS DGLQQGVLAHRGSQSEMLPLTPSVAPPATADHEHLVAMVVMGFRRTNVDTTPPQYNTN TTLLSTSITTKLCMDIAVVLAKYRSSLQAFLQQHATDILDQRRLWLGYEQ H257_12864 MQSLHALCHDDAVDYATTTPAYSSTSTHYSPAVGALPPQCPPSN VKTQSLLSGALSLNVNSVHSQFHPSSSPSLFSLLRWFTMGHRVQQEFVTSDAPTLFVQ SDAALFPTQRCPSLFSSRSRAFFESTPRVKPPCRHLTVSFTAITLGRLTDVLRRSRPP HIVQQRGCSDGRDCTHPIQVPDAAEINLEEKSPHERMAQPTKYKCYKLREPTTASNR H257_12865 MVSDIAFTNVHCTCLTPLFSKKYLRSHRSNGTKVLRCFPHCCPT HVDGTYCGSPLAVVVTVAPPGTLTQCSVDFRIESSNDTTMSCGDTVESHRVVANRRHP SNPSAEWLPTRVVAKKANATTFECNPYEGWNYGWKGGSSIHQRLSWHRIKAYVFKSDP DHPETFQVIATVASPPFFVMSYRRSCKACQTNEATGSSRDNCDCAGVFRLSDSLLQEA LSTGVTQVDILPLMANRQPHLDNNASHVAAQERDLRMVFAVLSLAPIPASDAALCELL HWFGSAVATGTPSRPQKMHDIVALCVHVVLALVVQGRQDVRAYMTEHSSDLLHQDLLW QRYELWLQLVTDKMDAHLNAIDVLRRAFTQALTTSCHVSHPSGYDTSTSKAPSPVSEF FVAQLREVYMARTTPPQQPPATTERCAWNGSWELDIDSVRVDTLSKGAAASPSLLSLL RCFTMGYRFDQHVANQTLSVLSSLAIFPSKRSEFILDQKPRVFRVFPNGETSMSNCGG LTYGDYMGSLDGSAIRLDLFCWSTASPPTCTLVRLCVQAKSTLLLVTMDVAVHANSHA PADGMDEWTPSERVQHLHQHSPSGVPWLRVMGSYARRSKFNVNEGL H257_12866 MKRSGESSDVEARRSKQARMHDGVLVLPIPSMRELMQRSKQRIS KPVQVSGFSKYPDGHVTYDRSLLKLLKKAAPLNSDLLEGMDAYAEPPNTAPLEHVIDA MLPANRHLHNHTTDAQPLPKYHVVTYRNNLNKIMGTPYNTNSAYTMQMQRIQGCVYLN VTLSHIPPSSFSSNQAQGAYAGRRYEVLSSAAVGGGEYCGVFSVSLGQKKLLIGAEMD GVTASKDDDDDTTNYVELKTFRILNTPKDRFTFERYKLLAFWIQSYLVGVPTIRVGFR NESFILTKEQAFETADLPRYGDKHWHPSVCVAFTDLVLSWFVEQPLQEMAVYTVEYKP QTKCMTMSLSTTPPFVKTPLSNY H257_12866 MKRSGESSDVEARRSKQARMHDGVLVLPIPSMRELMQRSKQRIS KPVQVSGFSKYPDGHVTYDRSLLKLLKKAAPLNSDLLEGMDAYAEPPNTAPLEHVIDA MLPANRHLHNHTTDAQPLPKYHVVTYRNNLNKIMGTPYNTNSAYTMQMQRIQGCVYLN VTLSHIPPSSFSSNQAQGAYAGRRYEVLSSAAVGGGEYCGVFSVSLGQKKLLIGAEMD GVTASKDDDDDTTNYVELKTFRILNTPKDRFTFERYKLLAFWIQSYLVGVPTIRVGFR NESFILTKEQAFETADLPRYGDKHWVLGLLVLLLVCHYGG H257_12866 MKRSGESSDVEARRSKQARMHDGVLVLPIPSMRELMQRSKQRIS KPVQVSGFSKYPDGHVTYDRSLLKLLKKAAPLNSDLLEGMDAYAEPPNTAPLEHVIDA MLPANRHLHNHTTDAQPLPKYHVVTYRNNLNKIMGVGAPHIRKITMLHMPIDAVQYQF CVYDANAAHSRLRLSQRHLVTYSTVLFFVQSSSRRVRWPAVRSAVVSSRRWWGVLRRV FRIPWPKEAAGPVLALSSHIYIVHLTLDDTLDWR H257_12866 MKRSGESSDVEARRSKQARMHDGVLVLPIPSMRELMQRSKQRIS KPVQVSGFSKYPDGHVTYDRSLLKLLKKAAPLNSDLLEGMDAYAEPPNTAPLEHVIDA MLPANRHLHNHTTDAQPLPKYHVVTYRNNLNKIMGVGAPHIRKITMLHMPIDAVQYQF CVYDANAAHSRLRLSQRHLVTYSTVLFFVQSSSRRVRWPAVRSAVVSSRRWWGVLRRV FRIPWPKEAAGPVLALSSHIYIVHLTLDDTLDWR H257_12866 MDAYAEPPNTAPLEHVIDAMLPANRHLHNHTTDAQPLPKYHVVT YRNNLNKIMGTPYNTNSAYTMQMQRIQGCVYLNVTLSHIPPSSFSSNQAQGAYAGRRY EVLSSAAVGGGEYCGVFSVSLGQKKLLIGAEMDGVTASKDDDDDTTNYVELKTFRILN TPKDRFTFERYKLLAFWIQSYLVGVPTIRVGFRNESFILTKEQAFETADLPRYGDKHW HPSVCVAFTDLVLSWFVEQPLQEMAVYTVEYKPQTKCMTMSLSTTPPFVKTPLSNY H257_12866 MDAYAEPPNTAPLEHVIDAMLPANRHLHNHTTDAQPLPKYHVVT YRNNLNKIMGTPYNTNSAYTMQMQRIQGCVYLNVTLSHIPPSSFSSNQAQGAYAGRRY EVLSSAAVGGGEYCGVFSVSLGQKKLLIGAEMDGVTASKDDDDDTTNYVELKTFRILN TPKDRFTFERYKLLAFWIQSYLVGVPTIRVGFRNESFILTKEQAFETADLPRYGDKHW HPSVCVAFTDLVLSWFVEQPLQEMAVYTVEYKPQTKCMTMSLSTTPPFVKTPLSNY H257_12867 MQQDLSDDESPRRGDVRKYKPEMSMGDDDTCIHHTDGDMGGRRG ATLTCRIVCHTIDKKESRKFRRSIHARPYVITFIMHVMDSEDTSTVKRTYLQFKSLHK QLCNKYPRAHIVPLPSMKLNRYDSRYIEQKYKELERYIDNLFALDTIASCDLLRGFLD DCPLSDGTDEDDNDHDDGLDVRESTTVRIQRGQSYSISVKIPCAGADVSFHFSTQKYD VGFTITLNHDHVLHMYSKEQALKGTVTCPTSGVCVLTWDNSFVWRRSKTVTYRADVVL PFHMPSLNGLPVDVTTEPSTTTSPPTGYIEQVRSHSMIMSPRRLVSRSMSRIGWAHGS DAFCIKAGPLIVQRRHTLIIKAGFASVHKWYRKWFTLDGAHGILRYYDKEDAVAREGA LAKLVVSSAKTTLDDVTPQLNSPTPYAFQLKSGKTSWVLCAENEADFVAWRAALATCL YFERWNHQPGPHHDVTTVVLSSDEDNSDECDDDECDDDELRPPSPPDQNNRPESSRGE GFVSTQEEAHHAANAINKAETTWIENAELLALPLLTRPTTKLDVIGFVALNGAMAGVV LAPSMVLWTVLVVINTVVLCRLVALSS H257_12868 MEKGGGAVDAKQWELMTLYMETLHKKGDLTDLTACATSINDALE RVLGQTPNWANMYYVTIMRCMYRQMILRMTLNAPLQSDGDIVVRFCRLVLREGSCPPS LRSQAMSLLYDACASCASRVMHVLGVDSFQRNESTAEFSCACRGLDELLSCMAGSGKS FEFIYTSLDNGTTSVRLADVVNDLLSSGLSKSRTMGSSLLFRIILDSTQAQASKVLGV LFDCADVEVDARVHAHVLGLVWEVLDLRRDLLDDNSWSAVSTSLRHPSPLVTSMAAYS AMRLLLCDAKHDSKIAGSMLGDLVTIYVHHSLSLTQPVLQLMFQFFHDHYGATHSQSL IVSHYVAYTNGASLPTLVAEHDLENLRLDRHESALKRENQLLMHMLRSSEAHAIVVRN FQKMMQRMFAMSYSLSRVRGILGADHNVQAQ H257_12868 MEKGGGAVDAKQWELMTLYMETLHKKGDLTDLTACATSINDALE RVLGQTPNWANMYYVTIMRCMYRQMILRMTLNAPLQSDGDIVVRFCRLVLREGSCPPS LRSQAMSLLYDACASCASRVMHVLGVDSFQRNESTAEFSCACRGLDELLSCMAGSGKS FEFIYTSLDNGTTSVRLADVVNDLLSSGLSKSRTMGSSLLFRIILDSTQAQASKVLGV LFDCADVEVDARVHAHVLGLVWEVLDLRRDLLDDNSWSAVSTSLRHPSPLVTSMAAYS AMRLLLCDAKHDSKIAGSMLGDLVTIYVHHSLSLTQPVLQLMFQFFHDHYGATHSQSL IVSHYVLYRNQLVRAIYIDTFTTLGGFIINIILLGCL H257_12869 MAGYIALLCCFLAYAMAFSPDELPLCGGPVLTYGAAKSTYPALA DALTIVEQHPMATWWTDNNSTYRAQVETLMGHCNASTVPTIVVYALPQKDCHAGYSNL GFIKDTSQYIAFVQELADLVGTRPVIYVLEPDAVGLASDGGCGHAAGYLANMQRATSI LTARNPNARLYVDVGYWMLQTDAKSRQVAAAMQVVGAASRPGAVRGIVLNTSNYRRVA EMATLCSNFVNLTGNPQWRCVVDTSRNYRTPSTSNEWCNNKFGAIGVPPTRNTGYPGV LDLFLWIKVPGESDGTCANSSAPGGAAHSSDAMPGPPAAIFFPQAFRKQWDQGYFVDK KLGALLVDKVETKPLVGVLPQEVESKPPVVSSAITTSAPTLSTVLPMVTTTIPEMTSP PPSVNQVVPSAVLSEGVEHEKDATNLTWSVVGAVVLGCVILVLALYAVFRKRRREVKA VDVVLLSVKVS H257_12870 MFGMDSSSISRYQLYAVAVAVMFVFVVLLCFRTKQGTVEMLLPN EHVGHLYGLARDALEDPSLEAKKWSCSVCSFRNAPDQLECVLCRTSQAVYLLVAPEFG SPDGSVVTVDKLNSTQRSARERHDWVRVYYSTRATPQWTTNRETLSSSQHYVATESTE TFQLVELAPEMAGVAIASGAPIASWWFGQLEHLQSLSFSLKYAWLVTELSGLTEKHTK MKIFRAKVFQESIHVLMLISPDQLCTKTKITLLGESAIDAGGVTREWYTLLTTEIFSD EQGLFMVTNKDDQSFFINPNSERDHGPNHLADFQAVGRLLGRAIIDGQVLPFHFCVPL FKMLLGYPVSIEDIRYLDPTVYSSLTYIRDCDDVDDLALTFSVTVDTDVPEVELVVGG RDVGVTNANKAEYVERMVQYLMFERVAPQLQRLVQGLYDVLPQELLMPFDYKELELIL CGFSEIDVGDWKRSTIVSKSLEDVVGWFWDVVEFDMTPSDRAKLLQFTTGSSRVPIQG FKGLTSYDGRLCPFSLHGTPYVKGAFPKVHSCFNRIDLPTYPSRELLREGLFVLVTID TSEFTIA H257_12871 MFGMDSSSISRYQLYAVAVAVMFVFVVLLCFRTKQGTVEMLLPN EHVGHLYGLARDALEDPSLEAKKWSCSVCSFRNAPDQLECVLCRTSQAVYLLVAPEFG SPDGSVVTVDKLNSTQRSARERHDWVRVYYSTRATPQWTTNRETLSSSQHYVATESTE TFQLVELAPEMAGVAIASGAPIASWWFGQLEHLQSLSFSLKYAWLVTELSGLTEKHTK MKIFRAKVFQESIHVLMLISPDQLCTKTKITLLGESAIDAGGVTREWYTLLTTEIFSD EQGLFMVTNKDDQSFFINPNSERDHGPNHLADFQAVGRLLGRAIIDGQVLPFHFCVPL FKMLLGYPVSIEDIRYLDPTVYSSLTYIRDCDDVDDLALTFSVTVDTDVPEVELVVGG RDVGVTNANKAEYVERMVQYLMFERVAPQLQRLVQGLYDVLPQELLMPFDYKELELIL CGFSEIDVGDWKRSTIVSKSLEDVVGWFWDVVEFDMTPSDRAKLLQFTTGSSRVPIQG FKGLTSYDGRLCPFSLHGTPYVKGAFPKVHSCFNRIDLPTYPSRELLREGLFVLVTID TSEFTIA H257_12872 MSSQSLGLDSSLSQYQLYSLALAAILVLLALLFCRTKKQGTVEM LLPNEHVGHLYGLARDALEDPSLEAKKWSCSVCSFRNAPDQLECVLCRTSQAVYLLVA PEFGSPDGSVVTVDKLNSTQRSARERHDWVRVYYSTRATPQWTTNRETLSSSQHYVAT ESTETFQLVELAPEMAGVAIASGAPIASWWFGQLEHLQSLSFSLKYAWLVTELSGLTE KHTKMKIFRAKVFQESIHVLMLISPDQLCTKTKITLLGESAIDAGGVTREWYTLLTTE IFSDEQGLFMVTNKDDQSFFINPNSERDHGPNHLADFQAVGRLLGRAIIDGQVLPFHF CVPLFKMLLGYPVSIEDIRYLDPTVYSSLTYIRDCDDVDDLALTFSVTVDTDVPEVEL VVGGRDVGVTNANKAEYVERMVQYLMFERVAPQLQRLVQGLYDVLPQELLMPFDYKEL ELILCGFSEIDVGDWKRSTIVSKSLEDVVGWFWDVVEFDMTPSDRAKLLQFTTGSSRV PIQGFKGLTSYDGRLCPFSLHGTPYVKGAFPKVHSCFNRIDLPTYPSRELLREGLFVL VTIDTSEFTIA H257_12873 MPQTVDPSATKGCLRSDDINHRVARCPKAAPGETVTSASQALEG RYHGAGQPDAGSENGARYAVGNIVCVDDVPLDTSSDVTIITRGVVDGTLSVKFNYEDA FGVVEPYKRLDYLASYKSDPALTTLMLRLKCTQFVRPYEGTAHKACRLKIYDESGSET PNNLEAEIAIGDVGFDVQRLDERDNIPVVCYMVANKEEPAVAALIMTLDFP H257_12874 MILDSSDKSKRGVTSRTERLAILEFLRTPDNFALMTGQATNGKA MKGYDGYVASYRCGLHWTGTNNSGRGLTDVDYKKKNFGKYYGESFASDDTNHDEQDPE ADKTTLKELSNGADDPEDDDYWAENDAEDSGQQRGTPAKRKWIDERGKATKRDAKMDC RINSPSSKGG H257_12875 MVLNVAAMIGLLEDLAVSDQIFLDNCFTQDGDTNIVEVAMDRLQ RLRVQDKLSSMAKLRTKNTLFEHYLYAFGKHKLHDLKIETSVSPEGLLVDMSDYQLGS VVDLTILRNRIRVHREALKKTDTEMSVVNHGEQINTHRTMWAF H257_12876 MAGTGGTRAKTEPTTLDFSKEVSSSEVAAPQPVVIDLTEISDPP AIVLIDIDSDEEHCIKLKDKPWTMVKLPSLFPRHCVPPRKRIYARRYMFRSTNNETWG FNLNQDGTVTHVLEFPGAAAADYAGCW H257_12877 MSFAPPPAPATALPSGGHEIGRTNVSELLAHSQGIMSWSGCGRY LAVAKDTRLCVRDVTMNLSIVQLFTCVDLISAIQWAPHIETDSNSGDSMRLIFCAMYK RAIVQVFSILDPSWTCKIAEGICGLISAKWTPDTRHIITVSDFRIHATVWSLVDTSKY VIRHPKLGAEGFAFSPDGTYLAVAERSECKDAIGIYNVETWELARHFDTASYDMVELA WSMDGRAIVVRDTFLEYRVLFYSIEGDLLHQYEAYQHALGIKSMAWSPSGQFLALGSF DEKVRLMSHMHWKCIAELDHPTFLPSTSASSSVTIYRQDKRSSDWVVEAPPHTVTYVS PDPLKDVPKVGVGVLAWSHDAAYLASKNDAMPFHIWIWTTDTMALHALLVSSAPIKTL RWNPSKTSLSFGCGHSKVCFWTPDGLTW H257_12878 MCRRAGSVLKTHLRKLVKTRMRGETLRKWIGRNIDNSVLALSID IFQVFLGLLVTIVYFSQNWLEFSPNLESYELIMLQWVIGIFFSLDYIMRLYAADSRRI FFLSPFALVDLVTILPQWLEVLFEANEEFRSKASAMKTLRALRFLRAYRLLVFSKTAK GRQGGVLFLTVMSIIVCSAGVIQAVESCGPGDVQGKNCQSLEIYNACYFVVITIATLG YGDIAPKTRSGKVCVIFLIFSTGILLPLQISRYSDILSRETEYDKSFTAKKEKNPHIL ICGEVNSSALDFFLRQFLHPNNMNWKDKVVILCPGLPSHNLKRILLNSAYEQRVVYLQ GSAMLDSDLKRAGAANARLCFVLLNKLSTDGDRSDTASNLLTISLRHHTKRVPLFVQV LKTDNIRHVHLSGASNIVCVDQLKMGLLAKSCVIPGLCALVCNILFTFRPFYKTSGLW TSEFLHGCAHDVYEAHVPTYLQGMMSMATLTLIMYCEYHVILLGVTDNLDKFHLFPSK LRLRKSHTVLILSKTPDCSRWLEGLSLYTLQKYQHWISEFAAISDAWQGGTLSGRLRT TRELMRKSMSLSSGQTRRTLRYALAKTDDVMKSPPHTRPFTSSQIVPCTGPSDDKHHD EGGDEEEEVVVAYVPHEDNPPLASSPPPVNSHAQVTHKTTTPLALSTTAIPGIGKVAH PSLSDVIPTTAQATHECPHPLRHAPLAPVQLERPLSICTPTSLPRTDVSPASPMEPHP PTCPPSPHKAASHGKKLSISYMAFHNERIPADLSNHIILCGMPNMLHDFVAPLRPGVP RANQSTYSLTDPLPPPDENASDNSAPVVPIVVISQVPITEKQHASIAMFGHVYYIHGS PLHESVLREACLYYAKSIVIMSACTQSQQDVDGGGRHPHHVPTEYFVDQNMIDTDAIT LHRFITEACEINCPMDAPLPTVIIELSRPSSLRFLKDDHIKVQDADMESHVKAMTKQV LSRADDPLDNICHPLYAAGKVCVANFLDALLGSCNSYGTMVDLFHLLILGEPAFESTS KTRAIDQMAVPKHSWGVLYGQLVQDMITQQDTLVIGLYRSRGGGLFFVFVNPPEDVVV TPQDRLFIIR H257_12879 MTADASGHAMTTRLSANAQQNRKPPSTHMSSAPLGALRMKNSRL ATTTGKSALPTRGLVVQKKRALTIPISPNFSKPRVSTVRKPYQPTVAAPIPRPSKAPQ KKRALTVPVSPNLSKSRFPVTKPPLPLREKVKPKQWNTPLKRTLTQPRTPKFTKRPVR RTSERLSATSKELLEIEAKRQDVMLERRKTQQYHQVTQGLRNSGDANGKTKFRMTLRS AGVIGVPAIRRPKLTSFREFHFSTDSRALVKQTKESLKRKMAPAPVSSSPGRPTQRRR ITGKQH H257_12880 MTAMSSAGLALPTRASRMARYCLFFGSSSTARSMLCTARSMNDS SGVFSYFSAYMSPMLKYASSLVGSSVAAASKCSRASTCFSRSLLALYMDPRLAWARDI WDWVASGSFSTRIKYRSKLEMASLLSANLRWLFTWSWACCDKANPKW H257_12881 MINKFFLRGLGFFNDAYDLSVINIINVILEHQYTTSVYDARMKS NVSAAALIGAVLGQLAFGVLGDIYGRKNCMVATCALLIVGGILCAAAYGGSAINTLWF LVLARGLLGFGIGGEYPLAAASSSEDATSPQDRNRRVALTFSLQGVGFTTASLLGLLM VNVLQDNAHDLEVMWRVLFGFGVLPALFLVYFRITAEETDGYKNMLAGNVHVAKVRWS FILKHYGKSLLGTAGTWFLFDIVFYAQNLFSASILSVVGAQSDLKTIALQNLLIALVA LPGYYTAVFFINKMGRKLIQLQGLTVMTIIFLVLAIWWDDIKQTAWLFVILFGLTLFF SNFGPNMSTFVMPTEMYPTAIRSSCHGFSAAMGKAGASIGSYGFSLWVKDPSFGYDGA FYTFAGISLATIVLTWFCMFDNNEGSEVMDEEFKNKLLDEDKDTRDSFVQMKDVQVGV H257_12882 MINKFFLRGLGFFNDAYDLSVINIINVILEHQYTTSVYDARMKS NVSAAALIGAVLGQLAFGVLGDIYGRKNCMVATCALLIVGGILCAAAYGGSAINTLWF LVLARGLLGFGIGGEYPLAAASSSEDATSPQDRNRRVALTFSLQGVGFTTASLLGLLM VNVLQDNAHDLEVMWRVLFGFGVLPALFLVYFRITAEETDGYKNMLAGNVHVAKVRWS FILKHYGKSLLGTAGTWFLFDIVFYAQNLFSASILSVVGAQSDLKTIALQNLLIALVA LPGYYTAVFFINKMGRKLIQLQGLTVMTIIFLVLAIWWDDIKQTAWLFVILFGLTLFF SNFGPNMSTFVMPTEMYPTAIRSSCHGFSAAMGKAGASIGSYGFSLWVKDPSFGYDGA FYTFAGISLATIVLTWFCMFDNNEGSEVMDEEFKNKLLDEDKDTRDSFVQMKDVQVGV H257_12884 MLLSLFVINKAGGVVYQQDLSAEAPKISSNDRLRLGSTFHSIHA IAALAAPVASKGIVSMDTDSFRLQCFQTLTGTKFFITALPNISSGDLEAVLRQIYELY ADYVLKNPFYELEMPIRCHLFYTNLQLLVDKVNTSSTRRPY H257_12883 MPTGASTLEDFDVYESNPFDDCDVPEDDNNPEPTKSGKQGGAFD FQKWVARKDKYERVLQALRAISTDRAANESQWFECAVALAATDCLLKVGRTDKCDCEG ICRDPGHAVSKQRSRCQCPAPKCSICNRCIGTTVGTITDKMQEIMRLYRGKSHDSDLE IAHQVANMGEGHLLNGVKITGLALRMSKSVLAQCSVLLGPDQAKMQRPCACPMRSLGD HWVHWTKANHSFNKVRLSDAQAKKFGGATRQLMEFVEADNVYLLTIKWRVAAKPQKGD TAKIAARRCLSADEKKLNAVFKALCKKYWMQAQHRVVELLREKIEAMTKQNRDKSTDR PRPPKSVAEMETAVLTNVGVKRIVQWVEDDKDAVQAKADESQKEKQEEGSMAHNAWVK RKDRLRVRVPTSPTNRHGNSAIKSMWKPPRFDFSTSGLVRPKKMEMPCCAVDLMRNSG LKYVHAMSEGFQGRGGDLDKCREVLLKKGHVYKSNFNIDDPDSAFSEDRYRFEKQAIK ATGTAVVVGGVTREEGSKESYAVWMAAKARRDKAIGFLQHVTKPKDMEPEAAPATRWR DVGQALKGVDRSLLTAWMTWSDGFMSQGRCRVLWESFPPIACDVHSTSSAIRDVFLKL LHRKEVDYKDAFLKFATRKHMQAVKAGVTDDEDMKDDDKLQTYAHMTAKEFTKFLAGV GILLQPEECDRVVEYFDANGDGTITMQEFLAVTGDKRLTQCHGDTELALKDVCMWETV CHECGMLNAFQMVAGLKKDKQRLRAELPAHVKRRQLSQFQCNPILNMREVKEKAPYAC DYAGWSAENAQDCVAKLDLWSVENRERKALQRLVTQGAPPEAPALFKDEDTTLDPTTM LLLRWHPPPVHGNNGAAFYILETSGAEGSTTYKQNVFRELVRDPQDFHDNQGEPRYHY VVTGLVPNTKYAIRLRALNAFGAGPYTFGYFTTVPSAPPAPMATRVTWNSIHLSWNTS IWYETQLKELRQVFDQADVDHNGEISRDEFVDEIEKRKPRVLEFLQKTTVMTADTTGV PLSMFDLIETNDSNSISWQEFVQMFQATIDWDAVDNKPAKPATGGPPSTLASKQRGTN VRTNRTRYVLKQCMDEVAGVYAEIYRGTKPYFVVLGLAAGTAYQFRVQTLNEDNVASL HSAATVVHTALMTPQAPVVATLGDSSVTLQWAEGSALANDQLTLAQKTKRMKKGAVLD DSVHRMLKEWAKETMVDSPSIDFAGKFKRYDTDGSGFIDVAEFQTLLAELGVPPTPER IQAYMTEFDTNNDAKISFDEFKAWWNKDTVEYVLKRSTTTSDASTVCYRGHGATTSVA GLAPNTRYIFRLRHVSSHATSALSAAASLMTLPRAPSPVGVIEALSTKLRVKWHPGTN GAERYLVEYRWTESVESTKTAAKPLAAKDAAWVVGYEGQDTVATLVGLAPSCIYRLRV RASNADRGWSGYGSVTDACTCAKDPSMKPAVAAEMFKVEVGGPGQMVIGDTILFTERL FLGDKGNVVEDEERRRGKSKPSSHHAGHECVGERTIAARVTKFVVDSLAVRTVVMLDV VWSTVLFYDQLHQKTMAAAATLQPDIKLARGEGAILKYETFRLPWQDEVARNPNMPTK LAEWAAWQQANGK H257_12883 MPTGASTLEDFDVYESNPFDDCDVPEDDNNPEPTKSGKQGGAFD FQKWVARKDKYERVLQALRAISTDRAANESQWFECAVALAATDCLLKVGRTDKCDCEG ICRDPGHAVSKQRSRCQCPAPKCSICNRCIGTTVGTITDKMQEIMRLYRGKSHDSDLE IAHQVANMGEGHLLNGVKITGLALRMSKSVLAQCSVLLGPDQAKMQRPCACPMRSLGD HWVHWTKANHSFNKVRLSDAQAKKFGGATRQLMEFVEADNVYLLTIKWRVAAKPQKGD TAKIAARRCLSADEKKLNAVFKALCKKYWMQAQHRVVELLREKIEAMTKQNRDKSTDR PRPPKSVAEMETAVLTNVGVKRIVQWVEDDKDAVQAKADESQKEKQEEGSMAHNAWVK RKDRLRVRVPTSPTNRHGNSAIKSMWKPPRFDFSTSGLVRPKKMEMPCCAVDLMRNSG LKYVHAMSEGFQGRGGDLDKCREVLLKKGHVYKSNFNIDDPDSAFSEDRYRFEKQAIK ATGTAVVVGGVTREEGSKESYAVWMAAKARRDKAIGFLQHVTKPKDMEPEAAPATRWR DVGQALKGVDRSLLTAWMTWSDGFMSQGRCRVLWESFPPIACDVHSTSSAIRDVFLKL LHRKEVDYKDAFLKFATRKHMQAVKAGVTDDEDMKDDDKLQTYAHMTAKEFTKFLAGV GILLQPEECDRVVEYFDANGDGTITMQEFLAVTGDKRLTQCHGDTELALKDVCMWETV CHECGMLNAFQMVAGLKKDKQRLRAELPAHVKRRQLSQFQCNPILNMREVKEKAPYAC DYAGWSAENAQDCVAKLDLWSVENRERKALQRLVTQGAPPEAPALFKDEDTTLDPTTM LLLRWHPPPVHGNNGAAFYILETSGAEGSTTYKQNVFRELVRDPQDFHDNQGEPRYHY VVTGLVPNTKYAIRLRALNAFGAGPYTFGYFTTVPSAPPAPMATRVTWNSIHLSWNTS IWYETQLKELRQVFDQADVDHNGEISRDEFVDEIEKRKPRVLEFLQKTTVMTADTTGV PLSMFDLIETNDSNSISWQEFVQMFQATIDWDAVDNKPAKPATGGPPSTLASKQRGTN VRTNRTRYVLKQCMDEVAGVYAEIYRGTKPYFVVLGLAAGTAYQFRVQTLNEDNVASL HSAATVVHTALMTPQAPVVATLGDSSVTLQWAEGSALANDQLTLAQKTKRMKKGAVLD DSVHRMLKEWAKETMVDSPSIDFAGKFKRYDTDGSGFIDVAEFQTLLAELGVPPTPER IQAYMTEFDTNNDAKISFDEFKAWWNKDTVEYVLKRSTTTSDASTVCYRGHGATTSVA GLAPNTRYIFRLRHVSSHATSALSAAASLMTLPRAPSPVGVIEALSTKLRVKWHPGTN GAERYLVEYRWTESVESTKTAAKPLAAKDAAWVVGYEGQDTVATLVGLAPSCIYRLRV RASNADRGWSGYGSVTDACTCAKDPSMKPAVAAEMYSCPHIRIYKDQDDLDITTM H257_12883 MPTGASTLEDFDVYESNPFDDCDVPEDDNNPEPTKSGKQGGAFD FQKWVARKDKYERVLQALRAISTDRAANESQWFECAVALAATDCLLKVGRTDKCDCEG ICRDPGHAVSKQRSRCQCPAPKCSICNRCIGTTVGTITDKMQEIMRLYRGKSHDSDLE IAHQVANMGEGHLLNGVKITGLALRMSKSVLAQCSVLLGPDQAKMQRPCACPMRSLGD HWVHWTKANHSFNKVRLSDAQAKKFGGATRQLMEFVEADNVYLLTIKWRVAAKPQKGD TAKIAARRCLSADEKKLNAVFKALCKKYWMQAQHRVVELLREKIEAMTKQNRDKSTDR PRPPKSVAEMETAVLTNVGVKRIVQWVEDDKDAVQAKADESQKEKQEEGSMAHNAWVK RKDRLRVRVPTSPTNRHGNSAIKSMWKPPRFDFSTSGLVRPKKMEMPCCAVDLMRNSG LKYVHAMSEGFQGRGGDLDKCREVLLKKGHVYKSNFNIDDPDSAFSEDRYRFEKQAIK ATGTAVVVGGVTREEGSKESYAVWMAAKARRDKAIGFLQHVTKPKDMEPEAAPATRWR DVGQALKGVDRSLLTAWMTWSDGFMSQGRCRVLWESFPPIACDVHSTSSAIRDVFLKL LHRKEVDYKDAFLKFATRKHMQAVKAGVTDDEDMKDDDKLQTYAHMTAKEFTKFLAGV GILLQPEECDRVVEYFDANGDGTITMQEFLAVTGDKRLTQCHGDTELALKDVCMWETV CHECGMLNAFQMVAGLKKDKQRLRAELPAHVKRRQLSQFQCNPILNMREVKEKAPYAC DYAGWSAENAQDCVAKLDLWSVENRERKALQRLVTQGAPPEAPALFKDEDTTLDPTTM LLLRWHPPPVHGNNGAAFYILETSGAEGSTTYKQNVFRELVRDPQDFHDNQGEPRYHY VVTGLVPNTKYAIRLRALNAFGAGPYTFGYFTTVPSAPPAPMATRVTWNSIHLSWNTS IWYETQLKELRQVFDQADVDHNGEISRDEFVDEIEKRKPRVLEFLQKTTVMTADTTGV PLSMFDLIETNDSNSISWQEFVQMFQATIDWDAVDNKPAKPATGGPPSTLASKQRGTN VRTNRTRYVLKQCMDEVAGVYAEIYRGTKPYFVVLGLAAGTAYQFRVQTLNEDNVASL HSAATVVHTALMTPQAPVVATLGDSSVTLQWAEGSALANDQLTLAQKTKRMKKGAVLD DSVHRMLKEWAKETMVDSPSIDFAGKFKRFSIVPTPIYIQYGFMSTTKTYGGTYVSTL L H257_12885 MGKAGASIGSYGCSLWVKDPSFGYDGALFYTFPGISLATIVLTW FCMFGNNESSEVMEDFKNKLLDEDKDTHDDSFSAAAGFDVNLSPAGCRRAAGKYSSRT TIVVINYVPLLVLYQ H257_12886 MKIKKRHIEIAVGLVLLAGAIVGVLFGAGVFQDSAEVAAQKAFA AAAKAVAPRDAARCPVIPPGKRLIEQFASQRRGCASVTPANCIPPSKCIPHDKSTTWQ VTEGVTHVVLTYADLATLSFQLKDVDVLTCVSDLHKRCIYVLGGIGGDKAFDTSSVAA DAVSLVNKFKLDGISVHDLSSQTSTLPYMTALSTALKASVASTTLSYDVFYSELDKTL LNCGTRCFADGVQDVVDWITVLAYSVSSDPVLASDVYADAISGFFDPWKAKLQGKLNI GVCIDCGYGPGPTIDDISIWANYSQSVGGMSVYGTDRMYAIQAILRPMPLPLRSSNIS SSCGSNDGAPRVVLFWGSEVGGCESIPRGVTHVIMSFSLVQDGNVTLSLQGNDATLRR CVLSLQQRCIKVLVSIGGETNSKAIADLVDFDRFATSAVALVDKFNFDGVDMDDETRG KYDPAHVIAYMTSLSNALRPRDKLLTIDAFYYDAVPDKCAAVTGRCFPKQVEPLVDWV HVMAYNVDLDVAKAQAVYASAINDTFSAWTAVLPPPKMVVAVCTEASNSLYRGCAFGP GPSLAVVTLWTQWSRASGGGMALWAGSKDQFLNYTYTTLLTG H257_12886 MKIKKRHIEIAVGLVLLAGAIVGVLFGAGVFQDSAEVAAQKAFA AAAKAVAPRDAARCPVIPPGKRLIEQFASQRRGCASVTEGVTHVVLTYADLATLSFQL KDVDVLTCVSDLHKRCIYVLGGIGGDKAFDTSSVAADAVSLVNKFKLDGISVHDLSSQ TSTLPYMTALSTALKASVASTTLSYDVFYSELDKTLLNCGTRCFADGVQDVVDWITVL AYSVSSDPVLASDVYADAISGFFDPWKAKLQGKLNIGVCIDCGYGPGPTIDDISIWAN YSQSVGGMSVYGTDRMYAIQAILRPMPLPLRSSNISSSCGSNDGAPRVVLFWGSEVGG CESIPRGVTHVIMSFSLVQDGNVTLSLQGNDATLRRCVLSLQQRCIKVLVSIGGETNS KAIADLVDFDRFATSAVALVDKFNFDGVDMDDETRGKYDPAHVIAYMTSLSNALRPRD KLLTIDAFYYDAVPDKCAAVTGRCFPKQVEPLVDWVHVMAYNVDLDVAKAQAVYASAI NDTFSAWTAVLPPPKMVVAVCTEASNSLYRGCAFGPGPSLAVVTLWTQWSRASGGGMA LWAGSKDQFLNYTYTTLLTG H257_12887 MTWTTFFGPELQTKDGVFPTDQVLEGKKYVGIYFSAHWCPPCRG FTPLLSESYEQFIEDDIKDVAIVFVSSDKEDASFNEYYNDMPFYALPFKYREQKDVLA KQVFEVKTIPTLVFLDAAGKTVTKDGRQLVVDARGDPTRVLAALAAVEATQP H257_12888 MQASPSRPRHGGGAAGTPRRGVGVTSKKHPPSVDASPHAAALSI ALKPTDKPVAHFPPSFYTTVVKEYATKYLEQMHALPTPENIAMVIANMPLQKCRVSAA WKSRGCLSDVLTIDARWPRSTPDPSDSIDATDMPLPTLSSKSKPITNITTKQHHATND VHSDFVGPVFGTDLDKNYCVEHVQWSFNDLKHRYVYPFNQIYKVPIGKDVDGYPKARA ITFSLVPDRRIYKPSPSALDELMLPPSTLTFDIISQLQTTFFNVVPFDMVEIPDPKDQ HLLRQHLTCPTTLHLVGFLAHYLYWTVLRPLATYCAAIQSASMSSPNQDPPPSAATSA PPKRPPSHHHLPPASQAPPPAPTLTPTDIEQLLVSTTESFASIKSSLAASIPITTTRP TPPLLPLFVLSLRVTIDTIFRTTYPKWMDENSLRIEPTLLALSHVVDQLLDPHGYYDR IGPLEASADAINFTKTQAFKMQKRGGPRLRESFFATSTTMQSIMPTPSSGGPRRLLLE GGGGRYHRNHPQKPSTSPTKEGQSFLSVGNRLKLLKLREHRGSSA H257_12888 MQASPSRPRHGGGAAGTPRRGVGVTSKKHPPSVDASPHAAALSI ALKPTDKPVAHFPPSFYTTVVKEYATKYLEQMHALPTPENIAMVIANMPLQKCRVSAA WKSRGCLSDVLTIDARWPRSTPDPSDSIDATDMPLPTLSSKSKPITNITTKQHHATND VHSDFVGPVFGTDLDKNYCVEHVQWSFNDLKHRYVYPFNQIYKVPIGKDVDGYPKARA ITFSLVPDRRIYKPSPSALDELMLPPSTLTFDIISQLQTTFFNVVPFDMVEIPDPKDQ HLLRQHLTCPTTLHLVGFLAHYLYWTVLRPLATYCAAIQSASMSSPNQDPPPSAATSA PPKRPPSHHHLPPASQAPPPAPTLTPTDIEQLLVSTTESFASIKSSLAASIPITTTRP TPPLLPLFVLSLRVTIDTIFRTTYPKWMDENSLRIEV H257_12889 MAEASPCSPLPHLPASPPRQSSKTNYFPSSLSPVRHSTPSAYNS TGKTWSPRSPCIRVNLSAQFDEADSDDGLDSTAIDSAKTDSSTSSIDLSETFLFTYIE EGGRDVGPASVAGRARSSDRRAVPTTCPTSPARAAQWTKRRLGTTRTTRRAAVIPAMN RLHRQNSLVSTKLLVTVAPLVPSTRSKTSIPPRWLPFESFQNVRPLGSGAHSDVFAVI DDQGIRYAVKKSKHKLRGRRDRDLRLREIRIYDRVQNDSTNDSDGGVQEARCRQYVLQ YFHAWQEQGYLYMQTELCPRGALPNAIQSSKLSEALCWRILHDVASGLSYLHSRGIVH LDIKPANLLVTATMVKIGDLGLAQCDSHQGENGLTTNEGDSAYMAPELLQSTARQPSA DIFSLGLTLVELATGVALPSQGPQWHVLRSGSLPHRTFDTAYSADFDVLIRQVREFGM LRVDPTKRPTAQDVMAHPKVNEAAQVALFPIMKTR H257_12889 MAEASPCSPLPHLPASPPRQSSKTNYFPSSLSPVRHSTPSAYNS TGKTWSPRSPCIRVNLSAQFDEADSDDGLDSTAIDSAKTDSSTSSIDLSETFLFTYIE EGGRDVGPASVAGRARSSDRRAVPTTCPTSPARAAQWTKRRLGTTRTTRRAAVIPAMN RLHRQNSLVSTKLLVTVAPLVPSTRSKTSIPPRWLPFESFQNVRPLGSGAHSDVFAVI DDQGIRYAVKKSKHKLRGRRDRDLRLREIRIYDRVQNDSTNDSDGGVQEARCRQYVLQ YFHAWQEQGYLYMQTELCPRGALPNAIQSSKLSEALCWRILHDVASGLSYLHSRGIVH LDIKPANLLVTATMVKIGDLGLAQCDSHQGENGLTTNEGDSAYMAPELLQSTARQPSA DIFSLGLTLVELATGVALPSQGPQWHVLRSGSLPHRTFDTAYSADFDVLIRQMLRVDP TKRPTAQDVMAHPKVNEAAQVALFPIMKTR H257_12891 MAQVHAMARMLLHVPQGDVEDASELVRLACVERDIAMDEAASSI VSLRRANDECERLRQVVNRLKLKKPVATPSHKATGHHTNLLLNLDFILSVFRNKLGLD IHVLDLKRHVLDQTSSVSTRHTVMFKSTLDELRDVYAALSAAQVKINQLETDLSIMHY SPVVNPTDQTGVLDNQVQATYHKTLHDTRAITMAEWEAKVTALTRRNAELEETIQSMQ LAQVRHQTTMDALTTDRDALVAQLNTTAAAVADLKMENHQIHVQAIQRKHDHVRQSLN SLNAEWAHRYNDKSQAVDETMQTDELEDTASVEWLQDMLEHERATTIHCRNELDKAQA AAETADNEHVNAIKQRSEASQTLVSSLELAVDGWKAKCSSLELKCNELEAGGNALAKQ VAQARMLEEACRDLQNQVVALTSTNHEHKTAADVLGAHLAMHVRHWKRHQGTVAS H257_12891 MAQVHAMARMLLHVPQGDVEDASELVRLACVERDIAMDEAASSI VSLRRANDECERLRQVVNRLKLKKPVATPSHKATGHHTNLLLNLDFILSVFRNKLGLD IHVLDLKRHVLDQTSSVSTRHTVMFKSTLDELRDVYAALSAAQVKINQLETDLSIMHY SPVVNPTDQTGVLDNQVQATYHKTLHDTRAITMAEWEAKVTALTRRNAELEETIQSMQ LAQVRHQTTMDALTTDRDALVAQLNTTAAAVADLKMENHQIHVQAIQRKHDHVRQSLN SLNAEWAHRYNDKSQAVDETMQTDELEDTASVEWLQDMLEHERATTIHCRNELDKAQA AAETADNEHVNAIKQRSEASQTLVSSLELAVDGWKAKCSSLELKCNELEAGGNALAKQ VARMLEEACRDLQNQVVALTSTNHEHKTAADVLGAHLAMHVRHWKRHQGTVAS H257_12891 MAQVHAMARMLLHVPQGDVEDASELVRLACVERDIAMDEAASSI VSLRRANDECERLRQVVNRLKLKKPVATPSHKATGHHTNLLLNLDFILSVFRNKLGLD IHVLDLKRHVLDQTSSVSTRHTVMFKSTLDELRDVYAALSAAQVKINQLETDLSIMHY SPVVNPTDQTGVLDNQVQATYHKTLHDTRAITMAEWEAKVTALTRRNAELEETIQSMQ LAQVRHQTTMDALTTDRDALVAQLNTTAAAVADLKMENHQIHVQAIQRKHDHSQAVDE TMQTDELEDTASVEWLQDMLEHERATTIHCRNELDKAQAAAETADNEHVNAIKQRSEA SQTLVSSLELAVDGWKAKCSSLELKCNELEAGGNALAKQVAQARMLEEACRDLQNQVV ALTSTNHEHKTAADVLGAHLAMHVRHWKRHQGTVAS H257_12891 MAQVHAMARMLLHVPQGDVEDASELVRLACVERDIAMDEAASSI VSLRRANDECERLRQVVNRLKLKKPVATPSHKATGHHTNLLLNLDFILSVFRNKLGLD IHVLDLKRHVLDQTSSVSTRHTVMFKSTLDELRDVYAALSAAQVKINQLETDLSIMHY SPVVNPTDQTGVLDNQVQATYHKTLHDTRAITMAEWEAKVTALTRRNAELEETIQSMQ LAQVRHQTTMDALTTDRDALVAQLNTTAAAVADLKMENHQIHVQAIQRKHDHSQAVDE TMQTDELEDTASVEWLQDMLEHERATTIHCRNELDKAQAAAETADNEHVNAIKQRSEA SQTLVSSLELAVDGWKAKCSSLELKCNELEAGGNALAKQVARMLEEACRDLQNQVVAL TSTNHEHKTAADVLGAHLAMHVRHWKRHQGTVAS H257_12891 MAQVHAMARMLLHVPQGDVEDASELVRLACVERDIAMDEAASSI VSLRRANDECERLRQVVNRLKLKKPVATPSHKATGHHTNLLLNLDFILSVFRNKLGLD IHVLDLKRHVLDQTSSVSTRHTVMFKSTLDELRDVYAALSAAQVKINQLETDLSIMHY SPVVNPTDQTGVLDNQVQATYHKTLHDTRAITMAEWEAKVTALTRRNAELEETIQSMQ LAQVRHQTTMDALTTDRDALVAQLNTTAAAVADLKMENHQIHVQAIQRKHDHVRQSLN SLNAEWAHRYNDKSQAVDETMQTDELEDTASVEWLQDMLEHERATTIHCRNELDKAQA AAETADNEHVNAIKQRSEASQTLVSSLELAVDGWKAKCSSLELKCNELEAGGNALAKQ VVRPEYADHCDDVIYVGIYLYRRRREC H257_12891 MAQVHAMARMLLHVPQGDVEDASELVRLACVERDIAMDEAASSI VSLRRANDECERLRQVVNRLKLKKPVATPSHKATGHHTNLLLNLDFILSVFRNKLGLD IHVLDLKRHVLDQTSSVSTRHTVMFKSTLDELRDVYAALSAAQVKINQLETDLSIMHY SPVVNPTDQTGVLDNQVQATYHKTLHDTRAITMAEWEAKVTALTRRNAELEETIQSMQ LAQVRHQTTMDALTTDRDALVAQLNTTAAAVADLKMENHQIHVQAIQRKHDHSQAVDE TMQTDELEDTASVEWLQDMLEHERATTIHCRNELDKAQAAAETADNEHVNAIKQRSEA SQTLVSSLELAVDGWKAKCSSLELKCNELEAGGNALAKQVVRPEYADHCDDVIYVGIY LYRRRREC H257_12891 MAQVHAMARMLLHVPQGDVEDASELVRLACVERDIAMDEAASSI VSLRRANDECERLRQVVNRLKLKKPVATPSHKATGHHTNLLLNLDFILSVFRNKLGLD IHVLDLKRHVLDQTSSVSTRHTVMFKSTLDELRDVYAALSAAQVKINQLETDLSIMHY SPVVNPTDQTGVLDNQVQATYHKTLHDTRAITMAEWEAKVTALTRRNAELEETIQSMQ LAQVRHQTTMDALTTDRDALVAQLNTTAAAVADLKMENHQIHVQAIQRKHDHVRQSLN SLNAEWAHRYNDKSQAVDETMQTDELEDTASVEWLQDMLEHERATTIHCRNELDKAQA AAETADNEHVNAIKQRSEASQTYVE H257_12891 MAQVHAMARMLLHVPQGDVEDASELVRLACVERDIAMDEAASSI VSLRRANDECERLRQVVNRLKLKKPVATPSHKATGHHTNLLLNLDFILSVFRNKLGLD IHVLDLKRHVLDQTSSVSTRHTVMFKSTLDELRDVYAALSAAQVKINQLETDLSIMHY SPVVNPTDQTGVLDNQVQATYHKTLHDTRAITMAEWEAKVTALTRRNAELEETIQSMQ LAQVRHQTTMDALTTDRDALVAQLNTTAAAVADLKMENHQIHVQAIQRKHDHSQAVDE TMQTDELEDTASVEWLQDMLEHERATTIHCRNELDKAQAAAETADNEHVNAIKQRSEA SQTYVE H257_12892 MTWSAFEEAAAAGDATAAAGYLLERYTAGGSNAFGICRQVLLGY VKQHQNDHIELLWAMLAAVWSDAASPIAYLLLMALEEVKKSTSIATSPPPSVRLGLRD NVLEAMEEEVAVYPGGVDAKVVVKTIVLCDIDDVDATTVLRYGNAQVQHKDSLAALVQ LVASFPHYPWPFAEFLVQFAAYSSWSLAERLIATIQTTPDQLKHLQCTLITLAVQNAD LKRAHRWVHQYRLQPAFPELEHILQQEALDKLCSQQKWSLAIHFVGANSTLQVRLFHA LVAAGHTSLANDIHDKYALSTLVPKATVNMQSATTTTTLSSSLNNEDGGGGHCQFLVL PTDVSIVLCATDDSMHAFEQAVANECTLSGHPLRPCWLGVDVEWKAVFSKLDSPYASV LQLAVGIHRVFVIDLIALEQSTVAFEILDRVLANPNVLKVGFGLAHDLQVLRGTFPDK GRCFHHVMGQVEIQNVLERVYPTTQQRGGLSSATQVVLGASLDKDQQLSDWTSRPLST KQLLYAAMDAWCLVRMMVKLAPTTLDIGTSDHHYHIHPPPPLLSSDAIDRVVQLRHAQ FTRNLATEDAVVKYMASQPPATRAQCTLLVPPPLESCEPPSVVLANTLCLMTYDTQPH VVVLRQAHKVDLALFAAACGCPRRRVRLATPQECVKVFGYPPGSVPPIAHANPATKIW IDMSVMESTGPVVVGGGLNHVIKCRDGAALRMLCGGELAHVITRVYNPLAGSVPVNHG PRNLCFLSDAHLGRVTKWLRMRGVDIALFQDQGNDRTKFMDQAADEKRIILTTDRKLG QRRRIAAACFVLSSDDPRQQFQEILTHFRLTSVGDVVPRCSRCNGDGFRMLTQVEVED AATRKTISQDTLDTVSEFWQCLACKKIFWTAWKRFQSMRFQDAVDRTDHTVEA H257_12892 MTWSAFEEAAAAGDATAAAGYLLERYTAGGSNAFGICRQVLLGY VKQHQNDHIELLWAMLAAVWSDAASPIAYLLLMALEEVKKSTSIATSPPPSVRLGLRD NVLEAMEEEVAVYPGGVDAKVVVKTIVLCDIDDVDATTVLRYGNAQVQHKDSLAALVQ LVASFPHYPWPFAEFLVQFAAYSSWSLAERLIATIQTTPDQLKHLQCTLITLAVQNAD LKRAHRWVHQYRLQPAFPELEHILQQEALDKLCSQQKWSLAIHFVGANSTLQVRLFHA LVAAGHTSLANDIHDKYALSTLVPKATVNMQSATTTTTLSSSLNNEDGGGGHCQFLVL PTDVSIVLCATDDSMHAFEQAVANECTLSGHPLRPCWLGVDVEWKAVFSKLDSPYASV LQLAVGIHRVFVIDLIALEQSTVAFEILDRVLANPNVLKVGFGLAHDLQVLRGTFPDK GRCFHHVMGQVEIQNVLERVYPTTQQRGGLSSATQVVLGASLDKDQQLSDWTSRPLST KQLLYAAMDAWCLVRMMVKLAPTTLDIGTSDHHYHIHPPPPLLSSDAIDRVVQLRHAQ FTRNLATEDAVVKYMASQPPATRAQCTLLVPPPLESCEPPSVVLANTLCLMTYDTQPH VVVLRQAHKVDLALFAAACGCPRRRVRLATPQECVKVFGYPPGSVPPIAHANPATKIW IDMSVMESTGPVVVGGGLNHVIKCRDGAALRMLCGGELAHVITRVYNPLAGSVPVNHG PRNLCFLSDAHLGRVTKWLRMRGVDIALFQDQGNDRTKFMDQAADEKRIILTTDRKLG QRRRIAAACFVLSSDDPRQQFQEILTHFRLTSVGDVVPRYLLLVPTILLLVL H257_12893 MEGAPPFDDAAQAMRDRIEARNTASRKRASQARQYTSAPSTPSS TPSLTTSHSISKWNRSPADATDVLDADTLAKLHLSVASASDIGKRSYLEDRITTVTFD HPTTLEPLAFVAVFDGHGGTRCADFLQHGLLPQLKHHLLHRSFHDAPHESMSMAMQSA CRDADKAFLGSHLADDSGSCAVFALIGKDKWVVGNVGDSQVVLSRHGRAVDLCVAHSP QHPDERARILAANGHIVQDRFIFGYLGVSRSFGDRFVKVERPVVITTPDVVSGGSRHL HPGDEFLLLACDGLFEVFTPQEAVDFVAHHRSVNQMTPQAICDLLVHTAIENGSQDNV SVVLVMLQSP H257_12893 MEGAPPFDDAAQAMRDRIEARNTASRKRASQARQYTSAPSTPSS TPSLTTSHSISKWNRSPADATDVLDADTLAKLHLSVASASDIGKRSYLEDRITTVTFD HPTTLEPLAFVAVFDGHGGTRCADFLQHGLLPQLKHHLLHRSFHDAPHESMSMAMQSA CRDADKAFLGSHLADDSGSCAVFALIGKDKWVVGNVGDSQVVLSRHGRAVDLCVAHSP QHPDERARILAANGHIVQDRFIFGYLGVSRSFGDRFVKVERPVVITTPDVVSGGSRHL HPGDEFLLLACDGLFEVFTPQEAVDFVAHHRSVNQMTPQAICDLLVHTAIENGSQDNV SVVLVMLQSP H257_12893 MRDRIEARNTASRKRASQARQYTSAPSTPSSTPSLTTSHSISKW NRSPADATDVLDADTLAKLHLSVASASDIGKRSYLEDRITTVTFDHPTTLEPLAFVAV FDGHGGTRCADFLQHGLLPQLKHHLLHRSFHDAPHESMSMAMQSACRDADKAFLGSHL ADDSGSCAVFALIGKDKWVVGNVGDSQVVLSRHGRAVDLCVAHSPQHPDERARILAAN GHIVQDRFIFGYLGVSRSFGDRFVKVERPVVITTPDVVSGGSRHLHPGDEFLLLACDG LFEVFTPQEAVDFVAHHRSVNQMTPQAICDLLVHTAIENGSQDNVSVVLVMLQSP H257_12894 MDLLKSILGFDEWTGKPHALFSLHTLLVLAAVAALMLADVGERF TFKLMVDRMESYRYFLAQITTFLYIPPMFCIVGYKATQTDFIDEEVNEFPKYKFFIMA VLDLCHAMLLFIPGGNTPPALTVIFMQATIPFSMLFGYLFHDYQYSRMQVVGCILMSS GLLLGILPLVLLLASDVFEEAEMGWNSLCFLLAAVPGALSMLYKEQALAPQPMDVYYL NAWVAVYQFIGGLLLAPVIFDIPTLHLDQRISGLECLVNGVSEVRTDKCHLGVVILIA FLGCKVGLFYGIGFVLNHTSVGVLYTAFTLAFPIGFFALDAYQSSGQPDDNDVTTARW MNYLSFMVVFLGLVVFRLLPEPHTDAITLSAAEKEAVSLLDESSDSGLRYMA H257_12894 MDLLKSILGFDEWTGKPHALFSLHTLLVLAAVAALMLADVGERF TFKLMVDRMESYRYFLAQITTFLYIPPMFCIVGYKATQTDFIDEEVNEFPKYKFFIMA VLDLCHAMLLFIPGGNTPPALTVIFMQATIPFSMLFGYLFHDYQYSRMQVVGCILMSS GLLLGILPLVLLLASDVFEEAEMGWNSLCFLLAAVPGALSMLYKEQALAPQPMDVYYL NAWVAVYQFIGGLLLAPVIFDIPTLHLDQRISGLECLVNGVSEVRTDKCHVRNSRIYI YLIVYIYIYIYILDMCVAGRSDIDRVFGVQSGFVLRDWVRPQPHECGGALHCVHVGIS HRVLCARRLSILWPT H257_12894 MAVLDLCHAMLLFIPGGNTPPALTVIFMQATIPFSMLFGYLFHD YQYSRMQVVGCILMSSGLLLGILPLVLLLASDVFEEAEMGWNSLCFLLAAVPGALSML YKEQALAPQPMDVYYLNAWVAVYQFIGGLLLAPVIFDIPTLHLDQRISGLECLVNGVS EVRTDKCHLGVVILIAFLGCKVGLFYGIGFVLNHTSVGVLYTAFTLAFPIGFFALDAY QSSGQPDDNDVTTARWMNYLSFMVVFLGLVVFRLLPEPHTDAITLSAAEKEAVSLLDE SSDSGLRYMA H257_12895 MDKPKKRVVVLWLNKAMSHLIKPRMRGETFQKWASRNMDSSKLA TIVDVFQVVLGVSVTIIYFYQNWTKFQDVAETPMLRNAQTVIGVFFTFDYLVRLIASE SPQTFFLNTMSLVDLATILPQWLEMGISDDSDFKSLANAFKTLRSLRFLRAFRLLVFA KTAKGRQAGILFLTVMSIIFCSAGIIQAIEACNNVGDYKCQDLEIYNAAYFVVITIAT LGFGDLAPKSSNGKLAVIGLIFSTGILLPLQISRYSDILSRETEFDKSFKAEKERNPH ILICGEVNSGALDFFLRQFLHPNNINWKDKVVILCPGLPSNNLRRILLNSAYEQRVVY LQGSAMLDSDLKRAGAANARLCFVLLNKLSTDGDRNDTASNLLTISLRHHTNDVPLFV QVLKTDNIRHVHMSGASNIICIDELKLGILAKTCVIPGMCAFLCNMLFTFRPFYARST LWASEFLMGCAHDVYEARLPEYLDGCLSFSTLALILYQEYGIVPLATAGHNHLDMRLF PSRMTVKRLHTVFLLATYPDAVNQVETLGLPVLQKYQARIPNYPKIVDKWQAKSMTEK LRTTIRNSVTSIGLATNPSMLSMDSSRAIPELLKAMEDIAKRRLTGTITRVLPCETED DVADPSHHDDKDINHLTVHDSLRPPSVMQVETDLSPAKSMLPPILQPVSPADTANMMA TDVVAVATAAEAPPPLVRGSTRLPFVNNAGASPQNDSPPTSSSPLSNQPQSFEKLLNL SRSPSVAFADFLGVVVPANLSGHIILCGMPNALHDFVAPLRHQLDSHAKSTQFLGSSQ HAMTATPIIIISEIPLTEKQHASIAMFQKVYFLCGSPLQEDMLRQASAFTAKSIVILG SCLQSPGRYDDDDDDEDGVAADTKDQNMLDTDAITLHRYVVECCECNCPPGSPMATVI VELSRPSSLRFLKDDLVRSENPSTLEAVKTLTKRVLSRADDPLDNICHPIYAAGKVFI SNSLDAVLGNCNKYGCIIDLLHLLTFGEGATNEFGRVLDQIAVPILYHNKSYLECFVQ MLVTQDILCLGLYRHRPKRHSYVYVNPNEDVRVQSSDRLFVLR H257_12896 MDQPRQPVVLVWLHSVKNRLVRPRMRGETFRKWVSRNMDSSTLA TLLDVFQVILGIGVTMVYFYQNWSKFQDVAETPMVRTVQRAIGVFFTFDYLVRLIASE SPQTFFLNTMSLVDLATILPQWLEMAISDDSDFKKQANALKTLRGLRFLRSFRLLVFA KTAKGRQAGILFLTVMSIIFCSAGIIQAIEACNNVGDYKCQDLEIYNAAYFVVITIST LGFGDLVPMSSNGKLAVIGLIFSTSILLPLHISRYSDILSRETEFDKSFKAEKERNPH ILICGEVNSGALDFFLRQFLHPNNINWKDKVVILCPGLPSNNLRRILLNSAYEQRVVY LQGSAMLDSDLKRAGAANARLCFVLLNKLSTDGDRNDTASNLLTISLRHYTNDIPLFV QVLKTDNIRHVHMSGASNIICIDELKLGILAKTCVIPGLCAFLCNMLFTFRPFYARST LWASEFLMGCAHDIYEAKVPVYLDGCLSFSTLALILYQECGILLLAVTGRNHLDMRLF PSRILVKRSHYIYLLATNPDAVNQVESLALSTLQKHETRIPNFAKIAERWHANSMAGK IRTTMRASVSSLVPVAMSMRSASSFRESAATSETTQEDLPRRRSQLLGSYRAATPDVT AKSSRDDGSPLSHRRGSVTRVLPYNDNDTANHVDHFLQPDNAPPTHAESSVLSTSDLS RLSIHALASPSPPDQPTHSQANQLFQKLSSQRAYATGQGGPPPDPIMEDIGHPAFAPR MSASTRHTKLFESFLDQNLPSDLSDHIILCGMPNAVHDFVAPLRHQLDSHAKSTQFLG SSQHAMTATPIIIISEIPLTEKQHASIAMFQKVYFLCGSPLQEDMLRQASAFTAKSIV ILGSCLQAPGQYDDDYDDEDGVAADTKDQNMLDTDAITLHRYVVECCECNCPAESPMA TVIVELSRPSSLRFLKDDLVRSENPSTLEAVKTLTKRVLSRADDPLDNICHPIYAAGN VFISNSLDAVLGNCNKYGCIIDLLHLLTFGEGATNEYGRVLDQIAVPIIYHNKSYLEC FVQMLVTQDILCLGLFRAREQRHSYVYVNPNEDVQLRAQDRLFVLR H257_12897 MASQAANPNSTSANNNGTATETITAGNLTVKIFVDANPDQAVLD SMKIYYTFFVVAFVLFDVVQRRLKSHFACRAVHADTSCPTAAKSYGIFGWAPAVWRTT DDDLVTHCGLDALCFLRLLRLGRNISMGSMAISCVLIPVYATALNPLTDESTDWITRM AMANMNVALDPNRLWASAAAGVAITFWTMFLLLQEWKVYLVRRHAFLGQNTLQQHTVV VNDLPANLCTPDLLDTYLHALFPHQVQAIHIALECKYLEAKVTKRLETLHLLERALVV AAKCDKNPYLRRSKAKTSTGNVVDAIPHYTAELTRLNDEIRTDIAVLRAQEATADDDN LAPAKLQALKVFRPTALVTFRSHQATQSALQMLQTSNPVECSILPAPHPSDMIWTNLG RTLHVRNSKQLVATVVTAAVIVLWSIPTLFITSLANVEQWQEDVPRIRELLTTYPSLV PLFKQLSPLGLVLLSLLAPTVFAFISNFEGHASKSETESAVFTKLLVFQFYQTFVVAL FGGSLTESLPEMVEKPVLAVYLLSQAVPRQASLYMSYLIIQTGLSLIVKLYRMVAIAR GLIYHLGAPKLTPRERRSPWWGLTPSSVAEPCDQSAQLPIYVVGILLVLVFCPITPLL SWFGLVLFVSADLVYRRLFLFVFTPAHFTTGVYWPKMYAFIVRAMYVAQVVLIGMLWL RVQVASDAKVPMNTDKRAVHNAYLYALMPTIVATALPVMTLIMDTHIQALYPRGAMYL PLVQCVKLDSTVGGGQSRRTLLNQRPTTNEVAYLQPALLEADPLEPDVDDMNRGFVGA GAYVELKDVDA H257_12898 MDWQANLDAIIKCTDDNLRQQFEAHKFSPAPPLRPFSQYSAMAF RPPSPTTTSHFQPAMTSPPMPPPPPPPSTPQQSFPSYAPYPASHDNNNAPPPPTHDYS SFHQTYNIAHMMEQIRFSIKLEVDARAAIAERQLSAIMALTKSNSDELDRLRLEANTT DREVRGLDQAHQKLRQDMTTQKDIMYHVQSMAGKDESWRMQADNQLLELRQVIAAMRE QLNSLQVSMQEKLSRPELLVHFNACVEPLKAQLNAGIQHQAQIIGELSRKDATHSYVV ESIEKHLRELDTHVQTVRLDVESISKRHPPDRQAVPSRTETSTDGTLSMPQVESVVEH IWLGKCEALESKWAAAHDLHCRQVQTALDESDVRLKRWQGDVDNNTNHAAKDSTQQIT KMESLVTEGQSTLKALIAQSAAATTQIIRDEVERERSERKRQLDGLTDQLMQVKYSTH DSVHKGLHDIRIELKADLTAHDKELQAFCMSVVAKDVPPMLRKDVSEWQEVVQRVQED VAQIRQETIHCTNVMTVLQNDMQAQRKDVADHKTSLGTMGTDLSALKKEVESTTKIIQ GQIDTILKDRVEGERSLQRRMEVEVTTLVQKGVVPFEQELRRINTRLDDLKKQEPIST PATASMMGPPPSPGYGYWPPHMYAMASPPNHSMDAHYRGGNMMHHGYHQPNHLMYTLP PFGVPEMPPQPLPSLPQDSATVTQPLGKQTIDLPSTVAASHVVHQMPTPVPSVPAPVP PTAGSMEVIPTETPPPSREVNITKPSSPQITLATSHPVQIESKGTAQPPTAAASPPRV LVPVTNQRENVANTLQSSPGISPALSTLHPTSPLPPATTGLSAPVVPVSQTQQVMSPP IRTSAADTSTLSNGCNTTAPPSLPTSSSQSTSVPPSPPVQPNQATPLQPTPTPLMNRS TAAPTSQPPAISPSNPPTSQQVLEKPVASISKPPPPPPTQPPPPGATPPAVSTTLVNP ASIRPSIPSSPSATQNHTPLYNNPPHQSPPSSAPPPAQPMLHHQASSSSLNPSSPSPD GSTLKVTTKVSTLLDDPSMKGALAEAELAKARVENRLKIERERRHSLGSMSSPRATDV MNGNMDRCMQCTLEFPKGSKSDHDQLHCSMRMQTCPTCQSSMRAKDVASHLCDKPVAK CKHCLADVVDVLDHESKCEHALKQCPHCLRRQKMADLQEHINTCDCRLVQCPNACGGK FLQRGLEKHVLTKCPKRPQPTTSTTTTFTPAAAGTTSSTTNVAPPRPKYPEVPKPTSE AKVECKYCDEEYTAAGIDGHEQSCDWKPKRCQFCNMVIISRDLARHETNCKQSNRQCA HCQQTFASPAYAAHVPKCPKRPIKCIRCGDLFAADIIAAHSTACKPGDAKGAIPPPPS TPPPVQMPQPASPSKRRSEGDLRRLMTTEPQGVGPNVSGDRNSRRNFALSQLTAPQEQ TPHLTTARTNEVEEDDDVDEDEQEDDMEDDVTLAQVVAEWNVDHVCLWLREDVGVPDV VDRFEALQIDGQMLLELDERALVNDLGIKTKLNRDRILAAIDAIKTSEDDDNDEDDEE GDVAPPPVPAPAQGSALARRLSTGSSPQTQANLLNRINSALNTGSACRK H257_12898 MQADNQLLELRQVIAAMREQLNSLQVSMQEKLSRPELLVHFNAC VEPLKAQLNAGIQHQAQIIGELSRKDATHSYVVESIEKHLRELDTHVQTVRLDVESIS KRHPPDRQAVPSRTETSTDGTLSMPQVESVVEHIWLGKCEALESKWAAAHDLHCRQVQ TALDESDVRLKRWQGDVDNNTNHAAKDSTQQITKMESLVTEGQSTLKALIAQSAAATT QIIRDEVERERSERKRQLDGLTDQLMQVKYSTHDSVHKGLHDIRIELKADLTAHDKEL QAFCMSVVAKDVPPMLRKDVSEWQEVVQRVQEDVAQIRQETIHCTNVMTVLQNDMQAQ RKDVADHKTSLGTMGTDLSALKKEVESTTKIIQGQIDTILKDRVEGERSLQRRMEVEV TTLVQKGVVPFEQELRRINTRLDDLKKQEPISTPATASMMGPPPSPGYGYWPPHMYAM ASPPNHSMDAHYRGGNMMHHGYHQPNHLMYTLPPFGVPEMPPQPLPSLPQDSATVTQP LGKQTIDLPSTVAASHVVHQMPTPVPSVPAPVPPTAGSMEVIPTETPPPSREVNITKP SSPQITLATSHPVQIESKGTAQPPTAAASPPRVLVPVTNQRENVANTLQSSPGISPAL STLHPTSPLPPATTGLSAPVVPVSQTQQVMSPPIRTSAADTSTLSNGCNTTAPPSLPT SSSQSTSVPPSPPVQPNQATPLQPTPTPLMNRSTAAPTSQPPAISPSNPPTSQQVLEK PVASISKPPPPPPTQPPPPGATPPAVSTTLVNPASIRPSIPSSPSATQNHTPLYNNPP HQSPPSSAPPPAQPMLHHQASSSSLNPSSPSPDGSTLKVTTKVSTLLDDPSMKGALAE AELAKARVENRLKIERERRHSLGSMSSPRATDVMNGNMDRCMQCTLEFPKGSKSDHDQ LHCSMRMQTCPTCQSSMRAKDVASHLCDKPVAKCKHCLADVVDVLDHESKCEHALKQC PHCLRRQKMADLQEHINTCDCRLVQCPNACGGKFLQRGLEKHVLTKCPKRPQPTTSTT TTFTPAAAGTTSSTTNVAPPRPKYPEVPKPTSEAKVECKYCDEEYTAAGIDGHEQSCD WKPKRCQFCNMVIISRDLARHETNCKQSNRQCAHCQQTFASPAYAAHVPKCPKRPIKC IRCGDLFAADIIAAHSTACKPGDAKGAIPPPPSTPPPVQMPQPASPSKRRSEGDLRRL MTTEPQGVGPNVSGDRNSRRNFALSQLTAPQEQTPHLTTARTNEVEEDDDVDEDEQED DMEDDVTLAQVVAEWNVDHVCLWLREDVGVPDVVDRFEALQIDGQMLLELDERALVND LGIKTKLNRDRILAAIDAIKTSEDDDNDEDDEEGDVAPPPVPAPAQGSALARRLSTGS SPQTQANLLNRINSALNTGSACRK H257_12898 MDWQANLDAIIKCTDDNLRQQFEAHKFSPAPPLRPFSQYSAMAF RPPSPTTTSHFQPAMTSPPMPPPPPPPSTPQQSFPSYAPYPASHDNNNAPPPPTHDYS SFHQTYNIAHMMEQIRFSIKLEVDARAAIAERQLSAIMALTKSNSDELDRLRLEANTT DREVRGLDQAHQKLRQDMTTQKDIMYHVQSMAGKDESWRMQADNQLLELRQVIAAMRE QLNSLQVSMQEKLSRPELLVHFNACVEPLKAQLNAGIQHQAQIIGELSRKDATHSYVV ESIEKHLRELDTHVQTVRLDVESISKRHPPDRQAVPSRTETSTDGTLSMPQVESVVEH IWLGKCEALESKWAAAHDLHCRQVQTALDESDVRLKRWQGDVDNNTNHAAKDSTQQIT KMESLVTEGQSTLKALIAQSAAATTQIIRDEVERERSERKRQLDGLTDQLMQVKYSTH DSVHKGLHDIRIELKADLTAHDKELQAFCMSVVAKDVPPMLRKDVSEWQEVVQRVQED VAQIRQETIHCTNVMTVLQNDMQAQRKDVADHKTSLGTMGTDLSALKKEVESTTKIIQ GQIDTILKDRVEGERSLQRRMEVEVTTLVQKGVVPFEQELRRINTRLDDLKKQEPIST PATASMMGPPPSPGYGYWPPHMYAMASPPNHSMDAHYRGGNMMHHGYHQPNHLMYTLP PFGVPEMPPQPLPSLPQDSATVTQPLGKQTIDLPSTVAASHVVHQMPTPVPSVPAPVP PTAGSMEVIPTETPPPSREVNITKPSSPQITLATSHPVQIESKGTAQPPTAAASPPRV LVPVTNQRENVANTLQSSPGISPALSTLHPTSPLPPATTGLSAPVVPVSQTQQVMSPP IRTSAADTSTLSNGCNTTAPPSLPTSSSQSTSVPPSPPVQPNQATPLQPTPTPLMNRS TAAPTSQPPAISPSNPPTSQQVLEKPVASISKPPPPPPTQPPPPGATPPAVSTTLVNP ASIRPSIPSSPSATQNHTPLYNNPPHQSPPSSAPPPAQPMLHHQASSSSLNPSSPSPD GSTLKVTTKVSTLLDDPSMKGALAEAELAKARVENRLKIERERRHSLGSMSSPRATDV MNGNMDRCMQCTLEFPKGSKSDHDQLHCSMRMQTCPTCQSSMRAKDVASHLCDKPVAK CKHCLADVVDVLDHESKCEHALKQCPHCLRRQKMADLQEHINTCDCRLVQCPNACGGK FLQRGLEKHVLTKCPKRPQPTTSTTTTFTPAAAGTTSSTTNVAPPRPKYPEVPKPTSE AKVECKYCDEEYTAAGIDGHEQSCDWKPKRCQFCNMVIISRDLARHETNCKQSNRQCA HCQQTFASPAYAAHVPKCPKRPIKCIRCGDLFAADIIAAHSTACKPGDAKGAIPPPPS TPPPVQMPQPASPSKRRSEGDLRRLMTTEPQGVGPNVSGDRNSRRNFALSQLTAPQEQ TPHLTTARTNEVEEDDDVDEDEQEDDMEDDVTLAQVVAEWNVDHVCLWLREDVGVPDV VVRTI H257_12899 MAGARVMMRGLRQVTMRSAAHVGPCRSYQAVLSKTPHLFFGLPS MTPLQRRCFSSDPSATEGTAVPTISDADFARYSEATLNEILERLDGIEAILPDADITL SQGVLTINLGEDGTWVLNKQGPNKQIWWSSPISGPKRFEFDARQNKWFNTRDKDVELV ELLSVEIEELTGIIVITE H257_12900 MALKSSLVIIAAAALASVTAIDYLGGWVLPAKKECVDICKHSKA APRCFNTSDTACMSKKQRPGDYDYLLFDQIFAPQYCRDLLNGNDSTITHQNVNPYPLG IQCEVSRTPSALYVHGLWPNYNGGFPGCCNVSDTTTNQPFDADTFATKYPQLFADMDK LWVDPAVNTSAEGLCHAYNHEFQKHGICYRAYDDDWDRAAKDYFESTLDVTARVKVPS DQIAKWATDKATATLDQIGGLYKRKVAVLCSKYDKEKTNRFLAIRTCWSKAQDFQTEG AVPGTQIDCTPTKGADACDPTQPITFEEYVPPRPQC H257_12901 MTTEATTLYENGKVWQWMPRTGRPSEPRDFAGYASWFTTSGETI SAVGHGAVPQDVRALAATVVDLQGHAVLPGLQDSHIHLYHMGEVAHYVDLRGTSSFDD LSARVMAHAAKFPLADWLVGFGWEQDKLSSCARYPSRADLDAIPVSRPIYLWRTCFHI AVVNSKALAVAGLDISPHPAWTQPVAGGVVDVDGDGVPTGILRESAVNLVQKYIVETS DAIRTQYLQIGLQTCLEFGLTAVHTNDAHCLPLYRALQASGQLPLRVYLTPDQHEVDP RRSRRDVLGPPFQDNLLTVDRVKLFGDGSLGAETAALRQPYRDSTNKGVLVHSDADLA DRIQIATDAGYRVEIHAIGDGAAAQVLAAMATVEGGIDRPLLTHCQILGPDLLEKMAA LNVVANIQPSFVVTDAAFAAKRLPPALLPYSYCWQKMTRAGVVCAGGSDAPIETSNPF QGMYDAMHRRAPLDDPRECFAFPHALQLYTLNGAYAAKEEARLGEVAPGFQADFVVVK YDLVESDASKLLANDLLQRVFVQGIERYNASTRPPVSSPLAIQASGLPGKNGQIRICR CCRV H257_12901 MTTEATTLYENGKVWQWMPRTGRPSEPRDFAGYASWFTTSGETI SAVGHGAVPQDVRALAATVVDLQGHAVLPGLQDSHIHLYHMGEVAHYVDLRGTSSFDD LSARVMAHAAKFPLADWLVGFGWEQDKLSSCARYPSRADLDAIPVSRPIYLWRTCFHI AVVNSKALAVAGLDISPHPAWTQPVAGGVVDVDGDGVPTGILRESAVNLVQKYIVETS DAIRTQYLQIGLQTCLEFGLTAVHTNDAHCLPLYRALQASGQLPLRVYLTPDQHEVDP RRSRRDVLGPPFQDNLLTVDRVKLFGDGSLGAETAALRQPYRDSTNKGVLVHSDADLA DRIQIATDAGYRVEIHAIGDGAAAQVLAAMATVEGGIDRPLLTHCQILGPDLLEKMAA LNVVANIQPSFVVTDAAFAAKRLPPALLPYSYCWQKMTRAGVVCAGGSDAPIETSNPF QGMYDAMHRRAPLDDPRECFAFPHALQLYTLNGMIVVVGPDIFWI H257_12902 MKRSITVVYNQTRTRVVWYDGTSDAMVEKAACIQLGLPSTSSIL LKDVHGELVPIAACLPPAEYVVVRFGAIPDDNHILHTANHVAHTASCPPSYTSSSTPL ITSRGESDDPAAQPPSTSRTKRTGIPTVSTKLKKTSPTALMKLFLETFTVPLASDDII NFVPNHGEFGIDRLYATLVPAIYLPQDTTTFYKLASSSIVLDRQRVIRYYRVGDVYAQ LLAVGKGPLLRAYVDPCTHNQALLSKFAAALDASTDWLAARYTEFLHGFTPISRTEFV TDSE H257_12903 MAARFSLMGERRERRGNSVDTAVEELNRQLVTEPHVEPIHVFYG PRSDTDTAEDKPVLHTERKIHKTRVTVWMESEPKEENHSVEASGASAPFARRGSFNSR VQAAGKYWGAKVLQAVGKGKEHDLPVHPDSFCDGCGMDPIIGSMFTCSSCANYHLCSM CYRNGIHGFETSKLLQKVKSDYQVENTVEQCKHRVPEEVFSDLLHHVCHGQVDKFKFL ANWICGVVNGHSLAQLAVRGIEIPHLHPSTRARFVSLLMPALTERQDMEVSMEWFVPP TEPDRQTLRIWVCTDKETKSPFAPKKPPGAPASPSVVLTPTTAAATRLAPEHPTDMGG MLSPPLMSPANPDLSPVKAKQTPALTTSVQVELSPSPSRLSTVSNVSSTPNSPGQSNK SELRHSESMDGPCTPRFSQHNDVVTTYEDVDVELDETSLQPLSPLVVTTKVMTMEDNE IHTPHPVGSAHHSF H257_12904 MDNDGILAIVLGVLLGLPLLRFVILLIGNVIIVHHKEAVIVERW GRFHAKLGPGLHFLIPFLDNVKTITWRRLKIQMPHETDALVDKSFYRIDARQTIMDFK VQTIITRDNVEISVRPMVIYEFCDPMKVCYEVYDLSQAMKKLVHTTLRSIIGDMGLDD TLASREEINRGILLKIAHICFNWGIRIHRVELLEISPNRSVQEAMHQQLSAERVRRAA IVTAEGYREKVKTEAEGESQAKIALATGEQQCMIIRAKAAAEARLLIARAEGDALTLV KESLKDIAVDATQYMIAVRYMETLAAIANASKKCEVFMPLETDVVGALAGM H257_12904 MPHETDALVDKSFYRIDARQTIMDFKVQTIITRDNVEISVRPMV IYEFCDPMKVCYEVYDLSQAMKKLVHTTLRSIIGDMGLDDTLASREEINRGILLKIAH ICFNWGIRIHRVELLEISPNRSVQEAMHQQLSAERVRRAAIVTAEGYREKVKTEAEGE SQAKIALATGEQQCMIIRAKAAAEARLLIARAEGDALTLVKESLKDIAVDATQYMIAV RYMETLAAIANASKKCEVFMPLETDVVGALAGM H257_12905 MPAITPINLTCQVFSIQFAMSSPQVAAIAAVGVATAVFLLRRLL QVKPFIPSLKMSVHLHRIFFGAGELFNSVEGLGRITFDEADDNGMCQFYVLGARCISV LKAEHIRTVVLASNFRQRVPLFDEYVDAIVGTKSLVQVMGHEWKLHRKLISRAFGWQN LASMAPAMGSIAHEFASHLLATQASSKFDVFPMLKLATLDIIGTNCNPVSHSNPQTMP CISAILFLGATAFGASFGAIQHTTNHPVVEAFTFLLDDMNRRAIDEPLHPSSMFYWLP TAANRMHRQQTAILRRTIDDLVASRLHNPPANDDSQGKKTHHDLLQYMVDAAAAAAHN EDASGGVTPQSFADNLLTFLFAGYDTTSIALAYTLHLLAAHPQVQDKVVAEIEAVLGA DTAPTCDTVSRLTFCAAVVTESVRLFPPVLVTMRSLEADLHVGGHHVPKGTNVMLPIY WIHRYEENWGADATAFRPERHLDEIHGKDKAFRMMAFSAGPRNCVGMRFAMMEAVIVL AVVLRRCRFSLPTDAPPVRPVVAGLVQKPEHGIWLHVQPRESAPAL H257_12905 MPAITPINLTCQVFSIQFAMSSPQVAAIAAVGVATAVFLLRRLL QVKPFIPSLKMSVHLHRIFFGAGELFNSVEGLGRITFDEADDNGMCQFYVLGARCISV LKAEHIRTVVLASNFRQRVPLFDEYVDAIVGTKSLVQVMGHEWKLHRKLISRAFGWQN LASMAPAMGSIAHEFASHLLATQASSKFDVFPMLKLATLDIIGATAFGASFGAIQHTT NHPVVEAFTFLLDDMNRRAIDEPLHPSSMFYWLPTAANRMHRQQTAILRRTIDDLVAS RLHNPPANDDSQGKKTHHDLLQYMVDAAAAAAHNEDASGGVTPQSFADNLLTFLFAGY DTTSIALAYTLHLLAAHPQVQDKVVAEIEAVLGADTAPTCDTVSRLTFCAAVVTESVR LFPPVLVTMRSLEADLHVGGHHVPKGTNVMLPIYWIHRYEENWGADATAFRPERHLDE IHGKDKAFRMMAFSAGPRNCVGMRFAMMEAVIVLAVVLRRCRFSLPTDAPPVRPVVAG LVQKPEHGIWLHVQPRESAPAL H257_12905 MSLGLGTKSLVQVMGHEWKLHRKLISRAFGWQNLASMAPAMGSI AHEFASHLLATQASSKFDVFPMLKLATLDIIGTNCNPVSHSNPQTMPCISAILFLGAT AFGASFGAIQHTTNHPVVEAFTFLLDDMNRRAIDEPLHPSSMFYWLPTAANRMHRQQT AILRRTIDDLVASRLHNPPANDDSQGKKTHHDLLQYMVDAAAAAAHNEDASGGVTPQS FADNLLTFLFAGYDTTSIALAYTLHLLAAHPQVQDKVVAEIEAVLGADTAPTCDTVSR LTFCAAVVTESVRLFPPVLVTMRSLEADLHVGGHHVPKGTNVMLPIYWIHRYEENWGA DATAFRPERHLDEIHGKDKAFRMMAFSAGPRNCVGMRFAMMEAVIVLAVVLRRCRFSL PTDAPPVRPVVAGLVQKPEHGIWLHVQPRESAPAL H257_12905 MSLGLGTKSLVQVMGHEWKLHRKLISRAFGWQNLASMAPAMGSI AHEFASHLLATQASSKFDVFPMLKLATLDIIGATAFGASFGAIQHTTNHPVVEAFTFL LDDMNRRAIDEPLHPSSMFYWLPTAANRMHRQQTAILRRTIDDLVASRLHNPPANDDS QGKKTHHDLLQYMVDAAAAAAHNEDASGGVTPQSFADNLLTFLFAGYDTTSIALAYTL HLLAAHPQVQDKVVAEIEAVLGADTAPTCDTVSRLTFCAAVVTESVRLFPPVLVTMRS LEADLHVGGHHVPKGTNVMLPIYWIHRYEENWGADATAFRPERHLDEIHGKDKAFRMM AFSAGPRNCVGMRFAMMEAVIVLAVVLRRCRFSLPTDAPPVRPVVAGLVQKPEHGIWL HVQPRESAPAL H257_12906 MNGVDVLGVSGFHALLMAGDAMACTSVLRDTFAQCRDFARCKRD LVCMVRASTDVPRCAATLTATLLCVWSDATTPLAYLVLMALSDLTSSGVLPTDWASTV LPPAIRAEVAVVVEAALSTDSGVSPKVVVKTLALFQIDHIGLAVVMVYAKKLVVAGAY VSVLKCVEHFTWMPWPHMDMLEAFVATKSWPMAEQLLKIIHPALDGPTFRHLTMSLVT LSTQQQELKRAHRYVHQYNLQDEFPNIDSVFQREALDKLCGQRKWAIATNFVGNNTVL QVDLYHKMAAAGEYELANDLRDRFDLTDVGPRLDRTVKPRSIYLNLPKSIEIVFCDTD STVHTMEMYFKEVLSRDVRCWVGVDVEWKAVFDKTEMAMASILQIAVGSKVFIVDVIA LEASTMCFECLHRLFTNPSYVKIGFGFATDLKVLHQSFPDKLPCFQAVHGHVEVDTVL RHVAPTYSGKSLADATRFLLGKPLDKRHQLSKWELRPLHPLQLQYAALDAYCLVQMAQ LLVNMESSFMQRLTSLSLDIIDDKDAIQYARQYRQLRLQRVMNSHPSDEDHVNPVVAF LQAHPNAVDVQVVSSADEFDDNAAAATESELQVVGNSLCLMVNSAPHVAVLHQGHRLD LACVAKAAGVSRRKVRFATPQECVQVFGYAPGTVPPIAHKAPDTCIWVDSQLPHGVPL VLGGGGPNTVLKCSLSTLLRLAPHAQVAVLTINSSASDTKTGPIESSSPKFLADSMLG RVAKWLRMTGVDILHWDISVNANKHDMLALATGDGRIVLTRDRKLAQQRAAFACYVVA SDHVEAQFQEIKKHFGIEYHESEFMSRCAKCNQKGFNIVDVAQVVERADVPPQKVTDT VQEFYECQSCRQLYWVGPKYSTAQAKMKQIFQ H257_12907 MNWMVSAKKRVLQSKTGRRNQRQLQGASRPWITASALKEPLAPT RGVSVVHDDKASSTTQGSLGNTVVRPTIPTYFKQFERNTTGKDATGPPEAVAAASHGD DQRDNDPPRCIFTPDQLRLFKDQQSTARKIFAPKRDVLSPPPSDPFSGRGTSVSNHPA LAFGAGGSDCKSSSATTPSGSHCSMPVADVQPPQREMTWSYELPQCQQFSAETSTSHV NIERSSQCPVTPSNRSLGTRRSTPSISSNPQTASSYDLPPPHGPEFDVPRCNTPAKTE IGIRITKAAYMVTPTTPNPDLSSENGHTVAQLSVHQTKDNIASEVAHDTCKLTTSLEI TCQEPGPMPSALLPTTCITVTSPVYEKSGGCSTVAAVLANAGSTLTPSTHPNSAADGA AATSLDVTKPFRSQFLHGPWKMQNRTRRILDMAFSSLVVARKSFVDHADKPDDNYESL STILWTMVQCHELG H257_12908 MVLCRALCAAAAAVTTISATATGSYAPPDHANFTIPSYDLSSPH DHAGLVQSLQTHGIVALRSVPAFAESRAAYVQAAYTCMRDHPTLEALLHKQLQDGTER TTISTHADGSVGGYSAVLDVHCPQLSLTHKSYGDVLHSASLQLARVLSETVESPPSSL LDAVVRGAHLDHVHRYTSPSSKSSFPATAAASSVMSLDFHTDNGLFLLTSAPFYFHST DGHAVADAPSGLVLQLSLDGVPTQVRPRLVHDELVVMVGEGYTRWGSYGHSFPAVLHA MQMPLAATAVRMFAGRMLLLPKDATLAHTNLTFQAYAEASTRFALNEPATDHDRTILA TLGCPVGRSLLASDLSCALGIWTPSNQSDPSTTKAQCMAHCNAPNMKKDVEMCQSLKC IRTSEIPDGGTECWMLCVQHLDQCAVADQVCVDQTLVCPAATSPHQQQSWGWPVIAAA AAAVVVLALVVWSLRKRRHATEEKVPLLLASQGMNVTH H257_12909 MAFSACSSAAVRAALAKVCAELGANEDLYQVDIYRRLAQEAKVL YDTEKMRDRVREHPLTAEILTQHPQLVDFSVDESSMHCDADRNMVMDGTITFDRAASS LTKSSAAAQDQQPTQKKAKMDHERMQLVYTYERRYAGEQFGTTVTFAVHVVFGYGQKV PLVHSAFRTSGRYPVSYYARQAEQQEPADSNGDEEETDDVLAGDEGSDAGDNNVPRSD EVDGVSDENGGDGDDGSDDGDNEAMDVRVLGDDEKDWEYVESFEFQQETLEQVCAWLA EPSASTAELTPQDALSYVLVMPFHEDEFAVDDRIFDIVFNEDSDDDSDDDNEGHDDIE VDVVDEQD H257_12910 MESSVDTLVYQMEDDDPSIKYDAIRKLREIAAQLPRQSTPPPPF PVRNARRFLNCVRRRLHDMDNRVVVEALLLVCDLIPVLGTDNRLHLGQIVLPPLLTML PLDEDWTDDVAFPHTALHVLWVYSLDSKDLRPVMDILINQGLNHDDGAVRESSILAIK HLMTLNAKATHPCGLDYGMLVEVLIPSMEDDEESTVVAAEETLGWMQGHVGKDGFAKL TQRLNGRDKAILVEHKPFIAKFMPEGGGSDDHRSDKQLQFDVVPKWIVDVLTSPAASV ADKQSAMDNLVSVVLHASILACQWPALLAFLLSLCVHVDHPSIVHPVLECIRHIVQAV QRLVATVEIFPALVELFADMPHDDMLMDLLQLMFQLDQGVCLKLLPTYQHHSSRVREH ACLVLIMFVLRHPTEPLPVSSMVLHIGRLLCDPSVRVRQVAMEVCAVLKHVCNLDMLA LLRTNQDVYADAIDWQLLQRRLQQAFVPILTSRGSVCLDPTAPVLTSSSRSEPDSNRS NRSRNWVPKDKEEGAASPSVVSSSESAKQPSAQDIAKNLTTLKLRSLQKKSGKAADVA RYATSTPVEAGAYLSTGEREHHLRLPQGGSSTTHMHNDHQSMIKPTPYFNLPRPVVDN PSESLSSPRHESNPPPPKTMLTGDDRPIKPMGRQQPHQDNEGAAPTPSRFLDDDHDSS DQGMYYYSPRATTTTTTKRHLKPSSSSNDRPIKVQHSVEETVAKPSRVPVKRNVRPLN SKAPAVSSSTAPRTPSAQDADSLPPENPLANDRPVAPPRAMTLATRKRIEAKQDKDAA NAAVAATDAAASSSGGGGWLKSGDELRMALRAGKQEYVPTASLMDYPLPGSTKSELAK CVKLMHATDWESNFEGLTALRQIAVHAPDAVAGQLAPLVVEVVKQINNLRSSIAKNAM LAIETLCVSLSRKMDAEMDTVMPLLLKRAADTNAFLSEAAASTLVAVVQSCSAAKLLA SLLPHAVSKHALIRKQVATVMGTILIAEAAASRLESYRELDRVLSTLCSLVGDSNNEV RDTAKPTLLFLKTQKYIDPARLKRAVPSATLMRVEQVLQTSSIADQPDKQPRQAKPPK PPQVVDVRDGNIQDELASMLVALESSNWKDRYDALETCKPFVHQHAAVLCQSGKLNVL FDTLNKRLDDGNAKVSLCALETLVDIIPPLGNGLDAVLANLVPTLTRNLAANNQKVAL LADKALDLLCKHVDGKLLCPPFVVAGRGANTRTKPGLIEKITRLAIMGAPSTTLTRHV LPMAFDLMKESKTDIRDANQGLLRALYATMGPAMLDSAYKLPKTHQDKLGQVLGVRL H257_12910 MESSVDTLVYQMEDDDPSIKYDAIRKLREIAAQLPRQSTPPPPF PVRNARRFLNCVRRRLHDMDNRVVVEALLLVCDLIPVLGTDNRLHLGQIVLPPLLTML PLDEDWTDDVAFPHTALHVLWVYSLDSKDLRPVMDILINQGLNHDDGAVRESSILAIK HLMTLNAKATHPCGLDYGMLVEVLIPSMEDDEESTVVAAEETLGWMQGHVGKDGFAKL TQRLNGRDKAILVEHKPFIAKFMPEGGGSDDHRSDKQLQFDVVPKWIVDVLTSPAASV ADKQSAMDNLVSVVLHASILACQWPALLAFLLSLCVHVDHPSIVHPVLECIRHIVQAV QRLVATVEIFPALVELFADMPHDDMLMDLLQLMFQLDQGVCLKLLPTYQHHSSRVREH ACLVLIMFVLRHPTEPLPVSSMVLHIGRLLCDPSVRVRQVAMEVCAVLKHVCNLDMLA LLRTNQDVYADAIDWQLLQRRLQQAFVPILTSRGSVCLDPTAPVLTSSSRSEPDSNRS NRSRNWVPKDKEEGAASPSVVSSSESAKQPSAQDIAKNLTTLKLRSLQKKSGKAADVA RYATSTPVEAGAYLSTGEREHHLRLPQGGSSTTHMHNDHQSMIKPTPYFNLPRPVVDN PSESLSSPRHESNPPPPKTMLTGDDRPIKPMGRQQPHQDNEGAAPTPSRFLDDDHDSS DQGMYYYSPRATTTTTTKRHLKPSSSSNDRPIKVQHSVEETVAKPSRVPVKRNVRPLN SKAPAVSSSTAPRTPSAQDADSLPPENPLANDRPVAPPRAMTLATRKRIEAKQDKDAA NAAVAATDAAASSSGGGGWLKSGDELRMALRAGKQEYVPTASLMDYPLPGSTKSELAK CVKLMHATDWESNFEGLTALRQIAVHAPDAVAGQLAPLVVEVVKQINNLRSSIAKNAM LAIETLCVSLSRKMDAEMDTVMPLLLKRAADTNAFLSEAAASTLVAVVQSCSAAKLLA SLLPHAVSKHALIRKQVATVMGTILIAEAAASRLESYRELDRVLSTLCSLVGDSNNEV RDTAKPTLLFLKTQKYIDPARLKRAVPSATLMRVEQVLQTSSIADQPDKQPRQAKPPK PPQVVDVRDGNIQDELASMLVALESSNWKDRYDALETCKPFVHQHAAVLCQSGKLNVL FDTLNKRLDDGNAKVSLCALETLVDIIPPLGNGLDAVLANLVPTLTRNLAANNQKVGY CWTGGPFGRQGVGSAVQTCRRKAPMPAVCRGWSGGQYPNQARTY H257_12911 MVAVPSMLLASLGLTLVFAGNHNKLLCNDVEQNIDYPGFDVGST SQADSSECCNDCAANDDCKVWVWTTYQGGTCWLKSGHGEGVQLDGAVAGSLNDHDDDD DDDDDDSDIEEDTDYEGNDLTSTSRKYAELCTQDCENTDGCKLFVWTNHQGGTCWLKH AKGAKHNVPGARALVLRGDHATTTLQPAYSTRPPKPTTTEPGYSTRAPATTTTAAPAT TTAVPQTTTSKPTSTSPAYSTRAPVTTTTAAPATSTKAPTTTATPVITTKTPTTTTTP LTTTAIPVTTTKTPVTTTGTPVTTTAAPRTSTKVPTTTSKGTTTAAPGYNQDSHHNHY ACHDYSCPCEHNRCPCEHNRCPCEHNHCPCNHH H257_12912 MGSWANRLQTPPTVPVAPVRTAFAIPISQAMDDSSFCSYDGQKA GIQDAHVRTLDSFGCGGTKLGWGVSREQSQHINSGLFPIGLPAKTVPVLSSCSVVHVI CVNASCQKTQFSSWGVPRITRADSVTMCSISVVTQQCPAKADERSITFSQSSP H257_12913 MDTKVVWSTALLACALGVGVGVAASSIFFPSTHDATPEDDTIAN LRRDLEKEKALRAQERSGRTHAERDARLLAQKQLDKDGYTFEPIGTVTSCFRDRRGTP RQGALVPGSLARITLHASISPTSLECLNQFSHMWVLFVFHENTNLAKVTTHKTATYPS KIAPPRLGGKKVGLFSTRTPHRPNSIGLTVVKVDAVSGRFVDISGHDLVDGTPVLDIK PYVPYDNVASLVCPEWVAEKDDILPRPVHFTVEAQVQLEQAIPAMQFYTSVAAMRATI DQILVLDIRSIHQKRGEASDTEFHFRLDHLDVVFKTLDDFIQVTRCSVVDNKTTKTSR EQGPNNHREDTYGHGGLQIEQPLE H257_12914 MLRSVTRLAAPVRARMYATSSIESLAVRSMVSSFRRLGHLEARL DPLGRETPSAVDELAPSAFSSLFSTPLSSTGEVYFPHATTDMKVLYAQLRSTYCGSLG VEIEHLKHKDERDWLAAQVEHPSLSITPSMERNAWTSMQTAETFEHFLAKKFSSFKRY SGEGAEAMMPAIQTILDTASQHGTSDVVIGMPHRGRLALLVGILKYPVHQLLRKIQGF SDYPEHIVGLDDVSSHIAQSVDVNGVHVSLLHNPSHLEIINAVTTGKVRAKQDSGKAA MALLLHGDAAFSGQGCVPEGLSLSQLPSFTTGGTVHIVVNNQVGFTTTKQDGRSTTYS TDVAKGYDIPVLHVNGEDIPAVIRAAHIAANFRHTFQKDIVIDLITYRRHGHNEVDEP RFTQPGMYSAISSRPSLPAQYGAQLVDKNLLTPAKVDALKAKLNAHLEQELQKSATYV PTTVAAFEGNWKGLRQPTTADMQAAVDTGVDKSILQALGVASVTVPPSVPVHNRLERT HIQTRLASLSKANLSDINVDWATAEAMAFGSLLHDGHSIRLAGQDCRRGTFSHRHAAF TDQTTDQHYFPFRNLPKALNPTGRRFDVVNSNLSELAVMGFEYGYSWEDPRALVLWEA QFGDFFNGAQIVIDQFLASGETKWMRQSGLVLLLPHGYDGAGPDHSSGKPERFLQFVN SRAYETAADTPELESINLSIVNVTTPAQYFHVLRRQQLRDFRKPLVLFAPKTLLRLAQ ATSSLDDMAPGTTFHSVLGDSVAQPSAVRRVLLVSGKLYYDLVAQRAQQQHQQDTAIV RVEELAPFPADALKAELAKYANATDVVWIQEEPANQGAWAYAKVHLDKLGVPTRYIGR PSLPATAQGMGKANAKEAQEVMRQAWELL H257_12914 MLRSVTRLAAPVRARMYATSSIESLAVRSMVSSFRRLGHLEARL DPLGRETPSAVDELAPSAFSSLFSTPLSSTGEVYFPHATTDMKVLYAQLRSTYCGSLG VEIEHLKHKDERDWLAAQVEHPSLSITPSMERNAWTSMQTAETFEHFLAKKFSSFKRY SGEGAEAMMPAIQTILDTASQHGTSDVVIGMPHRGRLALLVGILKYPVHQLLRKIQGF SDYPEHIVGLDDVSSHIAQSVDVNGVHVSLLHNPSHLEIINAVTTGKVRAKQDSGKAA MALLLHGDAAFSGQGCVPEGLSLSQLPSFTTGGTVHIVVNNQVGFTTTKQDGRSTTYS TDVAKGYDIPVLHVNGEDIPAVIRAAHIAANFRHTFQKDIVIDLITYRRHGHNEVDEP RFTQPGMYSAISSRPSLPAQYGAQLVDKNLLTPAKVDALKAKLNAHLEQELQKSATYV PTTVAAFEGNWKGLRQPTTADMQAAVDTGVDKSILQALGVASVTVPPSVPVHNRLERT HIQTRLASLSKANLSDINVDWATAEAMAFGSLLHDGHSIRLAGQDCRRGTFSHRHAAF TDQTTDQHYFPFRNLPKALNPTGRRFDVVNSNLSELAVMGFEYGYSWEDPRALVLWEA QFGDFFNGAQIVIDQFLASGETKWMRQSGLVLLLPHGYDGAGPDHSSGKPERFLQFVN SRAYETAADTPELESINLSIVNVTTPAQYFHVLRRQQLRDFRKPLVLFAPKTLLRLAQ ATSSLDDMAPGTTFHSVLGDSVAQPSAVRRVLLVSGKLYYDLVAQRAQQQHQ H257_12914 MLRSVTRLAAPVRARMYATSSIESLAVRSMVSSFRRLGHLEARL DPLGRETPSAVDELAPSAFSSLFSTPLSSTGEVYFPHATTDMKVLYAQLRSTYCGSLG VEIEHLKHKDERDWLAAQVEHPSLSITPSMERNAWTSMQTAETFEHFLAKKFSSFKRY SGEGAEAMMPAIQTILDTASQHGTSDVVIGMPHRGRLALLVGILKYPVHQLLRKIQGF SDYPEHIVGLDDVSSHIAQSVDVNGVHVSLLHNPSHLEIINAVTTGKVRAKQDSGKAA MALLLHGDAAFSGQGCVPEGLSLSQLPSFTTGGTVHIVVNNQVGFTTTKQDGRSTTYS TDVAKGYDIPVLHVNGEDIPAVIRAAHIAANFRHTFQKDIVIDLITYRRHGHNEVDEP RFTQPGMYSAISSRPSLPAQYGAQLVDKNLLTPAKVDALKAKLNAHLEQELQKSATYV PTTVAAFEGNWKGLRQPTTADMQAAVDTGVDKSILQALGVASVTVPPSVPVHNRLERT HIQTRLASLSKANLSDINVDWATAEAMAFGSLLHDGHSIRLAGQDCRRGTFSHRHAAF TDQTTDQHYFPFRNLPKALNPTGRRFDVVNSNLSELAVMGFEYGYSWEDPRALVLWEA QFGDFFNGAQIVIDQFLASGETKWMRQSGLVLLLPHGYDGAGPDHSSSTRLVTTRGDD DMVVVMMLVANQNGFCSL H257_12914 MLRSVTRLAAPVRARMYATSSIESLAVRSMVSSFRRLGHLEARL DPLGRETPSAVDELAPSAFSSLFSTPLSSTGEVYFPHATTDMKVLYAQLRSTYCGSLG VEIEHLKHKDERDWLAAQVEHPSLSITPSMERNAWTSMQTAETFEHFLAKKFSSFKRY SGEGAEAMMPAIQTILDTASQHGTSDVVIGMPHRGRLALLVGILKYPVHQLLRKIQGF SDYPEHIVGLDDVSSHIAQSVDVNGVHVSLLHNPSHLEIINAVTTGKVRAKQDSGKAA MALLLHGDAAFSGQGCVPEGLSLSQLPSFTTGGTVHIVVNNQVGFTTTKQDGRSTTYS TDVAKGYDIPVLHVNGEDIPAVIRAAHIAANFRHTFQKDIVIDLITYRRHGHNEVDEP RFTQPGMYSAISSRPSLPAQYGAQLVDKNLLTPAKVDALKAKLNAHLEQELQKSATYV PTTVAAFEGNWKGLRQPTTADMQAAVDTGVDKSILQALGVASVTVPPSVPVHNRLERT HIQTRLASLSKANLSDINVDWATAEAMAFGSLLHDGHSIRLAGQDCRRGTFSHRHAAF TDQTTDQHYFPFRNLPKALNPTGRRFDVVNSNLSELAVMGFEYGYSWEDPRALVLWEA QFGDFFNGAQIVIDQFLASGETKWMRQSGLVLLLPHGYDGAGPDHSSSTRLVTTRGDD DMVVVMMLVANQNGFCSL H257_12914 MLRSVTRLAAPVRARMYATSSIESLAVRSMVSSFRRLGHLEARL DPLGRETPSAVDELAPSAFSSLFSTPLSSTGEVYFPHATTDMKVLYAQLRSTYCGSLG VEIEHLKHKDERDWLAAQVEHPSLSITPSMERNAWTSMQTAETFEHFLAKKFSSFKRY SGEGAEAMMPAIQTILDTASQHGTSDVVIGMPHRGRLALLVGILKYPVHQLLRKIQGF SDYPEHIVGLDDVSSHIAQSVDVNGVHVSLLHNPSHLEIINAVTTGKVRAKQDSGKAA MALLLHGDAAFSGQGCVPEGLSLSQLPSFTTGGTVHIVVNNQVGFTTTKQDGRSTTYS TDVAKGYDIPVLHVNGEDIPAVIRAAHIAANFRHTFQKDIVIDLITYRRHGHNEVDEP RFTQPGMYSAISSRPSLPAQYGAQLVDKNLLTPAKVDALKAKLNAHLEQELQKSATYV PTTVAAFEGNWKGLRQPTTADMQAAVDTGVDKSILQALGVASVTVPPSVPVHNRLERT HIQTRLASLSKANLSDINVDWATAEAMAFGSLLHDGHSIRLAGQDCRRGTFSHRHAAF TDQTTDQHYFPFRNLPKALNPTGRRFDVVNSNLSELAVMGFEYGYSWEDPRALVLWEA QFGDFFNGAQIVIDQFLASGETKWMRQSGLVLLLPHGYDGAGPDHSRYKLLLSILPQI H257_12914 MLRSVTRLAAPVRARMYATSSIESLAVRSMVSSFRRLGHLEARL DPLGRETPSAVDELAPSAFSSLFSTPLSSTGEVYFPHATTDMKVLYAQLRSTYCGSLG VEIEHLKHKDERDWLAAQVEHPSLSITPSMERNAWTSMQTAETFEHFLAKKFSSFKRY SGEGAEAMMPAIQTILDTASQHGTSDVVIGMPHRGRLALLVGILKYPVHQLLRKIQGF SDYPEHIVGLDDVSSHIAQSVDVNGVHVSLLHNPSHLEIINAVTTGKVRAKQDSGKAA MALLLHGDAAFSGQGCVPEGLSLSQLPSFTTGGTVHIVVNNQVGFTTTKQDGRSTTYS TDVAKGYDIPVLHVNGEDIPAVIRAAHIAANFRHTFQKDIVIDLITYRRHGHNEVDEP RFTQPGMYSAISSRPSLPAQYGAQLVDKNLLTPAKVDALKAKLNAHLEQELQKSATYV PTTVAAFEGNWKGLRQPTTADMQAAVDTGVDKSILQALGVASVTVPPSVPVHNRLERT HIQTRLASLSKANLSDINVDWATAEAMAFGSLLHDGHSIRLAGQDCRRGTFSHRHAAF TDQTTDQHYFPFRNLPKALNPTGRRFDVVNSNLSELAVMGFEYGYSWEDPRALVLWEA QFGDFFNGAQIVIDQFLASGETKWMRQSGLVLLLPHGYDGAGPDHSRYKLLLSILPQI H257_12915 MLDRLKKSIHARLLADIEADELLNILPSGQPAKYLGVLFGHRLP VDFQVQILRDKFLAAFPMWGGRARTLQGRKLLVSTMLLSMLWHVTTAVPIPQHIVDEI QSMTNKFIVGRKTLRTDKFRALLDRPLQHDKAMGLGIPHIASIIRQQRLVRLQQLMAN PSGDGTPSWRPLVHRQFARVMGQLYRDSYPFDFLFYFPNMSSKWIALRELHPLWRDVW KQWSAIPMSKRVETPPTFDMVMNMPLWLTSYEPMHYGRLKYSACLASAPNIRRWCLQG ASNGLRSLKDFLNTDGSWPTQAMFISRMSQGNPAARVRLNAARGRMEFRLQDPTDALR INVLAQLAKLKRQRPYNTLWL H257_12916 MRTECCAHVSLAGVVFLSSLGGILQLQPEYIRGLTHATPAAKLA TNCWVGAAIYATTWLICLAALKVQGRQESSLKKKSYDLQELRRAISSWEDLGMPPRLD GHEGDDEDQDDNVAIGGVRHNTKPANGIQT H257_12917 MPDPAKADAVGGELSEAEYRKISSRPHMKFNDMTSEVCTETIEI ITMAVDKHVPLKNYEAAAQLIKQSMDKKFGSFWHCFIGEGYGFDISYQQRHMLYMYVG EIGILVYKC H257_12918 MKEGCLRCCSGLSAVGMIYLSLMSIILSKQPQYIRGLHHHDNHD LHSSCAVAAVLYAVCFGGCMYALRVHNESKHLRYGVKVVSSSDECDPLKKDEDEGADL KVRGAVSAGVISAIKTQLMHAKVMHSDEMHSLIQSDGSSSPRKPKPRKGD H257_12919 MSMVHHPSQLPVLVASNHLPVLLERREDGKYAATWRGDRLLAST MAFSHRDLFRPSRRKVRFLGRVELEVSLQDQPAVNEACAAISCIPVFPSAETDVATYD AFCSGPLRAVFHNMVHPSTLNPPSHVQENADPWPAFLAMNKDFAVAISKAYATGDILW LQDFDLVMVPHFLARLVRPMTVPSTVGLFIHVPFPSKSVLSTLSHDRDILRSMLTVHH VGFHIYEHARHFLDACEALIRTPPPSMIQGQLVVHHNGKQVHITCAQLTVDAARVERD LASNDSVRDEIIRWRQLHKLIFAAVDVVDELHGIPHKVVAFHQFLKKRPEYASRVLLV QVGVVTSTLGSTQHAQEVRRLVDDINAQYPTPVIVFDVRNDRMPTVDRIALWRVAEVF VSTVFAEGLNTCPFEYLVTHRNATGLAILSQFAVARRILHGATIVNPWNIHSIATAME ATVHMSLKEKEFRRDCDVLSIASHTPTRWAATILSDIAAAASAPPKKLDVLTKPLTVE HLLDLYYKSRGRRIFFFDYYRTLAPDVSSDFGIVWPDVPVDVLSSLEQLCRDPRNTVF VVSGCNCELLSDKFGSVPGLGLVAEHGYFIRWAMLGRAARINKPWELYGDVFRVNASC GKWREKAEAIMLMYVDRTNGAALEMRRSSILFRYASADYGFGLLQARELLHQLTAAFD GWPLSVIQGKDYIEVRPEGLGKGKIVKQILHKLHMDSIKSDEHPASSSHHGAIDFVWT MGDDVADELMFDAAHDCGHAFNIAHVLTCTVGHKSSQAQYFVSDHVQVVSVLASVRAA LTKTSRYRSVGDMQSILAKHVLHHAPHVTYNTSSQLDQPISSCPILARKPSAKALRLR GLAPVLEEDQSGRSVDTSMPPPVPAADSRLIKWMALLLVLSLSSRHLRTWYAGSKWKV TAAGLVLLSVVGGYWYSAAAKRRWWRS H257_12919 MSMVHHPSQLPVLVASNHLPVLLERREDGKYAATWRGDRLLAST MAFSHRDLFRPSRRKVRFLGRVELEVSLQDQPAVNEACAAISCIPVFPSAETDVATYD AFCSGPLRAVFHNMVHPSTLNPPSHVQENADPWPAFLAMNKDFAVAISKAYATGDILW LQDFDLVMVPHFLARLVRPMTVPSTVGLFIHVPFPSKSVLSTLSHDRDILRSMLTVHH VGFHIYEHARHFLDACEALIRTPPPSMIQGQLVVHHNGKQVHITCAQLTVDAARVERD LASNDSVRDEIIRWRQLHKLIFAAVDVVDELHGIPHKVVAFHQFLKKRPEYASRVLLV QVGVVTSTLGSTQHAQEVRRLVDDINAQYPTPVIVFDVRNDRMPTVDRIALWRVAEVF VSTVFAEGLNTCPFEYLVTHRNATGLAILSQFAVARRILHGATIVNPWNIHSIATAME ATVHMSLKEKEFRRDCDVLSIASHTPTRWAATILSDIAAAASAPPKKLDVLTKPLTVE HLLDLYYKSRGRRIFFFDYYRTLAPDVSSDFGIVWPDVPVDVLSSLEQLCRDPRNTVF VVSGCNCELLSDKFGSVPGLGLVAEHGYFIRWAMLGRAARINKPWELYGDVFRVNASC GKWREKAEAIMLMYVDRTNGAALEMRRSSILFRYASADYGFGLLQARELLHQLTAAFD GWPLSVIQGKDYIEVRPEGLGKGKIVKQILHKLHMDSIKSDEHPASSSHHGAIDFVWT MGDDVADELMFDAAHDCGHAFNIAHVLTCTVGHKSSQAQYFVSDHVQVVSVLASVRAA LTKTSRYRSVGDMQSILAKHVLHHAPHVTYNTSSQLDQPISSCPILARKPSAKALRLR EPPSSKKTKAGAVWTHRCRRLYPRQIRA H257_12919 MSMVHHPSQLPVLVASNHLPVLLERREDGKYAATWRGDRLLAST MAFSHRDLFRPSRRKVRFLGRVELEVSLQDQPAVNEACAAISCIPVFPSAETDVATYD AFCSGPLRAVFHNMVHPSTLNPPSHVQENADPWPAFLAMNKDFAVAISKAYATGDILW LQDFDLVMVPHFLARLVRPMTVPSTVGLFIHVPFPSKSVLSTLSHDRDILRSMLTVHH VGFHIYEHARHFLDACEALIRTPPPSMIQGQLVVHHNGKQVHITCAQLTVDAARVERD LASNDSVRDEIIRWRQLHKLIFAAVDVVDELHGIPHKVVAFHQFLKKRPEYASRVLLV QVGVVTSTLGSTQHAQEVRRLVDDINAQYPTPVIVFDVRNDRMPTVDRIALWRVAEVF VSTVFAEGLNTCPFEYLVTHRNATGLAILSQFAVARRILHGATIVNPWNIHSIATAME ATVHMSLKEKEFRRDCDVLSIASHTPTRWAATILSDIAAAASAPPKKLDVLTKPLTVE HLLDLYYKSRGRRIFFFDYYRTLAPDVSSDFGIVWPDVPVDVLSSLEQLCRDPRNTVF VVSGCNCELLSDKFGSVPGLGLVAEHGYFIRWAMLGRAARINKPWELYGDVFRVNASC GKWREKAEAIMLMYVDRTNGAALEMRRSSILFRYASADYGFGLLQARELLHQLTAAFD GWPLSVIQGKDYIEVRPEGLGKGKIVKQILHKLHMDSIKSDEHPASSSHHGAIDFVWT MGDDVADELMFDAAHDCGHAFNIAHVLTCTVGHKSSQAQYFVSDHVQVVSVLASVRAA LTKTSRYRSVGDMQSILAKHVLHHAPHVTYNTSSQLDQPISSCPILARKPSAKALRLR GLYIQPLLPIYCLSFEYLPM H257_12919 MSMVHHPSQLPVLVASNHLPVLLERREDGKYAATWRGDRLLAST MAFSHRDLFRPSRRKVRFLGRVELEVSLQDQPAVNEACAAISCIPVFPSAETDVATYD AFCSGPLRAVFHNMVHPSTLNPPSHVQENADPWPAFLAMNKDFAVAISKAYATGDILW LQDFDLVMVPHFLARLVRPMTVPSTVGLFIHVPFPSKSVLSTLSHDRDILRSMLTVHH VGFHIYEHARHFLDACEALIRTPPPSMIQGQLVVHHNGKQVHITCAQLTVDAARVERD LASNDSVRDEIIRWRQLHKLIFAAVDVVDELHGIPHKVVAFHQFLKKRPEYASRVLLV QVGVVTSTLGSTQHAQEVRRLVDDINAQYPTPVIVFDVRNDRMPTVDRIALWRVAEVF VSTVFAEGLNTCPFEYLVTHRNATGLAILSQFAVARRILHGATIVNPWNIHSIATAME ATVHMSLKEKEFRRDCDVLSIASHTPTRWAATILSDIAAAASAPPKKLDVLTKPLTVE HLLDLYYKSRGRRIFFFDYYRTLAPDVSSDFGIVWPDVPVDVLSSLEQLCRDPRNTVF VVSGCNCELLSDKFGSVPGLGLVAEHGYFIRWAMLGRAARINKPWELYGDVFRVNASC GKWREKAEAIMLMYVDRTNGAALEMRRSSILFRYASADYGFGLLQARELLHQLTAAFD GWPLSVIQGKDYIEVRPEGLGKGKIVKQILHKLHMDSIKSDEHPASSSHHGAIDFVWT MGDDVADELMFDAAHDCGHAFNIAHGTIHTVRLIYIAVLPKIWAFFCTKYAKNRSIDR IFITECCISKVW H257_12919 MSMVHHPSQLPVLVASNHLPVLLERREDGKYAATWRGDRLLAST MAFSHRDLFRPSRRKVRFLGRVELEVSLQDQPAVNEACAAISCIPVFPSAETDVATYD AFCSGPLRAVFHNMVHPSTLNPPSHVQENADPWPAFLAMNKDFAVAISKAYATGDILW LQDFDLVMVPHFLARLVRPMTVPSTVGLFIHVPFPSKSVLSTLSHDRDILRSMLTVHH VGFHIYEHARHFLDACEALIRTPPPSMIQGQLVVHHNGKQVHITCAQLTVDAARVERD LASNDSVRDEIIRWRQLHKLIFAAVDVVDELHGIPHKVVAFHQFLKKRPEYASRVLLV QVGVVTSTLGSTQHAQEVRRLVDDINAQYPTPVIVFDVRNDRMPTVDRIALWRVAEVF VSTVFAEGLNTCPFEYLVTHRNATGLAILSQFAVARRILHGATIVNPWNIHSIATAME ATVHMSLKEKEFRRDCDVLSIASHTPTRWAATILSDIAAAASAPPKKLDVLTKPLTVE HLLDLYYKSRGRRIFFFDYYRTLAPDVSSDFGIVWPDVPVDVLSSLEQLCRDPRNTVF VVSGCNCELLSDKFGSVPGLGLVAEHGYFIRWAMLGRAARINKYVRRHVNTASYGVAY YVEVYIFKEDIVY H257_12919 MNKDFAVAISKAYATGDILWLQDFDLVMVPHFLARLVRPMTVPS TVGLFIHVPFPSKSVLSTLSHDRDILRSMLTVHHVGFHIYEHARHFLDACEALIRTPP PSMIQGQLVVHHNGKQVHITCAQLTVDAARVERDLASNDSVRDEIIRWRQLHKLIFAA VDVVDELHGIPHKVVAFHQFLKKRPEYASRVLLVQVGVVTSTLGSTQHAQEVRRLVDD INAQYPTPVIVFDVRNDRMPTVDRIALWRVAEVFVSTVFAEGLNTCPFEYLVTHRNAT GLAILSQFAVARRILHGATIVNPWNIHSIATAMEATVHMSLKEKEFRRDCDVLSIASH TPTRWAATILSDIAAAASAPPKKLDVLTKPLTVEHLLDLYYKSRGRRIFFFDYYRTLA PDVSSDFGIVWPDVPVDVLSSLEQLCRDPRNTVFVVSGCNCELLSDKFGSVPGLGLVA EHGYFIRWAMLGRAARINKPWELYGDVFRVNASCGKWREKAEAIMLMYVDRTNGAALE MRRSSILFRYASADYGFGLLQARELLHQLTAAFDGWPLSVIQGKDYIEVRPEGLGKGK IVKQILHKLHMDSIKSDEHPASSSHHGAIDFVWTMGDDVADELMFDAAHDCGHAFNIA HVLTCTVGHKSSQAQYFVSDHVQVVSVLASVRAALTKTSRYRSVGDMQSILAKHVLHH APHVTYNTSSQLDQPISSCPILARKPSAKALRLREPPSSKKTKAGAVWTHRCRRLYPR QIRA H257_12919 MNKDFAVAISKAYATGDILWLQDFDLVMVPHFLARLVRPMTVPS TVGLFIHVPFPSKSVLSTLSHDRDILRSMLTVHHVGFHIYEHARHFLDACEALIRTPP PSMIQGQLVVHHNGKQVHITCAQLTVDAARVERDLASNDSVRDEIIRWRQLHKLIFAA VDVVDELHGIPHKVVAFHQFLKKRPEYASRVLLVQVGVVTSTLGSTQHAQEVRRLVDD INAQYPTPVIVFDVRNDRMPTVDRIALWRVAEVFVSTVFAEGLNTCPFEYLVTHRNAT GLAILSQFAVARRILHGATIVNPWNIHSIATAMEATVHMSLKEKEFRRDCDVLSIASH TPTRWAATILSDIAAAASAPPKKLDVLTKPLTVEHLLDLYYKSRGRRIFFFDYYRTLA PDVSSDFGIVWPDVPVDVLSSLEQLCRDPRNTVFVVSGCNCELLSDKFGSVPGLGLVA EHGYFIRWAMLGRAARINKPWELYGDVFRVNASCGKWREKAEAIMLMYVDRTNGAALE MRRSSILFRYASADYGFGLLQARELLHQLTAAFDGWPLSVIQGKDYIEVRPEGLGKGK IVKQILHKLHMDSIKSDEHPASSSHHGAIDFVWTMGDDVADELMFDAAHDCGHAFNIA HVLTCTVGHKSSQAQYFVSDHVQVVSVLASVRAALTKTSRYRSVGDMQSILAKHVLHH APHVTYNTSSQLDQPISSCPILARKPSAKALRLRGLYIQPLLPIYCLSFEYLPM H257_12919 MIGRHPVRYIWIYILSLVFEPFQSIRHARHFLDACEALIRTPPP SMIQGQLVVHHNGKQVHITCAQLTVDAARVERDLASNDSVRDEIIRWRQLHKLIFAAV DVVDELHGIPHKVVAFHQFLKKRPEYASRVLLVQVGVVTSTLGSTQHAQEVRRLVDDI NAQYPTPVIVFDVRNDRMPTVDRIALWRVAEVFVSTVFAEGLNTCPFEYLVTHRNATG LAILSQFAVARRILHGATIVNPWNIHSIATAMEATVHMSLKEKEFRRDCDVLSIASHT PTRWAATILSDIAAAASAPPKKLDVLTKPLTVEHLLDLYYKSRGRRIFFFDYYRTLAP DVSSDFGIVWPDVPVDVLSSLEQLCRDPRNTVFVVSGCNCELLSDKFGSVPGLGLVAE HGYFIRWAMLGRAARINKPWELYGDVFRVNASCGKWREKAEAIMLMYVDRTNGAALEM RRSSILFRYASADYGFGLLQARELLHQLTAAFDGWPLSVIQGKDYIEVRPEGLGKGKI VKQILHKLHMDSIKSDEHPASSSHHGAIDFVWTMGDDVADELMFDAAHDCGHAFNIAH VLTCTVGHKSSQAQYFVSDHVQVVSVLASVRAALTKTSRYRSVGDMQSILAKHVLHHA PHVTYNTSSQLDQPISSCPILARKPSAKALRLREPPSSKKTKAGAVWTHRCRRLYPRQ IRA H257_12919 MIGRHPVRYIWIYILSLVFEPFQSIRHARHFLDACEALIRTPPP SMIQGQLVVHHNGKQVHITCAQLTVDAARVERDLASNDSVRDEIIRWRQLHKLIFAAV DVVDELHGIPHKVVAFHQFLKKRPEYASRVLLVQVGVVTSTLGSTQHAQEVRRLVDDI NAQYPTPVIVFDVRNDRMPTVDRIALWRVAEVFVSTVFAEGLNTCPFEYLVTHRNATG LAILSQFAVARRILHGATIVNPWNIHSIATAMEATVHMSLKEKEFRRDCDVLSIASHT PTRWAATILSDIAAAASAPPKKLDVLTKPLTVEHLLDLYYKSRGRRIFFFDYYRTLAP DVSSDFGIVWPDVPVDVLSSLEQLCRDPRNTVFVVSGCNCELLSDKFGSVPGLGLVAE HGYFIRWAMLGRAARINKPWELYGDVFRVNASCGKWREKAEAIMLMYVDRTNGAALEM RRSSILFRYASADYGFGLLQARELLHQLTAAFDGWPLSVIQGKDYIEVRPEGLGKGKI VKQILHKLHMDSIKSDEHPASSSHHGAIDFVWTMGDDVADELMFDAAHDCGHAFNIAH VLTCTVGHKSSQAQYFVSDHVQVVSVLASVRAALTKTSRYRSVGDMQSILAKHVLHHA PHVTYNTSSQLDQPISSCPILARKPSAKALRLRGLYIQPLLPIYCLSFEYLPM H257_12920 MCEALPRSIRQNGADAVDLIRVHSSYMQHMKAFFLASFVCAVAS AAGKYEVCTKGCLGGGIEPLTNERALIQAPCFVWVSASRVDCYSYTSPTSGMCPFGAS SVDCAQAPVTTTTSAPTTTTSTSPPTTTTSPSTSTASLAPSTTSSSTSDITTNNTTPD APSEKGTSWGNAPYFIAGGVALVALGMLVIILVRKSRRPDDDDDNDVHALHLEQHTKQ ITNEPTTAYVIPASVAFPYTPTSHQVDPHAKHNGSLHQQPMSSPYGGMRATNESFVRP RLPSGPVDPPLRLPTVDPPQDYRALEAERGSVTF H257_12921 MHRVLNVVARPAARSALAMHGTSRRCMSSIPTGSSSPVGPILLG ATALGLYTFRQSFLTTFMDPVLMPLLRLLGPETSHVLAVQAAKYGWSVKDTVPDDPSL HVSLLGLSFDNPIGIAAGFDKHADAMQGLLDMGFGFVEIGSVTPLPQDGNPKPRVFRL VEDRGVINRYGFNSQGHAKVRERLEKYKYWTLSTTTSKQYRRGPLGVNLGKNKSSDSP IEDYVRGVETLGPFGDYLVINISSPNTPGLRSLQGKKELHALVSAVLDARNKLWKRLP LLVKIAPDLTSDDMRDIAEVALALQIDGLIVSNTTISRPESLLSPHAAETGGLSGAPV KELSTTVLHSMYKLTEGKIPLIGVGGVATGQDAYDKIRAGASLVQLYSSLVFNGPLAV ARIKHELTACIKQDGYTSVAEAVGAAHNDPSSKNPQP H257_12921 MHRVLNVVARPAARSALAMHGTSRRCMSSIPTGSSSPVGPILLG ATALGLYTFRQSFLTTFMDPVLMPLLRLLGPETSHVLAVQAAKYGWSVKDTVPDDPSL HVSLLGLSFDNPIGIAAGFDKHADAMQGLLDMGFGFVEIGSVTPLPQDGNPKPRVFRL VEDRGVINRYGFNSQGHAKVRERLEKYKYWTLSTTTSKQYRRGPLGVNLGKNKSSDSP IEDYVRGVETLGPFGDYLVINISSPNTPGLRSLQGKKELHALVSAVLDARNKLWKRLP LLVKIAPDLTSDDMRDIAEVALALQIDGLIVSNTTISRPESLLSPHAAETGGLSGAPV KELSTTVLHSMYKLTEGKIPLIGVGGVATGQDAYDKIRAGASLVQLYSSLVFNGPLAV VGSFECGYPAQ H257_12922 MGGTLSSKHSKITFATPDGSVGITPGFAQKYARHMPFDIKTPVL TPRHVELIKANWSAVCAGTSAFDVEQHGSPDKFFHRTFYATLFKADPSLRGIFRSSLT LQGKSLASIIKVMTGVVSASNLVERMQALASGHLKFGVKRQDYATLGVTLIQTLEIIS GSSWSRHVKEAYLTAYCLLFYLVLPVVVRYAPDPVQDSIPCAITNVDVVSSKARRLTL AYDFPLRFQPGDGILLGSGHTQVIFPIASFHDRATSALDICVDVATSDWLCRQAMDSK LKLFWVASNVNFEIDTPDTGLPTDVMLISYGIGAAPFVAMMQGLHSVKDSYRGRVVAL QCAPTFDDIAAFQSRQGDLNAWDQCSIHYASKVTAETFLEIAPNSLDHVDVIVNGPKD FVTAVAKVYVAAGGRKLIRVYGFDNPRHRR H257_12923 MKRPIPTTDDELGGDDPSSAPWLSLNMSGFVGSTFDVVVQDETT VVWTFECAPDDDSGDVGVTVSRNKCFGAPVTLTITLLPYNLLHASAASAASQQVQVSV VLEDEVDVATFVPFYHMSDLMNQNPFVSPNTGKFELKLSVFLTVIDVADGYSAPPASE IPLKRMKSDDGSTSPTGFDDDASALDSELSLLDQPLDDKSGLVDLTMSLNYDSKTATG MVGLKNQGATCYMNSLLETLFYLRPFRKAVYDTPTADDDSTNSVALALQRVFYRLETS VKAVSTKELTKAFGWSHMDAFTQHDVQELYRILCDRLEDKMKHTPVDGLIPALFEGKV TSFVSCVHVECTSSRHESFYDLQLDVKGCVNLDASFEKFVQVETLDGDNQYDAANGFG KQDAKKGLSFHSFPPILNIQLKRFEYDPLRDGMVKVHDRFEFPKQLNLAAYMDSTASP DSTKYHLHSILVHSGDVHGGHYYVYIRPHGQDPANTLWFKYDDDLISAVDEGDVMESS FGSPLAGVTSFSSAYMLVYVQTGVGTVEHTIPAALAARFQAEEVAARKRKRLAQREHL FLSVRIATDDAVGRFRRITRTLDFVALPKPNKVPTHLLSCKVLKTNTLRHLYGQVAME TGVPINEMRLWKLATRENQTTRPDEPLDKHPLEATCAAVLEDDVHTKQVLLFLEIFPP HTSDCTGVIKRFPMQTFTPPPPPAAPATDDESDDSLDDLAPPQLDPVAADRVPLPVSD HAILLFIKHYNPTVPVMADRLEYVGNVIVSTDMTVSELSRLIQQHLRTPDAPLDMYEL LQPESINLLDNPHATLVDSELQHGDIIVVQESITPPNNRNDQDHVPPPTYPSAPLYFD YLLNRVDISFYEVVLPANCSPSRAPLLCLDQQDKVVATTLTCLLSQSYDSIVAQLAAH VAAIPDALHVRLFPSSSSSGPKLDAPFLHRTSRQLTLRGMVDATQASPHPLSLYYQVL PPSFSILDLERMVKWTLHLSPYEPRWLHASLHVHELLLDPADTVEDALVKLQAHILPP KDNDEEDGSVMTWHLVETRDRSTIVKIHPPDTAVASVFVSPSAPLYVDSVPPQEGNDT TWLGVVGVMHFNSSATAWIHTHSTPCLVHVLTTDTVATVRHRLQRRMQIPDEEFATWK FVAIFDHKAYGLGTGAEPEYLAMRVVEWLDKMGLQLSKTLFFGLEHPPAPLKADQPRR RQELGIKIRSS H257_12923 MKRPIPTTDDELGGDDPSSAPWLSLNMSGFVGSTFDVVVQDETT VVWTFECAPDDDSGDVGVTVSRNKCFGAPVTLTITLLPYNLLHASAASAASQQVQVSV VLEDEVDVATFVPFYHMSDLMNQNPFVSPNTGKFELKLSVFLTVIDVADGYSAPPASE IPLKRMKSDDGSTSPTGFDDDASALDSELSLLDQPLDDKSGLVDLTMSLNYDSKTATG MVGLKNQGATCYMNSLLETLFYLRPFRKAVYDTPTADDDSTNSVALALQRVFYRLETS VKAVSTKELTKAFGWSHMDAFTQHDVQELYRILCDRLEDKMKHTPVDGLIPALFEGKV TSFVSCVHVECTSSRHESFYDLQLDVKGCVNLDASFEKFVQVETLDGDNQYDAANGFG KQDAKKGLSFHSFPPILNIQLKRFEYDPLRDGMVKVHDRFEFPKQLNLAAYMDSTASP DSTKYHLHSILVHSGDVHGGHYYVYIRPHGQDPANTLWFKYDDDLISAVDEGDVMESS FGSPLAGVTSFSSAYMLVYVQTGVGTVEHTIPAALAARFQAEEVAARKRKRLAQREHL FLSVRIATDDAVGRFRRITRTLDFVALPKPNKVPTHLLSCKVLKTNTLRHLYGQVAME TGVPINEMRLWKLATRENQTTRPDEPLDKHPLEATCAAVLEDDVHTKQVLLFLEIFPP HTSDCTGVIKRFPMQTFTPPPPPAAPATDDESDDSLDDLAPPQLDPVAADRVPLPVSD HAILLFIKHYNPTVPVMADRLEYVGNVIVSTDMTVSELSRLIQQHLRTPDAPLDMYEL LQPESINLLDNPHATLVDSELQHGDIIVVQESITPPNNRNDQDHVPPPTYPSAPLYFD YLLNRVDISFYEVVLPANCSPSRAPLLCLDQQDKVVATTLTCLLSQSYDSIVAQLAAH VAAIPDALHVRLFPSSSSSGPKLDAPFLHRTSRQLTLRGMVDATQASPHPLSLYYQVL PPSFSILDLERMVKWTLHLSPYEPRWLHASLHVHELLLDPADTVEDALVKLQAHILPP KDNDEEDGSVMTWHLVETRDRSTIVKIHPPDTAVASVFVSPSAPLYVDSVPPQEGNDT TWLGVVGVMHFNSSATAWIHTHSTPCLVHVLTTDTVATVRHRLQRRMQIPDEEFATWK FVAIFDHKAYGLGTGAEPEYLAMRVVEWLDKMGLQLSKTLFFGLEHPPAPLKADQPRR RQELGIKIRSS H257_12924 MSNDMSAAVLRQTLALVKANDGQFTAQHGEILLTIYTNEAEMAL LQAASELVERQRVTCVTAAPSGRSFTRVSSQSHHGSSGGPSFYICFSHYCSCAAFLHT TVHSKSTMCKHILAALLADATGKLLHESVADSAFADMLCPVSSE H257_12925 MSSNATSPNLGISHLYVPVAHVKHMRFLHLRAAIGSVNACCNTR ANKYFLRFIKHIYEFPRNINFGLSLAAVFLPLSYKIDKITMIVQTKMAATSTCMHLSP SKVLVRLAHHVAMADLGASFLRIMRMGFLGMSGDRNHGSGYTSSALGFVWMRTDRVDL TGTVTMIGSPYAGLLLGVEVKGLHFNRGDSSWVAFVAPMMVCMDGGGRRSPRRSTLAI SNGVR H257_12925 MRFLHLRAAIGSVNACCNTRANKYFLRFIKHIYEFPRNINFGLS LAAVFLPLSYKIDKITMIVQTKMAATSTCMHLSPSKVLVRLAHHVAMADLGASFLRIM RMGFLGMSGDRNHGSGYTSSALGFVWMRTDRVDLTGTVTMIGSPYAGLLLGVEVKGLH FNRGDSSWVAFVAPMMVCMDGGGRRSPRRSTLAISNGVR H257_12926 MLIQVDSFVCPITCDVMDDPVVACDGYSYDRSAIESWLENHSTS PVTNNQLATKDLLPNHSLRQAILEVAQPRHAPLPATPNAATNRTKKTKKPATRKYVAP RDASSNNTAANTPRQSRRLQRLAPDGPPSKRTRQLPPQHGPQRPSVEA H257_12927 MQWPVAALCLAVAAVWMHYIATFNKDDFSVVYSTVLPALAPALE SGVVLIDDGALVPKYRSDIVSMETQHFIAPSTTCVDLPRPVGPITPVTTVMASPVPPT MVFMLLNGANDGLYFTWHDGCLHAVGHMAAVALGADADWVSLGIRLYNQQGHIVQDAA DVRTSGGILHVLLEFQVWVWPGIAVGYTTTVQCDHPVSECEHPPITLTTLSLSPKVFG VSGFVSQDDADAILSQGQPHLDRSRIDDKGVRGISTTRTSHTAFLPPSQLTRQLQVRA AKLARLPSPSFAERTQLVRYAPGEYYKRHLDTFNNKQIVPKPSYNYTDFEDWAAWAAA AVDSKDITCSSSNTTSTTTASDECWNGHKWYPNASSTEFTQELLRAFWNYANATNYFS SRLDKAWDEWLDVNLHANASGIMKVLMESKGEYLPTIVRVWEAKAGNFPHLRYTFPKR RPPHGMSQWYRWVRNTKETIASLSQAAPELIQPYGDLYPKFNTAFETKVLTLLRVRIA TGGVTNVLSNDWLAWLDENNGRRNVLLQLVQEVGSSLVLHLIQSWELQVQFAPVTGYT LPLYVPHIPPQRFATLFVYVNSVDAGGETAFPFATSSHAVNRSTMTECNQGLAVPPVA LQAALFYVQTPTMEVDEMASHGGCPPRGDNVKWGANQFMWNADAEEGAAVWLDST H257_12927 MQWPVAALCLAVAAVWMHYIATFNKDDFSVVYSTVLPALAPALE SGVVLIDDGALVPKYRSDIVSMETQHFIAPSTTCVDLPRPVGPITPVTTVMASPVPPT MVFMLLNGANDGLYFTWHDGCLHAVGHMAAVALGADADWVSLGIRLYNQQGHIVQDAA DVRTSGGILHVLLEFQVWVWPGIAVGYTTTVQCDHPVSECEHPPITLTTLSLSPKVFG VSGFVSQDDADAILSQGQPHLDRSRIDDKGVRGISTTRTSHTAFLPPSQLTRQLQVRA AKLARLPSPSFAERTQLVRYAPGEYYKRHLDTFNNKQIVPKPSYNYTDFEDWAAWAAA AVDSKDITCSSSNTTSTTTASDECWNGHKWYPNASSTEFTQELLRAFWNYANATNYFS SRLDKAWDEWLDVNLHANASGIMKVLMESKGEYLPTIVRVWEAKAGNFPHLRYTFPKR RPPHGMSQWYRWVRNTKETIASLSQAAPELIQPYGDLYPKFNTAFETKVLTLLRVRIA TGGVTNVLSNDWLAWLDENNGRRNVLLQVLILHMSISCTSIKFELKKQSIVSRLIGIL KNDGIS H257_12927 MQWPVAALCLAVAAVWMHYIATFNKDDFSVVYSTVLPALAPALE SGVVLIDDGALVPKYRSDIVSMETQHFIAPSTTCVDLPRPVGPITPVTTVMASPVPPT MVFMLLNGANDGLYFTWHDGCLHAVGHMAAVALGADADWVSLGIRLYNQQGHIVQDAA DVRTSGGILHVLLEFQVWVWPGIAVGYTTTVQCDHPVSECEHPPITLTTLSLSPKVFG VSGFVSQDDADAILSQGQPHLDRSRIDDKGVRGISTTRTSHTAFLPPSQLTRQLQVRA AKLARLPSPSFAERTQLVRYAPGEYYKRHLDTFNNKQIVPKPSYNYTDFEDWAAWAAA AVDSKDITCSSSNTTSTTTASDECWNGHKWYPNASSTEFTQELLRAFWNYANATNYFS SRLDKAWDEWLDVNLHANASGIMKVLMESKGEYLPTIVRVWEAKAGNFPHLRYTFPKR RPPHGMSQWYHRNVKIYCAFSQQTVGIYFLLSH H257_12927 MASPVPPTMVFMLLNGANDGLYFTWHDGCLHAVGHMAAVALGAD ADWVSLGIRLYNQQGHIVQDAADVRTSGGILHVLLEFQVWVWPGIAVGYTTTVQCDHP VSECEHPPITLTTLSLSPKVFGVSGFVSQDDADAILSQGQPHLDRSRIDDKGVRGIST TRTSHTAFLPPSQLTRQLQVRAAKLARLPSPSFAERTQLVRYAPGEYYKRHLDTFNNK QIVPKPSYNYTDFEDWAAWAAAAVDSKDITCSSSNTTSTTTASDECWNGHKWYPNASS TEFTQELLRAFWNYANATNYFSSRLDKAWDEWLDVNLHANASGIMKVLMESKGEYLPT IVRVWEAKAGNFPHLRYTFPKRRPPHGMSQWYRWVRNTKETIASLSQAAPELIQPYGD LYPKFNTAFETKVLTLLRVRIATGGVTNVLSNDWLAWLDENNGRRNVLLQLVQEVGSS LVLHLIQSWELQVQFAPVTGYTLPLYVPHIPPQRFATLFVYVNSVDAGGETAFPFATS SHAVNRSTMTECNQGLAVPPVALQAALFYVQTPTMEVDEMASHGGCPPRGDNVKWGAN QFMWNADAEEGAAVWLDST H257_12927 MASPVPPTMVFMLLNGANDGLYFTWHDGCLHAVGHMAAVALGAD ADWVSLGIRLYNQQGHIVQDAADVRTSGGILHVLLEFQVWVWPGIAVGYTTTVQCDHP VSECEHPPITLTTLSLSPKVFGVSGFVSQDDADAILSQGQPHLDRSRIDDKGVRGIST TRTSHTAFLPPSQLTRQLQVRAAKLARLPSPSFAERTQLVRYAPGEYYKRHLDTFNNK QIVPKPSYNYTDFEDWAAWAAAAVDSKDITCSSSNTTSTTTASDECWNGHKWYPNASS TEFTQELLRAFWNYANATNYFSSRLDKAWDEWLDVNLHANASGIMKVLMESKGEYLPT IVRVWEAKAGNFPHLRYTFPKRRPPHGMSQWYRWVRNTKETIASLSQAAPELIQPYGD LYPKFNTAFETKVLTLLRVRIATGGVTNVLSNDWLAWLDENNGRRNVLLQVLILHMSI SCTSIKFELKKQSIVSRLIGILKNDGIS H257_12928 MTISDRSNASSSSISFHSPTALLSSLPEDSKMAPPDKRMQLQLF TIAGLVVFFLGIAIPFTLTQAASETKVHGYQVLYSTPSKPDSIVLNTVISSISTETYE LVMTTTIVDIPKRLASNDTLYKPFRVQVGPGAIVITPNITNLKVPLITKIPLVKGSTA WYPFDKYLTAVETEAFVGTSPFMGQKAEAIDVAVVVKTPEDFNWRYKVRKTTPDDFDN DGPPGTPSISTSLTIEVSRKFNVYAALVFVCVWSVTISVGYIGSCAVIWKHRPPDSPA IFVSALFAVPTVRNMLPGRPPYGCLFDILCTYFSIAVILVFLVWVSIAYMKKVEPEHP AKKADQCHRSVDGTYHP H257_12929 MSGINEPSRHVRFFQLSTPRNNNDDDDVECTQESVERRRRWKLQ AIPLVIFFMLFLSVLVPFVTTNKTIEALRFVDRKNSSGTGYSPNMLVSLSGMSTDNYE MTVTAVLNKVPASVLNAQGSRITTPFRLQVGTSAVVVNENTSYVKAPLVSKVPLLTGS LAWYPFDTYLMKLDIQAVTGTAQFNGGNFSAIDNFEFVVLWPDDFSWTYTVRPTISAD FPDATVAGGGTLSDPTTGYTALTVEITRDFNIYMALVFVGIWAVTIAIGYIGSRAVIW KTRAPDNPVIFVSALFAVPTFRNTTPGRPPYGCLFDVLCTYFSIAVIVTFLVLVAFAY MKKPNADKKKQRRRREDDDTIPVGTLDAGVTGEVAADVGDVAGDAGGDSSDATTADSA H257_12930 MHARMRGAKLPLPVDFTPPNADTTAQWKRLAVHTKDQLVKLSRL RGGRVRWTRSSDKHGVQVYRGADEEAPPGLHTWCAVTEVQATIDEVDNLFRSDASRSV GLIESAQLFDKNVLDATIVHTLEDTPAASLGVQWLLTRPMGTFSSLRDWCLLTYTIAT TVQGRRGWVRAYTSVDVDSCRPSANDRDDAGGRGGYVRGHHLCSGYVVMESTTKPGFL HVRLAMQSTFHAKDAASIKALAAKCQHLAALDLRLRSCRLSSNQPSNVKSMPLGVPAT ACGHCNKLFRLFTIKTTCQKCNQVYCRVCCPQWRVMPQSVGPASTLRACLPCSLTSSI KPARLSFTGSRRTEMDVIEVESTDVDVSRDDAALGALFNDIKQRCSMVLAEVDPQSRV TKADAASLWPQPLHGKNHPFQRLSQFTEPLSQFTEPSLSQFEPHVVQVQHSSHSAKGR RASSVHKQATDRRRRHSTLIMHDGSSDDGGSYMRPATRTLLEDDDMARQIYQNRQSVL QII H257_12931 MSKGDETTVLINKTNKPDVHEHSEPYLTRLLSVGVELLPVALVS FGGPQAHLGLAHERFVERLHWLDDDRYLEVLALGSALPGPTSTQVISSIGLFRAGLLG GVLAFVVWALPAFTVMTVAGLGAQHYLGAGLPAWMTGLAPAATSLVIIAAVKLWNKAC GNDQVKMAIAAVSACVVLATQNVGTWIFPALMVAGGVTTLLAPAFGYTKSFIKATRDG IVNPLAQREFGIPAWAGVGIIFVWAVAFAVLSYFASINELGRGYVGLFYAFFRIGSII FGGGQVMLPMLLNDIVQAGWVTKDQFFIGFALIQSLPGPLFNMSAYLGAVALGVPGAL LAALGLFGPGVGLFFAVLPLWELVRSNHKLQVFMAGVNSAAIGLVVAAIGLLWQHAIH SHGDAAICLATGVLVGLFNVPAPVGILIGGALGYSLAALGIAQTNYCVAAA H257_12932 MTVKGHQPTEPPPPPPPPSKDTSTSVMFTTGKTFGSPYVLESSS VRGSSDYDMYDKLDDADGALQPGGAFSIFSRESVGLLCQYAAVGILSGMLPSLAYPIF TTYLRMEGYQIASYVPLINLAWSLKVFAGIASDCFPIFGYKRKSYVIIGWVVCFVCCA VMALTPFPAPRYANALRGRPLNNLTAKEKQLFVNMDSAVAGYQFVLWSMGATMGFVLA VVAADAVMVEHAQREPLHMRGRIQTMIYVVRELFRIIPNVIIGVGLSDFQYGGTFDFS IAPNVIYWILTVTSVLAIGASVGLLVEKPTPAVPFRQYMQSLWELLQLRVMWQICAFR ALNFMALQFDATPRPIVAREWVKVTPINNAMYTIGGVTLNMLTYFYCGRYGLGWNWRT AITVATVVAVVLDAAIMLPAIWGVAGARHEHLYLFVNLVNYVPKAVQFIVSAYSTVEL ADMGNEGAVHGLITTIANVAWPVAAMLYKTINGAFNTTSATMKTDSSFARWEVTYCFI IAFAVKIGSLAFLVLLPKQKAHLQVLKRRGRKDWIAGILTIVIFTFFLVYQFITNAMS LFPATFCWRIAGGQGIPVGYETCTTKKVVVAI H257_12933 MADDNGADDDTPTLMPTPAPAPSTPLLSTQLPWPRQTPTSFPPN PPSPPHPLTTPPNDDTPPPPSMSSSTADTTVLPPTAPQLAPVQPVRPSPDRPPNPPSF NPSSLVHQTPPTSTAIVAAGTPLSTTPSANRPSATASPTFVDPSTPSPPSLVLSQSSD VAAPPPSPSPSISPLLATTAPPATTVYSYYDVYDSPFARFDPNRRISTRQDSFLTAFI VLCTVGLAGVVVHRLLSVRGAKKKASRQSLPSPPSNPTQSQDDDTHNISHTQSATSGD PPQHINWRNLADLQLDMTAIELVAPLAAGSYGVVWRAKLRSNEVVAVKTCSRTSLDDV QNFVDELALLSTLHSPYLVSLLGVTWTHACDLKAVLEYMDSGDLRQLLDNAPPPSPEF LTNSPSFSWRQKLECALSVGHALVYLKQQHVIHRDLKSRNVLLDSKCGTKLADFGIAK HLHDDTTHASMTTGVGTYRWMAPEVLTFRRYSVAVDVYSFGVLLTELDTHRVPFTDVQ NDHGRSVADAAVVCMVLYEHLRPSFSNKCPLWFVELGRRCMQADAQDRPTPEDVVDIL TCQLGMLANVMDDVVDER H257_12934 MTIATVSVSVVLTTQEPRAMNHPRPECHRGGTTLARGDGRGYVG LFYAFFRIGSIIFGGGQVMLPMLLNDIVYARWVTKDQIFIGFELIQSLPGPLFNMSTY LGAVALGVPGALLTALVSLGLK H257_12935 MPPASTIEGVGASPNAYAPLESASEQALSPSGPNTRQKRLRWVA VFAAVCGLVCVAVGAVAVTIVKATDNGHKAIVTNLQLSPGLKFTLHFKRASLKVYGLD EATIFVAPRHQVQPGGAASSFQFDAIMTLQATPTQSDIYTLVDDKGYFSQSNNGTTSA VRCLDPSMLPSFSLMESSVIESRVIDGVEGHTEITDKCVGGTLLSLTFAGESFVFCNS AANELAFAVGQDMDISIEYLADPSQLDSIQVPSTANEVALDCPSISQSSHPATLWTAP PMTRSLARATMETLTSNRILSVKDKSACACKGAKKPCLFVHGTGEDAAGPPTSSFSSY WGDVSSPCCSSSTFVHLDTVSQGWDDAALQHEFCKAAADVATQSSSGAIGGLILVTHS MGNVIASGAIASNVCTFSKDVTWVSLASPQQGSQVANLLQQQCLKGGWSNILKVPLSW VGYCPPGRAYLSLQHQSTVNATEQAAFAAGQRARQEHVSHAACGVSAFGLNSIYSAPL AIVDKMASHASASDGFVDYNSCSVGLNTNDFGGTSSKHYVGPLNHADLSFRTGDGWWG DNRKPLKWFQCLL H257_12936 MPIFKCIGESFVFCNSAANELAFAVGQDMDISIEYLADPSQLDS IQVPSTANEVALDCPSISQSSHPATLWTAPPMTRSLARATMETLTSNRILSVKDKSAC ACKGAKKPCLFVHGTGEDAAGPPTSSFSSYWGDVSSPCCSSSTFVHLDTVSQGWDDAA LQHEFCKAAADVATQSSSGAIGGLILVTHSMGNVIASGAIASNVCTFSKDVTWVSLAS PQQGSQVANLLQQQCLKGGWSNILKVPLSWVGYCPPGRAYLSLQHQSTVNATEQAAFA AGQRARQEHVSHAACGVSAFGLNSIYSAPLAIVDKMASHASASDGFVDYNSCSVGLNT NDFGGTSSKHYVGPLNHADLSFRTGDGWWGDNRKPLKWFQCLL H257_12937 MPPASPNAYAPLESASEQALSPSGWSNILKVPLSWVGYCPPGRA YLSLQHQSTVNATEQAAFAAGQRARQEHVSHAACGVSAFGLNSIYSAPLAIVDKMASH ASASDGFVDYNSCSVGLNTNDFGGTSSKHYVGPLNHADLSFRTGDGWWGDNRKPLKWF QCLL H257_12938 MTFHGRTLQDSLRIAYDEIVRLTRASESETPSTSRLKSIKLDVA KFGGAESVKLLRWLLQVSTAADAQRISDDATRIAFAMSHLKGRAEDMAFSKRLTDRHC FPYFAVFETELKAMFLPPNSDFRYRSQYLACKQGKRSLQEFIHDLRFLAANINDEESL PESLRETVFMDGLNQGPARTQLFRAYPDTFEEAVRIALSETFCSSFAHARAASSDMDV SMLAQASDDRTCFNCGRPGHFSRPCPTPRRCSINRPTLARFLPYRARYPFASPFERST H257_12939 MGNVIASGAIASNVCTFSNDVTWVSLASPQQGSQAVNLLQQQCR DGGWSNILKVPLSWAGYCPPAHAYLSLRHQSTVNRDKQAAFVAGQWARREYVSHAACG VSGFGLKSIYSEPLALVDKMAKHASASDGLVDFNSCSVGLNTNDFGGTSSQHYVGPFN HADLSFRTGDGWWGDNRKPLKWFQCLL H257_12940 MAEANSPGGVTASPKAYAPLDLQESASEQALPPPGPNTRQKRLR WFVVFAVVCGLVCVAVGAVAVTIVQATDNGHKAIITNLQLSAGLKFTLHFKRASLKVY GLDEATIFVAPRHQVQPGGAASSFQFDAIMTLQATPTQLDIYTLVDDKGYFSQSINGT TSAVRCLDPSLLPSFSLMESSVTESRVIDGVEGHTEITDKCVGGTLLSLTFAGESFVF CNSAANELTFAVGQDMDISIEYLADPLQLDSIQVPSTANEVALDCPSISRSSHPEPFW TAPRTHFLGRAFFDTLITSNYILSIDDFTCGCKGAKKPCLFVHGSGEATAGPPTSSFS SYWGDVSSPCCSSSTFVHWDTVSQGWDDAALQHEFCKAAADAATQSSSGVIGSLILVT HSMGNVIASGAIASNVCTFSNDVTWVSLASPQQGSQAVNLLQQQCRDGGWSNILKVPL SWAGYCPPAHAYLSLRHQSTVNRDKQAAFVAGQWARREYVSHAACGVSGFGLKSIYSE PLALVDKMAKHASASDGLVDFNSCSVGLNTNDFGGTSSQHYVGPFNHADLSFRTGDGW WGDNRKPLKWFQCLL H257_12940 MAEANSPGGTSISVPCFISQLTADLACSSQMRPATPIEGVTASP KAYAPLDLQESASEQALPPPGPNTRQKRLRWFVVFAVVCGLVCVAVGAVAVTIVQATD NGHKAIITNLQLSAGLKFTLHFKRASLKVYGLDEATIFVAPRHQVQPGGAASSFQFDA IMTLQATPTQLDIYTLVDDKGYFSQSINGTTSAVRCLDPSLLPSFSLMESSVTESRVI DGVEGHTEITDKCVGGTLLSLTFAGESFVFCNSAANELTFAVGQDMDISIEYLADPLQ LDSIQVPSTANEVALDCPSISRSSHPEPFWTAPRTHFLGRAFFDTLITSNYILSIDDF TCGCKGAKKPCLFVHGSGEATAGPPTSSFSSYWGDVSSPCCSSSTFVHWDTVSQGWDD AALQHEFCKAAADAATQSSSGVIGSLILVTHSMGNVIASGAIASNVCTFSNDVTWVSL ASPQQGSQAVNLLQQQCRDGGWSNILKVPLSWAGYCPPAHAYLSLRHQSTVNRDKQAA FVAGQWARREYVSHAACGVSGFGLKSIYSEPLALVDKMAKHASASDGLVDFNSCSVGL NTNDFGGTSSQHYVGPFNHADLSFRTGDGWWGDNRKPLKWFQCLL H257_12941 MAKHASASDGLVDFNSCSVGLNTKDFGGTSSQHYVGPFNHADLT FRTGDGWWGDNRKPLKWFQCLL H257_12942 MAVMRLVVGFPMVAAIAKMNYYSRRRLPPVLRNHDAVKLITSCS AAHNVHNTFDRRMDDPTARIKFRFSVHELVLLCTKLRLPELIITVWNDKVEAIEAMTI TCRRLVETCRLLTIANEFGRSMEDCSRIIHTIVNQLHRSWSRTMYCTSTNI H257_12943 MPQYRCERFGSHDDTCGNSEPCHVLLTAQLDCCYNHAPPLVVPQ TPAHGGEQARAPVAHTQAAIDAYYGAHVGYYGHMSHIPKLLSMPTMVPTVIPQNDART GTMPEVDVLGMWDGRRAS H257_12944 MATAPAARLGRKQVIHVTDVDRARKELDEISKASPANAPSIDQN GDASWGPVVLCEAITWNDFERWLNANEGRVRRWVFEPLADMPDKGRVVIYSIPSVVHG RTSDEIYRSILMQIALAGNDTRLITTVEGPIVTCRTGDHGQEPDACVTPVGLAVGGAV LAARGGFPYPNVIVEVAYKNDSQERLRAKLDRWMSDTTSVQVAIGIKIDAATPRRVAI LLQRGQPVMPPLEFGHPAPGPLQISFPFASVYAGVALPPALAGLGNTLISIDLIQLRT VIDEAIGQDMPAAQ H257_12945 MRCWRRLWLALALVSVSFQGENGLVHGYHQSADPYSTLGISRGA SEEQIKRAYKKLAIKYHPDKNTQGNQEAAKENFVRIQEAYESLTTKRPSSSPQSRYSS YHQPPPQHQYHQEQHQYYHYSYSSQQQQRPPSSTNSTTPILYFFVLGVIAMYIYTKVT EDDDSNNNESTTRSTSHAQSTPPTPSSQRLSTSPFASLAATYAPHVQELTPELLQTKR RRVVIFCMRTSPSYCSRLAQWIHADAVAEEFKLDPVVFAWVDVDSGPSRRSNWTAFFD AHLIESASCVIVAVTNQSKFVPFTFPEGDMSYSDLQGWLGRLVGGEASPPKPLLMSVP A H257_12946 MTSSSSPSLSTTLCGSLHLETCIFNASGPRTGSSDALAKIAASA AGAVLAKSATVLEQTGNDLPRTWQSDTVASLNSEGLPNKGIGYYLSNEAIAAAGSKPY MVSLSGHNLADNLAMLKQASATKGVHAIELNLACPNVIGHPIIAYDFDQLATVLTAVQ ALMASSSTPLGVKLPPFFDGPHFEKAAAIINQFTCIQFATSINTVGNALVVDSVAEMP AIRPKNGFGGLSGPAVKYTALANVKKMRELLRPDIAVIGVGGIESGDDVFNMILCGAQ AVQVGTCHWIEGPKCFDRIAKELQDIMKRKGYTSVDEFRGKLQPWSKEGAAKSRDARR NAAEAKTKTGSSAAPSNALVAADTTPLYVVIAVLLAIIVGLINDRMNNQA H257_12947 MSSLVVTIADGGSGDGGTSTPSTTPASRSCQDVAKELDVDVSQG LSIAQISDRQKQYGRNVLENDEPESLVMKFLDQFKNPLNLLLVASGGVSVLMGHVDDA ISIVVALTIVVTVAFVQEYRSEKTLDALKELVPPRARAVRDGQTCEIDAADLVPGDVV LLSTGDRIPADARLVEAIDLDVDESSLTGETHVVTKHTKSIHSAHLHPIAERKNLVYM GTLVRGGRGKAIVYSTGRHTEFGLVFEVVDNVEERKTPLQVRMDALANQLSAVSLGVI GVIVLVGVIQGQPLFKMLQIGVSLAVAAIPEGLPICVTVTLALGVMRMAKRHAILKKL PAVEALGCTNILCVDKTGTLTTNQMAVVEVFLPGLGATPVALAPSSPMSSPSLKATFS PIFLSGVLCSNAEIVDGDIVGQATEGAIVLAASHVGVGDATSLRHQYPRVHEIPFNSD AKFMAVQVSTPLGTTEWHIKGMLEAVLPRCATYQDASFHKHPLLVPDADLIAQTARTM ARRGLRILALATGSTSVESNMIFLGLVGISDPPRPGVLQTIHQLDACGVTTVMLTGDA LDTASAIAAQVGILVDPISSSDDVTESALLLFGGRGTGVCSGQELDAMTDAQICDKVL TTRVFYRTCPTHKLKIVRAFQAHGSQVAMTGDGVNDAPALKAADIGIAMGTTGTDVSK EASDMILLDDNLTTILHAMAEAKGIYHNITRFLRFQLSTSVAALSLVALSTLFDLPNP LNAMQILWINIIMDGPPAQSLGVEPVDADVMREGPRAADVPIITRDMLKRVVVSALLI VSGTLFVFVNELDDDWQVTKRDRTMSFTTFVLFDMFNALSCRHESKSIVFSVGVGSNK AFCVAVGASVVGQLMVIYVPFLQATFQTEALSMADLAYMTAIASSVFIVDEVRKWWEK QQYSVILGRWTTTKTGGGGQRKKKHSKVCDDVETV H257_12948 MELRHVLYNPFLILHHHVMKGVDACVLALVLCLGLAKGDTSINK IRAVQDASASMFRTEHDRFLKTKNATVVTNALTTVAPVVTTRSIKEVSPVTTKATIKA TTYPATNKPTKKPAKKSGKQGKKDARNGNKPVKTDKKTVKANQTAVKADTKPIKGNKK STKANTKPVKAGKKPIRTNKKPLKANKKPVKVGKKPVKANKKPVKAGKKPIKANKKPV KAGKKPIKANKKPAKAGKKPVKAGKKPIKANKEPIKANKKPVKVGKKPVKAGKETTKK TSTTEKPTPRKTAALTTAPVTTKASVSTTLPPTTKATTKKPTKKTTKKPVDKSLKMPV KAGKESVKKSVKAGKKVSKSGKKYVKSASIANNKSRRSGGYRPRRYDNRPSKAGKKSV KAGKELSKSGKKSANNKTRRSRGYRPRRYDNRPSKAGKKLSKSGKESARSGNKPKRDS KVKRGDKPRRNMPRRTAYKPRRNKPSRSAKKPKKGGKSVGRQADSPFDAAKLAAEVAS DATP H257_12948 MELRHVLYNPFLILHHHVMKGVDACVLALVLCLGLAKGDTSINK IRAVQDASASMFRTEHDRFLKTKNATVVTNALTTVAPVVTTRSIKEVSPVTTKATIKA TTYPATNKPTKKPAKKSGKQGKKDARNGNKPVKTDKKTVKANQTAVKAAAKPVKAGNK AAKANQTAVKANTKPVKAGKKPIRTNKKPLKANKKPVKVGKKPVKANKKPVKAGKKPI KANKKPVKAGKKPIKANKKPAKAGKKPVKAGKKPIKANKEPIKANKKPVKVGKKPVKA GKETTKKTSTTEKPTPRKTAALTTAPVTTKASVSTTLPPTTKATTKKPTKKTTKKPVD KSLKMPVKAGKESVKKSVKAGKKVSKSGKKYVKSASIANNKSRRSGGYRPRRYDNRPS KAGKKSVKAGKELSKSGKKSANNKTRRSRGYRPRRYDNRPSKAGKKLSKSGKESARSG NKPKRDSKVKRGDKPRRNMPRRTAYKPRRNKPSRSAKKPKKGGKSVGRQADSPFDAAK LAAEVASDATP H257_12949 MAVQDGPYNVKAIESSLQNHVDWMKRIQALQLIQAWAKSEKAHS SPEFVNGIHQLRDLVAEQVADIRSSVSKEASLTISILANNMKDAAFGPLVDVFLTSLL KALGITIEVIASAADSCIQSVLKSSRIGHYAAIPKLVEACASRNASLRKHAMEYMTLT CNQWNPRSMSRHGVPDQISKVLLPALCDANGDVRAASRKCFWAFHAVDTKKALLVFDK LDSATKVKLIEEGGSGFDFKAPTATPSSSYPSGVTSKTTFRSRSLKADGSAKDMNPVV TRLYQPHYFQNRWQRRANLKEAQDLRECTFTPVILRKQLKTNVKHAAVPMLELDKLAI QGDLRGCVSPVNA H257_12950 MESAKAVRGSNFTTDEDCQLARSWINISQDASKDWIGAKVVGKS EHAQPRYLVYSYARYATDVKVQPATRPIGCFGEQKHYFSGKHKLYGLKIEAVAPTGSD FRRDELQYERAADMATLSCAQLDVQEFGQCLGFLGEIYGRVIEMKQSDARKLPYWVTA SA H257_12951 MTRKNHALVTCPVVLALAIDRTNPSVISYLVGDMVVDCVGSYAH EVAIESPVSFVFFPMVVHDFDIVVSSIGILCVTEPGPTESDPMTTLQRGYSITFLLVL AGFGLSTRWLLFSSAAPGAWLHFFLCVIVGMASWAWLPPTFLSSRRSTTPTMHAPPNC LNSATTDEPHPTMIMGISVALMTGVNAACSITCIMLSPATNNVKDVGKRAAAEAAEQR SPPPRSAFSVN H257_12952 MAKPSRGKGWTDPEVHVMLDTVEVHLPWGPAHWDIIQETYNTSI QANEGGQNATATASSESSRFFEVSANPLATPCVRPLYQEQSDSNKQSKPQWEFATCYH ASSPPMRAMTTMALRTPADLNALGRSRGAEPAMSQTAIARHHVMTGKSCIWNQQLVPK RQPSVSVVPAADKVVAPPYDRSVRPEQQRASDKGNATTHSLSYHAPATETPHPMSSTA RATAAALHNYARRVRQTCRLPPPVHGDAWLRLLFHMLPVNCRFAYLQVERSNVICCAY GCGGVETQHHAFHACPLIHRVWAFHSDAWRCYGVTFSWSTISDLDLFTVNARGHHHQD ALKTLWILFIASTLHLIWSEHNKLSFLGWKMSVRRWLRLQHPDCALRSSVLEVLQTLR VQEP H257_12953 MDCEPATPRALAPPTTYDPQQGSLSDRTLASTARRRRRRPCSQK LQRNRRRASHVCRQYHGSRRRPGNNKDTHQAGPTVWLVDGYVHRRAQLPWSGNDNDPT TGPSASLPRPTVTAHVHAHEHALQQHEMQLASRQTKRQAHFEWEQRQMHQAAAQYKYA VEQDATAHTAQFKANLER H257_12954 MTAPTCKGDALGHVGSTLGGDGIPVVTRSIRPFHGASFGKGQLV LLWLNPETPSALPPAPGSNAGACTASIRACSAVDTGHTLSTAKVNVSPLNLLIRINHT KRHESLQDTVYIRYRLLHLTCKQSQAVSHETTLQARCLRPPRHEPMKPRNPETSVGTC SID H257_12955 MASHDNKAADLEERVSYVHSVSTKDVDGYAEAKSPKDLEEGALT EGGALDLFSHEAFALFMQYGAIGVINSMIPALRYPIFNIYLNLEGYQTSSYRALMFVG WSFKVFFGMLSDCIPIYGYRRKSWILIGWTIAMICLIVLTFSPFGEPFCNREKTKYCA TPLEKVPKSELQYFNLSAPDNGTLYILLSMFTAFGYLLSASASDAMVVEYAQREPVAI RGRTQTAIYTVRTLTGILAYLVTAFGLNGPNYAGSFSFTLSPNAPYGISLVPCVLVVL STVFLLVEKKTEPSSFAQWWGTFWESLQSRVMWQICLFRFVSNVFSGISTTAGYPVAT YWAGVEPLNDSLSSVIGRFLSSSILVVVGKWGLNWNWRWSIGAGTIGIIVIDGFVTFI TIWDVVRNQWFFTGVALAEEIPGSIRFIVSTYVAVEIADKGNEGATYGLLSTVSNLAQ PFASMIYKYINSYFKVRQNDVKSDTLEVRWDVTYVYLISYGCNVASLFWLVLLPPQKA EVQALKARGGKSKVAGLILVVTFVTCLTFAVSSNIMSIFPSTKCYRVAGGNGVLDPKT GKCPLK H257_12956 MALSSVTLDAVVQTDVADVASSNPTLPSILLAFEQANPGSRVDF ELDTHGRFYRAFVCGKVYADAHHSNLKLVGSDGAHFKHKDYNRVLINLVRRDGNGKNI PIALGVVAKETLITISGFS H257_12958 MATKPEQSVGIDLGTTYSCVGTWQNDRVEIIANDQGNRTTPSYV AFTDTERLIGDAAKNQVAMNPSNTVFDAKRLIGRKFNDPMIQADIKHWPFKVIAGAGD KPQIVVEFKGERKTFQPEEISSMVLIKMKEVAEAYLGLDVQNAVITVPAYFNDSQRQA TKDAGAIAGLTVMRIINEPTAAAIAYGLDKKGGEHNVLIFDLGGGTFDVSLLTIEEGI FEVKATAGDTHLGGEDFDNRLVDHFVDEFKRKYRKDMKTNQRALRRLRTASERAKRTL SSSTQAHLEIDSLFDGIDYNTTITRARFEDLCSDYFRKTMGPVETVLRDAKLSKNQVN EVVLVGGSTRIPKVQQLLSDFFNGKELYKSINPDEAVAFGATVQAAILSGTSGSQKLQ DLLLLDVTPLSLGLETAGGVMTTLIARNTTVPTKKSQTFSTYADNQPGVLIQVFEGER VMTKDNNLLGKFSLDGLPPMPRGMPQVDVTFDIDANGILNVSAVEKSTGKENKITITN DKGRLSKDEIDRMVNDAEKYKAEDEKNRARIEAKNGLENYAYSLRNTLNDDKIKGAVD PADKKTLEDKVADTIAWMDRNLAAEKDEFEAKQQELESVANPIMQKLYASGSGGDVGG MPGGSAPPSGSGAGGGAGPKIEEVD H257_12959 MDPPHHQDYSRRGGGTGSGNYSGRGAGRFHEERHQSYRGGRGGG GGRGEYSSRGGGRAGGRNGGGRAPQYQRGNGGDSAGRGGRGGGSGRQGIDTPIALTEE FVLYVSSQLKALQDDPSVSQLTFPSTLDNSQRRYVHNVAAKQGFHSKSTGKNDARFIF VTRAKTTSSMTAVSLRVPPTPLALSAPTLHAMQAFLEAYPAAPPSSASIKAPPSLPSS SSFSSFPKLFPHVPSTPHEYSDGQMGLPVFAHRGEILELSRRHQVLVVAGDTGCGKST QIPQFLLDDGAARVVVTQPRRLSAMTLAQRIADERRVALGTDVGYSIRLDAQYSARHT RLLLCTTGILLKWLSSDPTATAFTHIVLDEVHERDKHSDFVLILLRLILPHRPRLRVI LMSATIQLDKFSSYFGGCPVVTVHGRMHPVLPCFLDDILVLINVDATTHQPTPPAVEY NLTCVMCSASGFADEAALGTHVATCFGSEWHAPSHPSLDLPDPDTGLVCDTVTPGSSV CDTVAAVLAAKQAATTRFQVDAMVAQYQLTQDALDGGVDSALVVTLLRHVVTSQYGPG AVLVFVAGWDDMEAISELMAADAGLMGQITLTKLHSKLSAPEQRRAFGRPQQGTRKVI LATNIAETSITIEDVVYVIDTGKSKQSSLVNGYTALHTEWVSQANCAQRKGRAGRVAP GVCFHVMSKTRHDTLPPFMTPELLAISLDEIVLTIQLLQYQAHHALGFDSIQMFLEMA LDPPPRHAIDSAIASLQLMGALDDTHELTYLGWKLAQLGVPPAIGKMILLGHFCHVYD QLLYTCCALTFRDPFVSDMGMTPAQKQERKQVKRTLGQTVSSDHLVVHAALQGYIEHS KAAARFCQQNWLVASTLSHIHGVVKQVGQEFAALGYGPPATLCVTPQLAPMAEAVLAA GLYPNLMYRSKGTVNFTTKEKFKVKLSSSTVLVYSPKKSNDQYGLDWVCFHDMMQSDR VRVAQVATKVSAFAMALLVGHHAIVDDSTHTISDSTHTISPEPATNQVLVVIDDWIVL SMDGQEAEMVLALRQRFHEAFLRHLHHDQSAADHDLHLVTAAMHWIGGESATDS H257_12960 MSLGLVGCRSTRTQSRPTASTARRWNHRLSKTTPNSLRRYSRPM GVVAIYMLLLLEEGEAALIPWTTRMERRWGAAGAECAYGSEKRVRPKTKAHRTMPAAG AANFDLLKDWTFWSEVDVEVVTRVRRKCNCSVSDLMVASRAASCASRSAKSAFKSLFS PARSVTWFLRRMRDLFALSRFFMSRISCLERFDVD H257_12961 MKRRAQPNTLATSFKAGTPTSPKHDASSPLSHKKDANGGVPVGE DIAKPNLSVIKRVVAGFSMIALFGLIIYGGHLYVTLLVVVLQTLIFRELVNVRYRAAA EKNIPWFRSIQWCWFGVALLYNYGDSFQTFLRSNHNQLDFPWLRMYLQYHTWVSFSLY ATLFVFSVLSLKKGYYKYQMGQLTWTIVTLCLIVFQMRFVLDSICKGLVWLFFPASLV VCNDCFAYFCGKLVGRRVFTTPFLKLSPNKTWEGFLGAFVCTLVFAFWSSALLAQSPW MICPLESIELIPQPLTCTPHPVFVQTWYALPHFVTSVLPISKVYLYPIQLHALVFACF TSVISPFGGFYASAIKRAYKLKDFDSVIPGHGGFMDRMDCQFITSLFTTVYCTTFIWS YQSNVQSIVQSVLQLPLDQQHQVLDQLQALLVLPVNRSGGPAT H257_12961 MKRRAQPNTLATSFKAGTPTSPKHDASSPLSHKKDANGGVPVGE DIAKPNLSVIKRVVAGFSMIALFGLIIYGGHLYVTLLVVVLQTLIFRELVNVRYRAAA EKNIPWFRSIQWCWFGVALLYNYGDSFQTFLRSNHNQLDFPWLRMYLQYHTWVSFSLY ATLFVFSVLSLKKGYYKYQMGQLTWTVVTLCLIVFQMRFVLDSICKGLVWLFFPASLV VCNDCFAYFCGKLVGRRVFTTPFLKLSPNKTWEGFLGAFVCTLVFAFWSSALLAQSPW MICPLESIELIPQPLTCTPHPVFVQTWYALPHFVTSVLPISKVYLYPIQLHALVFACF TSVISPFGGFYASAIKRAYKLKDFDSVIPGHGGFMDRMDCQFITSLFTTVYCTTFIWS YQSNVQSIVQSVLQLPLDQQHQVLDQLQALLVLPVNRSGGPAT H257_12961 MKRRAQPNTLATSFKAGTPTSPKHDASSPLSHKKDANGGVPVGE DIAKPNLSVIKRVVAGFSMIALFGLIIYGGHLYVTLLVVVLQTLIFRELVNVRYRAAA EKNIPWFRSIQWCWFGVALLYNYGDSFQTFLRSNHNQLDFPWLRMYLQYHTWVSFSLY ATLFVFSVLSLKKGYYKYQMGQLTWTIVTLCLIVFQMRFVLDSICKGLVWLFFPASLV VCNDCFAYFCGKLVGRRVFTTPFLKLSPNKTWEGFLGAFVCTLVFAFWSSALLAQSPW MICPLESIELIPQPLTCTPHPVFVQTWYALPHFVTSVLPISKVYLYPIQVRVFLNDKY ISSIIYIYIYIYGYE H257_12961 MKRRAQPNTLATSFKAGTPTSPKHDASSPLSHKKDANGGVPVGE DIAKPNLSVIKRVVAGFSMIALFGLIIYGGHLYVTLLVVVLQTLIFRELVNVRYRAAA EKNIPWFRSIQWCWFGVALLYNYGDSFQTFLRSNHNQLDFPWLRMYLQYHTWVSFSLY ATLFVFSVLSLKKGYYKYQMGQLTWTVVTLCLIVFQMRFVLDSICKGLVWLFFPASLV VCNDCFAYFCGKLVGRRVFTTPFLKLSPNKTWEGFLGAFVCTLVFAFWSSALLAQSPW MICPLESIELIPQPLTCTPHPVFVQTWYALPHFVTSVLPISKVYLYPIQVRVFLNDKY ISSIIYIYIYIYGYE H257_12962 MSFGGFGSAAVTPAASRAAADYDVPPDVPDSIQDIAWSPTANVL AAGSWDNHVRCWEVQQQGANFQAVARAQFAHKGPVLCTAFSGDGSTVFSGSCDKTAMM WQLGGNSQGQQIAQHDQPIRSIQHIAQANCVVTGSWDKTVKYWDTRSPQPQATVQLSD RVYALDVSYPLMVVATADKQIHCFDLKKPSQIYATLKSSLKYQTRCIAAFPDASGFAA GSIEGRVSIQHVDEKARDRDFSFKAHRDNTTNSIYPVTSISFHQNSGRIATTGADGSY AFWDKDARKLLTNFAKLPNYQGISVGKFNKTGEIFAYATSYDWHKGVEHYNPQARNVI RLHHVDAADMTVKAKK H257_12963 MVRFSERQLILSELHEVMIILSLSNLHGHCISATSGGLPCPLAG HGGPHITLLGDTAGGNHGGLLVSGFGSGPCGGPFGGDPSGAGYCGSDGGPCVCDGCPC DGCSGDPCGVPPSGGPPRDAHSLGGPFGLCPYGGADGAPKIYEVPNGFMNGSTAETSG SAIDEVPYCGCLCTSFVSANQLVCQLFHALVNSRYLSNRLYNVPKRKSPVDFICGLDD KRFKQEVRLPRHMFYFLVEQIRHDGVFQSKSNNFQAAVEDQLIVFLSKLGRYGNGGCV GVLARYFGVSEGCVQSYFIRCMVAILSLEKCVVYWPNDDDRRQISRRVHLNSRFQNSA GFVDETLFPVYAKPSKDGEDYYSRKGYYGMAGMIVCDDQRRITYLDLGWPGCANDKRV WNNCNLALNSTKYFNPNEYLMSDNGYTNQQHIMAAYTRTRGSGLTEQQMLFNKLVAKC RYVNEHCIGLLKGRFQSLRGMRVDLSTSRGAKIMMLTIRCAAILHNLLLQDLDDNWDT ELHDYDATADGDDVYQNSNALANTVSPASLRDMYARHFWSESVGDM H257_12963 MVMIILSLSNLHGHCISATSGGLPCPLAGHGGPHITLLGDTAGG NHGGLLVSGFGSGPCGGPFGGDPSGAGYCGSDGGPCVCDGCPCDGCSGDPCGVPPSGG PPRDAHSLGGPFGLCPYGGADGAPKIYEVPNGFMNGSTAETSGSAIDEVPYCGCLCTS FVSANQLVCQLFHALVNSRYLSNRLYNVPKRKSPVDFICGLDDKRFKQEVRLPRHMFY FLVEQIRHDGVFQSKSNNFQAAVEDQLIVFLSKLGRYGNGGCVGVLARYFGVSEGCVQ SYFIRCMVAILSLEKCVVYWPNDDDRRQISRRVHLNSRFQNSAGFVDETLFPVYAKPS KDGEDYYSRKGYYGMAGMIVCDDQRRITYLDLGWPGCANDKRVWNNCNLALNSTKYFN PNEYLMSDNGYTNQQHIMAAYTRTRGSGLTEQQMLFNKLVAKCRYVNEHCIGLLKGRF QSLRGMRVDLSTSRGAKIMMLTIRCAAILHNLLLQDLDDNWDTELHDYDATADGDDVY QNSNALANTVSPASLRDMYARHFWSESVGDM H257_12964 MSNGVAFWHKDVCKNPSCQCGKSSIQILLDWLSVYNGDVYINFV RWRGGTRSKDGMNKVSIASEISQILHREHSIVRNIDSIKANIQELIDSYGRAKDFMGY TGEGLLSAADERYHDPTAPGYLVEVDTIRCFKLCKHWDVLEKVIGDRASARPLLRSSN DDDDDYSVDMLNTSTLSENGDENIVTANETAEYVPGSPPKATGKRKSEPDKFGFGSGK KKLKTESGGKRSFGVGESLMQGLKAQAQVQADLSREVLASRAAELQLRKENEDRHFML RMREIDLKAKELRHKQIIEEARLMSSMSFDKADVMEYIRDELSKIQ H257_12964 MSNGVAFWHKDVCKNPSCQCGKSSIQILLDWLSVYNGDVYINFV RWRGGTRSKDGMNKVSIASEISQILHREHSIVRNIDSIKANIQELIDSYGRAKDFMGY TGEGLLSAADERYHDPTAPGYLVEVDTIRDKCFKLCKHWDVLEKVIGDRASARPLLRS SNDDDDDYSVDMLNTSTLSENGDENIVTANETAEYVPGSPPKATGKRKSEPDKFGFGS GKKKLKTESGGKRSFGVGESLMQGLKAQAQVQADLSREVLASRAAELQLRKENEDRHF MLRMREIDLKAKELRHKQIIEEARLMSSMSFDKADVMEYIRDELSKIQ H257_12965 MTKNVSIITITPTNNTSANNQELVMYRDSDLPFPDPKDDDEYEE SFQRRRRIRVLAIMAAALLVVGAAIAITFAAIPTSDASQSSGLASTTVAPPITVTTTT APVVPAELLVGGTLPDEVVTVETPTSTPDATPQVTTATPEPTTVTPEPTTVTPEPTTV TPEPTTVTSEPTTVTPQPTTTTAAPTDAPIIGKPESAPAPPALGHAPPAPAPAPPAPA PAPPAPAPAPPAPAPAPPAPAPAPPAPAPAPPAPAPAPPAPAPAPPAQAPAPPAPTPA PPAPAPGPSPIGPSTYRVVNGCNKNQDMYWRSTDLVDHSRNLGPYESLDLNALDYGPG KNIMIRATANNDATLFEGYFDPSFNVVPGAGGTIWYDISVIPNDCGTSWSHCSGSGVG YNAPLTVEVVGKLQAKCENLVCNQPRCPAGYHVPDDNLKVKSCEKKTSFILTFGCATR H257_12966 MDPSIDACFAFVKSAKHRTVTREERLDIRSQYTKATSKQVVDAL GRNLNLVQNVWREYQASQTVTAATPSGNRTTHITKVPRMKLVTQMVQQFVRDRRATRT RTTAVEVMMYLKELCLLDIDVDHKKQFAASYRAVQRFLKAQGYKRGRRKGSSTYHLSK ANALARDTYVKRMHPHSTAATRPNVVHTDESYLHHHYKSHHQDLYDPSDDHDVQSKEK HKGRRYCFVAAILDSPLMASKVMAIDIFTGGKSRAKEPGVTKALIVLDNAKYHKGLPE STPTSGRRKSILLDACRLSNMLASHIKAHPVIVEMGKRRGHCVVYTPPHHSDLQPIES VWAIVKAEVGRQYTDMTKFADVKVRLKAAFANRKPNPIKGCVRAAQEKLKKLHEHLVQ IDTLVSDEESSDDSDNSSDDGGDSE H257_12967 MRLNVSSMLERLQDQTASDNLYLQQCLDEYGDAVLEEDEFHETN NPIMDKTLLDAGAEGFRVLTNFTPEEFEVIWGNAESTMTSRWNDGRGRKSATSAKDPF FVTLTVMKHYQTWEKHAVDFGLKAPTLEKLVVMDVGVCSKLLYTCFMSLPRMTSLRSK DKVFTHCPYALYATDVKFQPAHRPSSRFGEQKHSVRL H257_12968 MSPHEPGSVYDLTMFRSRLDQHTQALAKDDYDDTINDNGELFRE HPTSWAVLVDKGYIGLAASARAIHPKKKPVSGTLDRFDMDRNKEVSSDRVVVENFFGR MCSLWKVSYATFVWGEKLYDDIQRFTFALTNFHATLMPLRLEDNDHYRAVMARYKSMA AENTSKRAANQRRYLQRRAERFATEAARASRTSRGTFLSPMVSGRR H257_12969 MRIASLEEQASIMTSHGRTLQDYLRIAHDEIARLTRASESKTPS SSRMKSIKLDVAKFGGAESDKLLRWLLQGSTAADAQRIPDDATRVAFEMSHFKGRAED WAFSIRLTGLHCFPSFAVFETELKAMFLPPNSDFQSLPEPLRVTVYMDTLNQGPARTK LFRAYPDTFEEAVRIALSESFSSSFAHARAASSDMDGSMLTQASDDRTCFNCGRPGHF SRACPAPRRVASAAPPSHGSPRAAPDTSSHRPPSGPPNRFNRERNDGSHPPFRPAPS H257_12970 MADEGKKRKVSEIAEDADDSVDKAEAPAQQVEDATEVAQRKADW EAKAAVNRERLGQLEQESAADLVKSAMQTVGAEGMQKLLEQHLAREEAAKKRRAAEEV KAKAMRAEEAKTIAAAAAKAPDEAASKAASGGVTGTPSSDGGHPNAACVGGKEGKVSG MEAAITTQAKVEAELGGPERIGLAREEVFERKLKFHWDCSRIYTPARWCGVDLGYVCD VTRRLTVVGEFDETRDDWGYQTANTAGVERYLLLKQCGRQPS H257_12971 MTVVWSKTTKKLCRWRHFPSFSLSSASKKAMSLLPLYPQQAYEV NNGVVLNVASAEIDNVCHEIKAACAGFGTDESRLSRVLGSLKPNIRHLVSVRYPQLHK GRTLLAEVQGETSGSYGKLCELLAQPLEEAEAMIVRNATKGMGTKENLLYPVFSGRTA EELTILKKAFFKVFGEDLVVVIADDIGGDLKKHYLSVLNVLTQSYDPSIHTQRKAEEV AEVIYKAGEGKWGTDEATFCNTLWSIPPQFMTQVNAAYVAKHKNSLARAIEKEFGGHT ERAMLFHYNMITDPINTIADQFEKTMKGMGTDEFGLSAALVRYQAYLPQIAPVYKTKY GQSLRDRIYGETSGNFRKLLMTVVEHSL H257_12972 MQVYSQVSREADKGVAINPASPEIDNFCHEIKAAIAGFGTDEDR LNRVLGARSVTERYLISLRYPQLHKTSLLDDISSETSGDYGKLLQLLAQPLEDAEASI IRDATKGSGTSEKLLYPVFGGRTPDELTILKKAFFKKFQNDLVVVVADDIGGDLKKFY LAVLNSFTQPFNPEVHTQHKAEEIAEVLYKAGEGKWGTDEATFLNTLLSIPPQFLRAV DAAYVAKHKNNLHRAIEKEFGGDAETAILYHLNLVLDPINTIVTQFEKTMKGIGTDEY GLSAAIVRYQSILPQIAPAYKAKYGQSLRDRVYGEVSGDFRKLLIIILENSI H257_12973 MTFFNVYSQETRDAHHGATISAGSPEIDNLVNEIYSAAAGFGTD ENRLTQALGNRTTRERYLISARYPELHKKSLLDEIKGETSGDYGKALELLAQPLEDAE ASIVRDATKGSGTNEDLLYPIFGGRSNDELAILKKAFFQKYQEDLVVVIADDIGGDLK KVYLAALNSFAQAYDPSIHTRHKAEEVAEAIYKAGEGKWGTDESAFVNALYSVPSEFL PAVDAAYKAKHNHGLIVAIEKEFTGDAERALKFHVQIQLNAVETVANHIESTLKGIGT DEYGLTAAIVRYASILPQVAQAYKAKHGKDLRERIHGETSGDFGKVLLVVYDRALRH H257_12974 MAQVVRERVRGVRGQPFERKASHLSLPLAESNIAKMRHEFERVQ CVFLVCRSHQLLHSRLGGAAKHAKVQVHAGTGGRGVGDSTIGRCCHLLYSDLLGSLGK GHGQGVELKTEEVVLQQTSTDGGSE H257_12974 MAQVVRERVRGVRGQPFERKASHLSLPLAESNIAKMRHEFERVQ CVFLVCRSHQLLHSRLGGAAKHAKVQVHAGTGGRGVGDSTIGRCCHLLYSDLLGSLGK GHGQGVELKTEEVVLQQTSTDGGSE H257_12974 MAQVVRERVRGVRGQPFERKASHLSLPLAESNIAKMRHEFERVQ CVFLVCRSHQLLHSRLGGAAKHAKVQVHAGTGGRGVGDSTIGRCCHLLYSDLLGSLGK GHGQGVELKTEEVVLQQTSTDGGSE H257_12974 MAQVVRERVRGVRGQPFERKASHLSLPLAESNIAKMRHEFERVQ CVFLVCRSHQLLHSRLGGAAKHAKVQVHAGTGGRGVGDSTIGRCCHLLYSDLLGSLGK GHGQGVELKTEEVVLQQTSTDGGSE H257_12974 MAQVVRERVRGVRGQPFERKASHLSLPLAESNIAKMRHEFERVQ CVFLVCRSHQLLHSRLGGAAKHAKVQVHAGTGGRGVGDSTIGRCCHLLYSDLLGSLGK GHGQGVELKTEEVVLQQTSTDGGSE H257_12974 MAQVVRERVRGVRGQPFERKASHLSLPLAESNIAKMRHEFERVQ CVFLVCRSHQLLHSRLGGAAKHAKVQVHAGTGGRGVGDSTIGRCCHLLYSDLLGSLGK GHGQGVELKTEEVVLQQTSTDGGSE H257_12975 MQVYSPLSHDADRGVTINFASPDIDNICHEIKAAIAGFGTDEQR LNRALGARTVSERYLVNLRYPQLHGKSLVDELESETSGDYRKLLLLLAQPLEDAEATI IRDATKGSGTNEELLYPVFGGRTSNEISILKKAFFKKYQNDLVVVVADDVGGDLKKHY LAILNALTQPYDPSIHNQHKAEEIAELLYKAGEGKWGTDESAFINALVSIPPQFLRAV DAAYVAKHKSNLARAIEKEFTGNTERAMMYHVNITLNPIETVADQFEHTMKGMGTDEY SLGAAVVRYQSILKEVAPVYKAKYGKSLRDRIHDETGGDFQKLILIVLEHSI H257_12976 MQLQVLTIAVLAAMASAQTGALLPRCDVDVSAAFNSSINNQSVV CMKDQNIKAGLLLDVSLFCATPSCIKMMNATQAQAATCRPNATILLPTKYCEEVCRQK LTAMQLFSKNCKEVETSNLTTYCTFCNKFTQEADSFIDACGLWDSASALRDTITTPRA ICDRVNTAVPPPAPESSSTTYIIIGAIGGVVLLGAAAYVCLKKKKSKYEADYRSAGGG SHMISGGSNGRRSPGGDSTGTARTNQLAQVTMQNDIRFDTELAQFRIPQQEIQNISLL VKGGYGVVFHATFNKVDVAMKQLLPSKAKDQNAIQDFMNEIRLCARLEHPKIVTFVGI SWSTLQDLAVLSEFMANGDVTGLIRKERKKPDGTRLLHWVPSREFGTSKTKIAADVID ALVYLHSFQPTVIHRDLKSKNVLLSATWEAKLSDFGISRVTSLEETMTSNIGTVAWIA PEVLTGGRYTEKADIYSFGVLLSELDTLQVPYAEMLGKSKENGFSNARLAMMVSEGAL QPTFTDGIPSQLHQLAMDCLNFHDNDRPSAMKLSYQLHSILKDFDTLHK H257_12977 MVVGIVTDADMSNLYSSSNQSEFVATLVLGPDTPHELELRGDMC SKDDNLYELAFVQPTLEHAMADDVVLGRNITRMASISS H257_12978 MHVPPPPQSPTTTTFHCSFEGRTVLDTTVVKVHILDVDLVTFFT LMVQTATITRPSKHRPMTGGVAFMGFAPLASTTLTNGTVEDHSAAATYIVSLGLSFPC DFGAFKPVTLSSPIPPLDGIWQVNLVSTNESVHFACTTGKYRRSPDIQGSYNYYYWSL PSNVVAFAANLDFNDVHRWTRSFGSPTFTRPSNDALAFASCCHWSTVYSIGRWYPFQW AVNQATDQSGLGGTMYFNDNARADTLWCCLAASHALATCLHVRIHLFVVVVIFVVCDY FRLEIVNYTGMYLDHVNAFATSNYLDNIIAQPTKVTMNVWAFHENVTTDYKLILNEYT YLWVAAGLCQVYVVLEKVARTDNLDADDNMVVERRVGRISCDVVGFVAPTDDYGQQTL VAINDYAYIVVNAVIGTLWFTVCGFPIDDMMILGKVQLHPHHIHRIWRVSLKCLH H257_12979 MPVVPENTNASLVAMIRACHCPSGGTSESRAIGSNASHSYGNKN PIAVSYVAGCEDLTVTPSTVMEASAIVVNIATPPEVRRCLAGRWMLSTCSMNVENVCT WRSTR H257_12980 MVLTFESQAPTDLELDVVALEHADKKLKRRRYKRDSTRGAGTRK RMLCWGNARPWKSKCRRCNFIVRRRWKEIATALRYAHDADMSKLAMLRSLAIKNTTLL QHLQAASVSTWHDVTLSGDPSTRLLGQRWITQRKLHHLAAMFQTHEFPSSSGHSFDDL SFDFDGDGHYAAVQRSQFHVPIRHDTAVPPPSHAIFDALTPIQSTAKPSFAVVFEWPD RCSNESWTVL H257_12981 MGSRPMSAPVRHPTPTSPLPQSTAAPLISGYTPTKPPVYGKDGF KERDQQKFAKRFIVYARGQDAISVSSGVRIGTVSMSSCMTAEALAHHARFQFDRPIED IRETEWEAMFEAAMLIPTSSKAVVVALLKQLSMDNTLLRTSDRMTDWQARYMDILTDE AAEDIDYFHPKAVIQALMHGIKPDGAKALVRNSYDFDDKEIKFNISKFWSHVRGVLSN VLPAMAAEADALRAKGISNKERAPVVPAPPTVLAAEVKRLQENATLAAAEVKRLTPEA TTRPACGDGGGEGCDSIFRPPDPLVDRAKMSCPNGNVAKPKTVLAISHPEVV H257_12982 MTERYMYEQPNKLKIDGSNYRECTHPDGKYKFGLEMDVDLVAVS YIQLSVHNDHLKYVQFVETTYDTWNALKAICENTSEVSMVTLQMKVYKLDWSDQLQVL RRQFQELTRKMTAAGDGTPERSYVTRFLCLLDRASRTLRAQVSRRTLSNPSLQKNVDR SDDALNATVNGECHYCYKSGHFRSECRRRQNDETKGVQRRNMRDKAQGNGGDVAATMA AGTVAASADEDVDATAAMIAAPTKVTTSKKMK H257_12983 MARLESTLMAFRAKNGFDRGIAAPQIGVQNRFVAIHLVGKHASP QEDALPQAESELFQHELNHLVGILAVNLVSKDLLSADELLNRFPSHVI H257_12984 MPITQTEGVMLLSLAKTVRQRQFVLALLATQHVERPLIHEVRFN LDAMTDPNAVLDYRFDVVGIRKLG H257_12985 MSEECSKGVEVHENKWWTEEEDKILLTQINNTRPFLQRKDTRKA WDTMAATLKDVDGFTRPGIDGKKAKNRFLLFVRQHKSNNNEAARLSGATEDETPKSRL LDDLVPLYNDAATKKRATTPLSEADEKDASIKFIREQAMLRGKRKSLESSDGSDVGGL SKKKLILEAQDKEVKLFRFPPK H257_12986 MATPVKRRNYTDEEDLMLLRQVSLELPFLARRGLITEKWTAVAR ALVASDEFTRTGLDAKKANNRFNALVNSHRKYNKDSERTSGVSEEVSEKVLLLDDLLA VFDDAKDEETKRVVSTKKANKHTENLGSIVHDEAMMSLGKRKQACDVEGAVGGGNNKV VKMMAILKEQAKSDLEFKKEKHNSEIEERRQDREFLLGHIREFMRQRRKYPFRRRMRL LRILLCAVERPLVPEDRFDLAKLTNADCVLKFRFDSAGIMELCELLGVPNVLLTTMGD RCLGIDALCILLNRMSYPRRFYDMIASFGRSRESLCRIFNSLVDLLFDQWQNHLYFCL NVVAGRLHNYGAAIAAKGAMMDNIFGFIDGSKLETCRISQKRNLGINVVTA H257_12987 MPPVSHLPDDVTNYVFLLRRVEEVPFKYCHVHCPPITTSRSPVT VCPLRDLRHPVHHVDLITTRVTVGPVTTTTKAPTGHQRQA H257_12989 MPRQRLLLPQQRHSHQRILQLRSLSLRVLLHALNQRKLQPLHQP LLLPLLTRQRLPLPQHPPMPRQRLLLPQQRHSHQRILQLRSLSLRVLLHALNQRKLQP LHQPLLLPLLTRQRLLLPQHPPLPRQRLLLPQ H257_12990 MSNREAHGSCVFLFWHRKYLLGFENMLRSLGDQYKCLTLPYWDY VHNYATMQNTTQAQRCTSIETCAPVTTGLGGSTQGSTSSASFFGYTYANNRCVNQRPV NHMCTNPVSLSCPKCTPRGNWANTGMIPDMGIASIRQSVLGASDIRTVSRNIENSPHN ILHNTLGGPMANPQISPMDPIFFLHHNTIDLLHTIFYHCKVEPLNLNDLEQQNDFRSF QGCSTRNGETIGPTSSLRMRLVVLDQAIEVANDRLVGSFFKDLPTQYYKLTDARQLGY SFDIVGLLGDLYTTCGSSRGSIRRLNSDQNVSHANVTIDHVVEPVVLEEDKNVLEFEK DVLAQAEIQGLTTDEANLELQKMNLLLQENCMPGPVEDFTPEFKAQWHITESSTSFAL LQDIKSGANPVRIENWQDILAKHYHCRGDVKEVA H257_12991 MQSQAPTDLDVAALEHADKKLKRRRYKRDRMREYTRGRHEEKEA LLGQCAALEEQVQALQLHRTAPLTWKEIATALRYAHDADVSKLAVLRSLAIKNTTLLR HLQAWTTLNAPPQVSLSASVSTWHDVTLSGDPSTRLLGQRWITQRMLHHLAAMFQTHE FPSSSGHSFDDLSFDFDGDGHYAAVQRSQFHVPIRHDTAVPPPSHAIFDACHRHLAAF LMLPPNVDPMMEVTGHTNMLRVVTSYGEAINLVCGHFHVSPTRYEVRISAANDDNTLQ WRVMHVLPQAKTDAGVLSMQNEARKWGLDLERHATAAFVHASRLVCSQLARHAMAAAE GLF H257_12991 MQSQAPTDLDVAALEHADKKLKRRRYKRDRMREYTRGRHEEKEA LLGQCAALEEQVQALQLHRTAPLTWKEIATALRYAHDADVSKLAVLRSLAIKNTTLLR HLQAWTTLNAPPQVSLSASVSTWHDVTLSGDPSTRLLGQRWITQRMLHHLAAMFQTHE FPSSSGHSFDDLSFDFDGDGHYAAVQRSQFHVPIRHDTAVPPPSHAIFDACHRHLAAF LMLPPNVDPMMEVTGHTNMLRVVTSYGEAINLVCGHFHVSPTRWVVVWQQIGSDDTID QSGWPQKNRSIWYEVRISAANDDNTLQWRVMHVLPQAKTDAGVLSMQNEARKWGLDLE RHATAAFVHASRLVCSQLARHAMAAAEGLF H257_12991 MQSQAPTDLDVAALEHADKKLKRRRYKRDRMREYTRGRHEEKEA LLGQCAALEEQVQALQLHRTAPLTWKEIATALRYAHDADVSKLAVLRSLAIKNTTLLR HLQAWTTLNAPPQVSLSASVSTWHDVTLSGDPSTRLLGQRWITQRMLHHLAAMFQTHE FPSSSGHSFDDLSFDFDGDGHYAAVQRSQFHVPIRHDTAVPPPSHAIFDACHRHLAAF LMLPPNVDPMVTPTCFEWSRATERPSTWSVATFTLALLGG H257_12991 MQSQAPTDLDVAALEHADKKLKRRRYKRDRMREYTRGRHEEKEA LLGQCAALEEQVQALQLHRTAPLTWKEIATALRYAHDADVSKLAVLRSLAIKNTTLLR HLQAWTTLNAPPQVSLSASVSTWHDVTLSGDPSTRLLGQRWITQRMLHHLAAMFQTHE FPSSSGHSFDDLSFDFDGDGHYAAVQRSQFHVPIRHDTAVPPPSHAIFDACHRHLAAF LMLPPNVDPMVTPTCFEWSRATERPSTWSVATFTLALLGG H257_12992 MLFMTLTSLKHCGSWDVVSALFDDTSASFSNRVNSFLKTLHPFL VGRYIDAVADKYTMEHLETNKRRFANYPCALYAVDVTFQKTNIPARSFPERKRFFSKK HGQHGVKVEASVLPNGLAINVTNAVPASMADIAIAQSNREFHLNKLAKTPSELDMADQ GPLREEYPASWAILADKGYQGLHRNLRAITPTKRPAGGVLTVSEMDVNDKIASDRVII EKIFGRLKTLWSVVGDTLKWKRDNYDIYFQSYVAFTNVHIRFMPLRAEDGHDLHRLVN GLISTGQKKKAKRAGSVAMSRDKRKRRLSAMYANGETFQLSAEMEYDESEDGSCIFD H257_12993 MYSQGKKARTIDQAKSALDASTRRYIVGLQKYNKQNNIDEEKKA HPLTVLELSTLLNALSSFHPFVGTMVRLALVVGFIGCFRISQYLSVRLRWHKKANIEE DSQVYHLVDDTTFPCLRVCAFHDDYISKLRECCVNVPKNSYVFPNFVMLHGGVPRVDW CRALEQTTLRNLIREPASGWFVLPSVRVPGATLQLSRAYGLVPLSRCENVLRVALLGG VPCTVDELAQTLAKSVQAFASVAVPAPKAWQQWFVADPGNGLTCALKDYSKEMIQFDR KKYSERHTLAMAFIKYQSFDQFEASYTGFTNSYARILKECYLYFSLTHQHEVPIARA H257_12994 MVTTTAATATVLRLGTPSLREACAKVSLSELASVPFQADMARLQ STLTAFRAKNGFGRGIAAPQIGVQKRFVAIHLDGKHASPQVYINPEITWRSPAMFSMW DDCMCFPDLLVRVSRHASISLRYLNHHGQIVHEDALPQAESELFQHEIDHLDGILAVN LVSKDLLSADELLERFPSHVIQRRAFDTNPTKFQKLVDYVI H257_12995 MVLSSRTCIVVALSALAAVQTLAQTCTVLDDVDLTGNDITTTDQ TDSGQCCSDCEVTPGCKAYNWFDGVCFLKSDEGDHTPLIGGKSGVLQSKPAPTTSASP TPATTPASTATPTSVPTTAPTSDPSCPRIRKSWDALTAAEQDTFKSAIEIAVDRGLYQ KFVLIHQEQMGNREAHGTCVFLFWHRKYILGFENMLRSLGDQYKCLTLPYWDYVQNYA TMQNTTQAQRCTSIETCAPVATGLGGSTQGSPSSASFFGQTFPSNRCVNQRPVNHMCT TAGSASCPKCTPRGNWANTAMISDMGIANIRQSVLGGSDILTVSNNIENSPHNILHNT LGGPMANAGISPMDPIFFLHHNTIDLLHTIYYHCKVEPLNLNDLEQQNDLRSFQGCST RNGETIGPMSSLRMRLVVSGQAIEVANDLLIGSFFKDLPTQYYKLTDARQLGYSFDIV GLLGDLYTTCGSSRSSRRSRSSTRGIERVRGVSHANVAIDHVVEPIVLAEAKNVLAFE DAVLAQAENQGLTTDEAYLELQKMNLLLQENCMPGSVADFTPEFKAEWHITGSSKSFA LLQDIKSWTNPVRIEHWQDILAQYYHCRGDVKEVA H257_12996 MQSTLRIPKRNYNITIKQEFLRLIESTVDYKVAELMNIAEPSEP RFHRNGFSRQRPGKNKARQEALLEVRDDYATEFQSKIPWLQSDCIYNVDEPGFYSTCR RGDAKISTGEKLSLRMMVVLTVRSNGDKLPIFFVIRGTPGVRIDRAELQTCPSGHFYA VQAKAWMDNIVWKTYLRRLL H257_12997 MDKYCVAVHNKGSPLSCVFGFIGGTKVQTCRIAAVGDGLNLRKQ LYTGHKRIHCLNYQAVAAPDGICIHFWGPTEGRRHDSAMLAHSGLLQAISSHPAIFAS KYIFGDLAYGVTRHIISGYKGNIISIEKQNFDKAMSSVRQSVEWNFKIMKTLGVITFK YLANVRQAPVAKIRSASLAFDASGPRGLLAFLNFTLAVHFALLKITLAFFNFTFAIFL AFLQLTFKHELA H257_12998 MSTPQKRRQFTERQDILFLTQIRVEMPFLARRGKIMDVWDSVAR NLATVGGFDRPQFDGKKAQARFAILLRDHREDNRASMRASCVSEDVTEKAIMLDDIAT QVDEAKNEEMRRASTEDSTAARSEGADALVRDEAMESQG H257_12999 MDLSSDCEHGHFRIMSGAATRRAISAAATGFVADAASMPLHWIY DANELAALVAGKDPTFFSPPASKYYDNPLGSLSPYGDEIVSLLQYLASHDEFSPSEYT TTSYNAMKAYTGRLNGVMRDFIANVEAGKTFPDAASDHPDTQGLNKVPILVARFAGHP DLLSIVRDAVKVHQHAAIAVDTAVAGAVILEQVVLHGTSVRSAIEAAATNPLVEASIR QIVTSVLADVAVSKDVTKAINTYGKSCPLPGALHGILFVLLANDGAVEPSVQSNIVAG GDNAGRSIFIGAVTAAAAAEGAVPQKWTQKTTRYEELHPLAAQIVAKNSFLSNA H257_13000 MYDYVHVDEKWFHATPIRSRFYLLPGEEPPHRSTQSKRFITKVM FLSAVARPLWDNAKSEWFDGKIGTWHFTQHVRAARSSRNRPAGTMELRPVNVTRPVYK KMLIDNVIPAIKALWPADCSKTVFIQQDNARLHVPPSDADIIKACTSDGWAMKLKYQP PNSPDMNVLDLGFFRAIQALQQTHHSNTYEDIVNATNNAWKDVDPWSLERNFLTLQSC LREVIGCAGGNSYKISHMKKAALKKCGRLPESVSCGKDVYDDGCTLLGQVDLSTVMLE LSLQTARDLEMSDIFTALETLDIDDQDE H257_13001 MSWNAYVSVGSTKSTAHLTRAVLLTSVGVLMFAPNAAFLHAGGV LTYHFAAGQVVATKRHVGTLPYLANSSWVAPKDASHFKYGPTLQLARVLNNAYEKVIY DSTSLASGQLLHENAGFCNSICRSNLIDILIFG H257_13002 MGVVEVHGQSDFNAKLRGAGGKLVVVDFTATWCGPCQMIKPTFH QWANELQDVVFLEVNEANNDDVIHSIGIRGFPTFHLYINAQKVDELVGADVNSLRRKI DTWRASAGYNPFASEGVALGSGGATWEDPRDARLRKFNQDASAALRNVAAPVAAPPTL TDEDEQKEDDELVKALLLSQQELKDAQADQAATSSETSHLEIPPVNAAFLEQLTEMGF AELHARKSLLATTEQMSLEAAISWIAEHQEDADIDAPIQFIDLAKQRKELTTEEKQAK VAELKRRIDEKKAQRAAQAKKDDVAREIARRNMGKDMASAREEYDAIQTRLVREKQAR EKAEAKRERERLLKQIELDKAERRARGGKLAAATSLDAPERVSTTDVAAPSPGKPAAT PEMQMQTSIDRLSQYRVGNDGLTALKTLLVYVTNVLDKPTELDKYGKINSANPAFKKR VGSFIGGLSFLRAIGFEKDLDGDLFVLHEPNEPRLRDAKLRLQKAIDAFPQ H257_13003 MGCNESKHVQLPSTQPSTGQGQTNIAPTSGPLMRNPSKPIFATP MPPVQSTADVDWSQYTELEPFKAKYWIDIEDLTIVRPIKSTYMKTEMGNLHGESVLVK SIDTAASTDEIAKSRKALVAEISSMARTQHPNIVGFKGFSISPDMGLVCISEYMESKT LRGLLDNPKQFAKLTWGNDKINYAIDICSALVYMHTLKPTLIHRNVKASKVLLDRSRS KAKLSGFGASRDRSFEQEMTNKIGQMEWSAPELIMDDEDYTEKIDVYSFGVLLTELDT GLLPFSDVKDTMHATTFTNKLVSGALRPKLSPECPAEIAKVVKYCLQQDPHIRPSSAK VLEMLNAAKIALETQP H257_13004 MGCASSSTQHQAYTPPTHTLKQTPERAKSVRQDESATSGVMLHA SMYPDLAPYFGEYFIDGDDLRMVRQIPASYMRTEMGNLNGASVLVKSMDASASAADKT KSHKALVSEISSLARIAHPNIVGFVGFSINTTSKGLVCVSEFMEGGTLRTLLDNPRQF GRLTWPADKINIALDICSALVYMHSLKPRLIHRNVKASKVLLNKNRSVAKLSGFGGSR NRAFDQDMTNNIGDIQWSAPELIMEDEDYTEKVDVYSFGMLLTELDTGLIPFADVKDS MPTTAFTNKIVSGALRPQLSPDCPAEIANVVKHCLQQDPHIRPSSDRVLQMLLAAKAE LVATPPPTVV H257_13005 MGCASSTAAAHTQSATTRSYLSRSHGFFSPPRRLNETLGPFRQV NSFSSSMTLTKERSNHSFLPQSLGPLPNDDDDDGVDWSEFRLLEPYKDIYWIDHDDIT IVRTLPSTYMKTEMATWNGRSVLIKSVDLSKSPAEIAKSRKALISEVTSMVRIQHPNI VSFLGFNLSPQRGIVCVSEFMEQKTLRVHLDTPKAAASMSWATDKITFAVDICQALAY MHGLKPPLIHRNIKADKVLLNPSLRAKLSGFGVSRARIFEDEMTAKIGDIEWSAPELL VDGEDYTEKVDVYSFGIVLTELDTCALPFAEAKSNMHGTDFTNALATGAIRPKLSADC PAVIVRVIKHCLQHDPHLRPTSAKVLDMLNEARTQLLTPPGRSE H257_13006 MADEGKKRKANEIAEDADDSIEEAEAPEQQVEVVDLTAESNDGG GVAPIEDAADGCRLGEKRRANSSHRGVQKLLEQHLAREEAAKKARAAEEVKAKARRAE EAKAIAAAEAKAADDAAKDAAEAAVKVTSEGVTGTPSGDGGHPNAMGVNLGCVRDVTR HLTVVREFDETREDWGYQTANAASMPLARLEHDIGLLLAPENDPLVAVIRKQTETTRA YDHAGRICPPDVQGSFAADWLGATRHKHVTDVQVALEEIHHNVAVRGDNLRQQVRGRC DRKYEVKFTGFSVSDFVLVGTVVKRPTKMALDWRRPCQVTRVITGHMMVPPYEIKVHH ACRLKMYQEDGREVTDDLQAQIAFVDGGFHVECLDEARCMHGQHQVLVKRLGLDDEES SWEPPANLLDDILVVFRKWTAANKEDPAVAALIKTLEFP H257_13008 MSKTWNSSINIDSKRVDPPEPTQRDQQGLLRVGEQQACGLRLAS LRCVAVERHLADPT H257_13010 MRTLVEVAVLASRVLSMVSAIFVLYCEIMGSHANQAILVGVSLH TNIPTDYNSPFSPAFLPTILTDPDTVRHTLESICHSASHDPCIAYLDTTEDGRVDLQA AFCNETSTADYLYGPAYLLPVLTSVLADSVYHLSVPDLWAFVDCSYFGRISSDTSTVK VTLLDKRMQNITTLFLQTVSLERPWKRRASSGGAATFTTTPLSSLKVVDGVVHSTERA TYQVAIGWDFPYDVSEPFVPVVLTALIPPSGRWHANVAATDEPLSFSGSMGIYRKYPD VQASVDYYYWDLPSDPMTFMSTIKFPSIHVMRDGWGYYRYFIGFGIGFNLAHTILVAC IITVNIYRRDGILWIPDVYPSIQRRVVLRTVLWLSTCYMTDWWYPFQTAMNQGSVRRK LEFALYLPELVRSDCLMLTLAVVYVAAAVCRVRVQLFVVVGVYLCCFAHRIALVEMCG VYIDDANAYLHHSFSDNICPGNPGGMDLWIMHENKQTNYWLLVTEGMWTVVAMGTGVG YVLGTKLWQVWRPVQTTASSTGLGDWIRRRRSAKVGSMVIVGPLTARGASVECAYGED SSTSPKTHWTLRSALYDGVTAADAGVNTHFERSAGRVAADFVGFAASSMDYAATSSSR TVHVSESGVWLLGFVVVDDRWVVSINDVLLLVVNVLNRRHIRRVYGFPLNGNEVAPRR MEVDVSTLTPRTVWNLSLKAMK H257_13011 MWQLRLPRCSSVERAHSFTCFLAEQPAMATINKQAVTEEQHYIE IPTPKLGATILVDVPKMTLEWNNVNMSVKIKNQVTKQVEEKIILQDNHGSASPGQLVV LMGPSGAGKSSMLDVIAGRNKDFTGSVTVNGHKWNKAMNRYASYVMQDDVFYATLTVK EHLMFQAELRMGKAFNAEEREARVDYVIDELGLTKCRDSQIGGVTDVRGLSGGERKRL SFATEILTNPSLLFVDEPTSGLDSFMAESVVLQLQKLAREGRTVVATIHQPSSELFTL FDQLYLLSGGQTIYNGKACDAVAYFASQGLQCPTYMNPTDYFMRQIIVLDPHSDAAAR VDALVHAWKSHVASSTSNAERTVVSDSASEEYDAKTYQSSHLGVVDQLSLLCKRNVTR LVRDTLGFKARVGQTLFIAVIVGLIYLQLTKDQRGIQSFAGAMFFIVINQFFSNATPE FAAVPTELPIMIREYNGGLYHAWVWYLAKNVSELVFQLFFPLVFLVPVYFMVGFGGDA GVFFTFYLFLVLVSSAAVGLGYMVGCIARHAQIAQILGIVIILPLLIFGGLFLNADNT PVYFAWLEYISPLKYGYRGISRAFWNSVQVLPCDPSRPCLATSGAQVLANMALDKDSM AVDVLALVAINLMFRTIGVVWLWFNIRQKH H257_13012 MPILRGVDGRASPGQFIIIMGPSGAGMTVGHNQRPRLERRVQSI ASYVMQDDVFYATLTVKEHLMFQAELRMGKAFNPEEREARVDYVIDELGLTKCRDSQI GGVTDVRGLSGGERKRLSFATEILTNPSLLFVDEPTSGLDSFMAESVVLQLQKLAREG RTVVATIHQPSSELFTLFDQLYLLSGGHTIYNGKACDAVAYFASQGLQYPTYMNPTNY FLRQIIVLDPYSDAATRMDVLVQAWQRHWNAMNPTIEHHNMDTDVAVFESGRLGTRGQ LLLLCKRNVIRLVHNKLSFKARVAQNLFISTVVGLIYLQLKKYQDGIQSFTRALFFMT VNQFIANGMPEFTNVPMELPIMIREYQGGLYQAWVYVYFMIGFGGDAGVFFIFYVNLS LLCSAAVDMGYMVGCITRCPDVGQILGVLVALPLVIFGGLFINSNTTPVCFVWLEYLS PLKYGFRGLSRAFWNSVEVIPCSLGQHCQALNGPQVLANLGLNKNLMVVNVVALLCVD VLFRLIGITWLWASIRRKHF H257_13013 MQQSTWTTDSKAIHSGAIQLVTAGGINVASSLDIKASDGGVVAV QGELAVTSDTIKVTAADNGAIYVTSTTDQIVTVRQVASATDKGNVNLYPRGVCNTSKV RVTELSHANVGSMACQSVSVEATEGGAAVVQAVASLTANAVEGGRVQYFNSTAGLGLQ FKSSNVWPVSQNEFTTFAFRPVPSQDALTIDLHGFGWGARFQPPNVVSFLAVPSTDAT MRFAVMCVVLFLACVFCRPPKSKEKEPLASSSYPQYQYCDYQFEPWRHS H257_13014 MNFGCQLFYLFLSPLCSVVGGIGYIHGRPPPVIFCGFYINSNTT PIALSNLVETTPYSFDQLSFSTVPKLANLSLSKNSMVALFCVILPFRLIGIHMTVG H257_13015 MCRQKVSIPPNVVQHKPKSIANSFDPPLQFEASPGWLENYRRRS SVGITILHGEGGEVDKEDPELLAGLAVLWNIVATYPEHCVYNMDETGPIYRTLPRKRL LTPDEPPVSTRGRKVPKDRVSLILCANSDGTHRSPSVSSARPMNPYVSAKRPGLCTIS PRRKRGLIERFAKPDAVQSLSQVCGHSRQVLLFLDNAPGHFCDMEIDGVRIAFLPPNC TAWRQPIDLGVIAATKKRYRYLLLSRALSFYEKTCEVQDAIREQAALLRAGVAGVDYG KPAHVLDAALLIKEAWDSLFALYSHNSKYLYHFSNRHLDAMQQSTWTTDNTAIRGLSL DIPGRVVILHDPLQNATAKVDVFTDIPEFLEFVHSSLVTRADGSPYIRTTFRRDLIPQ RQYHLHGSVLVHVTLQAPVSFVESSSRGDTILHQEAVSLGLNESLAVEASESNSVYLE LNQTVELANLHLTTKDSGAIQLVTSGGINVASSLDVKANDGGVVAIQGELAVTSDRLK VTAADTGAIYVTSTTDQIVTVRQVASVTDKGKLNLYPRGVCNTSKIHVTEFSHANLGS IACQSVSVEASEGGAAVVQAVASLTANAVEGGRVQYFNSTAGLGLQFKSSNVWPVSQN EFTTFAFRPVPSQDALTIDLHGFGWGARFQPPNPVTAMAVPMVDATVGFMAMCGVLFL AFALCRPPKRKETQPLKSSHTQLNTQYV H257_13016 MNSALVVAVATSAAACSAALVWYNLRASATKLAKDDLKALAKIE REGRVRLQIALGAAQDRIKLLEAASSSTSLDTIPLVTFPTIGVISSPYSTRNGTPRQP SLVDSSLAKLVLHKNIPHTTLVSLAEFSHAWVLFHFHQNTNVHKNKIKGTIKPPRLNG QSVGVLATRSPHRPAPLGLSLAKIVEVNVAKGYVLFQGLDLVHATPVVDIKPYVAFSD APTHQFAPAWVGKEHAEADEPLKISQVVFASPEAQDSLRNAHNVCKSTRRRKTAIELY ADFDQFTAFVKENLSLDMRSTRERADPKFDTYRVTLCDVMVHYKVVNTDGDGPEIHIV RGEVLTDEWQQPLNETTEVPDEETTEVDENTE H257_13017 MSAQVDDTAPGDSHFVTISTPKASVVETYETAAVSSSRPSSQSK ARSSLRKVPKLAIEWKIDELTAKIQKSRRVVETKVILKQVNGVASAGQLVVIMGPSGA GKSSMLDIIAGRNKAFSGHVKVNGQPWTPELNKRACYVMQDDVFYHTLTVQEHLQFQA QFRMGNSCTAAQRHDRVQYVIDELGLRKCKDTRIGNTQVRGISGGERKRLSFATEILT NPSLLFVDEPTSGLDSFMAESVVQIMQKLAREGRTILATIHQPSSELFSLFDQLYLLS NGRTVYYGPAADSVAYFASIGYPCPNYMNPTDYFMRQIIQLDAEATARVHTMVEKWQQ TEASRMLAAPETATAAELAAFTETRLGLVGQFAVLCKRNVTRLVRDHMAFKARLFQSL FISVIVGLIYLNLTMSQSGIQSFGGVLFFITINQVFSSANSEFLAVPLELPIMSREYN GGLYGSSIWYFAKNVSELPFQAFFPMIFLIPLYFMIGFGPQNATVFFTFYVFLVLLNS TATGVGYMVSCMVRRADLAPVIGIVLILPLVLFGGLFINTDNTPDYFIWLEYISPLKY AYRGVMRAFWSTVLDIPCDPTRANCVHNGAAVLKNASLDKASMVLDVAALLGLNFGFR FIGMLFLARNVKKRD H257_13018 MRECTNERLGERPTWRNTKRPQYTPGVAAHVPPLGRAKLNFDRV EIIKRLDLGGKHIPRSVIGWSKTAVRPRLSPLSIFMLTCQQTIPKDWVLSVSANAFHS SSSSVDAIDACEKVRREVHDDRPGKDWCFDFPPLFQLQLELALCQGTEDEWNAFADTD GQVVGSNLLEWFADTGDIHMIEFAATPHGKYIGALARCTSFAKPHVARWLAAHNAATN SQGTRICPDLSYGPYADTPGSVLPPGVPTFDEFRTIKIEVGVTQPWGVARGQLDHKAL STWAVMPGVDTYFTGASGSAPNRSHQDPIGRPSRPWYSARGGAAMGVPAALPVGVPED FDCGFTPCFGLDHALRDQVILM H257_13019 MVERYIDRAAADAFFQADGHEVEASIFLRGVSAYDAFQRWNDRC WYDDNDTVKHRVVSAGSSRDTVHGKERVTSVAVPRGGSANDDDRIGSVHFKLVDQGMW LEGYHAMVSFIPDRGNTFDTLVVWSVKFDPSRTSVLLCCGGTMLRMLMRNHMHRQLQR MH H257_13019 MVERYIDRAAADAFFQADGHEVEASIFLRGVSAYDAFQRWNDRC WGGSANDDDRIGSVHFKLVDQGMWLEGYHAMVSFIPDRGNTFDTLVVWSVKFDPSRTS VLLCCGGTMLRMLMRNHMHRQLQRMH H257_13019 MVERYIDRAAADAFFQADGHERWNDRCWYDDNDTVKHRVVSAGS SRDTVHGKERVTSVAVPRGGSANDDDRIGSVHFKLVDQGMWLEGYHAMVSFIPDRGNT FDTLVVWSVKFDPSRTSVLLCCGGTMLRMLMRNHMHRQLQRMH H257_13020 MDQAEQSAWAALVKDLKVDQGHGVLGAGILIYDPHGGVVYEEGW FREEVSAEAKVLMHAVLSDVVLARTHRVDLDGHVFHVVENVYGNICAVGRRRKMGLIS QRFSMGILVVMFRYPYTLQTAVPVVAKFGQRLRA H257_13021 MSADECRVEGDDGLGDPDVKIILLGDSAVGKSKLVERFMMNEYQ PRQLSTFALTLFRKDFTTPDGKSVKIDFWDTAGQERFNSMHASYYFGASACILVFDVT RKTTYQHLADWYKELREYCETIPCFLAANKVDVDLEVTNKKFKFAETHNLGFSFVSAA DGTNVVKLFEDAIQAALDFKHEGGDFVSDVLDLLNESPLDKPSRSNFGCYLRVLLMMR SGFRVTKCATKVMQKKYNMRRQLLSSSVAYITIDVYSHSTLVYKLGQIKQK H257_13021 MSADECRVEGDDGLGDPDVKIILLGDSAVGKSKLVERFMMNEYQ PRQLSTFALTLFRKDFTTPDGKSVKIDFWDTAGQERFNSMHASYYFGASACILVFDVT RKTTYQHLADWYKELREYCETIPCFLAANKVDVDLEVTNKKFKFAETHNLGFSFVSAA DGTNVVKLFEDAIQAALDFKHEGGDFVSDVLDLLNESPLDKPSRK H257_13021 MSADECRVEGDDGLGDPDVKIILLGDSAVGKSKLVERFMMNEYQ PRQLSTFALTLFRKDFTTPDGKSVKIDFWDTAGQERFNSMHASYYFGASACILVFDVT RKTTYQHLADWYKELREYCETIPCFLAANKVDVDLEVLCYSQQIFVVEILC H257_13022 MGWPTADASDVEMEHEPVPLDRAVKNLISESALVFDGLTRLSTS VQDAARAYRSALIKCVRDMDSGNDLSDVVKASVALLHLCEILYFSTASTLLPYAFGAW VQEHYGSLELEELDDAFLQLQSHVSLDTSDDDATYWPTIIQLVISGHGRKAWELLSRT TSTLHSKYAPSLASLRHLLVHMPTTASDASFNWTAWNDAILHLLQNDPLALSDAHIRL LLELLSGQHLDQHARSWHQQVVAKCLFEDPKAHLSAPTTGRRIVQRLEAAFPSTLPPF EQIVLLLLQYDLTSALEHIHGLSAASFPWFLAHLADLLIRQGELAPTETFVLAFVRSS LVPSSTISTTSLWPLATLYLEQCPTKGGPVVLELVRQVPIESDFAANKLLDICSTYHL PQAAAVIASDRGRAWEAKQNVAIALTWYLRANNMDAINSLCDAIVKQDLVHTTCSNAQ LDAAAAILAEAPTLSQTVDFVVKYHNVTLVLRDLAHLQSIQNDDGENRQNLPTKCDVV QRDAARRLAELCTHCSVPRHLWHSTWTSLVPLLQKSPPVFTSVQLFGLLEALQDREIA LETTFETCDHDNVHNLLGQLHRAIAVCLSQTLLVEGY H257_13023 MQTIVHIPEVWSVVVSFQNGLYPDIACLCQRIHVEEYRDFQHFK WFVAGSRLAPHPALRFVRRFDAAFGSFYRDRGVPGVTRLLSCKPAFRAIVTAHAAYFN HLDVLREVCPSKWSHHSDAYVDRVRRIKQLAWSNSFRNICLADTMEPLDMAAIQGHLE AVTLLYRHGYAYSHHALDGAAEMGRLNVVMFLVDEAGMPCTTKTLSRAAKKYRADVVQ FLMLHAIQPLPYDFNCGCGNYSDAITMADPYASGAERCCGCAIQ H257_13024 MAGSNPSLPICHILAHTVAIHAGGGAAINLQVTFQLGDQKPFGD RDDEPAVAFRITFNCSVDHLEGFAADNVNALNAGLEDESNIIVVFKPDKGEVYAASWS DAILQVDVCHLEDDEDDVNEGDPSRGAVYSHCGPRESTIWLHERQHSYEFGPLAVVQL EPDQLESEVDEGEPSILLRSDAALANVVMCAESLEIARDYNVHYVIEWLEDQKCLPHG TSYVIAAVDATGATIEAPFFPLFAYR H257_13026 NMPAFGPPYDTNNVFAKILRGEIPSDKIFETDDVLAILDAFPCT RGHSLLIPKVSGYTNILDLPPHEAAKLFQELPRLAKAVQEAVGCDGINIVQNNGRASG QEVFHPHIHVIPRFQGDNLFRLPSLADPIDRTDAATLLELIQGKL H257_13025 MASTAAPGAGAKDFSALEALNNQVEASKMDVLKKRIDELQAEND HLRFLHKKSEKETHEFVAYFQRELGAREKVTAKLSEDLSEAKTRFHDDTEEMKLKYDV DTKQLSHTSKLMELTLSDKLKVAQEDLTKLELFRDMKDSLENKLVHLTDTLESERVAQ KDALGMLERKFLEEKARNQKDTDKRIEKIKQQSREDARNVLDADTRKIVTDNKRMGEE LRFQLITTEELTKENMALEQTTKALKCEIQVHLDKELEYAKYGQKQTREIKQLQAKVK TLEKSLCQVVHEFEKEKQLLTTKTEKELDDVSLDADGLRKLLKLKNKELRNMKRLAQT ILDQRTDVEQFFLDSLDIVKQQMLDERKRVVEAEKLQDAKLTPAERGLKFPRLKTRSS SVGNNNQHVPLGVKDKVDLRALTWDERERVLRLLFAKINSIQGFLDAPPALDSQETRL NSLDTPFLTEVADQSSTGPMLPRHFPSRPPTHATPPPADEY H257_13027 CAELILQPLLLKTKYDTLADSSKDPNIQLLVFNGNKTGFRVWTQ KFVQHLKVLTTAKVGLWLANRTSRSEPMIKFEDWLSGEPPVVHGANESEQRWYSHYRS EQGQYIRILVSKALPDAFTQQFKDTFRVDQPVHLLWAAVDKRYGKSNVNTV H257_13028 MSGKMAATAMGQSTDDGTFVEVMYSEIYRSIGLGLRVERAMESG IGALVVSSSLQAPPGARALPPYQYRVWTIGNMNVSGAMFKDIEGRINLLGASFPMSVT FKRVDPLFTLEYPSHSPKLNLKIIQHAATSSTTDPTAPSPSSSICKIVEYIRPFLFLG DVPSLNTGHHVLNSINDQSLEGLQYHEVVECIRYATFPLKITVKLQPNQEVLNKARAD ADMHDKIQIDACRAKFLTCNCAHCSTIRVLLGNASVYTDQVYP H257_13028 MSGKMAATAMGQSTDDGTFVEVMYSEIYRSIGLGLRVERAMESG IGALVVSSSLQAPPGARALPPYQYRVWTIGNMNVSGAMFKDIEGRINLLGASFPMSVT FKRVDPLFTLEYPSHSPKLNLKIIQHAATSSTTDPTAPSPSSSICKIVEYIRPFLFLG DVPSLNTGHHVLNSINDQSLEGLQYHEVRCPQTTSILHETFRCDRSSSAYAMPRFP H257_13029 MSTHCSHSTSRPPPSKLKLTQHAHWTATTDNSFGTIIEYLRPSM FGSDHAVVEISTISGCQASNIPSR H257_13030 MSPPPLDDTIEVEFGPQFLSTGMGLRLHPATETDIGAMLIFSYF ASPVKVLTIPPCRYQVEAIGDLNVRSLPYNEIKSRMQALVTYPVIITFVRHPMWFTLR FPRRPLHIQIQERIPIGRLEIVDYVQPYTTTDLIPSRFWGTPFIRSVNGLDLAQSTDN AGVLAAIQSAALPISFSLKF H257_13031 MDDQELGIDIVQTELSISAQQYLVKHYCSSATSPPHTLAYKDIP SCSSSTLSKKQPTSTRQSTRVPKQPIKHVAAPVVVLDDSDASEAEIVPRPPSRLELTS EGFELDKVVSTPFGIGLIVALPTTTTTSSTTTSSLPIKRSMNKATVPSNYSYKVQLSY GDGYFHATSLTLVDDKVQYTYYKKRSKGWVVLTYGDTSRLCGHRLLNDSVIEFYLSYL MDTLPTPAVERTYMCSSFLFGQYLVTKKQALRKVALSNVEQAYASVARWTKSIDVFNT KYLVVPVNEEGHWSVAIVCNLYRFANTSVCRCAVVDPHGRAAHPSSPSALKPAKRPAP AARTLLPKRLKAPPLTQRGVKVVVMESVPSNEFDDVANGPVSVPPPSSWQTQGGESGG SCALTKGQATPSGGSTLNPTLCENEPAGVVTSDERPVSVQFKRPSEPCAKSEQQQHQS GASKSPQEPVGPQRCNTCGLVQDIDQDTNHRPCIVFLDSLKAHRTLRIAKFLREYLQM EWRARKAPTCGPMSITVANLPMISPREIPRQSNYTDCGVFVLHYVEKFLSNPPLMSTA LIATKGGESQGIMTKNWFHPRVIQLKRVAIRHLIETLAKQSHYTAQPTRQVSPTHHEE S H257_13032 MSRVTRYAKTADVDSLEKLSLKLTADLRNHIRFLGDYPVLSAEW REMARNMMRFGEISEMERHMPKQDNATLWECEELALRYILEDGKLNLCLRLLVEYKDY EHGISQRDLDPEAKELISQFERGLGVMLKNAWLHVEALQTTDLPLLLEYVAGVLTFCE EEPAYVEKKDLVDSQEILVVYYLHGLLKQLEHISEDRVMPLVLEKQLFALLASHIQRH WKSFSDTDVIVACEVLALLCDTEDFQTHGPSYVSSAQTCRDLRAIQEDILDGLMEDLD MRKKLRPLLDVVNEFASGRK H257_13033 MATTNEGDVSAAEKVYTWTLVFMCVLLMLFLVVKLAMVFFNKSK VMAWSTCFYCLCLVWVTVRVSFWISIELRDRMTYLELYLLYWFPTTIQFANFALLVLF YIQVITGQAWRSTWRRVCLPLYFVLTLSMATFTAIWAVNSNSSLQHAIRYGDEYDQDM FKISAVRVQLEYSAISFFVLSILFAFFGWKLAHVDSSKRHRLLISKPRSLAVFNSVLF LIFFTRSVRDFVTSQNWLRLSVANPVDQFGQIPTFSYFALFVVWDFVPTILLLVLIST KAGAVGVPRYSSFRGDTKLPDFGIFHVINTGVPTTAETTRLVADAHSPTAEYGARATP INVTERWTRGGDLFTDLLRYDSDNDIVHTPPYVNSLNSESSLSATSSYDRPSSYTGSL LPPPPPSPLLP H257_13034 MKTAGLLSILAVAAANQHKISPSVFELLQQSSTVTVFVAIERAF DLHKRSSLHDNTKCSSAIGTIFLCKELTEDDIFTAAALPEVEEIFPVPDSSAPDPPNI SPKPTTTPKPAVTTPKPVVSDDVAAADRDKIVASSVLESLQQSSTVNVLVEHDYLGTL EMPESSSLFTHPKCKGGLLSGQVDCKDLTKEEIYSIAALPEVYHILPARDNSVSDTPN ITPKPTTTPKPAVTTPKPVVSDDVAAADRDKIVASSVLESLQQSSTVNVLVEHDYLGT LEMPESSSLFTHPKCKGGLLSGQVDCKDLTKEEIYSIAALPEVYHILPARDNSVSDTP NITPKPTTTPKPAVTTPKPVVSDDDAVDYQHKIGPGVLQSLQQSTTVTVSVAVHDNSP DSVVYTFHKCLKHPPLPGLLLCNDLTLDEVNRFAALPEVKEILATRDNSVSDIPNITP KPTTTAATPTHSEQRDSSDDRD H257_13035 MYLLPGEVPPHRSTQSKSFITKVMFLSAVARPRWDDAKAEWFDG KIGTWHFTHVVPAAPSSRNRPAGTLELRPKNVTRPVYMKMLIENLIPAIKDKWPANST RSIVIQQDNARPHVPTWDESVVAACTSDGWSMRLERNFLTLQCCLREVIMGGGGNSYK VPHMKKEALKKSGRLPETIHCDRDVFDTGCALLNEQDLEKVMQDLAVQTATDLEMSDI FSTMESIGINAPGRRRRSEGEDFFHATQSGKAMGCDQDARRSMEQRTVRKGSYSTRIK QSTSTTMTTLPPPPPDGRGQARKNTNALAAATHHLGRDEAERRTVAFGVLDSTYKHDW TIGNQMISDLLHDGLSHNQIHHLLRVGATRIRRIAAEMASSTQPSAPSNNEESANTVV DEDSGSSGSDPNYIPTRKAKRTAPRPIAATIADLRDQVRELETQLTAMKHKVKSTQTN STTSPVSW H257_13036 MRDPLESRPPLSWYRAGGFEPEDNLLRRLAVYTDGNVGTLPDLG NGLSGATKDLSHLLYGAMAKLAWSLYYTK H257_13037 MPPRKGKYTDSQANTSSDTIEWIHEKTTSKRILWTKDDVGDGKS TNTGQGVEGEDSIQKEVKRMCPYYYTLDDVMRDWASITPLVTSETLYNASSGDDDETQ DDKKARSSARKRSAASEKLDECGDINARAY H257_13038 MCLGKLPRGTIKAIAAQATQRHSSSPEFDFFGIWDVVHLDETWF NADKDSRKTYLVDGEDVGNRACKSKRIIAKVIHSSPSRSELTQSASGTMVTTLINVDS ATYRDYVVNKVIPAIKANFRSLNKRVVLQHDNATLHRSIDNATLVQVSTDGWTFVVRC QPPNSPDLNVLDLGFFASIQTLQYKTVSRTVDEVIASTMITFETLESEKLANVFLTLQ GVMRLVLEHRGGNHFKLPHLKKDALRRTGNLPTNLSCPVSLLFEANSYHVQTSGL H257_13039 MTFDLQAFFPVNPSARNNPINRDAPAPTPANTLPGSISPTPPAA NPIPGSNAQSPPALRQVPQAPLGGATDQSNQLSNQVDMQNNFGGMPPPNQIGGQNVGM GGDNSMLGGGQSGVGMGNGDQGTGNGLSSPVITGYGGNSEGDHNAVTTALQTQRT H257_13040 MESALSLVTGHPYVAVLLLFAAVLASSASVRNSVFVGTTYVTLT MWYQYRQVTKGRRESTATAPPPTMSTSGDTGSAPPLDELAIDPVKSTRRSKPRSWAD H257_13041 MDTSGAAEKERRQSTLLSEMLQDENASSNNRSQRILGELAVLCS DRDAVLTADSLQYLSSAGKAEVVKLMAEKVPSTSTSSSSHKKDDSSSNHARISSDSAR RQRVEELTRFFMEAKSDIEFALSGGGERRRDLRATSAAELELNDDDRDMMDSSSSSDN ISSSPMVLPPPLKPFADEIAGDSDDSPSSSTPLLPRPPSSGGAPLAAAPKLNVTVPVH FQLLEDPVDSRVFHPLLLSIRETDPLLYGTIVCHPLVTGQDISPKKQDSKRGKKKKQR SSTTAASTPAKAKKADMYHPDFSLPPLTAEDTALNLARFIARAIADRSMQGLLLVVKV MSSYPWHDKILIPVGSHLLSGQMKPPSPPPPSVEKTMDSSMDFITSVMELEGQLDPLA ALRSRLGRFEERHHAMDNDTEEEDRLVEQMSLHMPNLDEGVDEEVLMARAIALSLSPD VGCLKDNDVPPPPPPLPPLPLAPTTADRPPPVPFTPDELWRLCGDPVPDLVDGATVIL YCIGALLEDCQAYLSSIHRRILPAHNPIVPHPLTFLLLHSNLVHFLNHEVITTAASAT DAISSLDRNDDVVVAAGQVVLLHVLELHLFHVDVVGTVPASVGLGQSAANPNPVPTAL KQIVERYTTRSYDAVDRMSHPLAEQYRGRVGEQAIVTWVRGLAHFYPAHASRHRLLLT LLDDIHANSSTPATALRMYQLDLMCTRLALPDLAVSFTPVVSNHTSFKVDDAALLLTS KLVWSPAAIREGLNQDTCSIQAVVDLCHAVGGKDDGPKLAPSEQHSIEHVAELYQQLY DKQQARTYDSWSDLPLVVDALLRHIRLHPEEYDPSPPAAFGHAPLIHGARPTALLLLR SLQEGMLQCLHSLAAGGSGQSLSSPVSLEFDPARCAETLTLVDNNSSAKQHTAKQWGM VLSTYACAPNTGLHEWAVRLDRCEKGHVFLGVCTRDAAVSTYVGGDRQGWGLIGTRAL WHNRSKVRGDYGDGFSTGSTVRIRLNTDTGALSFGLVDDDSDWGTAFDGLTQYGALYP AIGLYQRDDQVTLVPTFHHHPPSGPPSATLLRRSTHPVSLQKPPSSVSGASTSTTSSS LDQDVVFDTFVQYTHKVLDVERLSVPLLSALALMTPAWRVQFAWQLLPACVALTKRLD HDHMSLQVHIGGTWELKSSAAGTIPAQQYVVELTQQGNENDSSTNTLTGQSVSTNAVT LQGTIRGTKVRFLETWMQGSTCLVTGRVRVDGQKFTGTYQDTKSHTSGRITGECKSPK AAASVDMRAVLYLAASTLVGAYISSFLAHDTTPFLADLTGLQEAAEEDATSVSADASA EEYQEWVDSALFAGGLPLADIRRHLDDHHPPRCHRPMLAWFQAVWPPLPPTGSVDNQS PFLQELLSGRDGVDAYVTKHAGESAFVRLGGEAMKTAKRTVLAAMLWHGHVTAIDTSQ PDSRPSENILHIWRSAHRVIEWGIRMKNANAMTYASVAALITRRARFLLRLQPSVRGL VLQERAFSEIVMLVSRFVEAGVQLQRLESMVLRNCSRAYLRMIGLNAMRAVVELGLQT SAGLCAVLQWVSNHDSLESLRPSGTGTSHYLDALGGVGSTLQRQVRDSWEQLYGHFAA LLSRATWAKDSDLQLVVLQAWGIIIIPDDHAFISRMGIFRILQTVLDEARSSPVSSPC PLLADDNSNPTKPIVQAALKVVHLLAAQVATSSHAEESSTSTSTDVNTTIPLVRQPSG PETLGKSVFNMLYTELHNACQEDVGPQHYCYQICSLLHSVSGAAICRQHLSSGRWLGL LLQLVERGTFAIQQRVLQLLRRLLPYLSPLTLHIQADDVYMGADSSRNASQLVGFFLD LLGRLVPPPSSSLPDHGISVPEKPTLKLHHGCGVEVVLLLRSLLLASEWAPLLQSVFL QALSPDSTDFQSRALAVLCVLGGHIEGLQVGMVIQILPKSHGTTQEVMFRGAKGVLVA LDVEKGSAEVLLQKNTAAGASTELSLSTLNRPIRVPLEDIAALPDVECKGGDLSRAFL KHLVESNLPHFLTSVLTDPITPSQDQPNENRDHLMGLLLGLVGFRALGSLVQSDDEND LVLCDCIPLLFQVATIHTQNPSDISLLEEHWVQRYKLWYDVQCPGGVDALDDASAPPS ALCQQMMEMGFPREWCDVALLKCSYQVEAAINFCFEHSSDMDRLVKQPTARSTGRMPS PPTSSTSTRPDVSPILLEQLSEMGFPLTWCRKALIANRNNVDAALTWILSNGEALEAD DRRQQDQKEAFGDTIDESPLVTASIPQGPNPLRPVSGLATINDDMLVEGSAGGGFASV GAPDCIVQTGKWYYEATLSTSGCIQIGWADAAFSGASERGDGVGDGPHSWAYDGWRQM KWHGNSSSYGIKWKSGDVIGCGVDCDAGLLFFTLNGQYMGVAFRGVQFAGGMYPCASF NRRERLTFNLGGLPFQYPIDGFSPILHAIWTPSSAPSYEKGQHEDCLEEYVGEEYFDC RYFAKDLKATAGSTTTSRVKPSTVDPTSDFAELTRSLAILHCRRLLLTILAASRSSNL LNDVPTELVGTFLKLVASYTSPASTVSELFKAVTVDTMSPRLEVSLVQCIDDQIRMAS RRKYAKIHWDCGVEAIVTSQLSTLHCSDGGGGMLPSVWHDSHVLVHPNVGLAEYLTTL LASKQPAPLVQAWSYALRSPSMTLKEKAFRILSGLVPHVPESALACIPTTRLHAMTVA RLTKEAIHFPIASKYLQSLMELTSTLVVQSTCTTCILSTDHNNQRRIAHAIKTQHELD QLPYNVVQVGHTSTSCPPLVVPVLTREEDDDDDEMSSEDQNAAMSSSAAPMTAAAAVE SRSPATGPPISASFLTPAQHQIIVAAHHGFKVLDDQTRHGFEIGVLSDEASQYWSGQL SQHELLPLTTVPPVKEEEEIAAPPPLTIGCKVIRGPNWKWRDQDGGQGSIGVVEGISP WSGIEGEGMSVRWPNDALYTYRWGADGQYDLTHVQVDSDNHIVTSFPTPQAPQPSTDR VHLGVIFRLHRTDNAAAAGISGVVEYPDMNAVIAVAGQYVDESIQLVELGMIQGDVDM GWHAKFGCDRWVPGTLYELTQDGSHLHGQYSHSLWQPEAKSWAPIQGRVQAQSQHLFA LDKQATFAASLSVSDDGLAVTCTSGEARNLSLGTVGFSSGIHYWEVRVDHAEFGSVFL GVCEKHVKGQPSLNLGRWQGWGFVNFRATYHNSTERIYGDHFNAGDTIGVCLNMESGK LSFFMDGIKYGEHIVTDLGVAFDGLKSDRHIKTLYPCIGLRKAGDQVTLNGKWVSHPG LSSTLLYQDHVELQSVLHAWAGRDDVLPLAFMQASYAFYCRWRTNRYRRVSIRAKGMT IDVDTSVERCVQVCRDSPTVLVTGDRVKIISKGGRALDAPEEAIVLGVYRNRLWYRVE TQGNEGGDEGRGYAWYWDPIELPELVLIQRNGIDIGQSDTTTIPPPSPESIPTGSRLL GFDEFLQLAQGTYAKDIPLVDHINALCATVGVDVVNLPFEAVAEELQPRVAVLMMLNQ KVLRSLPLVRFHDRSVLRHLTFTSTKLSFWDATLKATATPTPLPSDEYEDPREIRILR INRIQAQPSKLALCPSPSDRLRKSVFGQLYREMRTWSDSGFRRAYTGKGHGGQKRAFK VKFLGEGVNDYGGPYRAVFEQIVDELQMDQVELTKGEQGLLPLLVPCPNRRSGSGTNQ DKFVLNPSCGTISAAVGPIALELHRFLGKLVGTAVRHGLQMGLDLPSVVWRPLVGLPL NRHHVEDIDVVAFNTLTKLEAMPLSRDAVEYCKQFTFTTHLSDGTEVPLRPDGETQQL DFASREKYVDLSFAKRLVESGPQLAALREGLSAVIPMEIAGLFTAKELETLVCGRREV DVALLKQCTEYEDVDPTSAHIVAFWQVLEEFSPDDRTLFLLFVWARSRMPNSAKDFPM NFKIQAPHDQGARSQPDLYLPHAQTCFFSLSLPAYSTKAILKAKLLYAIRNSPNMDAD VRLHNAEGWADA H257_13041 MDTSGAAEKERRQSTLLSEMLQDENASSNNRSQRILGELAVLCS DRDAVLTADSLQYLSSAGKAEVVKLMAEKVPSTSTSSSSHKKDDSSSNHARISSDSAR RQRVEELTRFFMEAKSDIEFALSGGGERRRDLRATSAAELELNDDDRDMMDSSSSSDN ISSSPMVLPPPLKPFADEIAGDSDDSPSSSTPLLPRPPSSGGAPLAAAPKLNVTVPVH FQLLEDPVDSRVFHPLLLSIRETDPLLYGTIVCHPLVTGQDISPKKQDSKRGKKKKQR SSTTAASTPAKAKKADMYHPDFSLPPLTAEDTALNLARFIARAIADRSMQGLLLVVKV MSSYPWHDKILIPVGSHLLSGQMKPPSPPPPSVEKTMDSSMDFITSVMELEGQLDPLA ALRSRLGRFEERHHAMDNDTEEEDRLVEQMSLHMPNLDEGVDEEVLMARAIALSLSPD VGCLKDNDVPPPPPPLPPLPLAPTTADRPPPVPFTPDELWRLCGDPVPDLVDGATVIL YCIGALLEDCQAYLSSIHRRILPAHNPIVPHPLTFLLLHSNLVHFLNHEVITTAASAT DAISSLDRNDDVVVAAGQVVLLHVLELHLFHVDVVGTVPASVGLGQSAANPNPVPTAL KQIVERYTTRSYDAVDRMSHPLAEQYRGRVGEQAIVTWVRGLAHFYPAHASRHRLLLT LLDDIHANSSTPATALRMYQLDLMCTRLALPDLAVSFTPVVSNHTSFKVDDAALLLTS KLVWSPAAIREGLNQDTCSIQAVVDLCHAVGGKDDGPKLAPSEQHSIEHVAELYQQLY DKQQARTYDSWSDLPLVVDALLRHIRLHPEEYDPSPPAAFGHAPLIHGARPTALLLLR SLQEGMLQCLHSLAAGGSGQSLSSPVSLEFDPARCAETLTLVDNNSSAKQHTAKQWGM VLSTYACAPNTGLHEWAVRLDRCEKGHVFLGVCTRDAAVSTYVGGDRQGWGLIGTRAL WHNRSKVRGDYGDGFSTGSTVRIRLNTDTGALSFGLVDDDSDWGTAFDGLTQYGALYP AIGLYQRDDQVTLVPTFHHHPPSGPPSATLLRRSTHPVSLQKPPSSVSGASTSTTSSS LDQDVVFDTFVQYTHKVLDVERLSVPLLSALALMTPAWRVQFAWQLLPACVALTKRLD HDHMSLQVHIGGTWELKSSAAGTIPAQQYVVELTQQGNENDSSTNTLTGQSVSTNAVT LQGTIRGTKVRFLETWMQGSTCLVTGRVRVDGQKFTGTYQDTKSHTSGRITGECKSPK AAASVDMRAVLYLAASTLVGAYISSFLAHDTTPFLADLTGLQEAAEEDATSVSADASA EEYQEWVDSALFAGGLPLADIRRHLDDHHPPRCHRPMLAWFQAVWPPLPPTGSVDNQS PFLQELLSGRDGVDAYVTKHAGESAFVRLGGEAMKTAKRTVLAAMLWHGHVTAIDTSQ PDSRPSENILHIWRSAHRVIEWGIRMKNANAMTYASVAALITRRARFLLRLQPSVRGL VLQERAFSEIVMLVSRFVEAGVQLQRLESMVLRNCSRAYLRMIGLNAMRAVVELGLQT SAGLCAVLQWVSNHDSLESLRPSGTGTSHYLDALGGVGSTLQRQVRDSWEQLYGHFAA LLSRATWAKDSDLQLVVLQAWGIIIIPDDHAFISRMGIFRILQTVLDEARSSPVSSPC PLLADDNSNPTKPIVQAALKVVHLLAAQVATSSHAEESSTSTSTDVNTTIPLVRQPSG PETLGKSVFNMLYTELHNACQEDVGPQHYCYQICSLLHSVSGAAICRQHLSSGRWLGL LLQLVERGTFAIQQRVLQLLRRLLPYLSPLTLHIQADDVYMGADSSRNASQLVGFFLD LLGRLVPPPSSSLPDHGISVPEKPTLKLHHGCGVEVVLLLRSLLLASEWAPLLQSVFL QALSPDSTDFQSRALAVLCVLGGHIEGLQVGMVIQILPKSHGTTQEVMFRGAKGVLVA LDVEKGSAEVLLQKNTAAGASTELSLSTLNRPIRVPLEDIAALPDVECKGGDLSRAFL KHLVESNLPHFLTSVLTDPITPSQDQPNENRDHLMGLLLGLVGFRALGSLVQSDDEND LVLCDCIPLLFQVATIHTQNPSDISLLEEHWVQRYKLWYDVQCPGGVDALDDASAPPS ALCQQMMEMGFPREWCDVALLKCSYQVEAAINFCFEHSSDMDRLVKQPTARSTGRMPS PPTSSTSTRPDVSPILLEQLSEMGFPLTWCRKALIANRNNVDAALTWILSNGEALEAD DRRQQDQKEAFGDTIDESPLVTASIPQGPNPLRPVSGLATINDDMLVEGSAGGGFASV GAPDCIVQTGKWYYEATLSTSGCIQIGWADAAFSGASERGDGVGDGPHSWAYDGWRQM KWHGNSSSYGIKWKSGDVIGCGVDCDAGLLFFTLNGQYMGVAFRGVQFAGGMYPCASF NRRERLTFNLGGLPFQYPIDGFSPILHAIWTPSSAPSYEKGQHEDCLEEYVGEEYFDC RYFAKDLKATAGSTTTSRVKPSTVDPTSDFAELTRSLAILHCRRLLLTILAASRSSNL LNDVPTELVGTFLKLVASYTSPASTVSELFKAVTVDTMSPRLEVSLVQCIDDQIRMAS RRKYAKIHWDCGVEAIVTSQLSTLHCSDGGGGMLPSVWHDSHVLVHPNVGLAEYLTTL LASKQPAPLVQAWSYALRSPSMTLKEKAFRILSGLVPHVPESALACIPTTRLHAMTVA RLTKEAIHFPIASKYLQSLMELTSTLVVQSTCTTCILSTDHNNQRRIAHAIKTQHELD QLPYNVVQVGHTSTSCPPLVVPVLTREEDDDDDEMSSEDQNAAMSSSAAPMTAAAAVE SRSPATGPPISASFLTPAQHQIIVAAHHGFKVLDDQTRHGFEIGVLSDEASQYWSGQL SQHELLPLTTVPPVKEEEEIAAPPPLTIGCKVIRGPNWKWRDQDGGQGSIGVVEGISP WSGIEGEGMSVRWPNDALYTYRWGADGQYDLTHVQVDSDNHIVTSFPTPQAPQPSTDR VHLGVIFRLHRTDNAAAAGISGVVEYPDMNAVIAVAGQYVDESIQLVELGMIQGDVDM GWHAKFGCDRWVPGTLYELTQDGSHLHGQYSHSLWQPEAKSWAPIQGRVQAQSQHLFA LDKQATFAASLSVSDDGLAVTCTSGEARNLSLGTVGFSSGIHYWEVRVDHAEFGSVFL GVCEKHVKGQPSLNLGRWQGWGFVNFRATYHNSTERIYGDHFNAGDTIGVCLNMESGT ATATM H257_13042 MTTSIESAGQVATAIRSALAHVDVETKSLEAKMAEWAELEARVQ ANINNHPNIVSLNVGGTTFETSKQTLLRGQDTYFHALLGSGQWTPDGDAAYFLDLDPH LFRRVLTFLRTGKEVSMEGLTNDERDELASMLEYLKLEKRPQPLPVPIVKPVEWDCQT HSPGMELTNQGKSIQGCASVSKWQCAAATNPLTGTFRVRVDSTRGEFRIALSPPGIDV SCTTSTIKCYMYASNGVVFNRNNQVAIVSALKAGDVVTMQRGPSRVNFSLNDGNIIAV MFVDSPSGMLFPVVFMRGQSKFTILD H257_13043 MATVPQRETSTLEDIHGALVAERSKKAYASGIRQVVKWIQQINQ ADALLSADGSINLAAFSYDDFVRFIVWTMQNTAVKASTMSGYRHTTYYSYK H257_13044 MKIAAVVAILLVWTSCTEPSCFANGLINTGERGPLNFDFVESFV LASPVAHVHRYTAASYAANNPNEDRYVVQVDFDAVFASVLDGHGGWQVADYVKKHLVN NAATLLRDAAFNNPAASNDPTHIASLLSSAFVATDNALSALLLPSYQVGFGLVNRVGA CSMLAYAKGDTLVVANAGDVRAVLASTNASGGLVATPLSTDHNAKHESEQARLVAAHP NESDVFVCHLPESCYVKGIVQPTR H257_13046 MAPTVYKERGTLLGHKVDSNIPCWPGSGFVPLALPVRKKPATHP KQPPIDNNPPLTAADPSTVFTASSRKPRVSVPRISIPKKSRPRPPPSAEVQVTANNPH TKRTPRSSHLRDDIASFHVHTTVKAHQEVPPTLVDMDDCQYNHERRVEQKRWSEAVQW LKLQREALQLGDKGPDRDAKRQRLFDMDRQVRAQNQKRFAKSPPQRAPTNSPKLKTHT PVQWELPPRKPPVPRLHAATKGKRRQTHDYSSGHPPQPNHDGDGNNYSGDAVLRPPRR PATRRKRKVAPEPPPPAAEADKMSEDTQKRRQLARVYMEQQKAKRKERARQRRRQDEE EAVLRREQLEKLDAVRWQRVQESVALAKKSGGHVRSNPDNNREAPSSSSMTELLLGFR TLPPRSKKLQPTYEEDVIPLDNDDQITDSAQEEPNLDEDLWSSGDDQNTDEVVESDDG DDGDDRRCAVEVLPRTDPPPPPTSSSPPLLLLKLQALKQLTDQLTSRVGRLSNNNEVD LDDHDGATAQSTRNEERGSVDELDESNDVASSGDDEDAGPPHQHRPDRTAALEASSAP SASWQTPDIHLDAPPEPAPWQRSMDSEDRTPWWEDESESARLVSSRVDLPTVVRVQSD TRVHQRYANGDGDETRTTTTEAHVSRAASLVDGNASSSTDDDDDKQVARWMALRQRRQ LGEIRDEAAQVQLHPGQNDKLHSVGYRESPLFGPTSLQSARNHPMGDRHDDDNDDAEL DRLIHATRDAYSVVDMYAQQLFQQQQQNRITFELSAATENKDVPALADRIEEIASDTD SRSQDDGRQDMDDLADSIRWPKDIHTMDKVSSTTVHEDYAHDDDDGPACGGYTGRSIE ELLAEASRVLQRSSCHEEEADNNASSFWDRAMRRDDNALGRQVPSSPNRYAHLPRVVI AMDDDANLRHSPRTLARQLMAAVDFQESLHEAQMNLSALEYAHEVERNQDETLVWGQA IQDEVDSMAQAQLLLDQHLTMQEEFHQQELVMQAMGHAAQERDKLVEQACQTDQLARQ HASTMAQWTVETGTMAVEARDGGAQCTLQHSIAIQSDDRDLLAWESQMLFRDQASSPV RSADASMEGERRAQFHLAIDTPHVIPNTLETFETSSHIKESAPSIAIVDSVQSLEVMV KDQGDETTYSEGFGSPTKEVSCGDDIPDQDEADKSTLSEVSEVSEDNIDTTSKGFESP DHASVPDDSDNVQMDAPDEPCSVQGTVESEEYSEGFDSPPKPTSSPNVPDIDDEYEVD AAVSEVKSEGSLDEIEVAVPSKLQHAVASDVDDDEVESVVDVDIEQVNEGGDSMQDEE GNYSEPFESPRSSKPSSSPRRGQVAQTDLSKQAVHSGPSIATHQRGMPSLPTTTLDKA TSEERVEAYFAQLCRRDPEKEEYIRSIVARKASEDKILDMRQRSLTSRPNVSRMQAQA ERLQLDSCRAANLARCYEDMMLFRQDEVEDPDEVHILALVFGQSGGQAWSATGWETTQ GVGFNGNDRDRRREAPLVVAASDRQTNEEEGHDAKDDSDMDMSEKKTEAAEEAESTPE EYDEFEEKSVKAVSDEFLEANSVDSATAVNDIAGHAQTKNIVDGLRDSDGNEYSMDDF AATQGEDMSIVVVADVVVALSGEDAMIFDEVNSGHQIDIADEDEYSMDGFSAKQSTDD DNFVEDQEETIGETAKSVDVASVDEDGDVEGFERPDDACGSSVMEHEAESVKMVADKD EYSVDDDFTAAGVPNADNGDDGLSIDDDDQALADGAVDNIEDEQAVAETIPREPGHEY STDEFAQLSSPGEIAVPTDDEYGSDEFDKSVDVHVADQRSNTSVELQRALDRIATDFP HDIGQDEAKWQRRKAQAMALVAAKERAIAQLKYHQEIKQVNALVAYSLALDVEQEAGT PPSPAKSVVPTMSHVAASVSKEHFEGRSNSPPAAVEHAAESDASYSDSFENKSDDVED NAVQVEASDLVCEPEHMMQHDVVVVVDPQSSISRSIDEHELRLAQLKESLASKQEEAV QLNSLLLKEARRAALEAEEIAMRVQLDQTERLVATNLSRLTQLQQQRHDPSPAIHTNI TVSQVLESSSLEVADMMCAPASFPDLSETHDPDGSSESDADSSLGDAKAAEPPVLPEA NRCGYPVVLELAIVEGDSISEEDDEDDDDQSFASQDDLTAMTEPPPSSVVPIQAEQVV PVPHGEDVGPVRPLVSQIPDVLDDSFTSVDGNTAATNDMFVGFDYVEDAAPSNWMHEM ATQTTPHDNDAGEMLAMDQFDYIESVDPVVVVEMSLPTSETTAKSTDREEDDLLAMYD VVEAVDDPQLAADAFFENWEVQYQNLLAGFDHVEAAVAPDLAAIPVRHNAMEHEDTQG AMDLLLGYDVVEVVEPHGAALDDASTADGLVEGTSDVALASEAFVQVRDDSEDQRDPI QHHVPSDALNNEHLVTDVCDAVGWAEELPQGDGPFLPSSKDLLANFDYAEDAIPPAAT RQVQLPQLASMNLLASFDLIEVALVPPTPEVSTAQRGVSTARSIHKKHEPPADTQVDT VTDLVWKDMLDDVLTKTAAFSSRENAIDSTHSHQVMSADISSSSSLPPATPVSVLATT TTEPSSKALVDQVTDSLMDELVQESLALGTWDKGTPALPACASSQPHKQPRQTVATAP TDAAALRNVTYFPDQYSALIQSRQPYDWTLVDHELLRMMEDASASNNVDASQPPISLA RPPSVSDSAMHTRRRLLNEWTTINKTSSQNRPILPPPPMPLPAGPLSIKELVVATQAI TTKSVSTVVHSLVKDAVGQVSNGQLADALLNSLLDDTVAEVSSITTWT H257_13047 MPCLAGFGMARNGSDLYEEANKYSPILVRGFSSGNVSVVDKQSW LEVCDTKHRYGANLQAYYKAWKQLTTRPGFWEWLSDESVEVEGVSRTKLERETVLYYD RAEREQFALDIRPDGLLVTRWDQLPITTGDDGWIFVLRDGVLYGSEKVTNHSPRIHHT SLVGGECVQAAGMIVVVDGVLRVMYPHSGHYRPSEHEVLVLLRFLQSHGISLQHMQVD VQRIQKRSRDGADGQKLKKVHNAYFWSGDHVLNFLQVKEQACEANLFHNIERCCDHDR RSTPTGDAST H257_13048 MEKAWSRKEKARVQKHLQTLCKNGPVDDLTALLSSLSEDKVTTL LQAPSGGSDHLSCLHLAAAANQPSVVEYLLTKHAFLFPDVRKDYARTPLHEASLHGHA AVVAVLLRHDALVGAHTTRGRTPLMYAARGGHTCVMNLLLNAGAHVNDQSETGLTALY EAAKHGRVGAIDILLAHPHVDINLGSHTKHTPLHIAIGEGHLDAAERLIQGGADTTVQ DGMGVTLWHEAAGVEGVAAMDLLVRYHISLHDDHVDVVLARHPFHYAAVEGHAAFCAA LLAANMVDVNLQDVDGCTGLYYASANGHANVLKVLLEANADVNLASVRRTPLHCAVMW ERSECVRLLLAHGASTTALDKDNRTAEDMAQAFPEVAALFRPTQP H257_13049 MPQILMTARRRGRHCPHEIAKQAKKYSSVLVRGFSAGNISVVDK RSWLEVCDQKHRYGANLRAYYKEWKRYDGPKPGFWEWLDDESIEVEGVPRTKLESETV LYCDPAERQRFELDIRHGMVIRKSSQEIVDTGDEGWIFVLRDGILYGSEKVTTQVPRI HHTSLVGGECVQTAGMMVVSKGTLRIIYPHSGHYRPSENELLVLMRFLAKHGIAHREV LVDVQRMQKVARESVNGVKTKKIDSAHFWNGQRVLNFLELKDLAWRLDLFHDLETEVA RWQSRVQSYSGASPSILRTASSGDDTDVDMDAVQYDGPLYF H257_13050 MSLAFLAKKSWHTSNLSNVEKVWKAEQKAALEDKKLAEWKKNVE EERQLKELRELQAKASGTKANTTERVDWMYEGPMAASQREKTAEEYLLGKEYEEKPEE SDLKKLASQPGSLYMASGAGTASDSFSRLNEDPMMFIKKQQKATQLSIMKNPVKMKRI KDRVEQELKERKAEKRAKKEAKKAKKASKKDKKLRDKTDDDESLRRRSHRRSSSPEDE RSPRRRRDDHERSRHPRRDDDNVPRRGRSSSPSSRRRHRELSRSRDRSRSPRRSSRPR PHDASSPRQDRPAPLKGYGLLGGENARKCRDIDTSSLGPSRKFLNLAMEKREREEVEK AERFKRARAATDEATKEDKEARLQAMLEDAQRRERALDARLRKSDKHQPNEGDGEASA TQQNPSFLKDLHEAAYVHSKDSMEERLARNKHYIQRNADSKNFMQR H257_13051 MPPVAAALPAAIFGWYASSAMSGVTSKQILGEYPHAFILSACQF TIAFGCHAWYTTLLHPTSVFQRQENPRAHTLLVLSALAYSIGVCSFNAGFWAMHVSIN ETLRALEPLVSVVLASTCLRDPQLSRLRLLALVPIVTGVYLSAISNGAFSIVGMIITM VANIAFPLRAALVKRLQPFMSLHVMFYSTLYYAMLLQWLLAGLWSIFGSQPASSRSIT SANFKELVFALVNGAFFYLYHWCSFVVLSSTDMVSHAVWNALRRVTTILFSVWYFGLA LHRMNALGMVVACTGALVYAKTLRWERQTSQLLGSLLKETHQIV H257_13051 MRSSSLHVSSLSLSDVMHGVCSFNAGFWAMHVSINETLRALEPL VSVVLASTCLRDPQLSRLRLLALVPIVTGVYLSAISNGAFSIVGMIITMVANIAFPLR AALVKRLQPFMSLHVMFYSTLYYAMLLQWLLAGLWSIFGSQPASSRSITSANFKELVF ALVNGAFFYLYHWCSFVVLSSTDMVSHAVWNALRRVTTILFSVWYFGLALHRMNALGM VVACTGALVYAKTLRWERQTSQLLGSLLKETHQIV H257_13051 MRSSSLHVSSLSLSDVMHGVCSFNAGFWAMHVSINETLRALEPL VSVVLASTCLRDPQLSRLRLLALVPIVTGVYLSAISNGAFSIVGMIITMVANIAFPLR AALVKRLQPFMSLHVMFYSTLYYAMLLQLACGASLAANQPVLDQSPAPTSRNLSSLWS MAPSFTCIIGAHSWCCRQRTWFRTPFGTPCAESRPFCSPCGTLAWPCIA H257_13051 MTPCRLRLLALVPIVTGVYLSAISNGAFSIVGMIITMVANIAFP LRAALVKRLQPFMSLHVMFYSTLYYAMLLQWLLAGLWSIFGSQPASSRSITSANFKEL VFALVNGAFFYLYHWCSFVVLSSTDMVSHAVWNALRRVTTILFSVWYFGLALHRMNAL GMVVACTGALVYAKTLRWERQTSQLLGSLLKETHQIV H257_13051 MTPCRLRLLALVPIVTGVYLSAISNGAFSIVGMIITMVANIAFP LRAALVKRLQPFMSLHVMFYSTLYYAMLLQWLLAGLWSIFGSQPASSRSITSANFKEL VFALVNGAFFYLYHWCSFVVLSSTDMVSHAVWNALRRVTTILFSVWYFGLALHRMNAL GMVVACTGALVYAKTLRWERQTSQLLGSLLKETHQIV H257_13052 MKTKAMNYETETIHHHNETLGDLNAAHACPSGRMKMEELFRQWL SLDTTKDMICTLVDDVKNGREVVVPTPGGVSILGSVSPSNSSLADPCRSPKRPPNHTP THVQHIRKKSHGNSDEMHVELLQPLHLSVTSPEDLSDDTSSRIPKFYFPKEGGRGRGR AYSRDQLNRKERDIDERFQLAGAGPEGLNVDSFVSITKDLCGFPSFFNLPLFQRIRCV GGSPSAEFDPATTFVTKEMFQTFWTVEIEPYDNVERFFRLVKQPAHDYIEHSDFAPFL HELLKYHPGLEFLSGTPEFQEKYAATVAVRIFYMVDKDSSGRITLRKLRRSNLVHAFN IVDEEEDINKVNAYFSYEHFYVLYCKFWELDTDHDFLLSRDDLMRYGGHALTRVIVDR IFDAGRRPFARIQSLSVEDKTKMSYEDFIYFMLSEEDKGNPVSLRYWFELIDLDDDHV IRADEMRMYYNHQIHRMECLGQEVVPFEDIMCQMSDLLRPAVEGDFKFPDFVRPEKIR LSGVFFNVLFNLSKFIEFEGRDPFLLRQQMAEPELTDWDRFARAEYARLAMEEEGREN ENTMEIDTMDGWYDSQDDVDVMEATDAAAATMTADTSSNDTSGNASRTSAEAPF H257_13053 MGGSSSPLPPTAATAPVHLGSKSDFDDIEGMIERNKCAVEYYKL EECLGEYDRDWTKCQDIVRLLRVCNAAKNKGQ H257_13054 MQRRDTLGKKDSHDGSPTIRRVSTVVRTVSAVNRFNVLLKKRIK RRAGWTRKQATLDDVTRHCQTHMERLGSLPPMSAEIKLDSIEKAVKANLTFKGEWTTF YWSKFFFRSPIVVHMISDLFWWASLEFFNQSDGNEPLPADCYAHVAQAYVEHQVAMRY GKLLTKVLYTTLPTGAADEFLDYFPYCVSRTVYKAMQKAYPDFIVQMRHSCSRRMIDA ISEWTTGVKTKCASWSAWRADIQNRKLRHGPKPNLIVRDVLDEFTMQEEEIPSDDSND ADFLSEDEASNGMAAYDMNDDADSSEEMVHQRLQLPPASAPGRSKVSLKFSPSVDKIM HMYNYTGCQGRKLGYTMTVSDGSCSTVHQHIQQHESYLQHSAELRSSRSFGTLSSIAS VTLDKELPSKGDHPGRSRLGPCEFEIKNPVAEARERRLGEAKTRQHEHEHEYVGDTIL YRPSAAALGQRLGQQLKLGNHRRRGPPQPSLLQPMKQPHDETKPLRKDS H257_13054 MQRRDTLGKKDSHDGSPTIRRVSTVVRTVSAVNRFNVLLKKRIK RRAGWTRKQATLDDVTRHCQTHMERLGSLPPMSAEIKLDSIEKAVKANLTFKGEWTTF YWSKFFFRSPIVVHMISDLFWWASLEFFNQSDGNEPLPADCYAHVAQAYVEHQVAMRY GKLLTKVLYTTLPTGAADEFLDYFPYCVSRTVYKAMQKAYPDFIVQMRHSCSRRMIDA ISEWTTGVKTKCASWSAWRADIQNRKLRHGPKPNLIVRDVLDEFTMQEEEIPSDDSND ADFLSEDEASNGMAAYDMNDDADSSEEMVHQRLQLPPASAPGRSKVSLKFSPSVDKIM HMYNYTGCQGRKLGYTMTVSDGSCSTVHQHIQQHESYLQHSAELRSSRSFGTLSSIAS VTLDKELPSKGDHPGRSRLGPCEFEIKNPVAEARERRLGEAKTRQHEVQIDP H257_13055 MMLQGDDAAVPLVWSDTSVPTTPALLDEVHDVGDQDEVALVSPT SSEHTDHSVVDCESTADQSPSNEVSVTVDVASLEKDASPSVDAIDPSVSPQSVPIADA IVIGGAVDVSLVVENVFVLSAPLDDVDDTSPLLGATADVAAIVPPPAIPVQVAANGDR LHFSRSQTDIRISKFSAMPSMQKSQTFRLAPRPKSADKSHRGELQRFLSTPFHDDGDY GSTATSPSIGGGSSAQPLLGEDKSGTSTNLPPKQPSARRSVKAGRRDRGMDDTKWDFV LVFPNPEFEKDKKDKQVKYPFIPTMNDMLTKLRQAGLDTQLSKSTNLDVDVPKDQFIP PFVYCKLSASTERLKEEAARVHMSIGLVENKLERMAKQGEVRFHIENPEDRAAEIKRQ GHEPLPPEKVSKVARRPYKKIQFQAGRELDVFNTFDMCELENMDLEASDVQSPTTSSS SSSRGCTSSSKTAQEAPASLLSAIFAMFKRFRYKPYQYIHMTYTPQENVQNLYGTPLF CSIKRIRLIESIVRSATGAGLDLDMLVQFRAISSFYPLHDDEYCRDSQSTWSTSLWLT HQPIEATRDYFGGQAALYLAYVAHITRWLLFPSIFSISLYFMSERYLVWVFGFVMVLW ATLFLKMWKRKLAVLGMKWGLTDFHAQDHDRPEFDESINRHMPSAHFGSMIFFRRVFC FVITVVCLLLVLATKASLFYVRWISKATPERFDFAMPLLFDNQTISLSYGGQLKIVAV VNVLAICVWSNLFARVNSFLTDIEVHHTETSAQQSFIFKGVVFELVNNFAGIMYIAFV KPHLEGIDGDFAGPDVEAVEELSEYMLYIYGCQLLFHILVHVIFPWIRSLCCMPRGPN VPRRNKKANRPRLQTSLSLDDKSVSRDIEAAAVLPPLVQPKLLPVEVQHQLKEYGWKG LFQDYIVMVMQFGYATLFVVSCPYLPLLAFLYNLVSIRAHSLSLVTVYRRLAPRSSQH IRLWAAFLEVFCVLAVVTNSWSVVAKAEMAARTLAYLHLDNDYYVLVRSLCIGGLVLG LYSMGKVLGFFINDIPTRVQIQLERQEYYISKVLHLGYQWDKTMHSILFAKSQEELEA DEGYGWDYAIVFPNPEVRRPPNLHPLTGKVEQVASMRDMILKLHKAGLQVQFFESTAK VSSFIPSMVLCKVRASEQRLEAEAHRVKMPLRLNPDEMAFQATQGAVTTVWQRVRKHV LAIEAELAVHRLDTLLVEIKALTEKADSDDVVDASAACVVTWDQLLTQLYLVLDDFIK QDVEIKAMYKALGVDPQRHSSMIKLTTLSTDMIEKLIIHHDEDESLVELLLNAPRLLD DISAGLQQAGKDIGVQISLLPILLPSLAKRRKANSPMLPEHDLQKLMEALSQGYAKMI PLLIKMGHVLALTLPLPDLKAIEALLEKRDVAGLRDLGEPNEWLRTELHLMHLMIQDV SLELPQLRQRTLIMDTPPLSVAATIQLFLEAVIANPMIDISPFYLQSPDPKYKGLTAK FRYAPYEHIYMAYQDKKELQHWYNKTASLHGPMQLFNSTERLSLIESIITNNEVGAGL HLDKLILSGAIKGYFPLHDDHMKADLWKKWKWSLHQPIEEIRAYFGVKIGLYFAYLGH YTSWLMLSAVVGLGVFATQMLQKRYFPPEIAEGFNWVKVLAVPIYGVFMVVWATLFLK HWIRKQWVFSMRWGMTDFHEEEQVRPQFQGMLMRDPVTGARMRYFSDKQRRWRLMFSW FVLAILICVVLVFVAGIFVLRYEFKHSVFIQVPYFGNIGTQLASLANVAQIFMMSQVY NYMCNSLNDFENHRTDSDYENHFVAKAIVFQFVNNFALLFYVAFLKKILEHECVDNNC MTELEVSLTYVYASQLVIGNCQEVIMPLFWANVEYFRHEWVKKSDDHEVVSAVETQFF MPEYGWAGTFWDYLEMIIQFGYSTFFVLACPLAPVFSFCNNIFEIRIDGSKISKFCRR PRPSGASTIGHWVKVLDVFGIITIVTNSWIIVTTSKFGDLILLMWPKFDQYFSTMGLF FTLTAVLLAVKGLINSFIPDMPRHVRAQLKRQRFVVSKILSTHDTD H257_13056 MSVKQKLAYQKEKIFANRDYGSMRLDINDPSVHWDYTIAFKTTN AEGKQPPISMEDILRRLHAQGLQFRLYYSSTRDIVFCEIRCHYQRLKIEADRIDMPVL LEPSELQATAERGFEDLGINPFPISDVKKIYDFAPYEYIHASFEDDDDKQYLFAKRNL DGTVFSPVKRMKLIESIIISKECCDLDLDKLILNGSILACYPLHNDEEVKILARDWIP AFRMPWNQPFDNIREYFGERIAFYFVYLGHYTTFMLYAAIFGVLVFTAQLFIKDTRTT LASGEVLVVSHIPYLVPAFGLFMCIWSTLFLETWKREQNVWAMKWGMSDLADDENTRP QFTGEPFASPINGVTSLYFPPTKKYTRVAMSWSVLLLLISIVFLLVGGIFRLRYVWTH DAALKLPGVLSHIPVGSVLASVANVVQITIMGKIYYKTFYSFNEYENHETDSQYEASL VVKTFVFNFVNNFAALFYTAFLKESFEGECKDSDCLGELAYCLALVFGIQLVVGNVRE ILLPRIFAWLNKRSIVKGHAVTEALSDAEKQFYLSTYEWSGTFDDYTEMITQFGYCSL FVVSFPLTPVLALVNNYIEIRVDGFRLLFENRRSRPRSAGSIGMWLQIVEWCTTIAIF TNAYVVVWTSNTFDFLKDTALFTDPDVLDEYAKAQQAIAIDEYAKVQKLIAFVTFVAV MMVIRFFLSQVIPDVPASVSAQLKRQQFFNNKAIFRLPDDVVSKFVANKEDTFDMTIN DN H257_13057 MPHREEQVPLVVNQSSTVSKAPNEGYGSGPPDSLAVKITDTATL SPSLHVSYDYVLAFPHDAPFNASISKPDIVHRLHLAGLTTEEVVSKSAVFCKVRANVT RLQKEAARVNLPVLVDDVVLAEVAKTGLQAFHIESFEVENPPRQWLDLFRRPIYPPYS HIYMPYAKESDQSIYASHDGAFFSSIHRMQLIESILTNVNGGGAGLDLDLLTSEGVVI AAYPLHCDSQRLTLQKTWMAWCYPPWQQPLQGIRDYFGPKVALYFAFLGHFTTYVLWA ALVGLAITTLQIAPSKVSMYVEASALTYVLPIFGVAMMLWACFFLKHWKRRNAVLALE WGMSDLDKHTHDMVLFRNRPNSRVDAVLRVGGSWLVLVVLMEAIVLAVGAVARLYVSP TWGYAQGNMTLLAGLIVAQIVALSTVFQWLCVKLNEYENHPTASAYERSFVLKLVLFE GVNHFAALVYFAFYVPMNPPDFAWLVYKVYGAYIVVYHCQRVLSRRRNNQHHPRNESG DDTDDDMEGQFALAEYGWKDSMLDHLRLVVHFAFVTVFAVLCPLNPFLSLVHYSLELR FNGAKLLTSCRRPRPRGAENLGIWYVVLHWVLNVAVVTNAWLLVSLYPTQPPTTPAPP GPASLAAISSQHVVLSLILCLVVCRMLVIVGYQDTPSRIRLQLERQAYYVAKLYHKAF GWPGHMAANTSTLAFEYCLAFPNPEALPKKSHSRPTSPKPALSTVLDALKKANLAFNV YPSAAKSSTYLSSFIFCEVRATESQLQQEAVRMQLPMLLDEIMLKELAHEGVFHALHK GMVDVVDRTRIEATRVNLLLLLENMLTQRVFHSNDYTNVEMADKLEKLLAALHNREPR THVSLAHVLANFKELIEVDIEGEQFRQLDVPQTLLNRIETYLSTGDAQPTTPTSDVHP NNALSYANKNFDKLLSQMVASLHERPLNTLYMAQKTWKYGLEKVLWCIEELLKHSDVS VFELSSSSPLKWLSMQQYLDSFDSEVVYPHLNSLLVECMSLLETPGASPYKAYQEFRA KHPHSKVLELVTSLEASIIANPDVDIAPFYLGNPDPKYNLLAKRFKYRPYQYLHMPYR RQLGKWQEVYATPSSSSCFSPVQRVQILDSLLQRHIHTDALLAQRVLESAFPLHDKTE HDLLKNAWVPWTLSQPLDRIHRYFGSNVAMYFAHLGHATKWLTFAMLAGVLFFVWQQS KYSVGNAAQVWVVPGFGVLMVLWATLWLKHWTRVTSILKLQWGLYSTASSPQPQQVRA VYTGDFIRNPITGQKVKYFQRNARVVRWVVGWGVLLLVAAVALSVQALILYVQATTSR GTSVGIAFALGLCIVGMKHMFNAAIVLLIEYENHRTEVTYQGAYVLKAGVFHAFNSYA SLVYMLSQTQLRHINSLYVIYGMEVAVTHVIRVVRLVTQPAAMATGEQQFYQGDANWQ ASMENHIDLVIRFGYMLGGVVVCPLAPAVSFLDHIMSLRLQATQLMSITRRPRPAVII STWYSTMMSIVLVGAIVSNSYLVVFVVETFGPASIVSTFDGFCGVLLAMVAARSVLNS VFNDVPTRVNSQLERQAFLVESILNEQPPVPLATMATHSAPMRPTSVSLQSDYSMLSQ DDDFDDEVEAEGFV H257_13058 MEAISEDVVDETHGGVQASSSSAASIEVTTPRGTKRSASHRRVR SIVVGGPIELNKIKKEQEVETLHSELEKQHKALEVSLEETQLAARIGQSLLLQNQQLD YEMESKLTALKQRSDDAEGQVKILEQKLQDMMLLHREMDINHTKLVRENDLLVYELSQ AKITIKPLKDELVKTKDELNTAQLSALRSAADATELQTRHDTLKQRYIMLESENESLE AQLIDLRGNSHENDLRIDQLVEALANTTQNYDQVQSQFDFLSDKHAETSQLLQALTIQ HEAVREEASTAIQQVAVLTADVESISELLEHERQVAQDLLLKHNELVESIANGNPSPL NPNSSHRRQSSEFSVSNPTSPLYARSDSYHQSTTLPSSFLRQLHQSMDGQDGGNPTGF DCTTMLHQESAQEKRRAEVLKRGSLFHELSRELEKEFMKAKQAPLPLPPPPSSCSNCT VLMEREAALAQQVASMTLEMQHLRETAGRASPVKPVRRPSASCTTCSLLLHREAEQAQ HMATLHQEIHRLNALVASKPEPLPEENLLKEFFVLTAAAIKIAGAGIQNDRCNIANEV LFDQAMAEDMTFDKFHDWIFARLESPP H257_13058 MEAISEDVVDETHGGVQASSSSAASIEVTTPRGTKRSASHRRVR SIVVGGPIELNKIKKEQEVETLHSELEKQHKALEVSLEETQLAARIGQSLLLQNQQLD YEMESKLTALKQRSDDAEGQVKILEQKLQDMMLLHREMDINHTKLVRENDLLVYELSQ AKITIKPLKDELVKTKDELNTAQLSALRSAADATELQTRHDTLKQRYIMLESENESLE AQLIDLRGNSHENDLRIDQLVEALANTTQNYDQVQSQFDFLSDKHAETSQLLQALTIQ HEAVREEASTAIQQVAVLTADVESISELLEHERQVAQDLLLKHNELVESIANGNPSPL NPNSSHRRQSSEFSVSNPTSPLYARSDSYHQSTTLPSSFLRQLHQSMDGQDGGNPTGF DCTTMLHQESAQEKRRAEVLKRGSLFHELSRELEKEFMKAKQAPLPLPPPPSSCSNCT VLMEREAALAQQVASMTLEMQHLREVLPSKRYWFEWTWAFADCWTRVTRQARATTKCI VHHMLTAPAPGGRTGATHGDIAPGDPSIERSGRVQTRAIARRKLAQRILCVDGGRHQN CRRGYPERPVQHCERGFV H257_13059 MRFVLVMSMQKTAAMAFASLVTAASRQATAPGTTFHSKLYVPSP RTVHSFSVYEVPSIARSRDWLTMVLSIVAIPSGCRTAPLPLSEYTMPWLSSASARLEA SPAKNCLTLDANKRHWGSHVP H257_13060 MLLQVVRRAAKSARLSSSAARSAIAVHTAATVEQQANPLPWMGM ALAASAAASIALCDAPPLSQIDDIQTPDERPSVPLDRVLNGHLKTPVSGVQSYNAASY KANLPIEDRFVVHVEDGAVYAAVLDGHGGWQVSQYAHDHLVKNAQAELAKLPAKSTSK VATALEQAFLRTDYDIQELVRPAFEIGFIQVNRVGACSQLAYIKDGLLIVANAGDCRA VLGSVEDGSVVATPLSNDHNAKLAVEKTRLSAAHPNEANIVVCKHPESCYVKGGLQPT RALGDFAFKHASFNGPADPTLRANGRHIAEPYTPPYVFALPETISHVVTATDKFLILG SDGVWDFLTNQEAADVVHACVARGEADLASRAIVEAVLTKAAESEKLTLSELLDLEPG KKRRHIHDDTTVVVLVFE H257_13060 MLLQVVRRAAKSARLSSSAARSAIAVHTAATVEQQANPLPWMGM ALAASAAASIALCDAPPLSQIDDIQTPDERPSVPLDRVLNGHLKTPVSGVQSYNAASY KANLPIEDRFVVHVEDGAVYAAVLDGHGGWQVSQYAHDHLVKNAQAELAKLPAKSTSK VATALEQAFLRTDYDIQELVRPAFEIGFIQVNRVGACSQLAYIKDGLLIVANAGDCRA VLGSVEDGSVVATPLSNDHNAKLAVEKTRLSAAHPNEANIVVCKHPESCYVKGGLQPT RALGDFAFKHASFNGPADPTLRANGRHIAEPYTPPYVFALPETISHVVTATDKFLILG TLCTSNHGIVLADMNTFCQQVATGCGTS H257_13061 MWSPKCMVDGCGVVVWYTYFKVHVTKDHPEIPQHRNIRKQYGRQ VEANDQVLEDVGVADLHPLPLLKSVLKYRLEGETTVCLLLALCRKSGSDPKAVLQSMI NEHEADEDYAFSPPMTPVSLPSSTPVPPFAAAVASSIPSTPVVVAPLPPSKGADPREL AD H257_13062 MGVQNSSISFATAPTQSPRSFRLLRSRWDFLGHILQYPVNPIGY VQGGPSGVQVHAPSFPLLSIGLDAPSRRCLAPSTLTSIPSSAPAPHVRHRPAGPSLHH RTAADKIRWIDLVRGFLTD H257_13063 MDDGRASWDDEKDFTWMKEMIHQVHVLGKRANSGFKREDWHAAT TKLNSDHAVIYTKEQGKSRSAEMKKQYAQVSQITKTSGIGFEAATCRFICLDGSWAHA AADGPST H257_13064 MKIAVVWAILLVWTSCTEATCDADAQCTMDERSQLNFDIVESVV LASSVSRVHRYTAASYAANDPNEDRYVVQVDSDAVFASVLDGHGGWQVAEYVNKHLVN DTAALLRDVASNDPTYIASLLSSAFVATDDAMRTLLLPAFQLGFGEVNRVGACSMLAY AKEDTLVVANAGDVRAVLASIDASGGLVATPLSTDHNAKHASEQARLVADHPNESNLV MCQSREACYVKGGLQPTRALGDFAFKYEEFNTFPLGPKHKGGGRFIPQPYTPPYVLAV PEIQVHTLTDADHFLILGSDGLWDDLSNEEAVKIVAHFASRGLHNLAAQALIDQVIAK TADGFQVRAADIAALIPGRKRRQVHDDTTVVVLFFQPSSACSVRTT H257_13065 MVSTFTDLPAKTPLAGFVHPTSNEVDVYVADWLVDVCQKHVTGL QVALEEIHRNVAVRSDKLRQKARGRRDRKSQVKFAGFSVGDFVLVGLVVNRPTKLALY WRGPCQATRVITDHVMETQLLVSPYEVTAHPACRLKMYYEGDREVTEVLEAQIAFGDG RFHVERLDKAPYVFRKWAEANKEDPAVAALIKTLDFP H257_13066 MSNVSNERQFCRMKNILYSTWQDWRLREAKILSSTRHGRHATLG GQGHKELIPFTDDIVVYMRKRPEEEKYVRVFHLMQWVKRNHMSWLTEYFSDKNSEVVA CATFRRLLLRFAERHRFRLREPCISKVSQQVLHEVWLGYAATLWNKYEPEVH H257_13067 MPPTLDDILAALKRIENGEKPAAVARSSPLKSSTIYKYQKMEAQ TGSITIKKRRAKPFMPPDMEDDLVSWIEAMQRSGWPVERYEVILKASHIMSYHVGVAC SLGRGWFARFESRHHDLSARVAQKLSHARNCVTKEGIVMYFYDLVKGCLGFKCTAGDV YNSDSYHLTIVAAVAADGTPVPPAFIVPSKSCARGVLDDCPVPGALVSAAPKGFMNSD LFDSWLESFGEWKLRERAARPALLVLDNCSSHLSENSLTICEAYGIYLARLPPNATHL LQPLDVALFRTFKRTIARAVTQRLQSLNVTTLPRQAAIHIAGEAFNAVFPAVNGVQEQ NNGGCTMTPTSCVIYAHVIDTCGMQSTLSAFYGNLTMTARETMRKKIYSWLANRNHIE TMASSARTASKRCWRPLGAGTTLTAAAEDQLAQWVLDMRNDGVPVTQAMLRVMALEAA IDLGIEDHEFLAGWHWIHGFKRRHGLSLQSRTRIGQDSPDDGVAALEAFSARVRALVL EHDINLVYNADQTGVNYEYLPTKTLNTAGDMTVWVKCSGKTKERVTAMLLADSNGTKL PLFLMLRTAKSKVEAVVKENLTQRHGFGKTVWQSVEPTQGQNNSQIYGNPTAWWNSPI SLAILKFHFGQRADRATKKVLLLWDDFSVHFMDDVVAYAKEINVLLERIPPRYTWICQ PADVAWNRPLNTTIVNGFRKCCLVDGEPVEEVVSGGVVDDTVLSELMAVSSIEETIDP DGDIFNGDDSVDDGTDAFE H257_13068 MKIAAVVAILLAWTSCTEPSCFANGQSSTNEPSPLNFDVVENFV LASPVARVHRYTVASYAANDPNEDRYVVQVNSDAVFASVLDGHGGWQVAEYVKKHLVD NTASLLHDAAFNNPPASNDPTHIESLLSNAFVDTDDALRTLLLLSFQVGFGLVNRVGA CTMLAYAKGDTLVVANAGDVRAVLASNDASRGLVATPLSTDHNAKHVSEQNRLTENHP NETDVFKCKKSCRVKGILQPTRALGDFAFKYEEFNTFPGGPKHRGGGDFIPDLLTPPY VLAVPETQVHTLTDADEFLILGSDGLWGKLSNEEAVKIVADYASRGEHDLAAKALVNQ VINTTAKNVNVDWNDIANLKPGKNRRKFHDDTAVVVLFFKS H257_13068 MKIAAVVAILLAWTSCTEPSCFANGQSSTNEPSPLNFDVVENFV LASPVARVHRYTVASYAANDPNEDRYVVQVNSDAVFASVLDGHGGWQVAEYVKKHLVD NTASLLHDAAFNNPPASNDPTHIESLLSNAFVDTDDALRTLLLLSFQVGFGLVNRVGA CTMLAYAKGDTLVVANAGDVRAVLASNDASRGLVATPLSTDHNAKHVSEQNRLTENHP NETDVFKCKKSCRVKGILQPTRALGDFAFKYEEFNTFPGGPKHRGGGDFIPDLLTPPK RWAMG H257_13069 MTLQFGAHTRHRLQGLITSLEQYCIKDTWIAEQLPSDCFTFYVS ALQCLQFAVPNRAQQADYYIPSDVFYPLFVKKAVMALRTIASDANIDEAKWYATLREC RVLCPRKTVLGSPIYVVGLGAFMDLVLDIWLSNRRMMEDEMRRMFVQFDTDQSKSLSY DEFRAFIVHCHKTLQESASTSQSFCQRVVDEKNVVKLYGKYLMQSDQSEINIDSFVLG GMENPLTLAMFGFAVPPTQTTAASIMAMQLIMRAMRAYIKRLRSVTPVPRSPNS H257_13070 MMFLCAVSRPRHDTKHNCMWDGKVGLWPFVETQLAKRLSKKRER GTPVTVPMILTKPVYRRFLVDKVIPSIQSKLSGRRSDTIFVQHDNARLYVAVNDAEVM AAGKKNDCDIQLKAQPELTVDQRSAIPHLKKDIQMKAGVRELRPSCDEERTSNVSMIL WNLWVLVFVSLDRKHFRELMSSWNFLKPL H257_13071 MKIAAVVAIFLVWTSCTEPSCFANGQSSTDEPIPLNFDAVERFV MASPVARVHRYDAASYAAKDPNEDRYVVRVDFDAVFASVLDGHRGWQVAEYVNQHLID NAAALLGNVSSNNFAHIKSSLSRAFVATDDALRGRLLPTFQLGFGLVNRPGACTMLSY AKGDTLVVANAGDVRAVLASNNTSRGLVATPLSTDHNAKHASEQARLFKEHPNETDVV VCHSPDACYVKDGLQPTRGLGDFVYKYEEFNKFPLGPKYKGDGRFIPQPYTPPYILAV PEIQAVEIVADYVSRGEHELAAQALVNQVINTTAKNVNVDWNDIAYLKPGKERRRVHD DITVVVLFFQP H257_13072 MPLTVIRPFLWGLYHAAAKQRIDAYDFVEFVVQHMTLQFGAHTR HRLQGLITALEQYCIKDTWIAEQLPSDCFTFYVSALQCLQFAVPNRAQQADYYIPSDV FYPLYVKKAVMALRTIASDANIDEAKWYATLRECRVLCPRKTVLGSPIYVVGLGAFMD LVLDIWLSNRRMMEDEMRRMFVQFDTDQSKSLSYDEFRAFIVHCHKTLQESASTSQSF CQRVVDEKNVVKLYGKYLMQSDQSEINIDSFVLGGMENPLTLAMFGFAVPPTQTTAAS IMAMQLIMRAMRAYIKRLRSVTPVPRSPNS H257_13073 MYLDWKSNAAVQTIVFQHVLPRVEHFFPNNIIQIESTALTRYAK GQSYSWHVDAYDEHTLHARAITFLLYLNDVDVGGETVFAHVAQNGSTVQAKESLGRAC AATSSHVKVTPRAGKSLVFQNVPGRMSTHGSCVVGSEAKWIMQFWISATPTMQLAFQP FHATGVKHFTMDNDAIKFIDVPKAVTRTPVRKKGAPKILQRKSIHLGWEDDDVGQDGP RGDSTVNKEMFVLEFYNPQLQSPHGRRPTTTHAMTRANYVLDRVDSMRQSRSVLTFVD PLEDATRRDLKRSNLLHPVAVPLVMSDAATPLHVGAAAKHQQQPQRCPQCNSNHVIMV PVCKYCKTLDVLTQDHTALKRHAYALVEKHPSIAPTQLIDEVVSFLHEYHQHRAPPEK PQATIDPSSRPTTSPSNPPIATTMAVAVAPPIISTDEVNRLMHTLQHNQVPSTREALL ELKHARSKAAAEVKPPQLSQTTKQTIVHYILHSFSQPHGEPPDWTKEQRWDHQDLCLE MLKTLSMHDVDDIVRAYNAWKNVNDITLRQDYDATSQTRPLMLDQCDQRSSTQKLKDA EVDEADQVVPDVRTFQLQRRKAIRTAIPPEKARQLIARRATVRPKPTGTPAAATIPPL LQHRHATPMMAAESTDHKEDESEGLSLLHERHKAEADEKAKQILLNAERHKTISSDGM FSLDNTSFLAASLAQQDLVVVLQFDRQAQEMFLLGDEADREALLQLSPLGRVEVVGEW IAKSVDNRNILVAATRAKGHLLTSDERRLLLAMEEEDCRYHLHMWKHWQQQDTSHVPT PNLAFLQLNGTGRRRPREEYFRVPRYWSKYIKVRDTTIQPMPLTVIRPFLWGLYHAAA KQRIDAYDFVEFVVQHMTLQFGAHTRHRLQGLITALEQYCIKDTWVFAFCRFCGIAEQ LPSDCFTFYVSALQCLQFAVPNRAQQADYYIPSDVFYPLFVKKAVMALRTIASDANID EAKWYATLRECRVLCPRKTVLGSPIYVVGLGAFMDLVLDIWLSNRRMMEDEMRRMFVQ FDTDQSKSLSYDEFRAFIVHCHKTLQESASTSQSFCQRVVDEKNVVKLYGKCLMQSDQ SEINIDSFVLGGMENPLTLAMFGFAVPPTQTTAASIMAMQLIMRAMRAYIKRLRSVTP VPRSPNS H257_13074 MPKHKLKGRSKNVEAIVFTLDDVLYDHTGTLSGLAVERAFGQLV EEGTFESLDQAHESLRRFRHAFGYRKNFALFVDDLVSGGGLTSDAAQRVLTEYNASAF VLGTAPHIQPFPSTIPTLEALKDQGYKLGLLCSGTPESQWEKIHTLGVAWCFDHVLIV PAGNLSGDGNLSKVMPVLKNMAKQLAVPTSKVVLVGKRVFGELKAAKQMGLITVRMMY GKYAKTMPVDDLEQPHYQMSNLDQLPAILVLAEGRRPRPHIVALGGGTGLAVLLKALR EYPADLTAIVTVFDSGRHSGALRKTLGILPPGDIRNCLVALSDSDQLLHQLMNYRFQD HYLEGASLGNLLLAALTDIHGGSFDQAVASISDILNIRGQVLPATLDQSELCAVLADG STVVSEVNVRSPDKAAPIRHVFLENASVTAYPAAIAAIEAADIILISPGGFYTSIIAT LLVPGIKEAIAKARGAVVYISNVATQTGQSDGYTLPQTLSILAEYLGDDVVDYVIANN AIPSTLPFEHGETLLLPTRDMEAAERPILVQGRAFTDMSAFDVEWKKVPMIKHNGEMV LSMLYRIIEKEMEGSMAVRTKTQYSQVTRGGGGASPQPRRTSRRRRKRVVVAGGYDDE DDEEGTIGGKGTLLTIGMMFVVAATTAAVTTAVLLRRR H257_13075 MAGKFIDAYVEGVWNVYDGDLSGYLDKKEAKVFVLGLLDELVNE GVDVPAGIDFDTAFASYDQDGNGRLSRKEARVFVEHLMEARDHAQAQSAVAASETKAF LDQYMAEIWTSYDDDASGFLERHEARAFVDKLIADMAASDLDVHGFDFDACFDAYDQD GNGRLSRTELRVFVEQLLV H257_13076 MRVLNDQYAVKQTLGSGLQGKVKLGVDLKTNENVALKLIKSAKL TQKAILNLYREIESMKRVQHPNVMRLLAVSNDVDYPKKKGGSEKVVLVVLELATGGEL FDFMMYTGSFSEEIARTYFRQMVSGLHACHSAGVYHRDIKPENLLLDKNFLLRIADFG LSALSDDTTLADDLTTQCGTRAYMSPEIIAGTPYEGAPADIWSAGVVLFILLAGFPPF QIATRQDWWFRACATQQYEAFWAAHSRTAVFSPMATDLLTRIFDVNPTTRLTLAEIWQ HPWLHEPVLSETAMTNELLARKEKVTLEKLRAQKAKEDKTAAATTSFNPFDRNTHRSI HTLASTSLKTTTSHDAMFFPAVQSCGIPAYTTLRCFASTAADLLQRLHASFDKVGLRY TWTDALASHVPGNDVKAKVHVTTAASGRVDVALLVTEAPGGLFLVEVRRRAGDLFAFR QVFDLVSADLSDVLAEDVAPPAKVLAPAPTGSDDEEAEELISDEALMI H257_13077 MASSVLLPSISSSPWGQVPASAPQRVNLRTVMDEALALRIEHED MLAIVDPTPYLVHDQQVPSGELAVDVSSDFAVALALQLEEQAAASHTVEYSVMPAAEL PQNSPVIDDSSPIHSHEVNTYEGSADEIQDELMHNARHRHKGQAKAYRKISTKPVRPV HVVVVSQNKTDDDWLEGGGLYELFFSAVDSFHDIAHEFAFNDNDSF H257_13078 MTTVLHSSPWTITPAAPFASLRDIMDETAVQANNDKLTSAAVGA ALWSNAASAPRYPTDAPDTRSDYAMALALQQEEQMSTYTIDYTRLAPAFDDSNNASDG EVQLTKHRGPLVRHRPPAITVESAEDRTPSLRTASQTNKRRVDHRKIRTKPVRHVVVV SIDKADDDWLEGGTLYELFFSAVDSFHDIAHEFAFNGNDSY H257_13079 MLRHLLVQEGVDERRIKKLEAKGIRNLKKMFQKSEWELAHIMDL SVDDLQGLLLRIAAKDSPKPSSVMDMFIKSVSFPSSLRTTLPELDNALCGGIPTATIT EIAGAAGIGKSQLCMQLAVLCALEYHDGTVLYFDSGGNFSAKRFMQLATERISPQQYS TDVLRKSKVEAIARQVQVVAVENLDRLETKVRELTESMPLIKMIIIDSLATLAKHSST DMSVATRQMLLMRVASVLKLLASTYDAYVITTNHTTTRKDQMGLYSQPALGLAWSHCI TNRVVLEKTSPSTKAMTVHKAAVAGPACIPYILTKAGVLPPEGAVGVPCVDDDEFPWD AAMMLGDFDDAQDDGFRLHPVQHASQRDSQSGGIPPDDIASQEEMVAATPSDDEQVDT KVEHLATELGQLEDSRQAARDMALSIVDDIVPASDDEEEE H257_13079 MISRDCCFALPQKTVQNLRRSWTCLSRASVSHRPFGRPCPSSTM LSVVASPPRQSQRLLGPLGLARVSCVCSWPCCAPWNTTMGQCCTLTAAATSVPRGTHL HPSMMPSLLRFMQLATERISPQQYSTDVLRKSKVEAIARQVQVVAVENLDRLETKVRE LTESMPLIKMIIIDSLATLAKHSSTDMSVATRQMLLMRVASVLKLLASTYDAYVITTN HTTTRKDQMGLYSQPALGLAWSHCITNRVVLEKTSPSTKAMTVHKAAVAGPACIPYIL TKAGVLPPEGAVGVPCVDDDEFPWDAAMMLGDFDDAQDDGFRLHPVQHASQRDSQSGG IPPDDIASQEEMVAATPSDDEQVDTKVEHLATELGQLEDSRQAARDMALSIVDDIVPA SDDEEEE H257_13080 MPLPVLHHPHPYAHVQHLVDLPESRYTPPAPPGFDEDLETFQRP SSVEYGRQLKAMLFTLDPSIIPLNHGSFGACPKPVRAVREAYMNLQEFEPVKYMDELA PRLARVARVVAAYVHAQPNQIMLVPNASSGTTCVLRSFPFPLGSTILSFNLGYPAVTQ QMKQACGGLKQHVIQVAPPFTAAKVVHAFLQALETFVNDVIGLVVVDHITSESGLVLP IQDIVGLCKARDIPVLVDGAHAIGQIPLNLGELQPDFYVSNFHKWMLAPKSAAFLYIR EPEKYTVHPTVISHGHTKGTSAAFGFVGTMDYSAYLSIPASIAFHTKMGGADLMARNH SLCVASALRLAKAWDTSLLTEEVDSMIGSICVVMLPLALFHDQTDMANELETLHLVLR QHYRIEVKTALVDSVVGVRISSQMYNDQADYNQLEVAVLDILTRDATDLAL H257_13081 MNMQQRFTTQRVLSPALYGEILLCHDNATNEQVVIKKIDQGASA SRKTVQRQRLVLEDTRFEQFVNKTLHEEGGHPNVLTMQTMFAADGYDHFVFEYCNRGA LFDVMEDATDKQLDVMHAERYFLQILTGLKFIHAAGFAHRDLSLENVLVDSSDTCKIC DFGLAVDINSRPIDRVGKPYYIAPEVFDNVAPYDPSKADVWSLGIILFLLLTGVPLVN LPSKMDESYVFLSRFGLKKLIQGWELEDFVSDDAANLLEKMLCINPNQRCTLQEVAQH PYVLRRT H257_13082 MPSRRWMLASVDDDAMTLTFEPDDDSDNDDVDGGRECLLSKVLA IVGIMGPLDVLVEMTHVTKRLHDHFMSRLRLNRQRAKFGVLSPVVFPDDM H257_13083 MSLEYLLDVAIQIEHPNFPQDYMDEDAEALTQSLSSPTDSTDSD LRRRRTLATPSDDDNDVPVKVEYTENTSMLKPTFKYRKAEAARRRRLAIDRRNERRQI VPRSTTDEGVTCSMDRNKTKNRISAASYRENRDKRVSFILNELTQLQNEFPHLQSKPW TPVKKPKSALREGESKDEYRKRTNRESAADSRFHQQQKLQHLTRELARLRQLAQHHRS HIERK H257_13084 MGGAESTPVVPEGARLKKIYLHFEEGDEANHLTLKLTIPSKWSG ATVDRLKEFFLETYNERRPENKVDPNAYHLERTENRVIRGDETIHDTIKNRDDIYVKI GPSTFKHKKDLADEERERAAPKDLVQCKNFGCRDKFNPADNHASACKHHTKPPTFHDT KKGWQCCTEKLVYDWEQFELIEPCAVGFHSTTGIAPPKEERSGFGAPVLVVPAPAPMV KSIDDYNQKNPSTVTAVPKPKPVVVRTDGKAKCVNFGCQKEYVVADNDERACPHHVGA PVFRDAGKHWSCCPKIVKYDFDEFLKIPPCVVTAHTDQRV H257_13085 MEDSPIGNDRALNSSLAATTMKNAKTDPVRIAPQPVAVDESCTS GRPNGPAPDSMAPTDVPATEKEDVDMGQTDVYLYNAPALPKHPTFKGPRRNAPDNGPY RGAKPFVMSVNVCIDPDSKHRIAEWDIGKDPCGVDPRALDTLKKRIKAAVVFVMSVHD ADSRIGNMLDGLAAAIRRDRREWVIKEESPAIVKIITAAVKPVSLHRAVTE H257_13086 MRMTAVLTARADGTKLSIMFIVKGQSGGCIESSVIPTFPAGHFY AVQDKAWMDARMWKQFLRSVLHHDIEECSVVLVDKFESHVSIKIVNEELGSHLCALPP NTTSVCQPLDVGVMAPFKRHLLPDDVKDQEYAKQVLYVHVTARSVQLLAGLATVGTLA SAPFAKQQAVSLATRVLTNNSRSVLLGLVVGPATTFRRMQDQTLEN H257_13088 MSLTEAMKQLQDGVVARARLHCHEGTLCVAQLIDELNKAWWVRE HFVAAAWLRFLFPSKASNSVRWLYQLRTVLLGTPSSTATFVSFMVSSILKASSLKAIE CMMHVIVLLQTTKLASVIFLSPKFGGRIKIYPITC H257_13088 MSLTEAMKQLQDGVVARARLHCHEGTLCVAQLIDELNKAWWVRE HFVAAAWLRFLFPSKASNSVRWLYQLRTVLLGTPSSTATFVSFMVSSILKASSLKAIE CMMHVIVLLQTTKLASVIFLSPKFGGRIKIYPITC H257_13088 MKQLQDGVVARARLHCHEGTLCVAQLIDELNKAWWVREHFVAAA WLRFLFPSKASNSVRWLYQLRTVLLGTPSSTATFVSFMVSSILKASSLKAIECMMHVI VLLQTTKLASVIFLSPKFGGRIKIYPITC H257_13089 MKSDTTSLQVDSDAVFASVLDGHGGWEVAEYVNQHLIDKAATLL GNASSNDFTHIKSSLSSAFVATDDALRALLLAVFQSGHGKANHVGACTMLAYAKGSTL VVANAGDVRAVLASNDASRGLVAKPLSTDHNAKHESEQARLTAAHPNEPDVFKCKLHR NSTRVKSCRVRGILQPTRALGDFAFKYEEFNTLHASFQNGGDGFVIPQPYTPPYILAD PETQVHTLTDADQFLILGSDGLWGSLSNEEAVEIVANYASRGVHFRAAQALVNRVIAK KVEKKNHTASPIEILWSTIKCQSKTLLRERLAAFMGPRPDGQTRDEFRMAYLEHIADE VIAGMDRR H257_13089 MLAYAKGSTLVVANAGDVRAVLASNDASRGLVAKPLSTDHNAKH ESEQARLTAAHPNEPDVFKCKLHRNSTRVKSCRVRGILQPTRALGDFAFKYEEFNTLH ASFQNGGDGFVIPQPYTPPYILADPETQVHTLTDADQFLILGSDGLWGSLSNEEAVEI VANYASRGVHFRAAQALVNRVIAKKVEKKNHTASPIEILWSTIKCQSKTLLRERLAAF MGPRPDGQTRDEFRMAYLEHIADEVIAGMDRR H257_13090 MDPNVVLDRLQRDIDDENLNMEEMSQVYHDYSQQVEDADDSPTP IMDRFYLQGGNAALATMINLTQVKFETICAIVESVLVPAWTLGEWQTVSAIKLSSSLK TV H257_13091 MQQEGQEVWGYGQGPKTVWTTEMVSTLLDKHCDDFAASFELHRS AAQLSIIWGKIALAINIRLAEQATGNEVDVLYPPYWDDMVTALGNKAGLGHIGYAFDG SGPNEQWQKQCRW H257_13092 MRGLQILTTATTVSFAAEVWNGNPEGFPAQNPPAHGTKDFDFYV FAHSWQPSFCAGTDFPGCWVPELYWESHFTIHGLWPELEDGPHPGFCTKEPLDINIVR SAIGEDTLEKYWPNVKVAANTTHYDSFWGHEWSRHGTCSGLDQVEFFQSAIDKIKVQG TPAFVTQHVGQSVSTKDVRDAFGGAGQAVLKCEHGNELSQVFTCYDKDASSNVPTTLR ACSAHVLAEDTCKSTATVVIRGFK H257_13093 MMMQVLTNRELVQSILEFQTGVFYQVRPFIQSHNRLLETLHPLA HHAKALITPAVPDMARLQLHSELRHAATYVLLRQSTLLLEAVGLFGQSFLSRIYRCRP CLVDSTVLALAIESGDRKVVVFFHDHVVKSTPDVFKIVGAMNIAAGHGQLDILRYLHE QRPDESGCTTSAMDAAAANDHFTVLKWLHVHRAEGCTFHAMDRAAQQGSLAIVQWLHE NRTEGCSTAAIDGAARAGHVDVVQWLTRHRTEGCTSAALDGAAQSGHLAMVQWLHNNR AEGCTTHAMDRACESGHLNVVTFLGDHRREGWTPYAMASAVRNGHVDVVRYLHEVKGV PCPLVEVHMAKCNRAMAEYLLQRRTLQIYRGNSSRNCTPVRVT H257_13093 MARLQLHSELRHAATYVLLRQSTLLLEAVGLFGQSFLSRIYRCR PCLVDSTVLALAIESGDRKVVVFFHDHVVKSTPDVFKIVGAMNIAAGHGQLDILRYLH EQRPDESGCTTSAMDAAAANDHFTVLKWLHVHRAEGCTFHAMDRAAQQGSLAIVQWLH ENRTEGCSTAAIDGAARAGHVDVVQWLTRHRTEGCTSAALDGAAQSGHLAMVQWLHNN RAEGCTTHAMDRACESGHLNVVTFLGDHRREGWTPYAMASAVRNGHVDVVRYLHEVKG VPCPLVEVHMAKCNRAMAEYLLQRRTLQIYRGNSSRNCTPVRVT H257_13093 MARLQLHSELRHAATYVLLRQSTLLLEAVGLFGQSFLSRIYRCR PCLVDSTVLALAIESGDRKVVVFFHDHVVKSTPDVFKIVGAMNIAAGHGQLDILRYLH EQRPDESGCTTSAMDAAAANDHFTVLKWLHVHRAEGCTFHAMDRAAQQGSLAIVQWLH ENRTEGCSTAAIDGAARAGHVDVVQWLTRHRTEGCTSAALDGAAQSGHLAMVQWLHNN RAEGCTTHAMDRACESGHLNVVTFLGDHRREGWTPYAMASAVRNGHVDVVRYLHEVKG VPCPLVEVHMAKCNRAMAEYLLQRRTLQIYRGNSSRNCTPVRVT H257_13094 MTDVLTSRELLLTILEFQPGVCHQLKPFLDEYNQVAISLLSSTR HAELLFAHNIALPQVTMLRLHSEIRQAKAVIALRQSRLLLQAFDLHGATFYDRLRRCR PEMLDQSFLYIAVDTGILGIVDYYHRRLPQCFTSSLMDIAASHGDLRVLKYLHLHRTE GCTTKAMDYAAAHRHLYTLKWLHTNRSEGCTVDAMNHAAQHGFLPIVQWLHANRKEGC TTFAMDEAARAGHLQVVQWLHENRTEGCTTAAMNGAAQSGHLHIVEWLHANRMEGSTT DAMDRACEAGHLAVVRFLGDVRLAEWSPYAMAAAIRNGHLAVVKYLHEVKGEPLRGQS LCNSYEMKEYIRLHALAVPPQRSMATA H257_13095 MTKSDVADPAPKLDLWRDSPVRYLGYANELGESFRPLFPGCVGP SYAVAIAYVLGDTFDKGMIALNDTPTTQSAAGNVETNKKTRAVKAAADTLIWQGFASV AIPGFVINRVVHTVHVAASSAVAKHVKANPAVLKWGPTCVGIGVIPFIIHPIDEFVDY AMDRTTRVWA H257_13095 MTKSDVADPAPKLDLWRDSPVRYLGYANELGESFRPLFPGCVGP SYAVAIAYVLGDTFDKGMIALNDTPTTQSAAGNVETNKKTRAVKAAADTLIWQGFASV AIPGFVINRVVAASSAVAKHVKANPAVLKWGPTCVGIGVIPFIIHPIDEFVDYAMDRT TRVWA H257_13096 MQLDLSRKGYAYIPEDLDEHVTSLSLSANRICSLSDVGRLVHLR RVDLSCNKIVALAGIEVLGALVWLNLSRNSITSLDGVQHLAHLQTLDVADNNLTDIDV LEHNMSLTHVNASTNCINVWPRLTLLVHLEVLNLNENELPALRLLHTMLPPHVQQLHL AHNHIDHLHCFDSCRPMLSLHTLDLAGNGCAANHALAMATLSSIFPSLTTFNGQPVMR TTPSQQSPPTPPQHTPDLDVSNRDVKIQMWKHMLQQRSQQETVDRLRLQQERQQVGPA TPRDEETRPTLRPPSMATPRPQYFVHHNHAAAPPTTSKQITPR H257_13097 MDRSQAVAEPPLAHSSTFLPHHDDTPGTHASSAKTASATSRQDL HKALAAVQDHVQHMRKYMKVWVKREQWLRMKSATCIQKFYRGHLARVKFPRPAVPTKS APAMATWPMHMSSYQIMWESLPQNTQIFHIYAQIIQKIVRGSYTRRRLVEWKRANYSA RQIQRVWRGYVVRGNLVGKGGATLLHQVRCVLIRVGKLERQAGIQDEATMALWSQLKQ VTTDSHNHHRLTRAVSRLQAAWKGKLARRQHGQSSRKSTEWCEAPCKQCQANALEIAT LRQELHDLAALVRQLTVPPETSGPHPNVDPSPRMVPSACAALPSLPTSHADMTQPLTL ADFFVRPNYAPMRDMEVQYHSLDDFQAHVATSSSYLDVDLLAVD H257_13098 MSSRRRQSAAVSLTASQSRTRQRQSSIPNPFTPSTCTSPLRIHE PSSSLSLTGLEKAQLLELAHAAASELLSYVQLLQSQPAPATSKQIESTDERYICQSKT AILTGYSSIHDYKRHMHPYAFNAFHTTSTLCAIHSGQDDKSVVTVKWSAASSGPLAHD RDFCYVEIQQPFVARNGRRGYVRCVHSIPLFVASSKHQHVRAILRHSGTVVMEGSKEN RRLLQRTIVLNVDHRGKMPKWVAAIMVRRLLARAESRPHDVANDLQHHHTMDTPWQED DDTMRLQSMRRRKSSSINAWTSLKDMVKLCKVCTTKLRWYHPTVKCKVCRMAVCKPCT THCEVLLKASHRVCLRCNAHLASLLAKSEEELLPVEVYPEDTCTFLDEWDESMS H257_13098 MSSRRRQSAAVSLTASQSRTRQRQSSIPNPFTPSTCTSPLRIHE PSSSLSLTGLEKAQLLELAHAAASELLSYVQLLQSQPAPATSKQIESTDERYICQSKT AILTGYSSIHDYKRHMHPYAFNAFHTTSTLCAIHSGQDDKSVVTVKWSAASSGPLAHD RDFCYVEIQQPFVARNGRRGYVRCVHSIPLFVASSKHQHVRAILRHSGTVVMEGSKEN RRLLQRTIVLNVDHRGKMPKWVAAIMVRRLLARAESRPHDVANDLQHHHTMDTPWQED DDTMRLQSMRRRKSSSINAWTSLKDMVKLCKVCTTKLRWYHPTVKCKVCRMVRMARLF CRCGRSFMTFMHRRRCANHAQRTARSCSRRRTECVYAATPTLRPC H257_13099 MVPAPQRRSSRLAPPPDQGASSEPPPSRKRKPTTADTSTLATTT APKKQRANEPVSVLETHTTASIVKSTRKGMPAPTRRSARTAIHDDEDCIVRETDDDDG DIKSDTDIVSASGDDNDAPSSSTAPAVRRRASRVKKEAEEQVAPVPLTRRNQPKRGVG GGALPVEPKVEHTFEKTKQPRPRPSKHQVIDDNDSSDFVKDDVKTLRPPPFQASTSSS IPRLTADGGDIMSDDNRPEAAKLGKQGRAKRVVRAIPVRQAPTTLKPLSKNAQGKRKA AAVGARRPSKQLQDSSDGGDSSEFVASSSSDEGSGDDDDVADWASTLKSKAARGKDLV DGSSSSSYDDNAPIKSIKRPKTGRSPKKPAAAAALTEHAQGNDGGDGRDGGVVRRAEP IEYPYYEVAYAPTGRARCKTCEKTLVRGELVVSIRLRHSRFGIMAYHKHIECTLLNND VAKPLHGFDALDRDDVDRVQAYMVTNSKLGVEDERVALGDEAFIKRDILPAGTPSPHL TASLLPYQQEGLAWMKAQEASKYRGGILADEMGMGKTIQAIATMLENVKIKQFEGMVM GGGTLIICPVIACMQWKSEIERFVEANHLSILLYHGPKRTNLTAELASYDVVLTTYSI LENEGRKLMEAEKVACAYCHKLFVPEKLVPHNKYFCGPDSRKTSKQMKQQKGKPTKAA VAARAKAKTLSDQDSSSKEEEEEHDAPIKPPPRKSNRRAKKGRSPLHEVEWTRIILDE AHYIKDKKCSTARSVFRLKSQYKWCLSGTPLQNRIGELFSLVRFLQVDPQAYYECAEC DCKQLDFSIFHGHCKHCDHTAMQHYSFFNKKIVTPIQGFGYVAEGKLAMLRLQNDLLR HVLLRRTKESRADDISLPPKLVRVRRDALDEREKDFYESIYTQSKAQFNTYVSAGTLL NNYAHIFDLLIRLRQAVDHPYLVIYSKSNPALQVPTPDVPARDDLALIGPPPSSSADD PDDGRTCGFCHEPPEQAVKSACHHLFCHSCVEEYVESLLMGAQATCPTCNEPLTVDMA ATGTAADSDDDETHHVDQFKARQIGKGSLLRRLPHLGDFQSSTKIEALMEELHLMQHH DPSAKAIVFSQFVNMLDLIEHRLRLAGLKCVKLSGGIPMAQRDRLLTEFRDDPTLTVF LISLKAGGVALNLTVASHIFLMDPWWNPAAEHQAIDRTHRLGQFKPIRATRFVIANTI EERILKLQEKKQLVFEGTVGSSTAALARLTIEDLRFLFSG H257_13099 MVPAPQRRSSRLAPPPDQGASSEPPPSRKRKPTTADTSTLATTT APKKQRANEPVSVLETHTTASIVKSTRKGMPAPTRRSARTAIHDDEDCIVRETDDDDG DIKSDTDIVSASGDDNDAPSSSTAPAVRRRASRVKKEAEEQVAPVPLTRRNQPKRGVG GGALPVEPKVEHTFEKTKQPRPRPSKHQVIDDNDSSDFVKDDVKTLRPPPFQASTSSS IPRLTADGGDIMSDDNRPEAAKLGKQGRAKRVVRAIPVRQAPTTLKPLSKNAQGKRKA AAVGARRPSKQLQDSSDGGDSSEFVASSSSDEGSGDDDDVADWASTLKSKAARGKDLV DGSSSSSYDDNAPIKSIKRPKTGRSPKKPAAAAALTEHAQGNDGGDGRDGGVVRRAEP IEYPYYEVAYAPTGRARCKTCEKTLVRGELVVSIRLRHSRFGIMAYHKHIECTLLNND VAKPLHGFDALDRDDVDRVQAYMVTNSKLGVEDERVALGDEAFIKRDILPAGTPSPHL TASLLPYQQEGLAWMKAQEASKYRGGILADEMGMGKTIQAIATMLENVKIKQFEGMVM GGGTLIICPVIACMQWKSEIERFVEANHLSILLYHGPKRTNLTAELASYDVVLTTYSI LENEGRKLMEAEKVACAYCHKLFVPEKLVPHNKYFCGPDSRKTSKQMKQQKGKPTKAA VAARAKAKTLSDQDSSSKEEEEEHDAPIKPPPRKSNRRAKKGRSPLHEVEWTRIILDE AHYIKDKKCSTARSVFRLKSQYKWCLSGTPLQNRIGELFSLVRFLQVDPQAYYECAEC DCKQLDFSIFHGHCKHCDHTAMQHYSFFNKKIVTPIQGFGYVAEGKLAMLRLQNDLLR HVLLRRTKESRADDISLPPKLVRVRRDALDEREKDFYESIYTQSKAQFNTYVSAGTLL NNYAHIFDLLIRLRQAVDHPYLVIYSKSNPALQVPTPDVPARDDLALIGPPPSSSADD PDDGRTCGFCHEPPEQAVKSACHHLFCHSCVEEYVESLLMGAQATCPTCNEPLTVDMA ATGTAADSDDDETHHVDQFKARQIGKGSLLRRLPHLGDFQSSTKIEALMEELHLMQHH DPSAKAIVFSQFVNMLDLIEHRLRLAGLKCVKLSGGIPMAQRDRLLTEFRDDPTLTVF LISLKAGGVALNLTVASQYVHIRLGSCILELATGPFRWDFGSGRQIIRESIGV H257_13099 MVPAPQRRSSRLAPPPDQGASSEPPPSRKRKPTTADTSTLATTT APKKQRANEPVSVLETHTTASIVKSTRKGMPAPTRRSARTAIHDDEDCIVRETDDDDG DIKSDTDIVSASGDDNDAPSSSTAPAVRRRASRVKKEAEEQVAPVPLTRRNQPKRGVG GGALPVEPKVEHTFEKTKQPRPRPSKHQVIDDNDSSDFVKDDVKTLRPPPFQASTSSS IPRLTADGGDIMSDDNRPEAAKLGKQGRAKRVVRAIPVRQAPTTLKPLSKNAQGKRKA AAVGARRPSKQLQDSSDGGDSSEFVASSSSDEGSGDDDDVADWASTLKSKAARGKDLV DGSSSSSYDDNAPIKSIKRPKTGRSPKKPAAAAALTEHAQGNDGGDGRDGGVVRRAEP IEYPYYEVAYAPTGRARCKTCEKTLVRGELVVSIRLRHSRFGIMAYHKHIECTLLNND VAKPLHGFDALDRDDVDRVQAYMVTNSKLGVEDERVALGDEAFIKRDILPAGTPSPHL TASLLPYQQEGLAWMKAQEASKYRGGILADEMGMGKTIQAIATMLENVKIKQFEGMVM GGGTLIICPVIACMQWKSEIERFVEANHLSILLYHGPKRTNLTAELASYDVVLTTYSI LENEGRKLMEAEKVACAYCHKLFVPEKLVPHNKYFCGPDSRKTSKQMKQQKGKPTKAA VAARAKAKTLSDQDSSSKEEEEEHDAPIKPPPRKSNRRAKKGRSPLHEVEWTRIILDE AHYIKDKKCSTARSVFRLKSQYKWCLSGTPLQNRIGELFSLVRFLQVDPQAYYECAEC DCKQLDFSIFHGHCKHCDHTAMQHYSFFNKKIVTPIQGFGYVAEGKLAMLRLQNDLLR HVLLRRTKESRADDISLPPKLVRVRRDALDEREKDFYESIYTQSKAQFNTYVSAGTLL NNYAHIFDLLIRLRQAVDHPYLVIYSKSNPALQVPTPDVPARDDLALIGPPPSSSADD PDDGRTCGFCHEPPEQAVKSACHHLFCHSCVEEYVESLLMGAQATCPTCNEPLTVDMA ATGTAADSDDDETHHVDQFKARQIGKGSLLRRLPHLGDFQSRLRLFVIMFIRGINLFS ADSVLTLILVALI H257_13099 MVPAPQRRSSRLAPPPDQGASSEPPPSRKRKPTTADTSTLATTT APKKQRANEPVSVLETHTTASIVKSTRKGMPAPTRRSARTAIHDDEDCIVRETDDDDG DIKSDTDIVSASGDDNDAPSSSTAPAVRRRASRVKKEAEEQVAPVPLTRRNQPKRGVG GGALPVEPKVEHTFEKTKQPRPRPSKHQVIDDNDSSDFVKDDVKTLRPPPFQASTSSS IPRLTADGGDIMSDDNRPEAAKLGKQGRAKRVVRAIPVRQAPTTLKPLSKNAQGKRKA AAVGARRPSKQLQDSSDGGDSSEFVASSSSDEGSGDDDDVADWASTLKSKAARGKDLV DGSSSSSYDDNAPIKSIKRPKTGRSPKKPAAAAALTEHAQGNDGGDGRDGGVVRRAEP IEYPYYEVAYAPTGRARCKTCEKTLVRGELVVSIRLRHSRFGIMAYHKHIECTLLNND VAKPLHGFDALDRDDVDRVQAYMVTNSKLGVEDERVALGDEAFIKRDILPAGTPSPHL TASLLPYQQEGLAWMKAQEASKYRGGILADEMGMGKTIQAIATMLENVKIKQFEGMVM GGGTLIICPVIACMQWKSEIERFVEANHLSILLYHGPKRTNLTAELASYDVVLTTYSI LENEGRKLMEAEKVACAYCHKLFVPEKLVPHNKYFCGPDSRKTSKQMKQQKGKPTKAA VAARAKAKTLSDQDSSSKEEEEEHDAPIKPPPRKSNRRAKKGRSPLHEVEWTRIILDE AHYIKDKKCSTARSVFRLKSQYKWCLSGTPLQNRIGELFSLVRFLQVDPQAYYECAEC DCKQLDFSIFHGHCKHCDHTAMQHYSFFNKKIVTPIQGFGYVAEGKLAMLRLQNDLLR HVLLRRTKESRADDISLPPKLVRVRRDALDEREKDFYESIYTQSKAQFNTYVSAGTLL NNYAHIFDLLIRLRQAVDHPYLVIYSKSNPALQVPTPDVPARDDLALIGPPPSSSADD PDDGRTCGFCHEPPEQAVKSACHHLFCHSCVEEYVESLLMGAQATCPTCNEPLTVDMA ATGTAADSDDDETHHVDQFKARQIGKGSLLRRLPHLGDFQSRLRLFVIMFIRGINLFS ADSVLTLILVALI H257_13099 MVPAPQRRSSRLAPPPDQGASSEPPPSRKRKPTTADTSTLATTT APKKQRANEPVSVLETHTTASIVKSTRKGMPAPTRRSARTAIHDDEDCIVRETDDDDG DIKSDTDIVSASGDDNDAPSSSTAPAVRRRASRVKKEAEEQVAPVPLTRRNQPKRGVG GGALPVEPKVEHTFEKTKQPRPRPSKHQVIDDNDSSDFVKDDVKTLRPPPFQASTSSS IPRLTADGGDIMSDDNRPEAAKLGKQGRAKRVVRAIPVRQAPTTLKPLSKNAQGKRKA AAVGARRPSKQLQDSSDGGDSSEFVASSSSDEGSGDDDDVADWASTLKSKAARGKDLV DGSSSSSYDDNAPIKSIKRPKTGRSPKKPAAAAALTEHAQGNDGGDGRDGGVVRRAEP IEYPYYEVAYAPTGRARCKTCEKTLVRGELVVSIRLRHSRFGIMAYHKHIECTLLNND VAKPLHGFDALDRDDVDRVQAYMVTNSKLGVEDERVALGDEAFIKRDILPAGTPSPHL TASLLPYQQEGLAWMKAQEASKYRGGILADEMGMGKTIQAIATMLENVKIKQFEGMVM GGGTLIICPVIACMQWKSEIERFVEANHLSILLYHGPKRTNLTAELASYDVVLTTYSI LENEGRKLMEAEKVACAYCHKLFVPEKLVPHNKYFCGPDSRKTSKQMKQQKGKPTKAA VAARAKAKTLSDQDSSSKEEEEEHDAPIKPPPRKSNRRAKKGRSPLHEVEWTRIILDE AHYIKDKKCSTARSVFRLKSQYKWCLSGTPLQNRIGELFSLVRFLQVDPQAYYECAEC DCKQLDFSIFHGHCKHCDHTAMQHYSFFNKKIVTPIQGFGYVAEGKLAMLRLQNDLLR HVRPSISCFDVIDFTCIYIRCCCDGPKKAGQTTLACRPSWFACGGMPWTSAKRTFTRA FTRKARPSSTRTCRQALSSTIMRTFSTCSSDFAKLWTIRTW H257_13099 MVPAPQRRSSRLAPPPDQGASSEPPPSRKRKPTTADTSTLATTT APKKQRANEPVSVLETHTTASIVKSTRKGMPAPTRRSARTAIHDDEDCIVRETDDDDG DIKSDTDIVSASGDDNDAPSSSTAPAVRRRASRVKKEAEEQVAPVPLTRRNQPKRGVG GGALPVEPKVEHTFEKTKQPRPRPSKHQVIDDNDSSDFVKDDVKTLRPPPFQASTSSS IPRLTADGGDIMSDDNRPEAAKLGKQGRAKRVVRAIPVRQAPTTLKPLSKNAQGKRKA AAVGARRPSKQLQDSSDGGDSSEFVASSSSDEGSGDDDDVADWASTLKSKAARGKDLV DGSSSSSYDDNAPIKSIKRPKTGRSPKKPAAAAALTEHAQGNDGGDGRDGGVVRRAEP IEYPYYEVAYAPTGRARCKTCEKTLVRGELVVSIRLRHSRFGIMAYHKHIECTLLNND VAKPLHGFDALDRDDVDRVQAYMVTNSKLGVEDERVALGDEAFIKRDILPAGTPSPHL TASLLPYQQEGLAWMKAQEASKYRGGILADEMGMGKTIQAIATMLENVKIKQFEGMVM GGGTLIICPVIACMQWKSEIERFVEANHLSILLYHGPKRTNLTAELASYDVVLTTYSI LENEGRKLMEAEKVACAYCHKLFVPEKLVPHNKYFCGPDSRKTSKQMKQQKGKPTKAA VAARAKAKTLSDQDSSSKEEEEEHDAPIKPPPRKSNRRAKKGRSPLHEVEWTRIILDE AHYIKDKKCSTARSVFRLKSQYKWCLSGTPLQNRIGELFSLVRFLQVDPQAYYECAEC DCKQLDFSIFHGHCKHCDHTAMQHYSFFNKKIVTPIQGFGYVAEGKLAMLRLQNDLLR HVRPSISCFDVIDFTCIYIRCCCDGPKKAGQTTLACRPSWFACGGMPWTSAKRTFTRA FTRKARPSSTRTCRQALSSTIMRTFSTCSSDFAKLWTIRTW H257_13100 MALLPLTMRALRQVRRVANFKPESDLASCLELHVVDVPVPRHGQ VLLKVECAAVNPSNLAMLQGLYADSGSTPLPVFTGTEGSGTVVASGGGLLPWWLQGKR VGALVPSGGMWAEYVVADASLCIQLPETISFEVGTSCFVNPLTCSSFVRIAQSRHVKA IVNTAAASALGKMLARHAAEHDIQIIGVVRNQAQVDALAAWGVKHIVNTSEPHWTDQL TQFCSKLNATVGFECVGGDLPGQVQACMPPNSELFVYGNLTGDRWAAMSPGDLRYNNK RISGFFLFRYLEEQGWLRTWRMRRQVARKLSTTFHTDVSASHALDDAVVAIQHYANHM TNSKIILKPSQH H257_13101 MADLTNAQRQLVANARARKQGRALSLKLKDQAEAERHQHLADKR QKAQQNQKPAVDNKLAIRYVTKDLAQYALHSDWKKKRHRKDKTRASTVPDAKSAGGGN SNQQPNRGAELDIDEDNPQRRPPRYRPPNAWAKLYELEVQEVEKEKVAKRNAVVNSMH VVRSCLMQQEEEKQRRRQQEAERSAKYFEQQQLELTKYKDQLTQLQAAKEEKQKQMSA EINRMVADAHEKRERQKKAKMQAELREVDRAKAALEAERLRLEEDKRLKALQVEQVKV ENQKLQDAKRVEKLRLQEYENEMTQAYQKRLAAQELARKADLQKTYERQEKRVSMALL NVVSPEEKARQDEVRAAVVQAAERKKEEARLEEKRRKQRQLDAEQGQELRKQQEEKRN RLEAERQAAAHIDAMGAQDALAAEMAARVKQAKKDAIDREYRTKLAQQMTAEYQRKAT MDKWSMSHIEMKLNSTTLKKAGYLHAHTTFFTRNMTKDIDGGLRKPTFTVRDGYHLEQ LNHKSCSDVEWRNYPELAPPAATPVKDTPSRPPVPSLDLPSTPSRRRSSVLDCPQTLT PNTPKHRRESLKQSSPIASWLIFRQDSEATSTSKVLPFLSRTPTSPPLTSDPPGLGYA QPHRNIRHRSIKPASKTSLLPHLPVPSPRPSGGPCYSSRRTRYDTQISMIRKRTIVPV ADPRSMSLGARILHFKIAPHELQAVLKREDARKLRHITDHGTNLVARNKQLVKPPSNQ VERFEVERRRQEEAKARMQAHHRVMADVLAAGVNRWGARKQQAALLRARQHWARKTLL LVALGKITHKLHALYRASEGRILSNQQHRATKRIQHFWRRKSQAICLSHVTHAMLVIQ KYLMKWVRKNRLRRRQLAVHVIITSIEELQEAKFRRCILKYRHCIQVFQSMWRGWMSI TDARVKLLLLFWAKLERKALDKGATSDDVSKLSLEGNAPSRPLTKRRCGLRSTPTLSP LRATPSASLIGMGDTVKLLEHLNSLKLRSHTGEISRGRSRGTGGSRVSTSLKTSLVKD LLRKKRQEFLALRQEQKELCENQLELRRRLGVGMDARTVLALDNLRFEKSQFLMLQSI SEADMLELIKEAETQTKHRAANGLEGTQPMDGP H257_13101 MADLTNAQRQLVANARARKQGRALSLKLKDQAEAERHQHLADKR QKAQQNQKPAVDNKLAIRYVTKDLAQYALHSDWKKKRHRKDKTRASTVPDAKSAGGGN SNQQPNRGAELDIDEDNPQRRPPRYRPPNAWAKLYELEVQEVEKEKVAKRNAVVNSMH VVRSCLMQQEEEKQRRRQQEAERSAKYFEQQQLELTKYKDQLTQLQAAKEEKQKQMSA EINRMVADAHEKRERQKKAKMQAELREVDRAKAALEAERLRLEEDKRLKALQVEQVKV ENQKLQDAKRVEKLRLQEYENEMTQAYQKRLAAQELARKADLQKTYERQEKRVSMALL NVVSPEEKARQDEVRAAVVQAAERKKEEARLEEKRRKQRQLDAEQGQELRKQQEEKRN RLEAERQAAAHIDAMGAQDALAAEMAARVKQAKKDAIDREYRTKLAQQMTAEYQRKAT MDKWSMSHIEMKLNSTTLKKAGYLHAHTTFFTRNMTKDIDGGLRKPTFTVRDGYHLEQ LNHKSCSDVEWRNYPELAPPAATPVKDTPSRPPVPSLDLPSTPSRRRSSVLDCPQTLT PNTPKHRRESLKQSSPIASWLIFRQDSEATSTSKVLPFLSRTPTSPPLTSDPPGLGYA QPHRNIRHRSIKPASKTSLLPHLPVPSPRPRHVNFGRQLTYVGCSGGPCYSSRRTRYD TQISMIRKRTIVPVADPRSMSLGARILHFKIAPHELQAVLKREDARKLRHITDHGTNL VARNKQLVKPPSNQVERFEVERRRQEEAKARMQAHHRVMADVLAAGVNRWGARKQQAA LLRARQHWARKTLLLVALGKITHKLHALYRASEGRILSNQQHRATKRIQHFWRRKSQA ICLSHVTHAMLVIQKYLMKWVRKNRLRRRQLAVHVIITSIEELQEAKFRRCILKYRHC IQVFQSMWRGWMSITDARVKLLLLFWAKLERKALDKGATSDDVSKLSLEGNAPSRPLT KRRCGLRSTPTLSPLRATPSASLIGMGDTVKLLEHLNSLKLRSHTGEISRGRSRGTGG SRVSTSLKTSLVKDLLRKKRQEFLALRQEQKELCENQLELRRRLGVGMDARTVLALDN LRFEKSQFLMLQSISEADMLELIKEAETQTKHRAANGLEGTQPMDGP H257_13103 MADNRGRVPTPVVTTQVDAGSSSRTNRHAWSFVQMNMSLLPIAR PLPVHTSPSSLLQKKKALKCKPRTPSNHAADKPASISPRRQGELMPQEPSPPMRTLRE LVLRRQDESASSSTSFVPRLLNHRPRCFSDDCGDTNPDRVHLHHQHDRGYVSDVDMHT TSSLPAMIVVEPNPTPPASEDDDDKALVRVKRILEILDEDRCKEDAMHTPPPRTAKRL RRCDV H257_13102 MQEIPCKDYVVQVGHGLLASVPSQLLQLLPNITSFIVVSDSNVA PLYAQTLLQGFKRRAELYVIPAGEASKNRRMKAAIEDFMLEKRMHRDCCVVALGGGVV GDLAGFVASTYMRGVPFVQIPTSLLACVDSSIGGKTGIDVEAGKNLVGAFHQPKRVFV DLDLLSTLPKRELINGMAEIIKAGAIYSDALFSMLESNVDAILALKQDVVLSMVAASI AIKTTVVDEDEKEHGLRAILNFGHSIGHGIEAIMQPELLHGECVAIGMVKEAEIARGL GLCTSATVGRLLRCIKAFGLPVRVPSRAATATVLERMEVDKKNSGGIKKLILLTSIGK VHSNPFTVAVEDSRIAHVLEPQVLVVPPSQPISGTVNVPGSKSISNRVLLLAALGAGT CRISGLLHSDDTQVMMDVLQYLGAQFSWEDDGDVLVVVGTAGKFPPSVPSHWYLSNAG TAARFLTTVATLAGSKVHLTGNARMQERPISDLVDALVANGCAIEYGNRKGCPPLEIS PTGLPGGVLHLAGKVSSQYVSSVLLSAPYADAPLELQLAEDNPTSFPYIQMTTQLMEL FGIHVQTLGSKNRFVVPQGVYSNPPRVHVEVDASSATYPLALAAISGGRVVVPGLGQS SCQGDAAFFTALEAMGCTGGQDDSCTYVQGPPRGSLKAIEIDMETMTDAFMTLAVLAA AATGRTKITGIANQRVKECNRIAVMVEELAKCGVESGELPDGIWIQGRGGGLLTPPLT FPNIPAKIACHNDHRIAMSFAVLGAYWPHIVITDKECTDKTFPSFWDECSTALRVSFQ VPSYPPPPLATKAASTIYLIGMRGVGKTSLGKHAASALGLHWIDMDEYLEAHPLLLGM PIKEYVAVHGWAAFRAQEVACLQLWAKDPPQNTIISCGGGVVESAAAVALLTQASNVI YLQRELADVQAALAHDTSRPAYGEAIADVFHRRAPLFAASSSFVFAMLAGDVDYPRIN RDFERLVTVVLGRFDSNALKSQPDSYFVSLTFPHYTSKKTLIETVTHKAHAVELRVDL LESVEKPFIAHQVAALRAASTLPIIYTVRTVDQGGAFPNDSKRVFDLLQFGIALGCEV IDMECCWPAADQLDLIASKRGSAILASFHAIHERSSAERVRELFDLCAWNGQVDIAKV VLKAYDVADALMVHRVAQECRDRWPFDMPCIALCTTEAGKLSRVLNRTLTPVTHAALP VAAAPGQLSIDEIESLRRTLGMVQGRQFYLFGSPIQHSPSPRMHNAAFRALHLPHIYS LHDSTDIATCVALMHTRSFGGGSVTIPHKLDIIPHLSSLSAAAATIGAVNTILSINGK LVGDNTDWKGIGRPVAARLESSPIGRSNKVALVVGAGGTAMAACYAMQQLGLRLVVFN RTLDKAIDVARRFGGTAVASLTDLDAVDVVVGTIPAAAGFVLPEHLLSKHVIVMDAAY KPAITPLLAQAHAHGAVCIQGYEMLVEQGLEQSLLWTHEAVAKEVLASQVKATLAASD VLH H257_13102 MQEIPCKDYVVQVGHGLLASVPSQLLQLLPNITSFIVVSDSNVA PLYAQTLLQGFKRRAELYVIPAGEASKNRRMKAAIEDFMLEKRMHRDCCVVALGGGVV GDLAGFVASTYMRGVPFVQIPTSLLACVDSSIGGKTGIDVEAGKNLVGAFHQPKRVFV DLDLLSTLPKRELINGMAEIIKAGAIYSDALFSMLESNVDAILALKQDVVLSMVAASI AIKTTVVDEDEKEHGLRAILNFGHSIGHGIEAIMQPELLHGECVAIGMVKEAEIARGL GLCTSATVGRLLRCIKAFGLPVRVPSRAATATVLERMEVDKKNSGGIKKLILLTSIGK VHSNPFTVAVEDSRIAHVLEPQVLVVPPSQPISGTVNVPGSKSISNRVLLLAALGAGT CRISGLLHSDDTQVMMDVLQYLGAQFSWEDDGDVLVVVGTAGKFPPSVPSHWYLSNAG TAARFLTTVATLAGSKVHLTGNARMQERPISDLVDALVANGCAIEYGNRKGCPPLEIS PTGLPGGVLHLAGKVSSQYVSSVLLSAPYADAPLELQLAEDNPTSFPYIQMTTQLMEL FGIHVQTLGSKNRFVVPQGVYSNPPRVHVEVDASSATYPLALAAISGGRVVVPGLGQS SCQGDAAFFTALEAMGCTGGQDDSCTYVQGPPRGSLKAIEIDMETMTDAFMTLAVLAA AATGRTKITGIANQRVKECNRIAVMVEELAKCGVESGELPDGIWIQGRGGGLLTPPLT FPNIPAKIACHNDHRIAMSFAVLGAYWPHIVITDKECTDKTFPSFWDECSTALRVSFQ VPSYPPPPLATKAASTIYLIGMRGVGKTSLGKHAASALGLHWIDMDEYLEAHPLLLGM PIKEYVAVHGWAAFRAQEVACLQLWAKDPPQNTIISCGGGVVESAAAVALLTQASNVI YLQRELADVQAALAHDTSRPAYGEAIADVFHRRAPLFAASSSFVFAMLAGDVDYPRIN RDFERLVTVVLGRFDSNALKSQPDSYFVSLTFPHYTSKKTLIETVTHKAHAVELRVDL LESVEKPFIAHQVAALRAASTLPIIYTVRTVDQGGAFPNDSKRVFDLLQFGIALGCEV IDMECCWPAADQLDLIASKRGSAILASFHAIHERSSAERVRELFDLCAWNGQVDIAKV VLKAYDVADALMVHRVAQECRDRWPFDMPCIALCTTEAGKLSRVLNRTLTPVTHAALP VAAAPGQLSIDEIESLRRTLGMVQGSK H257_13102 MQEIPCKDYVVQVGHGLLASVPSQLLQLLPNITSFIVVSDSNVA PLYAQTLLQGFKRRAELYVIPAGEASKNRRMKAAIEDFMLEKRMHRDCCVVALGGGVV GDLAGFVASTYMRGVPFVQIPTSLLACVDSSIGGKTGIDVEAGKNLVGAFHQPKRVFV DLDLLSTLPKRELINGMAEIIKAGAIYSDALFSMLESNVDAILALKQDVVLSMVAASI AIKTTVVDEDEKEHGLRAILNFGHSIGHGIEAIMQPELLHGECVAIGMVKEAEIARGL GLCTSATVGRLLRCIKAFGLPVRVPSRAATATVLERMEVDKKNSGGIKKLILLTSIGK VHSNPFTVAVEDSRIAHVLEPQVLVVPPSQPISGTVNVPGSKSISNRVLLLAALGAGT CRISGLLHSDDTQVMMDVLQYLGAQFSWEDDGDVLVVVGTAGKFPPSVPSHWYLSNAG TAARFLTTVATLAGSKVHLTGNARMQERPISDLVDALVANGCAIEYGNRKGCPPLEIS PTGLPGGVLHLAGKVSSQYVSSVLLSAPYADAPLELQLAEDNPTSFPYIQMTTQLMEL FGIHVQTLGSKNRFVVPQGVYSNPPRVHVEVDASSATYPLALAAISGGRVVVPGLGQS SCQGDAAFFTALEAMGCTGGQDDSCTYVQGPPRGSLKAIEIDMETMTDAFMTLAVLAA AATGRTKITGIANQRVKECNRIAVMVEELAKCGVESGELPDGIWIQGRGGGLLTPPLT FPNIPAKIACHNDHRIAMSFAVLGAYWPHIVITDKECTDKTFPSFWDECSTALRVSFQ VPSYPPPPLATKAASTIYLIGMRGVGKTSLGKHAASALGLHWIDMDEYLEAHPLLLGM PIKEYVAVHGWAAFRAQEVACLQLWAKDPPQNTIISCGGGVVESAAAVALLTQASNVI YLQRELADVQAALAHDTSRPAYGEAIADVFHRRAPLFAASSSFVFAMLAGDVDYPRIN RDFERLVTVVLGRFDSNALKSQPDSYFVSLTFPHYTSKKTLIETVTHKAHAVELRVDL LESVEKPFIAHQVRCGLE H257_13104 MVFCAECGSRFEDDTSRFCAECGAPRETIEVAMPAPSPVTPIYP PSTAVTYSPPPVTPAAPIYTPPSVATVAPVNTYPTPSTPSIATSSANCSSCGTLYADA TERFCGDCGQPRQFVQQATAVLDDDDEDLFGAPPSSASSIPPPTPFHPPSPMLEAQGT TPPSSSLEVPKLGNYPGAALVAAQLAGLTVKSSKPAAPAPVDVHGTGAGISILDVVTA RDMDTLPIRHAISTGIDHNRSRPSHTSGAFHSTPVTPQCTVHQNVQLGPSPEEAFYAS RTLPDSTEWTALLRQHRHAKTQFTDPAFPPSLASLYRDPTRPMTPPGTTALDSVVWKW KRISDLFSHHAYVEVSILDDDQKLVCGIAMKSPAEAESMLETIRGQQTQVIDARFLLR ATDAVTAALHKKRTEHFLNLTRPLMESMQERVADGSITKYELLWQKSLLDAYKPLVFA GVGTPYGYRLDGYGTSVSKVQVLVPIQFQAQQVCLFDREITSGASCKPGRLADGYLFG ALSMLSTSPTALSQLFPRLTGDLVQPHMVWPSPHELEQQYNDEGVYCVRFWRNHKSYL VVVDDYIPCNHNGKPAFASFTGTASRFEIWSMLVEKAYAKLYGGYDMIVGGQELFCLQ DLYGGLPSSYPTSNLQANASARLSQSLKRGNLIGLTNTTNHSVAMPLGLKAGHAYGLV KIAQLQVQGQLETVVQLRNVWSDASSDAAAAGVPWARGGADWKQCSLHQKQRVGYQLA DDGTVWLTLATCLALFSTVLESRNVYQFPSVDPRDVDAVPLYVHVIASGWKGVTCGGR EAIHLNPQFQFTTADATDVVVHVEQPCRRANMQADYPCFVAPVVAAHAVVGRRKLDVA KDVIATGTFVSNRSCLVELSLPSEGTYAVIPATYAPFESAFQVVVVSPVPLAVGFVSD DDIPVCSVCRQPLKGSYRTYTSPDGVVAEHVCQGRCADEYRSMHAPVCVDCRERIEVV AGRFSGRLFTLEDGTSCHAECMDNYRIRHADSCVHCHDAIVQIKGKFDGKFFALDGGK GKVHAECMEAYQLSIAKKCHECGLAIVKGGRFDGRFYQLSGSENQVHFECWEAFQASA APKCRHCREPILKIEPKFDGRFYDLNDGSGKVHFECWDAFRQ H257_13104 MVFCAECGSRFEDDTSRFCAECGAPRETIEVAMPAPSPVTPIYP PSTAVTYSPPPVTPAAPIYTPPSVATVAPVNTYPTPSTPSIATSSANCSSCGTLYADA TERFCGDCGQPRQFVQQATAVLDDDDEDLFGAPPSSASSIPPPTPFHPPSPMLEAQGT TPPSSSLEVPKLGNYPGAALVAAQLAGLTVKSSKPAAPAPVDVHGTGAGISILDVVTA RDMDTLPIRHAISTGIDHNRSRPSHTSGAFHSTPVTPQCTVHQNVQLGPSPEEAFYAS RTLPDSTEWTALLRQHRHAKTQFTDPAFPPSLASLYRDPTRPMTPPGTTALDSVVWKW KRISDLFSHHAYVEVSILDDDQKLVCGIAMKSPAEAESMLETIRGQQTQVIDARFLLR ATDAVTAALHKKRTEHFLNLTRPLMESMQERVADGSITKYELLWQKSLLDAYKPLVFA GVGTPYGYRLDGYGTSVSKVQVLVPIQFQAQQVCLFDREITSGASCKPGRLADGYLFG ALSMLSTSPTALSQLFPRLTGDLVQPHMVWPSPHELEQQYNDEGVYCVRFWRNHKSYL VVVDDYIPCNHNGKPAFASFTGTASRFEIWSMLVEKAYAKLYGGYDMIVGGQELFCLQ DLYGGLPSSYPTSNLQANASARLSQSLKRGNLIGLTNTTNHSVAMPLGLKAGHAYGLV KIAQLQVQGQLETVVQLRNVWSDASSDAAAAGVPWARGGADWKQCSLHQKQRVGYQLA DDGTVWLTLATCLALFSTVLESRNVYQFPSVDPRDVDAVPLYVHVIASGWKGVTCGGR EAIHLNPQFQFTTADATDVVVHVEQPCRRANMQADYPCFVAPVVAAHAVVGRRKLDVA KDVIATGTFVSNRSCLVELSLPSEGTYAVIPATYAPFESAFQVVVVSPVPLAVGFVSD DDIPVCSVCRQPLKGSYRTYTSPYELVILVRTCASSARNGWMAM H257_13104 MVFCAECGSRFEDDTSRFCAECGAPRETIEVAMPAPSPVTPIYP PSTAVTYSPPPVTPAAPIYTPPSVATVAPVNTYPTPSTPSIATSSANCSSCGTLYADA TERFCGDCGQPRQFVQQATAVLDDDDEDLFGAPPSSASSIPPPTPFHPPSPMLEAQGT TPPSSSLEVPKLGNYPGAALVAAQLAGLTVKSSKPAAPAPVDVHGTGAGISILDVVTA RDMDTLPIRHAISTGIDHNRSRPSHTSGAFHSTPVTPQCTVHQNVQLGPSPEEAFYAS RTLPDSTEWTALLRQHRHAKTQFTDPAFPPSLASLYRDPTRPMTPPGTTALDSVVWKW KRISDLFSHHAYVEVSILDDDQKLVCGIAMKSPAEAESMLETIRGQQTQVIDARFLLR ATDAVTAALHKKRTEHFLNLTRPLMESMQERVADGSITKYELLWQKSLLDAYKPLVFA GVGTPYGYRLDGYGTSVSKVQVLVPIQFQAQQVCLFDREITSGASCKPGRLADGYLFG ALSMLSTSPTALSQLFPRLTGDLVQPHMVWPSPHELEQQYNDEGVYCVRFWRNHKSYL VVVDDYIPCNHNGKPAFASFTGTASRFEIWSMLVEKAYAKLYGGYDMIVGGQELFCLQ DLYGGLPSSYPTSNLQANASARLSQSLKRGNLIGLTNTTNHSVAMPLGLKAGHAYGLV KIAQLQVQGQLETVVQLRNVWSDASSDAAAAGVPWARGGADWKQCSLHQKQRVGYQLA DDGTVWLTLATCLALFSTVLESRNVYQFPSVDPRDVDAVPLYVHVIASGWKGVTCGGR EAIHLNPQFQFTTADATDVVVHVEQPCRRANMQADYPCFVAPVVAAHAVVGRRKLDVA KDVIATGTFVSNRSCLVELSLPSEGTYAVIPATYAPFESAFQVVVVSPVPLAVGFVSD DDIPVCSVCRQPLKGSYRTYTSPYELVILVRTCASSARNGWMAM H257_13105 MIAGAWDGTTSTASSYTPTKRQKLSQPSGATMTATAAPTTAIPT TVSTASAVASAAVTLPTTADDIRHFMSAIDLHDVARHFDNKDDDVNPYFVCDGVSIAA FNAYVRGQERLRVGLRFLQLSGDGRLLIVELPNSTVHESTAWEFGREFYRATVNDREV ASRGSMTASRDALPDKEADASFGPRRTTPHRNAPPQGRTIADWLTLVVEVGLSQTWPQ LIAAATWWCGYAGIEYILLLKVSADATRFEYRFYDIVTPGVLPDVPTRGFQQSIRPDP RAINIEFNMRRILSIPPNQPLPPGVNQVAVVNLRDIMDSVIRSI H257_13106 MPTDLTNVKYVAIAIAIAVVLLAVANWAYYKYYFVPTQVGNDSL FNRQFLSFMPGLKRQDLEEYQLDEELWSCSVCDFQNLHLKPVCLLCGTRRDTRFIEIH GVSTPAKPATVVRSSSSNYLQVTSTRASSLHATASRIYSMAFENVVLPEDLNAQQRSA RMRKQWVRLHDVHGVVRWTRRFLDAAQVPDAHVIQMNTPPLPSTPPPSSSGNLSPVVE SPRTTHQSSIDCASPNDLLQKLHQAPTEDCHVIMWQPLDTTPANVTVLGSVVPPATAS SLLEISKLPFYMKYAWFLHQVHDLVVPYDELHIKVKVMRDAIVQEAVENLLSYPPRAL CAIVRYEFTGESAQDAGAVQREWCFLCYISGIITLGRYMLVSEGLLVEANGLFVVLNR EDNSYFINPNSSHAWRHPNHMDHLKAFHAVGRFLGRSLLDGQVIPMHLSPVLLKAILG VPLTLDDVEGLDRTVHKGLLYLLDHDNAQDLALTFSVSETHGHNTVVEVELVENGHLR AVTDANKAEYVRLMVRYLVFGRVEAQLSALLQGVYDVVPPELLMPFDHKEFELILCGL AEVDVADWKANTVTSSNLDNSSPLQWFWEVVEAMSPVDRSRLLQFATGSSRVPVQGFK GLTSYDGEICRFTLKGVPYEGGAYPATHACYNRIDLPLYPTKDMMKEALTMLLLSDPT GFTIE H257_13106 MPTDLTNVKYVAIAIAIAVVLLAVANWAYYKYYFVPTQVGNDSL FNRQFLSFMPGLKRQDLEEYQLDEELWSCSVCDFQNLHLKPVCLLCGTRRDTRFIEIH GVSTPAKPATVVRSSSSNYLQVTSTRASSLHATASRIYSMAFENVVLPEDLNAQQRSA RMRKQWVRLHDVHGVVRWTRRFLDAAQVPDAHVIQMNTPPLPSTPPPSSSGNLSPVVE SPRTTHQSSIDCASPNDLLQKLHQAPTEDCHVIMWQPLDTTPANVTVLGSVVPPATAS SLLEISKLPFYMKYAWFLHQVHDLVVPYDELHIKVKVMRDAIVQEAVENLLSYPPRAL CAIVRYEFTGESAQDAGAVQREWYMLVSEGLLVEANGLFVVLNREDNSYFINPNSSHA WRHPNHMDHLKAFHAVGRFLGRSLLDGQVIPMHLSPVLLKAILGVPLTLDDVEGLDRT VHKGLLYLLDHDNAQDLALTFSVSETHGHNTVVEVELVENGHLRAVTDANKAEYVRLM VRYLVFGRVEAQLSALLQGVYDVVPPELLMPFDHKEFELILCGLAEVDVADWKANTVT SSNLDNSSPLQWFWEVVEAMSPVDRSRLLQFATGSSRVPVQGFKGLTSYDGEICRFTL KGVPYEGGAYPATHACYNRIDLPLYPTKDMMKEALTMLLLSDPTGFTIE H257_13106 MAVGSAWCRFLSFMPGLKRQDLEEYQLDEELWSCSVCDFQNLHL KPVCLLCGTRRDTRFIEIHGVSTPAKPATVVRSSSSNYLQVTSTRASSLHATASRIYS MAFENVVLPEDLNAQQRSARMRKQWVRLHDVHGVVRWTRRFLDAAQVPDAHVIQMNTP PLPSTPPPSSSGNLSPVVESPRTTHQSSIDCASPNDLLQKLHQAPTEDCHVIMWQPLD TTPANVTVLGSVVPPATASSLLEISKLPFYMKYAWFLHQVHDLVVPYDELHIKVKVMR DAIVQEAVENLLSYPPRALCAIVRYEFTGESAQDAGAVQREWCFLCYISGIITLGRYM LVSEGLLVEANGLFVVLNREDNSYFINPNSSHAWRHPNHMDHLKAFHAVGRFLGRSLL DGQVIPMHLSPVLLKAILGVPLTLDDVEGLDRTVHKGLLYLLDHDNAQDLALTFSVSE THGHNTVVEVELVENGHLRAVTDANKAEYVRLMVRYLVFGRVEAQLSALLQGVYDVVP PELLMPFDHKEFELILCGLAEVDVADWKANTVTSSNLDNSSPLQWFWEVVEAMSPVDR SRLLQFATGSSRVPVQGFKGLTSYDGEICRFTLKGVPYEGGAYPATHACYNRIDLPLY PTKDMMKEALTMLLLSDPTGFTIE H257_13106 MAVGSAWCRFLSFMPGLKRQDLEEYQLDEELWSCSVCDFQNLHL KPVCLLCGTRRDTRFIEIHGVSTPAKPATVVRSSSSNYLQVTSTRASSLHATASRIYS MAFENVVLPEDLNAQQRSARMRKQWVRLHDVHGVVRWTRRFLDAAQVPDAHVIQMNTP PLPSTPPPSSSGNLSPVVESPRTTHQSSIDCASPNDLLQKLHQAPTEDCHVIMWQPLD TTPANVTVLGSVVPPATASSLLEISKLPFYMKYAWFLHQVHDLVVPYDELHIKVKVMR DAIVQEAVENLLSYPPRALCAIVRYEFTGESAQDAGAVQREWYMLVSEGLLVEANGLF VVLNREDNSYFINPNSSHAWRHPNHMDHLKAFHAVGRFLGRSLLDGQVIPMHLSPVLL KAILGVPLTLDDVEGLDRTVHKGLLYLLDHDNAQDLALTFSVSETHGHNTVVEVELVE NGHLRAVTDANKAEYVRLMVRYLVFGRVEAQLSALLQGVYDVVPPELLMPFDHKEFEL ILCGLAEVDVADWKANTVTSSNLDNSSPLQWFWEVVEAMSPVDRSRLLQFATGSSRVP VQGFKGLTSYDGEICRFTLKGVPYEGGAYPATHACYNRIDLPLYPTKDMMKEALTMLL LSDPTGFTIE H257_13106 MRKQWVRLHDVHGVVRWTRRFLDAAQVPDAHVIQMNTPPLPSTP PPSSSGNLSPVVESPRTTHQSSIDCASPNDLLQKLHQAPTEDCHVIMWQPLDTTPANV TVLGSVVPPATASSLLEISKLPFYMKYAWFLHQVHDLVVPYDELHIKVKVMRDAIVQE AVENLLSYPPRALCAIVRYEFTGESAQDAGAVQREWCFLCYISGIITLGRYMLVSEGL LVEANGLFVVLNREDNSYFINPNSSHAWRHPNHMDHLKAFHAVGRFLGRSLLDGQVIP MHLSPVLLKAILGVPLTLDDVEGLDRTVHKGLLYLLDHDNAQDLALTFSVSETHGHNT VVEVELVENGHLRAVTDANKAEYVRLMVRYLVFGRVEAQLSALLQGVYDVVPPELLMP FDHKEFELILCGLAEVDVADWKANTVTSSNLDNSSPLQWFWEVVEAMSPVDRSRLLQF ATGSSRVPVQGFKGLTSYDGEICRFTLKGVPYEGGAYPATHACYNRIDLPLYPTKDMM KEALTMLLLSDPTGFTIE H257_13106 MRKQWVRLHDVHGVVRWTRRFLDAAQVPDAHVIQMNTPPLPSTP PPSSSGNLSPVVESPRTTHQSSIDCASPNDLLQKLHQAPTEDCHVIMWQPLDTTPANV TVLGSVVPPATASSLLEISKLPFYMKYAWFLHQVHDLVVPYDELHIKVKVMRDAIVQE AVENLLSYPPRALCAIVRYEFTGESAQDAGAVQREWYMLVSEGLLVEANGLFVVLNRE DNSYFINPNSSHAWRHPNHMDHLKAFHAVGRFLGRSLLDGQVIPMHLSPVLLKAILGV PLTLDDVEGLDRTVHKGLLYLLDHDNAQDLALTFSVSETHGHNTVVEVELVENGHLRA VTDANKAEYVRLMVRYLVFGRVEAQLSALLQGVYDVVPPELLMPFDHKEFELILCGLA EVDVADWKANTVTSSNLDNSSPLQWFWEVVEAMSPVDRSRLLQFATGSSRVPVQGFKG LTSYDGEICRFTLKGVPYEGGAYPATHACYNRIDLPLYPTKDMMKEALTMLLLSDPTG FTIE H257_13107 MTKTFDAQDTLPKLPIPELADSLKHYARSVSVLQTPEEHAATQK KIDSFLAHDGAALQEKLIEYAKDKNSFIEDFWYEAYFNYKASVVLNVNPFFVLEDDPT PSRANQVSRATSLIVSSLKFIHALRTETMKPDVWRTTPLCMNQFRMLFATARCPTETN DAVQVAPNSKHIVIVCRNQFYWFDVMWEDGTAAITEREIMDNLRRIVDDANSRPPATV SSSAVGVLTTEHRVSWAKLRKVLHQDNAETLAMIDRALFLVCLDHTSPPTASDFASTA LHGTYEIVQGHQTGTCMNRWYDKLQIIVCDNGVAGVNFEHSVVDGHTVLRFASDVFTD TVIRFAQSISGTTQSFLTGAYRPPTDDLHIAPVRLEWKLNAELLQGIRYRGYSEVVLY STQLVCSFAEARLSDLIVQNQTRVLEFKTYGKSFITKHNCSPDAFVQIAFLAAYYLQY GTIVNQYEPAMTKRFLHGRTEAIRSMTPETKKFLELFVDENANLLDQTAALRAAVQSH SAIVKRCVSGQGVERHLYALQQLHHIVSPGEPEPAFFTDDAWLKLGRSVISTSNCGNP SLRLFGFGPVVPEGFGIGYIIKDDGIQFCVASKHRQTQRYCDTLESYLLQMQAMLTKE ESVKFPNSAKPSMGRHRAASIEDTGYGFFDGGASEQRKVTKTPLVGRQL H257_13107 MTKTFDAQDTLPKLPIPELADSLKHYARSVSVLQTPEEHAATQK KIDSFLAHDGAALQEKLIEYAKDKNSFIEDFWYEAYFNYKASVVLNVNPFFVLEDDPT PSRANQVSRATSLIVSSLKFIHALRTETMKPDVWRTTPLCMNQFRMLFATARCPTETN DAVQVAPNSKHIVIVCRNQFYWFDVMWEDGTAAITEREIMDNLRRIVDDANSRPPATV SSSAVGVLTTEHRVSWAKLRKVLHQDNAETLAMIDRALFLVCLDHTSPPTASDFASTA LHGTYEIVQGHQTGTCMNRWYDKLQIIVCDNGVAGVNFEHSVVDGHTVLRFASDVFTD TVIRFAQSISGTTQSFLTGAYRPPTDDLHIAPVRLEWKLNAELLQGIRFAEARLSDLI VQNQTRVLEFKTYGKSFITKHNCSPDAFVQIAFLAAYYLQYGTIVNQYEPAMTKRFLH GRTEAIRSMTPETKKFLELFVDENANLLDQTAALRAAVQSHSAIVKRCVSGQGVERHL YALQQLHHIVSPGEPEPAFFTDDAWLKLGRSVISTSNCGNPSLRLFGFGPVVPEGFGI GYIIKDDGIQFCVASKHRQTQRYCDTLESYLLQMQAMLTKEESVKFPNSAKPSMGRHR AASIEDTGYGFFDGGASEQRKVTKTPLVGRQL H257_13108 MMETLYIKYLCPFKKYVTLNLIGGPRPLQFRYFINMQKGATFFF VLACMAYYDNWSWTAHVYLANHGIYGFIWLLKDITVPDATWQVYLTIPSAIVAVVGLG VYWVAGYIVVAHRVEASPSLGALCIMMNTLGSTLMLAADTQKYFALKYKKGLISDGWV TWSRNTNYLGEMMLYLSFALLANHWIPYAWLAFVWSMLFMSNMMAKDASLRKKEGGDA YMAKAGFLLPNVAGWAAASWSSAPKVVKEA H257_13109 MSWRVYMQAFRNSSVGYVVVTHVLVDVTSNNWELNDLIGNARTL FTPVLNVASQQDLTDTFTFAEGYSLSTTSNVGLFMLNYTLQKIHAHDASMYVLTADTF LINGGTNDICGLLKQSYLVKANTTSVSLGVIEDGIQYIRGQAISNFFLGIGPPPSFGS DHDTLTSLGYIPSRMDADVRLTTLVAIPPQGRLARTCLCIGTTRARFARGATPSSRKL VIESSQAVVGHHRVLGMMLERSGVTTGSLVVRGVCVLFVLASFTTSQKTKLLHMIAPS LHRYQHRLLNLPYFCFNSDIFVVGYVTAVLLDEKACTLYSRALFRWNRDTPSSWTSWY VYLRILSMNFRWVWLNCFLVKIIKLMANFVSATGYTSRNFVVGYFNFSSITYVYVAGL ALVYRHNFLDYSNSDMVALTPDMQHLDGISIDFFDSTLMRGYPGLVLVMFLNLVGVLS IDLAVNFKWWRKVSNNSLGRQHIYNSTSIITDMGPGRYRVKLRNSAQVTVARRQSTVA ADDFFMLAQDQDGYLHLFNARKTEIQALSMEVNVQADARYMVA H257_13110 MATASRYITNQRRSELQNAVHCVLKEAGVTTAAHLMDPRPRGVS TGMAVVCVVCMVLDVVVNNWGLNDYIGNARSFFTPVLTKIASVKDLKDYFVFPTDASP WSSSNAGRFMLNHSLASIHARDDSHYVLTAGSYAVLDAANDICVDLIDEYPVRQGVAS AQLGHVTDKLGARLSRRVGLPAGPLGLRHATHDPLEVPAHGVLVHANLSMYRFYARAF CTGCMPIMELGLDKCQVHYSFNATTQSLVVHASESIFGHNHYVGMLLERSSVTTAGLW VRITCVLYLVVAFSSSRKTIRWTNGSTLTTWFKKLNHTLSPAVYRHPSRAFSFSYLCF NSDIFVGLYLIAALFDGKSSSIFSRVMYFWNKGSGNSFVVLRLWSINLRLLWFNCFVA KFMNSVTYIYIASALLTQRDNFTDFGNSDYTVVSSKIWFLRAYGELAFALILNLLVVL CLDRVVNRIWWQHVADNSLGRQLMYNSTAIISDLGYNFVDVPHYGDAAISIPARALCT IQWFLTCYTMKFGLPEHRHKFKAMTKGSKAKPRQSFTQGLTKRYTVHNVQERIVEENG DFDDDHNVITHEMYILSQDNEGNISLYNAQHHEIQALSLEVKILADAQYTIRASALCT LQWFLTSHAMRFGLPEHPEHIQSLVSKSQGQSGRSSIADKPSHGRHRPANHKRAVVYA VVESNPKLPRQQPQNEHHSPYHDDDGSKGAAAANMFMMTQDSDGYLRLSTQGRRKFKR H257_13111 MKKAFQDNARMMFLGPPGVGKGTYASRIAPKLDIPTISTGDLVR AEIKRDSALGKQIKEFSSTGKLVPDDIILTMIRARLQEHDAKRGYILDGFPRNASQAI EFDKIDTLDLVVNFDLPEWVLLEKISGRRMCASCGTGYNVANINRGEYVMPPLLPKVD GVCDKCGSAQLVQRPDDAVDVVRHRLQVYANETEPLIAYYEAKGVLKNFHVTKGLADL DRLMQLLLSGVDSIESKL H257_13112 MGVGRKDHVNVDQVISLESTYADATVDMYQQEAIDTQQCHDKFR DVANASTSMTTAHPLFNCFRSFDEIASFLDRLLLSSRPSNRHLLKVPIASTVQGHPIF GYHWTKPSKGRALLYIQAGVHPREWVSISSLVYAMVKLISSPSSKALDDWDIVFVPVV NVDGYKLTWSAPANRLWRKNARGIDLNRNFGPASYFTATNDTTSETYSGPYAMSEPET KGIAAYLESIQSRLRGTLDVHTTAGVVVRPLSVSNAPLQGDDEASMSKVGEAVASAMN NAARSGGGSSDVPYTSIAGHELYQGALYSGTFKDMVYLSLNRTPSLTIELRGESGFMA SSGSIRRSGDELVEAIGAFASQLH H257_13113 MAHSPTHHCILWMTTSQSLVVLDAANVATVVRGAVRIQRLQHAI DHFESLGIRCIAFAPGYWVKSKTLTPRSRSQQSAEMELDQKAEMAAVQELVLLEKVVL TPPQAHDDLFIIDYAIKHDGFVVTNDMFRDHVANKMQFHGKELTETWVKRHCITFAFV GTEFLPSAQHMQALLRQKQPPSKAVKASSSRSSSPRPNSFGAGSNNDEVCSAKKPPGA SKASPSNRSRSNSPRVKPTTSWKDDTFSKGGSEARLVAAATSPLTIITTSLEQQQQQH CVDDLSFEEEVRASLTPGVVEPKQPRRAKTPSKSRASTPRNNSESNNMYRSLDEASTS SDDDKVPDQGKTHAATPDEEPHEPARPKNVQEGWNLLQSIIARSNPPSSIPTAASNNN QEETQGSFPTKEDHPKQLEDETKALSPSQKKRGRRRAKKQAAAAMVYRVRTPTHRESV D H257_13113 MAHSPTHHCILWMTTSQSLVVLDAANVATVVRGAVRIQRLQHAI DHFESLGIRCIAFAPGYWVKSKTLTPRSRSQQSAEMELDQKAEMAAVQELVLLEKVVL TPPQAHDDLFIIDYAIKHDGFVVTNDMFRDHVANKMQFHGKELTETWVKRHCITFAFV GTEFLPSAQHMQALLRQKQPPSKAVKASSSRSSSPRPNSFGAGSNNDEVCSAKKPPGA SKASPSNRSRSNSPRVKPTTSWKDDTFSKGGSEARLVAAATSPLTIITTSLEQQQQQH CVDDLSFEEEVRASLTPGVVEPKQPRRAKTPSKSRASTPRNNSESNNMYRSLDEASTS SDDDKVPDQGKTHAATPDEEPHEPARPKNVQEGWNLLQSIIARSNPPSSIPTAASNNN QEETQGSFPTKEDHPKQLEDETKALSPSQKKRGRRRAKKQAAAAMVYRGKLWAMIAIP LVGGLVLKSLVSANPHP H257_13114 MFGVHQQALPRVAIALDQQHTIFALDPSHGHANLTEQITTAQVI VLSYSIPLLGHAIVQYHRPMLFATRDFVLGLSVSTAMAQLATNILKITTGRFRPNFYA MCHWDSSNTTPWDGTSNLCMLAVGELEGRQSFPSGHTSCAFSTLAFFSHAVSSTWSVE PTGTLVSVGDRAVIVWPRSAGRRCRCCWRCGWA H257_13114 MAMPISQNKVIYNPPSRTQRHIVCACLVTTAQVIVLSYSIPLLG HAIVQYHRPMLFATRDFVLGLSVSTAMAQLATNILKITTGRFRPNFYAMCHWDSSNTT PWDGTSNLCMLAVGELEGRQSFPSGHTSCAFSTLAFFSHAVSSTWSVEPTGTLVSVGD RAVIVWPRSAGRRCRCCWRCGWA H257_13115 MSLAGKVVAFTGKLESFTRAEAASKVKDAGGVVSSSVTKKTTHL VVGSDGKVDKAAPGTVVWTETEFTAAITPTSSVDANVESAPTPPVVIHVSVKDEVDPQ PVLAGKVVAFTGKLESFTRAEAASKVKDAGGVVSSSVTKKTTHLVVGSDGKVDKAAPG TVVWTETEFTAAITPTSSVDANVESAPTPPVVIHVSVKDEVDPQPVLAGKVVAFTGKL ESFTRAEAASKVKDAGGVVSSSVTKKTTHLVVGSDGKVDKAAPGTVVWTETEFTAALA KATSKAVPSPTSPVAMSVKNKATSAAVGKGKKRAAAAPPTTPEKKPKTEATIKSSPVR VTTRKPDKHLAGRDQFTIVDDFTTDLMQTNIGENNNKFYILQLVATSGQYHVFTRWGR LGDVGQQQLADCGDDLDKAILLFEKKFKDKTKNKWSDRHAFVKHDLQYQLVELDASES GDGGGGGDAAMGKLSAAQVHKGQVVLDKLLVALEHNPGAVTALSGEYYSLIPTLSGRQ RPPPLNSIELIHEKAALLDFWLRMGFDDMEEQTGLAPIEGIMDLPLPSTLLAASSGIT QVGAIKQCQARGADLVKQNAGSPTRPMDKELYGSIVLYTGNWIYSQLNSTLRSENRTA IRKYFNYLRVFLEAMCRMPQKEQTLWRGVSVDLFEAYEPGKVITWWGVSSCTSDENVA RNFMRSCGGSCTLLRVRCKTAMDISVLSMFPGEKECLLAPGTQLKVVKRTRKGNIAEI EVEEVGRAI H257_13115 MSLAGKVVAFTGKLESFTRAEAASKVKDAGGVVSSSVTKKTTHL VVGSDGKVDKAAPGTVVWTETEFTAAITPTSSVDANVESAPTPPVVIHVSVKDEVDPQ PVLAGKVVAFTGKLESFTRAEAASKVKDAGGVVSSSVTKKTTHLVVGSDGKVDKAAPG TVVWTETEFTAAITPTSSVDANVESAPTPPVVIHVSVKDEVDPQPVLAGKVVAFTGKL ESFTRAEAASKVKDAGGVVSSSVTKKTTHLVVGSDGKVDKAAPGTVVWTETEFTAALA KATSKAVPSPTSPVAMSVKNKATSAAVGKGKKRAAAAPPTTPEKKPKTEATIKSSPVR VTTRKPDKHLAGRDQFTIVDDFTTDLMQTNIGENNNKFYILQLVATSGQYHVFTRWGR LGDVGQQQLADCGDDLDKAILLFEKKFKDKTKNKWSDRHAFVKHDLQYQLVELDASES GDGGGGGDAAMGKLSAAQVHKGQVVLDKLLVALEHNPGAVTALSGEYYSLIPTLSGRQ RPPPLNSIELIHEKAALLDFWLRMGFDDMEEQTGLAPIEGIMDLPLPSTLLAASSGIT QGPLIEKKPLSAN H257_13116 MDALMIDALDTMPLEEALAATFDVDAMLMDDFDLFAPLAVKNNM SAAKVLSSSRSQTDTASMSSDQEAPTPLGDDAANRPKKINHSRKRQREELEYLRSKVN ELEQHLRIVGQVKAMEIVNETPWQQMANQMRIAKQTALNENDKLKHELEEQIEFGKAL QTLMKKRPKLTVLPTLENEQWRVLKLVKDPVLRRHAIHEIYEQQYRLTDGVLVEGGLL DQVDDMEAYVPRLAKCNADLVLQVAFCKTKRYDFNVVSEMAWALFQGGCGAKKKQLTY EMIEKIDYNSAYVGIELHWNDLANQANCLYKRFTEPDRDVIVCRSVLEDELHPFREGA LVLNKSAWCVSHVFPILVAHASPCRLVIERLDNGKACRLKFFQKSTLPMLQSNHGTRS LSDPASRYFRVGTVTDSVLLSLKSMIADFSEAMDTLLANYNGNVADTFHRVNLMMDK H257_13116 MDALMIDALDTMPLEEALAATFDVDAMLMDDFDLFAPLAVKNNM SAAKVLSSSRSQTDTASMSSDQEAPTPLGDDAANRPKKINHSRKRQREELEYLRSKVN ELEQHLRIVGQVKAMEIVNETPWQQMANQMRIAKQTALNENDKLKHELEEQIEFGKAL QTLMKKRPKLTVLPTLENEQWRVLKLVKDPVLRRHAIHEIYEQQYRLTDGVLVEGGLL DQVDDMEAYVPRLAKCNADLVLQVAFCKTKRYDFNVVSEMAWALFQGGCGAKKKQLTY EMIEKIDYNSAYVGIELHWNDLANQANCLYKRFTEPDRDVIVCRSVLEDELHPFREGA LVLNKSAWLVIERLDNGKACRLKFFQKSTLPMLQSNHGTRSLSDPASRYFRVGTVTDS VLLSLKSMIADFSEAMDTLLANYNGNVADTFHRVNLMMDK H257_13116 MDALMIDALDTMPLEEALAATFDVDAMLMDDFDLFAPLAVKNNM SAAKVLSSSRSQTDTASMSSDQEAPTPLGDDAANRPKKINHSRKRQREELEYLRSKVN ELEQHLRIVGQVKAMEIVNETPWQQMANQMRIAKQTALNENDKLKHELEEQIEFGKAL QTLMKKRPKLTVLPTLENEQWRVLKLVKDPVLRRHAIHEIYEQQYRLTDGVLVEGGLL DQVDDMEAYVPRLAKCNADLVLQVAFCKTKRYDFNVVSEMAWALFQGGCGAKKKQLTY EMIEKIDYNSAYVGIELHWNDLANQANCLYKRFTEPDRDVIVCRYEIFRSPPMSEECC H257_13117 MDHLDFMPWDDANIDAEAMIAQDMDLFGPNDASDNGDKNRWSAV LPSSGSMSERTSPQGAAAHHGFRVPKEPASLRRQTALDICHQEYLQLPTVLQECGLLD DNTNDLESYDAPRLAKATEELILQVVVSRKDPEDFRVIGRLAWMLLNELNGSLSIERR NHCVHSIVGTCNDTSRHTARMLMEDAKSDGVATFKSPCCPCFRQRAWRGRVAVVLPQR GKCLQPHGAQEHGV H257_13118 MSARQYGHLLDVLSLSHSMRQSSWNTCRHLAWIMSLDESYSSRQ MQQQLSPSGGGIADGGGGTDDKPLHVLRHHFLSQLLSSHSSAGSFRHLSSLRSRCRSC SFFSFICSSYKRFLTMKSCSITSTFAFVSAKFKYRSTARRRLHCANAKASGVMAGPNM DNVS H257_13119 MMQTLRSISHQLPPLEEGFVRVYICRHGETDFNHLGIMQGRGVN SQLNKTGQKQAAKLARAFKDIPLSAIYSSRLRRASETASYLLDNHPGVKSGEFADLEE MSFGTMEGTARSSHASALQDIYAKWKQGNVDVTFPHGECPKDVEKRGVTAIHDLVRTA AAENDDHVAFVCHGRFNKIVLSSLLSVPLENPKLAQDNTCINILDFDRRTETYTARVL NHTKHLTATTA H257_13120 MWGPVVVGCEEALSVVACIYFLRQFPPHRQDAYTSPYAFPSDPP SVLHRAFYAACAIFLFVTHVADVIKTDGHCYYFFTTWNVLLQLSYWCWSFFDASGHSR GRAVMLDVLWPTSILVTAVVWVILYPMVHAIHEDYILLNWISYCQHGINAFLLLVEWI WNDARRVAVSTCAWSILFPTIYVIYAWIVHETVHIKWPYPFLATDRPSAPLWYLFFFM LQVMVFALVTGAASLRTRAVSRKRVDTADSLVHLLVV H257_13121 MLHYVVGTVEALSVVVSVSVLCRFPPSDNEAYHSSYALRPSPTV FKHLFYVCCLLGFLAVLVSDIWKSDGYCLNQYSVWALSMQIIYWSWSLQDPKCISRGR LILFDVVFPVSIVITVVVWLVLYPMAGNTRNDEYWNWISWSQHGLNTALLLVEFLWSD ARAVGWSTCALVTLFPTTYTIYAWMLHASHPLTPWLFASFLPIDDPSAPFWFIALIAL HAGVFAVVVCLAACKVRAIEQTPERIHLLRTNNLQIYYTY H257_13122 MSSSAFIVGGELVLAAVASLWVIYYQAPLAHEEYVSSYALRPPP RLIGRLFHFSALVYFLVVASADLKQTNGSCLLYYTFWNFMLQTVYWVWALVDPKRTSR ARSMFLDLLLPTSMATVVVVWTILYPLMGDELQNWISWTQHGGNLALLLIEFVCSDVR SVPLGTGALVGLWATTYATFAWVVHSFYNVWLYPFLDVDQPLAPLWYLGLLALHIAFF GLVVLLAAIKVFIAEHMPVDFDGTSKLLLPKVTPKATQNR H257_13123 MRLIIENNAADVAAWVARYVRQRINDFKPSAERPFVLGLPTGGT PLKTYKILVDFYKQGTLSFEHVVTFNMDEYVNLPKEHPESYHSFMHNNLFQFIDIKAE NVNILNGNAADLEQECRDYEAKIAKVGGIELFLGGIGPDGHIAFNEPSSSLVSRTRIK TLAYDTIVANSRFFGGDVNQVPKMALTVGVGTVMDAREVLIIITGHSKAFALYKVIEE GVNHMWTVSAIQTHKNSVIVCDDDATLELKVKTVKYFKGLHETNERMLETRKGSRQ H257_13124 MPLTVSIVLGSVRPGRQGLRVAKYLQAKLATADFNVHIIDPIDL NLPLFAGRFAYLPAELKTEALTAVQAKFEESDAFVVITPEYNHTFSPVISNTLNYFYS EYANKIAGIVTYSVGGFGGVRAAGPLRPFFGELGLATIPKELPFPVVQKALNEDGTIS ADAGASGEAIESGTKQFVNELKWYAAALKTARAAGGP H257_13125 MPLTVSIVLGSVRPGRQGLRVAKYLQAKLDAAGFNVHLIDPLEL NLPLFVNRFAYLPAELKSEALTSLQAKFEASDAFVVITPEYNHTFSPVISNTLNYFYS EYANKIAGIVTYSVGGFGGVRAAGPLRPFFGELGFATIPKELPFPVVQNVLNEDGALS ADAGASGEAIESGTKQFVNELKWYAAALKTARAGGGPQKVLLVTIILVVRLP H257_13126 MPLTVSIVLGSVRPGRQGLRVAKYLQAKLDAAGFNVHLIDPLEL NLPLFVNRFAYLPAELKSEALTSLQAKFEASDAFVVITPEYNHTFSPVISNTLNYFYS EYANKIAGIVTYSVGGFGGVRAAGPLRPFFGELGFATIPKELPFPVVQNVLNEDGALS ADAGASGEAIESGTKQFVNELKWYAAALKTARAGGGPQKVLLVTIILVVRLP H257_13127 MSVGGAIDTATMSSFGLLFRSLGLKQLLLFDSGNLFFLGGVNLG KQREGKDPSTDINPFSSSPSFSLGGLVLDPLDLDVSRRGTSVLKKLETARHLLQGQGE NPRCTESTDNIRRQNVAGPCVGCDAQSGGF H257_13128 MAAPNVDEVSIHVTKFLGILKSSQTQEFHGWNSVAMRRAWDWAK FISEAVEHLDDAAKSHLNNTFRFDGVPSLSFSAEFTMSVLEQAPHEFVRAVVCSPYLV THPLRSEIVQCVVSYYPQLPQHVSSDANSTTSSTRLFADITTRLNLTRSTSVLMRIAN MLTQSQPPLSVRLGSRELLVPSHAAWRSHPETLHMLALALSFQRQVLAQAAHDRSSAS YLAQVAAYFNDSAASRDSWSMGKSVVAQAALLDWPDKSPWKQRLLDVVQVAVADTPTR FTFTSIAHISMIDAMSRLLELSPWLAGDLCRSVPSLAMEYVPCLLAYSGANNCPPAAD VTWPHVTLDERLGCLVAASPNLTAFCESCLARPGLLEPC H257_13128 MAAPNVDEVSIHVTKFLGILKSSQTQEFHGWNSVAMRRAWDWAK FISEAVEHLDDAAKSHLNNTFRFDGVPSLSFSAEFTMSVLEQAPHEFVRAVVCSPYLV THPLRSEIVQCVVSYYPQLPQHVSSDANSTTSSTRLFADITTRLNLTRSTSVLMRIAN MLTQSQPPLSVRLGSRELLVPSHAAWRSHPETLHMLALALSFQRQVLAQAAHDRSSAS YLAQVAAYFNDSAASRDSWSMGKSVVAQAALLDWPDKSPWKQRLLDVVQVAVADTPTR LLELSPWLAGDLCRSVPSLAMEYVPCLLAYSGANNCPPAADVTWPHVTLDERLGCLVA ASPNLTAFCESCLARPGLLEPC H257_13129 MQVVCGLLRCYTGSCFGEEHHHVDRLPSDRHACPQLFKLKSLVV RVPSCNSVLRLESTNCKIACLPTGLVKKVVFMYLRGRHENAITASIDTTDEETSDDDD ASSSGESYPRHSQIPLTVTSSITSYGTSMNEAYAFARTGFPDDIAAPDHSDSSSVDLK VLQDTYLLTFRKGTAAKDKDLVQVKLFMVNKSPTHDNDMKIVHVLLSDRLWVDIVVSD ASYATALSFDTSEILESHTVFQLCRCDLNECSCDDGSPLETIWRRQEDTRMDECVAKK RRRYVWHDQPPPTSAHKCTVACDAATLPELHLTLVVAADVCLHAEFELTLTSSKRVQD LSDHVYTVFCIRVCHGDLKWHITRRFRDFSLLRDQLAQELHATDLPSLPPKTWLPTSD AKFIQARQMRLETYLRQLSGRPDAMQSVAFLSFLGALSSPRLEHEWISGVPRDVLHLR ILQRCVETGDVLLFQSKNHMSGVQRTMTGAEWDHVGMVVQAPASNALPKFLLLEATGD GVTLLPLVPRILAYNSCFINYIALRKLRMPPVSRDTFHRRMHAFVALVEGKPYNMSLN KLIRPTETNADLSGFFCSELIAAAYKAAGLIHEATAASSFWPGSFGAGGDVDKELNAC DAYLEAEVVIDCRVLEIASANKDVYGGTGTVVHHP H257_13129 MQVVCGLLRCYTGSCFGEEHHHVDRLPSDRHACPQLFKLKSLVV RVPSCNSVLRLESTNCKIACLPTGLVKKVVFMYLRGRHENAITASIDTTDEETSDDDD ASSSGESYPRHSQIPLTVTSSITSYGTSMNEAYAFARTGFPDDIAAPDHSDSSSVDLK VLQDTYLLTFRKGTAAKDKDLVQVKLFMVNKSPTHDNDMKIVHVLLSDRLWVDIVVSD ASYATALSFDTSEILESHTVFQLCRCDLNECSCDDGSPLETIWRRQEDTRMDECVAKK RRRYVWHDQPPPTSAHKCTVACDAATLPELHLTLVVAADVCLHAEFELTLTSSKRVQD LSDHVYTVFCIRVCHGDLKWHITRRFRDFSLLRDQLAQELHATDLPSLPPKTWLPTSD AKFIQARQMRLETYLRQLSGRPDAMQSVAFLSFLGALSSPRLEHEWISGVPRDVLHLR ILQRCVETGDVLLFQSKNHMSGVQRTMTGAEWDHVGMVVQAPASNALPKFLLLEATGD GVTLLPLVPRILAYNSCFINYIALRKLRMPPVSRDTFHRRMHAFVALVYGVLLPKIWS FVLILCQTYYR H257_13129 MQVVCGLLRCYTGSCFGEEHHHVDRLPSDRHACPQLFKLKSLVV RVPSCNSVLRLESTNCKIACLPTGLVKKVVFMYLRGRHENAITASIDTTDEETSDDDD ASSSGESYPRHSQIPLTVTSSITSYGTSMNEAYAFARTGFPDDIAAPDHSDSSSVDLK VLQDTYLLTFRKGTAAKDKDLVQVKLFMVNKSPTHDNDMKIVHVLLSDRLWVDIVVSD ASYATALSFDTSEILESHTVFQLCRCDLNECSCDDGSPLETIWRRQEDTRMDECVAKK RRRYVWHDQPPPTSAHKCTVACDAATLPELHLTLVVAADVCLHAEFELTLTSSKRVQD LSDHVYTVFCIRVCHGDLKWHITRRFRDFSLLRDQLAQELHATDLPSLPPKTWLPTSD AKFIQARQMRLETYLRQLSGRPDAMQSVAFLSFLGALSSPRLEHEWISGVPRDVLHLR ILQRCVETGDVLLFQSKNHMSGVQRTMTGAEWDHVGMVVQAPASNALPKFLLLEATGD GVTLLPLVRSLYTLYIFVYIYM H257_13130 MAATTSTILQAILFVSPQFYCYPWKPLLNAAIGDTYDVALKHFL VNHKTAPNLVLHCVCMVVQLLGNFCFLQTVDDILFPSRPRPLSILTAVTWIVYLLRRA PSAPWWVQLASASSIAAAAAVAPSLVPHGVLLLVHVIGFHKSLHAVASVLSTLALVGF HVLWSALPHDLASISDHTVHINCVFLGLMLLISLVKNPLVPSVAYGYLVGHALAAITG QAWLFFFSFGFFGSVLQSVSHLVTKEVPTMVALQTVASPADKVRYEYAHVTFFPHLVF HGLVFSSDLVNKSSKTT H257_13131 MTTIKEVQTTFPLDLGYTRVYLCRHGETDYNVALRFQGRGIDST LNKHGESQAKKLGLALQDVPLEGLYCSFLVRAKSTAAAIGAHHNLEPVVVDGLEEMYF GTCEGIKLDDLYDLWKSTVNAWVEGDLHAAWPDGESPVQVEKRGREALLGLLTPQAKH VALVCHGRFNKLLLSSLLYGDMTKVETITQDNTCINVLDFHHETKTFTPRFLNYTEHW SLTLDE H257_13132 MAPSAIQKAAPPARKGETLRGKAKEKDVRISNIIAAKAIANAIR TSLGPRGMDKMIQQGNGEVIISNDGATILTQMQVYHPTAKMLVDLSKSQDIEAGDGTT SVCVIAGALLSACEDLLEKGIHPTHISEAFGIASIKAEQILTAMSRPVDLANRNELIQ CVTTSLSSKVISEYSDRLSPIAVDAVLNVIDIATATNVDLRDVKVVKQLGGTIDDSQL INGLVFSKGFEKTSGVDMPTMIENAKIALIQFCLSAPKTDMENNVVINDYSAMDRILR EERKYILNLCKQVKKAGVNVLLIQKSILRDATNDLSLHFLAKMGIHVITDIERNDIEY ISTTLGCLPVAHIESLTPDKLGTAGLVQEESLGGHKVVKITEVVKPGKTMSILVRGSN KLVLEEAERSLHDALCVVRSLVKKRFLICGGGAPEIEVALQLAEYGRTLEGTAAYCLQ AFADALEVIPYTLAENAGLHPIGIVTELRAKHAAGDKAAGINVRKGGISNMYDLNVLQ PLLVNTSEISLAAECVRMILKIDDIVMVR H257_13133 MYFRPWVALLIASAASRTYAVDLFSRLDEAIAQVTDDTLLTVVP SLLQAEGTLMNLPPSLEAHVVVEDAGGKPETYIYVNYTYNDGVVPLDENAAFEAVVKC TDHSLRLKLNQCATLPFGPGQVLIHKGLKCDGQADYALGVRVLSVDIVEMEPAPSTSC DLHLTTEQVAGSDIFANQEFRVVTRAFKNLVEDTADKQAAMATTTIAPAMSRRRELNW MQTKTNDLLDMAWNVASSKYQSIVHEMPIYSSDGCIIKCRDCYVGFNVASIDSSFSIT DLLKMEVTVTGHVRLAFVLNAPDMCKKRITLPLIGTGRPVGFSIGTSFGSLEFSSELG VEFVIDLQASTSSEYVYVYDARIDAFTFGMSFLGGPFSKRQITTTSDTTWPDWLKVNG QVGLRPVISANATASVSLWFFSKSASVVASAALEMYIRASMKVTTSAALPLPALSTAA LDSTSLVKGGVCNIPHVFEYDVFVGTVAKINVNICGSDYVDKVLFFWEKSIISGCWAT FPSAPIPVTTTTTLAPPITTTTTVPPSVATTTTLSPVTNSPSSGGDRIDYSFVAPDTY PPNANVFWSFECSSSASFVSVTFTQFDLERNYDFVEISLDGGKFTGNAIPPGPIIVNV DAKVHFTSDSTQGQSGFVLEYTCIFQDTTPSPTTTTLSPTTTTTVAPTTTTTTAPPTP TVAARGTIDYSFVDPLTYEDNKDVRWNFTCPSESLLVNVTFAKLDLEDTYDFVRITGG FGWNFTGRLLPTEPITVPANAEVRFTSDGSQGRTGFVLNYVCLSTFPLTTPAPSSRNG RIDYSFTSPAVYDNSVQLHWPFVCPSNETSVNVTFVQLDVETNFDFVFLGGTSNRYTG NVVPLGNVILGADAQVYFTSDRSIGGSGFVLDYECFSIVVPPIVDDATHAGVVGSNTT VQNGTVRIDYSFAAPLTYANNLNVTWQLVCSSTEETLVSVTFALVDLETNFDVIRIGS PVAATITGNTSPAPFVVAANSMMAFTSDGSVGRSGFILDYTCHTGAWLDPVMTTNNST SPSNQTNSTADRAIDYSFEPPSVYANNVNRNWTLTCPSNTPVATVVFSKLDVENNFDF VTIGGFGGGRFTGTVLPPVVVTSGNASVVFTSDASVGRTGFALVYDCLADRLTTAANT TTTTTTQPASPSAPASVIINVTSAVIDFSVEPPNTYGPNLNKSWVLACPPLASHVIVI LTKLGLENGFDYLRFSGYNFTGNVLPSPFNVDANSTVWLTSDGSIGGIGFTLNYTCTA AVAPEALVPTMAPNAPSPSGGRRIDYSFVYPNVYGDNLNLNWILSCPSNASSLVTVTF TTFDLEIGYDYLTVPGAGRFTGNLLPPTATVSAGTVIVFVTDGSAGRAGFTLDYVCSG ATTTPIPTLAPSVGTAMTFTGRIDYAFLSPIVYGNNLNLTWVLTCPSSSLVLVNVSFS TFDLESGYDFVTFPGVARYTGSSLPSISNLAANSSVVLTTDSSKGNSGFALDYVCFAS TLPSSLPSLTPTPTYQTTSTTTTTVTTSTAPVTTSTAPFGLL H257_13134 MYFRPWVALLIASAASRTYAVDLFSRLDEAIAQVTDDTLLTVVP SLLQAEGTLMNLPPSLEAHVVVEDAGGKPETYIYVNYTYNDGVVPLDENAAFEAVVKC TDHSLRLKLNQCATLPFGPGQVLIHKGLKCDGQADYALGVRVLSVDIVEMEPAPSTSC DLHLTTEQVAGSDIFANQEFRVVTRAFKNLVEDTADKQAAMATTTIAPAMSRRRELNW MQTKTNDLLDMAWNVASSKYQSIVHEMPIYSSDGCIIKCRDCYVGFNVASIDSSFSIT DLLKMEVTVTGHVRLAFVLNAPDMCKKRITLPLIGTGRPVGFSIGTSFGSLEFSSELG VEFVIDLQASTSSEYVYVYDARIDAFTFGMSFLGGPFSKRQITTTSDTTWPDWLKVNG QVGLRPVISANATASVSLWFYSKSASVVASAALEMYIRASMKVTTSAALPLPALSTAA LDSTSLVKGGVCNIPHVFEYDVFVGTVAKINVNICGSDYVDKVLFFWEKSIISGCWAT FPSAPIPVTTTTTLAPPITTTTTVPPSVATTTTLSPVTNSPSSGGDRIDYSFVAPDTY PPNANVFWSFECSSSASFVSVTFTQFDLERNYDFVEISLDGGKFTGNAIPPGPIIVNV DAKVHFTSDSTQGQSGFVLEYTCIFQDTTPSPTTTTLSPTTTTTVAPTTTTTTAPPTP TVAARGTIDYSFVDPLTYEDNKDVRWNFTCPSESLLVNVTFAKLDLEDTYDFVRITGG FGWNFTGRLLPTEPITVPANAEVRFTSDGSQGRTGFVLNYVCLSTFPLTTPAPSSRNG RIDYSFTSPAVYDNSVQLHWPFVCPSNETSVNVTFVQLDVETNFDFVFLGGTSNRYTG NVVPLGNVILGADAQVYFTSDRSIGGSGFVLDYECFSIVVPPIVDDATHAGVVGSNTT VQNGTVRIDYSFAAPLTYANNLNVTWQLVCSSTEETLVSVTFALVDLETNFDVIRIGS PVAATITGNTSPAPFVVAANSMMAFTSDGSVGRSGFILDYTCHTGAWLDPVMTTNNST SPSNQTNSTADRAIDYSFEPPSVYANNVNRNWTLTCPSNTPVATVVFSKLDVENNFDF VTIGGFGGGRFTGTVLPPVVVTSGNASVVFTSDASVGRTGFALVYDCLADRLTTAANT TTTTTTQPASPSAPASVIINVTSAVIDFSVEPPNTYGPNLNKSWVLACPPLASHVIVI LTKLGLENGFDYLRFSGYNFTGNVLPSPFNVDANSTVWLTSDGSIGGIGFTLNYTCTA AVAPEALVPTMAPNAPSPSGGRRIDYSFVYPNVYGDNLNLNWILSCPSNASSLVTVTF TTFDLEIGYDYLTVPGAGRFTGNLLPPTATVSAGTVIVFVTDGSAGRAGFTLDYVCSG ATTTPIPTLAPSVGTAMTFTGRIDYAFLSPIVYGNNLNLTWVLTCPSSSLVLVNVSFS TFDLESGYDFVTFPGVARYTGSSLPSISNLAANSSVVLTTDSSKGNSGFALDYVCFAS TLPSSLPSLTPTPTYQTTSTTTTTVTTSTAPVTTSTAPVTTSTAPVTTSTAPTTTQLP STTTTALPSTSQATTLNPAPSPPSSTSPPSSPTTSPETTTSTPSPTKSAAGALRGWQQ SLSSVSRGLIVLCVACFIG H257_13135 MKVLYQLVGVVGLAASIAQAHFAPQTSVEGCRGDRSNDSDRLIA LSDSIRCWMTEAEIRILHQNNTGFVDDTDGLWTEGTRLGRERQALRLGAKTYPAIPAF QAVVKAVNAKVNPNDLKTLLTTFVNKFTTRHKTSSQGLASSIWLFDQAVALSNAHGRA DIKTKVTKYDHGWGQVSVIFRIDPVKPAVNNDLLILGAHQDSINQRDGKAAPGADDDG SGTVTIFTALKYLLSSPQWVPTRPIEFQWYSAEETGLQGSKQIATAYAKAKVDVYAMY QNDMTGWTRGGTKVISFTDDFTTRPLTQFLEVCVNTYLTTKVSHNTCGYGCSDHASWF NAGYATVYPFEEDGPINPNVHSSKDTIATLDFNHMAEFTRLAVAFVVELSQAKTKLPV IVDVVEPDVEPEVIDVDVLTTFGDGHVIVD H257_13136 MVFFVCEGCNETLKKNKVDAHAARCKNCWAVTCVDCQVVFKGND YAAHTTCISEAQKYEGALYQGPKLSKQAKKNPQEKWMDLVQSSTAPDPKVNQALQRIA GYDNVPRKKPKFLNFVKNSIGFTGVEDQLWTFLETEFNKLKDTEAAAKRPAEDATVDK PLAKRAKEGGDGDSNGLSALASHVKGYLSQNATAVTTLPAVELTSDEKKWVKVLKSLV KAADGQKLGKKALLKAATAKITTKYPDLVTDDLKGAFKNAVKKAPCFTQDGDYIVLKI H257_13137 MEHSNRGRIESNTSDMDMGNTYQEMTTRQWVWCPDPTLVCVPGV VVARGKDNLLIVHTDDGEERQLDSDDALPVGIDVTTTVSDVAELTLNHASTRHDNHRA STKDERMTQNLHARHEAQALEYALLHTLRTRFRDDTIYTECGASVLISVNPHRPLSLY TPDIVQAYRHRQMLHEMPPHLFGLAENAKLSLQESGEDQAIVLLGERGAGKSEAAKLL LQYLCHQPHTAAISRSKSRSNAGDMGASSSIHVPIEEQMLHACVVLEAFGHVELANHP NASHLVKILSVEYDTHGRLLAGNLTTHSLQKQKVMHTLPSERSFHIFHWLLAEASKNS SLHTALELGNYTFAIAGNPVHPCRSKDAHHFEDLMASLTALRVPAHHVHNIMRVVSAV LHLGNVKFVAASSTANTSHAMAENALDAACIIDEATAVHLQLAATMLEVDASVLDHYF RTRKMVSSNQTSSLKIVSVHQATRARDTFCKNLYESLVHAITFRMNASLRSKLERYEK SNITVDTRGIHIIDAFGYLPPSASDVSVHSFDTLCVHYWAEKLRSFYLSSVFPAAANL DVDVYVRLYEQTPVGIFPILADQMTSRTHHRQAQDAQFVNKLLVANESIGNTLLQPVL PSTTNKKNYKVQFTITHGHGATVTYEADDFVKRNSKSVSATAAAILKSSKNSFVKGMV DRHGNSPTLPSPLSRTSNVATNFSALKHANSCDNVTTELMQQASSVVAAMRTMGTHFV VCVNPQKDDDDCFFHSRDVVRQLRAVDVLNLVVSCQRNLTVKLSPPLFFSRYRHICGH RQTLESLIRSLIAIGVMDDLTWRVEGVPPQVIWLHVLQRKKLEKARELYLNACATMIQ RNLQRSAFRKLCTRRLAALTALRAAVHARNPTAIRTGLADAASWMEARGGSVRMIQQA SQVISQVAEESYLKSIFTDAVARDQDVLLRHALATAQSICPSWKHELLTTAKAALKRR SDSPVWRSSRSNSVRDALLRGSMADLARLDVPTTTMEGQLAMKLLAHRSEVSAAQTAL NACVTSTATTAATWTAAVSKMLDLGEVDQTLATLQSSWDAWAPLRSLVPMSRLQIEET LQKAVDATCQPGAEICLNLLTDIGTNNDELVLQAVALLDDKIPPSKERPQAVALVELA LQADSSILLEAAMAKCRSVGMAQWDMNVRKVEKALDGHKKEHAALEGAWTALLQQDLA KVQGIQTTHPLVATSPVFAFLGLVQNHHERLNRLNKLPVDQRVQEAAEAGLVHVVQSD LPQVLASIDQSRLVADLHHTIGFVQSRLDGQIEVRSDLLGLLTTQTQRVLTQTTDTSS SSMLEDAGGLTRRLQKSLMAKSKLAKILKSPTVASLQAWLDDVHSRRTSMEASALQSA NDVLRQLKASHHVEPQFQSSTAGDDEYLHVSGDDVDKSVHFSEYDQLRSDYGGPAAMQ WESRVLDRPLLQHQRTPWSVHVSRCILGYMRDRVMWFREMLAQSILQLALQQPALVDE VLMQLMKQLTYNPRPESERRGWSLLALCLTCFSPSPPLAKFVASFVHSSRHPVALYCQ LRLDTHVAHSGFLPSLDELSAFDCRSPFVASIELLDGSVLTTNFPISPELTVTHVVDV CAHFLGLQQASLLGLAQTADSPLYHPHAYLGDVFDDLSTTSDTNDHPLPAGHELRGGP CTFVLKLRLSPVVAVVDDTNPIYQRLVYVQAMEDILQGRLPLLQIEPVLRLASYAILV DSTASTDEPVPETLDEVLAWNVLEYLPAVWVDDKSENEYAQCILHQIRQALQDHPHHD TTKSPGPSVEHWQALYVDEVKKHRLFGSHFFAIRVPKLNLSKPSISSTMNSMSSTSVN NVLVSFESPAASVLAVNGMGVHFVDASHNVLLSWEHADVVGVVVTPNHVTIQTASQGH AQSVCDNAVEVGRLLEDYAVWNKTKLNTRGSTTSAGGWLR H257_13138 MQQLDENPEDDDAMTAALRARHAQHNKMLQACSSTFALGVATSK SKQDTKHPTGVRRSVRGRYPNTPLPLPLARVVDVQSDQLHMQFPRQELQINHLQLQVY ATPGPSDYDDCAVALVRPRSARAYLCGSDRQDPKPTDAPPSTLYTPKLVRPRSPSAHI SLSRLPDVPVHNHMRFYVPESDFATAKSQSWDFARRFPTDRYPRRPPQTSPSVHWSNI NNDGAGDDPQRSPHAPPHPPSHVRSRTPHRRVTMLHEFVTRRLRAWAIIVWAVDAHLE LFRRACIHRVCRRLLSVMLSRRAATSRAFDQWRRGTIEYAQHIASTVIINHFWHMRIS ARGRLKMRAIHVLKTFLAWTQAGPVVVKQVHRYLDRVRRVQLWWKHRVRVLFSQAVLW VRQWRTTEESMRQAHLAAKQATTDMPFHVKWSVEHQAEWSQALFCLGPNRLLIGQDIS TDAVLVKIELAAAVTLDGDTLSGLPGGAGQQSFVHIQPKRDPTQSLLLTGQDLPLWQL KLNLVVACGQILDDHRTDSMGLTCQLKLKEMRKTHRLPTHEITGVLWYCVGDLLRERP HCPTAAVHRAIRTNYLERRAQFSVNWRKYQLAHLKWQQQQDQHANNPQASSRSEGRMI LSHKPRPPRFKALIPLGQLAMLIDEVTETTAQQHPEYTRLILA H257_13139 MAASRSRRVCRRGIGVHVLHQLARPHDVLLPHNPPWPSLSHDRR AARSTFIATGACVVQRRRQVQAVPGYLGPSVRRDGRRATLRRQRRVSPLYRRRSQPRV FLRHQDGRRRYHHVDRLGAAERAQVAVLLCTPQGILPCGDRRRPVLRSVTLRRCQVEV FHHVTLYTQSTLGLATRSPSLEPSAVASDKPRPKWMPRLLLSAATCSGQPTPAPRPSG AKTRPSTPGHMAAATTPCVDASRQRNWRPRTCPCTRRVRTNDVWSHRKK H257_13140 MKARINVTPLDDQPTFFEKELIDLMTDETSAWTKFLQPEFGTWS EGIKRASLDAIAPLPIDPYKEMMLDECRIWLSWLENVFRMLNVKIREAEDDEVYTCQT CLRESRRQEAALSIYENTDPSAPPIEVRSMSSHSHATDTMNDSRCPSLPSYFNPNSKD HSLNEQASQTRE H257_13141 MQSADPVLPQTTVGMVTSMLEQKLDQKMEGIRATLEALMASLPT TALARPSPSQSQHRTRVSGNPRSPGDPGDPGHAGGAGNIGPNLTVGNLDGSKVSNISH SNSANLSTASNVKTYTLENKTTIRPLPWIDLQALGACKVGPSEVHKCSQPEEDEIPQG NHPGRSGEGGNPGLLSIGHQGAMHVLSMDSLPIFSGSEDNREASYQWVRRYEQLSRLS GWMEQEKIAWFPSYLSKTEWITNPLPKADKYYRMTQEPKEPLKTFFYRFNSAAQSVRV EYWKSASILEDHIGRFCMALEDESLGDRLFQMVFSSIDDLDRHLDSQRKNQILRQIKN RQVYTSSAGIRRREMTRDKRPSMERQILLAREGSDYDSPAMTNTSKEQLHHEIYALGS KKEWCSSCSKEHWRKDTSGLQTPQEPSPVFAIFNRNHGDS H257_13142 MFGRSLSGLCNIFLHVLDHIYSNFAEIIFLDRDRISTKLHELSQ AVVAKGTEVHNVYAFIDRTVRECCRPEGNERQRTVYNGHKRRHAVKYQTLVTPDGIIA HTFGPIEAKSGVNTNDVSMGPSSLLPRMFEDDLATWVLVMARDGHPAGCREFK H257_13143 MDIPHHSAQDHYEFMLETFKSSDRAQRLWGTGSEEEVTEQVQLL QDLVDRREVNDQAKKAKKEKDPKRRDSMESTESQLCLEAEQRVAKRQRTEGLLKRRRS MTRLFRIYLFLKQKHSDDHSYRVERLEFDKEEQKIRLAQMSESAKRNDQLERLLIEMG KLTLIQVVAEKSK H257_13143 MDIPHHSAQDHYEFMLETFKSSDRAQRLWGTGSEEEVTEQVQLL QDLVDRREVNDQAKKAKKEKDPKRRDSMESTESQLCLEAEQRVAKRQRTEGLLKRRRK WSASSSTRKSKRFAWLKCPRVLSATTNSNVS H257_13144 MKVLYQLVGVVGLAASTAQADFASQKSVEGCRVDRSNDSDRLIA LSDTIRCWMTEAEVRILHQNNTGFVDDTDGLWTEGVRLGRERRALHLDAKTYPTIPAF PEVVKAVNAKVNPNDLKTLLTTYVNKFTTRHKTSSQGLASSIWFTTTDDGWGQVSVIF RIDPVKPAVNNDLLILGAHQDSINQRDGKAAPGADDDGSGTVTIFTALKYLLSSPQWV PTRPIEFHWYSAEEYDSVKKTGLEGSKQIATAYAKAKVDVYAMLQNDMTGWTRGGTKV ISLTDDFTSLPLNQFLEVCITTYLTTKVSRNTCGYGCSDHASWFNAGYAVAYPFEEDG PVNPNVHSSKDTIATLDFDHMAEFTRLAVAFVVELSQAKTKLP H257_13145 MKVESYNDQYQERYGLLLNAYTDVCSLKMRGILELQDKLFAEKG EFERICQHVKALAHAGKTKDEMVAALRERLTTLNETLPLSYQLPLDPRVEVGKIVVRK CKIMSSAKLPLWLEFENAEEGGDPVVIIFKAGDDVRQDCLTLQLITLMDEMWREDGKD LAMEPYKCVSTGPMTGMLQVVLHAVTTAAVHKRGGALGGIFGAFNDVSFSDWIAANNG DPRSYKTAVNLFLRSCAGYCVATYVLGIGDRHNDNIMHSISTLPVSTRNLPA H257_13146 MSVPPASSSVLEAVKANVLDVALAREEALAKFKDINWATYTRAG GFSLDKTEIAAIKEMEIVAKNASQNEDQADALIDTFLREYGTALGAGLLKLIKNVTEP SVLRYAFARIDDLLPDGGRSRKRATYFVGEGAYVEAAPFLRLLRSESGYTQYAAGHIL AQFLTFRPKEQDIVSLIQWILDAVKASAQSNDVARAATARNAITTLMVLLRNETARKL FTRAGGLASLADLLKYSQGKAQLAYEVCFCLWTLSFSEEAVDAFGTSGAISALIQQVV AAPREKVVRVALEALQNLLGKQNGAYNERMLDGGLLKTLTNLRDRKWTDEDITKSITA IRDVLIREFKELNTMERYEKEVRTGLLTWGLLHTDKFWRENVMAFEANDFALIRLLIE LLESDDPKTVAVALYDLGDFVRFYPNGKHIAKRLGAKKVAMKLMTHENPDVQKQALTC ISKMMVNKWEFVK H257_13146 MSVPPASSSVLEAVKANVLDVALAREEALAKFKDINWATYTRAG GFSLDKTEIAAIKEMEIVAKNASQNEDQADALIDTFLREYGTALGAGLLKLIKNVTEP SVLRYAFARIDDLLPDGGRSRKRATYFVGEGAYVEAAPFLRLLRSESGYTQYAAGHIL AQFLTFRPKEQDIVSLIQWILDAVKASAQSNDVARAATARNAITTLMVLLRNETARKL FTRAGGLASLADLLKYSQGKAQLAYEVHTPHRHPTWRSNMGRCAFACGRCRSQRKRSM HSVRRARSRPSSSKWSPRLVKRSCAWRWKLSRTCWASKMARTTSACWTAGYSRR H257_13147 MEAFNDHIGSFYEALAEDKLDQLADALLSLRDAAATLPMEDPAT VVLQEMLNDCENKASAKGQLALSKLHALVSTLNASLGRKSNDDTVLQYERLDSQLRTV INTFYTSYALSPSPANASSLAVLVEYVDAEFKQRASLRVDSLGKLKAAAPVNGHGYID RSVHLEALNGLVHDVSFVVSLVEEANVSDLAIVFAPIHAAFVRGVLDILALYAADARL AAWEKKVSLRSTSPSNDLDDVEADESLQMVDLLLEELACILQLCLHYSAYAASFLVDQ RGGSGDGGLSQKVHELNGVYLLLERFYIFQTMHKAVMIAEPQQIEPNVYAISTVEDAS FVLDKAFTRATQSKNYHTVLSVVIAIVESLERTYMPSILDLPRRSFDMPLPVTSPTHH ANDSSAELSTDDLSFSDALLQAVDADLTHQLQVDAKMMMAVVSAYMSWEYVGKIHVRI TETQASHFAALPSLLECLPKPLSELQLEFHQVFTSGLHALYARDLQPKMDMRFHQSVL QWQYELSLQAYDYLEVHGSPLVALVQSMLKDKTLRRFRRGLSPPTFELMWRQVVRDMC DWIERGVTQKTFNDVGAMQLEKEVRHLSVLCGHFPAASDVSLRAEFTRLDQIVLLVNL AKASDVLEYDSIRDHMPRNEIEARLALRFRSDSIQRVMHQLKLT H257_13148 MAAPVAAATADDDAYADLYEKFTYLQQQTNRLAAAYTLATQDLD TLHGDNKILKDKLLQIRVRRRNLAAQAQATMGANMNAKALFCRRNRRVLRKQFPLISR EQLSVIVADEWESLPPDASEQWQREFMSYVPQPVPMAVKDEVVASRASGSSSVPSSST PAMADTTTPLKKAPAKSRRKPPAKATTPAATPDDVVPKPKSRRATPKKKAETAPAASD KKPAKKPRPSPAKTTKATPKRTTQPKAKVAKQTSPAKKKDIAVAKDSDSDSNNSSMAP SDDDSDDNLMNLPTGAFG H257_13149 MDDLSRLLEGTLSNDTARRESAEAHLTESLSSPGFALLLTQYLQ APLSLSLAQLAAVLLKKFVLAHWEETTGEDSTYVIGDAEKGQVRNLLVHSLDNLAHGT APSHFGDSKFQTAYSLVLAELVKHDWPEKWPALVPGIIELMATHTDFALKFFSVATDH IASDHFVELVPLLFPHLERVFDSPSTPPRLQGRVVAIVQTCLAMLGMMSQSGESAQAG VVLQATVSPWIGRFVRALQSQPSQHRGLSIVVVRALTSFIVEWPKDMSTLVPSILPPV WQLLVSSVAAYEADVVLDGEGHDDDGYDSDGEPIGQAALTMELFDFVRGLVHAPTKKT RALVTASLHPLVHTMVAYMQITRAQLELWTDDPNQYVVDEDDDSLQHNVRNTGVDLLR ELETTLGTSVLTASIQATHQRLTESSWRVQEAALLVMGALAEPLLMTRATSDAAPTGL DLPSFLTTLFDVMHAAESNIYLKARALWCASRFATVMTEAQLLAFIQVAISGLDPAQV IPVKLYACRALGTFVQHEKADAILPPFATTIVDRLLHLTVDATPETLHVVLETLALVV VVPGTPVQRVLTCVVPLWLRHLDDRMVHDVTVDILAALVADADAAPVVLTHVVPVLAN VFNSLYVLQAIEVVELLVKQAAVAPLVVPVLLDPLLHILITTDDGSALQHGGDVLKWI VLYASPQLEHFSSPSTGASGVDGVMQVVAKLLSPDVSDSGAMGVGGLVTQVLLRLAPL LPVATVHQLLHAVSTRLASAQMPSLIQSLCTVYARLVHSFGVATIVDALESLGVCSFV LTTWIEHQGDFYGLYAIKVTLLALLKLLESQHPVVLALVVQGEMVQNHTSSTKRALRS ANKAEPTSRQYTQVSFATKLVSILAMTYAQFEDEAEADDMDSSDEEESDDGDDSDEEV SATSVRPGGPSSIFAPSEDFLLSDMLDGGSEPDGDDEDEIEAAMDPLNDVDLKEQIVL VMRALNSTPSFVESVVPGLTATEKSIIQDMLS H257_13149 MDDLSRLLEGTLSNDTARRESAEAHLTESLSSPGFALLLTQYLQ APLSLSLAQLAAVLLKKFVLAHWEETTGEDSTYVIGDAEKGQVRNLLVHSLDNLAHGT APSHFGDSKFQTAYSLVLAELVKHDWPEKWPALVPGIIELMATHTDFALKFFSVATDH IASDHFVELVPLLFPHLERVFDSPSTPPRLQGRVVAIVQTCLAMLGMMSQSGESAQAG VVLQATVSPWIGRFVRALQSQPSQHRGLSIVVVRALTSFIVEWPKDMSTLVPSILPPV WQLLVSSVAAYEADVVLDGEGHDDDGYDSDGEPIGQAALTMELFDFVRGLVHAPTKKT RALVTASLHPLVHTMVAYMQITRAQLELWTDDPNQYVVDEDDDSLQHNVRNTGVDLLR ELETTLGTSVLTASIQATHQRLTESSWRVQEAALLVMGALAEPLLMTRATSDAAPTGL DLPSFLTTLFDVMHAAESNIYLKARALWCASRFATVMTEAQLLAFIQVAISGLDPAQV IPVKLYACRALGTFVQHEKADAILPPFATTIVDRLLHLTVDATPETLHVVLETLALVV VVPGTPVQRVLTCVVPLWLRHLDDRMVHDVTVDILAALVADADAAPVVLTHVVPVLAN VFNSLYVLQAIEVVELLVKQAAVAPLVVPVLLDPLLHILITTDDGSALQHGGDVLKWI VLYASPQLEHFSSPSTGASGVDGVMQVVAKLLSPDVSDSGAMGVGGLVTQVLLRLAPL LPVATVHQLLHAVSTRLASAQMPSLIQSLCTVYARLVHSFGVATIVDALESLGVCSFV LTTWIEHQGDFYGLYAIKVTLLALLKLLESQHPVVLALVVQGEMVQNHTSSTKRALRS ANKAEPTSRQYTQVSFATKLVSILAMTYAQFEDEAEADDMDSSDEEESDDGDDSDEEV SVCCAKC H257_13150 MGRRSSKSHLQALPTMLRDTKMGYIMIAYGPSALKVLVSAMCVL LVSVDVTFNNWELNQVLGNGNALLTPLLNTQSSDDLPKMYSFPRGMSLDTASTVGVFM LNYTIQKISIRDDTIYTLTADSFLIDNPANDICGILKQSYPVAENSGVGSSMKLGVIK DGIQYVRGIALTNIFNGLGTMAPAGTRADDLIALGYTPARTETDMRLTTAVVVPPIGT TAYANVSMYRFYPRAFCTGCEPVSELGLDVCTLAMSYNATTRSLVVQSSKAIYGQDHV MGFILDRTATTKGSLYVRGFCVLFVMVAYATSQKTVRWTDGATLTSWYNKLSYMISPT LLRYPCHTFDFSYFCFNSDVFVVGYVAAVLLDEKACNIYSRAMFSWFKNTSTNSTNSW VFVRILAMNFRWMWLNCLLIKFVKFVANYTTATRYTGRNFIVGYFNFSSPTFVYIAGL FFVARNNFLDYGLMDKVTLLSTTQSLEGISVNFFTSALLRGYPSLVLFMLINLFVILT VDLLVNRKWWRLVSQNSLGRQHMFNSTSIIADSGCNFVELKEYDNPVLLISVRSLCTI QWFLTSQTIRFGLPEHPSTFRDMTSKGASTRHKSMTLSKSNAGNASQGEFEPVSNSEL LMVSQDEDGYIHLYNALKTEVQALSMEVKVLADSKYQLA H257_13151 MTSPYTPRTYLSKTFTRSPVHAIHGLVATNHPQASEIGLRILKQ GGNATDAAIAIAATLALVEPCSTGMGGDCFLLHYDAATKKVSALNGSGRSAQALTLEQ ARKDCPGQAAFALDNVHAITVPGAVAGWVDAVDAWGSLTIDQVLRPAIDLAKSGFPVS TQTAVAWKRGVARLKQWPHPDALLVDGYAPGVGEIFRNLSLASSLEEVAAKGKAGFYQ GRVAEAIVASVQAQGGVLSLEDLAQHTSTFVDPIKVSYEGVDVYEVPPNGQGITALMA LNLLKQVDGDDWKQQHNSAQYLHTLIEVLRLAFLDTRWFVTDPTFEHVPVAELLSDEY AKARIALVHPDKAAVDPKRGSPVLSSGTVSFQVVDGAGNAVSMVNSNYAGFGTGLIPQ GVGFTLQNRGANFSLQHGHPNVFAPGKRPYHTIIPALALYSDSQLLHSTFTVMGGFMQ PQGHVQLLLNQLLFHMDPQAALDVPRFCIGTSEGDFSYVYVEPGVDEAVVEALRLKGH AIRVLDTTQVSTVGRGQIITKNPRNGVLCAGSDGRGDGLPMGW H257_13152 MAPSKAAIVQAKIRHELRLLHENGDVSESIQHMAAYFLELMHQL AHNTIAVADVVDAHHRMESFLVANATSQHIVVFESAMLELTRAAIFTALHESLRALLS FGAFVPSALRYWKRQLKQPLDLFLLTLPDKVWEPSGGVISTDDKIRILDETYETMLVH IGELKQQMLKWPHAEPNRYQDMMHTSRTLLLKVFSTKHLTIRPNAVTIDAAETVGASA LASSGRPRYELKSVLDFLSHLPVLRATYKGELDSALEGCTIPPFLRRRWWQVSVGAVG VSLGVMYVVRNSHELHDLALTMHKGIRDFVVDHMVVPLNNIVGEILLSKKSLIQDPLA LNDSKESLQRMLADFIHDTNPSLPLSVQHDMVANMDMSVVSLQYEKELPKAVRNLVTG DIVRMMLIQIQFIKKELMVAMKAIDDLMDANQLNMQMMATLPMFVVAGGAYFAISKTS RLLYQFTSSAMYEDPKSVASQIRYTLRDIERLLNMQNTSHASIDSLPGLSSQMSTSTM SPLLRAASAAGDGPGTTLGVRDMGYLVVLLDQLQQLFERNRGYFDDAEQKRFDEDVND LVGDHMLVSQQLAVIARMYHSHAFLAQGVSSKWFH H257_13153 MKPPIKSQSDMTGTIAESPRSFALSTMPTMALRKLHAMRDLAKL PFTVEPSCSPLHAAVLHGHVDHVIRYIASAPLLVHAVDRHGNTPLHHACVIGQHEIAR LLVEGGGDVDARNATGHTPLHKAALGGHMACVALLIESHANVLRKNEKGQYASDLAGW RMHTDVTKYLRDLDLKAILQILPDEQGNTRLHYAAACNKLNAVISILQDRMQNDVDVR NKAGETPLHAAAREGHYDIIEALVARGANPCAVTLSGECAVTLAGGHHIRVRNGLLRL ERTYLTDQFDQEENGNSALHVACALGRVSLVKELLDSNDDLDCINHDGNTPLHVAVAH DRVDVVTFLLANAPMIDVTVRNNVGQSAADLGSSSPSLRWSLLRAEAEVVARYCVDSV VESWDRQML H257_13154 MDGGQGDSIVLEEEIDPNYEPTEDEVIEYAKWLGMDLDAERELF WIAREGLKAPLPENWKPCKTTDTGEIYYFNFASGASTWDHPCDEYYRKLYDDHKKKTI QGKKFQDTDDKKKKEKDDIAEILGKKPKKAPKKAPKVETLGTVKSGMDKKPLGAIGKL PLPGGGLGALKPVGGGALGRPSAMRAQEGKSDNDDNDDEEEGKAEPPPIKALPSRKPL GKKDVKVDDDNAIVAAKVQELEAKLQLLEDEHNSQVASLQAKVSAKESQLKQQQTELD DEAAAIEKKLQKLQKDHADEVENVKELDKKLSKRRKDLEAEHREALDNADSKFMEKKR ELTRKQDKELKDMDEKHKEALEGMDAAHEKALQRQEAQRQKEEMQAEHREKEESNRVD KLNTELDTLKAEVAQYKRQVNVLEGKLQDATASNHPPELVSDLETSIDELKAQVEALT AQLKQKDKAEAALEDKLKRQAEAFEESRVRESTAVAASSPNKELEGLEEQVKAMTKQL AEAHLNVANAEAQTKVWQGKHAKMTEHLTAWESKHNDLLTKVHGAEAAHASTIAGWEQ KVHDLTSATTHNPKESADVNEWREKFQALVIKHEAADAECAAAKSTCTDLKQQVAKLE QLQRDATLKYEAACAEKNQVIDGASLADSKLAELERTIESLTASKRTLVEQLEDAKAA HQTAQERTAQELREAKADGQSWQRQYDKLMDTTNQDQAVKDQLQQWQAKYDELQQTHE DAVVSSRAQIDALECKIKGLERDNVAVVDSWKEKMADAEIDWQRQLTSAKSTIGDLQA KWTSMQSQESSTSGLVASLQEQLDQAYRRAQESQDAMDEHERCHKRTLETQQSQWKQQ LDDVQATHALVLQGLKSEAMAAEAAKRKADDQRATLERKLKLKENEVASVAAQMQAQH TSTHESTTSMWEWEKEKLTSQLKLVEGERTEAESRLDALRMEHDALLDTHHRVVLDKD VAEKKVKQVEVDKEQLAQKLKGVEKEVDIVQAKWRALTTETTDLKSALSKVKLGLQTA EVQYEKATDECAGLETLLKQVRDTSDKHQADARALQLQLDDALFQKQRVEHDQLALHN EVDRLKEQIALRSSSSSLTSSAEKQDSLRQQVEQLTADARAANDKVRQLQGKAQEFET KFKQGQEMHDRHVSMWQAEKQSLVDKLDKVKGQQQQLDRQLRTTTHDKDDVEATRDRV QVELADLEKRWKLQGEALAAAQTKLTENVHHIDDLEGQKRAMTYELQAATGKLKRLEL DCDRTKAELATRSQEKDAIETKWRLDVVQFEAKLKSVRQDQSDLVNKRLVDEESKREE ATLQWKRDADDKKKEWNARLSQLEMDKATAQTQLVQTTTELAVLQKDKEHWTTLKQKL EAQVKSITESADKDRQDWHDQLDQAKTKLKSALAEKDALMLSVASSSTRSNNNNLSMQ QQQDLPPTAYDNGPTHLKLQMAQVNKTELETHLHDVTMQCETWRRKAALLDSRSRDLA LEIEALHVENAALRASSQRMHTSALESLTTVERLNYEHKKRMVRGEYMAQLREFTERE ELAFARQKARVRASCERQLDELVGDFDKQKNQRMNQEERDFQSALHHCQSENQIKLSQ VLKEHRDKVAELEHDLQVKQTQHLHHLSKQMQEEEQHLSARLRDTKQLNREEDLAQIP SLQPGGFDVAWKEKPQAPTGVAAVTPLRSPPRHRKVSKYSKPRQQTWRRRIQQEHDLL AKAKQFLAKQKKSLKHRMAKLQDEKDWWQRQPNHHTKARHEMKQLLEQHAQQLAHDAK EVKATEKWLVKREQKIQSLERTCNHGFEVDDEDEEDADPSETSVEGHLERLHDELVAD GSTMSAKLQSFARNQDASALAWPSELPVQYEPSSYPPRVYPTRSSMDMPYAYHINTPS RDLNRWAYQPQYTTRPPERDHSYEPFMSNSTAPRTPMYDTKLSNWVHKRERASAAASA HSNYLHQLSQELKTYSNKYQTAHHSEGEVDGLP H257_13155 MQQIKDNLTATQQRQPTQVNTTTFQANDQVLFHKSSATWPSSAD DADPWFQFPYPAFDRPGAIRTSLGTTYHGLQRSSPARRID H257_13156 MAESIAEADAHAAQAAEEQAGKANTGASPALVQVRRAPIGHAMP IWQAAPNLKITEECIGQMQVENGPLAMRLEDVHSLKLTRRLRVLQQLDSRLKDWGWTP ALRELVERFYTLFCRIGLFEIQVISQSAFKFGMLLT H257_13157 MFNAVARSYWRPRLYKSVAHYVARCDACQRAKVTAASKAPLQRL PTPDELWASISMDFMFDLPRDVCGHTGIMVCVDCASKYVAAIPAVGRWNWRSSNSPIV GGILQIDNMDDDQLKKAKAVVDLTGDYSDSDEYFFAGSQRFRTGRENPLLDLFMKKEE QRIDAEHQAATDMVQMALRTMRPMAMRELLRNEIMALKERHRKETMRR H257_13158 MRTTPFKIYCDHKSLIQVFALCEELKAHSHSKLMPSVATTGGYR YHNLCADMMSGWGQPTPSLATNRVKIRRGHGWSKKIKTRKVPLPAQPKLRRLDKGFVW PCVADIRQAQDQNAKDKPK H257_13159 MRPIACLRRSEADPAVVGGVCLAPVEVAWLAALARVVRQSVESD DGLECLGELVDVSCLRQFCSQDTVRFVVMPSNLALAASNTTRIVSERLHCLAQAAMQR QDRVVQAL H257_13160 MTTKRRNLTDDEREAILREVLLHSNGSYMARLPRGSSQVQVDKY NCHMSTIRLVLAVAKRQGQSL H257_13161 MSSGYKGFKSWGGQECPSLKPGESYDARATKFSQMGSNIVQNQA TNTAIRIVNPSFEAVTRSHFGHVTKHDYENPARPASRGREIANSRTFLGQTLYQNDFL NFQDEGRRIAALPAAVYAASFDQLATVPNQDPTPSDSDLFKLKRLARDDVKTLLRQVY GKPPTSRILEIYAHMFDACPDGFISWDVFQEAVARLASFLLHQTIKISGQAGWFDFVA AKQMLPGGTPASSHQLDFGTYGSEPLARPYIGRTNGMASTTTDLFDGTTKATFHIPRY QGFIPQTKYNPTAVAQGDGEQTRGKEEDLRLYHLNNLPGYTGHKPVDSKNVRGEAKTG TDSRTTNGFVYKPHNLNVHNKTGAQKLWNIVQLFLLTSVPSLRARVFLFVFIMAGTLG LASLSPVQHLLARMVRGKLAHGAIALVAADSAVHRCTMQRIWKSASVDLNDPRRPCKD PTSRMKARVGRKAFHRSVADRLREIPKNRRTTIRSVGAAMGTSRSSLHRYYKRGVFVK YLGVVKPALTEANKIVRLKWALDSVQTNAAGGFNFSDMMDTVHVDEKMFFATCVKKTF YLAPGEEPPHRTAKSKRHIIKVMFLSAVARPRWDYEKNQWFDGKIGMWQFTEWVPAKR TSRRRVAGTMVMTPALWPKHESRRIMIQQDNARPHVAPLDSDIVAACAAGG H257_13161 MSSGYKGFKSWGGQECPSLKPGESYDARATKFSQMGSNIVQNQA TNTAIRIVNPSFEAVTRSHFGHVTKHDYENPARPASRGREIANSRTFLGQTLYQNDFL NFQDEGRRIAALPAAVYAASFDQLATVPNQDPTPSDSDLFKLKRLARDDVKTLLRQVY GKPPTSRILEIYAHMFDACPDGFISWDVFQEAVARLASFLLHQTIKISGQAGWFDFVA AKQMLPGGTPASSHQLDFGTYGSEPLARPYIGRTNGMASTTTDLFDGTTKATFHIPRY QGFIPQTKYNPTAVAQGDGEQTRGKEEDLRLYHLNNLPGYTGHKPVDSKNVRGEAKTG TDSRTTNGFVYKPHNLNVHNKTGAQKLWNIVQLFLLTSVPSLRARVFLFVFIMAGTLG LASLSPVQHLLARMVRGKLAHGAIALVAADSAVHRCTMQRIWKSASVDLNDPRRPCKD PTSRMKARVGRKAFHRSVADRLREIPKNRRTTIRSVGAAMGTSRSSLHRYYKRGVFVK YLGVVKPALTEANKIVRLKWALDSVQTNAAGGFNFSDMMDTVHVDEKMFFATCVKKTF YLAPGEEPPHRTAKSKRHIIKVMFLSAVARPRWDYEKNQWFDGKIGMWQFTEWVPAKR TSRRRVAGTMVMTPVSVTHAVYKAMLIDHVIPTIKALWPKHESRRIMIQQDNARPHVA PLDSDIVAACAAGG H257_13162 MSSILDHSIVGLGNPLLDISASVSDEFLAKYKLKMDNAILAGDE HLPMYDEITKDFQAEFIAGGATQNSIRVAQWMLKSHSAKATSFFGCVGKDAYGKVLRD CAEKDGVNVHYMEHHEVSTGTCAVCLNKEGERSLVANLSAANKFELAHLDDERSKDII NKAKFFYSAGFHLTVCPDAIMKLAEHATFSNKVFLLNLSAPFITQFFKDPLLAAMHHA DFVFGNEAEATEFGNAHGWGDDLAIVALRLSQLPKASGARCRTVIFTQGAKPTIVVHN GELKLIEVPTLDQSEIVDTNGAGDAFVGGFISQLARGKSIQKSVEAGHWAAQVVIRRS GCTLPETCEYTD H257_13163 MATQRPKRSEITEINLNDDEAEVAPLAPNGPSSSAGASRRQQGN SVFGDIVTKFMCVVFVVVASLGLHEVRFWHVLLYSSRANRPLVNLGIFFCTAVVLLGS YLEYYRAIYLGETLEYKKAQSTTHAILASMVLAGISFSIGLWPVWHWMTVPILFMWFW GLIVPLVVVLPPTAQKVVFAGVYIYFMHSYLSTFIL H257_13164 MLPSGPSGKAFASPECVWNLGFVLVDGVFLFHFTHVPKLAVNLA VQTEYSYTYRYEYAMTRPNEVNSHLMHYLREDIKSIGALNRLSLVAVRQLSSTEGTQQ RVKMYEAVTRKLMRDTSVV H257_13164 MLPSGPSGKAFASPECVWNLGFVLVDGVFLFHFTHVPKLAVNLA VQTEYSYTYRYEYAMTRPNEVNSHLMHYLREDIKSIGALNRLSLVAVRQLSSTEGTQQ RVKMYEAVTRKLMRDTSVV H257_13164 MLPSGPSGKAFASPECVWNLGFVLVDGVFLFHFTHVPKLAVNLA VQTEYSYTYRYEYAMTRPNEVNSHLMHYLREDIKSIGALNRLSLVAVRQLSSTEGTQQ RVKMYEAVTRKLMRDTSVV H257_13164 MLPSGPSGKAFASPECVWNLGFVLVDGVFLFHFTHVPKLAVNLA VQTEYSYTYRYEYAMTRPNEVNSHLMHYLREDIKSIGALNRLSLVAVRQLSSTEGTQQ RVKMYEAVTRKLMRDTSVV H257_13164 MLPSGPSGKAFASPECVWNLGFVLVDGVFLFHFTHVPKLAVNLA VQTEYSYTYRYEYAMTRPNEVNSHLMHYLREDIKSIGALNRLSLVAVRQLSSTEGTQQ RVKMYEAVTRKLMRDTSVV H257_13164 MLPSGPSGKAFASPECVWNLGFVLVDGVFLFHFTHVPKLAVNLA VQTEYSYTYRYEYAMTRPNEVNSHLMHYLREDIKSIGALNRLSLVAVRQLSSTEGTQQ RVKMYEAVTRKLMRDTSVV H257_13165 MHQSHMSTVVGAAYRDDGSTDEQKRNPGAKVHGHDEIPPCVCVL GVGDAQELLRRQIHDCVRRNVKAVRVIPPKHSVGLDVRAVGAHERHILERAIGARNAC HKLGRLRDGVDVHGLEGHPEHERGPDGDHRPHVEGLVQRTSEGVCSWDLHDQGHWFDG SKCDVDDKDWQRNRRFPQQRSLQNQGFGRHGQNVRERWIHHMRPERGRVLR H257_13166 MGGMTCTRNEREKRFMAWLYEHGADVGALRISSDVSGSRGVVAT REIAENEVTMTIPSSLFISEPAAKADSTLGPIFATHVDLFTRDDPLLSTFLTYHIFLQ EASFFHPYLAILPQPESILNWSMEALGQLQHPKLVDVVTRRNDEIQAWYDRITSRLFR VNPDLFHQDSFPYHTFRFAWQTVQARTFGRRLPWTALVPFADCLNHANVATRYDFRDD DQCFRWHSSQRHDKDQQVFNSYGRRPNRTDLWNMMSRCVFVWLKLGSIVWLETLLLDY GFALHANEWDYVDVEIDTMDDFLLPKPERRRLFLAAHLMPFPSRLRLSPDTTLDEVLP YFRCASLASATADTSILSPQEPAVEALALTRLRDQLQAHLASFPTTLSQDAALLNHDS VACANHRTALEYRWHRKHILQRVQDLATLQLKAIQQQDVPEYN H257_13166 MGGMTCTRNEREKRFMAWLYEHGADVGALRISSDVSGSRGVVAT REIAENEVTMTIPSSLFISEPAAKADSTLGPIFATHVDLFTRDDPLLSTFLTYHIFLQ EASFFHPYLAILPQPESILNWSMEALGQLQHPKLVDVVTRRNDEIQAWYDRITSRLFR VNPDLFHQDSFPYHTFRFAWQTVQARTFGRRLPWTALVPFADCLNHANVATRYDFRDD DQCFRWHSSQRHDKDQQVFNSYGRRPNQTLLLDYGFALHANEWDYVDVEIDTMDDFLL PKPERRRLFLAAHLMPFPSRLRLSPDTTLDEVLPYFRCASLASATADTSILSPQEPAV EALALTRLRDQLQAHLASFPTTLSQDAALLNHDSVACANHRTALEYRWHRKHILQRVQ DLATLQLKAIQQQDVPEYN H257_13167 MTLRKRSTVGLNVDATAKELRPAEKSPHGRSRSQSSDTDVATKL QRNNDIAGMLERNEIVPSLSSSKDTKCDLCDGLWTDESTSALFEFRPSGIVCDQSCLT FAASFVAKNPSIQSRDAYLAYRRQVEPNQSGVFKIVAEKAVDLPSVRWVGRQDPYVRL ALLPWNEPVQTKAAMSGGKNPVWLDAHANEMRLQHRCNSSNNPVPALEVQVWNDNYMF THDLLASSLVSTSPLLQHPGISVSRWFTLISPSSVSSKPTNQHARVFFTMQFDPQPTR PRRLQLSSEPADVRDHKFRVHSLKTVGMCLLSCDVCDRVIVSTTMTQWGYRCESCGID VHKSCMMLANTNLPCPHHHATPSRNNNDEEHDGHDHESVDAVTRVRYVVSPKDGSSGY LVVQPSRTGLPSFGKLYVNLQGVHVCSKYCKPCDNVHAANVFEGDTYCRLSVDGMRHE TKEVYKSANPVFDDRTCFDITNRDTAFQLEVVDLATNVAVGSMTVLLFELLQMDADDV VRSCVTWCPLLESFHASSQFNCPKTSFPLKLKANVVGHARLTLHYAEAKQKLLLYVPK QRMVLQGREEKDFSVETLKTNMDRLARVLRLAPWLEQQYLAIITWKRPVHSGAVLILF AAACLFVDAEHMPVFGFALAIAYMLHTLWQRVTGAYALQWAAYDDDVMEGTRLFRPVA TLLAAVVDAELPPTDSASSSSVPYVFVRVVYIPNDSDQDDSGLISSGGDEYLVGRTHA VRHTARPTWRDGSNVVSHLAPPGLFRPNAPPKKEHVFRNVHVSWPQAQQQRNCKPTTD YHTLVYPLLQAAKHFDNGRELLVPWTAFPGLLRFDVVETNDAGVCDTVLGSTTPLPLV QFIHADEATLTLPLVLCHSNSTNSSLSTSSSTSLTVRLKVQLPDPNPTPHKQPDGAAR PADKKWSTYVRDALAEKDAKAATLGTTLFGAFWKAKDTTTMVQNAVGRVCATVVCTQN LFNWTHPWKTATVFAACVGGAILFSIVQARYIILVAGILEFVAGLREDRPPSNTARNV LWNFISSLPTDTDLIQAYDDQRKEYVATRTSAEVADAVACRRLKLQALWVGTVQVKME HDRTWKPLCVAFRRSRFVFWKSVDDVDACLPPLGQLIIDGMSDIKEIPDLMARKPEDP PYILYVLGNTGESYQEKRFLGFASAATRDDLLHVIKASD H257_13168 MSSPCTSGNHTPKPLSMEVRCLCGASLTERDAAFAVSSGSRIRV YSSSGLVLLHEFSSIHGCVLNMQHTTFCDAIVTLETKVGDDNMGDCYLCVYHDWRAVS SSDISTPPAEERTAPSFVRAYTLPLAIADPHSIRLSVCSFSGRVAVATPDGFGVNIWQ TSDGFFEHVMEVKVAGPGLAFIAVHGAHLAVATATEIRVMEIQVITEEGSPSSTGTST SSKSQYPSPLSSDRARPPLPHERKKELIYNTLEKDVIPVLHIPIPGTAESSSATSVGQ SGSHIRILGQREAQLEAFNLAGLVQATDVRVNAAMSYLRCHVQVLLRRFVPPNHTISG LHFVPETIDHRAQSRSYTRLLVTTHASEAILYYFLAGHVDTTRDAMAKKILQRDANPL RGIVEPIQISDRPIPSPSSSVAAAAAGSSPVHGGRHTNSVVESRRVVMYYKFLAPASS VTANSSFLFAATAAGVEVWSLWSPCHHVAAKKALDATFVPEPTQPQLLGVHPLSTPAA AIVALDGHVIVLTTPATRHQLAQSALVATIKSHDVPFEMRGLVRPPYSPPLAGGTVLV YPQSPPSCMFQTLKAKTSGDTNHPNHVTRAQLDLLLSLFSLYRFRADVGLDALQTASH SRKVTLAIQLETKLYDSLARNCAAHIAHLYTTPAFRDLNRAALLYVASNVSARDVLLR FRSLDEGLNDGVRPDVIDATAVYLEAFLFPTKDPSMYLAPPVAAFSVGAVQGSNDHEF TGVVLRHYGDHAPEQLSRLAIDSSLPWTLLDIDYCLAKLQFAQNVLIRMGVLVLLVRA HNMPDQLPAFLAAKEAAADTLSSSTDVVHDYSYASIASRIEWLTENYPDPLIHLCVTH PELLVVQQQPPTIPLVVPTSPSTTSSTRLPTLQQSVLARGLLDKAPAKCLSALELIFH SALGHQNGIGTTIAFCLGVLGEHGDAVGQRVSSQLAKDDSMAVGCCFHTSEVYVLCAV HFMLLHLIKHPTPDAAALLPYLSLEFVRLCLRLSLSSSIKCKAMLSHALASKSTLLPK NLSPLPSYVVPFITALVGSPKTSHIAQALHQLYLLACHMVASTVDADTALGSILLSDD YKNQDDSPTLRTLMKLLLFPRVHKYLPTYLHDLCELNERSSHTNRFDDGLAIMKASPT YHAYLLPYAVANSASLDEWKAFLHVVLDLCNSTCDNGKVLVQTALDHMAVTLSPQDLL AILPDDADVGLFLDALARAVRLHDSGDVPGTTD H257_13168 MSSPCTSGNHTPKPLSMEVRCLCGASLTERDAAFAVSSGSRIRV YSSSGLVLLHEFSSIHGCVLNMQHTTFCDAIVTLETKVGDDNMGDCYLCVYHDWRAVS SSDISTPPAEERTAPSFVRAYTLPLAIADPHSIRLSVCSFSGRVAVATPDGFGVNIWQ TSDGFFEHVMEVKVAGPGLAFIAVHGAHLAVATATEIRVMEIQVITEEGSPSSTGTST SSKSQYPSPLSSDRARPPLPHERKKELIYNTLEKDVIPVLHIPIPGTAESSSATSVGQ SGSHIRILGQREAQLEAFNLAGLVQATDVRVNAAMSYLRCHVQVLLRRFVPPNHTISG LHFVPETIDHRAQSRSYTRLLVTTHASEAILYYFLAGHVDTTRDAMAKKILQRDANPL RGIVEPIQISDRPIPSPSSSVAAAAAGSSPVHGGRHTNSVVESRRVVMYYKFLAPASS VTANSSFLFAATAAGVEVWSLWSPCHHVAAKKALDATFVPEPTQPQLLGVHPLSTPAA AIVALDGHVIVLTTPATRHQLAQSALVATIKSHDVPFEMRGLVRPPYSPPLAGGTVLV YPQSPPSCMFQTLKAKTSGDTNHPNHVTRAQLDLLLSLFSLYRFRADVGLDALQTASH SRKVTLAIQLETKLYDSLARNCAAHIAHLYTTPAFRDLNRAALLYVASNVSARDVLLR FRSLDEGLNDGVRPDVIDATAVYLEAFLFPTKDPSMYLAPPVAAFSVGAVQGSNDHEF TGVVLRHYGDHAPEQLSRLAIDSSLPWTLLDIDYCLAKLQFAQNVLIRMGVLVLLVRA HNMPDQLPAFLAAKEAAADTLSSSTDVVHDYSYASIASRIEWLTENYPDPLIHLCVTH PELLVVQQQPPTIPLVVPTSPSTTSSTRLPTLQQSVLARGLLDKAPAKCLSALELIFH SALGHQNGIGTTIAFCLGVLGEHGDAVGQRVSSQLAKDDSMAVGCCFHTSEVYVLCAV HFMLLHLIKHPTPDAAALLPYLSLEFVRLCLRLSLSSSIKCKAMLSHALASKSTLLPK NLSPLPSYVVPFITALVGSPKTSHIAQALHQLYLLACHMVASTVDADTALGSILLSDD YKNQDDSPTLRTLMKLLLFPRVHKFDDGLAIMKASPTYHAYLLPYAVANSASLDEWKA FLHVVLDLCNSTCDNGKVLVQTALDHMAVTLSPQDLLAILPDDADVGLFLDALARAVR LHDSGDVPGTTD H257_13168 MSSPCTSGNHTPKPLSMEVRCLCGASLTERDAAFAVSSGSRIRV YSSSGLVLLHEFSSIHGCVLNMQHTTFCDAIVTLETKVGDDNMGDCYLCVYHDWRAVS SSDISTPPAEERTAPSFVRAYTLPLAIADPHSIRLSVCSFSGRVAVATPDGFGVNIWQ TSDGFFEHVMEVKVAGPGLAFIAVHGAHLAVATATEIRVMEIQVITEEGSPSSTGTST SSKSQYPSPLSSDRARPPLPHERKKELIYNTLEKDVIPVLHIPIPGTAESSSATSVGQ SGSHIRILGQREAQLEAFNLAGLVQATDVRVNAAMSYLRCHVQVLLRRFVPPNHTISG LHFVPETIDHRAQSRSYTRLLVTTHASEAILYYFLAGHVDTTRDAMAKKILQRDANPL RGIVEPIQISDRPIPSPSSSVAAAAAGSSPVHGGRHTNSVVESRRVVMYYKFLAPASS VTANSSFLFAATAAGVEVWSLWSPCHHVAAKKALDATFVPEPTQPQLLGVHPLSTPAA AIVALDGHVIVLTTPATRHQLAQSALVATIKSHDVPFEMRGLVRPPYSPPLAGGTVLV YPQSPPSCMFQTLKAKTSGDTNHPNHVTRAQLDLLLSLFSLYRFRADVGLDALQTASH SRKVTLAIQLETKLYDSLARNCAAHIAHLYTTPAFRDLNRAALLYVASNVSARDVLLR FRSLDEGLNDGVRPDVIDATAVYLEAFLFPTKDPSMYLAPPVAAFSVGAVQGSNDHEF TGVVLRHYGDHAPEQLSRLAIDSSLPWTLLDIDYCLAKLQFAQNVLIRMGVLVLLVRA HNMPDQLPAFLAAKEAAADTLSSSTDVVHDYSYASIASRIEWLTENYPDPLIHLCVTH PELLVVQQQPPTIPLVVPTSPSTTSSTRLPTLQQSVLARGLLDKAPAKCLSALELIFH SALGHQNGIGTTIAFCLGVLGEHGDAVGQRVSSQLAKDDSMAVGCCFHTSEVYVLCAV HFMLLHLIKHPTPDAAALLPYLSLEFVRLCLRLSLSSSIKCKAMLSHALASKSTLLPK NLSPLPSYVVPFITALVGSPKTSHIAQALHQLYLLACHMVASTVDADTALGSILLSDD YKNQDDSPTLRTLMKLLLFPRVHKFDDGLAIMKASPTYHAYLLPYAVANSASLDEWKA FLHVVLDLCNSTCDNVRPKSTYCGPN H257_13169 SEMPTPMLTRLVLVLVVAGSVAMAHTAAFVFPDGCGRSQFANVP AIHRCSCANATRVSSVDALSFHYCNMHAHPQASVLLLCVMLCLMFYIVADTTSRFLVP AVTYIASATKMDPSVAGATLLAFANGMPDLISAIASFSGHSKHAGFGVGGLLGSGLVV SCFTLGYLAFLSNGAHINKRPFMRDVAFYLVALAGLIAVYRVGYVTVQVSLMALGLYA LYTVSVVRMQHSTDETLSNEAEAADPVEKPSPAETKPTTPPVVGPAMLEQGGLPRPDK SVKGVETPSQGVEYPPTYAEVALDSPSSTVSTAPSSDVDMSFDESSEGERDADDTVTS PSHRASVCGYLAVVTGWNDATLVSRCIAVVAFPVFWARHVTVPLLTHASMDHDPRACL SRVDVVCWAFCIPLFVLYVVYRVVAVSHELVMGVAATGLGLAFVAALLSAETRRSSSV HLVGMALALMTSSMWVFLVGHEIVAILHVLGVTLSISGGTLGILVLAWGNSMGDFFGN ATLARQGHVQMASAACIAGPIFNTLVGGGLSLLLGCFRSKESTVALWSVSEKSTLRSG FCILLVCLVSLLVVGGQVQHTHRVSLTKWFGVFLMGLYSVFCVATLAEETTS H257_13170 MSVQHDTKRKKSTFDEDIVLLRQVSADLPFEASHGTIGSNWESV ARTLTSCSTFGRNVNGKKCQNRFNILLDEQKILRQEAMKASGASEDETEKTQLLDDLL LGMQETEEKSVKASIAASAANRSKDLNSHHLRHEAMKTIGKRNVEELGPSKSTPSKKQ QQWQLVSSIDTATTFPTALSMTFYCGLKVVNAHSVFIS H257_13171 GTLTSPVSDHWRCYSAYLASWSRQAETVVML H257_13172 MQEAQRTPKRSYTVATKQEVLGLVEAGLVDYKKWDILAYDGNKK RKKIVPGGRPETFPDPDGLVLFMNEMREQERALTTTHIVKWIKRHQADWLRSYVARKK PGAGYQSLLSLLQRFCHRHGFSRQRPGKNKQSQAALVEVRDKFAEEFHREYRGSEAFL RMTAVLTVRANGDKLPILFVIRGAPGGRIETSELPLFPRGHVYAVQQKAWMYNTVWNY YLRTLLANNLSDHSVVLLDNFASHVNDDSYRIVHEELGRQQHRYSNGQRKELLAEFHA SDAISERQFCRAKHIPYSTWQGWRSKEDKILANKRHSRCATMGGQGAKEIIPFKDDLL AFMRSRRDEERYVRVFHLMQWVKQYQKTWLVQYLASKKSEAVEFNSVTQRVLDEVSLG YAASFWSKYAQDDRSQPLNVDETAVYFDMPPGKTLAEIGKSSKVANGEKHSDRLTAVL TIRADGTYRVLEL H257_13173 MPSPQEARSNNAPSGSHDRRKLDNDERRAIYEALINLSVRGDLP HGAYRKVGRMYNCYWKTAARIWQRGVASLRGGSAVAIVDSKLKGNFNRKRTAEEIE H257_13174 MHLHTSGHRFNNFPSALYAVDVTFQRTNAPARSFNEKKRLYSKK HGQYGLKVEASVLPNGLAINVTTAVPGCVADIAICELNLDFHQVKLKKIGEEDDMLDD GPMQEEYPRSWALLADKGYQGLHRQLRAITPMKRPAGGLLSAADMAVNDKIASDRVIV ENFFGLLKTLWSVVGDTYTWKRENYDLLPNVCGIYEPPYSFLAFTGSRRR H257_13175 MDDDEDYDSPSPVLDAFIKTRGPAVVHELTNFSLSEFNLVWKDL QSSVSQERNVGSGEVSGRDMLFMTLTSMKHCGSWDVVSVVFKEKSPTFSKRVNTFLAA IHPTLRAK H257_13176 MSPRLTRVASAARKSTVNKFYVHSVDPVPSQSIHSLRIGSFNSR SLPPNLHSRLESYWAHTYRIAIPQVNLSRHQRLNVITAYAPHMDHPRYEAHDFYDSFR TAFPPHPRTLPTAGTIPNGHRTCSTYANSSKANIASL H257_13177 MPQQTNMSPKVASTVVPRVRGKKHLTLAQRHRIYELLHNSFSVI RGPSPVSGAVAVHPHAMAGVLPISRQKLQETLVAKERVLRTRLKPQSAKPPRIAANDA GTGVQVWYPSSHDWTLYARDWTFEGTIKLH H257_13178 MAPLSHPDKMVCLCTDTSEGFWGAVATQVPVQDLAQPASDQRHK PVGVPERVVPGSERVMADCGKEAFAVVESCMRLEYLMIRPGGFRLFRDHRNLLYMFNP LGSNSNMAKNQAHKLHRWALTMTTFPKVLLDRTGAFRWSPGVPGCQAGPGVPLRNEEF MWPTNAITELQRGHPTSVAPGAPGGLKYVLVIKDDKSGFVRLHVSTTGSAAETTAALM EWFGLFGVVKTWVFDSGCHFKNELVSTLGHMFGVHHHFVTPHCPWANGTVAVVNRNVA QTLKTLCIEMRLHAGDWPGVLSLVQPALNQQPTDRLDGIAPTTVFTGRSGPSRGATGE CFYVEDLRDLRLRDGIWEVLIKWLGLDDLESSWEPSLSIYKDVPMLFRLWTKARRNEE GVSEIIDDVTSAFGHPM H257_13179 MFRSHSNAIRPFVTVANKYSRMKYAIGMVGSDMQLNDMLQYVHL VEKWFYLTNETRKYYLVPGEKKPRRTSKWFITQVMFLSAVVRPGASRVAGTMETKSII VTKDVYRAFLVEKFLPSIVAVWPHSSSAIKLQHDNARAHVTSSDAELTSLFGEFKAIW WDFELALQALNSPDTNIFN H257_13180 MQSLQHRTSARSIDELVSNVGRAFDEYPHERLNHTFVTLQSCLI ETLKLFGDNAYKAPHLSKEKLDRKGTLPLNVTCPREVVDAASASLGALDCDELDRVFA Q H257_13181 MAACVAPRSRRPQPSAGGPARGGIAPVTAFTGLAAKTPLAGFVH PTSKQDYVTDWLVTARQKHVTDLQAALEEMHLDVAVRSDKLRQQARGRRDRKSQVKFA GFSVGGFVLVGSVVNRPTKSALHWRGPCKVTRVITDQLMETQQLVPPYEVTDHHACRL KMYHEGDLETQIAFGDGGFHVERLDEARCVDGQHQVLVKWLGLDDEESSWEPAANLLD DIPVVFRKWAAANKEDPAVAALIKTLDFP H257_13182 MPRGSGEATATRLGINHNTVSSIWKGLINQGSYAWKKAARVGRK LHYAQHHVIQLVQGVPQEQESTIRDISVATGHLMGTTCRNLKSQQSSAMAAANIVHLD EKWFNADKDHRKVYLTKGEAPEGRACKSKRFLPKVIFLAAVTRPQYDAGGNLVFDGEI GMWPFITKTPAARSSRNRSAGALVTTLVNVNAADQREATTCNIDNKAKHRRSNLRPPK FPELDGQLAAWVEAANTNNVCITGPLIKQMALRLAIVLGISQFRASQGWLFKFQRRHN LWVHRLHGESASVDPSVTNAGCKSLLLETQFYDARDVSNMDETGIIYKAQPKTSMSRK PLFGLKKDKCRIYVALTANVDGSHSMSAQELRLYYHSNRKAWMTIALFSEWIMELNEE MKRRNRTILLLLDQVVRADVGNVRVLMLPRNTTSVLQSMDAVIISTFKMYYKKRQLDH AIQIVDTITGGGFVTDKQRKNPYACDMLQAMRWRGMKYRHLQLEIAGLTLVSYLKCR H257_13183 MVAVSAFVSFLSLAASAVSAFQCQSAPVIGADELVLLTSNVNIP FSYQLNRPDAAYLSLHFASVNIPVGGLLTISSPDGSQFHEYTNVTQTNFYAEFIDGDS AFITYTPPRSTSSSIDSLLANDAPNAFLIDKFAHGFPKVDLDGQVEAICGKDDSQSAV CLKSSDAPKYQKAQAVALLRINGGSVCTGWLFGSEGHLITNNHCIGNANDASNTQFEF GAECTTCETVPGRTCKGVTVATSSELVYTNPANDFTLVKLKLANGVSLDSYGYLQARA SGPVLGEPIYIPQHPAGKPKRIATIVDSGAVGTIESISIPSCVADEVGYTLDTERGSS GSPVLSAKDNAVVALHNCGGCLNGGVKINNVIKDLTAANLVPKDALAGGSTSAPITTS VTPVTTSVAPVTTSVTPATSKSPMTSIKPVTTKAPKPSTTKAPVTPTTSAPVGGDCTE CKGCYTKLLGACFPNGFTEAQCVSFTVFQTTWCGN H257_13184 MPSPHAESRTQLSGSGKSRNLSDDNRQAVLNMLLSKSDFGKRKH GKPSPSVQVIDLRPLLTDANKEERVNFALSFVK H257_13185 MHNVVHVDEKWFYLTTVKGKFYVYDDEVLPHRQAKSKRFITKVM FLCAVAWPSYDVQRNRMFDGLIGMWPFVVKEPAQRSRKNLPR H257_13186 MKMPLAMKRSTVFIQQDNAGPHAQSVNNSIERRIDGDGWTIKMR NQPPNIPDFNGLDEIYRMFGEHNSHGVNQLCVCPKMV H257_13187 MSPLRSSSFEWPTLVAIGTAQRDSLDTNTIAALSLESSQLAEHQ IKLDTADLWQLRLVNQVLWVPDEASELQLRMCVCVHASLTGHRASGPTLDSLVPFFWW TTMENDVDRCLHCASVSGGFRPLGEALHSAMPNGLLHWDYLFMGDSKTGDKYLFVIKC DASKLNQVMAELQHVLGAHHHFTTARCPWANGTVEVVMRQLLRLFRACLSEWRMAATQ WNEIHMVVMLIMNQLPSSSLGGVAPVTAMSGRPAMSPLDTIILHV H257_13188 MDEDASSTPLSPRTGAGLVLTRTRSQEAIYASTAPYAHYPPDIA VQQLRQQMGQAVDAQSSRLDTVQQAVGAQSQQTYEQLMVLHQQQQLQANAQMELNQKL MAELALQRLRLRLTKLVTRAEEGPYHQLHTEGGKCFKCLSTGHNVFKCPKVADGEARL LMDRAKAIWAEARGEGKDGEGDNHCQRALDASFDSGAHQSVIPPKTLQMLKDAGRDVV VTDLPTPVVVREVKLPIKFEADVGSLVLAKVKCWLSVGNLPAGVGDILLSRPIMHKLG CDPQSKLREAAAVCSEYDMKDVESTSGVVKTVMLATKQELVDDLAEEEEALVPMELAA SKVQAVLDARVADALLAGCGAEFALGLSKLLAKYMDVFRLTLGRDPPVDMPPLKVHPT KNSKPVRCKAGRYSLPQREFMQKHVEELENAGFIYRNPTSRWACALLIVRKPHTLTGR SQQPHGADCLAYAHVGSCGGPPAWSHLLFMLDFFKGYWKFSLDLSCQEMFSFLRDTGV YTSNRVMQGVRDLTIVLKRVFSAVGRKRTNQLTATLLLTDVGWNDTHVAALEATKKVL AKVVELSHPKPEMRLFITQVPPDQLNLQFEAQSNEPLMFLSGTFMGAAGRWAIVEKEA YAIVETLVRADYLLHPAAGFNLYSDHRNLKFIFNPTAAVASVPKYTAQKLESWALQLM GYRYEIHDIPGADLLSRWGSPLKPFVPSVKSH H257_13189 MEMNQQLMAAEAHQRDHQQILIEQLTAQRATSEHHQGLRAAAVA SVQHSDALEEMRRRSSTRWHAFTVTPVQPPALAAATGVELVYGFCCPAKTMEQGTSSF SDI H257_13190 MSADGIRSAPTTEEKKRVLAVYSDGANWQIIAKYDGIALSTARR IVKTGQIANKPRGGASQSRTKVTPEILAALERYLDTNCQFTLTAMQEFIALDFPGTQL SKQTINRHLLGMLYTVKQVCIEPSTCNSDENKVKRKEFAAALVEYQRNGDFIVYYDET NFNIYCHRSIVAKHNGIALSTVRRIVKSGQIANKPRGSARQSRTKVTPDILAPLERYL DTNYQFTLTAMQEFIALDFPGTQLSKQTINRHLLGMLYTVKQVCIEPSTCNSDENKVK RKEFAAALVEYQRNGDFIVYYDETNFNIYCHRSIVAKHNGIALSTVRRIVKSGQIANK PRGGARQSRTKVTPDILAPLERYLDTNYQFTLTAMQEFIALDFPGTQLSNQMISRYLL RMLYSAKEVRIEPSTCNSDENKAKRKEFAAALTNFNIYCLRWVGRSKQGTRATIVLPP SKDPNLQVQCALSAEVGLVCHRLERGSIKMDKNAQFVEEVYRAAKASEAYTTSVVGKK VVIVLDNAPAHSQTEQRVASHDDLCQACLFQGRSDNKEFFSELLRALVFESAYPSLWA LSTKPYSDLYTHTPHAASMDHGEPLPLTPRGRTGMVLHRTRSQEDVYAQPIDIPVAQY PPEMAVRHLGQQVRQAVDAQGARID H257_13191 MVFLNYTYYATQSHVFTLPNHSDFIEPFITTSPASVPITTKLSV GPTADRTTSKPLLRPRLPRSLPWLLSPLPKFLRWAPLSPLRLRRRPRAMAAQLLLPYA EPLLPRTYTKPTCATFVGAFWCSN H257_13192 MNVLFVLSALFAASTTVVAQEEIVGGVEAAVGQHLYVSGFRSTE TGASTCGSSLIAPNVVLTAAHCIGRGRKFVSIGSHYKSGTNDGERIKVKRFIKHPKYN AGTTSYDFAILILEQPSKFPPVQVSFDTVAPGTPTILRGWGRTSSGGASSQLLLEVGV DSISNEKCAKLLTGFTVNEAMLCAGGKLGEDSCQGDSGGPLTVESNGSVKLVGVVSWG IGCAQQDKPGVYSRISIARDFIEPFITTPPAAVPITTKPSAAPTVSPITSKTPTVTPS TTNPTVAPITTAKVPSVAPTVAPTTASPSKCNGCSTCFYPILNHCFPPAYSKSTCATF ASLGAFWCGN H257_13193 MPTPLLALPYSPPLPITSSTPRFFVASVDGWTQWCYLPRPTRRF PPLHHLPPLVDRCDHPFSTSWWRQLSEDIQTATIRNTPVSYWFVVPEGPPGHTLDARL PTNGFSPYPRASSPYVAPFYLPCFHPPMGKISTSNAVTIHIDILTTGATPGSRPQRHT HPILPTVQRLTLLIAHATLQVHPPRRRPPASFSEPDVILLKKKGNNTNVLDTAPLRYS FQPRSATVPQTAGIREGCPLANFLFILAMEPPLLASLQAHAYDHGILILHGSGFRGFA ATLSLLCNDSAIYMRHLRSIPWVLSLLRSFRDMSGLQIQLRKSFGFWLNTAHSLDSVH GIPFITSNTQGRYLGIQVGLGSLANVNWGAFYGHMPPDSKTHQKATRALILKARTQSK FIFLTSYIPGLDCLLRSAAAFPPISPELIFNASRAPTDYHAR H257_13194 MAACVAPRSRRPQPSAGGPARGGIAPVTAFTGLAAKTPLAGFVH PTSKQDYVTDWLVTARQKHVTDLQAALEEMHLDVAVRSDKLRQQARGRRDRKSQVKFA GFSVGGFVLVGSVVNRPTKSALHWRGPCKVTRVITDQLMETQQLVPPYEVTDHHACRL KMYHEGDLETQIAFGDGGFHVERLDETRCVDGQHQVLVKWLGLDDEESSWEPAANLLD DIPVVFRKWAAANKEDPAVAALIKTLDFP H257_13195 MRWQAEQAGDELVALDAACACAEEVASEDRTPRNWDQVKSATGF LWCGLVRLPIRELSVAGADPMDTVVGDDEHGMEWDVNGFEAAGGCDERATKGFSRVAV GMPSRWGQIVGVLRGSSQIWSAESWGEVSPDTEVIGTVGTASGGLVPWSGDGEGGFEG LKGFREVVVGGRATVVGNPEELNHDFGWPCGKRRMLQAPSWMPGYEVEMASASSTKTR LEMVRVWVPCGCWLAVSDMDGVSVTLLLSYEDIHAVANVHDRQYGALTEAEFTDATVE PSKGCVGVNLAGSKKMGHELARKGGHTEFVL H257_13196 MDLLFETDAGPLMLTNVKCWVSTGNLPANVGDILLSRAIMYKLG FDPCAMLREAASFTDAISHTGVVQAVLAVSYELVDDLAEEMDSCFPDMVVVDAAAETA KVKVVLDAKVVEAVTAGCGKRQRNTNSAMSAKATMCSGPTSTNAITPNFLVKLYAEDS FAMTEEILEHVSAQGIMLKVKAITGHKFAPDVKNFMLELL H257_13197 MLWMWSYPVATRRALSELDPGRIARRVLWPVHRAWTVLKIMEGT GPNDLYLHNTTALPKNPTVKGSSKEERSDCMDAACIEPATKQCIAEWDMGKDPDHVSE VEWIAWFEQGYDVDHRVQDTHEKRIKSAS H257_13198 MGRMMLQRRLLGLVAPLSRNELAPVLTSGEEMGIVEAVNERTMH TQCFTHNELTNIVRVCIENSHHERTVPDTFPSITFVKKFIKRHFSSRSVQAMEAVRAG RSTVDVHKAHSPRCIPTTTSTPTAFGISTSLEVYGPWWLACLQFCANKSSFLSTTLFI QYFECKVADKEAKKEKEEILVQKKKATAQKRVIAAKTKKALDERRREASERKLISLED SSKPVRRKRPRAPHVARI H257_13199 MIAYDIASKVADKEAKKKEKEEILVQKKKATAQKRVIAAKTSKL LRGWGRTSSGGASSERLEVGVDSISNDKCAKLMTGSTVNEAMLCASGKLGEDLCKGDS GGPLTVQLNGPVKVVGKAGLNSRISIARDFFEPFITTSPTASPITTKPTVAPVTQSKV PKVAPITFFQGPHCRPYDCLAVQVQWLLYMLLTYAEPLLPPCVLQVYM H257_13200 MNVLFALSALFATSTALVAQQRIVGGVEAAVGQHLYVCGLRRTK SGTSLCGSSLIAPNVVLTAAHCTRNDRKFVSIGSHFRSGISDGERIKVKQFIKHPKHN NVTNSYDFAILILEEPSKFPPVQVSFDTVAPGTPTILRGWGRKSSGGPSSLFLMEVGV DTISNDQCAKLLTGYTVSEAMLCAGGELGEDSCQGDSGGPLTVESNGSVKLVGVISWG LKCAQKDKPAVYSRISIARDFIEPFITTSPTAVPITTKPSAAPTASPVTSKTPPAAPI TTSPTVAPITTDKVPTVAPTVAPTTVSPSKCNGCSTCFYPILNHCFPPAYSKSTCATF ASLGAFWCGN H257_13201 MSDVTDYQLDEKEWDYLLPVVQSNLNQTPAVSQANKSPMELFTA FHPETPLDVVVVGVNKVGPYRVKSVGQFSVILELLVTHEEREAHTSRVKMYAEVSFEV TEEILEHASEQGIITGHKFAPDVNDFMLVVFGKL H257_13202 MKRWKYAVSAVYVREYPHVMGVSHRNSGLLPRSMILRTGLVPPI IVRKQMIYLAKSANAHGVVNLDPGLPSGDGVKQDPEWIQLLCAIPYPTNAGDLQQFVC AVNWLRDSMTEYAQTMDPLQQYLTKALKGKGKKRKGQETHRVWCSLGTNRRRKGTVRY SEV H257_13203 MRAPPSWPSPSAQAIARPRATQVSCPYEPITYAPARKGTSPLSA RTPRNSSTAAFAPYLRAKIKWRETSQTRKALNRAVAIQRTNGCTVQLRHLLRIHEAVN PTGAEYLRLAHMVEWPK H257_13204 MSHSSHIQPLLSLMTTGPSAPGRHAPHIPSATRAKLHHSSQVQL RHLHRFILPQPFKPTKGSSTQRSLPGIWRQSQHNALAPHCISLPVSTAPIPAPITTHP GTPQTTIPAIPLHGTHHSHPTSSAATASATASATTSTYTQANPHAAYAQTHESTAHSH KGPTATSPIPTTRYWDRETHHFEGFIADDIADVKRHFADAKVRIQFSINPSLQPPDEQ LSILNYRRQIEVICQERFGITFRGGLLEHGLQLLTDPLQRNIQAWAAPRRGYLFLRDI YVVGLPLRWCARQRALLPPTQIPQSIQNHTWRPQELPPATPPPTHLADPGFSSPPT H257_13205 MLCAGGKSGEYACKGNSGGPLTVESNGSVKLVGVISWGIKCAQN MPGIYSRISIPRDYHQADCRPSLPVQSASRGPLITSSKGPTVGPTTTLPSKFSGCSTC FPSAYSKSTCDSYASLGAFWYGN H257_13207 MLRTFVKVVAARVTPSYLRDRVEEQMKTVPANDLVAFADILRLT ERMMRTWSISKGTATVRSVAMKKTTKGAALRNMRRKPTKLFEISRNYEEITLVHQCGG VVPIDAKANGDPPATKYGPQANSRTRHDDRHLQAVRDEAPPRFAPDEAPPRFAPGRDD RGMLCFVCQQPGHMARECPNTKDGDIGDSSWKKGKNAVKQLKARERKANMQAKRMKSS HHPPCGRWVRLNSVLEVPYCPDTGADQNIMPQAMADELHGLQPQLQDVKLAAPFVGTA CNNMPFEVSYYVDLTLTMQTAAGPVKVPGKRRCYVVNDGDEFLVSDDTLKTIGIDIDH LLEQVGRLQWDEDSDDQEEVCGYCVESPQRSAVRAATMKAVLPVAKNEVEEALQGMID GADDNGFPMEHVKYLWDVLSKHDIWRIKFDGSDSPAKVKPLKVTPKDGCVPYRCKGRK HNHLEERFLRLFAQELLDAGVMKSNQQSLRCSPVNLVLKPDGRKSLKSADKWSDDDVL KNYRLTNDH H257_13208 MNWMRGNIAQYTELVAPLTKLLDIAVKAADSRKKTALTRVAQSS VGWSGDHLECFDRMKGALKRMVLLTNPDPDKMGFDCLRTTGTRVELEHGQVPGPQAPA LGADDDDVPEGLPDRSFPVESRSAWMSRRALVSPLRTEKFVWPTANVITEMQRGHPTC AKYASWSAEKCFRTAAGKIWVPGYTSDIQVPSAADTAAALMEWFGLSGVIRAWRDALA TRRLAGGVTPGTVCPKPATGQPFGRRQRRRLLACLLHPSWPACYTPPGLPATPLLTGL VHPDELREQLVPPGATSLHHAYRLCLYCEGGGEVNEDLKAQIAFGDEGFYVEALQDLR LRDGVWEALITSWEPTQLIYEDVPVLFRLWTKARSNEDGVSEMIDDLTGDVATRSED H257_13209 MLRSPSGTSRTLRKHLSFAHRRTAYETLLSVAVDGLLPRGVLTE LAQIFRCHPRTISRLWTQARLSLHRGHCAADAASKTKGNSGHHALRTSDEIEAAIGNV PQVQRHTLRSLSAACGIPMMTIFSHLKKNPRFKVRSNYVKLHLTPANIEDRLKFAMFF VRPLPSGHYLFNDMHDYVHVDEKWFYLTMVKRRYYVYYDEEVAARAVKSQALYHQDDV HCRCGQAAI H257_13210 MVLGAKSSAVTLLQFVKLADKFENVKNNQMRLDAYELLAAELSL GVDQLHELKKKWFKPKVKATENGSAGYQLRYSHSLLSSDPDVDFDDEASTGLDVGSDS DSVMGTDSDIAPSQTRNAKPSTVVPTNIEKKLLCAKKSSKFHTNQASHATALLQGLQA VGNGLESIGSSFGKQPAAGGMSNKI H257_13211 MNVLFAVSVIFAASTIVVAQEEIVGGVEAAVGQHLYVSGFRQSE RGAASCGSSLIAPNVVLTAAHCIGRGRRFVSIGSHYNSGTKDGECIKVKQFIKHPKYH AKSFTYDFAILILERPSKFPPVQVSFDTVAPGTPAMVRGWGRTSSGGASSELLLEVGV NSISNAKCAKMLPGYTLNDAMLCAGGKLGEDSCQGDSGGPLTVESNGYAKLVGVVSWG AGCAQQNKPGVYSRISIARDFIEPFITTPHAAGRITTKPSFAPVIPSKVPHVAPITTS KGPNVAPTAASPSKCSGCSTCFYPALNYCFPSAYTKSTCATFANLGAFWCGN H257_13212 MTTPVKRRNYTDKEDVMLLRQVSLELPFLARRGIIMEKWTAVAR ALVASDEFTRTDLDAKKANNRFNLLIDSHRKHNKDSERASGVSEEVSEKVLLLDDLLA VVDDAKDEEAKRVVSTQKANQHIENLGSIVRDEAMISLGKRKQACDVEGEV H257_13213 MDSSQMTMPQTKPRGRPRLKQGPTKPWKKYSNVHVSFAVKQAVI ETFDGVGMAATLAKHFSHPSGAKLVSTRKKLYSWLIQRDHIRSKTSNPKTSRHLCSRA IGMATTLSKESKEQLAQLVNSMRTGGVPVTPLMIQVMALEMTIHVGLDESAFTASWSW LQGSRSDTSLPCILSLAPGKILKATVQRHWTSSRLVLLRCSVTTTSTSYTTRIRPAST MSTSPTKTLNSKGDHTIWTKCSGKTKNRATEMVMADSTSKQYPLFLVLKTKASKIKSV VQKNLVQRQGLGKNLWKDARLRQMWVAFFPSILRQVLRSKAKKETLQLEAPKRPTPVQ WITESWSDLDEFIIMNGFDNRKIVVQEEEIAESPEDAGSAHMLSELVARCAIDDTIDP MDDMDVNSNDE H257_13214 MASMSDYKVSENIGIPRSTIRSWFDQRDEFTAFQGNKKRMKVSP GGRREMFPDPKGLVDFIVEMRVRERALTTTHIINWIKRYQSQWLRLYLVDKQAGTGYQ SLLRLLQQFCRRHGCSRQRAGHRKKSHWLKSATSSIACDAKVSSGEMHSLRMTVALTV RGRIEMHELPTYPSGHVYAVQQKAWMDNNMWKLDLRTLLLPCIEAQSVILADNFESHR AMAPFKRFLRDERLAEEIIDGEDEDEFYSPCAVQKRLAMINRAIGAWEKVSEDVVRAR ALSKRFQVLERQPLFDILFNCGKSSTLTGARTLAPCRRLTIAPPRQALIFNLVLWYPS H257_13215 MSSKVADVKQAIDTSGRGYCQAPGVRQASIQLFGLYVGFVPFYH QSHVEFEDQVTMRQLLEPLTTNQTQKLKTFVALIERSNQVQQGLDRLWSRCAIPSSNA QDHCENFMKQLVEQADRGGLTDNERKSLLGLKLSADKTPATLNAWWIAYSKTKGGDHD SFVDQLGSSIPRDYMDNLSAPWPNPSNVYRASTIFLSQSDSFTSRRVSFTTRQVEPAA HDSFDSTPHGAPRRVLTQIGAPNIVCQTHIVEFSIDTSDAQAIRNAAYRSSKAKSDIM EAELNQYLDLFSNQSVQEPRGLVTFKDAYPMPRIDDLLGVLGRDKLFSIMDIASGYWN VQMMSPGSIEKTAFTCNEEFGPHLVPLTQVLAKFRKAGFKLKMSKCK H257_13216 MDELFAPPKKSGHVRKSKSPCDGVDPPPVEVISDGTDVTSADPA LTDMSLDLVSVADDEILLSCSSDEECKIQGLEKRTKATEGTDEAVERSLNTAHCNLTG VLDRDSDLPIEPRSSSEDLVYTDNAIEASFDESMSDVSMLTENYDEEMSIVPFTAASS APHFDSGGRVHLVQPKIWLGLCQSLQLECTTHTVQLDTNRQLTVVCRASVESYETSLA LDQTRSRFDSPTMTRLTLPASSFAHESAVYNPALPLGLSPVVCMEPAIDETFPRLMNV QTSSNRRNEPMGVEASSNKVPSQSSGMVRLHQLIVERQQHLMNDETMGIDLPDKIDDA SHAYRRVSNEFQHLSSDVQALSCLLLEQLPVTSAVPDDTRPRLEALRQEIQLLHDCGS SLVHDMSLLQDHVLLLEARIDRLSLDFSSRLAQAETPAPESSSRPASTGLD H257_13217 MNVLFALSALFAASTTVVAQQEIVGGTEAAIGQHLYVTGYRRTE TGAASCGSSLIAPNVVLTAAHCIGSLNFVSIGSHYRSGTKDGERIKVKQAIKHPKYNA ATTSHDFAILILEKPSKFPPVEVSFDTVAPGTPTIVRGWGRTSSGGVTSEVLLEVGVD SISNDQCAKLLTGYTVNEAMLCAGGKLGEDSCQGDSGGPLTVETNGTAKLVGVVSWGI GCAQQDKPGVYSRISIARDFIEPFITTSPTAVPITTKAPTVPPTTAPTAAPITSNAPT TSNVPTAAPTAAPITTNPTVAPITTAKVSTVAPTDAPTTVSPSKCGGCSRCFYPTLNH CFPPAYTQTICATYASLGAYWCGN H257_13218 MDELFAPPKKSGHVRKSKSPCDGVDPPPVEVISDGTDVTSADPA LTDMSLDLVSVADDEILLSCSSDEECKIQGLEKRTKATEGTDEAVERSLNTAHCNLTG VLDRDSDLPIEPRSSSEDLVYTDNAIEASFDESMSDVSMLTENYDEEMSIVPFTAASS APHFDSGGRQQLMVFASDLTFGLAPVVHRGEIMGIDKMTTLTMPPMHVVLDDFGEMET DNNSIVEATTVTEATFFLIKSKPCEARPSSTNPLPRTIRTEWTKLFRLTVSAATDEFV RLGNAVDMKRFARKTDCVSSLVHDKTLLQDRHKRDPCTRILVTTGLD H257_13219 MNVLFALSALFAASTTVVAQQEIVGGTEAAIGQHLYVTGYRRTE TGAASCGSSLIAPNVVLTAAHCIGSLNFVSIGSHYRSGTKDGERIKVKQAIKHPKYNA ATTSHDFAILILEKPSKFPPVEVSFDTVAPGTPTIVRGWGRTSSGGVTSEVLLEVGVD SISNDQCAKLLTGYTVNEAMLCAGGKLGEDSCQGDSGGPLTVETNGTAKLVGVVSWGI GCAQQDKPGVYSRISIARDFIEPFITTSPTAVPITTNAPTVAPTTAPTAAPITSNAPT TSNVPTAAPTAAPITTNPTVAPITTAKVSTVAPTDAPTTVSPSKCGGCSRCFYPTLNH CFPPAYTQTICATYASLGAYWCGN H257_13220 MVAVSAFVSFLSLAASAVSAFQCQSAPVIGADELVLLTSNVYTP FSYQLNRPDAAYLSLHFASVNIPVGGLLTISSPDGLQFHEYTNVTQTNFYAEFIDGDS AFITYTPPRSSLIDSLLANDAPNAFLIDKFAHGFPKADLDSQVEAICGKDDSQSAVCL KTSDAPKYQKAQAVARLRINGRSLCTGWLFGSEGHLITNNHCIRNANDASNTQFEFGA ECTTCETIPGGTCKGVTVATSSELLYTNPANDFTLVKLKLANGASLASYGYLQARASG PVLGEPIYIAQHPGGKPKRIATIVDSGAVGTIESISIPSCVADEVGYTLDTEGGSSGS PVLSAKDNAVVALHNCGGCLNGGVKISKVIKDLTAANLVPKDALAGGSTSAPITTTVT PVTTSVAPVTTSVAPVTTSVAPVTTKSPVTPTPTGPVSDCNGCKGCYSKVLVACFPLG YTQAQCASFTGFQTIWCGN H257_13221 MTTEESQLALRVYKWAKRKKMHPTTMMTLLEYGLGIPVERPLIP DVRFDFNIRDVDAHMSFRGVLQLSSLLGVPNVVITTNRDRMVGVEAIAILLRRLRYPI TYYDMLSMFGRCREQLCRIFNYMVAFVYQQWGDVIYCNKQTVRSRIASYATAVSNKGS PFASANGAEGLNLQKRIYSGHKRMYCLNVQGLTTPDGLCIHFLGHWRVADMT H257_13222 MALTDKRRNWTPEEDVVLLIQVAANLPFAADKGQVTKSWQSLAD KLVACDHFDRVVDGRKVQNRFTALVDEHSKFDMAFAKLSGVDQEELEKHTLLDDLLPL LDEVKSTAASKRVKMEGEKDKIEQGVMLVREMTMQTMKRRSDVDNDEVKTKPAVENRR NSLAAAIEADSERELASREKQLEFDQFKK H257_13223 MSRHDDTRASWSGENDEFLVKPKARGETLGLRFQEGSLFDGSYA DGRYSTVPPGIASLTPKSNVETPAERAGFFTRKLLEGGSSGDYDASDSSEDILPPPKE IKKQMSKRDRRSAGAETGDVISKLVDVDRRR H257_13225 MNTTTTADPRMAAATNPGIHAFLLPATERVSPPHTSGLAGPTAC ASLVSPPVLLDVSHDSLDREGPGRGPDGNMPLCPGLVARDNQGSKPAGLLTSQDPSGS APSPPCATHSGPLPQDGKSVVPPPHEPISEQNAQILNTASNLGHSRVTIDLDNAGQFP PLSGRTKSSKFKNVLTRPSNAMVEKLLALAQDETCDDDSLLRAIAEATPYKQKVGKAT FWVETAPWG H257_13226 MAGDIAHADWTNSCRFQHIPPPLRASHLPRPWALHTAPFAFVDI PKEGYLTISVLDGSQTVNCMPTTIFDASYVTGSRALVTYSTPPNPPDLEGQIAILIDQ VASSLPGADPQSICGVKDSQWAVCCKAKSERTGSLFGLEGHMITYNHCVRSIKRSAIQ VELFAAKCKSCGGQQEGSCDDMVIATSAELIYTNPTQDLTLLKLKLNPGASLATYCGS STVNGAVKMDKVIRQLQELNLASNDVLAGGNSLPQPELVISIVVAPPKTKTPETPTTT LKPTPQPITNVAST H257_13228 MFSFLQLVITVAVSAAAVAASSLPLIGSDHSVDTSFTSLANTPL TRTISDPNAIYVAPHFAYVRIPSGGVLTVSAADGSQAVNYTGHHKNFYAEYVHGPSAV ITYTPPATTSSSDHPAFVVDRYVSGSGNIATTESICSTDNTKGAPCLKDSDSPKYKKA QAVARLLIGGSGLCTGWLFGSEGHLITNNHCIVDAEAATNVQVEFGAECATCDDPNNS QQFGCKGEVVATSVEFLRTNKTLDYTLVKLKLKDGASLTKYGYLQARASKPALNDPIY VVHHPRFKPSCISTVLDNGDMGKIEKLSVNKCQRDLVGYSLDTDGGSSGAPVLCAKEN SVVALHNCGGCMNGAIKMYKIVDELKSLGLLPADAISSGGDDDPSPPMTTTPHSPSTT SPSPSTTFPPMTTMSPSPSTTFPPMTTTSPSPSTKKPSTTSKSPAPTTKSPSTSHRPV PTTTRPRKTCKRKTKKPSRSHPTQPPPSIAQHPPAKL H257_13230 MKVAFILSALFTSVVAHLKIVGGKEAAVGKHLYVTGLRSSASGR TSCGGSLIAPNVVLTAAHCMRGGVNYVAIGSHYLSGAQDGEQIRVKQAIKHPKNNAAN NAYDIGVLILERDSKFPAVEVSFDTVAANTPTVVRGWGTTSTGGSMSKVLLEVRVDTV SNQQCAKWLSGASVDASMLCSGGKVGEDSCQGDSGGPLTAETGGSAKLVGVVSWGLDC AKKNKPGVYSRISMARDFIEPYLKNSPTSAPGPTKPTTMPNMTTKKPMTASPKPGCAR CGVCYYAGADHCLNDFSKDDCEFYILEYGTLWCGS H257_13231 MWGRQDTHLYLADMASCQCNQHLANSGVLLVDRTDKLASESALR KVQRFLLHCGYNRGNKKGKKSLALTEKNTLLRDLYVRRMCVATQHIDPRNRRTVFYTD ESFVHHHYNKNDISLHDPTDELDIHHSNLQPIEVVWAIIKGNVGRRYQDDTTFQEVGQ RLDAACANLTSHSIFGYIRKAEYDLLDLHRHVSYIDDDNYQEDNEVAGDGSDGTVSSS ASQDSANDAGF H257_13234 MFHVTANKHTTRRWRPLFNLIALKHTHITMAKGNAIKEVATAVV LALGAGSIWKSYATSELKSFDEYYKELKIKTDSKATAAADDE H257_13235 MRVLSAAVVAVLALTTTASHPASRIRDIDNVFRSPAQVRAIRDD ADTNRKCHTSNAHYLPLLQPGQYAANAFHNCFRTSKQIFQFVDTLISQNTNLLNKFPV SKTFQGQTVYGYKLSTNGGKEQSLYFQSLLHAREWIAGSSNLFALSSMLDDIAANKTT ATDLYDLVFVPIANIDGYDMTWSGNRYQRKNANQVDLNRNWPSFYQNPKPPGPSEETY PGPSAFSEPETKGIADWLKSNNDKIAGWVDIHAYAGLVLYPYGDTMSPIGGDEDEKFQ LLGRNIQAQMGSNYKAETSATMYPAYGSFDDYHYRTYKKPVLTFEIAGSDFVAPASTI RTRGTEVYKGLLQFAKEIVEFNGGRQRSTSTPNTANPTIASTKHGCATCSRCYYPDRD ICLSDFAKNDCDYCTGYYGTLWCGN H257_13236 MDPSIDTCFAFVKTARHRTVIREERLDILRLHAWFRSQYTKAAS KQVADALGRNLNLVQDVWQEYQASQTVTAATPGNRTTHITKVPRTKLVTQIAQQFVRD RRATRTRTTAVEVMMYLKEMCVLDIDVDAKKQFAASYRAVQRFLKAQGYKRGRRMGSS TYHMSKANALARDTYVKLMHPHSTAATRPNVVYTDESYIYHHYKSHHQDLYDPSDETD VQSKEKHKGRRYFFVARILDSPTMASKVMALDIFTRGKSRGKEPKDYHGMFDHAYYVK WFGRLLDEMDASGVTKALIVLDNARHHKGLPESTPTSGRRKSILLDACRLYGIQTTGK EFKSELWDMLASHIKAHIHPVIVEMAKRRGHCVVYTPPHHSDLQPIAIVKGEVGRQYT DMTKFADVKVRLEAAFANLKPKLHQRVCTCCTREVEEVARASCANRYTRIR H257_13237 MKVAFALSALFASVAAQLQIVGGKEAAVGQHLYVTGIRSSASGT DSCGGSLIASNVVLTAAHCMGLGLKYVAIGSHYNSGTKDGEQIKIKQEIKHPKNNAGN NAYDIGVLILERDSKFPAVEVSFDTVAADTPTVVRGWGSTSSGGSVSKVLLEVGVDTV SNQQCAKWLSGPSIDASMLCAGGKVGEDSCQGDSGGPLTVETGGSAKLVGVVSWGLGC AEKNKPGVYGRISMARDFIEPYLKNSPTSAPGPTKPTTMPSMTTKKPTTASPGPTKPT TMPNITTMRPTTAYPKPGCTTCGVCYYPGADYCLDDFSKDDCEYYCPEYGTLWCGN H257_13238 MFFASSLVPLTRREAQIPTSAPMNAKRCTKHCLAFRRKAHYPVD RLFVLLPSSVATR H257_13239 METCSVCGANEGPTLRQYNMCSSKFHYMCIVKEAEKNGWPEAEE GQELCAFDALSSSAQDVPPHAKKKGCLKGAKNKVKVKDVQGESTPKKRGRPPK H257_13240 MPSTPSEVDVTPGISEFTPDHKSIRFETMTRNVWLRPLRETQIH KNYKKLLAFYADCQDFMRSGVVTEVRYVVGSENDPDIDTPGKNTKAEANAMVVYCVYM YLSYAF H257_13241 MRYVVGSENDPDIDTPGKNTKAEAKAMVALYKITWNHTRFQRDE PWITARLFYKVCDFEGEPTYERGTKFLQISLFKPEDESHNLTEWDKKFIANSSFDEET KSDEEINDGSLARQDDDDSGDEWSKFVDRGWKKHGHYDATEDIEGLEHNPVKDFLAFV PLELWKTVTLRTNAKAVALQAAHPKGYVGRKKSIELVGVMKFVGLLIMMSVVQGGEYS LYWSKPSMSFLMPPTENFGHVMPIDRFKQLRAFITFNDVVEPADPLWRIRPLINLLKA SFKNFVVAGREISVDEACIPCRSSYGLPLPHLYGGVCNYVSAKVLKKAAADKAAAKDK AAALKRDTSLRQPPTPSLTSRATDKQAEVSYDQRMRRYCVVCYFERNK H257_13242 MTFTTIATGAVPMVAAPDPSGRETATQRRRRQREASLQRRFQVY EDRLSSNRNGRNERPYYDDRPVAVIEAAAVHGLPRVKMTSAAAHTRHQCNSKHRMGST TTTNPQRWMGHGYHYATPAASPEAVAVPASSQIAEVDAVPAPEEVAQVIARPLQVDVL AAGDDDLVVAPLEGGN H257_13244 MKVAFALSALFTSVDANLKIVGGKEAVVGQHLYVTGIRSSASGI DSCGGSLIAPNVVLTAAHCMGHGLKYVAIGSHYNSGTKDGEQINIKQEIKHPKYNKKT SSYDFGVYILERDSKFPVVEVSFDNVEADTPTVVRGWGTTSSAGSLSKVLLEVGVDVV NQEQCTKWLSGHTGVDGFSVDASMLCSGGKEGEDACQGDSGGPLTVETNGSAKLVGVV SWGLKCAEKNKPGVYSRISMARDFIEPYLKNSPTSTPEAHNCLPLYHLGSLPDQTYHH A H257_13245 DINMKPTTSILLSALALSSVVDFADAHGRMLSPPHRGHIGRLPK FSGLVPINYSDNGLSAGGIGGTKGGKHGVCGDAYSGVREHETGGTYGRFPIHGSKVVG ACYAPGSTVDLEIQLTANHKGYFQFGLCKLSGKDDKETEECFKALAQPSGETQWQVPP GNQVFNMKYVLPSGVTCDGDSHCVLRWHYVGWNNYGVDINGQEQFWNCADVYISNTCG ASNPTPSSAKPSTSAVATQPPRPTQPQAPSTSKPTIPTQPPQTSAPSNPTPAPVPGQC GTCANCYYAPTNSCYAGWSATQCAEVPGLKWCGA H257_13246 MGEPALTIKAQYQQEYRQTKKNEKTALLAKVGELEKTLVRIRRR HSATAKHSERPTSKDLLLPWKDVAKALSESAREALVLQLSLRKDLDLNREVFQRLATW VAKSSPVLMKPSMPWGVSNTSLARMTLVAHPEARRHGLDWLTQLVFHNTDAILDKYQF PPIDPTTRHHRPYFDILAHANADSSVSVVCQYMRTFHGAIDDVVRLVYQEEIQRKSYT GMTPDECASRRIDVVCPDPEAVMELTGQQHQERGSMSYVRVTTRGTTRATCVLYRMFE GDPSSTQPQPNKTSPHRRVVVGQSVPHDDKFSRHPIGRKMMSWYVFDQVAPHCTVLRH VYVAYSAVNPVTGDSVSLAEEARTYGCNLSNVLLPNHVQVFQRHLNIMGGAAATQQNA TMAKVDAALLRSTRHHRYN H257_13246 MGEPALTIKAQYQQEYRQTKKNEKTALLAKVGELEKTLVRIRRR HSATAKHSERPTSKDLLLPWKDVAKALSESAREALVLQLSLRKDLDLNREVFQRLATW VAKSSPVLMKPSMPWGVSNTSLARMTLVAHPEARRHGLDWLTQLVFHNTDAILDKYQF PPIDPTTRHHRPYFDILAHANADSSVSVVCQYMRTFHGAIDDVVRLVYQEEIQRKSYT GMTPDECASRRIDVVCPDPEAVMELTGQQHQERGSMSYVRVTTRGTTRATCVLYRMFE GDPSSTQPQPNKTSPHRRVVVGQSVPHDDKFSRHPIGRKMMSWYVHYKYTFRAYIIID HAIPGWIMARPDVMYYLTYDWSKSISNRSPIGS H257_13247 MPQVTACPPMAQTPPPSKDKAATRRQYKSQKQQYYREQQRDQVV AMRKLIHDLQAERQRLSTRSKSKSLLAWKDVAVALKEYADESLIERHSLQATREKHIQ LLLQLNKWIAQASMDHPQLSTSAYPSSSWSASHFSLARVSLVAHKASRKHGYDWFTQI LYHNTDALMQTYQLPTVATAVAPHRVADLFLDSSNLDCLNVIARYAMVFNGPFEHVVD LVKQREIQYKSYTGYSKQELHLKRMTVDYVDPEALASISSRMSYVLIKNIGNAKGTTV LYREYNTLDRAVIVGQSVPLDEVFAQTMSLSRKSMSWYVFDRLSPTKTLMRNLFVFNH SVSATGEYLHFEAEAQRWGCDVSSWPEASKLDVLSCHIANIGAAGIARTDIAMASVQD TLDQRRQ H257_13247 MPQVTACPPMAQTPPPSKDKAATRRQYKSQKQQYYREQQRDQVV AMRKLIHDLQAERQRLSTRSKSKSLLAWKDVAVALKEYADESLIERHSLQATREKHIQ LLLQLNKWIAQASMDHPQLSTSAYPSSSWSASHFSLARVSLVAHKASRKHGYDWFTQI LYHNTDALMQTYQLPTVATAVAPHRVADLFLDSSNLDCLNVIARYAMVFNGPFEHVVD LVKQREIQYKSYTGYSKQELHLKRMTVDYVDPEALASISSRMSYVLIKNIGNAKGTTV LYREYNTLDRAVIVGQSVPLDEVFAQTMSLSRKSMSWLVLSSCRA H257_13248 MEVHQRRRLLAFGNPMVDLLMHVSSEFVSAHDLTHGEAVHAHIS AEGRQRLLDEVLASPGVTRATGGSALNSARTIQCLLPPKSSVFVGAVGDDANGRFVHD ESTNQGVDMHLHVIPTMATSTCVCLITPDNERTLVVHRAAHSHYALNDTFLDVLAAVD IVYVVAFGLSSVPRFDCVTYAATTLRPTQLFCLNLSSTNLLQNQAVVERLHTLLSSCH VILGNAREFRALASALHIPEVVSQMPAFAQQIATHTSMAPNVLLVITDAHNPTWIVQS AFSASFSVQTSQDITIRDTTGAGDAFVGGFFTGLMSNCHVNECIELGHICARRCVQNV GCQVRLTGDEMHRCTAILQSQAHGTNSLFASVLTRKSLV H257_13249 MESPTKRLKTALCLDTQDPALEEALEVDALKDVVVSPLATLSAN GDGSDEDDESLDVGERLCRWLEANGAELSKLRIETYAPEVRGVHARDTFAAKERVMRI PLNCLITVEMGKATELGQRLLHLEFGAPKHIYLMMFLLTDMELGNGSFFKCYYDSLPS SLSNMPIFWTAHELAWLQGSHILHLIEDRKAAIERDYRTICNEVPDFGSRFTLDRFAW ARMIVCSRNFGITIDGIKTAALVPYADMLNHFRPRETCWTYDASAMGFTITALHSIPA GAQVYDSYGKKCNHRFLLNYGFAVKDNVEADGRNPNEVWIPLSFLPNESPLLTSAKRQ YLHDSGVHSMDCRFSTCHSDVNTREGLSFLRLIVSSDAEFERMAATAPAHAVPPLSLD NERRALQHLGALATVQLYQYATTLADDTAMLESGAIDPFSNRAQALYFVRGEKQVCAH FQQLAHEAQRVLSLPPHEAASVCRDMYEDADDVMSCYLADVIGYLVPASHNKQDDELV GVHAASTTTANDV H257_13249 MESPTKRLKTALCLDTQDPALEEALEVDALKDVVVSPLATLSAN GDGSDEDDESLDVGERLCRWLEANGAELSKLRIETYAPEVRGVHARDTFAAKERVMRI PLNCLITVEMGKATELGQRLLHLEFGAPKHIYLMMFLLTDMELGNGSFFKCYYDSLPS SLSNMPIFWTAHELAWLQGSHILHLIEDRKAAIERDYRTICNEVPDFGSRFTLDRFAW ARMIVCSRNFGITIDGIKTAALVPYADMLNHFRPRETCWTYDASAMGFTITALHSIPA GAQVYDSYGKKCNHRFLLNYGFAVKDNVEADGRNPNEVWIPLSFLPNESPLLTSAKRQ YELLDQYYPNVYIYYIYIYIHIQYALDTCTIVVCTRWTVASARVIPTSIPAKGSVFCG H257_13250 MSTSGGVRTRPSSYQRLPQTEDSDVADAAVDSNAETEIAELKKR AQGKPPSRAEVVSTKIHALMWIVGAGFLLYYLDVLRVAFRDARVHRVYFNIGLVCFGI NACITLYLSIWLPYVKKIDLEWSVYCPRMVPTATVVGIVCALMFTVGLWPVWGFFTPG ILFVLFLGTLMTAHFLPAI H257_13252 MLRVWQTIAAAVATVAAADDTWTSVLALPNITSIETTLTTGTQR YIEVRHGSDSNLTTIEFSSNLTLDGVVLPKSLQKFALNRVNLSAFPYGFQWPSTLKSI DLSRNALTNIPQDIKWPAGLASLSLSDNKLTECVSDLPESISTLNYGGNRLTSIQACQ WPKALETLTVSGNALQRMSLSQTWPDGLKELHMDNTELKYVPSTFPEGLRQLHLNKNK IKSFPKKLPSDLQSLSLSYNKIKVLPAHLNRFPKLGVLELANNHLKSLPSDLVLPKDF HILRLSNNNLTSLPPKCNSWLGQINGSIMLDGNQLSALPKKVTFPPETNVARNQLTVL ENLSLPKHFNVNENPLERVSRVTVMDGSVWQTSPAVLKSFVLDEKAFKSLDSLMRSYS FFKLGWTVDAATADPACAAESGVIKQVKDISVCVVPDGS H257_13252 MLRVWQTIAAAVATVAAADDTWTSVLALPNITSIETTLTTGTQR YIEVRHGSDSNLTTIEFSSNLTLDGVVLPKSLQKFALNRVNLSAFPYGFQWPSTLKSM SLSDNKLTECVSDLPESISTLNYGGNRLTSIQACQWPKALETLTVSGNALQRMSLSQT WPDGLKELHMDNTELKYVPSTFPEGLRQLHLNKNKIKSFPKKLPSDLQSLSLSYNKIK VLPAHLNRFPKLGVLELANNHLKSLPSDLVLPKDFHILRLSNNNLTSLPPKCNSWLGQ INGSIMLDGNQLSALPKKVTFPPETNVARNQLTVLENLSLPKHFNVNENPLERVSRVT VMDGSVWQTSPAVLKSFVLDEKAFKSLDSLMRSYSFFKLGWTVDAATADPACAAESGV IKQVKDISVCVVPDGS H257_13253 MTSLVSLLSIAASIVVADDCSNITFSEAVESKSLDVHFPVDSKI IDTSAAHCVVTLVKNHTYASSIDKPYKGEFSPPFCYDDPDYSLVYLRYKANVDPGQQF DRIAGVWIGNHAVLRTTAQEPNKELGPHWEIFREISQYRALFGKNGTVTASLDNVVND EYTSSFYVTITAEFYKKDPSQYVVQRVPYAPDQIVPIFKSNGNHPWFNVQPNTLGKNY NLVTFPKNLDGLYLELFTSHHGCDEFQYSNPPDELKGRVRANCGGGSFREVQILLDDE IVGAVWPLPLIYTGGLLPALWHPIVSIGAFEAPTYIIDLTPYVAKVLDGKPHNVSFAV DHGLDHWPTNANLLVYQDHNVEETAATLDRKVFDRNVAPNVVISGSGFYYTVRTNVTR QVNVESTITNSQGIRKYNIKKKFNFVNRQEYSANGQSFRLRSTTQTKTTIDFKDGTKT TKYTEDYPLYGASWQRRNKAKANARVEHDLRQKLRIDGNKDHFRVGVEGYELTITQKA KAQSNSRTGITSSNEVVLAASNSTGCYSRDVSAVTGKYTKYVEAKKCPNVNQYVDDYN DDDDDHGQDLPYTPE H257_13254 MPPRCFFNQCPHPATNINGVYKCVNHRYRAKCNVEACPNQAYAR NMCVRHGGKATCKVETCQSKCRVGDYCIRHGPTATRPRCSADSCDKLAHPATGKCSLH GGGHRFCKLEGCFSKGQHGDGLCSFHRHVQRAQMPAPEDTTDDSTDDDEDNAELMALL MNSEWALDSLCVPEITPPCNTSMVDLLDPMFLFSSAF H257_13255 MLVALFQGENVKPTQLTYACAKMEVRGTVDEVAELFYPDDEPLA NLRRLFPARPVGRADDLRKPPGTTFPYIGWRLNHRRP H257_13256 MARTGCIFMEMTDAPGRLEATYFVQMDFHGSAPKAMYVHGMRRH IRAILPTVESFILIALLRDAAFFAKLVPTSARRACHVCDLMFGAFHRRRRCRKCGDVV CTSCSSHVTFDQHLDSLKLRICTACVMHVLLPDEFPNPTRSSSQLRLHHDSNDDDEVV TVGRNCSTGQSQSHRRSHSSQIENKQKETKERRRSKY H257_13257 MHHPSRQVPHHEMPWMHSGRDDPLLQRHRGDNIEVCTWLPTIET RDDSSSPRFVELRSSDDSSNNRAELKTQPLAPTSTVQLNLAAIIKSMQSCLQPDGSFH LNATASHSLLELYSHLKQLNLDTQNDPKMGVVVPHAA H257_13258 MVTKRLPLPVPKGFFVCPPLNPIAVNVLKQRAQVGFSDLLADCQ LEAETIRWKLDSDIDGLVLYSGSHSHSVGATSSNAASILAVTTIQATIDELPSMLRFD SHDLFADFCASYYTDVVDCASLYTLSATESPEYVGIKWEALQSPVQGFMKSRDCSVVE CSKSFTQRDGVRGYARSVESVDIACVPDLNATFGLVRMQIGRCGFVLKETRRLGVLQA MFLLQADLKGSIPQWMIRLVLRGRAKALAGLDAYFRQRRLAAVAMLSPCDVVPLTKRQ RCAVCQDKLQDRISARFNCSVCGEVVCSTCQNVWYLKDQHRKASVCTQCSVEPKGPAR FHRVSITSKSGTSLSHTSVLDDEAGYLCTGVDLQFADAYAALDTIVMESVRGHNSNRR QSVPVGLPTCNKPTIRPTSSSAPPLVHLYTPPPQRGNVTSNDSKVDLLLQQLKELDFD DAVLAQFQASRVGSSDV H257_13259 MTIAPTTPDKKFKCPPLHPVKERALVQRGLTALEDAVAISQLDG GRVVWTVHSRVKGVTIYAGHGVGHFHSMFMATTQVHSTLSEVADLFRAHESLTSMDTD DVVDSAALYHLALPTPNHPRNYVGVQWQALAPAVPMVRKRDVCMVEFQDDFEINGVHG WARAATSVDVEACPNLEPSQGLVRMHMAQFAHIFQESATQPGYLHASLLFQADFGGRL PEWVCDRLILKRVRTLGSLDGQLHRHRLGRTHFLLPHQLDPLSQSHICSTCCRRFGRL SKKFHCLKCGHVVCHRCQQPWRLGRDRRCQVHVCHGCSLEPTNPSFHNGGRLGSITTN PAFLMRNSSGTTSSVQDSSVADRSTSESGHSLGIQLLQSPLDQLLTRLHGMDNIDRRC TAVLGSPKPWDENYVPVVLYEAC H257_13260 MPAKLPLPRKFFTCPELDVDSASMLADHAVNGLKTMVELARFQG GPITWTLESDKDGIQNYSGSGTHAPVGAVLTLHVTNVEGTLEDAASLMQSTNPADYTA YCAAFAPDVSDSATLYTLRTPSAAKPREYAAVKWQCFESPTSLVKSRDVCYVEAQQDV TLHHGVKGWARCMQSIDLPCCPNLQDSHDLVRGWLHYGGYLFTETTIPGEMQIIHVQC MDFKGHLPQFLVKLSSKARMKTLARLHRHFAQAAVSFYRAPLKSTRRRKCMLCTVGPQ LTCSKCLQGVCMNCNKYWKMTTPEGRKTRVCYACSSAQATGFRGAKSDVLVDDSLFDS MSGSDHLVASLTELGLDRDGSVGLDKDGTIRFLAPRGFTSPFDLEGRQSYHSAGSHHS KA H257_13260 MPAKLPLPRKFFTCPELDVDSASMLADHAVNGLKTMVELARFQG GPITWTLESDKDGIQNYSGSGTHAPVGAVLTLHVTNVEGTLEDAASLMQSTNPADYTA YCAAFAPDVSDSATLYTLRTPSAAKPREYAAVKWQCFESPTSLVKSRDVCYVEAQQDV TLHHGVKGWARCMQSIDLPCCPNLQDSHDLVRGWLHYGGYLFTETTIPGEMQIIHVQC MDFKGHLPQFLVKLSSKARMKTLARLHRHFAQAAVSFYRAPLKSTRRRKCMLCTVGPQ LTCSKCLQVRQLTCVMIG H257_13261 MKALNRRTTASSSSSSSGSLSRGKMSMTGLKHPLPPNFFAYPQT TPQEESAWIETGLRALTTLVRDTQMTGGPIEWTLNTESNGLQIYWGSDIGNTDLTVFM SVAEIEGSLDEAAALHVADTPETYHAYISRYNKDVIDCAVLKTLAPPTAEHPHNYIGL KWLTLETPMAVRNRDFTFVECRDEFSINGVRGWARVMHSVELPWVPELRQAMGIVRAT YENSGVVFQQVVGKPGYLRVAQLWNTNLRGFIPTWIQRIGIKRRARSVLAYDAYFRAI RLANEALLDVHELVPPSSRRKCYLCQHVFNALSIRQNCRRCGEVVCRHCNKKWEITDT RHITRLVRVCFKCSAGPPMTLDDSEDEGGASMLHPKYVSDMRSEPARRTARKSHVHQP QQSHHPLDAPSARLPLKQPHQPLYLPQYQEQLQQHSHHFSMKVEPPTSPLRQMQKQSA YTSKSTTYNCHQNAPPPTQQGLHNHYNPWDDVPSSRDLPVFLNDPHNHQHHQPMYHQY TSTPQFKNWMDPGLVAGGPYGQRPITDDQRSADNNSTVSKAQLIDLYRQLKHMKLEGV PTPVSHAA H257_13262 MFRRANPSAATTVTFQVCTDCSSTPLMLPVAPPNLDDRHAVVTL SFRECDAHPLLTTFSDPRRRSSSSSTKYDPTDAASGMPAYEDEIPIMMTNFVTLEEGE DSTEQHH H257_13263 MVHRGRVGPIVDTTSRTTSTTIHDTAVFVHHHHDSRRVAGGKCH RRLHPLGRRMRVGLVHVVRPRLEGGRDTGPPIGAIERIHEERNRSCAVIVDYKARPAR GLLLPTWHVDGWTRWRQSNNRMMTQLFLLWLRWSGGLVMMMVDVVHRWVPMNMPNSSV VLILRSAPIQARQQVTTIPARAMDVGVALPSRRADRFRRDGMGGVVDGSGHARYAYSG VISVYDKAPAASMAHSLAARVARVFGADT H257_13264 MKVKVPLPDGFFSTPPLSDNLRHEYIRQSQRSLVDFVAKTRLRG GPISWTFDHEHHGVTVYRGKDMHLPSGYRTVYLNVTEVQATLDEAASIMSAGADGRRD YCATYHNDQVVDLKNLYPLATPTPSHPHNSISIKWRAVTANNPLIKLRDMVFIEYCDD FTIDGLRGFGRCMTSIDLGKIVPDLERSLGIVRCHMWLGGDIFVEHRPGYLSVFRIYQ QDARGALRPWLVDRFAKHKISQCMASLDRNFRHERVLSFNLLPECAFVPPASRSHCAL CRAKFSSRLHRAVVKTHCYKCGEVLCGTCNPLWKVGEVIKSSPEHQAKPHLVRPTSPS KRMVRVCVACTSMRPTSVMTRPPVHSAYSTDSSAPSDIISYGNMRHSCVGAAAATIDH VTATTQLFEITPSARPLSNGSDFPFLLARSPHHLPSQSRGGALQGETAGMLLRDLDDR ATPGQLRQLLHHLHSLNLGNPSTQNQHDNDVTNMYATTPPVEPHPRGYYYYPAIPTLA PTA H257_13265 MNLHLNMTTRHSHTIHKPVKVLPSTSQLWPLHTKPDNYFVKWTG GDLGLALTSEPVCVSRVTGKGCPQRHLELVRPGDVLLSVNGLPTKHLGMDVVLRILRH CRLPATLHFGRPGFDDFWTYPHRSSMPSFSPSSLGLETPRPRRMSFTPPSCYVDDITS PRNSKGPVKSPLVPNPAAPERVSSIHGGRHSSPF H257_13266 MQRRRLAHPLPPDFFQCPVLTSSEADAMIASGVDALKHLVMHAR LDDTSAYKWKLERATQDLQVYAGSDLTKAKGTVVFMSVTELHATLDEAASLLECDTSD SYRTFIQRYNKDVIDCAVLATLAPRTPTYPRNYIGLKWFVQETPLPCRNRDFCVVECC DDFTLGSTKGWARVLHSVDLSWVPPLSSVLGIVRGTFDASGTVFLETNRRGVLRAAQI FHVNLNGNLPQWILRVGIKKRARTLVDMDAHFRAQRMTRMLILPQPSEEAEMKCHGCH LKIATSRRSQFQCRRCNHIVCRQCCHPLDPPTTAAVPFIVMTTMEPFACVCIPCVTLT ASSNSPATSDNRPPQPLRNNLPEESFVDQHRNMRQGAAACNDANFVQSGVQGDFGAGQ SLYWYPRNSSQEDMQADWYKPIALQEDEAPERWRPMQYYHDDQADQHVLLGADNDDSA IHSDTDSNCFRL H257_13267 MTSSIQKRDIITSSSTSFGLKVGAGTAAVAGTLVAAAHQYSPTF RARLGVSGKVGLVVMAGLSSFTIAAETDLLRGSRNPDKYISDLQGGPAAAKAELSSSK SLPLHQQLGNYVHDYPFRTIACTAVPMVGLIFLDQNRNPNIQFSQKIMHTRIYGQVTS VVLLLSTMAMYDYMSRRGRFE H257_13268 MVGLIFLDQNRNPNIQFSQKIMHTRIYGQVTSVVLLLSTMAMYD YMSRRGRFECLSGKGGVHR H257_13269 MSTWPSFMAWRGEMSAAEASYDIERVILLLDLDATFLASCYEDI LSHVLAAVAPAWFTSAQLAHSQASNPVLAAVDALLNVKTALRLAAHHPHPIRTFFLAL TACQSTWDDVSCRLAGRALVGFLGAAGTSALFTELLRTASNEAAIDVVITLVGAVPVK MHNLLHHDTPSCFTPSTYYSNLIQGFLHALDSIPTSDKQPILVRGFLVKVSRQGHMST FVQEWLSRPSHSHDDDRSLAKAIPSICYPSFIPSVLSHLNTATPAIAPTWLQVLVPHP VCQYELTKLVLHTPLHVPTHAMLVRLLWSSDASSFDHVFHSVVLAWATRDNSVTETNR SVSIGRFLTAGLLHVLQATTSTSKSYLDQHGWTAYLCKGIQEHMGHALMEVRHTGMRV ATALSHILSPESPLVFDDLPPDDEEAKDNDNVIVSCIKTTGGIDGGKLNLADKSLNHV NEIEQVTIDENLDALVQSDSDDESGGGDSVVSLDAYEDLSDNDDDDKGNQVVSSSDAE MIIDQVLQNRAPLVYLWQVKEGLVMEDNRDVTEVALASLPRVIARQPHDLHHMAGEIT TVLLRLDDSFQTLHFEALRRQSLVGLCVHVPDVVTPLLCDYIYDNQRLFQTKLAILSA IGDAATAIPSSRSILPPSRPTWMNSGGQSFWPAQFFFPLLNPLQAKLMLHATRPQTRL LKPQASPSWGEDTGQRHVLDDLLMAHVLHTLARVLEATGKNSPHVVSMGKRFLELLWS QRSHALPSVRRQVFFGLSRVMLVLPSFVWQEEVDRLNLGPHLVPFLQYHKDMDPDGGC RTAANLLLTTISQG H257_13270 MLGRVQRRLPLLCHRAMSRRPLSTHAVGVDDASTITFTPKAPHT ASLIFMHGLGDTAYGWADSIQHIAQRLPHLKCVLPTAPTQPVTLNMGASMPSWYDIRS LTDRAGDPCTGIDSSRERIEKIIQGEIDGGIPPSRIVLGGFSQGGAMSLYTGYQLDKA LAGILVLSGYLPNQEGFHANEVTKDVPLLMCHGEDDTVVRLDWAKRSLDVLKASHAVT TADFKVYPDMEHSACLDELDAIEKWLKKRLPHVASL H257_13271 MPLLDDHADDDTEVSTSATTSDGHGDDDERSLLNEGNVDINDGA DCNATDVDTEVESIGCGFFHHRMVIILGLGNAADAVEILAMNYILADYGTITSWESSC LTAAVFAGMLVGGLVGGVMADAYGRRPIVLLNLGINAVSALLSALSPSLNWLILFRCL AGIGVGGIVSCLFALCVEHLPTSARARYITILCSFWMVGSIATAAMAWVMLGNVVGTH TRILPWANWRHFAACAGLPALTSFLLTYCYVPESPRFLASQHQYAEAASVLARIAHVN GQREYATPCLTQSDKNHPQRRQDDPYRMQWFVASSRLRKVALCLIVTSFALSFGSYGI STWITKLFVSIGLLNPYANAFLYAGANLPGNLLSYLVVDAWGPSVLLKIALVGAAATA LLFSFQLIDTDSTPLRSTASSASSITPTTTQGHHDSSRAVVVLLACVFNAITTAAWNA FGVVSTNAFPLPVRVTAMSVVSSMGRLGAIAAQFVNGFLIGPPPHLMTLLVVTASVLL IGAAAVGGVTPASTTSLPRKPKTASGRILRDTDDSTNLSSVVVRSSHMNVQDE H257_13271 MPLLDDHADDDTEVSTSATTSDGHGDDDERSLLNEGNVDINDGA DCNATDVDTEVESIGCGFFHHRMVIILGLGNAADAVEILAMNYILADYGTITSWESSC LTAAVFAGMLVGGLVGGVMADAYGRRPIVLLNLGINAVSALLSALSPSLNWLILFRCL AGIGVGGIVSCLFALCVEHLPTSARARYITILCSFWMVGSIATAAMAWVMLGNVVGTH TRILPWANWRHFAACAGLPALTSFLLTYCYVPESPRFLASQHQYAEAASVLARIAHVN GQREYATPCLTQSDKNHPQRRQDDPYRMQWFVASSRLRKVALCLIVTSFALSFGSYGI STWITKLFVSIGLLNPYANAFLYAGANLPGNLLSYLVVDAWGPSVLLKIALVGAAATA LLFSFQLIDTDSTPLRSTASSASSITPTTTQGHHDSSRAVVVLLACVFNAITTAAWNA VR H257_13271 MITMVDAVGCLTAAVFAGMLVGGLVGGVMADAYGRRPIVLLNLG INAVSALLSALSPSLNWLILFRCLAGIGVGGIVSCLFALCVEHLPTSARARYITILCS FWMVGSIATAAMAWVMLGNVVGTHTRILPWANWRHFAACAGLPALTSFLLTYCYVPES PRFLASQHQYAEAASVLARIAHVNGQREYATPCLTQSDKNHPQRRQDDPYRMQWFVAS SRLRKVALCLIVTSFALSFGSYGISTWITKLFVSIGLLNPYANAFLYAGANLPGNLLS YLVVDAWGPSVLLKIALVGAAATALLFSFQLIDTDSTPLRSTASSASSITPTTTQGHH DSSRAVVVLLACVFNAITTAAWNAFGVVSTNAFPLPVRVTAMSVVSSMGRLGAIAAQF VNGFLIGPPPHLMTLLVVTASVLLIGAAAVGGVTPASTTSLPRKPKTASGRILRDTDD STNLSSVVVRSSHMNVQDE H257_13272 MTNTVVCKSCQSTWELDLPGVGVRQICICTLCSAQSRGTSSHPD AVGRMRQVYEEGQADMDIAAADDDDGATMITDISCSISQHFAPHDDTSDAYINTNPVN AVTRDQPPDRGLVNRPDDGVSTDPNVLSSSSEHRSRRPSFPPYLLEHGGRTSGGGTTS ATHMPLPSVLVPRLPKQHHQQQVHPHVHLSSSSLAYQYGGGGAPDTHDDVISLGSEER FSLHSSRQYNHHHHDRVSAAALLGSVRLGGGMPEIDHEDHHSSSHVHSQHRMSPPRPS YDASVDNLVNPSTWKSHHHQHHHYPTTANAARIEIASNHRFG H257_13273 MRLPVSARDVLPLPRDYFECPELGNDEEERLIQVARTSCQRLIE TTYSPNSEWSTVSVKNGVRISKNVQPPLREYMSSISDNQYSVSSGYKHGGPFSSSEAG CSSAKLIRGTTTVSATIEEIALQFKVDRGQNLMKSRKDILDSMVLYNLVRPSGARPRD YVGIFWECVKSPVPFSHHRDFLYLECHEEFRTPDGRRGWGYSMHSIKLACCPPLDALK LTRASVYNSGFVFMETLKAGEIECHYFLNLDAKGPSPAVSDFMARRKLSALSHLNKVL QEQRLECEPLLGDLDLPHLHHPKNECALCYKRFQFFHRKHTCRKCGEIFDRT H257_13274 MPPATSMMFPVGADFFSTKGKDLPDEEKRYLVHIARTSCKELIK HADMRDENVVWTPIGGTSSEVKSSLPPFLSSSRGGFMDSLILSARMFEGRSKRDWSKK FHGGNIMMGACVTQVAATLDEVASFYQRPTTRDARTFADTYQDDCLDAHVLYTLLPPA SAAPWHSITVRWHAMKSPIALGKARDFCFLETHDEFVDARGRRGWILARESVSIAACP PLSSIVRASLQRSGLVFVESDLPGFLNVTQMAVVDFKGTLPRVVSRLATRKHLTEVLR LNRYLHEKRLSLEHILPDHDLVPKRDRSACHVCVKKFGVFSRHKVRCRKCGEVVCMQC QGLWTIDASSAPRHPRRASMSSAVSKKAVVHVRVCINCSQDTRERSMHVHSTFLNSQP RTNPHDHRQFSLDATMTMTSFLQTDDHEQDNEQDDISPMDVLQSARSRALHEYVRRTS SMTVAASTATTSSSGRRIPPAHDEYDNHLTLRHAKSVPGDSFDEAAGSYADGSSSPCS SSRDGYAGPPRGLSHSFVSDASSFRPSFTVFKKPTPLIPSTSTSHHHHQYQYQQPMVL PSSVLALTSPSYRDASHHNNPRGRHHYSVPPPPPSSSSATNSRRSSLPVFSNLAAQVD AALHYGHHTTHVPPSSPSSSRSSWRSHHASSSSLLRSPANRTHKPYTPRKSTQTFQFR DSKLVSMRTSAGTFTTDDLKSIERSLLADAKKLDTVSCARGSLGKPSSRGTKTSMMMG DGLNQRTSSRCGGGSNSSFMSSTTNHTPATATSTSSLSFSDDDSTLQLEGLREKVQLT LNGPAQSSSSTTTSLSSHNHDDDVVALYKQMKALRVAM H257_13275 MSGKFPLPPNFFRCPPLTPEESSYMSDLARKSFLDLVRHSRIEG GPIKWTLDSDEGGLQIYSGKDPTAPTDMRVLCSTTEVMATIEEAAALFRLETTELFRE YLRMFAKDLLDAASLYTLAMPTEQRPRHYIGVKWTCVESPSSLIKNRDWCYLECQDDF EMNGRRGWARALSSIKLPCCPDLQNSLGIVRAAFYRTGYVFLESNRPGYLQVIHAIQV DFRGNIPSWVIKFGMKRRARSIGEIDHHLREKRLGGEKFLADHDLVPKLARSKCFLCH KKHGTFTKKHNCRRCGEVVCSSCSKFWNVSMNGHRVHVRVCTGCSIGGRTINQKLSVR PLHDNASMTGLVMEAQIQPSVTPFDRKSAPGQGKLRRGREDDCGVVYSNDVYKLPTTT TGGRSRKQPESLAVQVGADDHHSNVQQHEYIYSQSVQLAYASTGNLKASTPEYPSSSN LVADQSVGDGPAQYSYESSHGDCYDDYHQQQQAAGYHEEYYYPHDQYNTPAFHQMYRY DGGYYEQGGGAYGKAGGEGYYPPTPGILPHDPRYDHDLYDDEAAAVRRDGEEFPATTY HDQDECPVHVRPYQGDGYYSYQDKQQGPRQQQDTRSTKAKADADAMAALFRDMESMGL KPAAVAARAA H257_13276 MSKFPVPQDFIYCPPLGKDERANLVNLGENSLIDLVRSSKLHSG AIKWTMDSDENGIQIFHGEDPNGPTEVTLLAGVTEVLATFDEIAALFRQETKEAYAEY TKLFAKDTLDSAHLYTISTATPDRPRHFMGVRWVVIGSPYPLLANRDLCYLECQDDFE INGKRGWGRSMTSISLPCCPDFEGHLGTIRATFIRSGFVVHESKRPGYLQVIHALQID LKGKVPQWVVKIGMKKRARSIGDFDKYLREKRLSAKPYLRDQDLVPKSSRFKCFLCQS KFGTFSTKVRCRKCGEVVCGKCNKFWQVKTTNAGRKMIRVCSACSITGGGHDGYPKDG VASSVAVSGVASSSEYSDERSDTSAQDSMQQETISLSGSEPQGSSGGQNYYPPAMDRA RHQHYQQQHLAPSRGYPAHHGQYSLQGASHLSTVSPQWGPRNNLPIHNRTQQRNSLSR YDDHQPLPTSSSQPQPQPPLSPYSLSIRLHKDGDDDITSGPGGGSQSPHAAHGAARST PRVDDSNASNTSPRIHDARDMYIMGATADDGEFDSATNPRGPPQQPLQAQGEYSHGSK PADERQEDNDDRLFITRESDDDNDAMSAYSEYSHAAPPLAKLVYPSRHINPLGAVYHH RSPRQILEIDPYAAKPFLHGPSSLQPQHGRGGLAGRHGPPPTHVAKYSNQLWAPNNGH QLLQGQQVPTQRRRYDEQPINAGMHDPDGAHVYRRRGGVDDLRGYIGDNSSTDYGVDP FPPPPPRGMEARRIYPYDSRDPRDHLPRDYSVEGSDFPVNPRHLRMAARDPRGVDPRG RLYDPRDFDHRRDFADDEHGEIPRAPQQSSMAPRYSPQLQFPRDPREYARDPRDYQQE YSREYDRDPRDFARDPREYDHRDYLLREYHHPQQQQQHYDRQFPPSNPRDDPRPFRDD LFPQQPPPPPSSVQHSSDDTSSLKSDSIIQDEVLRAMKALKGEETPDPRQLLALYKQL QQLNLEGTAPTTSP H257_13276 MTSISLPCCPDFEGHLGTIRATFIRSGFVVHESKRPGYLQVIHA LQIDLKGKVPQWVVKIGMKKRARSIGDFDKYLREKRLSAKPYLRDQDLVPKSSRFKCF LCQSKFGTFSTKVRCRKCGEVVCGKCNKFWQVKTTNAGRKMIRVCSACSITGGGHDGY PKDGVASSVAVSGVASSSEYSDERSDTSAQDSMQQETISLSGSEPQGSSGGQNYYPPA MDRARHQHYQQQHLAPSRGYPAHHGQYSLQGASHLSTVSPQWGPRNNLPIHNRTQQRN SLSRYDDHQPLPTSSSQPQPQPPLSPYSLSIRLHKDGDDDITSGPGGGSQSPHAAHGA ARSTPRVDDSNASNTSPRIHDARDMYIMGATADDGEFDSATNPRGPPQQPLQAQGEYS HGSKPADERQEDNDDRLFITRESDDDNDAMSAYSEYSHAAPPLAKLVYPSRHINPLGA VYHHRSPRQILEIDPYAAKPFLHGPSSLQPQHGRGGLAGRHGPPPTHVAKYSNQLWAP NNGHQLLQGQQVPTQRRRYDEQPINAGMHDPDGAHVYRRRGGVDDLRGYIGDNSSTDY GVDPFPPPPPRGMEARRIYPYDSRDPRDHLPRDYSVEGSDFPVNPRHLRMAARDPRGV DPRGRLYDPRDFDHRRDFADDEHGEIPRAPQQSSMAPRYSPQLQFPRDPREYARDPRD YQQEYSREYDRDPRDFARDPREYDHRDYLLREYHHPQQQQQHYDRQFPPSNPRDDPRP FRDDLFPQQPPPPPSSVQHSSDDTSSLKSDSIIQDEVLRAMKALKGEETPDPRQLLAL YKQLQQLNLEGTAPTTSP H257_13277 MHNHPTDLKAPLYDPPHTGHTESQTSFQSNYDGASPRTSHASYS PVGWWHSIMAWDTHVLLRYMRYINVILALFQALTGFFGLFDLAMLNVTSFLIAVYVII FALLLLAFECRFSSMEPTIRQLFGFLFTYRGRTAFIFFVGFMNFGMRDAMAKLAGVLM CTNAFLNLIVMTCHPSFRSGALRADMDPTTGYTAGEDETAQVLKANSHLAAQAGTYAF SHLSPDVATQIVQNAVTQGGGSYVPPTPTS H257_13278 MDAEVPPRRSKAKSSPAYPQQTPSVWTSPGNGSAVVHVETVRRY LLKLTPIEGKDLLPTARYPFCTLVLLDKDLKELKGEKRRTPVGKVYHAASNNPMWSPL KAAVLRSMESSNESNMPLGIGVAAAAEEYTFGTTVNLRKAKYVLVKCKDKGQVQTEDL GRLLLALDDLDTSGMELTSWYDLQMHTGMKRVQGKLRLSCRIIREPSRKQLWLAAEQM RAEISVKDHYLYLKCHPKSFSGRHATEWMLRHGLNRPMKGGITCSTEEEALLLGQCWL RAGILTAISSFGGTSGGTSAYFQNTSWKYYRFAVNHLDPFIQRDKQRECHCFLEQEDL VHEVEFEGDDDIVSSPQPTISGTASSRGLLLPSAASSSPFPPTTTTTAHPTSTTSTMK MTGKKPSQRPSQLDASSSRSSRSSRSQKKAPVPLTIDDFELLGVLGTGTFGRVVSARH RASNRVFAIKIVHKFGMDDVSKATAKRERDVLMEATHPFVASLHFAFQNEDKLYMGME YLSGGDLRYHIMAHHLQQHTHHVAGIGATTNTLTPSRIKLYAAELVAALAHLHSLHII YRDLKPENVLVARDGHIKLVDFGLSKVTTPSSTNKSLAGSPEYIAPEVLLVSSSNASS SAGGSDAGYTDACDWWSLGVLLYELYVGRTPFQDANKAIMYRNIAEGPVYIPTEWGPD VASLLRGLIDRDVATRLGGSTNVTPIPFDIMNHVYFSGINWDILQAKGGPPPEWVPDP DEVYVDDEFRSVVPVDTPEWRMLDEVDRAREHVDGFSFRPTEVFQP H257_13279 MKFTSALVLSAAAAFAAASSLEGDADDNRLCHAQTAGYLDKLVA GSYVTNGFFDCFRTQEQIFELLDTLQKKHPTLITRHEVSKSVNGLVIPGYKVANGKNA KAIYVQATQHAREWASTSGSLYALAATLDDVINKKPSPLDHYDVVVVPIVNIDSYIKT WTTNRLIRTNVNGVDLNRNWPSPYWNADNQPPGSQTYPGKAILSEPETLGIHKWLQAN AATIDGGIDVHSNAASVLFPFGDSKVDPVEPYLAKYKVLGKAVQTAIAGAGGNYDLAQ RLYLTYGNFRDYTFRNYTKPGLTIEVDGVDFVVPVSTIRQVGKEVYAGLKAYSTAAVD FNGGTTSPTPTTTKPAC H257_13280 MWGNNNRNTSRNTNTNKPPCKFYAQGTCRNGNSCRFSHDNGNNY QSSQRNNSGSNYHQQSTTSSNPFAQPSGPSSSSGNPFGQTTSGNPFASTTASFGTSPF GNAAAPSSSPFAQTSSGNPFQSTSSAPASTPFGSSPFGAPPAASSAFGASPFGTTVAP SSSPFGNPQTAASPFGGGTPSPFGSSPQATSPFGTPASTSSPFGTTAPSSSPFGTTAP STSPFGTAPQPTAFGTTSPFGNAPTTSPFGNAPTNSPFGNAPTTSPFGNTPATTNTPF GNTTASSTPFGSNTTTFGSTTFGNTSAFGNSSKPAPASSVMLPSDLKHMASTGNGGDA KRVIPADPRDPTWIRAQFEAPAFVYGCVPTESPPVEFCR H257_13281 MRGGTTAAGVDMRCRFRSVTSGAQACGTGGSMCFGVVVDLGGYD SVWRGLVLRVVFTRGLHVILRGYHHDTVVVIVGWLFHHVCMSWCVAGIDSSSTWWHVV NPSALAGKLVFYRHSLNMSIVVRQAATAAPFRHVHRRCRSRCRRLLHIRTNC H257_13282 MQSSSGLPVAHDGSSSWFGCEPITAHHAAGFDRRKSPLGGQDTS IVRVMRRKHGKGAEGIDGPGTCSDDPRESLGSFRVVCEQRRLQLFKVVLEHAMPMLSV ELQGVRRDGQPPVSEGLAVQSCRWCRGR H257_13283 MTIHTKSHRVAAAAATDARLHFHGGSSCGGGIPSKRRLPQHVTP LATLRCRVEATSLRHHTFFLWLPPYLVYQVRVLDIATNDTWVTHCTPRDVRRLYQHLV RRSIHPDTVEALHVLRCPDAPLLQPRDGLAIKAMCVDTEHFLHNLLALCRQTTTAPDA AFVAFFVAAFLKTPRKNSIQASTHDGSNSSIIPS H257_13284 MQLPLVSVPCSPIVDMPHHPVKDITSITDATCCVRGTCLSKSYH GLFPSVEIGFDIRVEHPSDSWVVRCTLRDVDTLHHNLRQNISDRSFHEDISRLLCPKL PLFHRRDMLVIKGLCVDLDHYVDELVKLCHRFTTKKRTADTDTVLVEGYLRAFLTANA TTPI H257_13285 MLVIKGLCVDLDHYVDELVKLCHRFTTKKRTADTDTVLVEGYLR AFLTANATTPI H257_13286 MGNKALARSSTKPPSQAIPNQHASKTHVLPFDDGDTAASSKLAA SEFRQSTDWRSDASDPNNEGTDTDNDDLRHKVVASPYKRMGSGTKVPAKTGESGSDSS DDDDDKDMARRLNTRNVTISSLKPSVDPIASTSSFPPVSQHDPHPVLTNTRTQTKGAL LAPLDVKSTQSFAHGKSIVEQPPAPQSKVYESTNAASFGHGLDAVMLMALKTPPHTAT DVSRGMVATPPATTSHDIDDLATMELINELMLESPKIESSKETHIREALVVTRQQRSA GKTTKGGIGKPPFVPPPYLDATDEDIMNQILQERRPGTTPIS H257_13287 MFVSTALPAKGGAAISMQSLLNPSNEFSPAIKEDPSRASRSFPP TQLNMLAPRLSSSSNLPPKTENRASSDRKRRHEKAKARYNEEMADLQDKYDSLELQLH DLNLNKRQKIEGQAQSTWEGFARRQAWQRQQSMIENTRLKSRLEMQMSIIRDLQGVLT NQPLLLESSILGPSDIPYVHLTVDPRERKLAVDAIMQNQLARMQDVFAENGMLRTQNT WKQVSVRFDECSKELVFEMSLSFIFNVPIGDLTSGLNTVVTPRVDYSEYSNGYTSLLE TFDSKTQYIRRVYTLDGAPDVHVNFVFHSFGTADSFVWIGQSVLEDDVHPIPDNVLRS RESIWCLAEPISPTQSRLRKVRHVHLIPPKAKDFGDVCAVGKLAEILVNCYAANTELF AQLVEANVKKNCSAHNPTLLMESPGPLIQLNQFHHTEVSLRTTT H257_13287 MFVSTALPAKGGAAISMQSLLNPSNEFSPAIKEDPSRASRSFPP TQLNMLAPRLSSSSNLPPKTENRASSDRKRRHEKAKARYNEEMADLQDKYDSLELQLH DLNLNKRQKIEGQAQSTWEGFARRQAWQRQQSMIENTRLKSRLEMQMSIIRDLQGVLT NQPLLLESSILGPSDIPYVHLTVDPRERKLAVDAIMQNQLARMQDVFAENGMLRTQNT WKQVSVRFDECSKELVFEMSLSFIFNVPIGDLTSGLNTVVTPRVDYSEYSNGYTSVQL SSTHVKCPLHMYMFNVFGAVIILGHVLDV H257_13288 MVWFDGVQIPGLFEGKYMSYAVLIVVVLCVIKFALNEISPTPRK SRGKQASAIDPTALYPYGVSEMQGKRPYMEDRHTVVGTLNGDPSSSFYGVFDGHGGDG ASEYCVASLCSNVITDPAFAHDPKLALSRGFVKTDKDYIQLADRYNKDDGTTAIAVVV RGDTIYVANVGDSRAVLIKKSGDAVPLSSDHKPNRPDERERVTNMGGHVIFWGVWRVE GILAVSRAIGDRMLKPYVIAEPELIETQRTEDDLCLVLASDGVWDVLSNADVARLVLQ SETPQLAAKTIMEYSYQRGSQDNICALVVDLTRGGNHAGTKRPPGDSSSEAQQFPTLP ASSSASRGLAAVSDNDDDDIANTTDVDKDVPSVELD H257_13288 MVWFDGVQIPGLFEGKYMSYAVLIVVVLCVIKFALNEISPTPRK SRGKQASAIDPTALYPYGVSEMQGKRPYMEDRHTVVGTLNGDPSSSFYGVFDGHGGDG ASEYCVASLCSNVITDPAFAHDPKLALSRGFVKTDKDYIQLADRYNKDDGTTAIAVVV RGDTIYVANVGDSRAVLIKKSGDAVPLSSDHKPNRPDERERVTNMGGHVIFWGVWRVE GILAVSRAIGDRMLKPYVIAEPELIETQRTEDDLCLVLASDGVW H257_13288 MQGKRPYMEDRHTVVGTLNGDPSSSFYGVFDGHGGDGASEYCVA SLCSNVITDPAFAHDPKLALSRGFVKTDKDYIQLADRYNKDDGTTAIAVVVRGDTIYV ANVGDSRAVLIKKSGDAVPLSSDHKPNRPDERERVTNMGGHVIFWGVWRVEGILAVSR AIGDRMLKPYVIAEPELIETQRTEDDLCLVLASDGVWDVLSNADVARLVLQSETPQLA AKTIMEYSYQRGSQDNICALVVDLTRGGNHAGTKRPPGDSSSEAQQFPTLPASSSASR GLAAVSDNDDDDIANTTDVDKDVPSVELD H257_13288 MEDRHTVVGTLNGDPSSSFYGVFDGHGGDGASEYCVASLCSNVI TDPAFAHDPKLALSRGFVKTDKDYIQLADRYNKDDGTTAIAVVVRGDTIYVANVGDSR AVLIKKSGDAVPLSSDHKPNRPDERERVTNMGGHVIFWGVWRVEGILAVSRAIGDRML KPYVIAEPELIETQRTEDDLCLVLASDGVWDVLSNADVARLVLQSETPQLAAKTIMEY SYQRGSQDNICALVVDLTRGGNHAGTKRPPGDSSSEAQQFPTLPASSSASRGLAAVSD NDDDDIANTTDVDKDVPSVELD H257_13289 MSKQNKHSGGGSNILRGGKRSYECGTLIGNFVEEAYRPGVVRSQ GFTSSMYTTSTQLQQSGGAVKPAFGPALQNDLNPRYDYTNLVGPDTLHAPSTWVPASK TCDFHPRDLKTATMTGPDLEAYRQKWTKEQGGVKELRFSTEAIATQGIVVPAQFKPRH LLPTDTT H257_13290 MINLSFPQVIVVPPLLIILGAVTLLNFKNLFLAITNYATARTSN EIVKTVKPALVYVKNFLEAVVGKASSFSFKLEHILLVAIIFALFAVANEISIGNDLKE KELKLLRAQAKANDNKKKD H257_13291 MPPHNVSSSLTSSPSSLASPMVPAERGHLARDLKEGTQAAHAAA ESVPFVTDFLHGRITQDVYRVMVCMLYYVYEELELQLRRAAASDNPVVVPLHFPLELE RLPSLAQDLSFYYGSNWKEVMPSKTPATAAYVARLEHIGSTHPSLLVAHAYTRYLGDL SGGQILKRHAIRAMNLAHGLGTAFYDFKRIESSHKAFKDGYRRALDAAMVDSAETKLM VEEANVAFELNMALFQDLDELRGVYRAATITTSTTRTTTTTTSTSTSQASSGCPFARL AGEAASTSTSSSNVSGCPFARLAADANTRSQWATYFGWSVAAAAVAVVTVGLVQRTSS H257_13292 MSESNLLAVPSEAAVVDRLIAHCDGYDVRRQFEQFAAMFVRLAQ SVGVLILSPAHFYASHADLFSDFDVGDEHSHDRHALFQAYEAMFESILNEFLTREQVS PADFYHQMTSLQNDLDCPNAALNLAMVLSSALNFDAFGLLMQREAIAQQQASKEADDM GLFGS H257_13292 MSESNLLAVPSEAAVVDRLIAHCDGYDVRRQFEQFAAMFVRLAQ SVGVLILSPAHFYASHADLFSDFDVGDEHSHDRHALFQVSPADFYHQMTSLQNDLDCP NAALNLAMVLSSALNFDAFGLLMQREAIAQQQASKEADDMGLFGS H257_13292 MSESNLLAVPSEAAVVDRLIAHCDGYDVRRQFEQFAAIHADLFS DFDVGDEHSHDRHALFQAYEAMFESILNEFLTREQVSPADFYHQMTSLQNDLDCPNAA LNLAMVLSSALNFDAFGLLMQREAIAQQQASKEADDMGLFGS H257_13292 MSESNLLAVPSEAAVVDRLIAHCDGYDVRRQFEQFAAIHADLFS DFDVGDEHSHDRHALFQVSPADFYHQMTSLQNDLDCPNAALNLAMVLSSALNFDAFGL LMQREAIAQQQASKEADDMGLFGS H257_13292 MSESNLLAVPSEAAVVDRLIAHCDGYDVRRQFEQFAAMFVRLAQ SVGVLILSPAHFYASHADLFSDFDVGDEHSHDRHALFQAYEAMFESILNEFLTREQVY IPSTVPRRLETHATNVRLANVLGDVGRYPLPTSTTR H257_13292 MSESNLLAVPSEAAVVDRLIAHCDGYDVRRQFEQFAAIHADLFS DFDVGDEHSHDRHALFQAYEAMFESILNEFLTREQVYIPSTVPRRLETHATNVRLANV LGDVGRYPLPTSTTR H257_13293 MSMTSIAAIEEGRPSSIDEGMLLTSVLHRRAVATPSKVVYSFTS SSTSSNVDITFASLDASARRIAATLTRAPKGIDRPAFNRGDCVLLWYPPEGNTSHDLV AAFWGCLYAGAVAVVVDELKSLHRLLRDTHAVLGLTTSAFVRQRKLDKVKDALHLPKL FQKERRLSQTSSATNDAAAAAASRVTWLTHDRTVITPSKPTDLHHLDAQAGAGKAPMT TPYSATVACVVYTAGTSGSGLGGKPVQLTQANLAAAAASSCGWLESTDTLVGILPLHV ASALVQSVVVPVLYGCRSVLVTDWLADPLRWMAVVSTEQAVYTFAPPRAFSLATRCQD RATPATRLSAQPLNLSSLVTVACTGGPLLPSTLAAFVRAWHLRPAQVSCGYSLVEATH TVTSTQNQTRGNQTQNVPPRVLTVSRQVFEQCHQLEPLLHVDYHSRPSAEDDGDSNDV MHLTSSGRPLLNTYVVVVDPISCVQMPDGLVGEVWVAGRTVSQGYLNHPSSSSSSHDY KWMPGTLQLSSTGHHHRHSRSSSSSSSSSSSVRRSSSSWGRPSMTCARRQSTVFSTSD KAAIDVTYIRTGDLGAWFQGELYVIGRSADVLQLPDRWLASHLVETSVLECSVLVARV CVYGDDGVAIAAVETSADVQRLRDGAAVAHSLCNSVIQCVIKHHELHVAKVILLRPNA MPLSACGKVQRGQLKALVASKDSAAVVLEFMHYPRGQDKQPRRCDGFLI H257_13294 MSPRQRPGETNKVSVEGQTAWSVYFIDALRAPSCEWNDRYGTVH KIKTEFNIPSGSRDSVLKVLQESKTVATTALSTTALVLIMVD H257_13295 MSRNTKYQAVKLESTRDKDAKSPLETENFFSRWLYLWADPLMKL GNERQLQASDLWPLPSDSKCEVITESFEPKFNKSQSIFRATVSEFGAQALVVGVLQFV AMVLSLYGPIVLNKVVSSIELSTPDFQTLAAPVVSLFVVKIIQAILQTQTDLKNELLF VKVMAVLQNLLYKKALRLNAKSRKAKSTGEVSNLFTSDMWPIVAVSFFINQVWIIPLQ VTALMYLLWQQLDWAMFSGIGVMIVAFFLTRWFATMQRTNWRVLMAKKDTRMKTINEV FGSMQIIKLNAWEERYYAKISDLRADELRSLWTQFCIGAGTTAMNNIAPVALTTISFA CYVLVLKQPLTASKVFTALSLFNMVKQPMMRLPQIVAAFMQAAVSYKRFAEFLALAER DPSVVSSHVVSGNDIAVEVIDGSFGWDESNPFFTNLNLTIRRGEFAVVHGSVGEGKTS LCNVLLGELDKYAGSVGVRGRVAYFGQQPWIQNMSIRENILFGLPYDRVKYSRVLEAC ALATDLTLFAAGDRTEIGSKGVNVSGGQKARISLARACYSDADIYVLDSPLSAVDAIV QNEIFTKCFLGLLRNKTLVLVTHSPEIIASPYIDRTIEVGNGGKTLTVTMNPNKKDCD ALVPPYPTRSYSVADDDDSSMDILDNIGTGGANSTLQYMDMLVSPSLKSPFGATVEDH LFTPMDAQAPLPPTYNEEDGTGSRGQLVVAEERESGRVSQKVFLAYFDAVGGWTTVVA LLAVQSLWQGLQVSSDLWLSAWTATGATVTPAEFQDGAEFHISVYAALAIGSSIMVVV RVLTVSVAGIRASQTMFDDMTKALLGAPMVFFDTNPLGRILNRFSGDINAVDGRLPNQ FGFFLSTVFVLLFSLGTTVAVIRSLGVILLPLMYIYYKVASIFVQPAREIERLNKTTR SPLITHISESIDGAVVVRAFGGKHVRRFERLQQTKVNRNMETMFCGELASQWFSFRIQ MISALMLLVTTMSLIYMRAYLNAGLVGLVFGYALQITGQLEWMVQMWSQLETAMVAPE RVAEYTNVAQEAPRVISGAVPASWPAHGSIEFQHVSFRYKPHDPLVLKDVSFHIESGE KVGIVGRTGAGKSSLTMALFRINEVASGRVVIGGVDTATVGIKTLRETMAIIPQNPIL FKGTLRAYLDPFESSSDAQLWDALDKVQLTPRVSLEQGKLEAVIEENGENFSVGERQM LCMARALLRNCRIVVMDEATAAIDHETDQTLQRVIRQAFASSTVLTIAHRLDTVLDAD RIMVLDQGRLAQCDAPENLIRAGAGIFFELCSEGGYLDKVHASVAATATTSQESHDDN QD H257_13296 MTTTTLKRLAVARQTSFSDLSCTIQGTSIRRSRRSFVPFSSTHV VFLLKVTMPSRRSWQLQCTLRDVVQLHRHLAQSTDDAAFIASLAALQCPKRPLFRQTN ALVVKSMCCELDHYLTNLLKVCQTFAVSSTVPSAMAVEATMRTFFDPSAAAKPKPAAT KS H257_13297 MRYILHGSGTVMVVEARHHHRRRGLANGEQTNVTREICHFDKRQ HMWSSLASSSSPSQAVLVAPVASAELASLALAMGASKMSCATALAHQSRHGIATDPTE CAA H257_13298 MSDVDEHETKAAEAPVVEDCSNTDVVTKYRLAAEIAQSALEGVI AQLLPGKTVVEVATFGDALIAARAGTVYKSKKVEKGIAFPTCLSVNEVVCHFSPLPSE SVTLKAGDWVKIDLGCHIDGYIAVVAHTVIVPDAEASDNIVLGAQADVLKAGHDAVEL CARLIKPGNTNAQVTEALEALAAAYGVKAVSGTLMHQLKRFVIDGSKTIAAKNDPETK AARATFDVNEVYAIDVAFTTGLEKPVQSERRTTVFKRQVDKSYRLKMKASRYVFSEIN SKFPTLPFTIRAFDDESQSRLGVSECVKHDLLTPFPVLEGRSGDHIAHFKATVLLLPN GTTKITGLPLPVDRVKSDKVLTPELAAVLSSSLKKKNKKKAKKAAAGGSKAIDDDLE H257_13299 MHLRRVVNLSRSSILGRRWNHSLVSPEWVVDTKTKLSVLDCGSL DGYHRGHVPNALHFGLPSKDPKDPFHIISENYYRSYLEKLPVDEDTTLVFYDDSNHLQ ATRAWWVSRYYGFPKDQIKILNGGFRYWVENDFEVSCSAPSPPSPSSSRPTIKKDASR VISLDELKALVVAGADTDVQFLDTRSSDEYTGAAAHGNARPGHVPRALHLEWSDTLQP NGQFKSTDELIALVAAAGLSADQPVVTYCQRGIRAAHTAYVLEELLGFPAVRVYEASM LEYLNQPDTKVEK H257_13300 MRFPACSIKKDASRVISLDELKALVVAGADTDVQFLDTRSSDEY TGAAAHGNARPGHVPRALHLEWSDTLQPNGQFKSTDELIALVAAAGLSADQPVVTYCQ RGIRAAHTAYVLEELLGFPAVRVYEASMLEYLNQPDTKVEK H257_13301 MQLIFDGPFAGPPKPAPSTPQCHYCSGSRLNMNADDACGVCKRP EIPAWLAVPKMHVGMRFILRGAVSTFVGVVTGINVLKRTVDVVTASKSTATSRHPTFT KRELSASALQSNMHGLPLESHWHATWDAYGFCQHCGLTYHVHRIPNQPTMMGSVAEQL ETANVALEELVALKLKRKAQGATSRELVQLSIQVKTHQQSIWQLQQLREQCQGSWCPH CGHSK H257_13302 MMLNHRSAAKSAANKRALEEAAAEETVEHTQKKAKVMDASTFAE FDLSEHMPPSGVHVAHLFSSLEFSLTTMALYHRTASFPLVKAAVESSCKCSFSTSDLA RIITIYPEAYECSFTKPTDKLTRPELCLKPTSTSFQTRMTVFCNNLNKLLVSQLATAA ANKTSETLDLDIPEATLPSVEDALGPTPLAQLRAQERRHAAALTPLEQANYLAKPVPK ELQGLPAWLVQKVRTAEWHKTALTQKVDSADRLIATLPTLCDQIQAYVSFTGKSAFEL DVLVTHLNKAPVPEKVKAQITLLAEMLPFWLTLVQHDKTHVVRLNAKQSYRVVKQILM QKVAITSPP H257_13303 MQERKVTGKSRGDDTSAAVPRHVSFTEHDVRSSHYNASPTALEW SAVDSRVSATSKSWQIANVVQLLRRELFDMFLPFGYPDSVSHEYLTFQLWDTCQAMCS YLRGVLATQSVLESVGVGKDSVTPLAAALQWVMRDGSGMLGGLFFAYVVGPKFDANVK FWRLYADVINDFGLTLDMLAPFFPLYVTEILCISSVCKAMCGVAAGATRSSLTAHFAK HDNMADIAAKEGSQETFVNMVGLVCGMYFANAVNQSRSTVWLAFLVLTLFHVIANYKA VSALCIPTLNVQRAFLAIRRYRSGTKQSLSVVGINQAEVIWGSRLPISLGRNLPSHVP LGSLLALYRDEQYILWVDGSGHSHIYLSVDASPSDELRAFYHAVILLDQSTRSSSAAY AMMHQEFPHFYDTMAQLDWKLTHVPLGAGYIRYNWTN H257_13303 MQERKVTGKSRGDDTSAAVPRHVSFTEHDVRSSHYNASPTALEW SAVDSRVSATSKSWQIANVVQLLRRELFDMFLPFGYPDSVSHEYLTFQLWDTCQAMCS YLRGVLATQSVLESVGVGKDSVTPLAAALQWVMRDGSGMLGGLFFAYVVGPKFDANVK FWRLYADVINDFGLTLDMLAPFFPLYVTEILCISSVCKAMCGVAAGATRSSLTAHFAK HDNMADIAAKEGSQETFVNMVGLVCGMYFANAVNQSRSTVWLAFLVLTLFHVIANYKA VSALCIPTLNVQRAFLAIRRYRSGTKQV H257_13303 MFLPFGYPDSVSHEYLTFQLWDTCQAMCSYLRGVLATQSVLESV GVGKDSVTPLAAALQWVMRDGSGMLGGLFFAYVVGPKFDANVKFWRLYADVINDFGLT LDMLAPFFPLYVTEILCISSVCKAMCGVAAGATRSSLTAHFAKHDNMADIAAKEGSQE TFVNMVGLVCGMYFANAVNQSRSTVWLAFLVLTLFHVIANYKAVSALCIPTLNVQRAF LAIRRYRSGTKQSLSVVGINQAEVIWGSRLPISLGRNLPSHVPLGSLLALYRDEQYIL WVDGSGHSHIYLSVDASPSDELRAFYHAVILLDQSTRSSSAAYAMMHQEFPHFYDTMA QLDWKLTHVPLGAGYIRYNWTN H257_13304 MNRLLKDDVGPAREKLLVSTSTKVKSLDTCNEHRSPHASPTNEL TRHSTPPYLKAEAPTVEPAGGKTQHRGLPLATTPHSHQSPPPSPSTSNCESSRPSRRS HLQHQRSYEQAIASAAGGGAVPPDMRCRYKTGRCPLARAMKRSGRPLLLCEFHRAKQN SIKRKSDTKYRTDRLAQKKPPSPIAATAPATIVPLDFYSHSFTEQLGLPLGLPLGLHY DNSPTIMSMTPPPDEMIVSPTSDMGGEDIALLQFFMLH H257_13305 MAKAANKATRIVAAASTKKTPLNKAAATPSSSLSSSRVFWGLAV VFGLLAAWLRFQTRSTQQHQHHVGMPTSTAPARIRWNVTCSKQYQPFVQGCHKRAKCG RALRDNFVSPAVVDALRDIADHGMQGRSTLGGPTIMDINTGYVKDGNGLINLYRQDPP VQFTTEQYTLYRDVIESIRLAIMDEFRLATLYFTAPTFLTRLIGNASWVPQEMHDEYW HAHVDKNNTRHYDYSGLLYLADYGVEFTGGLFAFLDKDKNITVEPAQGRLMMFTSGME NLHEVRQVETGARYLMSMWFTCDSRKRFHNFLDGKTHDQYDTTS H257_13306 MNVPGFRWILIGCIGVLVLFQSVDVFMAYRAALSSSPPRHAFQP LLDDVQDNDLLHMNKLMTDCLAQSETILSGRYMQSPLLRESISDDILAEVMRCPEAEV FLPIGIRSYGYCEDAMAYVKFLETRAMPMWVYEIDFHIDGKTYSYHDLCPHTAVILMN HYWDGLPDRHDFPSTKKLILMPNVEMYELQASHYHRVDYVLAKTKDAYQRITQWYDRD DNNRRNTSVYYTSHTTSDPTVLAKEAAKADPVTYSAAPRNWENLTFFHANGHSTLKNT IELLDCWSSRPDFPPISIYSSDGGSNDTYWRHLRDGRPMLNVQYHSGVFVTPPMYGKM MLETSAIVCPSISEGFGHYINQARAAGALVLTTDGAPMNEFIDEASGALITGVWARWT GDKVLMGPGTEFNVEHELICDTVDRVLALTPAERSTRAANGQTRYHEQQAYFKAAMAK FRRLLRRELTPGRNATA H257_13306 MNVPGFRWILIGCIGVLVLFQSVDVFMAYRAALSSSPPRHAFQP LLDDVQDNDLLHMNKLMTDCLAQSETILSGRYMQSPLLRESISDDILAEVMRCPEAEV FLPIGIRSYGYCEDAMAYVKFLETRAMPMWVYEIDFHIDGKTYSYHDLCPHTAVILMN HYWDGLPDRHDFPSTKKLILMPNVEMYELQASHYHRVDYVLAKTKDAYQRITQWYDRD DNNRRNTSVYYTSHTTSDPTVLAKEAAKADPVTYSAAPRNWENLTFFHANGHSTLKNT IELLDCWSSRPDFPPISIYSSDGGSNDTYWRHLRDGRPMLNVQYHSGVFVTPPMYGKM MLETSAIVCPSISEGYGSRLVLLLLVLLLLASRVLLLLVVLVLLQSIG H257_13307 MSGGGWTLRWGVVPSDVIYRCISHTKKKVSELSAYLVELEAADE AVNEPALPGEDESSDDEESDDDQSILTDIVV H257_13308 MTATGLKPLSFRWILMGCIGALVLFQSVDVFLTYRATSLLSRSK LRHAIRPLVAPQEDDLLHMNELMTECLTRGDAIVSSRYMQPPLWRESDTKDILAEVMR CPEAEVFLPIGIRSYGYCEDAMAYVKFLETRAMPMWVYEIDFHIDGKTYSYHDLCPHT AVILMNHYWDGLPDRHDFPSTKKLILMPNVEMYDLKASHYHRVDYVLAKTKDAYQRIT QWYDRDDNNRRNTSVYYTSHTTSDPTVLAKEAAKADPVTYTAAPRNWENLTFFHANGH STLKNTIELLDCWSSRPDFPPISIYSSDGGSNDTYWRHLRDGRPMLNVQYHNGVFVTP PMYGKMMLETSAIVCPSISEGFGHYINQARAAGALVLTTDGAPMNEFIDEASGVLITG VGARWNWDKTIMGSGTEFNVEHELICDTVDRVLALTPAERSTRAANGQTRYHEQQAYF KAAMAKFRRLLRQELTPGRTTSSGLQ H257_13309 MSWKTATAAATASTSRKSAAASSSGAVSSVITARSQQDRFHLAT IDHHDHNIHLRQVGLSIGNRELLVDAELKLESGVHYGLVGRNGTGKSTLLQALGDGLF EGISSHVHVMYVHQLFHVDMDSPERLSVVDVLLCGDKQRLSRQHMMDLLEAGLESQTV HQTLKQLDYEAIDAARAKMERVAIKRSGLRGLTARNKLLALEHQLVEAKALWDDAVQQ RTSSGVDSDEDIVAANNWLESLYAQDDLSSEARAREILVDIGLPVDQHDRPFHELSGG WKMRVFLAQIEFLQPDLLLLDEPTNHLDLPRIQWVMHFIQTRLQDLTIVTVSHDRAFL NAVADMMIVMKTNKTLGYFAGNYDTFEQTVQDKEVFNARLEAKLTAKTEKMEKQVAQM SVQGRKAGDDKKMAAAASKKKKIENVGNQKNAKGHRLRVNKDRVGHFFTNRDRSQDQF IDLHVVEPWSFPPTPDVPTHSVLSVEKLNFSYSPAAKPLLSNVSFNIHKGQRVVLLGC NGTGKSTLIKLLCRTIPANPTPDCIKSPPLVQIRALTQDIVETLHYEPKTPLQMLGAD TEEAGRKHLARFGLRGAVVSAAPCSTLSGGQAMRVALGLLTYPTCPQLMILDEPTNHL DMSSIDALLDALRSFNGAVLLISHDEHFLHAFHPDAVLWLTKRGEVKPLNDVDDFLNK YRDW H257_13310 MLMVNAQLRKEGLEEFVDKGTTTTKPQASPDMFLRTRLPLTTTL AQIIQEFAARATKAGTTTTTKRRGPHPTLPTSFEADLVAWICAMQQDGHPLERHAVLV KANLLARKIDPLLSLSPDQYTQDTKFKDVHVRLMEAFEDLTPSSIKGCIHKADRQLYK LAEYIKGLQEVEASDNESVEGSSDGGSVTSSNDSNGKESEM H257_13311 MRKAILTLPPQGNTRSTRKTIDVGGRILTLALLHDIDKSKAERA EATKLKLALRKKRAAKRKGKRGPKKAKRATGATSTDSSDYESPRSDEDDIVDTTSRQT DVLKVDKGDKDGQDCKTRFDKLTKAYKKRIYATMLRSRTNEEFGECEQLFEDFLSQVN DFGEKKNVIEESSVMMRKLEMETKDAIDGSESGTPLQRTRVVDFLQHITTAVDNVTGE DPCLKMVMSFLKDRLEQEDMREVKRSRREDLRDRKQHERDLVRDKQMQEFLVAFS H257_13312 MSGISEEDYGDITNYIRTERPRSLTKEERLDILRLHAQLRRDNA RQVSATIAHLLGRSERIVQEVWSTYMRTKAVVAVLPPSNTQQRPTRITRTHAISSMVR QYIRQRSLTRARTVAKDVMALLVEAGVIQCNTQDRGSSASCLRIVQIYLEKLGFKRGK RRGKATYSVSSGYAAARDIYVQKMNNLDANAPVVYMDENYIHDHYTRHQDSLFDPTDD APLKEKHKGRRMCFIAGIMAGRASGANSKDIALDIFEGGKKSKDDPKDYHAIQRRFNS QMSKVRKAVEWSFGRLKILWLFVFDSKKM H257_13313 MTNIHQREHPLLAPQLTLALVAILVHRVNDRRWVLRRLLQVCVS RQLLHLRRAPGVPTHTNQFNTTLERPTAIEHYRFTIDQLTLLTAMFSLPDPVITPAGD NVRGLESLAMLCRRIGEPNKLHTIANEFGRSQAALNSFSVIRGPSPVFGAVTVHSHAM AGVFPISRRKFEETLVAKERVLRTRLKPQSVKSPKNRGKRFGQWR H257_13314 MSDQVFLDNCFTQYLDTIYLQEVTDSTNAIIDKVLAEAGDEGIL EIAVDRWLRLQASSKDLLLMALAVLKH H257_13315 MLITMSDYKVSVALDVPRRTLRNWISHKHEILAYDGNLKNIKLE PGGRYEVFPDPPGPIEFINHVRDNECALMTTHLILWMKANQREWLNNCLATKQQSTSY DAFLRLLQRFCHRHGFSRQRPTKNKVKQADLAEVQSDFAAEFHREYIAYGNECVYNVD EADIYYDMPPRYIWAVRSGSSKILSGEKHSLRMTAVLTGVPGGHIDSGGLSTFPPGHH YAVQQCAWMDKRIWVTYLQDVLGETIEEPSVVQLDNFESHVSGESYNIMYEEPACVRC HRRRRRCVNPLTLGDGAV H257_13316 MPMTKSGQKQVLVVKDEKDDMSGFVQLFAAGSDDATIHQDSAGF VHPTSKEVYVADRLDDTRQKHVTDLQVALEEMHREVAVQSDKLRRQAHGRHERKSQVK FAGFVYRISCQPSDNIGPALMRTLPSDQSHHTTTPDMSNGNHRHTYPISLKLQAPVLL QRMSYHQVAIQLSVPYTTVRNSSQQSE H257_13317 MALVHATKHGKIEDVRACLNQGMPVDGSGDDWSPLMWAAFYGHQ DVAQVLLDNGANIDFVFYQDNKNAIDCASENDQTAFLEFLKKIPSKGKPTLTPHKSTM SAINADVLVHGCKYGKVDDVRVCLEEGIEADGQGNEWTPFMWAAYYGHIDVAKVLVEF GADPDHVCYYDGKDATDAAKENNQNEFLEYLKTLPLKGKPAPPSHKSTMSAINADVLV HGCKYGKVDDVRVCLEEGIEADGQGNEWTPFMWAAYYGHIDVAKVLVEFGADPDHVCY YDGKDATDAAKENNQNEFLEYLKTLPLKGKPAPPSHKSTMSAINADVLVHGCKYGKVD DVRVCLEEGIEADGQGNEWTPFMWAAYYGHIDVAKVLVEFGADPDHVCYYDGKDATDA AKENNQNEFLDYLKTLPLKGKPAPPPHKSTMSAIYADVLIHGCKYGKVDDVRMCLKKG IEADGQGNEWTPFMWAAYYGHIDVAKLLVEFGADPDHVFHHDGKDATDVAKQNNQNEF LEYLKTLKAKPAPPSHKTTISAINADVLIHGCKYGKVDDVRVCLEEGIEADGQGNEWT PFMWAAYYGHIDVAKLLVEFGADPDHVFHHDGKDATDVAKQNNQNEFLEYLKTLKAKP APPSHKTTISAINADVLIHGCKYGKVDDVRVCLEEGIEADGQGNEWTPFMWAAYYGHI DVAKVLVEFGADPDHVFHHDGKDATDAAKENNQNEFLEYLKTLPLKGKPALVTLNGQE NSSYLGEATVCRVGTSGGAMDTASSILPSRVASSTSQEKDTSGSHDVSTAQTQPKGTK APKACCVIQ H257_13318 MSAIYADVLIHGCKYGKVDDVRMCLKKGIEADGQGNEWTPFMWA AYYGHIDVAKLLVEFGADTDHVCYYDGKDATDAAKENNQNEFLEYLKTLPLKGKPALV TLNGQENSSYLGEATSVVAPPLNMASAQCPENTTPPCCFFNGCGKPAVANSWKCSFHR NRGRCLVDECTNQAYARQLCARHGGKKKCFVDGCNLSARLANVCYKHGARNLNKKCIH DGCTKPAQFQQKCVRHGGGRKCKVEGCSAHARCGGLCCRHGREVGIVREKKAKPPIDP PSSPTSSSTSSSSSGGGGVHYHDSLFRNEHTRPNHVNTENITQRTNVVLTETFAIADW DDVDWELVIAPMIPLNLPRQPAKCDLPWTNDMLEFLRSL H257_13319 MHKSSQHYAYSVRPSASKKPGIYLVSEEDLEESFSMTNTHIMKE DYVVLEEGALVPGGAISMLSMEALSLFVQYGAIGIVYGTLPSLRYPLIKVYFNAPGFQ MAAYGAVVNIPWTFKIIMGGLSDCVPVCGYRRKPWIILGWAICCAALVFLATLDQGPA ACLARSGESLVCQTPNLSEAVGDNVSSYTVGAMFATLGYVMAACASDALVVQYAQRES LVIRGRIQTAIYTVRALGQLISCALVGVLMNGKVEYAGTFDFYVPASVIYLVLCVPCV LAVLSSIFWLAERKTESVPFTKWAHQLWQLVQRQALWQILAFRFISNVFHNFDSTAGG PIAQYWAKVPPVTDALTRGLGYMIFSGILSVVGRYGLNWNWRWAIAITTIALIVIDGT VYLLTIWDVVRDPWFYTGVTLTDQIPSGVRFIVSVFVATELADLGNEGAVYGLVTTVN NLTIPVAAILYKWVNSYLKMTNADFASEMKPNASADTKNQVRWHVTYSYLISYGFKLL SLVWLFLLPPQKAHVQRLKRQGIVSSFAGGVTVVLFVVFLTFSVFFNLASMYDATKWW RIAGGNGVDPKASAAKP H257_13320 MRSSRATIAFGGGGYGLLELPFSDMANVMHPDEKWFNADKDHRK DVALRHEDSCCSIIAQSFSWKIGDYAGRDEWVVTMRRQPPNSPDLNVIGTSMRRGGMA VSVCMGLTPRLRASLSGSVRFIVLVFVVTEPADVVVSMTGWSLDEVFARLVATTEHQQ QMIQQPLEAQTQAQAQVLANQQAQHENQLKAQQEMQAALVQCVSSETRSWKKVTGDDD H257_13321 MKLVVPHSTIQPVSVPHTSLPANRGGYVPNEDAHSNLYMFILPS MLARKGFVMSVCASDAMVVQYTQRKPMATRGDLRGQDDSYDRVAATCGHLPQ H257_13322 MNVIYAFLCVPCILVCAATVFGIHEYKTERVPFLKYMDGLWSLV QKQAMWQIMAFRFLSNVFQGFSSTAIPSMSSAWVKLWPIVDSMTTAMGSLIFAAIMSL VGKYGLHWNWRWTIAVSTLCLIFLDSLVYMLTIWDVCRNQWFFHGRRLGVLFKWCNPF MFYGKK H257_13323 MSASAPPKKPGIYLLGQEYESSLSLSSTHVIGVQEEYVVLEEGA LVPGGAISLVSSEAMALFAQYGAIGILYGTLPGLGYPLFQVYLNLEGYQVAAFNILVN IAWSFKIFMGGLSDCVAIYGYRRKPWMILGWLVCLAGLIYLVCLSPGKPYCEARDDDN NCRSLINPNTEATSIRITLGTVVATFGYVTSACASDALVVQYAQREPVHSRGRIQTAI YTARTIGQVVSSVLVGLLLNGTVYGGKFDFNVSVNVLFAILCVPAASALCTAAWVVVE RKTESVPFHKWADQFWKLSQKQAVWQILAFRFLSSLFQNFESTAGKPMALYWAKVQPF TDALTHAIGYVLFSAVLAFVGRYGLNWNWRWTIAITTVVLIAIDSTVYFLTIWDIVRN PWFYTGVTLTDEIPTGVRFIVSAFVATELADLGNEGAVYGMVTTVNNLAIPVSSVLYK YVDSHLDFNNTAFATESVGSDADKARVRWAVSYSYFISYAMKMLSLVWLFMLPPQKAH VQRLKRQGMVSPLAGRLTWALFLGLLAFSIVGNVASLFKDTSWWHIAGGNGVRPTPSP TSSSVVP H257_13324 MILKEDFAAAVGSSTILEEGALVPGGALNLWSKEAFALYSQYAA IGIIYGMLPQLAYPVYAVYLQLEGYQVSSYSILVNLAWSFKIFFGMASDCVPIGGYRR KPWMLIGWTICFANCLFMALNPFGRPYCDPQVNDADAMNKCLNRKANRGGYVPNEDAH SNLYMFILPSMLASMGYVMSACASDAMVVQYAQREPMATRGRIQTAIYVVRTIATIVS QLLVGICLNSREYGGEFSFSLPMNVIYAFLCVPCILVCAATVFGIHEYKTERVPFLKY MDGLWSLVQKQAMWQIMAFRFLSNVFQGFSSTAIPSMSSAWVKLWPIVDSMTTAVGSL IFAAIMSLVGKYGLHWNWRWTIAVSTLCLIFLDSLVYMLTIWDVCRNQWFFTGVVLAD NIPSGVRFIVSIFCAVEIADLGNEGTVYGLVTTVNNLASPVAGVLYKWINAYFKVTLN DIKTDTTEVRWHVTYTFWIAYGMKILSLAWLVLLPPQKAHLQRLKKYGVKSPLAGVLC ICLFLSFLVFSVVTNLMSIFPSTRCHRIAGGKGCKPEFTDTCVC H257_13325 MVSSLLLQGTSNMTSTHKHARRPSHGIPSPMAFLSPSELKASLS IQVPCATHTVDARWGCDEPPSEELCQEYKPNVFRKGFCMNCQKRHDVSDDGHVASTKS FVRIHSPATSPHAARAELNPLAEHSNLSVALTQAREDALMRLHAKDELKHLSKQLEAL RRDLEAAPNTNALECKLQELDVFEKQLVANVGRASPQS H257_13326 MAATAAAAKKSSFPLPAGHFKCPPLSQQEFAHLMALGKESSRAF IQQSEHLRDYSWQELGKKNQIVLFKGVKAGSSSPFLVLRAVGEVAGSLEEVAAMHQLG TPDTLQQFLDESDDILDLHTLYDITADTRAMSSRYLTSTLQVAVRWMVMKVPTAATAF MHPRDFCYLETQDYFTDGKGRRGWAISMDSMAMDACCPAFPAHASCVVRGKLRASGYT FVESETQGMLHASHWFQVDFHGKVPVWIQNLILKGRVHRMIHFNTRMHEQRLKKVDLL AEFDVRRTSQSHRTNCFICRKKFRLLSRKITCRKCGEVICSNCQHTWDLVRSDKKRKV SICSMCTSSLRSPLPPSSSSAPTDATHLRMPRLARHYSYDEFMHTRPGLRKLKSNSVD FTHCDYNVEDDPGYMSSVAVDLDALLDSPRDPAAIDARWGDVEAAVEEECVDFKPNLF RKGFCMNCQKQHDATDDGSIRQTKTFKKIHLAPSAHAANAEFNPMALPENSELSAELR KSRADSMDSVVSLRSRLNSEDLMDDHFLKTHGVHAMMKDLMHEYGSDSAASLNPTVLE AKLLGLQAQLEQLQLDKARFKKDTVVRV H257_13326 MAATAAAAKKSSFPLPAGHFKCPPLSQQEFAHLMALGKESSRAF IQQSEHLRDYSWQELGKKNQIVLFKGVKAGSSSPFLVLRAVGEVAGSLEEVAAMHQLG TPDTLQQFLDESDDILDLHTLYDITADTRAMSSRYLTSTLQVAVRWMVMKVPTAATAF MHPRDFCYLETQDYFTDGKGRRGWAISMDSMAMDACCPAFPAHASCVVRGKLRASGYT FVESETQGMLHASHWFQVDFHGKVPVWIQNLILKGRVHRMIHFNTRMHEQRLKKVDLL AEFDVRRTSQSHRTNCFICRKKFRLLSRKITCRKCGEVICSNCQHTWDLVRSDKKRKV SICSMCTSSLRSPLPPSSSSAPTDATHLRMPRLARHYSYDEFMHTRPGLRKLKSNSVD FTHCDYNVEDDPGYMSSVAVDLDALLDSPRDPAAIDARWGDVEAAVEEECVDFKPNLF RKGTCPFCLLLFVCALAYLIYVCILR H257_13327 MDDLHVSVQPAVTKSPPTRSTKMPRRPSASIPIVAVLTDPHMVR LVASFASGVPWELYTYIQTTYQTAVTTLSALDFAASKGELNLVQVCHARRSSQVVTCA GMDAAASNGHLDMVEWLHRHTHTPCSVWAMNGAAKRGHLKVVQFLHEHRNEGCTVFAM HNAVVQKHMAIVGYLQSNRSEGCTSYTLVRAAQLGFLDVVEFLFANERQGTSGRQAFD LAATNGHFEVVRFLHAHSTQGCTTQAMDGAAQNGHFNIVKFLHMHRTEGGTAMGRARA EANGHAEIAAYLKQHLPPA H257_13327 MDDLHVSVQPAVTKSPPTRSTKMPRRPSASIPIVAVLTDPHMVR LVASFASGVPWELYTYIQTTYQTAVTTLSALDFAASKGELNLVQVCHARRSSQVVTCA GMDAAASNGHLDMVEWLHRHTHTPCSVWAMNGAAKRGHLKVVQFLHEHRNEGCTVFAM HNAVVQKHMAIVGYLQSNRSEGCTSYTLVRAAQLGYIQRTCTWHIFHV H257_13328 MDSAVLTLVELGDDVSDVYAWVDANTRGDAVESNNSGGVSERLL PQLHVLSQELSATLQHQLESFPSFDTHVQLLAIKTEGLRLNLQSVTTFPDSVADLPDG SDGTTHNLPCQGSTRPRVATTARAVATSPSLILLHEAKQRMQACIHALEESAAWTQHS RVVGQLVSESVSSIAPTSLPSLATHLTAMHKSLRILAPMPGAPERQATMARLLGDIER LVLPALLDQLNRPSTVDVERVAEILTIFKCLDRADVVSGQYAAVRPAAIHRLWLSLET GPRPLNLSDFYADVAAFLGREWLTIGLVFGHETGPSVWLSLLQATLQPCALSSCINVH NVCAWFDQSCRFAQSLLTNYGDVAENHSPVLAPAIVQVIFEPYWPLFESFATVERSEV LALQVRELVVPKSGPVDADFAALVEEAFTGLWEALEVRVQFGLSFALGALLPAVVAAI TDTVTEFELALVHQVKLDLDKTTSNSSTSITDPSLMDWERFHDALNLVKTTGLVLTQA EAMQSRWGPRVQTCLNAWILEDSDSVPPFSVDDCRNVATLPKAVMMQWWRSNASKYHD MATLAHSLTYKPLFGHLLEHWTKVVQQLLYASVMTPIQALLRPLPTLEIWIQPEATDA LPSFSMLPQDYVTGVADILLSLLPQLELFAETSGLPNAVAASHHVELLSNDAWTAVAT TFFPNTPVNDDWMSQSATSSFVDRWTVVMASGAMAVLTGQILQIPRFSATGAAQLACD MGYFENVLMALGVPLHPILAHIRHRLTNQNAPCDTAIATSLDKYLTVTR H257_13328 MDSAVLTLVELGDDVSDVYAWVDANTRGDAVESNNSGGVSERLL PQLHVLSQELSATLQHQLESFPSFDTHVQLLAIKTEGLRLNLQSVTTFPDSVADLPDG SDATTARAVATSPSLILLHEAKQRMQACIHALEESAAWTQHSRVVGQLVSESVSSIAP TSLPSLATHLTAMHKSLRILAPMPGAPERQATMARLLGDIERLVLPALLDQLNRPSTV DVERVAEILTIFKCLDRADVVSGQYAAVRPAAIHRLWLSLETGPRPLNLSDFYADVAA FLGREWLTIGLVFGHETGPSVWLSLLQATLQPCALSSCINVHNVCAWFDQSCRFAQSL LTNYGDVAENHSPVLAPAIVQVIFEPYWPLFESFATVERSEVLALQVRELVVPKSGPV DADFAALVEEAFTGLWEALEVRVQFGLSFALGALLPAVVAAITDTVTEFELALVHQVK LDLDKTTSNSSTSITDPSLMDWERFHDALNLVKTTGLVLTQAEAMQSRWGPRVQTCLN AWILEDSDSVPPFSVDDCRNVATLPKAVMMQWWRSNASKYHDMATLAHSLTYKPLFGH LLEHWTKVVQQLLYASVMTPIQALLRPLPTLEIWIQPEATDALPSFSMLPQDYVTGVA DILLSLLPQLELFAETSGLPNAVAASHHVELLSNDAWTAVATTFFPNTPVNDDWMSQS ATSSFVDRWTVVMASGAMAVLTGQILQIPRFSATGAAQLACDMGYFENVLMALGVPLH PILAHIRHRLTNQNAPCDTAIATSLDKYLTVTR H257_13329 MFRVRVASTEIAVPLPPDASLTAGFVKQFVMESNLEIDVLPSQL RLIVKGKILADDDVVDATQTAAAPAVIHILPSEHEIQNMLAREAEAKRIHDIRATRHV VSIQARNEHLSARAHASITYKFRDIETLPGLPNEPTARNILVSLASDPGILAVLAHHK WQVGALVEMYPDGKVGVDPVCVLGLNENKGQRIRLRLRTDDLLGFRKFLTIKQVLFHE LSHNEVSDHNNDFYQLMRQVETECNAVPMTRSSALVQSSRRPTASPVLPRHTMVPPAS LNPPPGSPTRPIPAHVDNFKHAPTSSDELTPPPPLAGSAPSPVQLAPLAEWDAMALAM GGERVQQVHAAVHGLRTALLSHSQPPQATISTLMTLLSNILTNPTDPKYKSIRKDNPR FIRTVGSIVASHAFLQSVGFDEQATHWTLLRNDPGLLWLGKSALEALLVVPSD H257_13329 MFRVRVASTEIAVPLPPDASLTAGFVKQFVMESNLEIDVLPSQL RLIVKGKILADDDVVDATQTAAAPAVIHILPSEHEIQNMLAREAEAKRIHDIRATRHV VSIQARNEHLSARAHASITYKFRDIETLPGLPNEPTARNILVSLASDPGILAVLAHHK WQVGALVEMYPDGKVGVDPVCVLGLNENKGQRIRLRLRTDDLLGFRKFLTIKQVLFHE LSHNEVSDHNNDFYQLMRQVETECNAVPMTRSSALVQSSRRPTASPVLPRHTMVPPAS LNPPPGSPTRPIPAHVDNFKHAPTSSDELTPPPPLAGSAPSPVQLAPLVQSKKTTKSV LFVHSISYTRFCVFVHI H257_13330 MLRGPSSQDEGDNYAAGDTDDFAAQPIVVDGANPMKTNLFPRRK TSLGRSVSSSQLLLKPKRNKQQPVPSTVVVGMHNSTLHNISSSSLTSPFQSRANLHNG GDRGLSRQMSSSRSVATLLRKPSDVDSSPNTNGDDNRRDSSKAPPNMLLHFKIYLVGD SPYISGHGKPPSVDLTSLFPSGLSVLKVASGVEWGAALMQNHLVYTWGNNTVGQLGHG HSEALMRPTLVQALQSIRIVKMSCGSAHGGFVSDTGALFMVGDASYGRLGLGCLPASG IISTPTQVRWGFTQCKDKAVTQGTWPPSIDLNHLPPEPGSAVSGAPTDTFFSDVSCGD RHTLVLVKHMHVLKQVLLGFGDGSNGRLGTGSDSDQDTPTLVSVFHTAAGITFPPIRE MVAGPEHSCCVTQTGELFSWGHGAYGQLGHGTCDSEWTPKRVEYFYDNVNVTAIKQAT CGLHHTVVIDTTGQVFAWGRGDAGQLGGDALADSPTPVRVLIATDAGGPAVTARFVTS GRSHTVVVDSLDNVYCWGGNSAGQLGLKSTTGLNCQPIPVQVDAKSMRTPGGAVALHM HALSVFATENHTLLVLRTTNAFEGDDPNMVKAKKAVGMFKSLHAKKKPSTSPGKHHHH RGNQVTEKWSFTVAEPTDTLNIPSHVARFLHIMASTKIAKRPTIDHSKTNTSMHNSSN TNTNANKTASLTPPSRLPPPSNLPSRPSTKSTGKPLGPPKPIQGLLEWKRARTKRPPT TTFSHTPRFRAKTGSVAAVPPPLATNGLAPSDNTHPPPPISTSNKPTDVSSRVEKSAT TRLLRAFGVGKRFRTVQVSVTPGPGAYDVLAKAGVPPSTLATNNQTEDDSGDKPWRGR VPFGSTAPKQVPLTTVESSAIYPEKAMALVFRKPVAVKLASGDDFSRVISKRLHAGRG STPGPGAYNLLVPTTQAPSSSRMPSTKRKQHNSHKHN H257_13331 MATTTTTSSAVDTPPAAAASSAIGSLKSELDNNGVRTLRIAFVG NVDSGKSSLIGTLIRGELDDGRGLSRQAIFRHKHEVDSGRTSSIATAYMGFDAQGEQV VAKRSGKILPWSELAKHSHKKIQLIDLAGHERYLKTTVFGLTGMQPDIVVVVVGANMG VKKMTLEHLGITMSLEIPVVIALTKIDIAPKPVARDTLQTIRKCLRQYGKMGMLVKSL DEAVNAAKGLPSNRLTPILPLSNVTGDGLDNLRHLLHCVDVEALVRSAQSFSNQDNDH TNLGTLSDKSLSAWTPPHGLSVEMPIDDTYQVPGAGFVIAGTIVTGTIKTNDVVKIGP DHNGHFHKVVVRSIESMYIPLKEVVTGQTTALGIRSLNKKQVLNRGNFRKGMVLVNLA TDEKPFVSRRFEAKVMILHHQTTITVGYQPMVNCRTIRQTAAITAILGTDENVEQRTI RTGDRAVIEFTFLHYAEFVKPGMRFVFRDGQAKGIGQVTRVLLPDKDDLRHNNQLDSS H257_13331 MVFTIGHERYLKTTVFGLTGMQPDIVVVVVGANMGVKKMTLEHL GITMSLEIPVVIALTKIDIAPKPVARDTLQTIRKCLRQYGKMGMLVKSLDEAVNAAKG LPSNRLTPILPLSNVTGDGLDNLRHLLHCVDVEALVRSAQSFSNQDNDHTNLGTLSDK SLSAWTPPHGLSVEMPIDDTYQVPGAGFVIAGTIVTGTIKTNDVVKIGPDHNGHFHKV VVRSIESMYIPLKEVVTGQTTALGIRSLNKKQVLNRGNFRKGMVLVNLATDEKPFVSR RFEAKVMILHHQTTITVGYQPMVNCRTIRQTAAITAILGTDENVEQRTIRTGDRAVIE FTFLHYAEFVKPGMRFVFRDGQAKGIGQVTRVLLPDKDDLRHNNQLDSS H257_13332 MKCSTSVLLSALAISSVVDFADAHGRLISLPHRGYIVKMTKFPS TTTTTASVPAAPRGANTASAGTRNRVYASQHETGGSNGLFPSNSGVDINGQEQCWNCA DVYISNTCGSDPAPANATAFTDPRTTSAVPPSTKAPSTPPRTTEAVVPTSTKSPFMNP QTTVEAPTYSQNPTQKPTQSPSTTTPTYPKHSPPHHATYLLYPTQPSKTTASPTNPTQ APSQCGQCSNCYYPLMARVLLVDCRPMRLGTSAQVVRIVGIEGAVVTIYASVTGV H257_13333 MTKQPTLPPPNSMKSTPTSSPSTPPPPPGKPSLTNILPNPPIDK FENFVAIAAKHPEFTNPGNADVDRREVPSLSTRT H257_13334 MLCWIAVAATSVAHAYFANYQTSVVSPYLSQLRSSPNSAMICQG VLIDPNFALFTRACAENHNEADKVVVGAKRINGGLNDGEWIPVAKKFYSANTSLDFVI VQLARPSTYSPMRILWDDVEPGKRVWLRGWFPFNKDESLKTIWTTKLEIVANDKCQAR LNRTVYDYQVCGENENIDMCSWYILGAILTEIDGNEYLVGTLTLYDCDAKPAWQIFNR ISAGRLFIEPFLCRGIEQSW H257_13335 MTEPNQESQHTTPTLPSDPVGDIIGSTCVNSTSDSPNQTVDRTS TNSTLTALNLNQVRRASYEDNGSKSARDHKEPLPPRSTTCTSARRSISQLTKEVLVEP LRRTSINKRSDVLPTNPAAAAAATTDDTGSQNIAEDELFAAVDEALVPEIEAYLREKI QCNRSDTKAKDIREFVLSRLSSVQIRQVLPPLLAKLQSELGGSTIALDIQTSFCMMED LFSDDLGRASPLVGMYTDEEEDMLKSLELRTVL H257_13336 MAQQGSFPRGPPSQPGGPGYPQQPPRGVVGGGGPYGPSSSGRGG FPPQQPLPHGGPPGNNFPPHQQQQQQYPHQPPHSTGSTGPPFPPQQQHASFQGQLQQP PRSTGGILPRGPPLTQGPSSHGAPPPQGSFGAPTFPPRGPPPQQGGLPPPSGFPHPPP SGFPPSANGPFYGSNQPPPPGPGVRGPPPPPNAGYPQAHQLQPQFQGGYPAQPPPGPM GPPPQPSLQPPPTFTPGPPFHQTTPPIQPPAKSSGATTASGTQQRIDPTQIPRPLSRP DAITYVAKGPTLTMPPPANSKYICLDNGCASPRFIRPSLNHVPESKDLLQTSGLPLGV VVCPLAKLDPNELSVPLVDFGGTGPLRCTRCKAYVNCFTRFIQGGRMFACNICTMQND TPRDYYCAVDQLGTRRDVADRMELARGSVEFVVPSVYSVRESAQQEIVVFALDVSVFA FQSNLVASVVAALPSVLETLQKSSPHAQFGLMTFDTAVHYYRLDQETISMVVCPDVDD PAAPVPARAWLLPVHSPNALEKIQELQDLIMTLFSNDAKNQSVSGAAVTSAVDSLLTS GGRVSVFHAGPPRLGVGKITKEEAASSYGTTKEVQLYAGRGVHAHYEELARLSAQSFI SIDIISVANPYSDLAEVARLAELTGGYVLHLPQFDKDKPEHLQYTKQLLRHLLGKQKA MEAVLKVRVSSGLRVDSIYGNYMPSAASDDEVNMALVDQDTAVGVTFVYDGKLPQEHM YIQVALLYTREDAVRCVRVHNLALPVANLVTNVFRHADLDATCALWQRMAIKVIRDKS IMSGNGQAVKEKLVDDCVHVLSNYRKHCATNSSSGQLILPESLKLLPLYTLATLKSRA LRNNLTGQQARGLIDVRADERVMLLHLLNSFPVEHAVSAVYPKMYALHDLTEEVGTLD DKGDLILPAALPPTAEKLEENGLFLLHSSTYMYLFIGAKTNPTLLEDVFGVSHIDTSE QSVNLVGDADQSGVLRTQIQAVIGYLQLQSPVPSPLEIMSKSDWRSNRFLSALVEDRT RNEVSYVEFLCQVHKKIQYKMM H257_13337 MDVSRRHLDDNAFDLLPTEEQVLRVALVVLLVLLAALFSGLTLG LMCLDKFGLQIVVDAGEDAHATEDEKTNAKYAKKIQNVRQDGHLLLTSLLIANVSVNT ILSIMLADMTSGVTGFLISTVVLVLFGELLPQALCSRHPLFIGAKSLPIVWFFVVVLY VIAKPIALVLDWMLGREIGNLFTKREIGKMLDIHVKQNLLDADETDIMRGAMHFKAKV VASVMTPIDQVYSLPTTTKLTLATIRHIYQQGYSRIPVYHKDIHDIVGLIFVKDLIFA DPAEETTLLHFVHVFGRGVHRVWPDSTLGDVLQAFKMGRTHLALVHDVNNAGPGDPFY ETKGIVTLEDIVEEILQADIYDESDQIDADVTRKNRLSHRSYDTGVDRVILDDDRTKK LAKPEADALAKYLVASEPVFLTPDANGVPLDVAKVSNLLSQSHLLEFRAQDSPHAELL TQGKATNGCVIVLQGHVIVSSNSDSSTKRGLWSLFGAHALLECDAESDVTVDLPPDEY VRCVRVSRLDFQATLFPMNIAEQPAVLAERRLEIQIHQVHQDHNGIHHKPLSPVFHSD LDQSKDTDVPYTLDNRESM H257_13337 MLGREIGNLFTKREIGKMLDIHVKQNLLDADETDIMRGAMHFKA KVVASVMTPIDQVYSLPTTTKLTLATIRHIYQQGYSRIPVYHKDIHDIVGLIFVKDLI FADPAEETTLLHFVHVFGRGVHRVWPDSTLGDVLQAFKMGRTHLALVHDVNNAGPGDP FYETKGIVTLEDIVEEILQADIYDESDQIDADVTRKNRLSHRSYDTGVDRVILDDDRT KKLAKPEADALAKYLVASEPVFLTPDANGVPLDVAKVSNLLSQSHLLEFRAQDSPHAE LLTQGKATNGCVIVLQGHVIVSSNSDSSTKRGLWSLFGAHALLECDAESDVTVDLPPD EYVRCVRVSRLDFQATLFPMNIAEQPAVLAERRLEIQIHQVHQDHNGIHHKPLSPVFH SDLDQSKDTDVPYTLDNRESM H257_13337 MDVSRRHLDDNAFDLLPTEEQVLRVALVVLLVLLAALFSGLTLG LMCLDKFGLQIVVDAGEDAHATEDEKTNAKYAKKIQNVRQDGHLLLTSLLIANVSVNT ILSIMLADMTSGVTGFLISTVVLVLFGELLPQALCSRHPLFIGAKSLPIVWFFVVVLY VIAKPIALVLDWMLGREIGNLFTKREIGKMLDIHVKQNLLDADETDIMRGAMHFKAKV VASVMTPIDQVYSLPTTTKLTLATIRHIYQQGYSRIPVYHKDIHDIVGLIFVKDLIFA DPAEETTLLHFVHVFGRGVHRVWPDSTLGDVLQAFKMGRTHLALVHDVNNAGPGDPFY ETKGIVTLEDIVEEILQADIYDESDQIDADVTRKNRLSHRSYDTGVDRVILDDDRTKK LAKPEADALAKYLVASEPVFLTPDANGVPLDVAKVSNLLSQSHLLEFRAQDSPHAELL TQGKATNGCVIVLQGHVIVSSSTSSSMYLPRDSDLLLCLRFGQQHQAGSVESVWGPCI ARVRCRE H257_13338 MTRVHTQSRILQTFRALGVVASDVPVAYSRAGFITAAIGKSFQV YETEKLTPVAVSAQLPKKIRALTTVLHKNQTFCAVGRDIHVFERVKETTILQGHSAPI THLLAVGEYLFSTGEDHTMRVWSTLTLELVNSIEFADNFTPTVLLHPATYLNKIVVGS AQGELQLWNVRTLKCIYSFKGWGSEVTSVAQSPAVDVAAIGLADGRIFVHNLKFDVTL MQFAQPTEGRVTSLSFRNDDKSPWLASGTSSGDVILWNLETQRLQAKIPVAHDADVAT VLFLPSEPVLLTSSGDNSIKLWIFDQLDQTARLLKSRQGHKAPPTTIRYYGHDIQKDG MVCQILSASQDRSFRLFHTAREQQSAELSQGPLLKKAKRHYDFKLSPIVQLAAIDTRE KDWPNVVTCHVNEPAAYVWSINRKAIGKKVLRQIDDNLYDEAVHHHYKATAVGISSCG NFALVGSQGGAIYLYNMQSGEKRGSFPTAATDAPKLIKSLTLPGASYVSKATDGSLED KHTAAVTGVAVDVLNETVVSTSLDGLVKFWSFSSHSVVGTLDLKSPITQIELHKESGL VAVACDDFVVRVVDLSTKKVVRQLRGHVHQVTDVTFSPDARWLVTSAADGSLRVWDLP TGKCIDFVKFKHAVTSLSMSPTGEFIATAHTGQVGIYLWANRSYFENVFVDKEPTDAI AMGMPVPLSEEEMPLDVHVETAAAEEDRVGHQTSSSGASDLFESPIGTSTGLVTLSTA PKAMWQSLFQLELIKKRNKPKQAPQAPPLAPFFLPTVRKEDVHPTFESHGVAPTKKTK KTNASTTSSSSTTTTTNPESTVDEAPMAGWGGPDDDQAWGDDDDDNDVTDNNKSTLLS GSSRIVKSSGLTSSRSKLATLLELASTEPSLPVEGGSATAYKPPPVLAYLQSLSASGV DVELSTLCMGEFDEEGKRHLTWFLDFLVDMVAHRQQFQVVQVYLNRFVKVHEDIVVGD AALLAKVQTLHTLQQQAWEHLQQLLQHNLCLVQYLSKMQM H257_13339 MSSVARAPSYGSVTSSDLTSSAHEHAATKSTDVTSRTRPPLHQN RFSFTRSPVPSNHGQPTTDINANNPFVALTPWSEVSTYEVCKMVLMCIFLVPVLRVAL CVLLFVPIVVLATISTAGHRPFNEHGAPVPLARWRRVVGVPIKWLIRCVLFVLGYYYI PVTKPAQTSQVKPRVIVANHSTYIDGIFLAAYTHGSVAMMKEVADLPLIGPVIRSLDP ILIERRSELGRKKALDDIHEHMVNQAFPPLVIFPQGMTCSQQFITKFKKGAFAEGLPV QPVLLRYPYKHLDISWFPSVNVIPLFFRHLCQFRMYLEVTFLEPYVPTSEEAKNPDLY AENVRQAMAAALPAKCTNHSFEDVRLLLQAGVGEYARQHIINHTTVGEVYKLMHLSHD EIESLVRHFASIDTDHDGRISLTDLQTLFDECPLFVQRLFDLLDADQNGRIDFRELCI GLSSLNQPKKDDSPAEEYRDRLARFVFTLYDADNSGLLSRLELVAMLKQLRSTSGLYD DQTALDQLVAAFDEDLDGAFSVHEFIRLTEHHPELLDQVVERLAVFKSATGGKA H257_13340 MEVTFRDEDTAALVAMLDVRLQRKSRRNIQKKAYYRQDKQVHTY LKTRASELEAELGRLSRLPRSSLVSWQDTATGLHDGAVESLHHNRMLKKRLREYHTLT VLLRDMVNSTVVQSSPTGTWLASNLRLHRVRLHAHPEARRHGLDWLTQVMYHNTDQLL EKYAFPSRTPYSRLADITVDTSHPDALNYIQRYQLELNLPLECALPLAKSYFQSGASL EQLEVHYKVKQFLDVEATSSLSDSMTYDVVIDLTAHTQAGTTRLCRIFQEDNRCILVT QNIPDDEKLPSKNTDSKSLTWVVLERVAPTITIMRCLFVVSQSFTQRTGEFVSLEDEA QLDWHVNLRRVPVDLRLDTFCRHVHLLGYRNIQSRDHDFARLFHCEI H257_13340 MEVTFRDEDTAALVAMLDVRLQRKSRRNIQKKAYYRQDKQVHTY LKTRASELEAELGRLSRLPRSSLVSWQDTATGLHDGAVESLHHNRMLKKRLREYHTLT VLLRDMVNSTVVQSSPTGTWLASNLRLHRVRLHAHPEARRHGLDWLTQVMYHNTDQLL EKYAFPSRTPYSRLADITVDTSHPDALNYIQRYQLELNLPLECALPLAKSYFQSGASL EQLEVHYKVKQFLDVEATSSLSDSMTYDVVIDLTAHTQAGTTRLCRIFQEDNRCILVT QNIPDDEKLPSKNTDSKSLTWY H257_13342 MTTAQDSFTGVLDDRNSGLAIQFGGQGNDYMDELSRAVHSSASA RQFVQLAQSILEAEANGTPYSCLHPFLWSQDPTSRPSRSTLMAAPVSYPLVFLTQVAT YLAFVEASGWSHEAFLRRLRGATGHSQGVVAAVLLASATTSTELITLGLQYLRLMFWH GVRAQTVFDSFGSSGNKPAAATPMLQVRGLPESTVRSSVDAFNTRLGGDLVQVSLVND PVAVVVTGLSQSLGVFHATLDGQQHVDPIRLDFLSVSCAFHSSLLAQAQSLVEADAAR LHLAVRGNALNCPVIGTTAKAINLQSYGESNVIPLLIQMQLTDAVNWPVVTSALQSIP GVTHVLDFGPGRGTPLLLHRDIQGLRVHSILHQPTEQATRMSDMETQVAMTWSTILDT DVALIHAKSSFFDLGGDSLSAIQAVAECNELGLHLTVAQFLRDPILGRVARARNDKPE RKKWPSAAILSCNTIYKCARGLDDVIVYPVTPMQGGMLAATSVHPSANVLQVTLKLSA PTTQSSFESAFRMVVAQNAILQTTFSSTEHGMFQVHPTTPRPGGASIVASPSNISLGE YLQADLARGFQLDDSTFIRLAMLCPPTSTDVYGVITIHHALIDGWSMDSFLSDLLNVM DRQSVARRPSFRTVVDYIEAQDNQASAAFWRAYLGGFTPHGLEFVAPTSTITEARYPL VLTNSVTSTQLAHATSRAGVSIAELTTFAWAATLRKFTRQCDVVFGQVLANRQIPVHD AQRILGPLLSTVPCRVHFNDKTHLEAALQAVQTTRPSIAGYSHVGLSDIAKACGEFAP HQLFDTLFGFQQWPRRRTLAGVEVIESWKTAWGATSQNFLFELLVEPTNVVDMPLTTS AHFLPTKMSRNQAKSLLDEFDYTLFQLCDILGPDAEPPATAADLWSLSFAQMNLITAA SCGPEVPLSFELLHHGFEYHARQSPDLRAVEFDGHWLSYGELNAQANAVARQLQTLGV TVGSRVAVVMDRCLEFPIALLATHKTGASTIPMDASFPATRLAFMLSDAGAHAILSTN RHCDMAKTLDASIDVVSIESATLAKHAHPFTPLQTATRHDEAYVVYTSGSTGHPKGVP VKHVGIVNSATYRSSDLGIVRGARVMQFMAIGFDVCQWEIWSTLSQGATLVLRGGDEI DMLSTVNVIAITPTGLSKLGCPTKYPNLKTVCVGGEAIPTSVKDLWSQHVQLFNCYGP TEGSCTTHVHQLLPGKPVTIGTPISNVHCYILDDNHQNVPIGTLGEMYLGGICVASGY INLPEMTEERFLVDPFGHGRMYKTGDLGRLLPNGQFEIAGRQDSQVKLKGYRIELDEV ANAMMQHPSIKSAAAIVKDKTHLVGYFTPAHVNVDALRQCVADQLPVYMVPAVWVGLD DMPQNSNGKIDVKVLQSMDVSVDVETLETDVELKMATVWANVLGVNVSEIGRQSSFFA LGGDSLSVVRVIAACKAMGLALLCQRIAKWIGQVRWL H257_13343 MDRCLEFPIALLATHKTGASTIPMDASFPATRLAFMLSDAGAHA ILSTNRHCDMAKTLDASIDVVSIESATLAKHAHPFTPLQTATRHDEAYVVYTSGSTGH PKGVPVKHVGIVNSATYRSSDLGIVRGARVMQFMAIGFDVCQWEIWSTLSQGATLVLR GGDEIDMLSTVNVIAITPTGLSKLGCPTKYPNLKTVCVGGEAIPTSVKDLWSQHVQLF NCYGPTEGSCTTHVHQLLPGKPVTIGTPISNVHCYILDDNHQNVPIGTLGEMYLGGIC VASGYINLPEMTEERFLVDPFGHGRMYKTGDLGRLLPNGQFEIAGRQDSQVKLKGYRI ELDEVANAMMQHPSIKSAAAIVKDKTHLVGYFTPAHVNVDALRQCVADQLPVYMVPAV WVGLDDMPQNSNGKIDVKVLQSMDVSVDVETLETDVELKMATVWANVLGVNVSEIGRQ SSFFALGGDSLSVVKVVRACRDIGLRISVGQLVKSGTLQRSASIAKLICAPTEEAQNP TKSNSKEGSIDRVETNPHQNMYDMKQPTSKVACKSHLVLGMDTSVIAPAF H257_13344 MASPKYFGATLVLSLSYGATLVMRSEDDLWALSTANNLTCTPNA LSLLGDPNQYPQLKVVAVAGEACPVALKDLWAPRVKLFNLYGPSECAIMTHGSRLSLT ESIAIGSVLANVHCYLLDDALRQVPFGILGEFYLSGICVSPGYINLPEMTEERFLVDP FGHGRMYKTGDLGRLLPNGQFEIAGRQDSQVKLKGYRIELDEVANHMMQHPSERPSFR HVVDYIQAQDTRAAEEFWRSYLNGVVVTSLETNGIPRNCLADSSDQPLSIDTTACLSS LSETAQTIRVTVAELVKFAWAATVRKYTRQNDVVFGQVVANRDIPVHDVDRYSFSTRM KLLSNVLCIIRGPLVSTVPSRVQFDDTLSVTAMLEGIRLERGAVSSHSHASLIDIKRW NGIEGDLFDSLLVYQNVPTASSKVRPVGQPSKTFSTDHTLEIIVTPAAAGLSCCANK H257_13345 MQGRFDGGGGSAGGGYHGGGGGSNMLGFDGKPRTRKPIVRKCID PNSSFISYVNMRKFARDFRDLPAAYAMNPHSAYKRYILPPGAFKQDDLSSVVCTKFCR SSTNKQRCPVNCLMWTPEGRRLITGNSVGEFTLWNGLAFNFETILQAHDDAVRAMVWS HNDNWFVTADHGGVIKYWQSSMTNVQLVRGHREAVRSLSFSPTDYKFVSCSDDATVKI WDFESVKEERVLTGHGWDVKCVAYHPSKALLASGSKDNLIKIWDPKSGQSLHTLHGHK NTVVKVDWNQNGNWLLTASRDQLIKLYDIRTLKEFATMKGHAREVTSLAWHPVWERLF LSGSYDGSLFYWNVGQESPIATIPHGHDNAVWDIQWHPAGHVVASGSNDHSTKFWCRN RPGDCMDDKYNAGVGEERDMDGMIPGHHHGGGGGQGGPSSASMMMMGGGSFKPMHGGG PHHNMPRRPPPENYTCNRCGTKGHWIEDCPSKDEGGGMAMAKAPPAGYLCKRCNIAGH YISDCPQAKIPPATYVCHKCRQKGHWKQDCPLDGAPGGGGVGPPGGGFKRAGDDLLGG GYPKRHDNKW H257_13345 MQGRFDGGGGSAGGGYHGGGGGSNMLGFDGKPRTRKPIVRKCID PNSSFISYVNMRKFARDFRDLPAAYAMNPHSAYKRYILPPGAFKQDDLSSVVCTKFCR SSTNKQRCPVNCLMWTPEGRRLITGNSVGEFTLWNGLAFNFETILQAHDDAVRAMVWS HNDNWFVTADHGGVIKYWQSSMTNVQLVRGHREAVRSLSFSPTDYKFVSCSDDATVKI WDFESVKEERVLTGHGWDVKCVAYHPSKALLASGSKDNLIKIWDPKSGQSLHTLHGHK NTVVKVDWNQNGNWLLTASRDQLIKLYDIRTLKEFATMKGHAREVTSLAWHPVWERLF LSGSYDGSLFYWNVGQESPIATIPHGHDNAVWDIQWHPAGHVVASGSNDHSTKFWCRN RPGDCMDDKYNAGVGEERDMDGMIPGHHHGGGGGQGGPSSASMMMMGGGSFKPMHGGG PHHNMPRRPPPENYTCNRCGTKGPHKA H257_13346 MEDRVSYALAALPPGKSKDDVLHSGILLKRGHVRKNWLMRFFVL TSGALRYYRKPPGSRSTTWSVDPRYLRGELPLADIIHVESDDSSPQRGQQPRTYRFTI TVLRHRILFLLRRPVRFHVQAATEDERDKWLRILKEPRKPLSKVTLPHLTSALDLYLH FAADHSNSSSPIPSATTVDKGTAKMLSQDFPLFLALLRDMHVVESAHDLVRILDQVQG EVDDGRYNDMLKRMLAVAAELKLERRPSLWTKDVQMAYSSVMKSMYRSQPGNSGGHST SRLLQPLPSKPKYTLGRILGSGAHSVVRVGYTSSHTQVAVKCIAKTQSSCSTELLREV ELLRSLSHPNLVPCLDFYETPGFYGVVTPLCTGGMLLTDLMTRPRYSEADARRVMVQL AGALAYLHANDVVHRDVKPDNILLYTSAANSPILLADFGFAKRLVGSTRGTSCGTPGY MAPEVLLGREYGSPVDCWSLGVVLFILLCGKPPFPGSNHADICNRVVEASVSLDHPNW NVVTDAGKQLTLKLLVADPADRLTAAEVLEDPWMTADESDLDLPGVLETLRSSMGMLS MSELDQVDDMTLDLELCAPLD H257_13347 MLSLVLFLCGWVLGAVDQLTDHSGAELSVHFLAIGDWGSTVAKA GGDSSCCSQYHTPKSEANYTRDLYAQANIGMLMGQSAKLHTPQAVLAHGDSFYWNGVG PDVVNTSYRFENTFEAVYNHPDLVNVPWLNVMGNHDYGGSGFICGEEPCPSPQAIRRG LQLKFQAQHTYKSPASDRWHLDHWFKSTFRQGNVSVDVLSVDTNGHANVHGATHICCQ CYGYLSLHPQKSPSLCANPVPGEALCAGGDVALYLACMDELVGYANASLAFVETEAKQ ARQVDWKIVNSHFAPHYHMDPQWQSQWLEALRTAHLLVHGHTHGANHVKMRGCDGSHH PVHFVENGAGGGIIAEAGHATAPAGMDLLWVAPQTPYGFFELAMSKTWLRLQFVTFDS QWNFGSPSEEGQVVGGRSVLYCTWIPHPGLGPAHHELPC H257_13347 MLSLVLFLCGWVLGAVDQLTDHSGAELSVHFLAIGDWGSTVAKA GGDSSCCSQYHTPKSEANYTRDLYAQANIGMLMGQSAKLHTPQAVLAHGDSFYWNGVG PDVVNTSYRFENTFEAVYNHPDLVNVPWLNVMGNHDYGGSGFICGEEPCPSPQAIRRG LQLKFQAQHTYKSPASDRWHLDHWFKSTFRQGNVSVDVLSVDTNGHANVHGATHICCQ CYGYLSLHPQKSPSLCANPVPGEALCAGGDVALYLACMDELVGYANASLAFVETEAKQ ARQVDWKIVNSHFAPHYHMDPQWQSQWLEALRTAHLLVHGHTHGANHVKMRGCDGSHH PVHFVENGAGGGIIAEAGHATAPAGMDLYAIHANVLVTKCHYLEVGCG H257_13348 MRTFIVVIAATAVAVTSSSVHVPSVDDFDKALHEHRKSRNADDA EPLSKSGRDVRQAALAKSAVVIAEHNANPHRSFTMKWNAMSDLTDAEYLDLLHTSPDE VHPPSHHRVQPADSFRRRMLASDNSADTIDWSTKDGGKYVTPVKNQGSCGSCWAFTGA AVVESMAAIQSNTQAVPLSVEQILSCSRSLLHVQSAYPNRMVSSARGCGGGMTFLAYQ YLDQLAPHGLTCEPELPYRMKLIADSYDTARCNDALNVSAAWDTGSSSFLSIQNDENA IVAALQDGPVSVSIDASGAGFRHYGGGIYDAADCKTDGIFVDHAVILVGYGREDGQLY WIIRNSWGSMWGEKGYMRMRRSEATSKSGPCNLFLYASQPKRVRHVANEVCDKTTQVV ATPDLTLWAFWPTNVEQGALIYGVSAAVVVLGVVLHLYGEYRLAVNFPRQTYVDSFMR NQLPTQSQVLMHMAQRKAKQAMV H257_13348 MRTFIVVIAATAVAVTSSSVHVPSVDDFDKALHEHRKSRNADDA EPLSKSGRDVRQAALAKSAVVIAEHNANPHRSFTMKWNAMSDLTDAEYLDLLHTSPDE VHPPSHHRVQPADSFRRRMLASDNSADTIDWSTKDGGKYVTPVKNQGSCGSCWAFTGA AVVESMAAIQSNTQAVPLSVEQILSCSRSLLHVQSAYPNRMVSSARGCGGGMTFLAYQ YLDQLAPHGLTCEPELPYRMKLIADSYDTARCNDALNVSAAWDTGSSSFLSIQNDENA IVAALQDGPVSVSIDASGAGFRHYGGGIYDAADCKTDGIFVDRTSPFVLYRRYCPSSQ NVDFCVDFPHPSILWTECCIYKQISPPGYPSH H257_13349 MVCSIVELAMAFKTALILGLAMSTAGFPKYVAKVPNGDKVAGVE ALGHVNPAGGGARNAFGAAFKLAGATWTTGLCQADSDNDGATNGDELGDPCCTWKVGE KLATAKATHPGEPDIFTPDQLNALKCGVTALEDGTTTNPVPLSAPPSKPATAPSPLTS TLAVPSTTNKPAASHEPMAVQNTTASSSGLLTVSGVAIALAILQ H257_13350 MTSTYWGAVDPVHRAAAVSVEETMQFYRDQFKTTATRNIRQSPE RQQIPATAFSADAASTLKAVLETALEALRQTKSIETRAFLSPTHVLTGAPKSVTAETP AYFSDEYERTLKRVRVKEKFRASRRLHGAVSDAQLYFCDRRPIDRRKPTKVNDDWLSM QASSIDAVEARGKHVCALVADQQHREIDREKRIHQTHNSKYMDAVARVAIERYEAADQ LMRILDDYGLIKSSTSAEYLKKTLARRPTRLPAIQ H257_13351 MARTSARLQSAKAAASTKVEESKAQVTQAAHVASKKKKVSKVKL AAKTARTTPKKTGKAAAKRTVHKVAQDSQASSKVLKFASKTARKVHRTTERRAAKSAK GAAATREVIEIDQDTSDDDHIEIDEEEDEMSAYERQRQANIARNKQLMEAIGFTDLSR QKAAARTAAAERRDENRKLVKEERDSIPRRQSRRVQGQEVEFQAVAELPAVPKYKYDH AADDSDDDEAASWETVPKLHVGAFYQGGVSIAPVVPPTATASYSLDPRDVVKALPSRV YALAFHSAVSASSQTILAGIADVEGYLALWTPPVVRSNERQDDSCLATFRLHKRAIST LLFQDTALLSSCMGGVVKRLDLANPTAASSAAVVLACDAAITNFQVNQALGCMFLSCD DGSVIQTDLRTDATKLTHQHQYALHDKKINTVHCHPTNPHVFVTASLDRSVKLWDVRR VGTGCVASSAFRNSVNCASFSPDGAAVVSVCLDDFVYLHDTRDLSLSAPRKIKHDNHS GQWLTKFHAAWDPKKTTDCEFVLGGNKRPRCIDIFGTTSPAPRQSLADETLFNSVHSL NIFHPTLPFLLGGNSSGRIAMWRQ H257_13352 MSAAYAGRKTGKPGAATGAAAKNKKFELTEEQKQEIREAFDLFD TDGSGTIDAKELKVAMRALGFEPKKEEIKKMISDIDKDGSGTIDFTEFLEMMTSKMSE KDSREEILKAFRLFDDDETGKISFRNLKRVAKELGENMTDEELQEMIDEADRDGDGEI NEEEFLRIMKKTSLY H257_13353 MITGPSVEFGGSFSDSGGTGGIAQLRRRGASSRTLGTFRTRRCL RTAGSSLSGWLEYWFSLVGVAPATSGATRPFADTFPLRHRVAAYRLGDSRLSRTPRHL VRRTRASYGGHRTPLPRPMAMCRGVGLHRCCTAGLGTRRFEKYWEVGV H257_13354 MASPSRAGRYQPNQTPHQPAQQHSPSEDGPIVIEEGARTNVNTG DVEGMAKPPTKFLPQFKFILFMIFVNVVLFVVEIGENGWAFEDMKLNPLLGPTATVLL QMGAQRSDLIFDGEWWRLITAMFLHAGLLHLFFNMLGLYQLGVELENAFDRRRIVFIY FTSGIVGAICSAVFVPDVVGVGASGAIFGLFGATFAEFILNWALYANRICHMTNLVVV AIVNLAIGLLPYVNNFAHLSGFITGLGMGFAMLSLPTNRQDRLLNTRSPRQRLLGKIG GTFTFLFALLFIILLATHSDASKACSWCKYLDCVPAPWWSCDTSGGQCYGHKFTNGTL IVTCPGGRNVSAPVGSDFTAAVCSSVCT H257_13354 MASPSRAGRYQPNQTPHQPAQQHSPSEDGPIVIEEGARTNVNTG DVEGMAKPPTKFLPQFKFILFMIFVNVVLFVVEIGENGWAFEDMKLNPLLGPTATVLL QMGAQRSDLIFDGEWWRLITAMFLHAGLLHLFFNMLGLYQLGVELENAFDRRRIVFIY FTSGIVGAICSAVFVPDVVGVGASGAIFGLFGATFAEFILNWALYANRICHMTNLVVV AIVNLAIGLLPYVNNFAHLSGFITGLGMGFAMLSLPTNRQDRLLNTRSPRQRLLGKIG GTFTFLFALLFIILLATHSDASKACSWCKYLDCVPAPWWSCDTSGGQCYGHKFTNGTL IVTCPGGRNVSAPVGSDFTAAVCSSVCT H257_13354 MASPSRAGRYQPNQTPHQPAQQHSPSEDGPIVIEEGARTNVNTG DVEGMAKPPTKFLPQFKFILFMIFVNVVLFVVEIGENGWAFEDMKLNPLLGPTATVLL QMGAQRSDLIFDGEWWRLITAMFLHAGLLHLFFNMLGLYQLGVELENAFDRRRIVFIY FTSGIVGAICSAVFVPDVVGVGASGAIFGLFGATFAEFILNWALYANRICHMTNLVVV AIVNLAIGLLPYVNNFAHLSGFITGLGMGFAMLSLPTNRQDRLLNTRSPRQRLLGKIG GTFTFLFALLFIILLATHVRFIY H257_13354 MASPSRAGRYQPNQTPHQPAQQHSPSEDGPIVIEEGARTNVNTG DVEGMAKPPTKFLPQFKFILFMIFVNVVLFVVEIGENGWAFEDMKLNPLLGPTATVLL QMGAQRSDLIFDGEWWRLITAMFLHAGLLHLFFNMLGLYQLGVELENAFDRRRIVFIY FTSGIVGAICSAVFVPDVVGVGASGAIFGLFGATFAEFILNWALYANRICHMTNLVVV AIVNLAIGLLPYVNNFAHLSGFITGLGMGFAMLSLPTNRQDRLLNTRSPRQRLLGKIG GTFTFLFALLFIILLATHVRFIY H257_13354 MASPSRAGRYQPNQTPHQPAQQHSPSEDGPIVIEEGARTNVNTG DVEGMAKPPTKFLPQFKFILFMIFVNVVLFVVEIGENGWAFEDMKLNPLLGPTATVLL QMGAQRSDLIFDGEWWRLITAMFLHAGLLHLFFNMLGLYQLGVELENAFDRRRIVFIY FTSGIVGAICSAVFVPDVVGVGASGAIFGLFGATFAEFILNWALYANRICHMTNLVVV AIVNLAIGLLPYVNNFAHLSGFIVRDFRRFNYYLSYCRFNYYLSSFQFRRGWVWGLPC H257_13355 MCENFNSNLNMNDKPMGGTSRRALCQHNGCAKFAQTRGLCKAHG GGTRCKVAACTKLAQSRGHCIAHGGGRKCQVDECEKLAQSKGYCIAHGGGRKCAVDGC EKFSQIKGKCKAHAKKSTDGTPLPHVKVLALSTSPPISLSPLRKYVRKAEPTTCSFAA LFTPLNTPTNNA H257_13356 MVKQKHHTARNQTFKAHKNGIRKPKDHRYKSTKGLDPKFLRNQR FAKKYNKKNPVAAVKAE H257_13357 MAESGAVTTSSTSEREFVVPLSQNLQQRLGDRQYEKRKGAALEV ENLVKQLAESKAPADKDAIPVVIDLLEKKFTRSVNANFRKGGLIGLAGTAIGLMHNAE LYLDALIPPVLHCFDDTEARVRYYACESLYNIVKVARGAILKYFNQIFDGLCKLFADV DTDVKNGAHLLDRLVKDIVTESEVFDVDMFIPLLQNNIRKSNPYIRQLIVGWITVLDS VPDIEMLDYLPDFLDGLFNMLSDGNREIRQAADSALSEFLREIKSTPFVDLGPMVHIL VAQCQSKERFTRLTAATWVLDFVVLGKERLVRFYAELLGAILTCISDAEGEIRLVGER ANADLLALVKATTGDVDFLPLIAKLNVELVSTYIPTRLASLTWISMLLEKKPTQLSSQ LSALLPTLLKTLSDTSDQVVSLNLEVLARLSTNLTQLEFSKVLQAVIQLFATDARLLE KRGSLIVRKLCTLLDAKSIYMVFATVLSSHEDLDYVSLMVHTLNLILLTANELEHLRA VLRRSFEPKASKDDVDVFTTLYKTWCHNPVSTFSLCLLAQSYELSSALVLKFSEIDAS VGFLMQIDKLVQLLESPIFIQLRLQLLETHATYHVNLMKSMYGLLMLLPQSSAFRTLR DRLASVTSMAMAISRPQYQPPPPPPASPATSTEKKEGVVGVSVPTLLAHFDAVQAKHT ALRQHVLAQKSVIRQPSALLPSTT H257_13357 MAESGAVTTSSTSEREFVVPLSQNLQQRLGDRQYEKRKGAALEV ENLVKQLAESKAPADKDAIPVVIDLLEKKFTRSVNANFRKGGLIGLAGTAIGLMHNAE LYLDALIPPVLHCFDDTEARVRYYACESLYNIVKVARGAILKYFNQIFDGLCKLFADV DTDVKNGAHLLDRLVKDIVTESEVFDVDMFIPLLQNNIRKSNPYIRQLIVGWITVLDS VPDIEMLDYLPDFLDGLFNMLSDGNREIRQAADSALSEFLREIKSTPFVDLGPMVHIL VAQCQSKERFTRLTAATWVLDFVVLGKERLVRFYAELLGAILTCISDAEGEIRLVGER ANADLLALVKATTGDVDFLPLIAKLNVELVSTYIPTRLASLTWISMLLEKKPTQLSSQ LSALLPTLLKTLSDTSDQVVSLNLEVLARLSTNLTQLEFSKVLQAVIQLFATDARLLE KRGSLIVRKLCTLLDAKSIYMVFATVLSSHEDLDYVSLMVHTLNLILLTANELEHLRA VLRRSFEPKASKDDVDVFTTLYKTWCHNPVSTFSLCLLAQSYELSSALVLKLYPFHRV IYIYIFFFYAFMVSLTERMLHQRNRRVRGVFDAN H257_13358 MKLTLKAKHATGKATAAAPVVTMPKKTPTEPEASNDESDEGEEE EHENDDDDDDDGSDADGDDDDDDDDVLPADDNFDEDNDDGDEEEGAVGFADAMSKVLN QNVEEEKAPILAKRVTSQMREISKDKSTTNASKLSAKEKRAREEKDMVIPSHLTMAQD KALRGIATKGVVAFFNAIAKHQHGQQQQDGSKQIKSLSKDSFLGLLKQQGKHKEAAQP EDGSEGEDKSNWSVVQDDYMMGAKLKDFDKDDDVANEDEEVWNAAHDQLDSDNEDGSN KKGKANGAKKGGNHNAKKKGGNNKAQKKQRTR H257_13359 MGLLTLLRKLKKDDSEARILVLGLDNGGKTTILKKLSEEDISHI MPTQGFNVKSLQVDGFKLNMWDIGGQKTIRPYWRNYYEQTDALIYVIDSADRRRLEET GIELNALLEEEKLSAACLLVFANKQDLLNALPASEISTALNLSSIRNRPYQIQACSAK TGEGLQEGMEWIVGKVGEERGREAK H257_13360 MHFFGLRDNVGDLDGLLGGVVQVVGDNHAEARVLDEGAGLLHVG SLQSDHNGLLHAEVLHGGDDSRGDHVAAHDASEDVDQDGLDLGVRVQNLKRLLHLGFG GAPADVQKVGGRPAEQLDDVHGGHGETGAVHEAPDVAVQPNVVKIVRAGFDFARVFLG LVALGKDLGLAEGGVVVEVDLGVARKHLAVGGFGQRVDFHLGRVHADEHLVQVAQEFH AAGEGGAFQAHVGREAGQLFVGGTGHDVDVFLEDEFGRVVRHVFDRGPAGGGTHQHRA LRRAVHEDAKVHFATQVHTFHEEHLGHFNTLFAGLLGDEGFTNHLLRKVLGVFSLGAH VHTAFEAVLEVTETATTGQRLRLDHDVLGLVASLELVVHLHGFLGGVGRFGGRDRDAV GLHDLHGLVLMQVEAADLLSRWAEQRRGLDDRAQHEIGGGNQAIAE H257_13361 MKLFLACLSMLLNVGHPTSITVSTLAGGTGEGKSYKDGTGAAAT FAYPLDLLVTPDGNILVTDPFASVVRQVSASGVVTTIAYPGIGTAPVGICRDDQSGAL FVVDKAGPVYRFTPSGSVITIDTAIVIAAGRLDSAILCAASSSALFVTLDSGTSIYKL DRATGLLSTLPAKLPSDSNTGGIVLGPHGTLFVAQGNTVQKIAANFSTMQVFAQSTLW GHAKACTLTYDGFNDILYVTDVKNGIFQVDSTGAVTPWMGQLGSGFVDGDVSVAKFNG PSAIALFRDPQNSTANQFTAYITDSDNYAIRKVVVDTTTLPPTPTPTKSAARPTTFQS YLVALPLIVWMVC H257_13362 MEFRVYSPSSVQDTANISHHHHHPPTHPDHYSPLVCYSYTLNTI LGVGCLTIPYAGLVLGLSTVVGMSFLSYVTVLWTCEALERHRRRLHLLPPTSDDDLEV VTLCRVYLGSIGANLYHVALYSYGYAVLVAFAQVFIAALSTQFPALSSSLVALFYSLV VVPLSCMDLTEQIVSALPADHLRVLGHILLCVALPLVNFDGLGLLVSTVVFAQFCHMC VPSLIAPLKKEATVAAPSIFLAAMTTTTCIYVVLAVLCAISFGPQTLSSVNLNWSSFP SMPLVVRTFLVLFPAIDTLSSFPLIAINVVQDATSLPKLASRLAAAVPPILLGYAVND LSTVVHVSGVFGVYLVLIGPALLQWTSLASDPEPTRYACMLSHIGWVYLVLVTGAAAV VILAAQVVS H257_13363 MARRLAVGDEVELLRVRGKVLKVLPESGVALLHIHATSTTRWAF LHELAPVSASTSWAPTSFPSMLQHWQVHSCPTSNENLLLFLHGLGDTHESLFTLGQAM QLPQTAFASFRAPHALPFDLGYHWFDHALDAQGDVLPHHVPDPRRLQSLDQVVAAWLD ALHTLQTDYNWPLHRVFLMGFGHGGTVALHVVAACSHRLGGVVSVSGALLSTATVSPS STPGLVLHSSNDPLIRTDMFTATTSVMSGVKAKSVPYHPVALSNKDEMSSIMTFFDQT LYLRNLALEQQADVFEL H257_13363 MARRLAVGDEVELLRVRGKVLKVLPESGVALLHIHATSTTRWAF LHELAPVSASTSWAPTSFPSMLQHWQVHSCPTSNENLLLFLHGLGDTHESLFTLGQAM QLPQTAFASFRAPHALPFDLGYHWFDHALDAQGDVLPHHVPDPRRLQRYYQYHHNPFT SVAAWTKWSPRGWMLCTRCKLTTTGLSIECSSWDLAMAAPSRCMWWLHVPIASEAS H257_13364 MSRRSDSGEHQQQPAGSHYEPKDTPREEETDVEKGAFDASGSNS PSPPVLTTLSKSKPKVWPHFKFILTMIALNITIFVVEIGENGWKFEEVKVNPLVGPSG DLLLRMGAQRSDLIFQGEWWRLFTAMFLHGGLLHLAFNMLALYQLGVELENTFDRRRV VCIYFASGLIGAMCSAVFVPDVIGVGASGAIFGLFGATFAEFVLNWDLYANRLCHMTN LIGVAIVNLGIGLLPFVNNFAHLSGFLSGLGMGFAMLSLPTSRQHRLLNTRTPKQRLL AKIGGTITIVFTLLFTILLATESNATKACPWCKYLDCVPAPWWNCDAPVQGECLGQQF TNGTLVITCPGGRNVTAPTGSAFSASVCVSVCS H257_13364 MSRRSDSGEHQQQPAGSHYEPKDTPREEETDVEKGAFDASGSNS PSPPVLTTLSKSKPKVWPHFKFILTMIALNITIFVVEIGENGWKFEEVKVNPLVGPSG DLLLRMGAQRSDLIFQGEWWRLFTAMFLHGGLLHLAFNMLALYQLGVELENTFDRRRV VCIYFASGLIGAMCSAVFVPDVIGVGASGAIFGLFGATFAEFVLNWDLYANRLCHMTN LIGVAIVNLGIGLLPFVNNFAHLSGFLYYFSSLATRVVWAWGLPC H257_13364 MSRRSDSGEHQQQPAGSHYEPKDTPREEETDVEKGAFDASGSNS PSPPVLTTLSKSKPKVWPHFKFILTMIALNITIFVVEIGENGWKFEEVKVNPLVGPSG DLLLRMGAQRSDLIFQGEWWRLFTAMFLHGGLLHLAFNMLALYQLGVELENTFDRRRV VCIYFASGLIGAMCSAVFVPDVIGVGASGAIFGLFGATFAEFVLNWDLYANRLCHMTN LIGVAIVNLGIGLLPFVNNFAHLSGFLVRISRHIHIYTVYIHSK H257_13365 MSAHLHSCPFALFWDELLSNLIMRSTTIDVDTDLHIHDSEGTTQ STSYDNVSIGYYPKQQCSDDIWQTYLEGVSPSPLGFSPPAKVLHNRLHSVTLAHSVSP AVLAHAAFQTKSDIAEVIQIAWAATLRNFTRNDDVLFGYVIRSRDETAVNDASRTIGH SVVPCRVRFDDSLPLMKLLLAFRASQTSISQAKPDDVGNWSNQLSLDKLCDTSLECQS NATMESPLGVRDALSSQYALNVVVNTPSASDWTIAAYYLSSVLTCTSATAMIDEFDFT LTQLCDALLTPKAIPLMTARLWDLNPTQMSLITTASSGPDVALPFELLHHAFETRAKA RPHVRAVEIQDRWLSYGELDALANSVASDLAHLGVCVGSRVAVIMDRCLEFPIGLLAV LKVGAAMMPLDVAFPSARLAFMVADAGVCAVVTTSQFRQTIVDLELAVDVVVIDVATS KSEFVPSACHMATKLDEAYIVYTSGSTGKPKGVPVLHHSAVNSMERFGGILEIKEDSR VFQLMAIGFDGFQADMWESLSFGATLVLRSEDDLSALSTASNLTCTPTALSLLGEPNQ YPQLKVVAVAGEACPVALKDLWAPRVKLFNLYGPSECAIMSHGTRLSLTESIAIGSVL PNVHCYVLDDNHRQVPFGILGEFYLSGICVSPGYINLPEMTEERFLVDPFGHGRMYKT GDLGRLLPNGQFEIAGRQDSQVKLKGYRIELDEVANAMMQHPSVKSAAAIVKDKTHLV GYFTPSDVDVVALEQCVNNYLPTYMVPAVWIGLDVMPMNSNGKIDKKVLVGMEVQIKT EGLESDTEVKLAAVWAQVFEVDTRDIGRRMSMFSLGGDSILAIRLASACNRAGLPLSV GDIMKYNRLCDMALRVGQQKRTAWPQVPLDDHTIDQVVTTWPDYETAYGTTPEQSYQV RMTKQDPSMWVLQVPLSGLDAQEALAAYLHLAATCETLRTTFVDSATLGVCHVVNSSA SVYRVQAESMRAFLVTDASVGFKLSDSSFARFTVVDIAHPSEESIGVLTIHHALYDGW SISLLLSDLLHVYRTGTSPPSRPSFRAVIDYLHAQDSATTVAFWTAYLVDASTSPLLN SIVPHLYASVGSDGDGSVSTHVHLPQLQTLAQRFGVTTSTVVLLSWAMTLQVHTGRDD VVFGQVQANRNLPVEGLDRMLGCAMSSVPCRVHFGAPMTLVERLQQLQTMRSAMLGHC MIDPEDTTTWSHLPAMQYDTEVAFHNFNDNHSDVDDLLRKMEKGSEHRFGVYKIELAV APTASGVQIDAAFDAGRIQRAAVASMVCRMRHVLHQCQEDLVTEAR H257_13366 MYNPSDIPRDQARWILEEFDFTLSQICCNKSGDMAVSELWAMSP AQTTLIRDVSSGPEVALPFELLHHAFETRAKARPHVRAVEIQDRWLSYGELDALANSV ASDLAHLGVCVGSRVAVIMDRCLEFPIGLLAVLKVGAAMMPLDVAFPSARLAFMVADA GVCAVVTTSQFRQTIVDLELAVDVVVIDVATSKSEFVPSACHMATKLDEAYIVYTSGS TGKPKGVPVLHHSAVNSMERFGGILEIKEDSRVFQLMAIGFDGFQADMWESLSFGATL VLRSEDDLSALSTASNLTCTPTALSLLGEPNQYPQLKVVAVAGEACPVALKDLWAPRV KLFNLYGPSECAIMSHGTRLSLTESIAIGSVLPNVHCYVLDDNHRQVPFGILGEFYLS GICVSPGYINLPEMTEERFLVDPFGHGRMYKTGDLGRLLPNGQFEIAGRQDSQVKLKG YRIELDEVANAMMQHPSVKSAAAIVKDKTHLVGYFTPSDVDVVALEQCVNNYLPTYMV PAVWIGLDVMPMNSNGKIDKKVLVGMEVQIKTEGLESDTEVKLAAVWAQVFEVDTRDI GRRMSMFSLGGDSILAIRLASACNRAGLPLSVGDIMKYNRLCDMALRVGQQKRTAWPQ VPLDDHTIDQVVTTWPDYETAYGTTPEQSYQVRMTKQDPSMWVLQVPLSGLDAQEALT AYLQLAATCETLRTTFVDSATLGVCHVVNSSASVYRVQAESMRAFLVTDASVGFKLSD SSFARFTVVDIAHPSEESIGVLTIHHALYDGWSISLLLSDLLHVYRTGTSPPSRPSFR AVIDYLHAQDSATTVAFWTAYLVDASTSPLLNSIVPHLYASVGSDGDGSVSTHVHLPQ LQTLAQRFGVTTSTVVLLSWAMTLQVHTGRDDVVFGQVQANRNLPVEGLDRMLGCAMS SVPCRVHFGAPMTLVERLQQLQTMRSAMLGHCMIDPEDTTTWSHLPAMQYDTEVAFHN FNDNHSDVDDLLRKMEKGSEHRFGVYKIELAVAPTASGVQIDAAFDAGRIQRAAVASM VCRMRHVLHQCQEDLVTEAR H257_13367 MDLIPPLRTCTSKDFGTRADQANFSKAKKVVLALLSCLNVLNHQ VVSMDVPARIALFQGCLAALCQRHLALSDQAAVAKRRVSEMSYHSIIAHTQRYNKAFT ILSIDLSRAFDTTDREKLLQVLEQIDKPDQLRLLGSIRFNPPHAALARIFQSWKL H257_13368 MAILDTVASFDDVDLCGTGILGTSAITSDGTTRLGSGGAMPDVS DNRDMTVWQLSTLAMMWQPPTPTQPVLEKRNAPQAANVCLAFISTLVPGSSDFRDGVL HYGSAAETAIMDYFDQRQVTSKSAGTALKALHTMHRNGDLDDRIASYYCLLNGARITD PSPMRTLRELKPQGIVTI H257_13369 MAAGFMGIAHTNIFHALFTLSFATLTANPGGMWWPEALADSGAS GRLAMTPTWPSSQAASTPPQGDMWWPEALAKFGSFWSPMDDYGSGEDA H257_13370 MSSAGSNVASSEASTYGSSIADSVPSSMASSMASTVDSSVDKNM ASSIDSSVVNGGHEATRHQSTLSQIRPSADEALSSEQASNNGSSETNDVASSVTSNTE SSEASSIGSSVGSSEASNEASSVVSRYGSIVAGSVSSTMASSMGSTVDSTVDNNMASS IDSSVGINRH H257_13371 MPKFAIERKSKRVWRQTKISTSGNRCNVSHGARDHGHDVIDLTK SNATFDVIDLVSSGADESDDESEDDQGVLLDNLELHTQPVDPEGDSLGRSRLIQANRF FLGQAPFRAANRTGSTIAPPPPVAETNVLAQIPLSGANSRGSARPGVAEHADTNAHGQ IPLSDGNAGGGARHGAAEHADMNALGQNPLGGANTEGGARPGVARWNTPRFADEMEYV PRLDENTTYDIDHYRLSIKTNDLLQICPSDWELGCRERKLTDKSSEKKWLNRHVLLLT KPCFVYLHKDYAAAFLSAYNEQKGTFYVAPVAVPGKPLPTHIIHCSTSRYISSTDCVG ILNSLAANISLRVIGYGAKAGTDSYAWIWDVASRSSAPDERPVIDLGVEFKVPHLAVF AARKHIFIKYGHGPYNGYDSMLWMNFMDYCHELQDCVHGQYAVGGGLSLSNSSLGTRR ANKIKLYPKVVHFIKNINEGRIASMPHPSTNQLCKRRFEHLEKIFETYFKPDVAHQFQ NQLTGFRVEITVNGFTLDDCIDHFEREFDELFHHVKVMKYPLPKYLANVERFVNAMKS IGPANGRMADLSSDKFKLPLAFVSQEIGMTSYICHYFLMSRAYIMDGSGLWSWCSPDD DAAVDDSLPQGELLANATDQDDDWTQFELAYNEELRETMLDIFLNIYVKSNGSGLSRV FKSRDKRSGRFSESSKSVFELAERIARENEREWRKHFLCKKKNLAKDLYWLNMAQNAS RPKRTQSAITSYVGNESNSKRPRDRSFRCVDDAWDSDSDDEDTTEINVRIQGAQGAAV AEVNVNVAVEPSTLNQLDVHVRDPVTPRPSGLGENPSSTPPFDALSQIGPSASGNQTE LPALYVAQWSSLENDLEDIASLLDRPQVEGNVLVARGGKNIKLVAKKSKWKDDEGTVR KRKKIEDHIKDAKLGWPQYEPNLDAKHVMEQLDKFEVTVRKFNDDIATPIKVSKKNKN PIPTTNPKRAAGVWAKLSDLKSQRVDVTEIGEYRPASTMLNLKEVRSVRPIRADEVAK HKKMLIAEGWLRHEPVVLSVPGPNGKKYGIIDGQHRIKAIMELWNDRTQDAGNALSSL MESGQSVPAVPALVLQNASPHDVIQLGLSHIDMHKHGASKEAFYWMERCIWFYDWQKC AGGRLFNSFEYGEKFGSQDPDAESCLAEMQAYHVDVSGVPIKFTSLFRTAARMWYLEL YELMAAELDDPFKKKSKLGCEPWRICFEVLQNYYWFFKHVCQWEPEDRTNVLRKLLQI FLLCESGFRKESLDGDKLRLTGAKKYIVFQESQLHAIFKWGKHDGSIPAVILKKYNYV ERPKDKPTGYTEEPQINADVCYVEEDTTFIPKQKQRAKRTRPTPAAEALPSADVDSDV DKLPKKQKRGITEVHVTVRNAQRRQGVGYDVNPLGTTASSLPVTALEGETCDTKKWVS FEALRTKVKFNCVVPQEKFLATLEEKLETFKKSKASSPLVQVPFKAFEKMKAAGMVLK PAYVTLQTDSFERFVQEVKNKPEIIEISSDEDEDGDENEGLDENEPIDV H257_13372 MLRAFVEDTLPHRVLRSRLSGKSPSTAALEQPDTGVYCCSDIDH EVPTAVTTAVFTSAECKRLSAGPLNTAAALTDDTTDRKRLPASKA H257_13373 MDMPPADFSHEFFLRLKSLEEQNVQLFAELELMHTTVNHLTSEN KALWTHVTEKRLATEVFQKVERLETRMRDVEATQQRNALSFYLKVDGRIESHAKGELT VPGELRLEDRVQEDIHLARLINKRRMENK H257_13374 MDTDEVKPSSVVVSAPPAQSQSRHMDEDDGYGLLAAIIIVGIFW ILGAHLALYGLRNRRLSIFLSSLLLFSTLYFAQVSFGVVVAAIVLSFLLAFVPQLYKI GLVFVGIAGGSSAVAVVAVVALSVSLDDVDGITLVCVEAVAALGCGWCALK H257_13375 MRPTACSAIVAAIIAGVALAQQRDGKRLIALSDTDQQWLTPDEI DALEENSIGFADNTRGTWDDLQQLGQQRKALRLAGKTYPATPTQDALVRSIQAKVAPA DLKSLLTEFVTKFANRYKTSAEGAQSAGWIFDKVTKLKPTNTNVKFTVTKFAHAWRQY SVVARIEPVVGSKKYNDTVILSAHQDSINPSNLKVAPGADDDGSGSISIYQTLNLLLT SNEWNPTRPVEVHWYAAEETGLEGSAAIVKSYAAQQTDIYAQVQQDMIGYYKPGSTPV VAFASDFSYIPLVDFLKKLVTKYLTIGYVDRAFGYGASDHASWFRAGYPSSFPFEAGK GLSNPYIHTTNDTLANINWGHVADFTKLSIAYVVELTHGL H257_13376 MNLRNVEAMHAMEVEVLRLTRKLRDIEQVLKIEEVAPDASRPCV GCGDWQTAKGKHRRQLDALARQQDKLAKDLLDERASSSSTKAYCTSVVV H257_13377 MTCPIAWFKQGYDVNPLALDTLKKRIKSAVVFDMSVPDADSRIG RMLDGLAAAIRRGRQEWVIREESQAIVNIITDAVKPASLHLAVTEQMALTRNKPLKKD VYRFVHWLREYAIGHERFVGYEEELKSPARPDLPKPPGSKVLGGSTGPRGDTKPLTPI PNAPATVKQLLRAHVSTFGRGRGEKGAPGVPGGRVATVKTTVPDAKRPELPAIVDGVL PVQASLLDSGADLSVASGGLVSALLAAGASPEITVMGPMELRPYGADSQVITVTKQVR LGSLEFKAACGPLMLRGLRVWVDEAVAPVELTLGLPVMQKLGYNEQTLLENARRQQAV WDFGDQLITTPGVAMYRTLRMGELSDGIDDDEGMACATPELGNAPDDDGPVRIVLEAK VVKAATAGMTTAAVEQLRGLLMEFRDVFRLKFGRDPPVKVEPLKVRLKEGAVPVKSGL RRYPPTHMAFLEKHIRELEEAGLVYRKTRSRWASAPRIVPKKDPGDLRMTIDSRPINA CTEPMPWPIPNLEVAMVVLKGSKVYFKLDWMKGYWQLSLHPDSQEYYSFMTPVGVVTP TRVLMGQTDAVAYCQGVVDEMFGDLLMHGLLRWSDDLLGYAQTTDELMHLLRKVLEIC HAYGLKLHPGKCVLHHPDRMVWERDFGGRRGACPGTDPGLVIGVPERIVRGASGRWPI VEKEAFAVVESCKRLEYLIRPGGFRLFTDHRNLVYMFNPLGTTSNMAKYQAHKLQRWA LTMTTFPYVVECVAGEENLLALVSPLRHEEFVWLMANAITELQRGHQTCEKYASWSAE KKCFLTAAGKIWIPGDALDMQVRICVVAHAGVAGHRKVEATMSSAAEMFDWPTLKTDV KNFVTACLHSPTTAFMGLLATPPLTGLVHPDESREVTIDWIKSRAIRHVTELADALGI MHKHVAETAAAKRAKAQYRRDGQRFVKLAKFKLGDFVLVARALQHPGKLTLHWKGPFR VVKVASDYLMEVQQLVPPGATSLHHACRLGLYCFYVEDLRDLRLRDGVWEILIKWLGL DDLESSWEPALSIYEDVSVLFLRWAKARRNEDGVSEMIDDLTRACGHPI H257_13378 MKVFGAFAFIAALVVAQTIDNGEKRLIALSDDDQRWLTKSEIDD LIENNIGFADATNGDWDSLQTFGFGRAQRPESKTYPAGPVHETLVRAIQANVTTSALE KTLTEFVTKFATRHKLSAEGKASSTWLFDQASALKPVNPNIKYTVRRFEHNWTQASVI ARIEPISGQTANDTVVLSGHQDSINRSTNNTYAPGADDDGSGSISILHTLTHLLNSNE WNPTRPVEVHWYAAEETGLQGSVEIVKSYLAEQTDIYAQVQQDMIGYYKPGTTPVVAF AVDFSYVPLVDFLKKLVTKYLTIGYADRIFGYGASDHASWFRAGYPSSFPFEAARGNS NPYIHTSNDTLANINWVHVADFTKFSIAYVVELTQQTQVAC H257_13379 MIGYYKPGTTPVVAFAVDFSYVPLVDFLKKLVTKYLTIGYADRI FGYGASDHASWFRAGYPSSFPFEAARGNSNPYIHTSNDTLANINWVHVADFTKFSIAY VVELTQQTQSLNVLRSCRCHWGLKDDALASCEVEGHEALHQLFEAYVNSKVRSFLRCH RNVPLYWNDMLDYIHRDEKWLYMTNVDGWYYLCSGEDDPARMCQSKNRIIKMMFLTAM ARPRYDNTKRIHWYGKICAWAFTSKCQALRNSKNRKRGDDVVEPTTVTREVYRDYVVN KVIPAIRSLWPRQRSSVIWIQQDDARPHVRVDDASVRMDG H257_13380 MRVDDDADDSSSSRTSNPEDMAICNRLMNLRNVEAMHAMEDEVL RLTRKLRDIEARDKAQQSTDSHLSFVLQRNQELERIIVSSFQETESLRQDLARLQRKL DVVNTKRLATDEINQLLAEDILAQALARHAAQGSWLARCEVLQQQCRAISQDLEMRTT ELAAQVQQNQTQIQTVDRLQEMLYRMEGRELDLTTLLARSEFKLSQLQKETEQSAHAL LTTLETTLEIKQQTLKVEEVAPDASRPCVGCGDWQTAKGKHRRQLDTLARQRDKLAKD LLDEREAGNFARNSVAAKGEEVRTLRTQLAVATQQLDKMRQYIKEAENSTSFERYVNL KTENYVLIQQLEQANTATPSRDHDPVADGSKRRLTKPKNVVMPLIGSSSNNAQRPGSW FRLNC H257_13381 MPKPTPAPAPSGDTFTPLLPLIPRKNTKSIEPTLKTRGRNSHFF DAHGRWKSSLELPDVAAVDPSQALDAIKHVLAADPTNRSDTALHLVYKWMKENCKNTM IFGSSHDYIGREICRQMRLIKLPSRAMLIRQGDTGDRCYILIDGLVDVYCKDNSHILG CDETTTNLQYKVVKPTIDYGEYKATLGPGTVVGDVVLLNPSARRNATVIVSNLTVECY LVYLGRVDYVRLIRTVSMETSHYVQAEVLDYMYLFQKWPTADRMKIVAQMRSISFRAN DYLYRAGTIAKTMFVLVSGECMERQNFNLLDSPRHLLLSKQKAEIKINIELMLLGPGE LANEHAFLKANQIGAFDIKAVTDVHALCITRHLYDLLAVSEKDFIKDMLVKLQFLATD RDDYRRERLEFGSRYPDAHVAMTWQLMRMGNLRCARCGRRGHLANELARCHHERKDDW APLQLRIEKQLGQTHAVLQQMTRATSTVVTQLSPPIAKRSSAAYVEAMMQDNDAMLPS AKDQLADCWRQRLLVFPSLTKPSIQKEHDQEDEEEECHNDSDGDERGMGG H257_13382 MKQGPGGGAGKGKAEGAPIFLQKTYTMFENAPVEIAAWANNGTT VVIKDPDEFAKAMLPKYFKHSNFASFVRQLNFYGFRKYKKDDILITEDEATKNWWEFY HDKFLRHAPELMTHIRRKTYSEGPDGQGGNAHGSHEKEEVEVLKAQVTTLQSQLEQVT AQITSLTDVVTTLLQSKSGRRHDSSSSTGNSDLPPSKRFKTER H257_13383 MATAVEQARAIFDCVDTSKDGVIDQSELGKLLEALGEDPTPQNI VSALFQLDTGGDGTISFDEFVSFYKLNYLPRHPTKLLSSRYGPAGIGHAKSATYTLPP PTFCFGKALQRDKENAGQVLRQLGDASDDVLSRKRVGYKPSQSKQQPTALSNNTPSRP STGVNRQDKVSETLAGSTTHTNNEAGVAFVLQQLKTQLQTRGLQAFQALSQHLHGGGV ATSWTFPAFKSLALDTLHLSATEKDLRAVFAVFDTNGDGTIQAAEWARALETPLSGSR LELVTRAFESVDVHHTGAVSLSTLRRQFDGSALPEVESGVLTIDEVRSELLAAMTNLL TRDAAITWPEFAKHYTHVSSATSDDAAFTRVVCATWNIMPPGSPRPRTAYTGQRPPQA STTSSTAKTKEKRTNFSRIQAPWLTHDSASSLLYEGSKNNDLGTATNDNEQGKTLSPP ARELDAGLRGIFTRIRTQLNAIGVGGWMALCGESTAISLAQDPSPTKSAPPPVKKLSL QAFKDTMKNAAGVVLSDKDWRVIFEWFAVNHTLDMRHVAQQLHTPLSSTRLGLVRRAF RSIDVDKLGRVSLVELVDRFDPTQHPSVLSGKATVEEVCDVLKMVFPGPMVTAHEFEV YYGCVSQAILEDAEFELHVTSVWASRFDDVRSESGRSTSVSSSTRGTDEPTKKSPLTL YHESQNRLAAALTSKPKNTTDKPKATGRTIQESPITLVSKASSKAATRGNTSRLKNAG GINHWNNSSRCATSPMSTTIKKAKSSKSSSMGKPMSTTASKY H257_13384 MAFAMMVATNGDRFPRLPTFMVTATALGGYIAPLRQDPSLFIYC DVNIKRSSAAANSLRSLNHGDAMAVISCLTHGICGLVVFILARYVIFPGIRYVKVSFW LGFYFAFFGPDVDEMLFLVFVVVACALLALMKAYLSSETPRFSSGAPRDYLLSSSRSA TYYGATVALLRLATELSLQILAVPDPSCLNQRSRPFRLCNPLHLPVCVTTL H257_13385 MTLPALYAVAAVIVAIIVGMYVAWKKSQFLWPYVYVTCSATLTT VLVQVCTTHTLALISMSAVITIGLVVTFSACESRVVVLVSALVVVGTTIGPTLPALEV FIPLAIAGTLVIVGSTVLTTTHTSVGRFFVGAAAPIGVIDALYALIWQGHPSLLVAFA ASDMTPTDLGVGLVTVVLAAISGLVVLRRVCCLDVVPAVTGGFLASLAGTSFVWTLLD MSVQTFAIARALGGVAVCGLGWYFGPRSSPQSSTTSPIVGLKTATTASTNMCTARVVL APPTQYALNTPTGDFARDRTNVV H257_13386 MVTKLKMKMDDRLSHAWLSLSKRLSSSGSSPRRCPPVTVKEKTE GDALHDQYRQNGGKPEQLG H257_13387 MPAITSVRHLGDFSDMFTSIYLLVFGLVIVPLTLYVAFRGILAP KLSALVAAISICFVFAYSIEFISEVAYYLGLAAIPALAIVIWIVDGASPVLVAAALPL HAVYTIYSMFLVQTVTEPSGSIYFGVPGATSWVTCLFVAIFLAISTGFFMVYADAEPQ RQLYIWSSASTGSFFAVHYVGAALSGPLVVSSSDYFKIFALRPWIAWPAAVVLAVVAA YTQFHTKTPQGSSLAINHELQPLVTPA H257_13388 MPRRYTLQDSQSPSQPTSQPTQQPSHVNTRAFTRGMALIEELEQ QRRDKLARFTTVRQEEPDEDADSNSPIYDAFLSTQGPEGIFSLTNFSPSEFDLLWADL RHFVSKNWNVGSGRKSEDVVALVFSQKPPTFEKRVLGYIKAVHPFFMRSYDAQLAEQW SMKNLIASGNQFKNFQFVRYATDVTFKQTNTPVHQSTKCHWYEAHKGQEKGKSKAQEK AEGQIVHVLGWGYISCC H257_13389 MPTSEHISVAGSGSTSAFGHASTAAPSSNATDALPTTSRHHHHH ESRANWLQLYLGAPGTLLGASLGLLLAYVLTMDGIKASLKYWDASSDRLAQKAINQLG VLYLRALTCVVYPQAFVNIVLVAATITAQPSPRLGKAGWRVLWLSFVTTLLVVGQGVA LGVYLLPRFQGSTYYFRRPKALLKCPSPASTFLQYINATSHTLHCAPYNGSMEGKLAF YVGDVGRRSIEIDPTVNRFRTLESSSLPELVQVLLTSTSFTNAINVNLVHLVLGAFSL GVATGTYTRATSTKLLDLLRELVRTFEIMTGWVVAGLPVALMSLIAGPIYMTTHNVFV ASAANDLVRLTWYVMCFGVVAAVHMVAVLPLFLVLTTRGQVHPWKFLGAVKEALWYNI GTSSSRAGQTVLARNIDRAPGSAPCTTSRFALDVGVTCNKSGGGLYIALSMLWMFSNA GLQVYLTPSKIVLVVVLATLGAYAVVPVRNGGVAIVMCAFAMLTGLPPPNAMNFLLIA ECVLDPLCTALNAWSNAVVTRIVCFLKTS H257_13390 MPPTEVLDAAADSVVDDSAVTDDTSPTLSMDSADESNVLTIHEA IQRVGYGTFQKRLLLVSGLMFMADAVEVMLLSFLQVLVKDEWHLTSTQQAGITAAVFA GELPGALFWGFFADKYGRRLGFLLSALCIAVFGIWSAFVPTVWWLMACRAMVGFGVSG ALVPFDLMAEFLPDSERARVLLLFQLYWTVGIAFVAILAWVVIESWGWRWLTGLCATP LVLVLCSFPWMPESPKWLVDQHRYDEAIHVLEATAKLNGTTLPYNVRIRPLESKGVKE QGRVIGEICSRKLWRASTMLFLTWLGFGLSYYGIILLFPRIFKPEDGQTFNYTCIFLA AVAEVLGVFLTMTIVDTVGRRQTQIALYFVCALAVFLSALDLDLVSLTILAMAARAFI MGASSTTWLATPEAFPTHVRTSGHGIASAMCRLGGFVTPFIADSESMSVAGVCGIYGT CLLLTTVACYLLPPDSINPDLLVVCDTACELVALTTEEDSSVGKAADMD H257_13391 MQEAVIGFTICRATGSSFEHPVGNLAAYTRKTYWASFAKPVEVL VARLDTKALVGEILILNKSAQTVDISLAVDDKRSEYITVKTDVRLPLNREFRIKLGYF PACFVRLSFKRQHNASSVGVYAIQPRGISCGYLEQDGGPSLFNVISHTTEGILFGPSL PASLPERDCLSDTTSGYILVSHMSIIQCVRRSRAWMKRHESLQDMHMARLEDSIRLFR VNHRRTTSYL H257_13391 MQEAVIGFTICRATGSSFEHPVGNLAAYTRKTYWASFAKPVEVL VARLDTKALVGEILILNKSAQTVDISLAVDDKRSEYITVKTDVRLPLNREFRIKLGYF PACFVRLSFKRQHNASSVGVYAIQPRGISCGYLEQDGGPSLFNVISHTTEGILFGPSL PASLPERDCLSDTTSGSRAWMKRHESLQDMHMARLEDSIRLFRVNHRRTTSYL H257_13392 MKLSAIAVAFAVVLMSSGGSADEVFPNSTSTLAPTPEPTTVEPS TIEPTTTASPEAVNITATTTTLAPDNIDDPDTPEPTPTPTAAPTTTTAPPSNATTSTE PTTTPSPEPVTTTTTLAPDNIGNGDDTPEPTTTSTPTLAPTPEPTTPEPTTIKPTTTA SLEPDNTTTTTTLAPDNIEDPDTPEPTPIPSSPSSGEAPTTTTIAPITPAHTNSTNST DPTVAPFLTASMYRNAPAAWTIGSLVVVGLVGLMAVVVYKRRQQKKYCKSEERKRLLR V H257_13393 MKFFLLVAFTALAFAADDDRRGKRLIATSDTSKVWLTPLEISDL ESRSIGFVDATNGDWDKLAAFKTLREEVLHLTAKTFPSGPGYKAVVGAAIAKISTGDL KALLTEFVTKFATRYKNSAQGAQSCGWIYNQVKKLVTRPDVKLTVRQFKHSWGQYSVI ARVEPAGRAAASQDIVIASAHQDSINRKNNQQAPGADDDGSGTITILQSLKILLATKE WVPVRPVEFHWYSAEETGLQGSADVVKAYASQKVDVYAQMQQDMTGWVAPGKPPVVSF MDDNTDPGLTAFLESLVNEYLAIPATHDKCGYGCSDHASWNRAGYPASMPFETNVRDL NPNIHSTRDSLATIDYNHIAEFTKLTVSYIVELTQGLR H257_13394 MQGRPGRMEPAPVCVAPALHPLAVVAQLSPPIAKQSSAAYVEAM MQDNDAMLPSAKDQLADCWRQRLLVFPSLTKPSIQKENDQEDEEEEYHDGSDGDERGM GG H257_13394 MQGRPGRMEPAPVCVAPALHPLAVVAQLSPPIAKQSSAAYVEAM MQDNDAMLPSAKDQLADCWRQRLLVFPSLTKPSIQKENDQEDEEEEYHDGSDGDERGM GG H257_13395 MDFLSQATSRYLAEFYSTQDYVHRGIHTDTHNISKIYLELDQVE YSELYAVDPTLLETNRHACLAEITRTPFNARPSSLLPFGPLFGKPPPTSFWSGSSSGP GKAGGTTIGTTVVIITVTATANATKATAALIVEGNRLNGYANTHIPSHHFVPPSTLSR VLQRPNPPSVLPKVFQPGSAPLCDVFTSPPYNRPLIAATTLAPITDAPGELPDHFPSP VDAGDLDFAEVPPAEVLITKRLPLYSPRPLFQIPANPTKVDLPLFPTSAFSATRSDII TAASETPIKVVLQLPPRCVDGVRPHPPFQVVGRQGIFALHADLRYCESDPGDPPLPMP VTYRPNDFSALRQHWIVDSVGNGAQLPVLGYGPITLAVDMSSRGQADDIRPCVLRLTF GLHCPELQFNLFSVRQATDEGISPKFVLSTDPHRAEYIHPGQMAMFTGMMATFETALR KFSNYSGFSTFNAQLHMFAYSSVLRYTPIIYRPSMVLKPKPFCPITPDSVSVSVAQAM LGSHTPIPVYDTTTVPTDCLTSLVADSKLSAASLARLRHRRLGHPGVDAFNQMSKTHP ELLLFKAKHLCGQL H257_13396 MSTDEQPTSTIRQSRRLVGVAPEYGLLDKRRLTRQSSTPEPAQL DDHVELPTLKRGAEGSPLVSPSTNSPDSRPPPKKPALPPGADLTPEPLSPHARIVQLP ETPPWSKGRPAAWFQERLRLPGTIPVYLEMLLSRRSQLPVEAYAIATTLMPNSHAINK QELKALRFFQKAHEDRSRLLDDRETRLVEMAESAAQAAEAHENYRQEQFKEALGYLQE CYQRELDLARCVVGNEANETLGQEIEKQQAMWEARMLRFQENLELTWDKERSLLLQDK EVSLLALKNQHTDELLRVSTEAEHTIEVRRMEDGVILNQLQEELRSAKHNHTHEIQAL VEKARRSREAQETTLDKLQLRSRQLELDASQYPSAREPSERICGNYPILEQEKCILTE RLRLQEEQLRETDRQRERFEQLWEKGRRQLQESEQHAFLAAIAPGLQNTTRFAASVVR DYSVIIDEESLNPRNNRILGASEHGLNEAQARFQESETRWTQLQEKLLQVYTRYEQEL QEEQTLREEMERGAQEQLLALTLDRDSEERRAVTQEREELMKSREEHEAILQKVSDAQ AQLGLNTTLLREEEQTLLREREAFSQEVNKSYSNLRRQQSSLQVDQQTLALQLQEGRL RSGSVGTPYATPASSRKGSPSKGCHWTFMTSSVLEAPYSMVGTPQRTMDLIPVFMQQT EVATETMSMPVPAGVYGRAMASQKPFMPERPKQREPPEYIPQDSYGMRGHSNPSTPYF TPPHGDHGGAFGGGSSNPPGPPSSYPALGGHNPFERTGGRGDPPGSPGGGGPGGGGDN HGGGGAPRFPAIPLEHFLKKFDALQMDHGITDAQLVGIFDDRLNESGIPHFADWWARR VRDHAEQSWAESREAFRQEFIMKTMTERRLPRTHNIRNANFPPESAVFILLNECEDLI VASCIRGAPTLPTTIEASLKYLKEKDVNLEKPFEGNPKTATVSPGTPGRAPRGLAKPS DPD H257_13397 MAETDAPGSSTTALAVGRRVTLMQNAESHDRTGPEFLAAAGTGQ AVMEVDAGEITTAIPVENPDTSVSHDPLTKESRLSRHQAAGSGVASKPPWDQETHGYA HNNNQDYDAAITRDFGSSKSP H257_13398 MFNVDTAEVKAAYLQRWLALLRDNFGLHPAFVHMDKDSAQIAAA TAVWTGVKLSLYLWHMDRAIRARFIQGNVFPDIRRFAPTNTLLHVEGYTPAFPAVWVH GAHVLEESFCDKAVLGPLLSLMKSHYKAHPFMSMHDDAMPPHDKSCVFYIRAVEEIYN FCKECSASYLFVYLFNNWYSENEFKRWARSQCPTALATLRTSMMAEAHFNCLKYRFLK DFQRPRLDLLCFIIVTNIQEWYIDKFIRATDGRISYALPSWESRMNAQWKVFATKSDE GDLNDVYDTSVLRWTCTCPSYAYGEFLLCKHLVHRYILAHNTKVPPRYKVRQLTPPMW VFPTTKDEMLRQECTKKSIDKEVNALSARPELNHDTVSILDDSQLLASAHVAQTIQEL SSWLIQHASDIQHAPRQLAKVQKLLHPIQAYRKSILAVNNMRKRQRTNQASSLTVMHE PPQQ H257_13399 MSESNGCPKQEACSMYCEDKFVADTNKKSHYTNVHFTKNPSIAP YRPSMFADMCDEDLDEYTRRTGIVLHSSPHFERPTQSCVFDLMREHNISNDVAIILML DSLVKKRGGDVRQLFVDHALRCATEQEAMESQNEEVTLPATTKSLTSKQKAAKRKVAA AAKRSSKK H257_13400 MLKTAVVLFVVAVTAATQDKITPRVVEWLQQSSTANVAVSLHLA SHVSGHTSLYNHVKCNTLDAVGGAFQCNGLTKNEICSIAALPEVRQIVLVLRVDSDYT PKPADALTTTAATINLCTPNIIPEPTVTAVAPTTTATHDKITPHLAQWLQLSSTASVA VSLSFDPHVHASFKNNAKCFSIDNLGSFQCNRLNKNEICSIAALPEVRQIVLVLRVDS DYTPKPADALTTTAATINLCTPNIIPNHTTAAATPSACDKPVEDVDYEGNDITSTQRS NSDECCDDCTKTPGCVAYVWTAWNDGTCFLKSKADKSVPKEGTKAAKVVF H257_13401 MTPDNQTRLLEGIGSTIDLSVAEAMAAQEALEEQVAQMSSHGRN FEDSLRVAREKIATLDGQASTMSSHGCTLQDSLRIAHDEITRLTHASESETPSTSRLQ SIKLDVAKFGEAESDKLLRWLLQVSTAADAQRISDDATHVAFAMSHLKGCAEDWAFSK RLTDRHCFQSFVVFETELKAMFLPPNSDFQFIHDLRFLAANINDEESLPEPLRLFRAY PDTFEEAVRIALSESFFSSFAHAHAASSDMDVSMLAQASDDRTCFNCGRPGHFSRACQ APRRVASAAPPLHVSSRTAPDTPSRRPPSGPPPNRINPERHGGSRPPFHPAPSSSAAG NGAR H257_13402 MEAWSSWRFPLGVEEDWVRDVFREDLVAVVSGVDLEPTVLSGRT EAPRRHAATSASLHPLITITTALLTCNPASREASAIRHTYSLTISVDFRLPSLHPDDW FAFTAPSSPPVLDGKYPTGCQAVIEDSNVPFLQAALSLFGMGQYATHHATRAVYATTG VMQVSLLSLGALTFDSEDATFKKIKKSKVKAVATLGAVALSFLGLGCLVELSTGYSQF MGAVITVLGFGGIRNLVLPYVVGKNSECVFFLLLFAGTLLDGFDSATSPAIAAAVVAK HEHQDDHNHHAAA H257_13403 MLPPSDKRHGPGTQPRPVPLPEDITVSTEEGGRIGAPGAKATLQ QQTDVGRGCDEAPGRDKTIGETSGTTGVYIRPSAGDDSRRQTDGGEVNEKKGMYAEPS KDESSCRQTEPNTLDASASPDGDSLAPRGATSVLSRTLPRARGPLTTFTEETRAGREH PDWIDAISRGDMAELPDSRHVDIEERHYWIWLVGAAGAIEPAPDDVSCGENVAGNIRT FRDQPWCFGFLRSALREAR H257_13404 MDQADQCTLEHNHLFHTSYEKVVVPVAFKVKRCLFGDNDDNLSD VSDTWKDDYVDIAFSSPREAEVQPQHNAHPRRGCVNYT H257_13405 MRQARNHSPRCAPLNLHPLNPPPPNPPMSNPPLLNPSKTKPLLP NPPLLNHPVPNPPSAQSPFACAKPTSAQLSEHINSVESKRAGRRSISRSRASSAPLLY LGDREERIRVMGFKRDSVPGNGHCLFSSLYCCIYKKQILSLDELADLMVIRRIHEICN HLASRVCRRVSDASWYDNNSFVLKLPGGMREAETWSAQDEAFQVAWFVLK H257_13406 MRAKKKLTNTDPNAILQQLLAHMVDHKKLLHGALNKIALEFHVH RSTVQRVWKRACVDLNHATRPCSDVASRKKGHCGRNLKHQDVAVRLHAIPKSRRTTFR SIAAAMLMSLHDYYRRGIFVKYTSTVRPMLTEANKAV H257_13407 MKVAYQPPNSPDLNILKLGFFRAIQSLQEKNHSRNIREVSQLIF IITLSCVCQNRKCRFWFSRHLREGMVVLANIFLAAPVGKLDLTQAFSVADEAC H257_13408 MEYVPNLSSFFRLSTPLYIGTACETPTPSQSHLSTLNYKGINSA YLSYNVQCVIRKWSRQAASLGRRSATTTQCVWRQEGTKVADVSVGLLALLEETNPNPW TPSAVPTRAAAEVPLPDASSAGASAPGDVDMGDDEPVKVLNAPALPLPPSFKGSTKAE RRAFMR H257_13409 MVVRLSQVFNDVKHALAHVVPLWHTREDMTVCVFTDASDLFWGA VATEVPPANLDLPLEDQRHQPLAFISGSFSGASARWPIVEKEAYAVVESCKRLDYLVV RPGGFRLFTDHRNLVYIFNPSGSNANMAKYQADKLQRWSLVMSTFPYTIECVSGDANV WGDLLSRWCSAPKPNELIHFDWLSMPMAKSGQKQVLVVKNDMSGFVQLFAAESADAAA TAQCLMTWFTTFGCVDTWVSDGGSHFKNEVIEKVRKLVGAHHHITSAYSPWANGTVEV VNRLVLRAVKALLSEMKLNADEWPHVLPLVQAALNHQPADRLGGIAPVTAFTGLPAKT PLSGFYTSRTLGAACQKHVTDLQLALEEMHRNVAVRSDKLRQQARGRRDRKSQVKFAG FSVGDFVLVGSVVNRPTKLALHWRGPCQVTRVITDHVMETQQLVPPYEVTVHHACRLK MYHEGGREVTEDLEAQIAFGDGGFHVQRLDEARCVDGQHQVLVKWLGLDDEESSWEPA ANLLDDIPVVFRKWAAANKEDPAVAALIKTLDFS H257_13410 MQRLLRFSTAAVAPSKRVRHIPAQMQFTCEGETTPGNATALLGF LEYNIQGTTMDMWRTFVDPAGRGQGIAKLLCDEAFEYAKTNELEVVPSCSYIRHTYLK PSPTKRKAP H257_13411 MGAWTSWTAAATSWDVAGRVALMYLAVRVAKTLWAWSDASDSCA RGHKHSFDPQDLAGHRHYRFSADRTLWLRTRTWLPPSTREWKGVIFIVHGYNEHIARY HYVGAALAHEGFAVFGMDHRGHGLSEGDRLYVEHFDLYVQDFAAFVRDTLALHSDSRH VKESRLHFPANLALASLPRYLLSHSMGGLIALQLIHNHPDIEWTGAIMSSGAFQIEAK AISPLAQVVASIVSAIFPKFRPPNPELQNIVKDPSEHERALRDSFNYKIGPTARWVAE FVKATQEAPALMPSIRTPLLIFHGELDAATPPQGSADLYATAGSVIKEIHVLPKMYHE LLHDSCREDMLGTMVKWCNRVQKKA H257_13412 MDKSTTGWNGWLSRAFGRFRRFGRRYASRTGTRGSGLERVGLGA GTTGGGASQKALRKASTSCSTRYVCRSTSEAARSWALAPQATHVLTARGFVGSKSNDR LCFVHRYAHT H257_13413 MRLKLPRSMSRVHDVFNVDRLKHYPNKAKFASRPIPKATPVVLD ESTGKEMYIVEKLLKKRQFNRKLEYLVKWHGQPESEATWELMKDIKHVVHFKQLVQDL ESRRFKV H257_13414 MLANHATVIDIVNALMTKLRFTLSSARLRRVAPIDVLRLNMVED HEVAVLLVTLEDLNSITLALQGEECSLLDVRAREQKAKF H257_13415 MLLSLAKTMRQRQFVLALLATQHVERPLIPEVRFNLDDMTDANA VLDYRFDVVGIRKLGYYLGLPAVVGSPLRNVYGFIDGTKVQTCRIQSSGDGNNLQKQI YSGHKRIHCLNYQAVTCPDGICVHFFGPIEGRRHDATMLRSSKLLEFFGLHPDVFASK YLYGDPAYGVVEFLLSGYKGNNISERKREFNKWMSRVRQSVEWNFKILKTLWSFITFK MLSKIQLSPVAKIVSIAMLLTNCHCCHFRGNQISDFFDMEPPSLKEYLDTLEIVEI H257_13416 MTTPVKRRNYTDEEYLMLLRQVSLELPFLARRGLIMDKWTAVAR ALVASDEFTRTDLDAKKANNRFNALIDSHRKHNKYSERASGVSEEVSEKVLLLDDLLA VFDDAKDEEAKRVVSTQKANQHIENLGSIVRDEAMMSLGKRKQACDVEGAVGGGSNKV VKMMAILNEQAKSDLEFKKEKYNSEIEERRQDRELLLGHIRQQNEAMQKQQELMQQQQ ETLLKLMSAIINKI H257_13417 MDENAKKVDAGNADNEDSDVRQDKPAKRQVPRGMLWCDRSVDTL FSLWYNSPLVSRFDSKNNSGKRVAYVMLVPELSVVNSSQSRCKTRCFAKMKSEWSASK PSLPSPTGTLSKAQLPMHYGIMLEYWHEEDDVDKD H257_13418 MDFCRRKNIAYSTWQDWQARRDKNLASRRHSRNATLGGQGLQEL IPFNDELLVYMRDRRGSGRYVRLLVFQEELVAYKLFRTLLLRFFYRHRFFNRVPCKGK DKYAQYDKSQILNVDETAIFYDLPPGKTLAEIGMDARCLTEQSTLTD H257_13419 MAAIECFSAANFVGPAVVLPPMLNITDVTAWKAPCAFRSWRVPA GLALFAFPLVNFNGIPVRVDSTSSTLPAGFNVLSFAVIDRADDVHLLVDTGDTTFAPV VVPVGARLPVIDNNMDMRMSSMHIPPNAVVVGYKRRYFLGPYRVYSGSLGSLGDWNNR VRSLQVLPSTFPLPAQPRSPRPLGNATASVRFFARPNFTLLSLQQSVADGGVPSMEDW PFDLTDIQPSSITLDPGVLFVQYSATNFKGTARTWTTSTAMMPTDHKTFLMQSFQVLP SAAAQPPLPSSDYAMCISPLPASSLLYLEAGDVIPDLSVFNIDTCRSLVLPPSIVAIV YTGVNSTGQAVTLAPSTTHYDVAFSRGSLVLLSSTTTSSSTTTTTTAPSSGVTTILRH DNDSIWAADTMWIAFAVLVVVAIIAYCVFRQTSVDDISQPVVASPQPIDLDLPNHIPN PPTSYIPIDPALLDWTDLALYRLDNKLVLRRHFLASGASGDVYAGVFHNQPVAIKQLH VYTATSVQRFIREISLVAAIQSPHIVRLIGATWTCPQDLNAVMELMGGGDLRAFLAAT TRCHVTWTTRLQWATSVCEGLFYLHSMHHMHRDIKSRNLLFQTKNDLDILKVADFGSA RDVQDTGGSGTTTMAGTLRWMAPEMLLFQPYSSAVDVYAFGVVLSEMDTHETPYAQHC NQQGDEMMLIRRVLHDQLRPSFSADVPVWFKFLALRCMAHDPAERPSTSEILHVLLTQ QRRLEERDDQVQNWTTTM H257_13420 MTTSWAALVVLLVVVVTPGSPQVTIPPAMTAKPPTPPPVSTAPT TQAPPESSQPAVVPSTSSPPPSVPSPSSTTTTRPSNTSKPPPPSSSSTNAVFPTDSTP LPTTNAGQSTSAPVSSFTAPVTNPASPAWTAQATPIASPSSSSPPIASTTTIAPANHS TAPPATSDDSSSTSSSLLVVGVAVAAVVMILIALGFCFFHRKRAQSSKPPRAAANTTG GGMLHTTDDISFTSSSEPSRLNWSHLEPFKIPLEDIVLVHPLAAGAYGEVWLGQYHHQ RVAIKTCLASRASRKHLQSFIDELSLIGSLQSRHIVTLLGAGWFRPADLLAVLEYMNL GDLREYLNNDHHPPGPDHHSKQTLDKVECARSIAKALAYLHAKRIIHRDLKSRNVLLD DTKGIKLADFGTARTYSVDETMSTAAAGTYRWMAPEVLMFKQYTIAVDVYSFGVVLSE LDTHAVPYSHVRNDKGHAVSDTALLAMVLYQNLRPTFSATCPPWLSELALKCMDSDPA QRPEPAEIVAILNAQMMSSMV H257_13421 MLSLPEVHILSGAGKPIFSTKDDDDCSNVSTAGLIQGISSFADD ALRQITTPTHVLTFLPCPPLLFFCATPPSLRGLNVGRLLHLLKHHLLVFLTQNGVSLM ESNPNYDLRNLLYGTHGVLMAVVSAWTSEVWPQLDGIGVRLIPIPPSTTTTRRHIQSC MDVPGLVFGLISHADGVVAFKQGLPDHPLPIEDVHVLLHILQHMPSFRTSESWTPLCL PTFNRGGFLYGYVLFVSNGMSVLLLSNDQSQSQFHAFQTQTRRVLEPLLLQTFETSPT EQDTVIPTFVMSATLPRTTFEPLLLHYFYQSYQTHEYLSPPFEESTLLDCPAWRLHVL RLYHTLHQHLHTLSQPPLVLGGPPCSSAPPSASMYFIRSDTMVALGVVKPAVGTLYIC CQPLLTAQQATDLADSLWKVLLERE H257_13421 MESNPNYDLRNLLYGTHGVLMAVVSAWTSEVWPQLDGIGVRLIP IPPSTTTTRRHIQSCMDVPGLVFGLISHADGVVAFKQGLPDHPLPIEDVHVLLHILQH MPSFRTSESWTPLCLPTFNRGGFLYGYVLFVSNGMSVLLLSNDQSQSQFHAFQTQTRR VLEPLLLQTFETSPTEQDTVIPTFVMSATLPRTTFEPLLLHYFYQSYQTHEYLSPPFE ESTLLDCPAWRLHVLRLYHTLHQHLHTLSQPPLVLGGPPCSSAPPSASMYFIRSDTMV ALGVVKPAVGTLYICCQPLLTAQQATDLADSLWKVLLERE H257_13421 MLSLPEVHILSGAGKPIFSTKDDDDCSNVSTAGLIQGISSFADD ALRQITTPTHVLTFLPCPPLLFFCATPPSLRGLNVGRLLHLLKHHLLVFLTQNGVSLM ESNPNYDLRNLLYGTHGVLMAVVSAWTSEVWPQLDGIGVRLIPIPPSTTTTRRHIQSC MDVPGLVFGLISHADGVVAFKQGLPDHPLPIEDVHVLLHILQHMPSFRTSESWTPLCL PTFNRGGFLYGYVLFVSNGMSVLLLSNDQSQSQFHAFQTQTRRVLEPLLLQTFETSPT EQDTVIPTFVMSATLPRTTFEPLLLHYFYQSYQTHEYLSPPFEDSSLSKFKIWHLLFT CNWGSILLYIQNLPCWTVQHGDSMSSDCITHYTSTCILCRSRPSCWAAPLVLPPHHRR RCISFGRTRW H257_13421 MESNPNYDLRNLLYGTHGVLMAVVSAWTSEVWPQLDGIGVRLIP IPPSTTTTRRHIQSCMDVPGLVFGLISHADGVVAFKQGLPDHPLPIEDVHVLLHILQH MPSFRTSESWTPLCLPTFNRGGFLYGYVLFVSNGMSVLLLSNDQSQSQFHAFQTQTRR VLEPLLLQTFETSPTEQDTVIPTFVMSATLPRTTFEPLLLHYFYQSYQTHEYLSPPFE DSSLSKFKIWHLLFTCNWGSILLYIQNLPCWTVQHGDSMSSDCITHYTSTCILCRSRP SCWAAPLVLPPHHRRRCISFGRTRW H257_13421 MLSLPEVHILSGAGKPIFSTKDDDDCSNVSTAGLIQGISSFADD ALRQITTPTHVLTFLPCPPLLFFCATPPSLRGLNVGRLLHLLKHHLLVFLTQNGVSLM ESNPNYDLRNLLYGTHGVLMAVVSAWTSEVWPQLDGIGVRLIPIPPSTTTTRRHIQSC MDVPGLVFGLISHADGVVAFKQGLPDHPLPIEDVHVLLHILQHMPSFRTSESWTPLCL PTFNRGGFLYGYVLFVSNGMSVLLLSNDQSQSQFHAFQTQTRRVLEPLLLQTFETSPT EQDTVIPTFVMSATLPRTTFEPLLLHYFYQSYQTHEYLSPPFEGYSRY H257_13421 MLSLPEVHILSGAGKPIFSTKDDDDCSNVSTAGLIQGISSFADD ALRQITTPTHVLTFLPCPPLLFFCATPPSLRGLNVGRLLHLLKHHLLVFLTQNGVSLM ESNPNYDLRNLLYGTHGVLMAVVSAWTSEVWPQLDGIGVRLIPIPPSTTTTRRHIQSC MDVPGLVFGLISHADGVVAFKQGLPDHPLPIEDVHVLLHILQHMPSFRTSESWTPLCL PTFNRGGFLYGYVLFVSNGMSVLLLSNDQSQSQFHAFQTQTRRVLEPLLLQTFETSPT EQDTVIPTFVMSATLPRTTFEPLLLHYFYQSYQTHEYLSPPFEGYSRY H257_13422 MKAVVVSLMAAVAVGQSACPGLEYNVDYDGYDITYTDRATPAEC TADCESTPGCKLFVWYQNRCWLKSFGGSKKTSSPDRVSCVLTQPVTASPTCAGLEYNV DYEGNDIGTTSRDSADECVADCIHTRGCNVFVWYWNTCWLKSAAGHKRYLQDRIACAL TAPAPQPTLVCPAVYYNVDYEGHDIGATSRESADECVADCQNTPGCKLFVWFQGQCWL KSQKGNTHYLPGRNSCVLEASTPSTATCRGLEANIDYAGYDISYTDRTSPADCTADCQ KTPGCKLFVWFQNQCWLKNQLGERRELTDRVACILTTSSSDAPTTHTTTTTTSAAPTS TTSVPDYQDDDENTDAPTTTTTLKPTTTITTTTTVDAPTTTRAPRPSSEPIKPLETGP RGTAAPAGTLEPWDSQGTPAPTTDIPDPEEYTEPPAAPTTTTLKPLETGPRGTAAPAG TLEPWDSQGTPAPTTDIPDPDEYLDITVSNLSVQKEVTSVVGLLVAGVAVVAFVLVMK RRRAAAAPTSAAPMAERKRLLQV H257_13423 MKLSLISVAVLVASAMATPEPQPLPTPAATKPATVGTLAPTTVL PDLIEEETPAPTTTTVAPTTTTTTVAPTTTTTTVAPTTTLTPEPTTTEAPLPTPAATK PATSGTPEPTYSIRDNEEDTPEPTTSSPDNIVEVTTAAPTTTTTTVAPTTTVTPEPST TEAPLPTPAATKPSVSGTPEPTYSIRDNEEDTPEPTTSSPDNIVEVTTTAPSTTSSTT TTTTTIPVVVVPVPTPAVTKPSQAGGTPAPTIGALPDLEEEEPVITNSTTSSSQVDGS LNSDRECTASDLAAADAASFSSPSANKCAVDMEVSSASFKNPQVKPTLSQVLKFNASD NCRAFYADKTQAYEAQHCRQEKQLALVAGRNVSFDMAVTALIVESYPKVGTNCSKWAL SAGLALKTSFYSCLAGTGLYSSIFKLTKLPSTKQLKAIQVNKRCRDLFADIQSIIKKQ PHCTIDGNGGTDIHAYELIQFDPTLDWLLVAANVRDEQASHGAVNLNAVQEEQVNGTV GLVLAGVVMMAMVAVVVVKRRHQRQLPSEERKRLLHV H257_13424 MMMLATMAAVSPAIPFCSNAQVSDAIHQITRMFTSEYVDLCAAD VGYYWSPDAVTSSWLTSTQLGLAKDSANCQWLFREFQAAVANESCIELTLATSATFDL FLSYINAKTSYPPATLCNVSHVQAVVTQVALQPTYFTCLAAAGLNASTAFRRLPSPRQ LHALRVNGQCTGALWSDLQRVLSKLPTCALFQEGTPVQAIAHLSIDLALSWLEFLATS YHDGWTHQNTSTNAIEFPRNPTQHGAGVILGAMLVGTGVVFAVYVVYSNHREPAIVVP VEEELRLLAVQVFH H257_13425 MTEQPNFAALDDEIDSIMNVPSPDTAKKKRQFGIRRGLAEHTEH KPTNVNRRDTGFGRPSKASSAAASAPIRKKPRSMGNHSWAHNDPVPSFAVSAISTSST RYSTSNQFIKQPPSHHRQNIPSSKIIPGHALMRTEATRQTTAALPPATTTRAATGASY SKPHKNLMTVSRPVQPGILEAYSGGKSWTPHHAMSLTPPGKKPPAKSIPSTSRRRSLT PAAASTPDNDMCDTRHPLRQKRVPSGPPRVTDDMFYHDAPTVSRRIIPASAYGATSSN RVADSKQNSTTQPNRVADFEQQTKRNSGGTAEKPIHLSDSENEDDNTPIHEVTTSTTS TSSTSAPDNWVELPCQWICYQNDRLRHETLHFTHHDMRWGRRHVVLYTSIAELLRAPS FFVVVLKSDQFVVVQPVGSDTSAAAMERLRETLQWSWRDLRDTEYAKYNNMLLETTNT KKARPSHRVAEPSPIVLVYPLPPHVTDVISITQNDLDRLQPAEYLNDNLVDYYFKWLV AVDLPASAAYCTILSSHFYSQLRDDYNNVATWFSKDLLDNQLIFVPINLQMHWSLAVV LYPKHFTSSTRSSDKPPTCIATIDSMGNYHRKPKITSHLKTFLSLHSGIQDAAILTEK LRGPQQNNGFDCGVYMLLAAQHMLRTFLALKEAGVEFPDLQDLLNVDAFNQVDADKAR RSMLRHLEQHAAEYARLMDAE H257_13425 MTEQPNFAALDDEIDSIMNVPSPDTAKKKRQFGIRRGLAEHTEH KPTNVNRRDTGFGRPSKASSAAASAPIRKKPRSMGNHSWAHNDPVPSFAVSAISTSST RYSTSNQFIKQPPSHHRQNIPSSKIIPGHALMRTEATRQTTAALPPATTTRAATGASY SKPHKNLMTVSRPVQPGILEAYSGGKSWTPHHAMSLTPPGKKPPAKSIPSTSRRRSLT PAAASTPDNDMCDTRHPLRQKRVPSGPPRVTDDMFYHDAPTVSRRIIPASAYGATSSN RVADSKQNSTTQPNRVADFEQQTKRNSGGTAEKPIHLSDSENEDDNTPIHEVTTSTTS TSSTSAPDNWVELPCQWICYQNDRLRHETLHFTHHDMRWGRRHVVLYTSIAELLRAPS FFVVVLKSDQFVVVQPVGSDTSAAAMERLRETLQWSWRDLRDTEYAKYNNMLLETTNT KKARPSHRVAEPSPIVLVYPLPPHVTDVISITQNDLDRLQPAEYLNDNLVDYYFKWLV AVDLPASAAYCTILSSHFYSQLRDDYNNVATWFSKDLLDNQLIFVPINLQTSHRHALP RSIPWATTTANPRSRVI H257_13426 MATHCSCAVGGAGTSSSGSPRLRSCCRRSAMNDDTAAFLAKASV LSTRSSKFKPGYSSYAHSLYAVMSSRNASPSFPCVTARLKWVGNVEYTKDNPRGPSKC CSEKVARFFVTLSGAGSSSLFSSSRGASSSVISNARSLFFDALSP H257_13427 MASRRGVPMLDTASAAAVQQKNLRIIETFIASENSRELQLAQCK SVEKRGLLEMEFALARAHESKLLHRMMRKGDDSDRLEDEVHAMVVASSKRMHDIEAIS SLPRSPFVKKKPPKRRVAAPATSYTTAMPAIKPRLLSMKAAPNSSKPANSSAKPAMPN RTKPAPQTPTKSGTAPRHTYAAHTRSQESEIIAAPTPHLNPSIETSLDNPPHFDERST ATCHLRPATPSSTTGVEQTPFECFFHAYMGTPSELLFSKVKEVVLDVEGFEVRVRKKP SATPVRPFTADATASTPGVRGVRALKVQHARDVVVHGDYERARTEDTATVQVPLDMGC QDALQVPDDTGLHVTLEVPVAANERQVRADKEGFDEARPVHAEFQEVLQIPVSTQALQ VHTGLQKAPQVPADTRLQVAALQGRQNLQLNPPTPDSSTLSSFSEDGSSSAIPSAALE NLQLNPPTPDASTLSSFSEDGSLSTIPSAVLDPPDQNDTPTLTIVDVALQVPAIETSS PGATTSTSQNVSPPMLLLQVHEVSESAVHSIVTSALHSLQSHSFETTYDDDDDTSYDV TPTLISPDKDRSSHPTTFAAAPTTQDLLAVAESFLPTAAEAPHDAQLEITSSGSSTSS STTSSTKDAAIQVQRMVRGHHGRKQFRATLYREAQSCGVLGAMPGTTQGATGWYQEQT TFTAHYFVVLPSGEWRPKVQVKCPHMILTPYDMARHVHSVINVVDNID H257_13428 MLPAFESWLSTAFPASPPKKGSKGAAARLLKPLHRRPELNQNQT NQTQNQVHLLRRQTASLPALGQTRPPDPKLQQLARFHSLVAECSYESTTPTSSSTTSR TATTSGPRLEFFTALTSVHQQDIGMHMPCTFVCDGAGSFTLLYTVTPTILQVKMYPST VAVTKDAHRIVNLLSRRNTSDAQTVLACYKVPLGPGNDIHFLHSKLAILQHLETIAHN PTQVAALQEYIQPKGSKAWLVRSVWQPKHPFVWVLTDQNHHFTNTKCMDSCHIDKSTS SSSWPVPKGLTANLVRVLEPTLNVKFQQVVVDLIQNDQGQWWLIQVKAFRCKRATDYL FVNFCVDHFEHEWDHHLTSLHPKDRNRLYNQVLFCDTCYPQYAAQKTPVLCPSVPPLL PTTHTRSPANMQPTTRLQERADNTNALLASLEAALIVPDAIQSPECDQSSQPNDLAAT TTVCEPQITTARHCSSMIERKRLLPHDEPHDAALEPSAVPGTGSTTPTVAPQLMATNH TTQLTSPEVVVISALPAPKSASQHLDTLWSKLAPPPLHPQQPPLSTALSSTTSTTSSS SSIVVLPQETVDVDAARIFYDEPYKNQVVSTIRSHFHSMHAVRVACRSPDLATLALHT LFLEIRDECIEGQYGLFNGAFPDNTTSHTFTPYYTMPLCRP H257_13429 MAALVAMEDVRAYLQSQESMEAQFEEDVARNPYSIETWTSYLNL LHTKPSGHRLSKERVALFRRALSYVPLSYKLWKRFLDESYALVRGLRIDRPELVELTL LYEDALVHLHKMPRIWLQYLSLLDHLRWGTRTRRVFDRALRALPITQHKRIWGPYLAF IHAQGVASTAIRVYRRFLMFDPSGREEYVRYLLSMELWEEASIQLVHVLNQPHPPSSR HALWMELCSLISSHPDDISSALNVDAILRSGVHLFSDEVGRFWCALATYYMRLGMFES ARDVYEEGLAAVLTVRDFSLIFDAYVKFLEALVTAEMQDPTSPELSRTLHLYEDLAER RPLLLNAVYLRQNPHSVAHWHQRIALTSTSASTTSSTPSPILIVQTYTEALKTIDSTL AVGALSSLWISFASFYEAHNDVANARAVFEKARHRLASSFRSLDERAAIVCAQIELEL RHGAYDAALEFARAACRQKPVMRSLKLWHLRVDLEESLGDVPSTRSAYEHLIDLKLAT PVLVLQFTAYLETHEYFEDAFHVYEKAVQLFPAFPHAKPIWTAYLAAFTHRYQGTKLE RARDLYDQVLNVAPVADMADFYKQYAALEEKYGLWRNAQTIYEQATTKVESLPHVQLE LYQFYIKKAQQLAGVVAVRAIYERAMGHLPNETVWQLGLEFCRFETLLGELHRARAIY AHIGQCCDPRQHEDSFWSKWHAFEIEHGNEETFLDMLRIKRSVQMLYATVNYIGTAAG ALNMVASSTNQAAPTSITSSSTIVPGDAMETLEKEDQTTNDEEIDIDEQPVPEAVVRG KVF H257_13429 MAALVAMEDVRAYLQSQESMEAQFEEDVARNPYSIETWTSYLNL LHTKPSGHRLSKERVALFRRALSYVPLSYKLWKRFLDESYALVRGLRIDRPELVELTL LYEDALVHLHKMPRIWLQYLSLLDHLRWGTRTRRVFDRALRALPITQHKRIWGPYLAF IHAQGVASTAIRVYRRFLMFDPSGREEYVRYLLSMELWEEASIQLVHVLNQPHPPSSR HALWMELCSLISSHPDDISSALNVDAILRSGVHLFSDEVGRFWCALATYYMRLGMFES ARDVYEEGLAAVLTVRDFSLIFDAYVKFLEALVTAEMQDPTSPELSRTLHLYEDLAER RPLLLNAVYLRQNPHSVAHWHQRIALTSTSASTTSSTPSPILIVQTYTEALKTIDSTL AVGALSSLWISFASFYEAHNDVANARAVFEKARHRLASSFRSLDERAAIVCAQIELEL RHGAYDAALEFARAACRQKPVMRSLKLWHLRVDLEESLGDVPSTRSAYEHLIDLKLAT PVLVLQFTAYLETHEYFEDAFHVYEKAVQLFPAFPHAKPIWTAYLAAFTHRYQGTKLE RARDLYDQVLNVAPVADMADFYKQYAALEEKYGLWRNAQTIYERT H257_13431 MQALLRVARSAVAAPALKMPSAAAFSSFEVASRGFPAQQAPVRP PILFQADDALDAVLENLRLSSEPIIGMQLLGRNSRKPKKANHGKRPCSHVRRRSKRLK H257_13430 MKSSAPMFLQKLFDMLHAMPSFLGGWCHGGSAFEISAPLEFARL VLPQYFKHSKYTSFVRQLNFYGFVKGAKVSDVATSVVFQHVHFVQHKPHLMRRIKRKT NHSNEAFNHDAVEAVDELRRDVEEIKATLSGLDAQLKQLQEVVKVTMTLLEPFQFKPP PPLHQELLEEAIAYYMPAHSEDDDWSRSSSHHRIMHQEYGRLFV H257_13432 MFVKKLFDMMSMTPPSVGGWCRGGSAIEIKCPVDFAQLMLPKYF KHSKFSSFVRQLNFYGFQTCKSDVVLLIAHESQHKTLVFRHPHFNQHKPHLMTKIKRK TNFSEICDTVDEVEELRHDVSDIKATLVALSTQLSQLTQMISSVQQKPPPASYHPLQI RDSLIEALEFLAEDNAAADQLQDPSALAPFYVQCDGVDAATGYQC H257_13433 MVERLFNVAKYIMTDHRKHIHTAASYCITPPYVGGWCRGGSAFE IKCPVDFAQLMLPKYLCKSDVVLLIAHESQRKTLVFRHPHFNHHKPHLMTKIKRKTNF SEICDDVTSLGEVDALRDEAAGMKAALISLSSQISQLAQLQ H257_13434 MAHTDKRRNWSQEDDLTLLKQVAADTPFAAEKGQLRKAWQGLAE TLMPCENFGRVVDGKKVQNRFLALVDEHRKFDATSARLSGSDQEEKEKHMLLDDIITL LDDVKAEQQKTEAQKRSQDQDDKDKVEQGGLIVREMAMKTMKRKCDPEGDDPKRPALE NRRNSLAAAILAESERDAVAREKQLAFEREKFESELQQRQLDREDRKAEREHQLVLAN IESAKMTNIIKVLLDSKK H257_13435 MSTAESDLALRVYKWEKRKKLSPATTTMLLEFGLGLPVERPLIP VVSFDINMRDADAVLSFRFDVSGVLELTSLLGVPNVVITSSRDRVTGVEDMAILLKRL RYPITFYDMLSTFGRSREQLRRIFNHMIEFVYTSWRDLIYCNKRIRIRQWSSWIELGK RTSSGHKRMHCLNFQGLTTPDGLCIHFYGPLEGSRHDVTFLRISQLQEYFEANSNIFH GYYIYGDPAYLISKWIVSGYKGNNLDEQNNASTPP H257_13436 MDSSKPALFLRKTWAMLEACPPHVAAWTNGGASFVIKLPKEFAA TMLPKYFKHRNFHSFVRQLNFYGFRKAKTDVLVVALLANEVKTSSSWEFHHNLFHQFR PHLMAAITRKTKKTPHDDTTRNISTYDQTKHELRAEVGSIRAQLATLTDQVAYLTRLM DEQQHQHTSDHYTYPCYDTQLR H257_13437 MNARRKVSSAAIFLKKTYDMIDTAPSSVAGWSDDGGSFIVREPK EFAAYMLPKYFKHSNFSSFVRQLNFYGFRKTKKEVLLVALETDDLKNSWEFHHELFHQ HKPHLMAKIKRKTNYTEQFSDATSTGDDIDDLRNDVTEIKTHLTNLTDQIASLSRLVH SVCHDSLKRSRDDEEPSFVAEKPPPQQRRVMYEQQQFVDNLQYVDVIPFDMLAAPGAH TYATASDMQLRQTLLDAFAYPKPTSDDDDQYRIAL H257_13438 MEARVMQVLKQSSLHIPSQVLTDQDVADRIRLDMQKRARKVRDH GVFAYTQPIAPTQNVNKGFLLNTIKSVDTHNQRQEVDACYRKRQLEQHLDDNPRRQRQ RLDTRKRSRSQDRRPPSRDITASDHVHEREFWAARKALKSQAILDKVGDRMCSVDNAP AYESDEKAAKKHKKRAKNAQKKLKKLKKKRKAD H257_13439 MMAAGSSKSTSGSTSSSHSSVASRDSRRERLTEYLASRRVDATK SSTITTSRPTSTYPSTAGVSKVTMDRLNRTKINAAQPTAASSRHEKTDTFGESTYSAG PQRSTMEAKPTVPPTPTPKLSAHSTFSALRKSSKTHVPTEPPSAFPPPSSSSVPASSS SGGFDDDDSFGPMKLTFDSTPPRKPKSSQPPGLLSHFKAKKTPTGASAQKALSFHPPS FPRDENETEREFSTTTSSSSSTASTSTSTTTTLHHTIPPSAHFKPHATPMKATAIAST SSHFKAPAAVAPSSHAYPTQDGIVHQVPSSHFKQPAQEVAPMCQAGVIDLMQKPQDIP NTSPPSNAKTTSNMLRKSPTVNLDTTTTTTSNSSTVAGTSTNNDDVPPWFKAAPVAMA TTTSITTSTDHPTPFPSRLQEALRQHLDEAIYTSKFSLAGARVVFADIPTHLPLAPEK AIFWLAKANVEEQHKAWTTAAAMYTEALHVLSVPLERLIVEAAFMEFQCRLKLTSPSS PVNIVVPNMKTELTPEKQREFCAHLLEGGTHEDSFIMDDPSARWLH H257_13440 MAAQMPEFKLILVGDGGVGKTTFVKRHLTGEFEKKYVATLGVEV HPLKFETNFGPIKFNCWDTAGQEKFGGLRDGYYIQGQCAIIMFDVTSRISYKNVPNWH RDLFRVCENIPIVLCGNKVEVKDRKVKAKQITFHRKKNLQYFDISAKSNYQFEKPFLW LARKLVGDNNLTFVEAAAIAPPEVIMDEAHKRAMEHELAAAIDAPIPDEDDDI H257_13441 MPRLRSQSSCSTADTTSSSMPDLEDGAKDSSGKNKTLRHGGWRR WHVRTSFVWGVWAVVFAWGELGAGMYAMHSCDWAYGDRKEYHLAVIADPQLTDFYSYD MVKGSWVLWLTEFYSDLYMRRHFSLLARKANPPHGVMLLGDLFDGGRVLSRDEHAMHQ QRFEWIFGGYPHIQFWNMSGNHDVGIRQWNSKYANKRHQDTFGLTQYAVVLGHVEIVV VDAIGLLSNDDAVRADAMTFVQTYGSMKAKRQFPRLLFSHIPLFRPPHSPCGPRRQKA PIAPGRGVSYENVLSPELTAMILDAVEPIHVFSGDDHTPCTYHHEAFNVTEDSLATFS WLQGERHPELSMLSLQGSPYTSPSMHIHTCALPDQMGIYLGYACLGVVSVVYLALGRH AHVPSQKRSVAFSCAVIVAPILAWYCVLLMLSLL H257_13441 MPRLRSQSSCSTADTTSSSMPDLEDGAKDSSGKNKTLRHGGWRR WHVRTSFVWGVWAVVFAWGELGAGMYAMHSCDWAYGDRKEYHLAVIADPQLTDFYSYD MVKGSWVLWLTEFYSDLYMRRHFSLLARKANPPHGVMLLGDLFDGGKYIHQVIPSAHV VPRVDVGRVLSRDEHAMHQQRFEWIFGGYPHIQFWNMSGNHDVGIRQWNSKYANKRHQ DTFGLTQYAVVLGHVEIVVVDAIGLLSNDDAVRADAMTFVQTYGSMKAKRQFPRLLFS HIPLFRPPHSPCGPRRQKAPIAPGRGVSYENVLSPELTAMILDAVEPIHVFSGDDHTP CTYHHEAFNVTEDSLATFSWLQGERHPELSMLSLQGSPYTSPSMHIHTCALPDQMGIY LGYACLGVVSVVYLALGRHAHVPSQKRSVAFSCAVIVAPILAWYCVLLMLSLL H257_13441 MPRLRSQSSCSTADTTSSSMPDLEDGAKDSSGKNKTLRHGGWRR WHVRTSFVWGVWAVVFAWGELGAGMYAMHSCDWAYGDRKEYHLAVIADPQLTDFYSYD MVKGSWVLWLTEFYSDLYMRRHFSLLARKANPPHGVMLLGDLFDGGRVLSRDEHAMHQ QRFEWIFGGYPHIQFWNMSGNHDVGIRQWNSKYANKRHQDTFGLTQYAVVLGHVEIVV VDAIGLLSNDDAVRADAMTFVQTYGSMKAKRQFPRLLFSHIPLFRPPHSPCGPRRQKA PIAPGRGVSYENVLSPELTAMILDAVEPIHVFR H257_13441 MPRLRSQSSCSTADTTSSSMPDLEDGAKDSSGKNKTLRHGGWRR WHVRTSFVWGVWAVVFAWGELGAGMYAMHSCDWAYGDRKEYHLAVIADPQLTDFYSYD MVKGSWVLWLTEFYSDLYMRRHFSLLARKANPPHGVMLLGDLFDGGKYIHQVIPSAHV VPRVDVGRVLSRDEHAMHQQRFEWIFGGYPHIQFWNMSGNHDVGIRQWNSKYANKRHQ DTFGLTQYAVVLGHVEIVVVDAIGLLSNDDAVRADAMTFVQTYGSMKAKRQFPRLLFS HIPLFRPPHSPCGPRRQKAPIAPGRGVSYENVLSPELTAMILDAVEPIHVFR H257_13442 MHLMYYTGADGKRCYTLKKEDPTGKPSHSAHPARFSPDDKFSKE RITTKKRFNLLPTQHPDPLYQ H257_13443 MEQPSCGNASSSPPRPFRSRVLEELTRSNDLSNALTPHMCFQVL KALLPSTLVATMVDEMNQFIYKCPHDDNNRSNSGVHLAHKDLYVGLLAHVEDKKQQLV DLRQKLAAKQHQNVELAVEIAMYQDKLEQHYATFDEQAALNAIATLKHRRVLRERQCT GLMKTIDEKQDRLLEQEKAIKAELDRLRMDDCAYRLNAKKLKENQQLLESMNTQKRPD MNAPTETDLKCQIKALQADIASKHPKLHDLSTQIFAVRFTRAGLESQLQAVEGKCEEI QAKVTAYQRSHTPRPNWDALRGDVEYTFQCHNLAGDANISTAALMKMQSSAHRVEYLA AAIELIGLSQDTDAIDREKRVLHHLQVQIRKITGLISTRRQSMNVSTQLLAKMSASTR NGGSTAAEGSSSAVDAKKQHTS H257_13444 MTEAKTQDAPTCHLGNMISNDVGGKKHKAAAKKPGMKKAAMFQC VQDNLAEITEIKAELDKLAQERRFMCRDESIVHVLHLSKQ H257_13445 MGYIVFSSLLHVIFYRRGPVHVRSVHHGSLISFNLIMAAAYAMV STELHVRGLSLMQFDAVWPSWLAITGQVVGAMLGANHVEYYWHRLLHSRFLYTRVHKV HHHYKHPHPFDDMYMHPVEGAAYFFILYGPPFVLPMHVVSFVLYMMVMGLFGVLDHSG VSFHLPYVYSSTDHATHHTKVNSNLGFPFPYWDLVHGTYDGDFGA H257_13446 MRVLPVLALCALLAAVSTVDGRDSGVLVVSGLYADEFQSSSSNH YYVGSDNTAIESSDVVELARRKLLSHADQPVHHHTFRGSSHPSNKEFVPLVAAASRVA APYVVRAAAPMARAVVQRAMGPRGVQLGRAMLRNTPKALNAVNKVAASVHRGANAVSG VSDSVARVNAKVSAVANRYAGDSGVVGRLARGVQDGSHTVREYNSHVGSAAKAVRKYA GHVQGATRVLGRGAINLKSAFGVKSDGRITNPQVKVTQSKRGVTNTGPKKTSRKKSAT TDANRLSIGAKKSAVKKTLGGAKKTSTRAKKTSLTTSATRANQPTKSAKSSGTALSVA TSKSGALSKLVHIRSKLGKSVQNGLETVKRGSEAVQRGAQKVTDVVSGVARVSSTVGR VNAQVARAASKLTDGPGMVGKAARGVKSGTEVIGRVNGRVGKAAKTVSSAASQVKRAS SKVSKVAGGLSKAIGGKPAPAKRAPTVAKRAPTVAKRAPTAAKRVPTNRAVSRSTPRS GGRRGKL H257_13448 MARLYSLLALDLDGTLLNASSRVTARTAAAIQRVAATGAVVSLC SGRSIACMADSERQLGLAHPCTILSCNGAAAFDSHRRPLYVDTMSVDSVRSVLRAAED MHRCVNLYDEVRGIIHVRPSHPAHHDLIHRYSTRTGAQFNIVPAYDLDAVAPCQVAVL GDDPAAIHAALVDQVSADLQVNSYSYFVECVPRHINKGVGLHRLAQHLQIPIAETVAF GDGANDLEFVEAAGMGIAMQNAIPSVKRAANKVTEHSHDDDGVAVELEGMLARGLFGP RLEQVSSYLG H257_13447 MSTTLYGCIEICFNTLFKINSHVQTHHTIPRQVQSLHNFAGRVS EALHDSIEVDRRHFPIMSVSGFTSPFVPLLGPTLLQKGGKEVSTAELLHGKTVGIYFS AHWCPPCRGFTPELATYYKTLQASGKPFEIVFVSSDKDQASFDEYFGEMPWVAVPFAA RDVHSALNSLYKVQGIPTLVIVDEHGNTINPNARSKVSQDPEGLHFPYIPKTLPQILG NHFVNRDGQSFSAADLKGKHLGLYFSASWCGPCQNFTPVLAEAYAAAKAAGNELEIVF VSGDRSQGDFDGYLKKMPWLAIPFESASAVYEELGEVYDVEGIPHLVVLGPNRGPTRP VVNKDAVSVVRSDPKGFPWAPKSVVDLSEGASSGGFSINEKPSLVVFYSSLSEAEQVA VDATLKTLAAESSKGVVCQGDVCTVSDEPAVIFFTSKDKESGIADQIHNLTKSESVHG PHAILLDISERKFFVHTGAIDAAALRDVLAQFQAKTLALQALEL H257_13449 MSVFGFTSPFVPLLGPTLLQKGGKEVSTAEILHGKTVGIYFSAH WCPPCRGFTPELATYYKTLQASGKPFEIVFVSSDKDQASFDEYFGEMPWAAVPFAARD VHSALNSLYKVQGIPTLVIVDEHGNTINPNARSKVSQDPEGLHFPYVPKTLPQILGNH FVNRDGQSFSAADLKGKHLGLYFSASWCGPCQNFTPVLAEAYAAAKTAGNELEIVFVS GDRSQGDFDGYLKKMPWLAIPFESASAVYEELGEVYDVEGIPHLVVLGPNRGPTRPVV NKDAVSVVRSDPKGFPWAPKSVVDLSEGASSGGFSINEKPSLVVFYSSLSEAEQVAVD ATLKTLAAESSKGVVCQGDVCTVSDEPAVIFFTSKDKESGIADQIHNLTKSESVHGPH AILLDISERKFFVHTGAIDAAALRDVLAQFQAKTLALQALEL H257_13450 MGKQSSSVGDGGGAVSSSAIGKRTWREDVGVFVRFCRLRYLAYS VLVHLMGAMAALADDKVDVTEFNAVAFVKVQLILWWVHVVTHWSNDYYDLGADSLNTK RGTYNGGSGVLVDGLITPAFAYYGAWIGTIVTAGYVALLNAIDTESPFRGQMAMLVAA AVGISWQYTAPPLRLQYRGFGELCIALVFAVLIPLVGAASQIGTGLLYVPVPLRPVMP LLALQQFARMIIMNAPDIDSDLVAGKLTFTARVGLRRASILYTLVQVIMTGLPPILVA YGRMSVPVAIAFAVVAPQGWVIANDLHRNNIAKLPFMGTLHVASQAASLLVAISCLAY QRSTPHHHGQD H257_13450 MGKQSSSVGDGGGAVSSSAIGKRTWREDVGVFVRFCRLRYLAYS VLVHLMGAMAALADDKVDVTEFNAVAFVKVQLILWWVHVVTHWSNDYYDLGADSLNTK RGTYNGGSGVLVDGLITPAFAYYGAWIGTIVTAGYVALLNAIDTESPFRGQMAMLVAA AVGISWQYTAPPLRLQYRGFGELCIALVFAVLIPLVGAASQIGTGLLYVPVPLRPVMP LLALQQFARMIIMNAPDIDSDLVAGKLTFTARVGLRRASILYTLVQVIMTGLPPILVA YGRMSVPVAIAFAVVAPQGWVIANDLHRNNIAKLPFMGTLHVASQAASLLVAISCLAY QRSTPHHHGQD H257_13451 MQPSLFSASPIEAAFARQNARIAARSVAGEGGEGAGGDCVGGHP RKRKLQQVVTISDRVRVMRWMINDALENGEKGVNVRTIAKFPAQFRGEYKKSVSGLGN STRKVAVLKAVSGRGPKTAPWVLQTHDDLYLEFRRLKALGVKFSASLLRLIAKDVIRQ SDLVYNSAYIDQMDQKPIMEKITPRWIQLFMERYNIMYRSQTGKLLVSPQKLDHIERS VAYHFGVLHRGFESGQYD H257_13452 MSNDASPSPIAGMTLSSVMRDIKDKFKNEDEDAATSPFQNLDKA TVLHETKIFSDAQMVMKQPRKCCQLITKLLHILTQGEPFTSNEITDVFFKVTKLFQGK DANLRRMMYLFIKEVADATPSDSVIIVTQSLSKDMNSDTDLYRANAIRVLCRIIDTST LSAIERYIKQAIVDKNPLVSSSALVSGMHLMRHSADVVRRWTNEVQEALNSPTDMVQY HALALLYQIRQHDKLAVSKLLSQLHKTPLKSNLACCLLIRYSASLLADDVAGTNSRPL YQFLEKMLRHKSEMVLYEAARAICSLPLDSRDLAPAITVLQILLSSVRPTLRFAAVRT LSHVAHVQPMLVAKVNEDLETLIGDSNRSIATLAITTLLKTGAESSVDRLMKQINTFM NEIADEFKIVVVDAIRNLCVKFPQKHRMLLAALSSFLREEGGFEFKKTITDAILEIVT VLPDAKETGLLHLCEFIEDCEFTLLSVQILHVLGVLGPSTSTPSRYIRFIYNRIILEN ATVRASAVSALTAFALQVPELAPSLRTLLQRCLVDDDDEVRDRATLYLCLTEPAPESA IPFSVHQLATSLEQYALRPSDGPITIYSLPHVEPDKTAAIPSAKMTTSALPPVDPAQE LYKIAAFAALGPLFRSASPVELTEAETEYVVSVVKHVFQRHIVLEYVVRNTVPDQMLL DVTVNVELDDDVTCFAVHSTVPLKQLKYGDVGSTYVCLERVADDVVTVSQATIANELQ FRVVDVVRGQPDSGSGYSEEYPMEDVEVSGADFMAKVQVPDFRSAWEHIGDEHEVREQ YALRFQSLVEGVGAVIEYLGMHACDHTAVPAPHATAHILLLSGVFVGGVKVLVKCKLS VDTSNRDNGHMLLLQMAVRSEDAVVSQTVSDCIR H257_13453 MVTGVDGMEPYAAGTEFVGGLCRDNQLKAKAAAKPANGNVNVME ATPMEPNGTGESGCDYGTQQSFDQRKGQQEGATHGEVNGEQSLPDAWSQARRELLQRI QLDYPSGSEEKTKDDPNVASRLLLFYIRQYGIGGVAMYADRIAASLHLAVDQELYQPP KRPAPDASINVAKRTKRALSRRTHRSQNKQAVLDGQRLYREKQRVVKEKIAVSAAKKK EYRQTKRAKKSQMRQADEQPQVEDFLMEFVPAQHPIIPEGPVLSRGEMAALDVELNTR VDFSH H257_13454 MATLPPDPGKHQTIPPTLSNTMPTPMATVIVHRNGVPTAIQVPA TGIIRQPHPSSSENDSSDTTDSPVDPPLTARQLRSKRAADTFLARHDRTRNPRPAPRT RHTHKNPRPTRPDTPGSAPDGLSEDDRPIRARQPRKPNTTYHPGQAQSLQSRPTLPSA PTLTPVQPSRSAKGSSTQSSLPDIWRRSPPTTLAPHPITLPTPTSYITTPISAPITHC HGPPQATIPAIPTLGTSHLPQTSHKATASSSQQTRSSTIHTPPCECNPYSHTQLTAPW PAPSDVQPTTTPAPAPGPACPAPATPPPTITSPHVTLWNTTYPPQADYRSPSQDTSLG SLVPIHRDIPLHNAIAGALGTLRLTALNMQHPEPIPTQSTTHPTFEDISAPTPPGPAH THPFPHTGSSSHPTRPPPEVADTTIDDDDQEEYDANTALQFPGIRNLHDEGQPILYRT MQRYWEREAHLFQGFTADDIADIERHFADAKVRIQFSIYPSLQPPNDQLSIINYRREI EDVCQERFGLTFRGGLLEHGQQLLGDPLQRTIQAWAAPRRGYLFLRDISVVMIYQYAG VLDNGLSFHQLEYRNPSKTSPGDLMCALRALGATDAIVQSHTRMSGTHGPRDHLAAIG CIKWPSEGHYRFRLVFPSQSMAETVYANFRQHMAGPDRLDLVPPSMKLTPLRDLCWDN PTSTFFHPHATSAATLVDTKVRIGRLPPLTTTDDILAALRGSHLPTPDVDITGDDYVT LTFDTPAPIAFLWSTSGPHGETRLYIRDIAVHLHILTGRPRRSAAPLQCRDCGRHDHQ GRPCDRFTYLDPRDRARSNSQHTTTTRRDLSSTDTRTRSASRHRHAGHHSHSALQHPA AEGQHQQHQPQTQAWQLPLQRHPQQVHGHTTDLNLYLRREISTYVDQRIVSATTPLRQ EVESLRADKEALVALVSASSAAFSTLDARLLEERRLREAAELLQAEDNRLSAEAHIRL NTVVTQHESQQAALTARLPYLESSVHTLLQAMQSVSSQMSALAGLGPLPATLTPNDKN QTTPWALSFRTRGRQTNPGVLGQTNDTSATPLVWTVVAPQLSALDLLLLPPGRCHPLS ITDITPTTIYPHTAPAFSHLHDPYSSDDSNNSELLDARIAEALQTPLPLSTPDINPPR QRRRRRAWRIHRLPLPPPDADTARHQLDNILRRESLKGEAYIGDPGQPPPPGLLLDSL RIAATNINKNTYGKLGDELATWFRANALDFLIIADSDLPAHKATHLWTSTPSGSPTPH LMAVSNHRVSVLYDIQRWHSRIDARRTTYSPSGRSISICIRLGKGSLLTLIGTYCQDS PASHRETTEQEWQWLTQATTKIPGHHHSVIMGGDFNTYGTNPLDRSAPQLRTGPSLGI GTPTDNVTPCLHVGGVP H257_13455 MTGDSVKRVSPRSTCRPWWITKMKFMSYSIRSGSQNDKVLFRES RFGKTCHTQVPPGACFLVDGGYKLYGHLLTPYPLRFGIEGDEAHYTLRHSRTRMAVER AFRLWKNTLRIFQVNILRGSPEEMVILIKATLVLHNLFIDEQSLEAGVPDVDDYEDWM HIGGDAVH H257_13456 MVVAFGDMRGVGDIEFGDEDTFQGPDDEMRYEKRKAVEDLENQR QKRTRAKAKICAGLVSLGDSLAKGLIDAAAFVQLPTRVLQDLPNFCRRQIMYWQHQVP H257_13457 MLDDDTLPLVKPVSPLVSPPTYRASGTAWSAYVSLMSTMLGSGI MTLPSAMAATTPLPNAVLLVMTGSMALMSFRTLCLVADATEAYSYEAISTRVFSPSQV WVLRILTVLPCFGSMLMNMLVAVDLLLPFQALFSRTILCLVFALVTFPMCLPNTLHAL RMTNTMVVTSVLYIVAVLAGHAYGLPWPHDSAVERPLNSFSWGGVAYAIPIQIFSYGC HLNLVRTYGELEHKQSMRWVIFCIVSTGLALFAVASTSGYVIFQGHPPSNVLAGFPVA DISITSVRVVLGVSLLLKMPLAFHPLRDMLEVVVLPRLLFSTTAFSPPWFRVCITTIS LGMVTWIAIRTSDLGTAMTWVGTFDGVMFHFVVPGLFLVSTPSSQFATRLPRCATEMG WGVVCIGLYVAVASLSRLV H257_13458 MTNFTRREFDVLWAVAELPSKASWNDGRGCKSKTTPMNALFMRL TVLKHYDTWEKHALDFGFKAPTFQKLILRFIEVVMAVVYAEFVKMTDMSELRAQDHTF GNNPYALYATDVKFQPTERPAGRHGEAKPYFSAKHKLYGLKIEASVSPQGRLVDMSEA HRGAVADLTIMRSRIDQHVGALAKSDNKLSILDHGEQVDTYPGMRALLVDKGYYGASV DVRTIHLKKNPPRGILDSDGVVRNRRVSSDRVVVENFFGRVCSLWKVSYATFTSSTKF YDEIQRLTFALTNFHVSLMPLREADRH H257_13459 MIAEGQVARVVSVAVVAQVVMIVTVVVVITVATVDMDEMIVAMG VALVVETAAVIGRDNRGK H257_13460 MAANPKDTVGINLLVFNGKKDQFRMWTDKFVSHLKEMTVALQGQ WLASTTHDRPEPTIKFEDWLQGDPPVATDPDEKRAKWHQYYRTMQVQQIRTLLSKVLP DQFVQQMKESYSEKEPIYRLWAEIEKKYGVSNVTTMKTATRKLMRVADGDFQSVEALF GELRTLKHSINSHSQKYLKRDVVSDDLLILMVLGVLPSEFFGAQIVLDTASFRIVDVE AKLIGIFGSKSKRDIMGMGQSATSKVAGQLHRTNSVEVNNVANGKRKSSSYVTGGTGE CFYCFGKSNYLTGGAKHVKKGCPTRKEDFETRGFRSNINEPFKPKVKRHKAEVAVDLV EQFDRDAVGNGLLTVAAAITLRSVKVLN H257_13461 MAKTAVVLFVAAVAAAIQDKITPSVVQWLQQSSTANVAVALYFD SYLDVHSSLNNHAKCFSIDNIGGFQCNDLAKEEICSIAALPEVREIVVIVPSDSDFTP KPADALTTTAATTNLCPLNIIPKHNTTAAAPTTTASQDKITPSVVQWLQQSSTANVAV ALDLVSHVSGHTSLYNHAKCVTLDAVGGVFQCDALTKKDICSIAALPEVREIVVIVPS DSDFTPEPADALTTTAATTNICPLNIIPKHITAAATPSACDNPGR H257_13462 MTTMAFTDRPRATPFAIVRPFAATMHPTRTSLTTLATSRRLRNG PNIMQMTGHRRNTRTRCRARHPHHRHRLPKCNGLAKNTRQKGGQDADISPLDIDPSIT WDSIGGLEVAHPRAPGNGPAAAAVPRILRQVCTHGRPRACCFTVARRSRQLDQQPAHH VLHVQGRRLSEQMGRRSPAPAPRAV H257_13462 MTTMAFTDRPRATPFAIVRPFAATMHPTRTSLTTLATSRRLRNG PNIMQMTGHRRNTRTRCRARHPHHRHRLPKCNGLAKNTRQKGGQDADISPLDIDPSIT WDSIGGLEVAHPRAPGNGPAAAAVPRILRQVCTHGRPRACCFTVARRSRQLDQQPAHH VLHVQGRRLSEQMGRRSPAPAPRAV H257_13462 MTTMAFTDRPRATPFAIVRPFAATMHPTRTSLTTLATSRRLRNG PNIMQMTGHRRNTRTRCRARHPHHRHRLPKCNGLAKNTRQKGGQDADISPLDIDPSIT WDSIGGLEVAHPRAPGNGPAAAAVPRILRQVCTHGRPRACCFTVARRSRQLDQQPAHH VLHVQGRRLSEQMGRRSPAPAPRAV H257_13462 MTTMAFTDRPRATPFAIVRPFAATMHPTRTSLTTLATSRRLRNG PNIMQMTGHRRNTRTRCRARHPHHRHRLPKCNGLAKNTRQKGGQDADISPLDIDPSIT WDSIGGLEVAHPRAPGNGPAAAAVPRILRQVCTHGRPRACCFTVARRSRQLDQQPAHH VLHVQGRRLSEQMGRRSPAPAPRAV H257_13462 MTTMAFTDRPRATPFAIVRPFAATMHPTRTSLTTLATSRRLRNG PNIMQMTGHRRNTRTRCRARHPHHRHRLPKCNGLAKNTRQKGGQDADISPLDIDPSIT WDSIGGLEVAHPRAPGNGPAAAAVPRILRQVCTHGRPRACCFTVARRSRQLDQQPAHH VLHVQGRRLSEQMGRRSPAPAPRAV H257_13463 MQTRRRGGVKDDVGERVIEINDSPNVDILQRLPRRRQQTVRYIE SLDAYSHAPAEEEDPNSSHDETASPLYQHHPSARRTSSPPSADEDDDDDQVDGEVDDQ GSSDNDPDTAEDESESPSPDVKLERPESARQAHASSRRNVRSAAEPTQDEIRHSTTR H257_13463 MQTRRRGGVKDDVGERVIEINDSPNVDILQRLPRRRQQTVRYIE SLDAYSHAPAEEEDPNSSHDETASPLYQHHPSARRTSSPPSADEDDDDDQVDGEVDDQ GSSDNDPDTAEDESESPSPDVKLERPESARQAYIYVD H257_13464 MSAGSLRVATYMQEHPDDAAEIRDELKFWNEVMDHQVPMIKERA EATLPFLTALEWGPLFTNTSPIMFCPPGLDPLDHPSQTHRFTNRNNDDMTAHAAIQPK AHLFPIRHWLPSPTDTVWTPRPNMTSPKPSKTPTDVHTDYRKPLCPPAAHLTDSPYNR FIGLNTTDMTAYAAIQPKPHLFPIRHWLPSRNDMAWTPRPNMTSPKPLPTPTDVKTKY SKPL H257_13465 MNEPAADSERRNAAPQLPLNGNARGRSLMAGTPKAGHQSMAMEQ HAVDTTTLTFTRGAAESTSGHEDLSDVYFLVADFLRRATPCSRAASVLEEELHALGLL RTAVNWQGDERRATYADVHLRHRNLPATQLVSLLRRSTAGKADGLMRRQEVEASTSEE RRSALDTLIHLWRNIRTNERKVHNITLLLEKINLRKRHMSEPDEVDLVAEHRGAKQLV DLKTQLDRDMHTSRSVWRRVEAWGLTHAITTVTPTTNYFRHLRQRSVVGQSHHYPHPR SLHPLQSTPPKFVYSRYRKLKSLSGHLQIPVFCVTYDKTGKYIITGADDRLVKIWSAL TGELLYTLHGHVGNITDMDVHPSNGLLVTSSDDKTARVWEISTGFSIAVLVGHTSDVN TSRFHPVQNVVVSASDDGTCCMFRLPAVVAGPSSGGSESKTETVQRLFKQQAYCRNIK PFVVLNHTNAARLNRSSKVVSLAFSPRGDFVATGSQDGMGRVWSIEEFIAESTPPDVP EATTVDEVDAVVNSIPHQVQVSTANFVVQQRVVAAPDVPGAANPHWANSVVVSNPIAV MNGHTQSITTLLFNRRGDAIATASMKDGTSRLWKWSKKFGKVSHTILHGPEMLLATRR TSSELSTDQLIWTSDDSTLVTLHSRKPEVTGKWCQALRVWDPVACKPIRTLSHETLGH ANAVFAMDSHPTDARILLTAGYDGRIVIWDIQVGRILRSFQNWESPINTDGCAILDVS FSPSGDEFAATDRAGRLMLFGTGPGDAFQGTPTEQYFSNDYAPIDLDIHLNVVDRETQ VQPQLLPRSVLMDMGRNVYPHQPLHLMQPLSPREYQTNVDLRRKHTISTPYFNSIVDQ DATEPFVDANFVDEQPAKPLVHHRATAPVHAYRLNGDAVKASELRRRRQQRPAEDPTD RDTSVLNDVLPSSEDDDEDFQVNEAAQVEDDDDDEDEDMDEDDDAGSEDELDGDVVLN GSDSRALRSQRRARQSEDEDDVRPPTRRRRRLVARAQANVVEETKDDPSEPSPLPSDE NDAAPAGGAVDDYRENDYLVVESSEGVTVSGNLRDKFDETKPYSQMFAQKSSIVCGFC GRGDQGGMFALPGALMGDFALVNGAQRVFVHDQCAISSPLSFFHDGSWYNVAKELRRG RLLKCFHCGQKGATIGCNTESCKVTIHLPCGMVQGYNVDHVQYFCKTHAAKSAASHNH HPSSISPESFIRRMGHQYDRLYCQQSVVSHELALIPQVGDTLVYCPQGHAVFLRSFPS KFQAAFRRLPRPFAVLQCHVVDIQFDFPRVELYPQQNRIVMQLVLEVTGLPDVYFQDE QHCDVLAFGSFVPVNRSFVQDEAETRPKWRFHLQHQPSECAEFLLLEQKYLSGFQLPR WAVGATVQMAYHTMDEHGMIQATQMDTGRILDLSPLDPAVMSPWECIVVQWENEDTPC RVSPWELESDQTHFAPPTIPERPALLQGLMSIRQLSVAAGFNEPVPTSTPDYHITIAN PMDLSLICQRVESSYYRHVDALLADVKLMVRNCETYNVESSLIAQNARSVLTNTMTLL HQLFPSHAWLPDLTYDDEPVVEAGRVELPAAVATTATNHNIQPPARHRPLKRALETHH NASKRSTAERDQRLMQTMRLPVAQREAFQQAVAKGKLVECLIQVHTHAQAEDAYLIFA HPVTDDIAPGYSAIIPQPMDFATMKAKIPQYPSLSAYYDDYMLVVDNAMTYNQPGTLV FTEAKRMKTVLSKCFGRVLGGQKGKAKAKARKYDSDDDDSAESSDSSDALSDVEGEDD DVEKSASSSSESEEADEDDEDSFGAGQRRK H257_13466 MDEILQATYFTSMIASKTNAALSADSRAAIDDIQSYCHELKCCK RPTKFVKCLKDLFLFEMLLGLLDSLLLEPSVPVVASAPPEITKAPNRMVQRKTADGQN LWKPFYLDDEPGRRPPTSTPSSKTLPKSCHTELATQVLQLWLALVQACTTLSVPAVYF DDIKHHILHPRFSLIFERWLYNPTAAHVSLHVDLCAALVAIIVYLHNHPFFDASCFLQ AVTANKTIPIRTCLSSFFEVVSSFPPHHTIHKTFANSCCLEIKFVRPDDLQPTPAPIG TYQQTMDALKFGSYAFDESYFKTVTSKPPSNRVVVPGAMRRLNQELAALPDNLPLHEG SMIALRVDEEHPHKLSVLITGPSKTPYDSGCFHFLLVVPDTYPQAPPTVKFMTTGGGS VRFSPNLYNCGKVCLSLLGTWTGDPWNPVTSTILQVLVSIQGAILGAELPYYNEPGRE RQWSGAVAQLPRDVRVNENGGLEPLRLATVRFAWTMAMKQPALGFESLVLEHFWLKRH YVLGTMKLWLEEARASDTPNYYDDMCRRVGECLDELTPLVEVRATKLELAMYHEATAP LKARFPVVLC H257_13467 MAEVTALTKRREIVRNSMRRHRAMNNRVMQDLQEQVAFLESVYN AHVASSSLDKWDARLDRLAASTQFYLHQNNLLLDALRRRAAMNESLLRMMPRLTDMPS LLYDDQFIQSHLDELKSTLLTSSDYRSFPSVANTSSDSTDGWKSATAATKSSVQYKST KVCRHIAAPAVDLWLQMWTMYANVQAISKMGKTVTSARLVRLVDLDTVLFEVTMAEQP RVVLLHRFHTGSAFYFARVVCDKAAIGLGRPHVYHMNLLELEDQPRRGDVSVMSKGVV SLDVVGPAHAHAVLAQNVFQLCTYERHFPHDANEVRSGTSSTGQV H257_13467 MAEVTALTKRREIVRNSMRRHRAMNNRVMQDLQEQVAFLESVYN AHVASSSLDKWDARLDRLAASTQFYLHQNNLLLDALRRRAAMNESLLRMMPRLTDMPS LLYDDQFIQSHLDELKSTLLTSSDYRSFPSVANTSSDSTDGWKSATAATKSSVQYKST KVCRHIAAPAVDLWLQMWTMYANVQAISKMGKTVTSARVICHHTLCYQHDFFVAGSTC GPRHRSV H257_13468 MDQPTELPPTITSPSASPSHLSSSLRQPPSLQLLQEKMGPKKWE NPLVPEATRAKFRDAEQLDVFQFVDTEGLLSDADVQTLLDDVEDLDLAFIKQIYTESL LFDKGARASLTNCSIEPLDEFDSVLTSTPETIAAWRALGYDAINRGEVAAMILAGGQG TRLGFDGPKGMFDIGLLSKKSLFQLFAERVLRVQKNAKKHGRVAHDPVVPLFIMTSRM NHDTTQAFFEENNYFGLKGAQVTFFPQGTLPCLTKTGSIMLESPHSIARASDGNGGVF TAMLKHHVLQKMNLANILHLHVFSVDNALNKVADPVFVGYCIEKQTDIGNKVVWKTNP DEKVGVVAKKDNKFCVVEYSELDAQNAQLVDPTTNKLVYGAGNICNHYFSVPFLTRTL ASLQLPYHIAMKNIPSVSIHDASTTSFPGMKLEAFIFDIFAAATHMAVLEVAREDEFA PVKNANGNTDNGYTADSPDSARYLLSAQAKRWIEAMGGDLKLHLVTKGELEKRGKRLK RWSKRLVEFDAATNCITTYKSEDTKSKKAKTSSVVKCELQEHYGVSVLLGNGKVLQLK CPSEDDQIHWNQVITEALQPNVANERLCEVSPLVSYEGEGLEHLNGRQFSLPCHVSEE FARRLSMHVPSFAFISNSKMKSIVADGPDLVLSESEAAPVVDKSHDVVIETKFAAVTP LDVALRANEPEGVPGFAISGVVTSVGNDVVKFKVGDRVCALIAKNGWSEFVKVQENDC VAKLPLSMPLSLAAHVPVTTLLAHHLVQQVQSGDRVLIVSHGYGDIGYVLAQLCAAQG AAWIGIWTNDPHKFAFLENTIPVVKSVAEAHELATAATALDVNLAIDVSVTLHQPTAA VIKTVTIQNLIGAVPIFTASDLSGMVDRIASETLSFPSSLVVEKPLASVGATLKELLT TSVSLRVNTPVIPQVIIDQLTEAKQDHVLKFYQAGKLTNAEIDQLIQDLEHLDLDHLS SIFETSMLSDGASVTGSLEPLDSTDAVATTPASTIDAWRNIGSDAIRQGKVAALVLSG GQGTRLGFAGPKGMYNIGLPSEKSLFQLFSERILRLESLLGGGVAGKIPFYIMTSVMN HDATEVFFKQHGFFGLQPSQVVFFPQGTLPCFTKQGKLMLESAGKLATASDGNGGVYT ALEKSGALDRMKAEGTEYLHVFSVDNAMCKVADPVFMGYCIEKKADCGNKVVWKSRPD ESVGVVAKRGGQYCVVEYSEMDKPTSELRDPKTNQLVYGAANICNHFYSLEFLQKCCR SDNMTVYHVAHKKIPYVSLETGNVVTPTTNSGIKLEAFIFDVFQYATAMQVFEVTRDE EFAPVKNAKGSAVDSPDTACDMISNAAKKWIQAAGGSFDPMTSGLCEILPTVSYGGEG LEHVVAANMKLPLLIDSSRPKGRRTSSASNTRRQAPDQPPLRQPALSEPLAISASDQK PKKAKKNCTIQ H257_13469 MPMNSRQYEERINKLEAALEMARLRYQSQSGRMKTFEDENKTLK QDIAAERAELEAILNNRQVNTLLDQLKEERTQRLVEMEASHIREREMQALSSEAAVAT KGALLAHEEAAGKRAEVNGLRASIRVSTKLLHIAEHDFHTTHAELEATQLQLLDTRRK LMQKTDEVNVWTDRYNQAMIDLHMAQMKLTQVSVGASAKESALMRGYGIVLAEAAGEP VSIQRQPFLCAKGGTAKFNGAKADRAMARHNLQMARSESIPALGTNSQGGHRRRRQVD RIPLPPCTNDAVDVGTPQSAPATLEQPKPREKREAQRIQSTRDTQGSKYLGYGLGMLK KDNVVADHRQNTVT H257_13469 MPMNSRQYEERINKLEAALEMARLRYQSQSGRMKTFEDENKTLK QDIAAERAELEAILNNRQVNTLLDQLKEERTQRLVEMEASHIREREMQALSSEAAVAT KGALLAHEEAAGKRAEVNGLRASIRVSTKLLHIAEHDFHTTHAELEATQLQLLDTRRK LMQKTDEVNVWTDRYNQAMIDLHMAQMKLTQVSVGASAKESALMRGYGIVLAEAAGEP VSIQRQPFLCAKGGTPKAPVEYAHDSF H257_13469 MPMNSRQYEERINKLEAALEMARLRYQSQSGRMKTFEDENKTLK QDIAAERAELEAILNNRQVNTLLDQLKEERTQRLVEMEASHIREREMQALSSEAAVAT KGALLAHEEAAGKRAEVNGLRASIRVSTKLLHIAEHDFHTTHAELEATQLQLLDTRRK LMQKTDEVNVWTDRYNQAMIDLHMAQMKLTQVSVGASAKESALMRGYGIVLAEAAGEP VSIQRQPFLCAKGGTPKAPVEYAHDSF H257_13470 MDPDEFVGDSQSEDDSFWNEVDQITQLAMEKVTSTTLTSVSAAV SPTPALDVVAALDGMVAESLPRRFNEGDLVEVENRTWPGINKIGGAARVTRAYDEDGM AVVDVRYFLGGSERAVAIEYVQPSAIFEKKSRQRRSRNFFHDEFADEYLPSRRQLVDT TTLDTITRAPPATDPTIETVDVHTKPAARKVAKKTVATGASTDLTNDTFDRDSSMSDD DDDTPLYRRFHNLGKLVKPSTAPTPATRQDLPTDTHRSHALTDGITSVLESHSVGPSP SAENVGARAPSSPVTIDLWSSDDGDDSRLSPQRRRHHHPHLPRRHKKRKRRHFVGGYD GDNNFIQPEDNAMDLPDDVQQDTGFALAKTTKELKLQYQQHATAFELALQTFESMRSG QIDASSNLRELERFHKQHLVREEDIVDAILRKLEANGKSVSAVENLKHDQRKSQVNEY AVWVKGRRESALAVDPTSEDEGSGGSLSSESSEAGSDRWRQSRPTIDRPRRAKSATTV AASSLSSDELSDSDGNERVRGAASTKPQANTPRMFSAEESIPNMLFHASMHRNGRLDD QSSKPLLRRRKPSAFTYTRQTNKLPESIHSTTSIDREEYLPRTIRNIPPSILASSEWN WKGLLRHKRRASQQHEGTGAPRTKRHSSKHPSKWKLRVYRAPVHPGGHSTATNLRRPQ HKQPPVLNSPPPTPALGTHDLDPAVSSILQYTSDVIPSGWQPTQDELALLKTVLVVPD AQSSLNLPPWYHSPLPLFARTLGLVTKLIRTLRHGLVDLQHAEGTFMEQQAGASELIE VATLERMSQKCTALMSELYRLHTTLEPINLDEIRWLLDSLPRIHTLLATSPSYYFYFE RTESSSTVFLHTMCTLYRHALDMDPPGMLDRTLLFLIEYHMHFTPVGKEARSVLSLWQ CVLSHQPDLWSTLCFQHLAQNPTVKALAKSVYPHATTGPEIDMLVRETIWDVVVWLSP MPDKTLASNWMLVGGLLSGSDALPFSHTFRASITSDALIEMYRQRILQRMLVLSAAWA PSHVPVVMLVLKLMQSPPRIKSPRLPSFLMELALEPTERDAILTYDIDASDCSDLMGR VIVMQMIQFDKPVLRNRFRHPVLNALKHQPRQDQSTAETTKWNWTNPMQKPADPLPPA PPSVESPSPNHVRHIVLVSMVLATIMTDELKSFKRDLTFYTNEILRAAAPGNPTTAMH ALWIIMTAMKAHLPLMPSLLSACNDLLVQMTKQSSPQESVDAIEFALHCLLQVAKHLV QTAVDSTSLRPLAECVQGILNTGFDHVLQLCFKKVLPRSVLSMATSILVCLCPHTPMT PPPSPSTEFDEFDDPEEMALLASLDAVNSSTSGIVVTLNYRHVLAECADGVVKLLRVS LQRLALQEFASTAVLTAVGVLLAHATTFQWDILQASSTGPTVFVFSRVLATAISNGPT HYMEHFLATHNKPDVALVQVWLLTLMDPCPTYWNAWAGRFSSCSQPATSLLHVLTGCT VTLTSKSSSFGMQYDQRTSAFRRFCTNVLDRYNSAGGDHANTLRSIVLDLHHGVLASW VDACSASLQSLCKHTSPDWTYLEALFADSGDYRQHEKQWVASPSVKSNATLATIQYCH VVYAYLSSVFSVWGGLARGTHLIFATFLQEFFPCAAYAQHDHAAAHLFASTLLFRTKP TAKSNWFGSKLDKPWVSAVETLRSFFSISVAPSLLTWVATTAPAYHLYTGGYASSPLR TFVLNVLDPEGPLGLASYYPIVDAHVALSRVKRESDYVACGLYDCPSHQPPHHSNDSN NQNALRLYLLNVSMPQYVQSLQDVGDLCPVLQLLRASACHANQYCGHELTPVDFVVSL QACVEVLLPTFACDLTATMCVLHVELVEWVERCLEANKTVGDPTMEAMVQLVVLRSLK LWSLLEPEKGIPVRLEHEHEFMRIHHRLTDQCSFTFRTLPSRTGSSGLNVFRAKGDVL ATVKRFVRVAQASGDSTVRRLVSSD H257_13472 MAGGGVFIEAAGDGTTSTPTEGSKMYAVVVCVFASLGGIFFGYD LGMTGGVLVMDSFLNDFCVAYDGNTYLQCTSTAADLPANWLNFTTLFNVLYYIWCILG AYVGGVVADKFGRRMTIFSAGFLFCIGTCILVFTRRGGHTMSLIARVIQGMGVGISSF SLPIFGAEMAPKELRGMLSGFMQMSLVTGILLAGIVNIAVENTEHGWRTTNAVAMAFP VIVMAGICCVPESPRWVYKHKGRDAAEATLTRLRKTTHVDDELKAIGDALAEEGTHAT SWADVFHPSVRRRVFIAMALQLLQQATGINPVFVYGGQIFKDVLGDGLTSLLILQIVN FVSTIPAMYWVDRYGRRSLLLLGGAGMVVGHLVSATSFTVGCQGDTSDLQCDKSAGWV MIVATGFFIFNFAISWGPICWIYPAEIFPMRVRAMAVSLSTMTNWTMGALMIGIPKLF PYLNINGVFFLFAALCSCAGVFVYYFCPETKNILLEDIEFLFHQHKHCDVEEDATTVK TPVDKDL H257_13473 MATVADIVQDILNAETLPIAGSTFLALIQKLVDHAEHLEQNVSD LNEQLDNLREQVSLGNAATVIQAGFRGAKDRDTIMRAKQFQQQGTCVLKKYLLKKDRV PGMHKLDTLHGDIAPNFRRLKDSPIYGSAQPSEAGINHILDTVTADGYSKVVWVTLRD EAVIFVDGTPFTARRSGKLNDNDLVPGMTGHNISVLELSLKNSLVDQLNLSDHKFEYW HEPTLLCNELAVSTVDPSHVLTLPELMSRIQHPGIQSLTYHRAPIDRENFPEHSIVDR LVDWLRSADATTALVFNCQKGRGRTTTAMSLAYLIWSAPTQVQSPLDAHDHPDSRLVR AMTMDPRNADYKHGLYKVILALCDKLENGVRTKGWIDNVIDDASVIYNIRLVINEYRA RSLEEAKPAKRSFYLHRACRLLERYFYFIVFGSYLTSASTDSVPYSTWLQSHTDLFRL LDTMGGATYPSSKVLKNNILKFDHFPGLNRLPMILGPNVPNFRQVGDFPIFGTAQVYQ EGIADVLQHLRTVGHPKAIWINLREEVILYVAGRPFAVRNQGNVFLNAEYPGIEVNEI TAIEATLKKELMEKVTKSNGLFKHLHEPREYVTEESFDVISPENDIFTLEDAYHAVRR AGFDVRYARIPVSDEIAPEEKDFDDLVRLLGPIFTSEVGSLHPTAIVCNCQMGRGRTT TMLVCMYMLRAVVAGAVPSTVEPKSSAHFGVIDELVAVLDNGNESLNLVNYAVDMADH VQNLRDCIESCRDLTKENGLSVEKQEYFMQRAVNYLERYFYLICFASYILDQQPKQFQ TLFVNWMCSRYDNALYALLDNLNFDDKNDDVVSSMRWRWRRKRKMVYRLE H257_13473 MATVADIVQDILNAETLPIAGSTFLALIQKLVDHAEHLEQNVSD LNEQLDNLREQVSLGNAATVIQAGFRGAKDRDTIMRAKQFQQQGTCVLKKYLLKKDRV PGMHKLDTLHGDIAPNFRRLKDSPIYGSAQPSEAGINHILDTVTADGYSKVVWVTLRD EAVIFVDGTPFTARRSGKLNDNDLVPGMTGHNISVLELSLKNSLVDQLNLSDHKFEYW HEPTLLCNELAVSTVDPSHVLTLPELMSRIQHPGIQSLTYHRAPIDRENFPEHSIVDR LVDWLRSADATTALVFNCQKGRGRTTTAMSLAYLIWSAPTQVQSPLDAHDHPDSRLVR AMTMDPRNADYKHGLYKVILALCDKLENGVRTKGWIDNVIDDASVIYNIRLVINEYRA RSLEEAKPAKRSFYLHRACRLLERYFYFIVFGSYLTSASTDSVPYSTWLQSHTDLFRL LDTMGGATYPSSKVLKNNILKFDHFPGLNRLPMILGPNVPNFRQVGDFPIFGTAQVYQ EGIADVLQHLRTVGHPKAIWINLREEVILYVAGRPFAVRNQGNVFLNAEYPGIEVNEI TAIEATLKKELMEKVTKSNGLFKHLHEPREYVTEESFDVISPENDIFTLEDAYHAVRR AGFDVRYARIPVLTKLPALEIYIYMDRYAIRRSKPIVFIGRSRTKLPQRKKILTTSCG SWARSSRPKSAVCTPRRSFAIAKWAADGPRPCSCACTCFALSSPAPCRRQSSRNHRRT LA H257_13474 MTSTSNMQAVKWLGMDRDGKMTVSPSTSLKVLHALPERHNVNLI SIFGAARQGKSFLMNLLANQQDLFRISNEKEPCTQGVDLSSHFMPLEAFSRINGCPPV SSPNMSIGFVDAEGQGDRDITYDSRLVSPVLLASKVVIFNWKDSLQADRMLNLLAVLA KAAQNVELAEGETRKVFGHLHIVFRDWNFINTSPTEVHDTLFKKEKGASKEVSNRNLA RHELSEAFESISIWLFPLPVVSTAQLSERIRFEQLQPSFQSKLRELRKTMSQQLQDPM LFNQQPLTGRKLADMMPLFAESLNDNRVIMPESIYSSMRRAEGKKIQLHAEDAIRNFC KAQLELPSLVDTQAFTAQVHLGLVKLVQGTLETLRSFPSDVADECHKALHLFVERELE LASKSNNEKILQHFHTLVDRSSTSISDNIKQLEAALPVAPAALSQQCDAIVHDAVVSL QKCPKTTLRSFDNDIRRLEQQGLALKERLQHLNERAIRERSSKLLAQLSSCKSELKSK GTTWLDKQIASGTPFTISMLEEELLRLHASFDFGEPNPAVDEVDIEQEMREFHAQLLD DLKRQYTFHIRRVVLQFIGTAKSLLEKELQVIVLPMPDQKLRERIHESKERVVLDIAS QMQGWSFPQEEMVHFGNAVTEYTQQLQDAYSKQNQSASKDSAAREASARYKVAKDALM HSLNEAIIGAIPMSVDALERLYDEHLLRTCTQLSDGHQIKHEKIMQSLKADLATLMMQ LKTINTKETEKAALMNAAETERQRTEALANQVKQSQALAAKREQEMHSKLLEKETKSK HLLDELSSQEAKTQALERELQLAKQKAIELAEEKKRAEAEGLKLASKERQDAQRLIEE NSTKQNKLQSELRAIQARLEQQQIILQAKEREVQAAEIAKEKAKQLSLEKDRALKAVE RERALREKLSEDILSHQAQTAKLETTMSSVIREKTRETEQLQATLTDQERKTQQLEQE LQRMKDQAQALAQEKEHWRRQNEAMAKSKLDMEMQVKDEAARREAAEAAAVQAGQAAE VAAKAAMEAMDVTPEKKRKTPVASSSSKKAKRLDVKAPLPKLSLQEAKRLAKEEMDKR VGERIAKLKK H257_13475 MEPASILFEMTTPQQHDTFFLATHLKYLANLSKQKESLESCLSE HLRVSAFYWAAGSLCALGKAHHIPDELIQWLLACQHPNGGFGGNVGHDRHLLYTCHAV LSLVMLGKEDHILAQETTDFVVSLQQPDGSFVGDIHGEVDTKYTYCALSVLKILKQEH RINMDAAMAHIKTCQNFDAGFGNIPGCESHGGHIFTAVGALSMGHQLDKYVDVDSLGW WLCERQCDSGGLNGRPEKQADVCYSWWNISCLVMMGKLHWINKDKLIQFILNCQDKDD GGIADRPGNVSDIFHTFFGICGLSMLGYFDDQPAFAAIKKVHPVFAIPDADVARLGLT AQIIL H257_13475 MEPASILFEMTTPQQHDTFFLATHLKYLANLSKQKESLESCLSE HLRVSAFYWAAGSLCALGKAHHIPDELIQWLLACQHPNGGFGGNVGHDRHLLYTCHAV LSLVMLGKEDHILAQETTDFVVSLQQPDGSFVGDIHGEVDTKYTYCALSVLKILKQEH RINMDAAMAHIKTCQNFDAGFGNIPGCESHGGHIFTAVGALSMGHQLDKYVDVDSLGW WLCERQCDSGGLNGRPEKQADVCYSWWNISCLVMMGTHTDIYHLFLVSPLSCDA H257_13476 MSEFRDTQSRRRENAHFEQSAMMMEEPEGGFSFSNVRRNEFILN NTTQAAGNKTKNAPFKVQKTGTTIVGLVYKDGIVLGADTRATGGSTVMDKNCEKIHYI APNIYCCGAGTAADTENVTQIISSALELHRLNTDSQSRVATAMTLLKQKLFQYQGHLS AALVLGGLDVTGPSLYTIYPHGSTDRLPFVTMGSGSLAAMAIFEAGYQDDLDEASAKK LVQQAILAGIFNDLGSGSNVDILTIKRDAAGQVIVEKEFNIIKPNEVSVLRNQINRNV VTNIPRGATRVVRQHFEPLVVVEDGTPMDL H257_13476 MSEFRDTQSRRRENAHFEQSAMMMEEPEGGFSFSNVRRNEFILN NTTQAAGNKTKNAPFKVQKTGTTIVGLVYKDGIVLGADTRATGGSTVMDKNCEKIHYI APNIYCCGAGTAADTENVTQIISSALELHRLNTDSQSRVATAMTLLKQKLFQYQGHLS AALVLGGLDVTGPSLYTIYPHGSTDRLPFVTMGSGSLAAMAIFEAGYQDDLDEASAKK LVQQAILAGIFNDLGSGSNVDILTIKRDAAGQVIVEKEFNIIKPNEVSVLRNQINRNV VTNIPRGATRVVRQHFEPLVVVEDGTPMDL H257_13476 MSEFRDTQSRRRENAHFEQSAMMMEEPEGGFSFSNVRRNEFILN NTTQAAGNKTKNAPFKVQKTGTTIVGLVYKDGIVLGADTRATGGSTVMDKNCEKIHYI APNIYCCGAGTAADTENVTQIISSALELHRLNTDSQSRVATAMTLLKQKLFQYQGHLS AALVLGGLDVTGPSLYTIYPHGSTDRLPFVTMGSGSLAAMAIFEAGYQDDLDEASAKK LVQQAILAGIFNDLGSGSNVDILTIKRDAAGQVIVEKEFNIIKPNEVSVLRNQINRNV VTNIPRGATRVVRQHFEPLVVVEDGTPMDL H257_13477 MAAHAEIKAEEFFRAGEKALTKFSLFSSSSKYEDASDYFEKAAN QYKIAKKWQESGEAFAKCADCQMRMKENSRAAQYYQQAAEAISKANPIDAVTYYRTAI SMQCDAGRFSNAAKLQKQIAEIYEQAGQMQEALENYSQAADYFIGENQPSSAQPMLLK VAQFSAELERYPAAIEIYEKVAKTSMESNLLKYNAKSHLLNAGICAMSSKDIVLVKQK IDEFNDIDYTFPDSREGKFLTAMANAYESFDPDGFADAVYEFDTITKLDPWKVSLLLK VKQSIEVDTHDDLT H257_13478 MSKDEAAESTSAPPAVPSLSITIPNSSTPFPPQATQQTTSGQGP FHASLPVLNPPLYFGIVESEVFRCNKFDSSSFSFVSQLGLNTVVYLSTDDLGRELTDF FKERDVMVVHLGSKYRTSKGITEGMTKEAIECILDQRRYPIMVMCKTGIHISGSVIGC LRRLQNWSLTATIDKYRNLAGTTKTKFENEQFIEFFDVDLVTLPPHLPDWFVLNQRLM DDERAAMGHHECFPGVRASPSSDEYASLPAYQRYYFNAQGPLTSPSVSFSEKLSLIGD DDGD H257_13479 MSLVMGPDFQHILRILNTNVDGRRKAWVALTAVQGIGRRFAIMI CKKAEVDITKRAGELTNDEIERLVAIIQNPTQFKIPTWFLNRQKDFKTGKSSQAVANI LHTKLRDDLERLKKVRIHRGLRHWWGLRVRGQHTCTTGRRGARSAVGMLDKSGGKK H257_13480 MEADGVHREGFSDEAPLYSIHSRKGGGRRDAASEDKAVTSPTAT RRTSSDDYDAGKHDKGESPVGGSIRSRVAAWARKLRETFGVPFLSLIGTVYFVQGFNS FSSFALGYLIKDVMKLQPADSQAVMTATGIPWGIKPVYGILSDSLPLFGYRRKSYLVL MNMVGIAAFYSLYSITPDSSISFLMLILIGSSASTAVSDVIIDALVVERARLDPKDGA TDLQSLTWCMMALGGILGSLLAGPATSHLGPNMVFLLASVGPITLFFLSCSMSETRAA SRSKSCPQVAREQVRLLCQALRIPVVWRAALFLFSATAISPSFGQIQFYFLTEELHFS EEFLGNMGALGFVFLMVGTIVYNSCFKDVPFRTMFFFAHIGLALVSLAEVLLVTRRNL DLGIPDKWFVIGDAIISDIIGRMKSMPLLVLCAKLCPKGIEGTFFALLMSISNMAWTV SSFWGASLCAHLGIARNAYENLWMAITIRSVMKIVPIFFLCLLPTIDPQDEVDAMTSR PDGDSSDYESTVGSDYASSTLGANVADLEMDDPVEHDEFLKDKLHP H257_13481 MTKSDIVRRVTLATDTAIDGGANDGKERLVVPPTPVASMAIPQT PHMSATRSLPTVVASFCLWFGHLRDVFGLQFLVLVSMVYFVQGFGSFSGLSVSFLMKD TMHLQPVESQAILTTAAFPWSIKPVFGILSDSLPLCGYRRKSYLIGINLLGCLATFLL HDVSAGTSVSWLTILLLCTRLATAMSDVIIDALVVERARLDPLNGANDLQSLTWSVMA VGGILGSLLAGPATSHLGPHTVFILAAIAPCIVLALATLMQEDRCDRLARGCSTLASE QVKLVWTTLKVPVIWKSVVFMFVSQACTPSFDQIQFYYAVEVLKFSPEFLGNVGALGF VFLLVGTLVYNAWFKVMPFRAILMRAQICLAVVSVSEVLLVTRSNIKMGISDGWFVLL ESMFGEVVGRLIGMPVLVLCAKLCPRGIEGTFFALLMANMNLSYTVSGYWGAILADWL GIASQKYDLLWVAILIRSSMMLLPLGFLWMLPDHDPADEVEVATRHTVVPATDDVTIN DDDEAGMLGDKKQRSDV H257_13482 MKIVLAASTLAGYVAATTSSSSYEAKFVSWMKAHGFTFNPVDWV HRFENFILNDQFIEAHNSKRDSSFTMGHNEYSHLSFDEFKRHRVGLRLPPSYLQSRQK NPPVLKQHAAAVPDEIDWVAKGAVTGVKNQGMCGSCWAFSTTGAVEGAAFVTSNKLVS LSEQELVDCDEGDNGCSGGLMDNAFKWIKRHKGLCKEEDYTYHANASVCTVESCTPVT KVLGYYDVTPNDEEELKAAVAHQPVAVAIEADQKEFQFYKSGVFDKTCGTSLDHGVLV VGYGTQDGKKFWKVKNSWGEEWGDAGFIYLARDIGVEQGQCGVAMVPSYPSASIISAG APPPADETVTTVVDVGSAVEISQCGDVATELVAFSNLSVTPANPKRGKPIHFLGNGVI KSTFESAPLTIAVKLAGQLVYSHYGSLCGKTHIPLPLGLGHIDVVGLACPAQAGKFEG LQVALNLPHIAPEGNYEVYLTSDRGEANAKQSVFCVQVKLDLNDQARGVVVSDLLALS H257_13483 MNRIPFDAVTVTLTKATVIQGHQSALYTIAITNKETHVAVSTTK TDSDFETLRNNVCSALEHGHTCDALCPWFYVDVQQKIPKKHWFRSSTHARVVASHLKK YQDMMTLVCAFVTSAHNRSCYRVMQRVPEVLHEFLFEGVAVYPTMYTVAPPKLRFSCS SSCRSSDGTGLACSLCNHDADTFCGQTTLQCGHAFHDDCIVEALNAHLACPICLDNET SPPQ H257_13484 MQSNFGVFEAVTIKLTKANINQHNVTYTVTVSNKDSHATVTTSK SDDDFVKLQGHICNALDRGHSCDALCPWFFVDVQGKLPKKPWFRSTSHVRVVEARLNN YQDLLDVVVTFINSPHNRSCCRAKERVPAVLFDFLFRDNELDPSMYKTASPKNGRLST SSQHRLSEPLDVFHCSLCGDVDDPYSCGRTTLSCRHSFHDDCILKAMNESPVCPECVG ASK H257_13485 MMATVGEKLALTDAECVAMEKELYEESIRCLEARLKDLQNGTLD EFVERCKAFELAKTYRIDLAALHRKLLLRNIDELLAFDFQQIDDGFNASLEALQSTAP PNVAQQVEPVAESPHATAVVTDSDDPVRHELKQLELEKHLHFSMDHVNTCLPAATAIQ AQLQALRDSLDIVNEKLRHATHLKVHYDADEADTVYAGNVILYVGDAVVLTSEIAQED FYGSIHAIDESAIHLHLVCGNLVQVTLDSLRDRRCRLRFQDSAKNGPVAVHASPSGRK TNTTPRSKRTVQRKRLVSLI H257_13485 MMATVGEKLALTDAECVAMEKELYEESIRCLEARLKDLQNGTLD EFVERCKAFELAKTYRIDLAALHRKLLLRNIDELLAFDFQQIDDGFNASLEALQSTAP PNVAQQVEPVAESPHATAVVTDSDDPVRHELKQLELEKHLHFSMDHVNTCLPAATAIQ AQLQALRDSLDIVNEKLRHATHLKVHYDADEADTVYAGNVILYVGDAVVLTSEIAQED FYGSIHAIDESAV H257_13485 MMATVGEKLALTDAECVAMEKELYEESIRCLEARLKDLQNGTLD EFVERCKAFELAKTYRIDLAALHRKLLLRNIDELLAFDFQQIDDGFNASLEALQSTAP PNVAQQVEPVAESPHATAVVTDSDDPVRHELKQLELEKHLHFSMDHVNTCLPAATAIQ AQLQALRDSLDIVNEKLRHATHLKVHYDADEADTVYAGNVILYVGDAVVLTSEIAQVQ YSSTYLTVGPVQHMKVSCWV H257_13485 MMATVGEKLALTDAECVAMEKELYEESIRCLEARLKDLQNGTLD EFVERCKAFELAKTYRIDLAALHRKLLLRNIDELLAFDFQQIDDGFNASLEALQSTAP PNVAQQVEPVAESPHATAVVTDSDDPVRHELKQLELEKHLHFSMDHVNTCLPAATAIQ AQLQALRDSLDIVNEKLRHATHLKVHYDADEADTVYAGNVILYVGDAVVLTSEIAQVQ YSSTYLTVGPVQHMKVSCWV H257_13486 MAAWYLMNGNRLWVHRALLCAMYIACGVRFMFGWVKRGHVTKED HRWNHSKVIGRHPAEYVGAGLWLFGFVFENIADAQLRVMRQGLWKYYRHPNYFGEFYL WLSYAIMSFADASPIQRALLVMLPGQVSLKKRGQAYADYQAETSILFPWWPKSKSEKR T H257_13487 MLVVLRNQLRVRLGRPDGADDRAGQDVLVLDADSVGGAGRIDQY LRNWRTHQHFAAMGFDHPSHLLGNDARAADCVVRAAAVMVEDHSVEHERRAGRRVAKV APRVVEDAHELGVGRERRHNFQHRLVEVLELGLVPPPVRATEPRGAHLQPKVRAEPDT GGLDCFEVGVDGSVVVGKAGLQVLLEDVVVGGEVDGVARTVGCLGDCHVDRVAQPVAI DL H257_13487 MLVVLRNQLRVRLGRPDGADDRAGQDVLVLDADSVGGAGRIDQY LRNWRTHQHFAAMGFDHPSHLLGNDARAADCVVRAAAVMVEDHSVEHERRAGRRVAKV APRVVEDAHELGVGRERRHNFQHRLVEVLELGLVPPPVRATEPRGAHLQPKVRAEPDT GGLDCFEVGVDGSVVVGKAGLQVLLEDVVVGGEVDGVARTVGCLGDCHVDRVAQPVAI DL H257_13487 MLVVLRNQLRVRLGRPDGADDRAGQDVLVLDADSVGGAGRIDQY LRNWRTHQHFAAMGFDHPSHLLGNDARAADCVVRAAAVMVEDHSVEHERRAGRRVAKV APRVVEDAHELGVGRERRHNFQHRLVEVLELGLVPPPVRATEPRGAHLQPK H257_13487 MLVVLRNQLRVRLGRPDGADDRAGQDVLVLDADSVGGAGRIDQY LRNWRTHQHFAAMGFDHPSHLLGNDARAADCVVRAAAVMVEDHSVEHERRAGRRVAKV APRVVEDAHELGVGRERRHNFQHRLVEVLELGLVPPPVRATEPRGAHLQPK H257_13487 MLVVLRNQLRVRLGRPDGADDRAGQDVLVLDADSVGGAGRIDQY LRNWRTHQHFAAMGFDHPSHLLGNDARAADCVVRAAAVMVEDHSVEHERRAGRRVAKV APRVVEDAHELGVGRERRHNFQHRLVEVLELGLVPPPVRATEPRGAHLQPK H257_13487 MLVVLRNQLRVRLGRPDGADDRAGQDVLVLDADSVGGAGRIDQY LRNWRTHQHFAAMGFDHPSHLLGNDARAADCVVRAAAVMVEDHSVEHERRAGRRVAKV APRVVEDAHELGVGRERRHNFQHRLVEVLELGLVPPPVRATEPRGAHLQPK H257_13487 MLVVLRNQLRVRLGRPDGADDRAGQDVLVLDADSVGGAGRIDQY LRNWRTHQHFAAMGFDHPSHLLGNDARAADCVVRAAAVMVEDHSVEHERRAGRRVAKV APRVVEDAHELGVGRERRHNFQHRLVEVLELGLVPPPVRATEPRGAHLQPK H257_13487 MLVVLRNQLRVRLGRPDGADDRAGQDVLVLDADSVGGAGRIDQY LRNWRTHQHFAAMGFDHPSHLLGNDARAADCVVRAAAVMVEDHSVEHERRAGRRVAKV APRVVEDAHELGVGRERRHNFQHRLVEVLELGLVPPPVRATEPRGAHLQPK H257_13487 MLVVLRNQLRVRLGRPDGADDRAGQDVLVLDADSVGGAGRIDQY LRNWRTHQHFAAMGFDHPSHLLGNDARAADCVVRAAAVMVEDHSVEHERRAGRRVAKV APRVVEDAHELGVGRERRHNFQHRLVEVLELGLVPPPVRATEPRGAHLQPK H257_13487 MLVVLRNQLRVRLGRPDGADDRAGQDVLVLDADSVGGAGRIDQY LRNWRTHQHFAAMGFDHPSHLLGNDARAADCVVRAAAVMVEDHSVEHERRAGRRVAKV APRVVEDAHELGVGRERRHNFQHRLVEVLELGLVPPPVRATEPRGAHLQPK H257_13487 MLVVLRNQLRVRLGRPDGADDRAGQDVLVLDADSVGGAGRIDQY LRNWRTHQHFAAMGFDHPSHLLGNDARAADCVVRAAAVMVEDHSVEHERRAGRRVAKV APRVVEDAHELGVGRERRHNFQHRLVEVLELGLVPPPVRATEPRGAHLQPK H257_13487 MLVVLRNQLRVRLGRPDGADDRAGQDVLVLDADSVGGAGRIDQY LRNWRTHQHFAAMGFDHPSHLLGNDARAADCVVRAAAVMVEDHSVEHERRAGRRVAKV APRVVEDAHELGVGRERRHNFQHRLVEVLELGLVPPPVRATEPRGAHLQPK H257_13488 MLPLAEIVVSACLVVSIFLFGLVIDMEAQRRMSEVKAQHMVNPN ASKLTQWPATLIKPEPVRPCTTDDSSASSYFLSTVKTTALTSPGSLYFRSICETGSDA PSLTLKAKSISCRMWQHLETYLDRQFAPPPSKPTSACRDPQRQTHDDDDEVRLPYSLH H257_13489 MQVPASGPFGGLSVTLTKATVSPREPTLYTLSIANKVTHAQCAI SKSDMDFAAFRQRICAALEHGHSCSAECPWMYFRVQEAKPRRWLFMRSKHRQVQANLA THQSMLTSLLDFMRTPRNQSCGRATTVVPSEVARFLFDGMDDRELGLYAASPMSKYRM SITPNLVKFAIADCSICKNCMDPEDLVSAALTTLPCGHVFHDVCILMALHRQLVCPRC DDEGKNSTDNSSSDDSDSVVDLL H257_13489 MQVPASGPFGGLSVTLTKATVSPREPTLYTLSIANKVTHAQCAI SKSDMDFAAFRQRICAALEHGHSCSAECPWMYFRVQEAKPRRWLFMRSKHRQVQANLA THQSMLTSLLDFMRTPRNQSCGRATTVVPSEVARFLFDGMDDRELGLYAASPMSKYRM SITPNLVKFAIADCSICKNCMDPEDLVSAALTTLPCGHVFHDVCILMALHRQLVCPRC DDEGKNSTDNSSSDDSDSVVDLL H257_13490 MLVTFVVDTSASMGQRSACGMTLLDFAKSVVETMAKKLLSRTVN RMNYMHFMLVTFNGVVVGMENSTNREMFYRELKYLEPRDLSDVGSALSSAFDLINRER AKIFCDNYGTITTMDGIQTDLVVPPTSLSALDLTRQPFRWDQRLFTIAVQLSADITAS KPSANAVNPPYFSSLCDETGGMLHLFNNGRVTKAAVEKEVDLVIARMKSGVLVRFQCD ASPASSTGGPSGAMDTDTFGMTKNTLSTAATLTREFVWPIPESFWVDRTTTSLPLRDA HPVLTFKRSVESQAEAATNQMVLETLKFPADSYQVDNVLNPTPPRGARWLVYVVGSRS EGRTGEAFGFLRTTTTNGVSITRLVLLPYNFPVLFSLLVDAARQHAANPNPNPTNPWH FHSKTMTASWRDAFSTYLSSVPFYYHMPLRKVLKRYNLHELVPDIPDGGRSYQVTNLL TRLKDIAMTAADSDRQPPPPSTRSNSSSHSSPSSSAPNSPYHLTKPVAVSQNVMQLAP ADLLTFHAKHKLAVVCRKPPLDHATTADVPLWTGPLAHLAPSKAALAMEQHPSKFFLS VEVMSDYIPTALKTERHRNPFGEPDKDEATVEGTRRRKLEFSLDFPYKKTNNSSKGSG GGSSGDEAADEAAALGTTNVMTSSNRRKRQKYLKNRRGRDSASSPSTSSPESSSSSPQ RLIQVPHNAVSDQGDEEGAQKELARRLGVDEAHDAGAWSQQVYRVLIDHNTRWQAIVA LIKARTFQPTDVAKVLKALVEIPVDERVRGGYLALALTLAKEYKRAMLVKMLQAKRLA H257_13490 MLVTFVVDTSASMGQRSACGMTLLDFAKSVVETMAKKLLSRTVN RMNYMHFMLVTFNGVVVGMENSTNREMFYRELKYLEPRDLSDVGSALSSAFDLINRER AKIFCDNYGLGRLPYNAHMTHIVLITDAGTITTMDGIQTDLVVPPTSLSALDLTRQPF RWDQRLFTIAVQLSADITASKPSANAVNPPYFSSLCDETGGMLHLFNNGRVTKAAVEK EVDLVIARMKSGVLVRFQCDASPASSTGGPSGAMDTDTFGMTKNTLSTAATLTREFVW PIPESFWVDRTTTSLPLRDAHPVLTFKRSVESQAEAATNQMVLETLKFPADSYQVDNV LNPTPPRGARWLVYVVGSRSEGRTGEAFGFLRTTTTNGVSITRLVLLPYNFPVLFSLL VDAARQHAANPNPNPTNPWHFHSKTMTASWRDAFSTYLSSVPFYYHMPLRKVLKRYNL HELVPDIPDGGRSYQVTNLLTRLKDIAMTAADSDRQPPPPSTRSNSSSHSSPSSSAPN SPYHLTKPVAVSQNVMQLAPADLLTFHAKHKLAVVCRKPPLDHATTADVPLWTGPLAH LAPSKAALAMEQHPSKFFLSVEVMSDYIPTALKTERHRNPFGEPDKDEATVEGTRRRK LEFSLDFPYKKTNNSSKGSGGGSSGDEAADEAAALGTTNVMTSSNRRKRQKYLKNRRG RDSASSPSTSSPESSSSSPQRLIQVPHNAVSDQGDEEGAQKELARRLGVDEAHDAGAW SQQVYRVLIDHNTRWQAIVALIKARTFQPTDVAKVLKALVEIPVDERVRGGYLALALT LAKEYKRAMLVKMLQAKRLA H257_13490 MLHLFNNGRVTKAAVEKEVDLVIARMKSGVLVRFQCDASPASST GGPSGAMDTDTFGMTKNTLSTAATLTREFVWPIPESFWVDRTTTSLPLRDAHPVLTFK RSVESQAEAATNQMVLETLKFPADSYQVDNVLNPTPPRGARWLVYVVGSRSEGRTGEA FGFLRTTTTNGVSITRLVLLPYNFPVLFSLLVDAARQHAANPNPNPTNPWHFHSKTMT ASWRDAFSTYLSSVPFYYHMPLRKVLKRYNLHELVPDIPDGGRSYQVTNLLTRLKDIA MTAADSDRQPPPPSTRSNSSSHSSPSSSAPNSPYHLTKPVAVSQNVMQLAPADLLTFH AKHKLAVVCRKPPLDHATTADVPLWTGPLAHLAPSKAALAMEQHPSKFFLSVEVMSDY IPTALKTERHRNPFGEPDKDEATVEGTRRRKLEFSLDFPYKKTNNSSKGSGGGSSGDE AADEAAALGTTNVMTSSNRRKRQKYLKNRRGRDSASSPSTSSPESSSSSPQRLIQVPH NAVSDQGDEEGAQKELARRLGVDEAHDAGAWSQQVYRVLIDHNTRWQAIVALIKARTF QPTDVAKVLKALVEIPVDERVRGGYLALALTLAKEYKRAMLVKMLQAKRLA H257_13491 MPTPWWAYIVLALSISTMSSGGVWFALFTQTPPIMQACWRLILT ALLQSVGVVYELLSNRSLDAEFWAKYRRSLPLLMAIGAAIGCYFGTWGWSVAHTTLLD SLLLVCTTPLLLVVIMTLRWLYRRHSPSSVVISLQERTVAKETDAMIFTSTATPTLFE TIVCPPVALPPTWMEAAGALVGFSGVVVLLSSTSDQSTQTHPVTLAGNIASMAGALVL IVYLEGGAACRQWMPLFIYSLSVTAFGAVCLAIASLVLEPQTSVVGLGPAALFGFFGD WQLFGLAFGAAFVAGFFGHACLNSVVVHVSPLLVSVSGLWEPLLGSYMGYLAGVQGQP DLMTLVAAPLLLGGALLVTLGGRREATTTMGALTSVSRRNSLVVHA H257_13492 MPPHHCQTSSKATSRSHETVTLLDKSNHDPTWFEAVVCPAVALP PTWLEVVGAAVGFAGVVALLSTATDQGSTSHDVTLVGNASALLGALVIVVYFEGCSTC RKWMPLFL H257_13493 MTADDCVQVCVRIRPTSKKEKVEAAIAANNCVRVPASPIPTQLV VGKDRPFTFDRIHTVDTTQEALYAASVTSLVEGFVEGYNATVLAYGQTGTGKTFTMTG GAAYSTKIQDAHGVIPRAVCHVFALMQARHEATECLLRVEYLEIYNEELRDLLHPETS SKNLSIREDADGHIVVTGAKSQAVQSPDDVMRLLSMGSAARVTGSTQMNEQSSRSHAI FTLVLQQKARDSGELTHAKFHLVDLAGSERAKRTGAVGGRFKESVNINQGLLALGNVI SALGDDAKKKNSHVPYRDSKLTRLLQDSLGGNSKTLMIACVSPVAANFDETLNTLKYA NRAKNIKNRPVVNHVKEADTNDTILRMKQEIELLQRQLGTPPTPVVAVPVDNQQSHHE QLEAAWTAKVNALQCVIQSAKVAAKQGATALVALERDIKSLGRPIQQRLNDVVKVLNG IVVLQHDNSSSSELGLIIDASDTVPTNNNQPPHRHSREFHAEINALHEKLKQDVEIFE LKSQEMDQFQRQLACAHVEIESLRALNQQLQRDAAAKVPAAGMGSPTRPSVEAGPERK SQSLFARRHHGAHDDDDGDNVLMAEPEVSAETTTTILNLQQEIVALKTDLAKAKSTNN DIGGGGGVLFTTTPPPPMKPNESHIPVTRAQTAKLPRSAPLPLHSVAALPPPPKTADP SAAPLDKTAIRAMFQTQLLAAVESHVKQAQVATMLHAKEATAKEKDEIARRKHGLEME KMRQSLSVQSSITDLSETIHAMNCKLNMAAAPDPDELARLKRRKERAEKKLALFVQKM ETQSYVDPAVQDELTALEEQIEDLTSQILFQDSQLESAQALMVPSKASNIDHLLKHVA SSGATIDRTLKTWIKMCWTELVDVCVQMKAVQMTLQLQDEMVAQLTQNREQLENGLHA ARAEYDRRLMQSELLQTNDKKQMDELMVLLADKQCQIDALNAAEKTSSSDAKTLADLN HLVTARQNELDQLKKRLVEADKDKKRLVEYDRYVSERQVEWESKHAQLQQALEGERHN AQVTIDALMQQVAAAQTLAASTPTGSEELMGLKDKLQTQQEYVVSLEKHVVLFKNKAK QAQQQLQQLIRDSSGNQSSDDDNPRIKQLEDVNDCLMKENAAMKVHMRAMKGAEPSEP HVRVRIPKAELKEIDGPTDAVARPREQQ H257_13494 MDAQRALLDELMGRNRDGDKPEEDISDFRDTRVCKRFLCGLCPH DLFQNTKMDMGECEKLHLPKLKVAYDAEKSKPHSRDYGYENDLLRELERYVSDVEKKI ARAQKRLEEQEGARPPTLADIESSKEVLEITAEIADLMQKAEDAGNEGEVDLSMELMA QVEVLKLKKNAVQANPSSSDSSGGASAPTPVVNEYDATQLHLMNVLPGNPLAMTNVNN KLRVCDMCGAFLSIFDSDRRLADHFGGKMHLGYMQIRKKIAEMKLAREAAYSGGPSAG TPSVDAAAASAATNPIDGPALSRRDSDHRRSRSLSRDRGSNRRSRTPSRDRGSSRRSR TPSRDRGSSRRSRRSRSRSRDRQQRQRRSRSRDRRRR H257_13495 MSSNPFDRRVHDHLAIIYHIAVDLHFRVTLVDEQCHELRKSLQL CTRLEPNHATARGSKRETDVESKGPIVDEHVVAAEAALQEALQRARSIRNAQVKQHGR PIAGSSSAGLATPQQPSPPSVTGAPPIVGDGTDTIVSPPDDQHCPRRRHQQLPRHVVA RMGEVADQMAIWSSQDSPARTFLGKLNQLLSTRDTSPPSADTDIPPPRIPYREQVFRL QYAYKRILHVLHDKCQSYAPPPDAPPSLSRVFPVWYRLHKIKKLLDEMNQELSSVLRR VPPPPQLSPATAQRSASFLHAIRSKKPPRGEVDNSHLVAAVQAVDPTWSADVAAVYEK LQKAWEAKDYASCVHEIARDEISKLVVPHVEHMLHVSTASKGEVVEALMLLRLLHSVA CCDGLMLRSFVRG H257_13496 MDLERLHRVGQKRRNALEKVCGFIHLQRKAKANHLPVVEEADSG ARDLRAAGRRDHLERLHEVHVKRPRFPHTQRLPLVRDGLGVCEGVHFTPKQVPRGRRE HERCDEPAKHDRDHEGGAAV H257_13497 MRWFRATMRHIHTYCLMQLLVLAVLHDPAFVDARGEQQESITAV CVAWEGTTCPFTNTTNPVTKLFDPKTQTLCKAAVSVNVKQIDWLSYHSTGKGLVRSVK INQNELLTSFVYPSDSYLAANYPHCDDWFDVLPPHENSIQPGRGTQRSILSLITEDSV EFEIVYEWTGDHAQQPVCSFGGVSYVGMARMDLFYEVQDDDHCPGWNETTNTWCHGHG HCDCAVTEGDPRSCTCKSGYAPPTCQGCLDNKFGATCSESCDVCYNDGVCQSGIDGTG DCVCTAGYDPATRCATCLPLHYGPSCLPCDECNYPHGNCNDGIQGNGLCSCAVGYNAT ENCLDCMDSFFGPTCAPCQSCHEGRCNHGLQGDGQCICREGFDAETHCTDCSTNYFGH NCTRCASCHGRGLCNDTLAGDGQCFCDAGYTSVSRCVLCDDGWDFNPTTMSCQCAPEH FGPFCRSCPICAPHGRCSAGNDGDGRCICDPGWSDSTNCVTCMGGYFGHDCSICRRCG QGRCDDSIHGSGQCICSEGYSSTSDCYNCDDGYFGPNCTACPQDCAHGTCSSGLLGSG TCSCDQGWAWSAKNPCTSCLPGYIGPDCVLCPGYIESGLMCNGHGVCIPHANRSVGIC ECDARFSGIGCEVYEFPLAMVCGLGLIALSTLGFCMCSMRRLARQTQVFHPQVLRRGS TFPGYLEISDVSDLEFFVSADSRDWLIPFEALTLQKEVGNGTSGQVFQSLYHSGGGNS VVAVKRLYSPVTGQEYFQSFFRREVSILSKLHHPHVVRFYGVSYYSRILYIVTDFCQT SLSHLIENPTTKGPFEPTFFMKVVVQITSGMGFLHSRNVVHRDLKPANVLLTDTDDVN ICDFGLSRLIDPETTSMTAEVGTPSYMAPEMATMGGAQCSTKGDVYSFGILLYTMWSR SKPYGDQGMNPFQLMTAVVNGLRPVIPINCPPGLEKLMKSCWDANPVGRPSFPEVSLT LQDPAILQCPPTSDMPLTVEERPMMPNRFRLNSRDHYVEPTNYGSCNNNHD H257_13498 MSNIDDELDEAMMEDENEGGLTQDDVEGDGDNIDDVDKTQSTEN SDNENDLNDGGDVDAGDETGEDNDESAKEDDDEEESEESKNLAKLKFIQNLPEGAKRQ HEQFRRSHFDKFVIKRCMMQVIEECSGNDRKAPAVHINLAIVMSGLAKKFVGELTEKA RELMQKNGDTGPIRPHHLREAHRKYYKAHPLARGRQRRRLLAKGWTGSIYCGASPKGR GKVNLHGQADVSVS H257_13498 MSNIDDELDEAMMEDENEGGLTQDDVEGDGDNIDDVDKTQSTEN SDNENDLNDGGDVDAGDETGEDNDESAKEDDDEEESEESKNLAKLKFIQNLPEGAKRQ HEQFRRSHFDKFVIKRCMMQVIEECSGNDRKAPAVHINLAIVMSGLAKKFVGELTEKA RELMQKNGDTGPIRPHHLREAHRKYYKAHPLARGRQRRRLLA H257_13499 MEAKKGPKKKSLKGGGKHSSVSSIHSVMEQLDQYEKSVLDRTRK SDVTIPVVGGSTAMSPSRSRQKLIKESHSKSDLAAIPPTNQHIHTHSQHPPTTPTSLK ALDLVQFLEQNVPHNTITDQKPFTRSYLPLRLFSDTSDVAAQDWVRKGPKKAVGLSVN EETGVVGNWSYCTVTSFDMQHDMFVVSWMAGARVGPPLVPRVYLCFDVESRQGFVDRL LECVDRRRESEARLRFSLYVESMPNDVEAQLDSDRVNSILSYALSSSRLSTNARTAQL LEEVNEAYMRTMNALILETNLADVQREFPMLLYPTRHVPRPPPDCGVVPVAPYDYNDR FVRATTDTFLSSLPAIRALLDVRQFSNNIMGQTLMKTEQAVDSTDGARSVVVTDFVAT QNQVNQNAMRFLRDNWTRAAAKAIQAHMGGADMSGSVRYNLAESHRNLYEDTPLQRIL RRVNFMAQESLRNLIFSTFHAYATFIENMSAKTVVVRDTNDVDVVCSEETLKTCNNYT PLFKVDVVVSADVKVLNQDAIADRQAKIQAWLDENKDDGDKCPLEPIDAVDGHDFEYA TPPSAFLEAASSQFETVLARVKALELIERLVMDRLFWPHQDCIPCIDLNEEWVQQLLA HVHTCLSDALVPMDAFHRMLSKYVPLLNLNVADYLGGMVKAPEAKSKEDDTADAAEVD VTPPMELNELRRVIQKHRDAERTILDNIPAQPVNLGIVSVDLSNVRTILAKKHSEIYT KLLETQVSYCYRLSTKLTGNFEEINANLAVTPKDVEGLTQLQDYIAGVPAAIQPLQEE IRLMLGNNDLVDEFQFMVSDDHLRLKWNVLGWPNRIATQSARFKWALEERKVKFAKQM EAEQDRFEKTLSSLVEEVDAFSRYDNLSHVEDIAHHAMAVQKKLDQATQDAVVFNSRE TLFGKEVTAYDMLAVAKKNFEPYNLLWASSYNWIQSRKQWTQGSFTDVNAEEIEKSVD TYSTSIQKATKFFANSGNDVCAKIAETIKEQINVFKPYVPLIVALRNPGMRPRHWNEL SRVLGFTLELDESFTLNSIFRLNLLDQIDDIVKVSEAAGREYQIDVALAAMKKQWDAI DLQIISYRETGTYIIKGVDEIQMVLDEHITMTQAMMFSSFKGPFEEQITEWNATLQLV SEVLEEWLAVQRSWLYLQPIFESPDINKQLPLEGKRFASVDKNWRQTLSSGKTKPRAV DFCNSQKLLEKFKESNIFLDMVQKGLSDYLEVKRSSFARFYFLSNDELLSILSESKDV KLVQPHLKKCFEGIVKVEFQDDLTITAMISAEGEQVKMHEPIDPNGKNVEFWMLEVEN MMRTSIRDIMHQAIIDYVRVDRSKWVQKWPGMCVLNASQMHWTREMEEAMDQSGADGV KAMLQRQLGQLADMVKMVRGNLDKMTRITMGALTVIDVHARDVTKKLVANNVQAKADF LWSSQLKYYWDNDLFAQMVTSRRPYGYEYLGNSFRLVITPLTDKCYLTLMGALQMILG GAPAGPAGTGKTETTKDLAKALAKQCVVFNCSDGLDFIAMGKFFKGLASCGAWACFDE FNRIDIEVLSVVGQQVMTLQLGIRRGDQRIIFEDSDIKLSDQFGVFITMNPGYAGRSE LPDSLAALFRPVAMMVPDYALIGEIMFFAYGFADAHALGAKMVTTFKLCSEQLSSQSH YDYGMRAVKTVITAAGNLKRSDPDMDEDVLLLRALQDVNLPKFLAHDIPLFNGIISDL FLGKCRPAIDLGALISVIKLKTQHMGLQPVPYFLTKCIQLYETIVVRHGLMVVGATGG GKSANIDVLAEALGELKNRGESGFAYEKVVKYQLNPKSITMGQLYGEFDANTHEWQDG ILSTLYRVAASCTKADRKWIIFDGPVDAIWIENMNTVLDDNKKLCLNSGEMLQMSYQM TMMFEVEDLSVASPATVSRTGMVYMEPSTLGLQPLVTSWLETFPKLLQPHAISFLKLF DVYLYSSVAFMRSYLVELVPTIDNNLCQSLMSILNCFLSPYFAAEPATPDAAFKQDIE PIFVFALVWSVGATTNDQGRSRFDAYLRLQMLSNNMNPAVPLKGLVYDYAYSMKEHKW VSWMDTIAPYRVPAQISFAEIVVPTSDSVRNTFLLDQLIKIEKHVLMVGATGTGKTVN ISRFLASLNADYMPIAMTFSAQTSANQTQDLLDSKMEKRRKGVFGPPAGKKFVVYVDD LNMPKREKYFAQPPLELIRQWFDQGGWYDRKLLQFRSIIDVLYVASMGPPGGGRNPIT MRLLRHFNVVCYAELNDENKAIIFSTILSSFLASFAPDVQKLDKDCVQASIHVYNTIC RELLPTPAKSHYTFNLRDLAKIFQGCLMCDARKIQDGVGFMRLWVHECKRVFEDRMVS QDDHQWFTDLLRSTTQSYFKTDYPTIVQTPYLMYGDYLVPGADPKIYEEIVDVTKLLP IIEEYLMDHNAESKTPMPLVLFIDAVEHVSRISRIIRQPLGNALLLGVGGSGRQSLTK LATFMAGYTCFQVEIVKGYGMVEWRDDVKRCLLLAGLKDSPVVFLFSDVQVVNETMLE DLNGVLNSGSVPNLYGPEDMDQIVNACKIDCQKKQIPPTKTNIFSQYIVRVRKNIHLV ICMSPIGNAFRDRLRMFPSLVNCCTIDWFSEWPAEALNSVATAILSDGNLKLGDADQM QHLVEVIKSIHQSVEAKSKEYFSILRRYFYVTPTSYLELLSTFKTVLVSKREEVNTMK FRLQNGVDKLSETKVIVATMQNDLVELQPVLAATQIEVEQMMIQITKDRADADVTKAV VEKEEANASTKARATKEIADSAQRDLDEALPALDAAVACLNKLKKSDIDEVKSLKTPP SGVKLTMEVVCILFQHKPTMKADPDKPGKKISDYWDVAQKVVLANANKFLESLFAFDK DNIPDATIAKVQPYIDDPNFTPEMIEKSSKACTAICMWARAMYTYHFVAKSVEPKKKA LAEAQTELDATMEILRGAQAGLKQVTDRLAELERSYNAAITKKEELAAKVIQCQVQLA NADKLIGGLGGEETRWKATVAQLNEDYVNLTGDVLVSAGTISYQGAFTAEFRDALVTS WHTALQKYHVPHSKGCDIVVTLQDPVKLRTWQIAGLPTDSVSTQNGIIISRARRWPLM IDPQGQANRFVKNLGKEKSLCENGMDVVKQSDKNFLRALENGIRFGKWVVLENVTEEL DAALEPVLLQQKFKQGGQDVIRLGENIIPYNDCFRFYMTTKLANPHYPPEVCVKVSLL NFTITMKGLEEQLLNVVVQKEMPELAEKKNELVLSNAESKKQLYDIENQILYLLSHSQ GNILDDTNLIETLASSKQTSAIVVEKMKEAEETEKEIDARSNGYRPVAYRAALLFFCI ADLSRVDPMYQYSLPWFTNIFIRAILAAKQSSVLEQRLQYLNDTFTYSVYKNVCRSLF EKHKLLFSFLLTIKILQGSDLINALEWRFLLSGMAPGTPVSLDNPAPHWLEGYAWTEL CRVSSLPAFIGFAESFGKEKEAYRAMFDSSEPHMVQLPGGWHDMLDGFQRLCILRSLR PDKMMQGIQNLVIEKLGKEFIEPPPFDLQETFEDSTPVSPLIFVLSQGSDPAKDLLTF AIATKMDTKLKSIALGQGQGTLAVRLIENAVLKGEWVLLQNCHLALSWMSDLERICEE LDPAKLHVDFRLWLTSMPTPVFPTSVLQNGVKMTKEAPKGLRANLKNTYFKLNDDSLT KTNKPGVFCKLLFGLCFYHAIVCERKRFGALGWNIPYSFNETDLDISVAQLEMFLDTY DKVPFEVLQVMTSMINYGGRITDDKDMRTSDVILMTYFKSDILTDGYKFSSSGVYNSI PCNPKAPYASYVDYINSLPINPEPEVFGMHDNANITCAQAETYDMFDIILSLQPRMSS GAGKSREDTIADAAKTIETSLPPNFDLDFVQVKYPVMYTESMNTVLAQEVERFNKLLG VMKDTLKQVQKGLKGLIVLSSELESMGNSLYDQKVPTLWEGKAYPSLKPLTLWVADLI DRLTFISNWIDKGIPTVFWISGFFFPQGFMTGIIQNHARRQKLPIDTLSFQFIMMQQD LHDVTDRPAEGCYVYGLFLEGARWNKELASLDDPFPKELFAKMPLMHLHPQQKRQAPT GGIYRCPVYKILTRTGTLSTTGHSTNFVMWIEIPSIRPTIWRNSLVSETNAQVLFCDQ EYWIKAGVACFCSLRY H257_13500 MIRYVGPRTLDNGLSSLIQKEQHAEDTKLCSTNAAQDKHNWANK TNHLLLDNMKGFIVHEVVPQVSPCGDYVEYVFVMENSRSGTTWDIKRRYSLFHDLRDD LEELFETPHCHYCKKAVEQLQALSFPPKRLFHSDETILQRVEEFHAYIQATLKILSNP FYRSCSLVSVQAHSLIKRFLLTGMRRHDVIECKQHHAMYSVPLLLRELQLHANGKRLE PIVEQPSLSLAKAC H257_13501 MFIEEVIIDGFKSYATRTVVSGFDPRFNAITGLNGSGKSNILDA ICFVLGITNLSAVRASNLQELVYKQGQAGVTKASVTILFNNEDSSKSPVGYEQYKQIS VARQVVIGGKNKYLINGHTAQVNQVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPE ILGMIEEAAGTRMYENKKQSALKTMGKKEKKVDEINKILAEEITPTLEKLRKEKMNYM QWAANNTEMERLARFCIAYEFTKAEQVSAKSSTELKDIQDKLETLSQMRLHHEALMES TQDKLDAINLNRNKHMRGEFQGLKDKEESLGKELVKVRTKHTNAQSSLTATETNVQAL RDQRRDVEAAIDAMAASLATKQADFDRIQAAHTDKSDALAAIVDEIQSLNAGVSSHVS KKSLGEQLAASQKELQEQTSLLHQLTMKIKHMETNLKTKQAALATTKSQNAALEAKHA ALSAQIQHLQDDLSRLGFTEDNPVKRQRHDLQHTLQSLRRDLDEATASVSSKLRFEYH NPRIDPSSVKGMVAKLLTLKHDWSATALELAAGGKLYHVVVDTDQTGKQLLQHGNLKT RVTIIPLNRITRKVLPPQKVAAAKAVARTEGGQVWEALELVECDRDVQPAMEYAFGTT LICDKSDVAKQVTFHRDVQTRTVTLEGDSFDPAGTLQGGSAPANNASILKKVQVLVDL TRKIRSTEHELDELDAQYQALEKDAQVYHKLHQQLDLKHHERELLESQMQGTSYGMIQ QEINRIQDDLVTSKLGVDTAEAAIARLRNQVDTLQQDIDSLKHSRSSRLAQLEVRQKQ AKIDVSAASNVLKQHDQELSEIKLELESVQSERETALESLKAAEDDRVRLLADVDKCA DHLERVERDARAVGDQLKAKRDALNACDNELKTLQGELNGHETAMNDCDIEIKKIEHR IQRIQKETTTSHTAVNHLLDTHSWIATERQYFGKEHTDYDFRQKDYPTAQKRLAGLKD TQGALSKKINKKVMGMIEKAEHEYQSLMTKRGIIENDKTKITAVIQELDAKKNEALET TWVKVNTDFGAIFSTLLPGTTAKLDPPEHGTVLDGLVVKVAFGQVWKESLTELSGGQR SLLALSLILSLLLFKPAPMYILDEVDAALDLSHTQNIGQMIRTHFSHSQFIVVSLKEG MFNNANVVFRTKFVDGVSAVSRSTPHASHR H257_13502 MGLLRCILRPLCVLMLLASYLACICVAGSIADSAGLPSPPGEPP TSIDSIIVSRSLLSNATSLNYSDSTQFSSATQMALVRRTGSLIHFHWFQPLTTTHTLY ANGNIVGFVPAYQNEFKLGGLVADAVYFLVLADPSGSSGDDAQILTASTTEPDAPDPP TSLEAATVSAGYAVISMDLPLNTGGVPLTTSLNCSVKHNFDEFYVGTTYSITEDDGDF STLAQINGLDASTMYFLSCAVQNEAGYSSTQSASNIAFTTTAVTQPSRCDAPQVQNIT GGSITLTFTPPADTGGSRILSYRLFAAVNDSPLFDEIAVTYDPVLTLYQLEDGHPFTS NTTYSFRVVAENWAGLCYPPGDTSFLSDSLVVTFPEPSFPPEILPPYVTQAGASTLSL QVVLADDMYGIAHVWGYVVQWKPTDGSLWNAVYTPADDFNSFRFVLTRLNASMSYHVR TILSTNLGYSSFSSIVVATTTPGASPDAPQDVTVTQTGATFAYMDWVVPADTGGGRLD GFKLYVVPTSFWTSNDTTTSFKPSEIMRLDTSEGMVHTEITSLAPDTSYNGSIVVRNE FGLYSTVVWSFAFSTTSASTPSTPQRFFAFETTGGMLHFTWSTPINEGGAGKVTYVVE CDGMDGDGATPYVLCSNSTTSCKAVGLMASTAYIVSVRAQNRLGSSDPTTLQQFTTTS QTPPSSVRNLTSPVVTSGLVQLQWTMPQDLGGVTTVEYIIERNGIYVGTAYNTTTFAD CTNVIAGQTYSYAVVPASAAGRGQAAVIRVRMGIVQPPGAPTAVLVLAKARSVVVLFQ PSCDTGGSTDVQGFYSWEAVPTLTSVGPPNSVVATKAANFTLNGLDPGRRYRLLVWAT SVGGTSPTFSLDVITHNGIPTSAGELSLNMASSTDLDVIVSPPPNFPDDVVLSLVLKI TNMANGTVSWKVLDNTTLSPSHLRLFGLNPATEYSLQLTAQSNLGTSAPTTTVMSTLP SQPGYVSLQDTSLSILNGSSNVMLWLNRCNGSQGTIVVSLEFATTPAMYPSCSCADSC VCDFTSVAPKTTPLPPSVVFSPSSPAKSVLLRTWNSAVVDQVPHVLRMTLTSNSSTAG PNNSCLVLLYGNPGSISAVMPNYTAVDTTGTFYIPLVRRNGSSGNVMVTLSDVVRIMD TTDPSSGDDNESLPFNAWNTSIILAPRQVNGSFGLFNIVPSVTYTPFGRQYVLTFDTN ATLEQSRRFQVTLLLTDDPTVLKLPLPIIRNEITLQRATGTMLSLTWPRPTYPVLATY KFTAEISGPYQSTMVDPRQMNWSSIEVSEGEDVPPEAAFFNLVPQSVYFVRVAPVNDA YVGPTTTAHAFWTTTPGPASQPLGLTISRITGGSMAVAWRAPMDTSGLAIESYVINVY VNASSLIRSATTSATSYVVSNLVASTLYTVLVGANTKFPAVSFASAANRTVVGGTVPA APFPAQVQQVTGGSLTLLIAPSDDTGGYAITKYTLYISPAGKNDFTIACSSGSTSCTV NRLAQTTDYDVVTTTTNRVGTSGLGPVARFRTTTLTVPSSPLNLQLVGATATTVWLKW TAPQDFGGSVALVGYQIRMQTYIAELNTWTPFELASTLLPLDGLSTQGKVVNLTSQTL YSFALVAMNPISTCTAADNYVPSGPVTVQTSAPGKPQPPTDVVLVGTSGGSISLTWSP PLDDGGMRITAYAVYAPGLGRLATVNDTLFMKFGLQANTTYSYWVTAITSQGESVPSG NASGTTTSISAPSAPLRFVQVGAAGGQVVLNWDPPVDDGGAAVFGYNLYRDGALLSSL PSTTSYTDSNGLHTNQTYMYGIAALNPLSEGDPTTLTAFTDTTPGTPQAPLLTITGRT GGMVSVLTTLPTDMGGAPLESLQLQLFDTESHLLQQLTSEESLELSFYGLASATVYNI QGQVVVTGGGSSNGTMIQFMTTNTTVPMAPPTPILWSANGGNIQFLLTQPMDRGGETC LLILSHISLATNEFERLPFQVSTSDVVTVKGLDATTTHQFWATAVNSAGESSSSPTMY ASTIEMSVPEQVGGAILVTGTTYRSVSISWNEVVDTGGDDTANVLYNVSISSSKGGTR YVSTSWASLSIGNLTAQTTYTLQVQAINSIGCGVWSSPSTATTDYAVPGVLQFVSQTV QVFENMTTVTLSVGRTSGTANTVLCAFTASSGTATTPTNYILPDLNSLEFVDGVTSQT ITVQIVNNDVVDPPRYFDVTLSSILSETGSLGSNKTCRVVILDEGDAGQIWFSDVSYT ILESQATALLTLRRSMNYTSGTVSIQPKFRQAQTAVTFSSPTVIFDGGQTTATLTLAI RRDGQYNYPYRTATIDLSIAPESAGDIGYPDTATVYIVDDVDVAPPDDLGYVPVQLDT SGGRMVIQVPPPRHVGGLNQSVVSYRTNMTDSSGRLVSIQSQNSSVFTFVGLNFSTRY TFASSVTNRAGLVSNGSGPVSWTTGPMSLPSSPTNIRVVGATGGSIDVCWGGPLDAGG IPISGYQIQYADSVVYNNTALCAHLSNLALQANTTYPFSFSAINLVGVGVPVIAMLST AINASLPNELGSPTSVVQVGGDSLMIQLPLPADTGGTDVLRYLIYINTTNSSDQTTTT TVFTEFAINTTRRIVLPRLTAETTYAVRYTAWNKLGGSNVSGTFMYITGPATIPSEPT NLRLDRSLNSTGACIPLRWDPPTSNGGIPLAEYHLYVAKSPTNGNAMQTNLTFTEVYV GPASVTSTIACGFDQRSSYVFKAVAFNSKSFCFGSASDMPFSPVLVASTTNASVPSRP DTPQVVSSTGGMVTLAWSVPKDSGGTPLTSFDVNLIASNGSMLVQRLTGSDLTYDVAG LDEFKTYEFQLVARNGIGESAPSPIAFGRTTAATSPTKPLNLTAVNRTGGSLFLSWQP PQDSGGRPIASYFVYRDTVRVGDSQGSTYYLDQANLQADTTYAYFIIAYTNIAYGRQS DTLVTKTAAGTLPNSCTDVTVQATGGSLSVSWVAPQNSGGVPIISYTSVLRLGGKEVG RLTTAKPTATFSLLQFTTTYVFVVNATNTVGSGPAAQAVLKTTNSSVPGAPTSPPTLV SVFGGNATLSMDVPPDTGGETTRLNFLVYQNGQLVLSVPAYTTTGTLGSIYGLTANTV YQIRYACSNVVGAGPSSPVLEVATSLPNAPGIMRAPTVAGTTSRTVRLNWIRPVDSGG NAVLRYEVDAGGSSWIFVNVTGGVVTSLLPETVYNVRVRAVSTTTVAQPGLWSPYMQI STAPASAGVFSFGVAMSSVLKNASTFAFPISRLGGSVGAVSVTLTTTRLDLIGTQLVL NRDSPTASRLVVDFDDGVVQVIVEVGIINDRVYVADGVSIDLKLVDPTNFAVLSSDFN VTTLVLLDAGAAGQISFASASASVLESASVIVLPLTRTGGASSVVQVVARASQNAANS SFSSAVIGADFRLPSTPIRFDDGETKANVSVIIRNNNVYNFPSLTFTLQLVTFSGGAS IGENSTVIVTLLDDGDSSPPSAPGRPTLASVTGGAVELFLTEPANKGYKNAAVVMYLV SIVNSTSTTVLYPSAKTSWVGGFLANSNYALVVQAANDAFNPIRYGASSPVLSFTTGN PSMNGPATSLRVVASTGGLLSMAWSDPVDTGGVPIQRYRVKWIDGRGIVQTGETLTPN YIIYQLWSNTSYTVQVQCNNGVPNALTHGWGPYSPAQSFQTSDASLPSAPVQLLPSPI RSGGSVTMTWTPPDDTGGINISRYFVLMKLWNETNFYLSPNQFQVEPTRYTVSGLYAQ TAYNFRVLAQNTMGHVLLPGLFNISSKASVISASADVGTSWIRPGTAILIGNFFFTTG RTMTRNLISVTTPHMFASITNAMGMLVGEPSASINMTTSYPTLPEAPQVPVITRVTGG AAYGYLVSPVDTGGVRVSGFRVYFTDLTTNKVVEAVTSNDDDASPVAGQPLARNETFR ITGLEPQHWYRLRGLALNSFSTCTNELAPTSPSIEFQTTAATIPDPPENLVVVLATGA GFSFGWDPPMDTGGVHITQYTLQLFNESTQAWKTVYANTTTSCRVAKLATKTWYRWRM QATNIAGTSNYSPTYSFQTSVVSAPGPCRQPNQKAFTGGMISVTWDPPDDNGGSDIVS YILEYYNQVGGPSLRVVSKSTSANVFGLRASTSYTMKVTAVNRIGAGESGAEGTLSTG PPSPPEVPEPPVITESSGGALKISMKAPLDIGGVDPASLFYEIYANGYLVLNISYAEL IVADQQSSGGTSQRRLQSQSNGVTVGGLDSNTKYQISVAASSPAGSSPKSIQHEMATS SETVPGAPAAPYVIQANGGSLVIGWTSPADEGGASVSLFQLYIGSNASTPACEGLVWQ CTVTGLMSNSAFEFYVVAQNSIGASSPSGILQAKTSLLSALGAPQALRLVSLTADGHG ASIAWSPPLDTGGLLVSGYTCTAEHTVDHTTVSVTVPTTSAQLLQLAPAAAYTVTCIT TNIQFDKSTPSGIVTFTTPSGNGSPSAPIVTCVSKSALSLQWQPIAEAASYTLYRNGA LIYAGQATAFWDSDLADSTSYSYDLSYLTTGHVESAKTSSVFATGTNLTPTCTSQSGY INQGTYHPSARQSWMITPASGVFNSILLQFSRFNVECDHDVVTVEHFPYDGTSVLWSG GCTRANTFSLYSAQPNASLKITLASDPSVQNSGFELMYSVDADPPSSVFPSCPQKSGQ NVCSNHGVCTSTGECNCNLGFTGEDCSNYVICCTDPTVCHDQVCDMRPQDIILVSTTS GDDVEGTGELMGVGDVGGTAPKPFATLTRAMRAATNASVILLYPGVYSDIGDCSLSFA QQQVDIRGFLGSNYVTLNCSTSGMIMEACNASVRGLTVQNIQSGSSGAAFHVHQTELS LRDVQVRNCRSSADGGGIYSDQSAITMTSSSITNCSALHGGGIFATTSQLSLASTFIS SNRATDGAGVYVTGTSEVRGDGAIIAGNMANNIGGGVVAHGVAIVSGVTIASNSATFG GGVSLDGQMDLGQVLVDSNRASRFGGGVYTLAELVLTATDVVVSNNAAVERGGGLYLH NTTCSIVGSGCLFQSNAAQDGGGAYVDASRASWDHVVFNACNATTTGGGGIATFQSDL FVSHSTVDGNFARLGGGMCASGGSKVTLVEVDIVNNMARFGGGMAIDRSEVVGGVLRD NLATEGGGGIFLSDGMLSAANIDSSATLGHGGGVYVTESAFNSLTNVHVTEATSSGNG GGMYCENSSVALTNVSFVRNNASQHGGGVFLQETTLTGRCYATNCTAALSGGGLSIAG AVGIDAVYIAFSTSILGGGFSAIDAIFVVHHATLEANEARQNGGGGFIRNSQGVLDDW TVRSNEAGVLGGGLMVANSNVQHNQVLVADNQATELGGGIYVDGSEFQPVTPGTLSIV QGNNAYRGGNIALAGESELVGLNATNGLAAYGGGIYVANATCQVEGLVVLANTAFNNG GGFFIQSSTCSVSNTLVRRNGAVYGGGVVVSDGLVQHSNTTIVQNVAGSAGGLFLDGL STFHLTDDQAGISTTISDNQVCATKCGNGGNIGVFEYSDASLSGLVVSKGSSEYGGAM FVYFGSSAVVTDSSFENNVANFGGAFSAYERTNTSFVNCSFEDNSARTSGGAVYMPFP INWDESSAVSLFQSRLARNKALNGMGGAICIRNVILVADQVVLEFNQAIGSDGGALYA TGGSDVTFRRSRLVQNTVTPGHSGGAISVTGQSKILMADSHVEGSALSSENSASDGGL INIEQASTAVVMVRTSLDVGYADFGGGVFISDGRLDMTDSTISNCKSWQFGGALYLTS TATVVLSNSSILTSDAMYSGGGVYVEAQSTFTAISSTLENNYADDQGGGIFVAIGSGN VCVLTGCSVRHNIASGLGSAVFGSRDSQLVATNTLFEANGGATFYGTSEGGPLYFESS FATLSDCTIAGNIASNGGALQIQNTADVAVLRCEFWNNTALASGGAMYQKNGVTTVAN TSFQYNVAPSGGAIYLDESSVSTWTNVSLNANTADSGGGAYIGGSSKVTYHGGQMTSN RANLGGGIFASENAVFLASSIQTRANVALQSGGAFYLNDTVNVNHTELEFVANAAPLG RDVFWRYVQTSPSYACAKNCTFDRTSAEPAIATDPMAIRLGWWPPYATSGVPMTSSSL ENVTLVWDNATNSSVPWPTVLVVDFYGHRSILDNSTVCRVYKKASELVKIMFLPNSQV ASRLGFVSFQNAEVQTDPKEAPFEMTVECRLYNHQTRALDLNIKVQPCDPGYMLQTQK CVQCAQGTFSLDGLTCHSCPLGANCETIQTVGTGQLTSGVAFPSTQQGYFLADAPPTT VQKLCDIAQYFPSGDPCPGGTDADRLDRMRRCMNDTNFKLHWDENRIFTCSSGFMFYS CPVTEACKGSISKSEVTTHLGHVCQEGYTSPLCGSCEAGYQKMDDGTCIPCDDVSRSA FYGYVTIPILMVVVGLYAIALYLHKDTDKMLMAQARAATEHKTFVPPLSTSKLKPAIR RVEKTVHRTWKRLTAFVKARPFKKKLSKNLFGIQRMALPTVGFNAEKFKILLSFFQIF SNLKDTYQIAWPKDVANLMAAVSKFNFGFMSIPHLDCMIAFNYYSDFRLTLATAAVSF AALYLAFRWGVFVYKRKLHKIPRLCTECGLPNTEISTGTRISAMRQFAMEIERDRHTS KLKKILSRLLLSIEKDEVKNAMPTYTSKHSTCPTSQRVTDDALRRKIVHTNIQLWQAR VKLRFNFRTYSDKCMKIFFWLALFMYPSVSQKVLNMFNCAQVGLGSFLVSDMTLQCTD GYWYSHAIVAVVGIVVWVFGVPFYCWSILFQERMAGVRLRMRLLKDNKHEVLRQKWIA KMKDDYKASGKYWHNNYDSFVNMLLPEYMKKRNMELPSTIARVGFIYAAYQDSFWFFE IVDLIRKLLLNGVLSFAERGSVNQIVIGMMIIFAYMAILLAVQPYKNRSNTLVASMAQ LQLFVTLFAGLLIKMNVGKAQSLDMSTISSVIIVTNIAAISITLIEAVREKYLERKRF KQIRKRKYQNDLRYHVQRLWWRAMTYAVAEVYLQRRHDDPSEDVLLPSFRVVLELARR QRAATDRQVVNSPPPDEAPVVPIIDANDVLVVTSTESKQPIE H257_13503 MVHGLALPLGVVVLWSGLRDACASKLLLRSLHSIGTVPPMNVAE MPSGKALQVEAPEPLAVAIAVPDGSVTTSLDFANGYGTSFECTSVCHFITISFALAIG LFLILLLVIPVSTTNYVKSSSRKRVSKRPLDAAADELPYQVAPHAPPQALHSRDRRVA NDVAAAIDDDIDTTDASRYDFIASLSAGPNDTQPLIKAIRTASMLSPRSQRLRTALGQ SPLGAARAKQQHRGVAESAPPPAPSTTSYETLKDEEIQEAEYLEFVRRLSGDGIVLKR IKTKGRGVKIAKWRIRLTHDMMALHLSKQEGLHVLKKTESVQVKDIVGMTTGTLEAGG AIYLSLLQVDNSSLDFQADNEAMHQKMYNGFGMLLRGAQPGKT H257_13504 MFRLRKSAAPLITFRQRHLSTGPIDRRGAAKFEKRAVLELADGS KYHGISFGADTSMAGEVVFTTAMVGYPESLTDPSFQGQILNMTFPMIGNYGVPCTKTL DEYGLPKFLESNRIHAAGMIVQDYSSHYSHWNAKSSLSEWLVQEGIPAIAGIDTRAIT KKIRAKGAIAGRIVVEGNEIPAFADPNLRNLVAEVSTKTVKTYGKGNPLKILAVDCGI KYNIIRELVKRGAEVKVVPWDHDIASEASWYDGLFISNGPGDPSTLTQTVEQLKKVIH SDVVKPIFGICLGNQLLGRAAGAGTYKLPFGNRGQNQPVNNLKTGQSYITSQNHGYAL EGHDLPTEWEELFVNGNDGTNEGIIHKTKPFFTAQFHPEHAGGPTDTAFLFDTFLDAV WAKETGPITSLVQRPVVERPKFNKVLVLGSGGLSIGQAGEFDYSGSQAIKALKEENIT TILINPNIASVQTNADKTAAQADNVYYLPVNAEFVEQVIRRERPDGILISMGGQTALN CGVELHHNGVFEKYGVRVLGTPISVIEATEDRQIFNDKLNEIGEKIATSFTAESVAEA LAAADKIGYPVMIRSAFALGGLGSGICDDKAHLTQMAKKAFAGSPQILVERSMKGWKE VEYEVVRDSADNCITVCNMENFDPLGIHTGDSIVIAPSQTLSNTEYHMLRETALKVVR HLGIVGECNIQYALNPHNQDYCIIEVNARLSRSSALASKATGYPLAFVAAKLGLGINL PELKNSVTKSTTACFEPSLDYCVAKVPRWDLSKFENVSTEIGSSMKSVGEVMAIGRTF EEVIQKALRMVEPANAGFEPKVEDPFTKEGLIKSLAVPTDKRIFHIARALNDGILTID EVHEITKIDTWYLSRLQRISDCDANLTALGSLAKVTDEQLRTAKELGFSDKQLGRMLG VSDDDVRAARKQRGILPIVKQIDTLAAEYPAKTNYLYSTYNASENDIEAKAANDGVLV LGSGAYRIGSSVEFDWCAVSCIRTLRRLGYRAVMMNYNPETVSTDYDECDQLYFEELT KERILDVYEREGVQGVVVSVGGQIPNNLALPLHKAGVHVLGTSPLDIDSAEDRHKFSD LMDQIGVAQPAWKELTSMDAARQFANEAGYPVLVRPSYVLSGAAMNVAYNDRDLERVL GEASAVSQDHPVVISKFVQGAREIELDGVARNGEIIAAAVSEHVENAGVHSGDATLIL PPVTVSSYYVDRVRQAGAKIVKALNISGPFNAQFLAKDADVLAIECNLRASRSFPFVS KTVGADFIAAATKVFVGEDTSNDNLPPLYGPPRPTEYVGIKSPMFSYTRLGGADPLLG VEMASTGEVACFGKTKHEAFLKALVSSNFKLPEKHILLSFQDRYSSEAIHYAHKLVEL GFDLHTTEKTHEFLTKHGVPSTLVAYPSSDLSSEANALNLIKDGKIDLVINLSNADSL RVKENYLIRRTAADFSVPLLTNGQLVQLFVESMDEHKKKPILGVHSASLFDYYDDENE KPWTNAHEYH H257_13504 MFRLRKSAAPLITFRQRHLSTGPIDRRGAAKFEKRAVLELADGS KYHGISFGADTSMAGEVVFTTAMVGYPESLTDPSFQGQILNMTFPMIGNYGVPCTKTL DEYGLPKFLESNRIHAAGMIVQDYSSHYSHWNAKSSLSEWLVQEGIPAIAGIDTRAIT KKIRAKGAIAGRIVVEGNEIPAFADPNLRNLVAEVSTKTVKTYGKGNPLKILAVDCGI KYNIIRELVKRGAEVKVVPWDHDIASEASWYDGLFISNGPGDPSTLTQTVEQLKKVIH SDVVKPIFGICLGNQLLGRAAGAGTYKLPFGNRGQNQPVNNLKTGQSYITSQNHGYAL EGHDLPTEWEELFVNGNDGTNEGIIHKTKPFFTAQFHPEHAGGPTDTAFLFDTFLDAV WAKETGPITSLVQRPVVERPKFNKVLVLGSGGLSIGQAGEFDYSGSQAIKALKEENIT TILINPNIASVQTNADKTAAQADNVYYLPVNAEFVEQVIRRERPDGILISMGGQTALN CGVELHHNGVFEKYGVRVLGTPISVIEATEDRQIFNDKLNEIGEKIATSFTAESVAEA LAAADKIGYPVMIRSAFALGGLGSGICDDKAHLTQMAKKAFAGSPQILVERSMKGWKE VEYEVVRDSADNCITVCNMENFDPLGIHTGDSIVIAPSQTLSNTEYHMLRETALKVVR HLGIVGECNIQYALNPHNQDYCIIEVNARLSRSSALASKATGYPLAFVAAKLGLGINL PELKNSVTKSTTACFEPSLDYCVAKVPRWDLSKFENVSTEIGSSMKSVGEVMAIGRTF EEVIQKALRMVEPANAGFEPKVEDPFTKEGLIKSLAVPTDKRIFHIARALNDGILTID EVHEITKIDTWYLSRLQRISDCDANLTALGSLAKVTDEQLRTAKELGFSDKQLGRMLG VSDDDVRAARKQRGILPIVKQIDTLAAEYPAKTNYLYSTYNASENDIEAKAANDGVLV LGSGAYRIGSSVEFDWCAVSCIRTLRRLGYRAVMMNYNPETVSTDYDECDQLYFEELT KERILDVYEREGVQGVVVSVGGQIPNNLALPLHKAGVHVLGTSPLDIDSAEDRHKFSD LMDQIGVAQPAWKELTSMDAARQFANEAGYPVLVRPSYVLSGAAMNVAYNDRDLGSTR RQMLSKE H257_13504 MFRLRKSAAPLITFRQRHLSTGPIDRRGAAKFEKRAVLELADGS KYHGISFGADTSMAGEVVFTTAMVGYPESLTDPSFQGQILNMTFPMIGNYGVPCTKTL DEYGLPKFLESNRIHAAGMIVQDYSSHYSHWNAKSSLSEWLVQEGIPAIAGIDTRAIT KKIRAKGAIAGRIVVEGNEIPAFADPNLRNLVAEVSTKTVKTYGKGNPLKILAVDCGI KYNIIRELVKRGAEVKVVPWDHDIASEASWYDGLFISNGPGDPSTLTQTVEQLKKVIH SDVVKPIFGICLGNQLLGRAAGAGTYKLPFGNRGQNQPVNNLKTGQSYITSQNHGYAL EGHDLPTEWEELFVNGNDGTNEGIIHKTKPFFTAQFHPEHAGGPTDTAFLFDTFLDAV WAKETGPITSLVQRPVVERPKFNKVLVLGSGGLSIGQAGEFDYSGSQAIKALKEENIT TILINPNIASVQTNADKTAAQADNVYYLPVNAEFVEQVIRRERPDGILISMGGQTALN CGVELHHNGVFEKYGVRVLGTPISVIEATEDRQIFNDKLNEIGEKIATSFTAESVAEA LAAADKIGYPVMIRSAFALGGLGSGICDDKAHLTQMAKKAFAGSPQILVERSMKGWKE VEYEVVRDSADNCITVCNMENFDPLGIHTGDSIVIAPSQTLSNTEYHMLRETALKVVR HLGIVGECNIQYALNPHNQDYCIIEVNARLSRSSALASKATGYPLAFVAAKLGLGINL PELKNSVTKSTTACFEPSLDYCVAKVPRWDLSKFENVSTEIGSSMKSVGEVMAIGRTF EEVIQKALRMVEPANAGFEPKVEDPFTKEGLIKSLAVPTDKRIFHIARALNDGILTID EVHEITKIDTWYLSRLQRISDCDANLTALGSLAKVTDEQLRTAKELGFSDKQLGRMLG VSDDDVRAARKQRGILPIVKQIDTLAAEYPAKTNYLYSTYNASENDIEAKAANDGVLV LGSGAYRIGSSVEFDWCAVSCIRTLRRLGYRAVMMNYNPETVSTDYDECDQLYFEELT KERILDVYEREGVQGVVVSVGMYFPSMMVFFNGDGWDSFCG H257_13504 MFRLRKSAAPLITFRQRHLSTGPIDRRGAAKFEKRAVLELADGS KYHGISFGADTSMAGEVVFTTAMVGYPESLTDPSFQGQILNMTFPMIGNYGVPCTKTL DEYGLPKFLESNRIHAAGMIVQDYSSHYSHWNAKSSLSEWLVQEGIPAIAGIDTRAIT KKIRAKGAIAGRIVVEGNEIPAFADPNLRNLVAEVSTKTVKTYGKGNPLKILAVDCGI KYNIIRELVKRGAEVKVVPWDHDIASEASWYDGLFISNGPGDPSTLTQTVEQLKKVIH SDVVKPIFGICLGNQLLGRAAGAGTYKLPFGNRGQNQPVNNLKTGQSYITSQNHGYAL EGHDLPTEWEELFVNGNDGTNEGIIHKTKPFFTAQFHPEHAGGPTDTAFLFDTFLDAV WAKETGPITSLVQRPVVERPKFNKVLVLGSGGLSIGQAGEFDYSGSQAIKALKEENIT TILINPNIASVQTNADKTAAQADNVYYLPVNAEFVEQVIRRERPDGILISMGGQTALN CGVELHHNGVFEKYGVRVLGTPISVIEATEDRQIFNDKLNEIGEKIATSFTAESVAEA LAAADKIGYPVMIRSAFALGGLGSGICDDKAHLTQMAKKAFAGSPQILVERSMKGWKE VEYEVVRDSADNCITVCNMENFDPLGIHTGDSIVIAPSQTLSNTEYHMLRETALKVVR HLGIVGECNIQYALNPHNQDYCIIEVNARLSRSSALASKATGYPLAFVAAKLGLGINL PELKNSVTKSTTACFEPSLDYCVAKVPRWDLSKFENVSTEIGSSMKSVGEVMAIGRTF EEVIQKALRMVEPANAGFEPKVEDPFTKEGLIKSLAVPTDKRIFHIARALNDGILTID EVHEITKIDTWYLSRLQRISDCDANLTALGSLAKVTDEQLRTAKELGFSDKQLGRMLG VSDDDVRAARKQRGILPIVKQIDTLAAEYPAKTNYLYSTYNASENDIEAKAANDGVLV LGSGAYRIGSSVEFDWCAVSCIRTLRRLGYRAVMMNYNPETVSTDYDECDQLYFEELT KERILDVYEREGVQGVVVSVGMYFPSMMVFFNGDGWDSFCG H257_13505 MFHVRLEQAAAASCSEEEKPTIEFEVGNPRVELLTGRLRLFRSL ETTDDESKDEGDDAAGALSWLPIPRDAILGLDLTLLGFVSLPMHMGPLELIEFSKAFR SDIVVIRLLRDSDPRHSSRMALMQFSSANKAAQFYEAFNGSLFNSMEPERCRLVFVHN IEFIRERADHAPPLVVNGHAAAQGMLPVLSGDCRRDAPEGHVEIPTCPVCLDRLDTSA SGILTTLCNHTFHCDCLFRWEGSSCPVCRYSHGDIVSACEVCDTTDHLWICLICGHIG CGRYSNEHAKKHYQDTLHTYSLELETQRVWDYAGDGYVHRLIMNKQDGKYVEFPDPNG MLGNRGEDEHMKLEKLASEYNLLLTSQLEEQRLFYERRLSQLNETEHRRAVNVLEQEK KSLKKLNENLIKDAAKLTEDLHFVRELNKSLIDNQQQWKERVVVAELKVAKMETETQR RVDDLESQIRDLMFYLDTQSRVENSAHKRDIQGGQVHVQHEPRAASAADDKVKRKPSR TKK H257_13505 MFHVRLEQAAAASCSEEEKPTIEFEVGNPRVELLTGRLRLFRSL ETTDDESKDEGDDAAGALSWLPIPRDAILGLDLTLLGFVSLPMHMGPLELIEFSKAFR SDIVVIRLLRDSDPRHSSRMALMQFSSANKAAQFYEAFNGSLFNSMEPERCRLVFVHN IEFIRERADHAPPLVVNGHAAAQGMLPVLSGDCRRDAPEGHVEIPTCPVCLDRLDTSA SGILTTLCNHTFHCDCLFRWEGSSCPVCRYSHGDIVSACEVCDTTDHLWICLICGHIG CGRYSNEHAKKHYQDTLHTYSLELETQRVCASLDHEQARRQVRGIPRPERHAGQPRRR RAHEVGEVGVRVQLVVDEPTGRTAPVLRATAVPAERDGAPPRRKRAGAGEKESQETQR KPHQRRRQADRGLAFRARAQQVAD H257_13506 MEESKLLRLHDMLQKLRPKGKGGLGSSGGLMSKNQGPRDDGLPD NGLYSMFVREGHGGYVHKHFDDKNDAADNNDESADKKSKDKKSKKDKKRKLKDQVDED EGVEEVVADVTKASKKANKKAKKASKKAVETEEPDVEVTKKSKKSKVVEAEVPEEIQE PKANKKAKKASKEAVVVEEPVVEVTKKDKKSKKSKVVEAEVAEEIEEPKKAKKSKKNK VEAEEVQVAVVDEPVKKTKKSTKSAQDEPKKVKKSKKDKQ H257_13507 MTFWPILKQSHISKFVLETFDQMLRPRQLLSLATHLAAKGKPVQ RSAVAATGFRKVASASIARRALHTSTTALPSSPELVKQLLTLMKSDKDIQEYLKVYGT PGLRRTTVIKIGGEVVDRELDVLVKSLIFLKDAGLFPIVVHGAGPQMNDELAKAGVEP KYVKGNRVTDKATLAIAKRVFLAANRKLVDALAQAGVGVRPITNGVFEASIRDLDVYG YVGEVDKIHGESVYSAIASNQIPVLSSLGESVDGQLLNINADIAARELAITLQPYKTI FINSKGGWIEDGVKLPTIDMSTDYEPMAARDYTGRQGTLLKLNEINLLLNALPRSSSV VLTSASALSREILSDYSTGTVCTRGGGDGTPQPLHFNSNKYKVGLLGARGYVGRELIR VVGGHSDLELVVASSRALVGQKVLDIAQAPPLNPHTNAPATVLASQPAKLEGIHPELE FCALEDGDLASSALAKEMDVWVLALPNGHCQRYAVALERLLRGKKKKDQVIIDLSADQ RFNDNWVYGLPEVPGKRLLLHNAKRISNPGCYATGAQLGILPLLPFLAPSHPPHVFGV SGYSGAGTGLSPNNDLNVLSDNLIAYKSVGHIHENEVSHQLGTQVAFMPHVAPWFQGI HLTLSMQLQDKTMTKDQIVALYQSFYATEPQVHVIDDVPQVKQNALHHHTTIGGISVD NVRGRVALVVTIDNLLKGAASQAIQNINLSLGLEELRGLIE H257_13508 MVLRETETRSLQGHRPKKKAQSMLQVHHGPPPITREDTIARIHP PSGPSDAIISLPKRGPVLRHSFRQWRHFTVASRATKVAVHSFDCRLVQRSFFQWHEVT RRRRENNLRCMDMETWHLQRRLRVWHRWQAQRMRLRSWYTNRPMLLRPRCMLRGILLA WRRHSKTRCSRHRGMCILVNLAHAFLNRRVFTLLAHHAWIQRHRRQHIIAPTFHRWNI FAKRQADMRQYAAECAASMAQLRCAIAVNKWIMHIKRYRELHRLTTMATLHRQAVWFL RWRQSRQIARATGRLCHVQNHVRLRRILGHWTRHRRLAHVISATLHRLHAQVTKVYLK AWRQRTDVQLERSAIVITLEDWRVQRCVAQWNALAKAQRHRRVLRAVAASFHAHKLVQ NALRRLEAAAHIQCVRMRRGVDFYTRLLGRRTLTALRHVTRHRRMHRELVARALQMHR GNVHRRHWQVWMDYVHRRRRRNEWLARAESFVERKRLTTGLHWLWVRRQLDKASRVKL RQALVWRHRHVLQCHWRTWRAVVRVTVDHRHAVNWFWTHRRRMPLLRRWFRGWQQFRH CVQAQDSADALLRSTRLTQTWGHWVHVVATTHASRRLHFRRRHRTVRRTFRWWNAWTR VHQLRQVQTQAAAKVAITHVQRRQFQTWRDGVARRRFILQRGIVITNTHASQLVGRAY AIWRLRFVQHQLAQTHFAATWSRHLRGILMTWRAVADGRKRLAAMLWSRRHRRQLAVC VLVFAAWRRTHLRRRQNALARWIRWQRRCRPMWRRWLGVYKLQLLFRRWGAYARDCRS TLRVTGRHLRLFREGQAGARQISTFRRWCVYVDAIAVRRHEYMATKRQCLIHVQAAVR QRRLADMYALYRHFHAFCNRVAQPQRMADWFCLHRVGLRCLKAWWRAVLMQKQTQLLR ATQIKMAKQPQRTTTTSSSYTARQREIQAMSAKLKSQSSQVTPPSRKYPPLQPPPSPT TKTAKRSTAASLSSGLPHKPRSPRHSQKTSEPSSSGRPLELTHTTLRTNGTSAMTTRT AKVKLHKDMRKDSKISPIATASTDQPTTKVPVLSGDDSIEVASPPSSPIDWNGANTVA SMTGARSNGDNPNDMFESLDAMCPTEPCDEVQPNAPYETHTYDRQLSYSCTNLDNSIP DSWTESPPHQAQIEALECSPHTMESTFRSESPPPVQSRPVPNDNPTAPDLVSLACDDS HHWADDFIGTPEAASPVNLAPVDSPPPVSPCVPPPVVTWNECISDVFHHYLTFPPIDG LPVAGQRAVRLFDCVRMLGWMYNDFSFHESKRILVRALLHPPNSSPSHNITLDLATFC RYLDLVAQHRSALQVDTFTKTQSSRFHDLDCTYDAMPALATCRHLKRLLMIKTPVALL SNFTTPPTMLVVHVLRLIEQHRKLLRSFFMAPCLKRIGTTGGGTMLRHAVVTKDEFVS IAKSCHIFPMFFTRRELSSSFDLSSSVAEGLTFPEFIECLFRCSARFFSLRRHHDGGE TQEVADKFEALVMAMDGHGSVLQKHSIVDPAAQLERLDRVQKGAQLARPTRLRPKAAA APPYVYQPSPLDAVYRPPPRVEVPIMEKALRNAQLKFLRGKYPAI H257_13509 MAQHDSVQTLCYVVLISIIAASNFVLIPVNVQLILSATSIVYIG ATLSLKLKHKREAAGEKNEDAMTKEDAYMFPVIGSAMLLGLYLAFKFFDKEVVNLLLT TYFALIGTYSLTDAFSPIVRQVAFSENESHFVRKFTLPYFGLKTLDLTASWVVTGVLA AIFAAAWFQTKHFVLNNIFATSLAIKGIESLSLGSYKVGAILLVGLFFYDIFWVFGTD VMVTVATKFDGPIKLLFPRVFATATEKAKFSLLGLGDIVIPGIFVALLLRFDAFRAGV THDDQPFARPYFHVNVLFYVLALVATVIVMVFFNHAQPALLYLVPGCLGSSLIQGLVR GELKELLAYSEEDEEDKKVDDKKVEDKKVEDKKSK H257_13510 MELEDWDDLDADVAAAADAAISQADTYHISVANIMATFDLATKI DLKELVMNARNVEYNPRRFQGAIMRLREPKSTVIIFGTGKVVVVGMKAVSELEIVARK VESIVRKIGFTAATAQFLSVRNITATAKTPYPIRLEGILTQHSSFCTYEPELFPALYY RMLEPKLCFIVFVSGKVVVCGAKAMDEVTDGFANLMPVLRQHRPRGYA H257_13511 MLSTNHAPPTVEVNPLKLINHDNLRDLNSFLGLGEQVQTPYTFP RSKGEMVRRFQRNVIYFVANYAVVIFFVTLINALVVPQFLFILMLMGAGWYYCDKLAT EESQMTGPTFIFGVPTTTEQRNGIMAIVSIVLSAIYGGPVLLYAATTSAFICVSHACL RNAQLPSDEELVHLLQLKPEGNAPVITPYGRDNGYIVV H257_13511 MLSTNHAPPTVEVNPLKLINHDNLRDLNSFLGLGEQVQTPYTFP RSKGEMVRRFQRNVIYFVANYAVVIFFVTLINALVVPQFLFILMLMGAGWYYCDKLAT EESQMTGPTFIFGVPTTTEQRNGIMAIVSIVLSAIYGGPVLLYAATTR H257_13512 MTRSADGDVSETSVALYHAAEVDGIPYSYEYTHDTTLPMGVDDA FDMWINEVWVGGGFPPSKVPFVLEKEGQGRGREGSIRRAYYGLIREEILQVGSRQPSP SSGTKHDGKPRIRTVLYQAPTMPLPFHHYKGLVRFIPSRRRSNPHQMERQTRPQALGH DVVWGALRQPRLRPVSLCCGANGDWVDCPRNHVIEQGEEAALI H257_13513 MTEKKADVNSIPSPAIPVGQLDALKAKLKDVLSLKNLRGVVLFF GLGEQKPFQVPAKAVMMARTRKNLLYFATNYACVAILVGFISILMNPFFLFVLMCIAG GWYQNAQISTTETEENKFTVMGRPVDAAQRNMGLIGLTAVLIIYFGGSVLFSIASMSA LLSASHAFLRNSNIPDDDDLGFSDDVVLPEP H257_13514 MAETEVGKWLQRDVNCLSDPQRMVRKKSLEKLSQVSDLVAKFGQ DHLLQFFHAQLIKPLLVCVADPVEKCRELSLRGSIDFAKLGAFNSEERVRALILAIYG RVGKAPFVETAEEIRLLLLELLHAVLQRTPTEQSLPAEVMDVLGKTASDPFPDAKKAC CDATLVLAHKWKSLVKLHLGTVVKPLTMNLGHQHAKIRQCTLQALEAVVPCGSSSLPE LMKDILLPNLSKVLFDRSPSVRKQLVQTTGSWLEHIDELRQFEAALLPLFLSGIADES PDVQSFCLTFLDKLSVKWQQTEEGAADMDITDDDASAYVESPPFLRRPGRGAIRLVQR LLGTVLPSLLDQCSDWTALTRQKAASILRVVLILAEDAVNAHVDKILTALAKSCRDEE ASVVEAVAGSMQIVGRHGHADLVFSLLLPLAAGRLAGQDTPHHRTNGLVLLSMAIAGM EAPRILPHMETITATLSEAGLRDTDVPEMQQYLAKLTSTIVTTGSPLFASHDVLAFRL FWVLNHLVAATPQDSVAFYTAYEAMQNLATAACNVDIEGLYRKHLNQLIAAIRPKPDA PVWTKTSSSRVLFDSLCRRGGRATADQMHVVVPIVLAHLAPSNEPDVRLSFLALLETL LGNDLMAQAFQPFAASLLVKGITPNIVWQSGKVAATVRKVAMACTYTLLRQGLANQPA LFEAAPQMLPVLKSCLDDTDAKTRQLVCLSLQHLFVALPNALGEEPVSQLYHEILKRL DDSNDLVRKAACATYITFLRAAPRSHFRGTIIEYSMDALFVHLDDSDPDVQAAVYQVL KETFAVDPDMLTKKATDHRSRHRSPYYCDKLLEL H257_13514 MAETEVGKWLQRDVNCLSDPQRMVRKKSLEKLSQVSDLVAKFGQ DHLLQFFHAQLIKPLLVCVADPVEKCRELSLRGSIDFAKLGAFNSEERVRALILAIYG RVGKAPFVETAEEIRLLLLELLHAVLQRTPTEQSLPAEVMDVLGKTASDPFPDAKKAC CDATLVLAHKWKSLVKLHLGTVVKPLTMNLGHQHAKIRQCTLQALEAVVPCGSSSLPE LMKDILLPNLSKVLFDRSPSVRKQLVQTTGSWLEHIDELRQFEAALLPLFLSGIADES PDVQSFCLTFLDKLSVKWQQTEEGAADMDITDDDASAYVESPPFLRRPGRGAIRLVQR LLGTVLPSLLDQCSDWTALTRQKAASILRVVLILAEDAVNAHVDKILTALAKSCRDEE ASVVEAVAGSMQIVGRHGHADLVFSLLLPLAAGRLAGQDTPHHRTNGLVLLSMAIAGM EAPRILPHMETITATLSEAGLRDTDVPEMQQYLAKLTSTIVTTGSPLFASHDVLAFRL FWVLNHLVAATPQDSVAFYTAYEAMQNLATAACNVDIEGLYRKHLNQLIAAIRPKPDA PVWTKTSSSRVLFDSLCRRGGRATADQMHVVVPIVLAHLAPSNEPDVRLSFLALLETL LGNDLMAQAFQPFAASLLVKGITPNIVWQSGKVAATVR H257_13515 MMDHVDIGEEALANVMKRIMPKMDARTVTLSNLLEHIQRALGAE KEDLKPWKPLIKVLVGELLHLCPNGAEATPTKGGHAAASSTPATPATTQADEGDDDES DGEIKHRISPMLKAKSKRILLDDSDDDSDGENMHPNVVHATPSATTTKQPATNESAPT LPLKPSDTDDDDEADRDSKFVRRSNAGTKRARNAVESSSDDGSASDDPSIAIVRQRST KATASNKQRLLKRSPNSPSSTTRSADESDESDDVRIPTKRKPKAKKSYSSDDDSSSPR PSKSRRTSKGKTPSTKKQQQRAAPSSAKSSSTGLESLKQLAKIAGVLAPGLYKKLRDA TSVADAEGILRDRLDQVGVTWGGKYPNRNDMNALKKKKDLERELDGIDTRFILGSSRS TRQSRGAAAFTPAVAIAVESDEAASEASFDAATFDKSDDENKV H257_13516 MNDLEEFARLWEHAGFPASSLSRLVLGGDATHYLASGFRVTSAA TISIALSAMAASEIYRLRTGQAHPVHVHRRDACLEFDSPSHFLPRVGEPPLNVWDPVS GLYPTAHGGFVRVHANFPHHKDGILRLLQLPSTADRASVAAALLDTDAVEFETLATSK GMCVAACRSPSEWASHPMGQHLQQRMEATQHVPFAVVEGQSPFSTTTTPFYLPGLASP PSNCLTGLRVLSLTRVIAGPVAGRTLASHGADVLWVTAPHLPSLPACDGDTSRGKRTI QLDFRNAQDLATFHELVQSADVFIDAYRPNALAESFEGLDKASLHAMNPSLIVATLSA YGTTGPWANKRGFDSLVQTATGLNVAEARHLEKSAPTPLPVQALDHASGYLLAFGILA ALHSKLTSATPSNTHVEVCLAWTAEWLRHLGLVQEGEAVETSSPDDIQRNLEVVDMGT HQARFMQRSPKMTPPTRFDFYPHSLAQDVPAWLPRGDS H257_13517 MPSYSQEPEDQNTSAKARGRHLRVHYKHCREVCHTIKGYSLVKA KKFLGDVLEFKAAVPFTKYTGGPGRHAQGKLLNAPGDKCRWPQKATRIILDLVKNAEA NAELKELDTSKLYIAHAQANMAIKQRRRTYRAHGRIGPYMANPAHIELILTEKKDNVA KAEEPKTAKLTRKRQAVLRLKSGGGVAAN H257_13518 MGRVHDLAQEVHPPQHFDLAWKVNRPFLVVLGVLHEHFPRRMCV GIFNGNHKADVAQLHGFVGLLAPQPVLGLVYNNAFPPQPGCREEKPRDFFHVQPVPAR DFIVVVAFRARLNPFARLSPLPD H257_13519 MKQSGSAKKTPPKKPAAADSNSSKKQQSLLSFFSPPPKKTGASV PSSGDKTSSTPRNDNAIIDTPKKGITPVSKSTPTQVTPKPIATKAQVEFTPKQSAKKL QDNGLDDSSADDSDDDVPLAKKRKRLSQNTPTSASKKKSIAISDDEDDEAEWNDKDDV NMESSDDDVSLVDEDSGSEDDTVKRRPAKKKASTRASSSSRGKKPPTPTTKSSAKKSA HVTPDKSSDPSSSVVGGGGGMVRLHDSWPWLHADRRDIEGRKPSDPDYDSRTLRVPAE FLRKETPAMQQWWQVKQTNMDTVLFFKVGKFYELFHMDADVGFNELELNYMKGDKAHS GFPEIAYAKFSATLVSRGYRVARVEQTETPDMMKMRGSKSKVVSREICSLLTPGTNTI SFLDGSQGPFSEASYLLTLKEQTTTTASSGRKEQQVVEFGVCLVDCTTGVFHVGQFTD SLQRDRLRTLLAQFHVVEIVVERHGLSLETKAILTHGASGAIKSELKAGTEMWDATKT VRELKAAGYFAVWPDALTKWMDENDPSSVVVHTNGFLAMSALGGCVWNLRRSLIDQEL LSLSQFQTYTPPDAASDVSSSKPTLLSQQYVVLDGHTIHNLELLRNNYNGQRTGSLLA QLDQTVTNFGKRLFEEWLLKPLCGVNAINARLDAVEELVPQHSLVVELRTVLKTMPDL EHHLARIHALGVLRPNHPDSRAIMYESSQYNVRKIKNFVATLTGFQASVQIVHSLTQA NQWTSDMLTRWISTFPDLKDKLAYFDKAFDKNVALKTGTIQPQEGMDPEYDDAVADVS AIETDLDEYLDAQRAALKCKSIVYWGSKKDDRFQLEVPESAVAAKQPPEYELKSKKKG YKRFHTKFIRDCLQRLAVAEERREAALKDTARRMFANFDKSYADWKRAVHQLAVLDCL MSLSLVSGQSEGYTRPELVQTDQPFLDIQQGRHPAIASTVSDFIPNDTHLGSDRGSMM LLSGPNMGGKSTLLRQTCTLVLMAQMGCFVPAESCRLSPVDRIFTRLGASDRILAGQS TLFVELAETATILNHATQHSLVILDELGRGTSTFDGTSIAYGVVEHLLNTTGCRTMFA THYHSLVEEYVDHAKVTLGHMDCMVDPTNEHKVVFLYKLADGICPKSYGLNVAKLADL PQQVIDVAAAKSLQFEQVLQESHAAVQVRQAVARKDVGFLRQLWAQLAAEPATS H257_13520 MATTTPTTNKRRKVEQKHPLVIAEEITEEDVVDAHELSEDTGLH SSTKAKMYFQWLIYPVTVEDFYANYWERKPLHIKRNFPDYYDGWFNRQEIERMLKEHT LAYGEDIDLTKYENETRTTLNPSGTATSKTVWKHFEDGCSVRLLCPQKFSDKMWKMLS ILEEEWGCMAGSNTYLTPKGTQGFAPHYDDIEAFLLQVEGKKLWKVYAPLSDDETLPR HSSRNFAQDEIGKPVLDITLEQGDLLYFPRGFVHQAQSPDDVDSLHVTVSTGQQNCVG NLLETLLPQALAGAIASNVDLRKSLPRDYLGYMGVMHSDLEGDARRDEFLTTLKKQLR LVVTEAMGIVDAACDQMARNFLVDRLPPALEDEEEDCTVEGSPRPKINVNARFKLLRY GIVRLAIEEGKAVVYHCKENSRKHHEVALSPLEFELDDAESIEFVFNSYPSFFRVGDL PHEDPADQIGLVKALYEEGLLMFENDKHDD H257_13521 MVNVASRCGLTEVNYPQLAALEVQYKAHGLRVLGFPSNQFADQE PGTYQEIKAAATSFGVSFPLFAKADVAGPSAQPIFDFLEAPKWNFTKYLIGRDLHPFQ SYGPRTPPLEWNRTSYRC H257_13522 MDVYVPPTSLKALLETPKGHLDHYPDEAFLLHVFWEAPSRAAAE TLLSGLRGCSVATHRDTPCVPTYFFRITKSNPLSPSAATVGAYPLLHDALKKLQVGIP KPVVRADLTRRGMNPDWVDLNLSDPLPLELRTERFVVEFTEIYLDERSFMLHCGSKDY LDAYGIVTKPGLSLRPPVTTRIGSPSSSIVEKILEPILHERVVAVGSNVVWQRPPASP STARDAVMLALDCTRHADELPPQMRDACTTAVSFSHVLKDGITRWLLVLPQLPSTEFL AQLQEAVGPVIAGEAHTSEGDSADALRTTLASAGLLPVITMNGDASVGYVLHEYARDL HVRIGDHDKS H257_13523 MPAVKVAPLGRGFLRQLSNLRGPNTHQHSSFDMWALGITIVIGG QYFSWNRGLVAGTLSCGMSMVITGLAYLCLTLSMSEMTSMLPFAGGAYGLARCTLGFY VGFLMGCCEAIEYIMYVTCSVVQLGRMLTTKWPELVMWQFGVWFVTYVLACGGLLVGG RVFWRWNAFLALTSIGILMVFCIGLAGQVDLEANAGGRDYLVIGGAMEFMKSFPLSAW FFVGIEALNTMSNEVHDPKVTIPRGQIVCMLTLLVTAASVFVVTIGLPPGMPAVSTEL MPLNAGFVMLFDVTAKTATLLSIPATFATIQGFILAYANIVTALASSKLLPHVLHRSW NGCGAPLYAIVGGSVLSFGLCFVDYYVDHLDGIMFNVCMLFASIAYTAQCIGYIYLKR KYTRFDRGFQSPFGVAGAIVSMLVWIFTMISIVGFQDDHEVSLIVATSIVVVLTLYYH CVAKYRQTFSEDERRVLFPVHVAILNSTPQTHRVLRGHTVSERMVMNFNPSLQKLMFI PTTLHLSTIRSATSIHAPGSKGDEERELPPLSGPAQNGTSSSFRRSGDGNSGCSREPT PPIIRAPTEWDLQLSSRRGSTDPE H257_13524 MGGFASFIEVFPLASWLYSGVESLNMLSNDVINPKGIIPKGQVA CVLTLLITSWAVFFVTVSLPPGMPFVAHELAPLSHGYALALNITLASATVMSIPATFA TTQGLMMAFTNVISALATSKLLPPKLGARSATFNTPVHATLLGSTLSLGLCIADNVVG GMDAIMFNVSMLFMFAAYTSQCLGYIFLKLRHNDRPRAFRSPVGIPGAVFAICVWIVS IVSITGFQHDNYISVVIAFGLMAVWSLYYVAYAKHRQAFSDDERSILLFAHVANLDNA KHKRMTHSVAIAPQSGLNIFRGRNILSMPKTWKLTTAASTAHNRVQSSPESVVAPPPR KSPRNDDLMGRLNDPDLYY H257_13525 MSVAPHLLKRQASSFKDRIQHAHNSRDLWAIGTTIVIGGQFISW NSGLTVGAAEYGVAVVIMGVAYTCLACCMAEMSSMLPFAGGVYGLSRCTMGFSIGFVL GCCEVLEYAMYVATMNVTLSKLVVVRWPSVQPFQPWTWVVANGFSLSLLTVGGKVFWK FNLVLAMMLVVQVLVYCLGSAPFADMKAYATAAAPSTTTAFAWFKQFPVSSWFYVGIE AINTLCNEVDDPKNSIPRGQVACLATMVVTGVSIFLTAISCPPGMADLKTSLAVFNGG YTHFFGLSLADATMLSIPACFACIPGFLLCMANIMSSMAESKLIPYALHRRSATFGTP VRAVVVSILLSLGLSFVVHHIPNADTTMYNLSLSFGTLAYVSQCIGYIFLHRRYKTMK RTFNSPFGVPGAVVAITIFAASFVSLVSLQLDSARANVCFVTTLAVLTLYYHVYAKRR QTISDDERKILFFVHVANHNEAKRQHGRPPRGVLKVFYMITSKVTTLTHKTTQQQSTP IQEHPRGKQATPHASPLETDLPPDVRHD H257_13526 MKVAPAPSLTSPASATTLNVSTTSQIAMASFNLWAVGITVVIGG QYFSWNTGLSAGTASYGIASLMMGFAYLSMCMCMAEMSSMVPFEGGAFGLARCTWGFY AGFIVGCCEAVQYILYVTCSFVALGRMVAHFWPLIADYPYISWLISYVLSCAMLTVGG KSYWMWNMALALVSFLILILYVLGSLSYVDIAANGGGSKLLFVGGFDQFMLVFPLTAW YYVGVESLNRLCGEVVEPRKSIPLGQMSCMFTLFATSIMVFFVTISVDPGMPTVATSL AVMNPVFNQLFNCTDKISTLLALPATFATGQGFVQAYMKIISCMAGSKLLPSILHEKH PKWNTPVYTIVGASCVSFALCFADYYYSLDAIVFNTCIFLGSISYLSQCFGYLYLKKN FRTMERKYNSPFGRAGAMYACGVWLWTMLAIAAYQGDGHVSVLAVVGILSVCTAYYYA VAKERQTISDDERKALFFAHVSKHNLSKQRRGRTLGQRAKSWFVAKARKVREKSDGAP PKSSTSIISAVNSPSSKDIGRNATGANNSKKKGVQLTVTASPSTALVR H257_13527 MQVQPLVPDTTPAVKATSTQIAIASFNLWAVGITVVIGGQYFSW NAGLSAGTISYGLASLMMTLAYTCMCMCMAEVSSMVVFEGGAFGLARCTWGFYAGYII GCCEAVQYILYVTCSFVALGRMMATFWPVIMDYPYLSWFLSYLLSSSMLIVGGNVYWR WNMGLALLSFAILIAYVFGSLPYVDISSHAGGSDFYFVGGFSQFMLVFPLTAWYFVGV ESLNRLCAEVAEPRVSVPIGQLACMFTLVATAVLVFFVTISVDPGMPAVATSLAPMNY GFNRMFNCTDAVSTWLALPATFATGQGFVQAYTKVISCMSGSHLLPSILHRKHAQFKT PVFAIAVGSALSFALCFVDYYYALDAMLFNTCIFLGCISYMSQCVGYIYLKKNFRTME RKFLSPLAKFGAMYAIGIWIVTMIAILGFQRDGQVSILLVVGVLVVCTVYYHFYAKHN QTFSDEERKALFFAHVANHNNSKRNSTLRGKKPLTSLLKHVGLRKVSSSSTPLRRPST NGTSTTALPPVTHDSVGMKKKRSSGTSKGPSTKDSQGSRPQIT H257_13528 MSQTASLKIAPLSTSASELVVNVATTSQIAMASFNLWAVGITVV IGGQYFSWNAGLSAGTVSYGIASILMGFAYLCMCMCMAEVSSMVPFEGGAFGLARCTW GFYAGFIVGCCEAVQYILYVTCSFVGLGRMVAQLWPLIAERPYISWLLSYALSSAMLV MGGKAYWRWNMALALVSFSILLMYVLESLPHVDIAAHAGGTAMLVMGGVSQFMLVFPL SAWYFVGVESLNRLCAEVIEPRVTIPIGQVSCVLTLIVSAVLIFVVSIGTGPGMPTIA TSLAPMNFGFKRMFNCTDDVATWFALPATFATGQGFVQSYTKVVSAMAGSQLVPAFLH HKHPTFHTPVNAILCTSTLSFALCFVDYYYALDSMLFNTCIFFGCISYLSQCVGYIYL KKNFRSMERKFHSPLGRPGAIYAILIWTTTMLAITGFQGDGQASILLVVGILSACSVY YYAYAKYRQKFSEDERKTLFFAHVANHNNSKRNSRSSKRYSGKFISMMKKLGLKRLTS FTATLRPSTVGTSTTPVDRKPTSLHDGVKKQRSAGPSMGPSQHDTCRRTKNEQH H257_13529 MSSDAPPMTSRLSIWVWLLPILAAGCLGCLVAYCCCIRRPATWF SLSTPATAIDRPRVHAVYCEEHLVVHSDAAGRASIDAYRVVIDQEQLPSAARRVSNSL DASISNSKMAATEP H257_13530 MLVTESFTDVPTATTHMRLHWFTPTLLLDPANASTKLCSILVFT EIYQVTGPVARFCRQIAAQGFLVASCESYHNFLEPGTVLAYDVPGTDLGNQLKKDKRL SSYDDDATAAITALLAHPNANGRVGVTGMCLGGHLAFRAAMDPRVGAAVCYFGTDIHS ETLGAKPREAGEVESLARCSDIRGEILMIFGTKDPHVPRQGRRSIYDGLTEAKVDFTW MELKADHAFIRDESSKGRYDPAVAKICFDALTELFHRRLTLNLIDSAPFNPKDLIC H257_13531 MSRQLFVAKRVEANVVEVGEANYVEFSFQRREAVKLDEAVLGHI HFLWDLAAKDTAGRLHQTSYLDLFQLIAYVVVSTATIESARELAQRDWAHDARGRASL NLSDFVDAVFEICDLFCPYTDSHEYCVFLMDVRRSITIQDVSDACFQATVPELQPLLK EVVPHRLRTPSELKKFIVKALDVDNNDADALLRNNRNGDWITGFPVTQPEFKSLSFKA VVCAMHSPLFGAFSQKLHAITKGQLESEYRLYQAKQPPPRMLRESKSSVLAAVASAKP AASALQIPFMTDRIRPEVWDPEVANLLQNIAPRPGICVVGPPRSGKTRLAAALAAILG VSYFSIPLVLDIAAKTSPPPPGATEVTPQAELYATIREVLLAGRTVSREQAVAALLYH MVESLNGAQGFLVDDLYPHDAWGSDAFLGLHFSHVVCLTGSQPNCAEHVASISFCPKR RLLYSARDLAQFTGVVGFAHTYVEPGAAALAPSTGVVETPLVSDESAEGKELVDDEDE GATKDVKPSADLLRFPRQSLDQFAAHTLPVHQDRVINMGNVWLRTFEAEFTAYERSVA KTMATVPADTQVVRIVFHQSPIGILQQAVGVITGSRPPLSRLPPSLSAVRVDLPPEVL ATSRGDQIRWLLYGDWSTLLQDGGPLASVKHLVPPSEPRKLSVFRGFCPVNPSHHGQP TFAALFSGRVYLLSSMEALDAFRVSPQQFIERVLSPLNELRLPRLQSQIKRLWLVVAT TTLSQSLFSLPRVATAVATDITHSVANSVVIEPTGVLSAPQNPEITSKLTAGESVPHD VVTKEMLQRLLGQGQDPWVVHGLPVAATTWTSMKDLNILPDAVLVFDPPPSGPDVPPP TSQEKEHRASVQALLTLIAADNVAVVTIAMDAATTDDDILVALHRRLDPWYSNRLDAD EDGGSTSTTPPMSALEEAARKQWGETGLFCPVTYSTAPLWRLVPGKPTEYVSYMRQRT YAFAGAAEKSAFDRNPRKYIPQSASTTFRPIVLLLGLSTSGRRTVARALEEKWHATSF QSDRVLDSYSRLVAIEKFNAGGNNEDPDEVDEAVKVQLYGSCLAAEWAAVDGSGSAPY ILPGFGYGASRIPSPELLAMCVARKWFPFVVVPLQVDAATALQRTMQAWTYTPPSMPS DDADGDDVPNEGPKEKAARLAAEEAIAREEETVRVTEIITAELEAVSAALEFFKEQGI RIADAVDANRGQKRVAKDTVSSLLKAGLARQANIFCLPETTALERARTLVAAGYWTIG HHGPHCPVAGPSHRSTDPLDSQLCVAYRGRVYTPSDVAAFTAAPWSFASKPTFPTVPG VAVAVLGGPCSGQTTVAKGLCAKFGAVYISPHSAFQWVYACQRGTTLWAPVKALKSNC DTVEFDLSLVTDALLLQVLVARIRSFECQQRGWVVDGFPSNVAQWTAWENVGDVLPSS VVWLEGSPYTLLAHAAQAHGATSVALLKRIKTWQAARVALLVAMTQTYGAGFVHCLSV DGNSAWKVVAHAQQVIGHVVDATSKYWQALASHRPAPTFNIRLAQSQVQLHPTIATYC PVGLAVQRAVRGHVLDRELLVEYQSFQYYLGHPDNMASFLANPGKTLAAATAVQPPLA RDVGPGTLLCHGVIVALGFQGYCPVTYKDGHGPSDWSSIRKGCPYILAALHQTIYCFV DHAAKQRFLVEPLLYASQQLPAKLPPLVDAAPLNVTIPGRLEQALTRATEEAMVALGA ERFKFPFVSAQASAVVYVALFLKSKKKFSNQQHHDSPRQVQALLQNFVDDCRLGQEIK ELTAPVGSAVKGVRSMREKGDGSDVQAKNTRFDVLVATPHAVFRAYATRGLEK H257_13531 MGNVWLRTFEAEFTAYERSVAKTMATVPADTQVVRIVFHQSPIG ILQQAVGVITGSRPPLSRLPPSLSAVRVDLPPEVLATSRGDQIRWLLYGDWSTLLQDG GPLASVKHLVPPSEPRKLSVFRGFCPVNPSHHGQPTFAALFSGRVYLLSSMEALDAFR VSPQQFIERVLSPLNELRLPRLQSQIKRLWLVVATTTLSQSLFSLPRVATAVATDITH SVANSVVIEPTGVLSAPQNPEITSKLTAGESVPHDVVTKEMLQRLLGQGQDPWVVHGL PVAATTWTSMKDLNILPDAVLVFDPPPSGPDVPPPTSQEKEHRASVQALLTLIAADNV AVVTIAMDAATTDDDILVALHRRLDPWYSNRLDADEDGGSTSTTPPMSALEEAARKQW GETGLFCPVTYSTAPLWRLVPGKPTEYVSYMRQRTYAFAGAAEKSAFDRNPRKYIPQS ASTTFRPIVLLLGLSTSGRRTVARALEEKWHATSFQSDRVLDSYSRLVAIEKFNAGGN NEDPDEVDEAVKVQLYGSCLAAEWAAVDGSGSAPYILPGFGYGASRIPSPELLAMCVA RKWFPFVVVPLQVDAATALQRTMQAWTYTPPSMPSDDADGDDVPNEGPKEKAARLAAE EAIAREEETVRVTEIITAELEAVSAALEFFKEQGIRIADAVDANRGQKRVAKDTVSSL LKAGLARQANIFCLPETTALERARTLVAAGYWTIGHHGPHCPVAGPSHRSTDPLDSQL CVAYRGRVYTPSDVAAFTAAPWSFASKPTFPTVPGVAVAVLGGPCSGQTTVAKGLCAK FGAVYISPHSAFQWVYACQRGTTLWAPVKALKSNCDTVEFDLSLVTDALLLQVLVARI RSFECQQRGWVVDGFPSNVAQWTAWENVGDVLPSSVVWLEGSPYTLLAHAAQAHGATS VALLKRIKTWQAARVALLVAMTQTYGAGFVHCLSVDGNSAWKVVAHAQQVIGHVVDAT SKYWQALASHRPAPTFNIRLAQSQVQLHPTIATYCPVGLAVQRAVRGHVLDRELLVEY QSFQYYLGHPDNMASFLANPGKTLAAATAVQPPLARDVGPGTLLCHGVIVALGFQGYC PVTYKDGHGPSDWSSIRKGCPYILAALHQTIYCFVDHAAKQRFLVEPLLYASQQLPAK LPPLVDAAPLNVTIPGRLEQALTRATEEAMVALGAERFKFPFVSAQASAVVYVALFLK SKKKFSNQQHHDSPRQVQALLQNFVDDCRLGQEIKELTAPVGSAVKGVRSMREKGDGS DVQAKNTRFDVLVATPHAVFRAYATRGLEK H257_13532 MSHALPVRICMETSLACDLYLYNDYDDLDEFEQELIAQLSAAKT SSKLPADSPVANEPCAVTMPCTQPDAMAAPTKAGRIRLECAICLDAVQVPTATTCGHI YCRACIVESLHLRKKCPMCSTKLTFRNIHPLYFN H257_13533 MLRGDNPATGDFVGLLQTLHKKEVGTRGSIKDLRHALDILLFTH AATTLVEVDSTNAAAFVVREYASLAYYLRQHSSLQQVADGIDAIIDHHVQGPDPPDDD MLSILRVLLALSGGTSGRSYADTNLGPRTLQLARQHHPFQAYNRRMGETIVQSNVNLA NDPHSLFRMGHTVSSCDAKDMLDAMQAMPGHSAFQSDFWHDKATPKPADLFGALQHSA FDRTDSQLVRFDLRLSVPALDETPPDLISNALTSAKIKHLPRRRQRVVDISSTRCSSS SAGLLRPPPLDTTRGGNCLLFSAHKSTIDVDLGPSIQDQPKPSNQSTSLNHPHKQHAL LQVWENLGPNKVQLGRRLMLGSPTVVASNRIAEATLVACLLDVLNGIDSAVFPSRGLF ECCYDKEIQVATNSRIDVSDLLDEFARTGTFMRRLSALARDCGHHDMHGGRRVLQCLG TTLQYFIAGHHAFVLELKHNNKSLVQLWAATSTLRRRLRHVAALFLCDDDTLWQHQQV EITLPTGVALLNRIYHGIVQTQFCDPSTAALSMWFFTHVSVPYFEALTHWVFVGKSAL DDAYLEFAQDQSFECLECLQHKDDDTLNLAIAETRSLLQVTRAVHSQVYYLTSSKWHP LQLVTDHDALHHYLAQHAVNLATTRSAVQAYSDAQMQAVADSVYALNHTLSTTHSHGN TFAHHDMRAHDEGQRQVKRLKQQVQRKLLDEQVAHNRDLALRLQAQGQLDHALQQTQL VAAQEKADQDNRRRLVEIYADLMAKADRKHRRALWRQARVRRKEHFKAALTKLYRLES SAWYAGVGMDVPGNHPEADPMATRISQTYPSLIGTSTSHNPLDNPKTPYIKKSPRGDS LKPPTNALNNDDTVGMPSSVRVLKAPGGGGQDASNVIYHGDQEKPVDLPTTRVTQEAG GSGTAASDAIYHANLERPVDVPHVRILQAPGGTSKHAAGHVIYPRDDQIERDLAVHGS SVRVLQEPGGSGRAASALLYPDEEPSNLVTHVNHVRILQDSGGDGNVANDLIYGRNDA EYASPRASVRVSQAPGGNGDELSDTLYAQFSTMAVQDRPSVKVLGPSGGGHDSVSSLV FGGLTEAVPPPKRQDLVYPTSNITMEWAAAPTSRLTPPRQVLAHETFATATLTGDYAR VLETYRALMDQDVDPVEYAPIESLIRCSLVEPILNQRSFVGSVALTVFRDHVHLMQHL QTLHDIMLLSGGLWVEQFMHEFIQGLDASSRVNWGVPGALNDLLAHALEEAQYDVTRD STMLFAFRPTDELETLLGATTIVPSLGQVLEGIEPVYALPTVLTDVVVPVSTLHLYVT LHKHLFQLKAIAVSMQSVRRVLRTHHTIVARSGHLMLHVMHHLCATLVAHCFQSIAEE WHRFQLLAESCRDAVAFKRLHDGYVHAVATRCFLDSASVAVHAKIQACLSRVMLVVEV VQNNGTRAVALKRALGHLADTAQGVDDGTLRALCDELRRSSAPAAQELLLRLDFGTRE TVS H257_13534 MDPMLGSTLPSMHVRRPAAGANDVANAFRRKLRGIAAQGFPASV HDKLLHAAAIKSHDFLYQDTSVVSSSSSTSKSSQWTYYKEKNGVLLCQGYSSSGDYMV KASVNASSRVGPLAAALDLGEHFSQVLAKIGTPWFHRGDTLGALHANDDPKPSDTAHL HWMTFGTEGAEYHDFVFMSHTKLYDSRRQELDEVPTDDSTVEYMTQLWDAVDLFPVPF APATRVHLNRSGFLIERTHDRDVSRVSFVLCAARNRKRDKWMERMAYTLVHEIAVMCR DASVTVATRVDFGSEPHCSTCLKTFTMFRRRHHCRLCTGAVCNVCSTNVMVGTAERRS VRACLPCSTSSNESTLTRRQLMSNRRPGRSASDQIHTASSLTQSWSQYSNVQSRDTYR SFEQQTTLSSPQSSSQRLSRSSSRSTHMTMRPLDEDAREVAALPAAAAAMSSGPPHQN QHHNMPKRSFRSSTTTTLSSQELTPPPSHEFSKFYMDCQDLPVKHPTTTSAPQGPQQA TNAPHPSKPPRQHEVWDQQTAYVFQDGAQERRPDPWRRMTQPAAAFVEEEFDKFDLGV ALSPRNDLIPLPQEFAKRSAYYPSGRSGDDAARPSLISVCSDYEF H257_13535 MQIPSQKLPKGESVSLHVDNLDPEELRIMFDFLAKCTSIEHAHI DVGKIPTKRAYRQKLMRLSLDEPYAMMPPGYMKIVRSISIMASKATELVSLHLAGIRI PLEVASDLAHGLQGCRALQSINLEGSQLGDSGLDILEERLASRPSLCHLGLAHCKLTD VSARPLARILRTQAARRDEMYWSTTLRGQTVPNRGEGCFLLNVASNALGDGATDVLCH ALYNDNWLYGLNLSENNIGPRGVLNFADSLQTNTTLTVLLLQHNTNTDDRVSSFVNTL LQDRQMATTKQTSMNHPMEHTLLKAVLKSWGCLRRTADDDLLAKLLSKRTKTTSVASK VDHRGGKVLRRSSAPSIGGKAPLTKSSSSLRPARPALSSVPKASKVKSGGPKAAKKTI LTKSSVKHAKHTAATTSSLKIGKQCPLTLQPERDGVTALDMLLNEQSVFRSNQEDPAV VVAAPAKRLDQQMLIKLMERISTLETAQANAQQHIDRVEAENLALKQKVATVVADPKP VDASTADMIQALESAIGHLTTQVSQLETTHKTSSKRQAEDTSSFTDAMLDDLSLQLKR SFGLDE H257_13536 MSEVESTKSKQKPRYRKKPSGGGDAAALRALQSDEAQASLAPPP PPQAARTPRKSKPRPKAKATADDNVDLNTLGPILLSADDITLIRRDREQQLNKQREYQ RKHKEEEKRKRDAMAKRVQDEVVNKLVRSEVMFTLLQEHKENNKLGLSSSSSQRPQPP PFRHDHDESYPFGFNPSGVASEVLFASIKKWEEHLKRLLGGTRVAEHDRGIACLRLAC RESYCELLLAHLESVPAVKMELNERLWMNWYKEIDPLQAKLRGSLSPTSSHAGESASH VRDAMAAVLATCTAFYNTLLLRLTGAMSTQRERGGVTTLRHSIHMTFVALGDVARYSQ NMQPKATRSWRVAENYYHQAQRHNPSNGKVYNQLALLALHQNQTLEAAYYYSRSLACA TPFSSRTNLQATLTGVKPPSPAPYTEIKAHCSAHLLHCIARLFTNIDLAKLQFEVPAA IDVWRRSLAQSGHRSFYLQTMSLALFSVHNCQLPLGSMTSSLLTKSDDNRDVLHFYSE WPRWQDHDNINFALQLVFQLTAALADAVTLSPDQQRSYALLPALTLSLDWLRTHPWFL VHACAAELRASMAKLLPHLQVEGLSSDTTPPDEWLGTAPLLWDDVEMRGFLPLANVRR CVQDASSANDDDEPTMRRVRVLGFVSSVAAGLGWNLQPTAPPQPPSFFDGDTLGNLTL SATSTDCPQCSNSIAIDLTACEYCGFDFGGDQVVGEVGGDVSKLPPPNTDVSEFTNDK PVRGGMLSGVNWQVAKPTAHSSKRTSGPPRQHFGSSAPRRPPPQVDFRGSGTAPSDWK CLIVVDAANVAMRHGLNTKFSCRGIRLVFDYYIARGHKAIAFLPDYLLQYESVGAQKR MANVGFDVSPTKLPDDVTLLQAMKQEGLVIATPPQDYDDSYCIQYAGTHDGCLVTNDL FRDHLDNIKPSHKRGAMRDWLKAHRISFTWVGDEFLPNPDFRFPAKQPIPDSDDNDLL TKDKGTM H257_13537 MGQQPSSSRDSFGIVPSEPPANADKKLPPQHVNVDDPPSRKERL AVCAPILSAIRPWLFVAGHGVVWPKQSHSLINLASEVPFLEDNPPPPHIHSVLTLALR DGATQEILPFLPRVLETVQTQDNVIVMCQQGVSRSCATAIAILMCRESMSYASAFADV KAARDVCSPNAGFICQLMELHAQRQDQAKRPRVYRFVPHALHDLATNVLKPCWLTPHA RIHATPAQVRRRSNGIYVYSESPLTVFLWRGQDATDANVTSATTEVHLWYLHLWGETT MPSVIVEREGAESAAFLSFVQPAALDDATIDFDDVSWTEPPRTRQVEDDLAPSDGLLD SSRKPQLRVIESVDDESWELLQEYDSSDLVPNQVGWLHVPGDRDYIWLGKACKSSWTY DVLVQRVQRDPSIQAGPITIVREKEEPEAFWTAFEMGY H257_13538 MMQALVSVLAATTAHAQSSTDVGTTSLSNNSGGAGACVAVQLIP SVEGANGVSVFSDESCEKDATNCVPLTYCRKCKLYDTAVSFLFKSCPPSAFPAVMSSS QVNCPSYLYPEEPPTGISAIYDAQCPIYGGKGCISNGAACRRCLVNASSGLDYHPCDL NTCLPSAVVTLKGAVGILDPRCNDAGTSTLVGCIPFTSCRLCRLDKNEENAYLPGCAA LTWPTLSDQSGNYHAAELSVAASSSDVAIPWPNVDVNLSHMAAAIQSFSIDVGQDVFG KTMANDVIISVVLAVLLVLIGIAASVVYVKCIRVKAVTTIPIISKPGTHSKHPQIHDP EISVVV H257_13539 MSLWDLPLVTSVAFHPRPHAKGAFLPSNATDGTFVSPTVSLGYR FYRPSPTYKAVVLLFHGNAEIAPDYASASSALASLTIPTALLVVDYRGYGWSSGEPSL SNLVADAEFVASQVDSVAGFDATVPIILFGRSIGSQCAIHLASKFPTKFHGLILESGF HSILKLPMVNQLVAMLPGGAGMLSMLPELFYSLDKIQQVQSMPVLVLHGADDDIAPLV QGQELFAACGSSKKTLKVFPNAGHNDLVLRHHAAYYAAVNALLQDAATNAYSAVSGDA VKVLHALSAKQYDDVLAMGANALQSDRLKLEDQCQVLESQAKASWHLGDMQSVVKFTT RLLNRQPDHINGLCLRAKAYGQLHNVESVRDDVVALSQLLAGSTAEHPTKASVAMALL AVHSWTVQ H257_13540 MTAVDAALAFLRCHELFRAAASFQDGSYHDIVRFRRLPLPIPPA PSTPSLPHHYIGGYLPHFPMLWTHSNPLDEIGTPTPAIANAPTTSCLDIDGAGFDRLH DVLGPWLEEFGTSRLAMVVNAIPHLEHVLLGYAAMYGQVSTLRYLHDHNQLLLHSATS TYSTHALNVGILFNQLEVVQFLHANCPGMTCTKEATDGAAGMGHLKMVQWVHTHRKEG FSKMAMTSAAANGHLNVVQFLHAHRKEGCTTQAMDNAASNGHVEVVQFLHANRPEGCT TVAMDEFARQGNLEIVQYLHASRFEGCTTQAMDNAASNGHLEVVQFLHAHRTEGCTTR AMNGASARGCLEIVRWLHWNRREGCTTYAMNQAAKNGHLEVVAFLHVHRSEGATTVAM DSAAENGHLEVVKFLHTHRSEGCTSYAMDSACRNGHLDVIQWLHEHRWEGCTTRAIDM AASQGHLEVVQWLVQHRSKQRCTTFALDKASQNGHLAVVAYLSQQLMRDWEPRVGKCA GVC H257_13541 MLVSAVRKGGTLRPLFARGAASSAIPLHVQKMVVKMAVDTFDPA VSKYTTLPARLKVPPLPDQSKHPSWVNLSSFREAYRKKMLAPDVVEALNNVGFVWDTR QHKWEVTLQGLQTFSDLYGHVRVPTTFVVPEGSEQWPQECWNWKLGYLVDRLRRDKEN MPQDRIATLDSLGFIWKLHSHTWHQSMELLATYKRLFGDVNVPQRYVIPSTDEWPSFG HGVRLGMWVQHVRWRAAAFPKARVAALNELGFVWAAFDERWQVNVKAMQTYKALKGHV NVPRKFVTTDEWPEALRGLHLGIFLNNSKRRVDIFDPSRRNDLRALGVEV H257_13542 MHIKSVVVCGFRSYKEEAVVESFSKGQNVVIGRNGTGKSNMFDA IRFGLLTERFSNLRQEERQGLLHEGAGKHVMSAYVEITFCNRDGRLPLDTEDVVLRRT IGVKKDEFFLNRKHITKQDVNHLLESAGFSRSNPYYIVQQGKVNALALMKDKERLELL KDVAGTKVYEDRRVESLKIIQETQGRREKILEVISYIEGRLNELEEEKDELKAYQDLD KEKRALEYMMHEKELQSVRMDLETIERSRIDEANASNELRAKERELADHIKQASKASH RTSQDMDALNRQRASLEAERGELIKTKYALEMEVKELTEGVAHDNATSASLSKEVETI QGQVQEIQAELAAEWIPKFDTLTSALKDTKQLLATHALEADALVAKKGRKSQFKSQHE RDVFLKSEVKEISTLIKRKDKECSSFRQSIAASERQIDDARRELADQATAMDMHRDTL EQFAHSLKELKEKRNATSETRKDRWRDEDAINQEVKRLQDQLVKSQSMLSTTMAMDVR RGLDVVRKWRDEGRFRGIYGPLIELVEPIDERFCLAVDEAAGGAFFHVVVDTDDTASK IMRELEKHNLGRVTFLPLNRLKVNETEQYVTNDDVVPLMDKLKFGRDIRKAVLTAFGK KLLCRDLETCAEYAERTDMDCLTLDGDMVQRRGGLKGGYKDPQRSRSRAQLEVKRAEK ELDAVQKEAKKVRYAAQQADQHVSSIMGEIQKQDADKHHALDSYEQLQKDHERLQRQI TTDTHNMDDKQHMLETWTHEIQELQAKREVLTVELAQPMEDSLSAQDTRRLEQLHALM ADLKAVERQQRQELDVVRSKKSSLETILTDNLQRRAKEIHGQIQVSSVWSLHATERKT LVEMKAVDLADATRAVERHDAQWKQLEESASRLEQQLQQETQSLDKQRVELANVKQQL AEESTKADRILTRRRRLLQKREDAMRDIRELGTLPTSELDKFKACSAKDISTRFTKCT DKLKRYSHVNKKALDQYVNFSDQREALISRKQELDAGDESIKDLIDVLDRRKDEAILR TFKGVSHHFTQVFHELVPTGEGKMLILRGDDNDNESDTTTFVGVQIKVNFRGEGDSYL MSQLSGGQKALVALAFIFAIQRCDPAPFYLFDEIDQALDSTHRAAVAALIQRQAHSDE NPAQFITSTFRPELVMVADQFYGISHQNKISNIQPMSKEESLAFIADIMADEEAVVES Q H257_13543 MYYTDSFNYQKEVQNKLPTDAGNTTPDLRGRSNSQTGNATSHMS VSSSNSFGSANGASGGQGGQGGQGNQGNSLYLFPMVEKMFRIKITEDVERDLRYLGQS RADALISEFDMTPVKEQKGVQLYEVAEQEFYTMKAVVLTPKASIHDVMNLMDMATTNS FRDTMGDIFGALFLDGVVLYASPKDVTRPNESLTVNWMALQSSKAHLPHRDYVFLKYG DCFGRESSLDADPHGSGSNSFVGASIWESIEFDGCGPLPESQNVVRLKFRRCGFVIEE SLTNDSLKVSFYLSESHPGRSSVSNLTKSWMTKLLLCVSEISATLMTKHLSEQTLLAR HEFTKDGHCCFICLTKFTLMRRKHHCRVCGDVVCGKCSQIKSVRQNGVNKDVRICLQC SSASSVTSNSNRNSSTSSNGFVSHPSSHKIRALTSSGSSNSLFNGGGGSSVSSTDSAS GFDYTEYQKPHKNVILEGTETPTPRQPSPKIVLQPHYAASPSSSSSGMSSTQVRYGSS KPSSDMILLDQVDVDTLPSTVVAAFPSSSSTPSTMTPPLLTLASSSMAVPSNVVVKEN STFSYALSYSQRQEWPKAPLPRNEATRLLKVRSLYLMDPDNQFQDMVDVASTTLSCSI AAICVIGDKTGFMLAKLGLGKREVSRNILFDAHTIMSSVPTIVLDATQDVRFVHNPLV VDGIIHFYVGIPLVASDGAVVGSFSVADHVARKELTGDQLYFLQNLANLALKGIEANT AKYTTSQRNPLAASDLSVVTNGTATYSQSLDEDLDLKKAANTMQELLNKAYATQVKVT LASTSAPSKK H257_13543 MYYTDSFNYQKEVQNKLPTDAGNATSHMSVSSSNSFGSANGASG GQGGQGGQGNQGNSLYLFPMVEKMFRIKITEDVERDLRYLGQSRADALISEFDMTPVK EQKGVQLYEVAEQEFYTMKAVVLTPKASIHDVMNLMDMATTNSFRDTMGDIFGALFLD GVVLYASPKDVTRPNESLTVNWMALQSSKAHLPHRDYVFLKYGDCFGRESSLDADPHG SGSNSFVGASIWESIEFDGCGPLPESQNVVRLKFRRCGFVIEESLTNDSLKVSFYLSE SHPGRSSVSNLTKSWMTKLLLCVSEISATLMTKHLSEQTLLARHEFTKDGHCCFICLT KFTLMRRKHHCRVCGDVVCGKCSQIKSVRQNGVNKDVRICLQCSSASSVTSNSNRNSS TSSNGFVSHPSSHKIRALTSSGSSNSLFNGGGGSSVSSTDSASGFDYTEYQKPHKNVI LEGTETPTPRQPSPKIVLQPHYAASPSSSSSGMSSTQVRYGSSKPSSDMILLDQVDVD TLPSTVVAAFPSSSSTPSTMTPPLLTLASSSMAVPSNVVVKENSTFSYALSYSQRQEW PKAPLPRNEATRLLKVRSLYLMDPDNQFQDMVDVASTTLSCSIAAICVIGDKTGFMLA KLGLGKREVSRNILFDAHTIMSSVPTIVLDATQDVRFVHNPLVVDGIIHFYVGIPLVA SDGAVVGSFSVADHVARKELTGDQLYFLQNLANLALKGIEANTAKYTTSQRNPLAASD LSVVTNGTATYSQSLDEDLDLKKAANTMQELLNKAYATQVKVTLASTSAPSKK H257_13544 MALRLATEKLGGSNPAVTLYRQITKQVPRVLTLYDISMEPSEAR LAVQAFFRKHADVKDPRVVDMLITKAGMELEETLMQWKQKAHLETLLDSGVKLKNNKP KVFDDYEEGLENFFAGIDTEDDDDDKDYK H257_13545 MSNNLTIRLAKEVADIEAAGTFKKERVITSAQGPTITVAAQSVL NFCANNYLGLSNHPEVVQAAKATLDSHGFGLSSVRFICGTQDIHKELERTISSFHSTD DTILFPSCFDANAGLFEALLNNEDAVISDELNHASIIDGIRLCKAERHRFKHMDLTDL EEKLKATQHCRTRLIATDGAFSMDGDVAPLQGICDLADKYNAQVFIDECHATGFFGPT GRGSDEYCGVRGRIDIINSTLGKALGGGTGGYTTGRQAVVDILRQRARPYLFSNSVAP AVVGASLKVFELLTRTSEYVDTIRRNTHRFRDAMTKAGFTLTGQRDHPIVAVMVGDAR LASTLADNMLKHGIYVIGFSFPVVPKGKARIRVQISAAHTTEDVDRCVEAFVAGGKAL NILS H257_13545 MSNNLTIRLAKEVADIEAAGTFKKERVITSAQGPTITVAAQSVL NFCANNYLGLSNHPEVVQAAKATLDSHGFGLSSVRFICGTQDIHKELERTISSFHSTD DTILFPSCFDANAGLFEALLNNEDAVISDELNHASIIDGIRLCKAERHRFKHMDLTDL EEKLKATQHCRTRLIATDGAFSMDGDVAPLQGICDLADKYNAQVFIDECHATGFFGPT GRGSDEYCGVRGRIDIINSTLGKALGGGTGGYTTGRQAVVDILRQRARPYLFSNSVAP AVVGASLKVFELLTRTSEYVDTIRRNTHRFRDAMTKAGFTLTGQRYRHLRK H257_13546 MNTDVILLIIAVAGLLVTFATTLYLVGYFRSASSSSVEEKDPRQ AVAGGMPRNNNRGGLRALRHRRQGAAVVNENAEHRAQAVEVHDGADNDVEEATRVVGT SRKAMQKELKRQEREQLRKFEESRREEKKRQREAKEVAHRKRMEAADADDAAREADLE AMRLRQEAEDQVEFDKWRALISVEDAGVDADVVDGSVDGSNLLLQAFVEYIEVHHLDR SSHAQVSSSYVEPQSGRARRRSRRVWAAHRGRAGPRGGAGRVGSAVGASGRPRQVRVH FRPRDERRRRVHPDARAADVARLGARMQPPRALRLNQPTLSRQC H257_13546 MNTDVILLIIAVAGLLVTFATTLYLVGYFRSASSSSVEEKDPRQ AVAGGMPRNNNRGGLRALRHRRQGAAVVNENAEHRAQAVEVHDGADNDVEEATRVVGT SRKAMQKELKRQEREQLRKFEESRREEKKRQREAKEVAHRKRMEAADADDAAREADLE AMRLRQEAEDQVEFDKWRALISVEDAGVDADVVDGSVDGSNLLLQAFVEYIESHKVVV LEDVAAEFGLRTEDARDRVAALVASGRLSGLLDDRGKFVSISDHEMNAVAAYIRTQGR LTLHDLGRECNRLVRFD H257_13547 MRRRQPDQVQPQLQHTRPLHADRCNARSSPVVRPSSSMSRPNQA PRGVGEQSIMKRRNREDSLSMYTGVVKGLSHMNARADWETKLHDKAGVRDTLNVLKTL KNQDESNLASRRLKLATLMNAEMDAWKQMCLHNVESPEERKQKMIARATELKTRREAA RKAFVEEKRQQQYRESCDDNRTLESAHIVAQVVQDRDKQLEERQRILEEEKAFEARMA ELWAQDKAKKDARDKADVQRILTNNHDVKTILDVQVSLIHARQAEEAAIKEQEDRDLL ATWKLHEQIENELDAEIKRKAVARAMDVKKFNQTRSDLTERQARREHEYDTQLLQLAL RQEAETDARERALQEKFKRDQLEYQAMLRKQMATEAEDLSYLDAIRKKMEDEVWAKRD AEHRAEDDARQELLAQVLQSRQDQMERKELRKAADAAADAAYMARMQRESDEALQKEL TDQDKRKWDAKRNQVDVVAQKAQTKALMEKQKQAEFLDMKRMALSEKQHKLKLAQLAT QQPTLNYRRKTSEWYFDT H257_13548 MSRHILSPRATGRYTAVPNEHDDDTPRQDEAVVVESESPGASTL RRRNSSDGGVSPASPRSPTKSAMPQPTTVSESTLEDDDDVKHSPDGDAAAGTSDEAVA VALHSLEDPNGNLHIRILDLNGKVFSVSCSSDWSVDQLHAVVEAKSGVDAARQRLIYR GRVLDGDSSLGASKVEDGHTIHLFVRQVAPLVPETTSSDESEAALRRLRELEDHQHRV IHFHSGGPTNEPIRSAVFPSESARRMDPILQDSPLGMAARRVKLWASFVLIIHTMKLL GQCAFLANFAAQNAAGMNDRIKKELQYTPLYDETSVATVGKLVGYAFGVYVGCVGFKA AHDTDVRPARQYIVGMIALGMATMAEQVYEILRFSAWDPEEYRKARSHTFAAQSQPSL DEMVRSYVVQTFLLALMFLWAVKHAQGHRDELAAYNETVVAAAMSAVPLPPLDALERS QHHHQPPVVVVVPAEAAAVTTQPQENNNPPLPPHHVV H257_13549 MADQGNNAIPLPSVVVESSVLTFGCNDDGQLGRGEKKKPTGTSI EGVTSSNQPQQVGALRGLDIVMISCGSRHTVALSTTGDVYTWGWGQMGQLGLEHHKSI NLPTKVPFFEHHNIKLTYVSAGGCHSGAITDQGQVYMWGEAHWGQLGLTADFKELHQA TPVLCKVIPENSTDKIVSLSCGGTHTVALSAQGHVYTWGRRDNGQLGLGREWFHLEIE TDGVSAFTPTRIEPEAFGLEDVVQVSAGAFHTAAVTASGAVYTWGKEDYGMLGVGHTA DIHVPRKVDFFDKDPARIVSCGGWHTVVVTRTGAAYVFGRGEYGRLGLGDGRSHSHPH KVESLTAHTIVDASAGGTHTLFLTDKGRAFSCGRTDHGRLGNVDAKKYCTVPEPIQET TMGSIPVIQVSTGGAHSACLLHSTRLVAS H257_13549 MADQGNNAIPLPSVVVESSVLTFGCNDDGQLGRGEKKKPTGTSI EGVTSSNQPQQVGALRGLDIVMISCGSRHTVALSTTGDVYTWGWGQMGQLGLEHHKSI NLPTKVPFFEHHNIKLTYVSAGGCHSGAITDQGQVYMWGEAHWGQLGLTADFKELHQA TPVLCKVIPENSTDKIVSLSCGGTHTVALSGMFAQTPSIPSIFVTSRFSGISVAQGHV YTWGRRDNGQLGLGREWFHLEIETDGVSAFTPTRIEPEAFGLEDVVQVSAGAFHTAAV TASGAVYTWGKEDYGMLGVGHTADIHVPRKVDFFDKDPARIVSCGGWHTVVVTRTGAA YVFGRGEYGRLGLGDGRSHSHPHKVESLTAHTIVDASAGGTHTLFLTDKGRAFSCGRT DHGRLGNVDAKKYCTVPEPIQETTMGSIPVIQVSTGGAHSACLLHSTRLVAS H257_13549 MADQGNNAIPLPSVVVESSVLTFGCNDDGQLGRGEKKKPTGTSI EGVTSSNQPQQVGALRGLDIVMISCGSRHTVALSTTGDVYTWGWGQMGQLGLEHHKSI NLPTKVPFFEHHNIKLTYVSAGGCHSGAITDQGQVYMWGEAHWGQLGLTADFKELHQA TPVLCKVIPENSTDKIVSLSCGGTHTVALSAQGHVYTWGRRDNGQLGLGREWFHLEIE TDGVSAFTPTRIEPEAFGLEDVVQVSAGAFHTAAVTASGAVYTWGKEDYGMLGVGHTA DIHVPRKVDFFDKDPARIVSCGGWHTVVVTRTGAAYVFGRGEYGRLGLGDGRSHSHPH KVESLTAHTIVDASAGGTHTLFLTDKGRAFSCGRTEYVAEHHPCTCMMWIWE H257_13549 MADQGNNAIPLPSVVVESSVLTFGCNDDGQLGRGEKKKPTGTSI EGVTSSNQPQQVGALRGLDIVMISCGSRHTVALSTTGDVYTWGWGQMGQLGLEHHKSI NLPTKVPFFEHHNIKLTYVSAGGCHSGAITDQGQVYMWGEAHWGQLGLTADFKELHQA TPVLCKVIPENSTDKIVSLSCGGTHTVALSGMFAQTPSIPSIFVTSRFSGISVAQGHV YTWGRRDNGQLGLGREWFHLEIETDGVSAFTPTRIEPEAFGLEDVVQVSAGAFHTAAV TASGAVYTWGKEDYGMLGVGHTADIHVPRKVDFFDKDPARIVSCGGWHTVVVTRTGAA YVFGRGEYGRLGLGDGRSHSHPHKVESLTAHTIVDASAGGTHTLFLTDKGRAFSCGRT EYVAEHHPCTCMMWIWE H257_13550 MAACPSKFSHGPPSRCPPRSIRPIPRSTVQRNLMPSPASFAVAT IFATMVAGHGIMSVPKAEFDPTVMRTTYVATIQANFPGKFNDSPDKNVAAFNKAFRDQ QATGFKTLRAMLDPQGPDCGYSKLDVPAKPIPSDGNVVWQNPDSGEGFVPSHTGPCEI WLDNTRVFQNDDCATNFPEKPAAHLPVDFASCVGSCTIRFYWIALHQDLWQVYKNCAP LVGLSATPTIVPSAPTPTTSPPTTRSPDGTTTPIATKYPDYNDLDCDP H257_13551 MRPSAFWSRGGSKWCNGIEVSLQDSRLYRAFTLPNCLEVLLVSD AASEKAAAAMAVQVGHQSDPADIPGLAHFLEHMLFLGTEKFPDETSYKKYLSAHGGRS NASTSAVDTNYYFDVGPAHLHGALDRFAQFFVAPLFTPSATEREMKAVDAENAKNYQN DARRLYQLSKSLANPLHPFHKFGTGNLHTLGHRPQTLGLDIRNELLAFYDRHYSANAM KLVVYGKEDLNILEDWVTTLFSPVVNKSVQPLVQDGHHPYEPPQQNREIHVVPVKDLR MLDLSFPLPTVQDQYLSKPHRILSYVLGYEGRGSLLAYFKAMGYANSLSAGISKDFAD WSLFSVKINLTHEGVANYRAMAQAVFHYIDLVMAAPPDALATYFHEAQALATLGFRFR NQEQPIHYVSWLTSNMQKYPVHHVVAGPSLLHEHDDAEVRQLLALLHPSRVRLTLVSK SLTPDATEPWLHAAYSDSPLYSSASPSSMESPAIDMAELHLPPLINPFIPTSFDLLPS SNPSTHDMHVLRDDHRGRVWFKPGNSFGKPKASVRLKIYHSVAYASPLHAVLTELFVS CVRDKVSQDLYDADVAGTSVGLSSAPTGVTLHVDGYSDTLPLVVAKVAAIVASPVDME ASFARLKDKLVRGYANAALDEPHVHAAALRTWVLTTPVWTTPEKLDAATDDVTWQHLV QHATVLFQDGFKEAFVYGNVDKDVALEMVASVDRAVVGRPDDNIISGTRNDGAIDDDE GAPLMPSPRRNVRLDGNYVVRERNPNPSNPNAAVLVLFQLGQDSTSLRATSVLLAHLV KVPCFSTLRTTEQLGYLVSSGQYIANNVIYLSMSVQSNKYSPLHLQSRLDAFCESFRV HLQTLSGDLFQQHQAAVVAQLMEAPKTYEEESARLWHEIAGETYEFDRREQVAAVVPT VTLADVVDVVDTKLAGVATRHRLCVQIFAPTHDMHMDGEEEGATVIVDRAEFKRHLGL FPARTRVSAGAIPLAKL H257_13552 MARRLNWGGIALSFITGTSLAAVGVMGYVRYEHPEQFAQGSVKQ ARPEAWDDQQKQWGAYGSGLSDLIPNAVANFFEIDQIPARVEAHRRRREKEIIDAIRN QTS H257_13553 MESTSSEDMSTAQSSPLDTSEGIMWPDSTMPLELLSRLQTPDTI PESDDFPWPHDIPRPPLESSMPDPTLPLIPVPVPPVTPLHPHRTPTIEKPKMIKIPPA EQEITRMRRESYVMFLKKKHEQNVQPPPTRNVLRDFPLTEVPLYVPPDRLEDEVMASH DCAAVEEWVNVHLTKGMTQLIPVSDAYLAASDPRKQVAMYQSKLEYSDVTQLNVISST EKTPLQGAGIDSGSLLRLGMPKPLVERIYRGLFVYTSGFHSLIHDIGRHCPAYAESHI AANIWLAFLHLLEKCEDGRYEMAMLKFNHATSIWKKNKMKEYELERDALTSEVAKTKG ALQVEKEHVADTLNKLADQVEVTRQVNDKMQHTQDKFGDFEEDIRMLKMEIMDLEDKL ARKTDEQIQTNSALSLALTLKSDVENEVANHKAQIVVVQDKLDKLEVNKVRTSNRIRE LQTTSQALRDTVDTLKNDVRLLTMDKTKLTADRTLYLDKSTVLDKDVERLKSQLVELG AMAASQKIDLDERDATILSLTNAMETDRNVFVSLQNDIARLTALSHQQKLDNGVLEAQ AQLLLIEKKNASQKEGDKARIERLLNKKVELESEIELLRQEKEQGQEQIWNLRASIEA LENDLHHSKRAYASSQTSLAQAERICEHMRGQLQEMERANDRLTATLASQKQQSKMLD DASREQVDKMEMELRVVMGQMREMVYTRRENEAQINDLSKSLEANSVELRLNKQRIEK GDKALAAMTFERDTLLRDKRIAQLEHSTNQAMVNRLNQATVQLMEKIRLNEVNYDEAM EELKEHYDNAFRAPPQLSSSSSGVDLLSTVQNSPRPSMKGGGNSMGMQSSVSGLLDLI AMQAGGDGGDKGGHGREGQSRIARPRRRSLKGGAIPRQNEFTIVELTGQLKQRNATIA RMEETIEDGRFALQMEKVAMGREKERTARLEECLDMAQEDLTTLKVASYDRHKSLTLL LLQTESDLKDHKARVQILRSYLRDYKTYLDCMGMHAEDSRGSLMIVRRKFITRVDEEV QATEDMADNATQTYPPRRSPIKERAKLKQTMFTSPESAANDVLNNITDLLPDEELDNH FFSLTHHGDLATKDYRQGHLLESHRVNRFHPLPQLSGPSTDGRNACRSGECDVNHAAV RGQIAVQFRNEFGFIHRVAESNVSPFVFDSAPAAKKERQPLSVAEKLARELQIQENER LTQEITASDFGKSSSTRPPGRPSPGGPKKATVRTHRNGMDKRAAGPPDPAEGVPNQPR PAPPTNSKKQTRHVKPRSNNPSHAAFDVASAQTEAEADLLMLESIRAREHATPYTPEV GRRRREPQYDDEDNESDDDGLNLGNFFVEEGGMLKRRATGLDGQRIPSLLEQKVKESR ANEAMREATSPTLRLVGWELGRQADKDDTSPSLTLPPILYPITKS H257_13554 MPNTRFPKIDLQEIRDDFIKFELSETDTSVANAIRRVMIAEVPT LAIDLVSIEVNTSVITDEFLAHRLGMIPLRLEGGLEAFKKRFVYSADCDCDEHCPNCS VEFELDVRAESGTQTVTSDSLRSLDPYIKPVHFSSEEEANNTQDTGVIIAKLGPGQRL KLNAIAKLGIGKEHAKWSPVAVATYMFEPIITLNQNVLNTYTSEQRVELYKSCPTRVF EIDDTYDTLQVEDNMRCMYCDECVKLASSYRENPEDDMAVTVTATQDKFIFSVETTGQ LKPEEVVIWALDIIKDKLAALKHQCLELSQDEGMSTQNVPITPFG H257_13555 MYYQTSVEDLMWTVEALAPSGLFLHVHIFRKDEHGDTMLKVLAT SNRTTLVVPIPHFIPLAEVSNWPSWLNVCCLVSGPTDQIEALLKSHPTWSVFATVEAT QNENFLATEDAHDDLDQYSALQ H257_13555 MYYQTSVEDLMWTVEALAPSGLFLHVHIFRKDEHGDTMLKVLAT SNRTTLVVPIPHFIPLAEVSNWPSWLNVCCLVSGPTDQIEALLKSHPTWSVFATVEAT QNENFLATEDAHDDLDQYSALQ H257_13556 MSTPDAWFNNLPKITRTYMTLCFATTCMTQFGLLSPKTIYLDFD LVFFHFNFWRLVTSFLFVGKFSFNFLVCLLILGQYGSVLENDPFVAGGGPSADFAFML LFGGSVLSIIGFILGYPFLGVPLIFMILYVWSRKHTEQIVSFWGFKFKALYFPWAMIG FTLLTGGDPIPELAGVFAGHVYYFLLEILPNTKNINLLQTPQFLVNFFPSDNNRPVTG AAAPRQAAAATGPSRYNWGGGRTLGRD H257_13557 MEASRRRKKKRSHAAITMMDVIKANGMRVVEGTKLNLVAKGIDI IGTVVHAIAQSTTCLYLSQNNIASLDGLAQFTHLKVLSLGGNLLARFDAFDKLAPHLA SLRTLHLSGNPLCDAPNYRFRLIYVLPMVHILDGADVTAKEREIAPFLVAQDASLRHV VFENHADISKLEWIVLLIQMHKEFYQVVYGIGSPLRCNARMPHLEKMAVDVALLLRLW KQADSTTNSSVDHSLVEGQLQRVVVRAHHHLQQHPLRKAKQLLQKFGSQRLKGLEPPS PPTWEEAYASVISLQQNTIAKLRGLCERNRRDLIDALKSLLIRDPGHRLQQARLDDEQ RRHDLALDRELLLREYQGHSGTPHNNNDVCFHPGLPPQQPDPDGDAVATRRQPSKSRS VPRAVPTYSDRPTSSASTHRSSATPFPRHRHTPPPPPPPRSPVSEAPRRSSRLGNHRI HENLIAKVAQPDQGYVACPPTMEDESVIPGVASAQGRDSMDGALQRQLELVEKRLADL NMGQDEERRVRREETAALEQKLRDMHYAPHVIIPATTDLPVMDLNATPCNHLRPNSHT VSHPHAANAPAQTSELHGQANATALGAHPTTHDPPSVPSTRLSRRPATAPVDDRPSRD RAPTTTRGRITPAPVASVHATSASSPRRCPPLIPSGAPFAPPRLQLSSLSLWRCKLGR LFRAWRLYVQLHHNLHLLHFQRARRHARLMLALWRQYCERQFHVRLFAASRDHNGLVR CFHKWANASRFHAITAFAAGRRARDRLVTVVHGWRRWSRQANRIRLAQARQQHRRDSN TLHGVVAAWRQHTYMRSVRRIHEKQLHRVHAKFTLHIAFQGWRRWLDLHVQPRQHLER TVQSARGRRNVRGAFRAWKALVAATRRFCKRVCRGVWHQWQRRIEASRHKARTTRKMD RFVAKKALSWWADATQARKHCARMEAITKRQWMARRLRHVWRHWTTYKSMRRKYVQGC LKAFKHCRIKLERRVWHAWATATAAHSVVRKQHKRSALTLAFHSFRVAVRKQSARRHV LRRTDHLVTRQALHWVQQCMTRWRVYALQRRKPVGLLRFVRAQQRHGMMSRLWSCWRQ QCYQRLEKRVETAEDAATYSEAERTRVVSEMLQLNDTTIQLAEQVATWKDACKEKDDA VRAWQQSCHEMDRAKAALQQQVEALNQRMVEMDQARRDQDRLAQADTTQVLQQLAAAE DSNEGLRRQLRDGHDALLQVQQQLLKEREKLQRHSDDRRKTQHQLDLKHEEVTALQQS LQAVQQTLAIERAERRDAAARCKDYETRLADTVRAIHEHEADMDSQLRHTHDVALDME RRWKESEVKNAELVKLLQEKNNCVAALTSQVHTHRSVEAHRVSALLEEVQANIQKNTP PPDVDYPRSSPSAVEIDDLNTHTASIHDDIKALQNRIMLRLQQSPVDAAAPLIPSSRI SKPQGSAPKGKQRGGKLPTQKPPVSKPKPK H257_13558 MQCGGCAKSSEHINMLCANCTGSTIQQKRNILMALWADVAVLRE KAAHTLDGKDSASVDPNELLALDDLQRERERCQAVLDRDRIALAKLKHHIAERQGELL AAKSRLHATSTEEGDDGSDYVMEGLRRVVRWNEQNLSAMRRTKVLQLFQLLQLIPAPP MHYFRTITKLPLPSSGHYDTTDHVPPEVVSAALGRMIHLLLLLPKYLSPLVYPHPMIF NGSFSTIGEQSGEGAACHTLYPDGSMGFARGVAMLWQNVAYLCISQGMSLDELHEADS LGNLARLAESPTLGSCAPHKASMERLHQVMAEATAASGGLPLVAMASSLRILSEYNPN DMTAASRPAASNLSEWNVIESRPSFRSSSQFAPS H257_13558 MQCGGCAKSSEHINMLCANCTGSTIQQKRNILMALWADVAVLRE KAAHTLDGKDSASVDPNELLALDDLQRERERCQAVLDRDRIALAKLKHHIAERQGELL AAKSRLHATSTEEGDDGSDYVMEGLRRVVRWNEQNLSAMRRTKVLQLFQLLQLIPAPP MHYFRTITKLPLPSSGHYDTTDHVPPEVVSAALGRMIHLLLLLPKYLSPLVYPHPMIF NGSFSTIGEQSGEGAACHTLYPDGSMGFARGVAMLWQNVAYLCISQGMSLDELHEADS LGNLARLAESPTLGSCAPHKASMERYDASMVLHFGMKHGLLGCIK H257_13560 MSGRGRGRSNAGSRAGGRTGRREGGHKREHAEARKEGNVIGFLN KPLSERFASLHATTSAPAVKKSVAALTKHVATRVQAVQKSKRQTNVNARRGIAPPPPV VHKPVVAKQKTKLARTPAHVRGVSNTRGRGGRGGRGGRGGRGQPPSTNPQDLDLDMDT YWHAGGKGPDPKQAQLDRQMDEYWAEKPEREIPPDWSS H257_13559 MIGLYVAVRTFIAWLWLRFCRWCAQPLRLVLKSNEYYHKIVIIG DGFAAGFGDWITMASSGGLSEYIAHEISKEDKIRHKWQVINRGIVDTDSSQWHPTSST KYFQSVMSTPQLRDADVVLVVVGSMDARRKTPSISPDQTLRNIQAICDALRKKGKRVC VGSLCHSDVVTECDGHRSINQALVDFCASTATDAFPVKITPDLSTPVVRRSDAKAFDG FHFNAKAYQQIARDSMMVLTPLLTAVEWSTWKKQLDGVKYDAALYD H257_13559 MIGLYVAVRTFIAWLWLRFCRWCAQPLRLVLKSNEYYHKIVIIG DGFAAGFGDWITMASSGGLSEYIAHEISKEDKIRHKWQVINRGIVDTDSSQWHPTSST KYFQSVMSTPQLRDADVVLVVVGSMDARRKTPSISPDQTLRNIQAICDALRKKGKRVC VGSLCHSDVVTECDGHRSINQALVDFCASFVPVPL H257_13559 MIGLYVAVRTFIAWLWLRFCRWCAQPLRLVLKSNEYYHKIVIIG DGFAAGFGDWITMASSGGLSEYIAHEISKEDKIRHKWQVINRGIVDTDSSQWHPTSST KYFQSVMSTPQLRDADVVLVVVGSMDARYPKTSLFRLWSFVMMTILDARPLPFPPTRR SATSKPSATHCARKASACASDRFATRTS H257_13559 MIGLYVAVRTFIAWLWLRFCRWCAQPLRLVLKSNEYYHKIVIIG DGFAAGFGDWITMASSGGLSEYIAHEISKEDKIRHKWQVINRGIVDTDSSQWHPTSST KYFQSVMSTPQLRDADVVLVVVGSMDARYPKTSLFRLWSFVMMTILDARPLPFPPTRR SATSKPSATHCARKASACASDRFATRTS H257_13561 MDQSSNSDDRLHQVAACIPIVPFKGVSFYDIGGLLAHPVEFAMC IDLLVAYCEPFADRISSIGCFDARGFLLGPILAVRLKKKVFMLRKPDKMPRVSHSVRY GKEYVGDDPTVGDDGLCIQEGAVVAGDKVLLIDDLLATGGTMEAGLDLVKQCGGEVLS CICLIELDALRGRQRIASRHPDTTFFHLLSEHLWAKTS H257_13562 MSGSHLSKDFFELVKSIGESKSKQEEDRIIVSEVAQLKRKMTEL SQASNSQAAQANKKKQKEFLIRLMYVEMLGHDASFGYIKAVEMTASTNLIQKRVGYLT CSLTLSPTHEFRFMIINQLQRDLQSANHLEVCSALMAVCKLVTTEMIPAVQPMIIESL RHDIELVRKKAVMALHRFHQLNPDSVAGLGDSLRRTLCDRDPSVMGAALCLLHDVAVI TPSAYKDLVPSYVSILKQITEHRLPREFDYHRIPAPWIQIRLLKILALLGQADQATSE GMYEVLHDVMRRADTGINVGYAIIYECVRTVTTIYPNSTLLDAAAASISRFISSDNHN LKYLGVTGLAAIVKDHPRYAAAHQMAVIDCLEDPDETLKRKTLDLLYRMTNPVNVEFI VAKLTTFLREATDVFLRTELVSRITQCAERFAPSNAWYIQTMTNVFELGGDLVKVEVA HNLMRLIAEGSGDDEDQDAELRRDAVDTYLELLEKPVLPDILVCTMAWVLGEYGYLSE AMHVDEIAERLCELIDRPFDNEDVTRSYIVSAVTKLLAQLEGGTGLPVAEAMMHKYKT SRHIDLQQRCLEYLALKPYPQLVARTHPVDASCEDLDVDVNLSFLQAFVDTALAKGAP RYDPPDDLDDDDGFGGRRDHVQLNIEAYKKPELPYSGVKLQSNDPLGAGVPWAPPGGG GPTSTYPAAGPASTYGGAAGAPPVVAGAGWGSQQKSVRNVWGPTGINANEPPPQQHQP QPYGSNQPPYGGGYPQHQQPPQGPPPSQYGGGPSSTYHSEYRPDDEDTDDEPVVDERA VMAASLFGGVPGAAPPAAKRGSVKADVPSSLSRKSTVKKTIKRKSAKAESDQGGFLNA PPAPQQQQQQQQPAHVDLLDISFDSNYASNPLPSTNNYLDPFAPQPAAAATVISPSQA REVPLDPFQMSGLISSIVPDSTKFQHQGRALEPWLISTQEFGGRWGSCPCEVKSNVAT TTIRTLDDFCHRIHASDINPVEAIPQTSEVIAAGRVQNPESVALIHCKVRQGGLDLTV RTADPAFSNSLSAFLGQILK H257_13563 MVVCSLQHRSSNCQPRRSKTTVWYLTMISSAACWIGCKFNCPSK PDVVPCRLDLLDDRVTDLSCRFRVNEGRDAVQDHLSFPRSICKGLKDPSSHSPFVTVE PSLEIVPRDGSTQNDRSPRSIAIVIDVWRVGGWVVPDGLQFLLCLVTI H257_13564 MGQLAADKDHNDENEDGGKEGKEALARILELTPALTQLPAKSTD IDGCGGWGGQEAQQLEAVVDSVDTIKGLFHHISSLANDVYGTQKKSQSTISSDPSPDL AADSSSEFDTRVSAYLQNVQALNAITKKEKHNYEQRLVAVRNATKSQRKVSPPPLPLP TQNCMILGWDMAIQLMKATRKEGNGDTYLHALQTIQEHMSTLKPLAYLDGMYVSPSAS QSFDLLCDFLIQAAVVIPGRDVTVEEEIARQSISVLCDLALARGSLSYLLSCVLWMLS SRTETPLHLNALLTKVAAIKEQSLYGICEASGELYCCGQNSYGELGVGDDIERHQLTF VPFGGWDDVKQVVSGNEILAMLTNSGVVLTAGLNKSGQCGHGHFDERVMLLRPVEALR SQKVTYIAASNGCEHMIAVTDTGLAYSWGYNDRGQLGHENITTKIHQPKLIESIRDKK IVFAAVSYHHSAIVTDKGDLYTFGMNDCGQLGQDTTTHVSEPQHVKALEGYIVSMVSC GLYHTVACTSTGELFTFGKNDYGQLGVGHNRQSKTPCLVATPNDHICFVTCGYYHSVA VATNGRSFSFGRNDYGQLGIGTKVHQSVPHLITVGPRITRAACGCYHTVLLSEQGLVY TFGRNNKGQLGNRGNTDSLLPVPLHVRPEKSARRVLDIAAGFYTTSLIVERKKDGDVA TADQSLVLPLCGRVDIDRSGEIEGLSNFGSISAVGVSLYRGKWFYEVEVVTSGLIQIG WIDGYFQGSSDQGEGVGDHAHSWSYDGNRQRRWNSGSSAYGDKWKAGDVIGCLLNLDD LEMRFFRNGVDLGIAYADLALNASDARAGLMPGISLERGEIIRLNLGHRPFAFPVNGI DGIARAIVSPSSQVVLQTVAIATTATAPEALEGSARILMGDKLFVIGGMLSGPTGSTG VSTNKVWVYSQSDKAWGRWSDLPIGLRYHQVVAIDDHTLLVIGGEHDGPVSRHLDLYR VSTIANADGSFPPWELVQGNAATSALPLPRAHHAAAAIRIRLETLVLLYGGKSNDDAL LGDTWFLSLEDYSWAKLPSSVALDPGPRFGCSLCVVGESVYIFGGLDKEDRYRADLWR YNTFDRVWHLCHDDYIHTPRPSSTDKEFNPPMPPPRTYYSMASDLGHVWIYGGENRKG DVLGDLWGFSLTKTTWTQVEVTTDDGVSGVGRAALFIEAGSVCAFDPLQPSTCLLYGG RASRIAAGGATTMTKWETTIRYLSPTSSSKLPSSGMTSLTASSSTASLGKASTVLAHL RSKRAPLLCPPTAGDTAICLLSHLDRLIGGDVALVEADSIQPSRCSYRSLCIDAKESS FAVLLQLLSRLSTSVLAPNVDSTTDLYPLVVVLRLVKFNFFELSTSCMDVDDMGFAAS STERGGTLFQLRELLFQLADVPWTPTASAEVDWFGHVIQRETVATINAGFSVMFPSLL DRLAVLTRLLHDDKKVSNLLVPMLVPNFASAKALFALMSEESTLVAEGDRCHNVVTMF ADKLLQSLWLKSTSLGMLSANVDTTMEFQCLNVLLRATVFWSSMNQGWTIAKHICIRL VHYMQLLLDTAAAAPLSPVAVAALLSTSFPGKLLPFLLLNMTSLPSIQQNFSSAMADL WPNLEMLLHNIHMFMATSDGSAKSFEGSSSGLPGGTTVSTPLLSLDNDTLLQHPVVLS ARMQGLLQIPPTTAPRASMPGAEFATRFWEVIRTTSSAFHRINVISRDYDLYRVVLAP SAAAVLQQRSLVVGFRGGTDDHLAKTPYDSAKVSLTFSWDVLTTHIQAGALSSKSPFS TMASSSPTTSAPATLGGDGDGACIEWLHDFQNLLSYLGSHYASSLILATESTAVPSAG LERWLQSPLFRGGLEEEQPSSPTTDSDDHPKATRNAHILQQILDNEGAGAKLVEKVKT ALDPDAASAHANPKLRAASTRMKRIDSVERTLEKSGGFEAVDRAVRSTFAVLLKHTHV AYTSNPVTRDGMPGEALLDAWRAALQLRRWIVREQQKLAVSSSDGKVNDDEDNELAKE RQQSLYKQVCDPIVARARLLLQLAAVPVLTTQEDASHSPFKILPGISNTPFDFAKLSS STVARVAPEDGAGHQGWLQQLNRLMETKSMDTIEEEDERIQTDIFAFIQQTSDSSVET PMKALLEDHQRRAGRRLQGLEIFFTLLSSTASIPAARFHILPVLAKSFRASRGVAGSD KVHYSHQLELAGRSKIQAIGRQFFALVSHLLDHSSSHLLSLKQKYCSGSTDKSNKPSV QTMHQHVHAMLLALDVCSIPYTADDWVHLSATRLPSFLTETTSWASWKQVFAIEDVDE ATTISESASHQKPPILPAGVGWSGLTFLHGQSLVVEPETHIVTSVARPVQAGDSAVDP IVADDAAGGLVVAARPLAKGRWYWEIVWRHQGTFPIFVGVSNGCANVNHAFGSTPSDD SNGTVGVLLCKDKLTYPSYPTLSSYHVWARLQPIGVLLDCDAKTLDIFCGVKRVQSLN LAHVTTAAYFPAVGVVDGEIQWDMYAPVPARIWATPPKFTFVSGGLVADSFGGNALSW SGRVKGPNVHLAADCSTLVAGDCLLATPQIETVVTNKSFLDGQLYVELSVLASRHCAH FVLGVVDSTFVAADQTIDGLHLEYDDIEQDHDGLLGVLFDFSEGKLTVYQKRKEAIVH SVDLSGLTPPYVPALSVLCNGAILFTNFHPHARPQLPPSSIYPLAKSSVASSSATEKQ VGKVLEFQVLTCDGGEFSASHAVTNLLVDDSTVYSSAGSQNISLVLKHTSDTPFCITY AMVRGPGAGYTAPLQHAVVFVMSAPPELDELEQYNGLTPEEFAGLPTAPTDAHVKRDE SIPVLYFVLDGNCSSVSKRLTSPVAGRYVVVKLLRPTSGGNIDVGYLGFCGAFDKENG PAYSDLYWDDYLCTECHLCPIPGICYVSDEDDQVKMCSSCYDDQRGSLTTSFYACVPS DGEDRGDASTSVLCAPRKAWIEYVQALYESTKPSTSSLGDRGGSASPAKASMPDDLFD DVELFACGQNNYGELCLGHCNSTSKLEHVPLFTAKSVKQMTGGNEVLAVVMRDGGVYT CGLNKSGQCGNGTFEERVVLATPVRALSGVPIVMVAAANGCEHMIAVAQDGSAYSWGY NDRGQLGLGSTISKSHTPKLIESLHEKYVVVSAGVSYHHSAVVTSNGELLTFGMNDCG QLGLDHTQHQHTPQIVDALASQVVTNVSCGLYHTAVVTAGGDVYTCGKNDYGQLGLGH SRSVKVPTHMKLTDADDKAIMGWSGYYHTAVVTDKGKLITFGRNDYGQLGIGSKEHRN TPQTVPLPAGSKVMSAACGCYHSLILLANGRVMVFGRNNKGQLGAGARTLPSADLPLP IPSGTLANDDVVAIAAGFYSSYILTGRKSDSTSKKTGTSARDELKDIHAPDHQSVSSD ALFESLMREMDRNALSDTLNDTSPLSLKRSNTSKKLPLLKLLSGTWAVARTLMYQSLQ SKKPTELLKSFILSMLDNLAESSKHVDATSHDLPTGDDEFFNLSDACVGLIKYCASGK SKADGLSVLSQLFGNQVLWVLLACGSVHADVCSVIASSPVVLHQLIRGMLSSTLSSSI ICMRLGMLIFPLQSVSAVNKVYKSIATHPSDIMTFLFLVVGYPLMLRPPLCKHELGIE CATTSLCKYFNCTKGVAVDAPISAALSAMVDKHHIRHAKSCEAVSLVRYLTLFPTWNK AAGATITRALEKTDVVDDLLATICKFYEHFSTGDSLPGDDFVHDDDVVVRQPENTSPE LDDPSSPSAAALDGSTDKEKNIDVISESLSFDKKAAALRKKAKDALDHATLLLASIGI LGGHTELLREGGHVLFEDFEMCGRFKGGVLVGLKRNARGGIDGHISVADVAAPCTSTT SKADHVDTLVVPLKNLHAVERIPAIPQMFDDNLSDALQALSKLIVPASSFASKPQSFH PVVHSIVGVVLKSFKNQIRWRSTKALASVLKQLPSLDTSTSNNLDVSIVSNIASLLAS ESNSSTTPRQQTCTDDDKLPFLHAKWCALKEHQAYLAAENVIDSALDASESHVRDEVV QRLGNENALSWGIDAIQSPKKRQSSVVAKANVKQHQPSSLEYSASAVPTGVWGMLCPV QVADTERDHSFNSNFHLRSPVVRVGRATDSCDIVINDRSVSGRHFHLRRVRQDTVGTD EYFELQDFSKNGTIVNGVRVHGASIRVAHSARISLILSRGGMITYEFHVIPQHPSTSL LASEPLSLLPSAAAMSAGNEPQQQTQQSELHEPRSPAEVQNRALSSVASSSLMMGNIR ARLGPQGLRLITTIGEGDVPRALISPNPAVDSPRVGGNHPTNPPPIAISIHSPRTPAV GSPLAYPSSGVLSPASFQQRESHAPLAPSSLAPNAMPHGEMAVSEALRIALGRESLNR DRLDPDLLTRTRVMSGTGEVSFAKKAPSTTSSSKPDDKGGSPFSDLAKDLCTRVRDLG GHGVEVEMCEKALQLHQGDVVRALKYVQETIGKAQSMGLSPQHHLAARSLSHILGRSV SVCSHALKQSRDNIGVALRALLFSPSTPSSLSDVVGTTRGDDDDDQDHRDDLVSQQFD KFVSQAVDQLSTSADMNDAENPSYSLLDTSVSASPRRASRPIASPVRSAKDGKGKKPD GSDAAVVPWSVCNAHVEDRIKGLNWRDVDAEEDSVSQLLSAVHARKLLLQVIRLLQPH QNKSAEGSPLCSFGDRSTLRQLVLFSEPVDGDAETVAAPSTSNGRIQQILARISQDVL SASASRTLEHNMRTFQNISTLVSSIDENDGRPPQSSSDAQHNTAQAVVRALLSSTDDN DHEFKTAICEDLVMDTMMHVISKTFSSKGMLDFDAKKESEYPKGPFLLSSGLEVAVVA TFERVWGVPYPDPLLNYRHKWRKKTSTGLDGGPSASTARATYDCSVTLWKPVLPSSFQ TTLVAPDKWFALSVVAKTGNDTPTSPLVLVRDNQDGCLARPLRFDCVDVSGKGLPKNS DLDLEFERKQLRTVWWPVAPPGYVALGCVAGTKDAPFDAPSVDSYRCVRADLVQALDG PASCIWQAPTVSLWTAASDYSQHLIPTTGASTDETVVHVLQMSEDDRVLCAPITIAVV LRFVKVLLHVQTYMGKQILAPELTSALFVLVKQALGDKRSHPVSVELVRALTTVIRAG CPWKDKEGVLYCRSKIMFLYQDQEGSLMLSSLLQALVELLLVVDSHHRDHTLATFATL PAYDVSSTLPYRFAVPEHPHVHAVHSLAKVAVTKASSDYKFAVGFNDKPASVAADAIG GGTTVTDPTFASVRFEDVAPVQVFMETQTVAMLVYFEVHVDDIKVGSSGTKPLGFSLG FSIRDFNVDDGVCVGHASKTYSFTPATGKIQCGDPLVDVWPWSDSAHAVGRGDVFGCG LRLDSHEIFFTKNGRWLGTAFSSIIGDMQLHPTVSVDADMSVDVVLSHATEKHLFPLD TTDWDSPMHGFEWFDYLRQVYSIMQSLVERKPLPDEFLLSADNFLSTISTDVCQSFAS VHPYELDLQEATIHIALATSMRIKLDPQCETAGSHCLQIVQGSSSDDAAESEVRTFTG SCGGQEITVDGNEFTWRFPVQSNFQCRIDRVRKGPYIKLENRDTRMSLTRDKGWQTAV GVARFDSGVHTWEVKIAMVTASSNVFLGIARKDVRFDSYLGKDNRGWGWIGNRALWHN GSKQRGTYGEKFKTGDVIRMVLDLKRGTLSYALNGKDLGVAFGPGGTGPKLEGSFYPG FALYNQRDVVELIGGHRLEDSDATSAVANVGGHVDDSAVYASDDEEDAEINAESVPSY RVELATVLSQMGFPMDWCVYALKHCDDDAEQAADFILGNMHAMEALVREEAEAYSRSH VAREDCPAPLSSDDSLDVGSASVVLSSDEMMSTSEADTAVGLSMAAGDATTATTNEKW GVAFTVVPEFSVAGRQLLALRYAPALKELHATLAVFELEHDEAIVTLVNAYCEAKAEA SVPCDPLRLRPDEFHPTDDDLTVHTCLGGIPLPTLQARFLILRNFNARLQHVLSLIDY SATESALTRCIRALRGCVFQTVKLNWWFSILKEQQTPAAARPEIEVDRLKAAESTNGV LHSVYAQSFAQLHPLQGSLLRGHDRAFKCQFVGEFGDDFGGLYREILAQMSTELQTPK VLPLLVPCPNEINKVGENRELFVPNIHLRNDPKLVHMAEFLGKLMGIAIRSKTPLDLN LPPVVWKYLVDQPVVRSDIESIHQGCFQVVDTINNITKHGITPNMFDDLIDASFTVLS SDKQEVELVPGGKQVRVTWDDKEEYAQAAEAYRLSEFKPVCQDVARGIATILPLPTLG LLTWKDLATLTCGKYTVDIDLLKRRTTYGDGCSGTDPHIAYFWDVLREFTDAQRSSFL RFVWGRSRLPTHAADFTQDFKISGMPKAVGKADSYLPLAHTCFFSIDMPAYSSKAVMR DKLVYAITHCSSIDADNTTVAQRAGQGINWTRAATGDT H257_13565 MSDPKEVPREKTPKKQKTSNNAASTAAASGSATTDEEVYDMHAM AKDTQEPEDVAVLKWILGSMGVDKYEPRVIPQLLEFVHRYTSEILVDAQEYSTFANKP AIDADDIRLAAASRLKHTYAQIPSRELMMELAEKRNALPLPPIPSEYGVRLPPVEHQL TAENIRLYPNHHVRLPLYCCISPSLCISHRSFNLRQQRLCRCPFMARTLACRYILRPL PKAPATSKSRPPPFRSTSRRPQPTSLFGQHKTNILVVSTVLVAFYSMILQCIPFQ H257_13565 MSDPKEVPREKTPKKQKTSNNAASTAAASGSATTDEEVYDMHAM AKDTQEPEDVAVLKWILGSMGVDKYEPRVIPQLLEFVHRYTSEILVDAQEYSTFANKP AIDADDIRLAAASRLKHTYAQIPSRELMMELAEKRNALPLPPIPSEYGVRLPPVEHQL TAENIRLYPNHHPSQLQPPTATTVPLPLHGAYSGMSLHPPTSAKGTRNIKISSTPIQI NLATTSTY H257_13566 MLATQTQPMLHHHAFMHGHVQSPGPIDSPSRLHDISVEMSLSIA ASKGFPIPMQMEACKRGWVAPFLLHLHQMLRRESPQIVRWTPDGKAFEIIDKATMTEK ILPKYFRNKNFASFQRQLNYFGFRKWSKSRAMHSTYSRDHFTRDNFDELVFVKRQSKR KGGNDSSDKSDIDMDESVTDVGALTSSPTKSPVVSSPLLMSSLLSPEVDATHSHPAQA LVDMHQGFSAAASEMPTPSMANSALSINARFSLPALSELRQISPNGRMRLPSLYQIVP SMQGSCMWPVDGARGATEESNSPTSTQNSAQSTTKIEYNVKELMGLSAARFIRGLYDM ISSDEGDCIRWSDDGASFVVTNSSKLAWKSLPKYFKHNKFRSFQQQLNMYGFHKWSKA RASACTYSHPLFRRGCFTDLCRIARKTSLA H257_13567 MGNEASLMGGSSLDMSSRNMSSNSLADLGIESMNGRERYPTSSD ANDKLESLDLQAGLDWEGFVQKKGHLVRNWKVRYFTLEGNLLSYYETKEDARKRRFLK GRVHVTTVVLVEGRSHHGYDLTFTTQESKPFHVSTTTELEQIAWVKAMEAGIDFYALN NYGHSVYGSTLLSKASPCNAETIYSLYRRLLANELTLFDEFISYFNKDLVFSSYYPRK FPFHGDFFGREGLLVYLSCFRENIELVEFTISDVQVDRDGKAATIKGHEKIRSKANGV DIVQPWVHRIRFGPYGKILRLKIEIDTKMAKGNWNSLNVVPVYSDETLTAMRSTRALS ISMQDFTVYNVIGKGGFGTVVSAVKKSDGQIYALKILEKGKMTKYDIESSFTEMRVAQ NIHHPFIAGLRIAFQSTTKLFLGMHYYNGGDLFHHMSKGATSRISPPRAKFYTAELVL GIHHLHKHDILYRDIKPENVMIDADGHIALVDFGLAKLHVSEFKGAKTMAGSPQYTAP ELLLPKAKRSYGKAADWWSLGILLYEMSIGKSPFFDSNIEKMYHKIQNDPLAFPANPE TSPELQDLLLGLLRKDPHQRYGTNSISDILDHPFFAGMDWDLLLQKKIDAPWKPHLTS TLDVKYVDTEFTDLDVSDEVLSPTDKASKGGLLFEKIEKMFVPRNAKKAAGPVQDPTF KDFTYYCQDPNSLVDATSLVDELHKPNAMDAGGDCDGTANGTPLSSFIANESTSDSRL GRSPDIFPVDPRLNPPYNSKMPLEVEERKKTPPPPPLYVPPPRNPLPPKPAVRTPSPN ASTDSTTEEKDDAVRRNAATAPVFFRNSFRHSFRAPSD H257_13567 MGNEASLMGGSSLDMSSRNMSSNSLADLGIESMNGRERYPTSSD ANDKLESLDLQAGLDWEGFVQKKGHLVRNWKVRYFTLEGNLLSYYETKEDARKRRFLK GRVHVTTVVLVEGRSHHGYDLTFTTQESKPFHVSTTTELEQIAWVKAMEAGIDFYALN NYGHSVYGSTLLSKASPCNAETIYSLYRRLLANELTLFDEFISYFNKDLVFSSYYPRK FPFHGDFFGREGLLVYLSCFRENIELVEFTISDVQVDRDGKAATIKGHEKIRSKANGV DIVQPWVHRIRFGPYGKILRLKIEIDTKMAKGNWNSLNVVPVYSDETLTAMRSTRALS ISMQDFTVYNVIGKGGFGTVVSAVKKSDGQIYALKILEKGKMTKYDIESSFTEMRVAQ NIHHPFIAGLRIAFQSTTKLFLGMHYYNGGDLFHHMSKGATSRISPPRAKFYTAELVL GIHHLHKHDILYRDIKPENVMIDADGHIALVDFGLAKLHVSEFKGAKTMAGSPQYTAP ELLLPKAKRSYGKAADWWSLGILLYEMSIGKSPFFDSNIEKMYHKIQNDPLAFPANPE TSPELQDLLLGVQTNSIISWMVLTMARTRSCCARILTNGTAQTRSRTFWTTRSLPAWT GTCCCKRRLTRRGNPT H257_13568 MMAQGTPFGNLMAEAVKLKGAQQATLRPVWDSWPQYFQHSMFMQ DPIVSGREKPFDERLRLATEIKVQGNVHFAKGEFEEAVAQYEKALALFKYCENTDPDW KKKGIRDDDIYVVDYKPDNVHDQSQLDTLKVTLYLNISVCKLKLKEFALAISACGDVL AIDPTNAKAYYRRAQALITPLSCGAVEFEKALVDLETACHHDPDNVECRNLYRRLRLE HAQQRKLDKRTFSGMFNRGTVVDDTNVVEVTAPDKARANEKRLQKEVEDAEAIAHMYE QSGKLQEASELRQKIDQAKAATRATPPRVDFMHPTPEMIEDGKKNGIDLTDKRVQQML ADLQDEHLANGTTPKQSATPPPSGATKFQEALGEADEILKTMTNQEISQLLQSEGIDF HSITDKDMLNEMVRNVLATKLRDMPRPGDEDTAAASDKTTSRSMRHIFALVGLWVLFR MYSSGGFAMLVRGLHALTFGDSTPLRPLTSADSFDDFDEF H257_13568 MMAQGTPFGNLMAEAVKLKGAQQATLRPVWDSWPQYFQHSMFMQ DPIVSGREKPFDERLRLATEIKVQGNVHFAKGEFEEAVAQYEKALALFKYCENTDPDW KKKGIRDDDIYVVDYKPDNVHDQSQLDTLKVTLYLNISVCKLKLKEFALAISACGDVL AIDPTNAKAYYRRAQALITPLSCGAVEFEKALVDLETACHHDPDNVECRNLYRRLRLE HAQQRKLDKRTFSGMFNRGTVVDDTNVVEVTAPDKARANEKRLQKEVEDAEAIAHMYE QSGKLQEASELRQKIDQAKAATRATPPRVDFMHPTPEMIEDGKKNGIDLTDKRVQQML ADLQDEHLANGTTPKQSATPPPSGATKFQEALGEADEILKTMTNQEISQLLQSEGIDF HSITDKDMLNVLYSASLQDMDRPPPSCIWVVGNGAECAGHQAARHASPRRRGYRRRLG QDDQSVHAPHLCARGAVGALSHVFERRVRHVGPRAPRADVWRLDPVATVNVGRQFRRL H257_13569 MSGYQKHSIPSIYHLDTSNLTQPSLLVPVAKWTGGGGSSSTGSS ATYSTGQAAGSSSSTLRGGLQSRSRNIDIPNSKPRTRPKHPPAAVSRVPSNVRSGLSS RKSNLSAASPAPLPVSSGLNWHTRPPRGGLASFHTTQNDIHALKSRNKKTLASRHEGK HFTSIEAPGSVEPTSWANGLAGGDEDAFTTVRVMEVSRDAPSAVVRATTVPRRKDAVE GLARALLSDCINKRNMEQFKAKKVINTSTVPQSAISSSFTSPKELESCRGMPLYDDGG GVLSCSSSDDELNQLYKLEPSFVWDDKCRVDGCLNKMCQDSTHGHDSMTVTSSPESDS YFEEKGLSKEIRYNLPIAYGTVNETEKHCTICQVPYEIGSHIVTLTPCHHFFHALCVD KWLWNHVTCPLCREEVVYNLDSDRPQIPVHVRGTECPDVDQETMRKKLRSQCAEFRPV KPLKPVAVDHLDAQFGGLHVQEERTELRLDYLACPTPQRKYRN H257_13569 MSGYQKHSIPSIYHLDTSNLTQPSLLVPVAKWTGGGGSSSTGSS ATYSTGQAAGSSSSTLRGGLQSRSRNIDIPNSKPRTRPKHPPAAVSRVPSNVRSGLSS RKSNLSAASPAPLPVSSGLNWHTRPPRGGLASFHTTQNDIHALKSRNKKTLASRHEGK HFTSIEAPGSVEPTSWANGLAGGDEDAFTTVRVMEVSRDAPSAVVRATTVPRRKDAVE GLARALLSDCINKRNMEQFKAKKVINTSTVPQSAISSSFTSPKELESCRGMPLYDDGG GVLSCSSSDDELNQLYKLEPSFVWDDKCRVDGCLNKMCQDSTHGHDSMTVTSSPESDS YFEEKGLSKEIRYNLPIAYGTVNETEKHCTICQVPYEIGSHIVTLTPCHHFFHALCVD KWLWNHVTCPLCREEVVYNLDSDRPQIPVHVRGTECPDVDQETMRKKLRSQCAEFRPV KPLKPGWMSVLHLFAKPTLTHTYVM H257_13570 MLRLFSRSLPLRQTSFLHKSMYSTKNTHVSDMPVTSLPISKSTL RALTEVMGYELLTKVQATTLPVILEGKDVLAKSKTGTGKTMAFLIPTIETLAHSHLHK KDKNNISALILSPSRELATQIEVEAKKLSTFHPIHIACVVGGVPMNKDLRRLSHPDGI DLLVATPGRLQDHLNNDHGDLVKRLAGIRILVLDEADRLLDMGFRRDIEKLLQYLPVA RRQTLLFSATLPSSLEQIQRLALKTNHVFIDTVGEDEDQTNVHVKQSVVTCPFGDHIA ALDKLLDAHIQSAPDGYKIIVFFPTARAAGFMAQLFLHAGYPVLEMHSRKSQPHRTKT AANFRAKDNQILFSSDVSARGVDYPGVTLVIQVGLTEKEQYIHRLGRTGRAGKAGEGV LLLSPFETPFLNELNDLPLAKMALANGKPPRVEESMRRVQSNAELRKAAEQAYQAWLG YYNSNLRRLKMTKDQLVDTAEEYSRIVGLDEVPALQKSTISKMGLQAGAADVAVPAMV DVVAQVAVDVDVPVAVDVEVPVAVAVVAALVADVEVDIRRHDQDGSCPLSNEIVSQ H257_13571 MSQPGASTGDATKSKRNRNHWRNKRKENKLEGGNGQVHQEVDSA AIGRLSVTDARPSIPHKIRRTDSQEGVKAVPPPAPLQRISETTSVMHLSSVPVSTLDI SDSSMRALTQVMNYEYLTKVQAATLPVILEGKDVLAKSKTGTGKTMAFLLPTIEALLR ETSTPKTKRVVSALILSPTRELASQIDVEAKKLSTFHPFRVACFVGGTSMSQDLRRLR HADGIDILVATPGRLQDHLTNNNEDLVARVANIKVLVLDEADRLLDMGFRRDIEKLLA FLPTNRQTLLFSATLPDSLEQIKRLALKPGHVYIDTVGEEDQTNLHVDQSVVTCPFED HITVLDKLMHAHIQGSPSGYKIIAFFPTARAAGFMAQLFLAAKYPILEMHSRKSQAHR TKAADVFRTQDNQILFSSDVSARGVDYPGVTLVIQVGLTDKEQYIHRLGRTGRAGRDG EGVLLLSPFETPFLNELKDVPLTVQTPPGGAPPRIAPILAQVQTKPDLRQAAEQAYQA WLGFYNSNVRRLRMDKNQLVAMAAEYSRIIGLAEVPALLKRTIGKMGLQGMGLKIQTD TEAFNKPRGGSAAPGGGRGGRGGSAGGRGGEGGRGGGRGGGRGPRR H257_13572 MRLSLSFAVATAALMATISLVSCTPNDAIVLDVPVLPVVMGTVL EAYKPLLADFAKKTLPATVGNCSDSNPPTPCLDTGYLLAQTSALYDIKARWITGINSM TVGNLEYVADAATGAMTLNVVLGFESLPLSLRIDACLAGKCTKFSDGTTACCGGPKTV AIAANVACSESYPFLRNFTFTNIAIHPSVELQFPVNGKPTTLFDVTKTVESGLNATAA AFIQEEGMDLLNNQIRLLFGANVYCTQQAKDAATKAPTTAAPSSPGVIPASTSSGSSP PSISSSPNADAPATSVPSRSTPVPTSNASPVHSIVLTLLPSMAMAVVALSS H257_13573 MMSSDGRILAWVMVKLRRYGYTSLHVVVTVTATVLDVPQQVEVS SAILLHPTPGKHASILRLNGRLLKLTAPSIVIVPLASEVRVSLSTLSVFRPEIHRASM VYKLDVVLKRLPMFVQGSGAFAAEQFPTVAGSAVAEKVAMIGLYVSTSALNV H257_13574 MMRVLASIALTAAFIHAQGGIGLGPPVLPDVLSTLLEAYKPLLT DYAMSDLPATIGNCNEANPPLPCTETGNLYDTQSTFYQVRARWVSGLNTMRLTDLKMT FDDAGAMTLALQANFAQLPVSLLVKGCGGFLGCAMVLDNAKSCCGSDKAVAMVATAKC SETYPFITGFALTEAKITPAINVEIDIFGKPFKVADATPSIETGLKDAAGKFLATKGL DLFNNQVKQLFGDKIYCSQRSKDAQTTTVAPTTTKVPTTTVATTTKAPATTVTTPPTV TDASSAGNTTSPGPSPTSTALKPVPTSAVSIALLASTALAVAVVVANGV H257_13575 MLMLRTIASVGVAWLVTMTSAQLVDLGPPVLPDVLSALLDAYKP LLSGFAQANLPATIGNCKEANAPANCTDIGNLYDVKNTFYRVKVRWISGINTMWLDDI AVKFDDKDGSMALTVKVYFAKLPASFLVEACAGVLGCSTFLDTTKSCCGTSKTVTMTA TAKCSERPPFLHSFVVGNATISPGIAFTIDVFGHTFKVADITSYIIQGVKDSAGKFLA TQGLTLVNSQLQSIFGDKVYCSRSSRDADKPPLSPVTMPPTMTPDAPATTGPPNALQT LKPGTPTTKAQAPRGLDRRRPSQ H257_13576 MAATTTVVPGPAAKENFKLNLPHLRFPSYPLDGGFVVRVTHSAD DLKSPHVLLELQSKRLKAKWQGTFSSPKAYLPQGVELPQDLVLSNLKAALEAQGQPPS YYHSTLHYVVLLNGWLHQAWHKGGQQNTSVVVDLTCEDDGSMTLEMRTKLFDTQSLRF AFRLTPVAVDSLDVLNAKLSDVQAKLIQLENAMVSKTQCVVVMALVLSVSMATLGVRT LALPPHVCAAKCKDVCLWPYVGFADRNSPSNCKVFSSLGWCPGGVEN H257_13577 MPATTTATPAAAASNDFIFDLPHLRFPSYPLEGGFVFRVTHSFD DLSSPYAPHEPEGDRLTPKRQGHLHYYSPNTSPQNAGDLKSSYVVFELESKRLKTKWE CKIFFPEDYSPQRVELPLGLVLSNLKAALEAQGQEATYYESVMEFIAQSKEWLKGDNG SDEYEATVVDFTSQDGDGSLTLRFCTKLFGNWPLPFTFPLVPVAVDNLDVLDLKLKDI QAELVRLEDETADTKQTILVVAMALFLWLSIKYLGIF H257_13578 MPMHETAKSSLICAACAQVIPVAICNTLPYPIKAKGWTCLPCVL GEPFMDAWTQIAINGATIADAAAQCQVDRSKLTRLARWHRIVSSLATPQSTHSHHETV LYTKLHLQFAVQYASEHGCGCRRAARAAELHFKLPMNSIPHATVFQHLQSPRRRGKPG AKLKLSAFEEALVAQQWIMQQENHGVHLTKAEASNLMVVQLTRRDRPNPFANCPDHRP SQGFWRGFLRRHPAVIFKPARNPIPILQSNSN H257_13579 MELVLSGDREFVDAARATALLASYPHFSSFTRISLRNKSYSLEA AQVLATFLKTIPAGLAVADLADMIAGRPEDEALLVLEHVCQSLSSHAFVEVDLSDNAL GEKGVRASFGVLERQVNLERLYLCNNGLSAESAQVIADVLLFRGANVPTKLKTFHFYN NMSGDGGAKALATLFPSTPLLEDLRFSTTRSQREGCRVFAHALSTLSNLKFVDLSDNT FGAEGAMVLAVALAKQSKLEVLNLRDASIGDEGLIAIANALVAQRVTSLRVLDVSGND LTEESMTALAKLLKTLPLLEELRVEENEIGSGGASILAAVLQKKSVTPLLRVFQSNSN ELASTGGYRLAVALAKKPQLASVELDGNMFSDDAVAKITAALPSNVLGSLEDNMEDDD GDDFSDEIDDWSDDDEVKAEDNDVDELAASLSQVTLDVLRFDPVREVVDAARAKELLA PFQGRSTFHTISLRGKSYTQAGAAVVADFLRTLRGIKVADLADIIAGRPEDEALVVLT TISKALVGHVLDEIDLSDNALGEKGVRACFAVLIPQPTLKRLSFCNNGISAAASAVIA KEVVLQQDGVAKSLETFHFFNNMSGHEGCLAVASMLSGCPNLTSFRFASARAGAAASL QLAQSIGQHVRHLTSLDLSDCSFDDQGAVALAAAISKQTRLKVLKLRDASLGPEGAKL VVAALATAGIQLESLDLSGNELEDQGIANLIGGGSCGRLLDSQVGLKVLRLDENEVTS KGLAVLADSLHGELSWASALEELSLYGNEITASGAMSIVKAVSNKAFLKLVQLDSNMI SSVGLVKLRAALVTAGKAHVLGSMEDNDEDAESDDGSDGDDDDEAE H257_13580 MNRYEILDRLGDGAYGEVLKARNLKTNEVVAIKKLKMLFPTWEE CLQLRELKSLKVLRHDNIIQLKEVIRDKAELYFVFEYMDTSLFAWMRKNNAKEPQIRT IMSQLFSGLAYMHKHGFFHRDIKPENCLCSSGIEVLKVADLGQAREIRSRPPFTDYVS TRWYRSPELLLRSTTYNSPIDLWACGCIMAELFLGKPLFAGSSEADQMCRICGILGTP TKESWPEGVTMVSQMQFKFPKCNPVPLKMAIPNASPAAIQLMVDLLQYDSTRRPTAAQ ALQYRFFMPVTARSGAPDAKQTAPPTMAKEDKKDSTLEAAQRKKPSATTPLWDSDNDC KGKVNQPPVASGCAPIRKQQLSPNTRRKTITDANPKRDPEDADDVDTAAHDSMLQDLL DDVMC H257_13581 MADNHRHRSGPLKQNNKKHKAGRHDTKTFLTKKSGGKVERAPLK STKVAVMGTKQQRLQKAKQWKQMKKEGVWNRNRHGGLAGASKIVALVPLGPSADLAAV RTVLVESATTVEYSDDSKQIGRHTNAFFATHKQKLTIIESGHDLVGILDMAKVADVVV FVLPMFDGPDAAVSADGDAVLSALRAQGLVATVGVIQGLEKFTGKVESDYRKYGNRFF TTEFGDSIKIAQGNSTVQVLRAVLTAQPKLLHWREIRSYMHAMDVTYVPETQVMHVSG YIRGRPLSVNQLLHLTDIGTFQMSHILAAAENGNEPVVLATADPTKREDLQYEADVDT FAAEQTWPTDEELNEAAANRTEAEFVNGMSTYQSAWNVEDDEDDAAMDADDDDEDDLP DQAFCKVGDGATTEAGGDDDDDDDDMSLADDDDAETRQAQLEALQAEHQMFPDEVDVP ADSTGKDRFARYRGMKSFRTSPWDAKESLPMDYARLFQFNSFNATQKRVLDEGKAAED LWKQTRATVLLDGEYVASGTFVTLVLINVPAAAVATRAAINRVCVLSSLLRHENRLSV LNFSLQRHGPAADVPLKSKDHMSFHCGFRRFDGCPIFSDQNLKADKHKFQRFFPTNGW SVASVYGPATFQPASVLVVFQNALVASGTLLNVDPDRIVLKRVVITGTPVRVKRRKAV IRYMFHSPEDVRWFKPVELTTKHGMTGHIKESLGTHGDFKAIFNKPIKNHDTVCLNLY KRVYPKRVVAA H257_13582 MASGGESSNPSQGNSSEQGGPTSSVATTLGSGVVQMAIDVSEVA ATGTASTLIDLNVKTVDQRQFQINLLASSSVPQLKSKIELETGVVTDRQRLIFRGKVL KNENNLAHYALENGHTLHLVIRPADASPTVASSASSVTAPLREGDSASTSSRPARIIS GLRPGESPSQSRSNDDPDPSLMHGDGSNQNGIPRVLATFAVPEGAQGMPFLQSLLSTI MNSVQGVSEGQPASTTGSADTTNSPAASSIAPLRTDASGPSTRNIPMERVMLRANANS RHDRAGRVNALLDSLMRGLDRPDSDFPPSIRDLTHASYEADVQVLRNNIETLVLLTTD LQPRFERLSVALQELHVRRGNRALQMELVSPIFRTIEALQSSGDVAGLLARISRRLFL RYNGGPPTPEAPADLIASSLSQPGASSRSVQPFPSLLEARLPPTSTTPSTSSSSAGLL VDLQGQQYRRTDAFNAMVQGMREAATSSTASRTTEANNSSMFEFEARIPIIVFPTQES SSQQSPAAPPQRRWNFASFARRVMQTLSAGDVFGVLGGSRDALLSVIQHVGMQLIEGN DFPPMSPGTNRDWSVDMIAALRDHVDTLPRFHHPLLPPASPNLAGHIVQSVSPFAPEL IVALIRGTNVEPANASSFLDSVCPFFGHMARQVVSDILAQLSDHPSALTSVLEDLLVH FGVARHIAVFVVQTFQTMPDVQHALRPTTPPRVASTRPRDDTADGDVPKRARLE H257_13583 MASSSPEKPRKNSSDASNSSDNSDDSSSSGGSSSSSSDSDSDND TAKDDNANSQSLPLSSEDKESLTKPLDPNPTRAAARLKESTGTGLMALNTQKRDRRTI EQIQSDLRIKRGAAAANPGNANRVSSRRPTTATVSPAQPPVAESTSVPSLDMSVKAKG NSKTVKGRLESKLAGRGAGARGKTVPKATAAASKTQPTTSAVTNISRSATLLLTSSQT TPPETSPIQTDLVEDPRSNSKVVRTIVKALQRYGDLMDGNLYKEHQSTFCDEYKQPSF LVRAKLASHLKVSEDTLASIAKEIIVQCDAASAQKEENVKYADVDIKPSQFVERLYDN LKLRVAVQRAMAAAKFKPGGLPHALVLAPSATTLSDFGIDQMAIWKSAEDENGWNVEK DRALLLGVYIHGFSAWEEILSDPQLPLEKQRALKGSRLKTRAENLLKKLPSPAHVKVA GGGPSTMMDRAAERAKRKAEELPTSSSPPPHADSIRRGHVQSRFGGKQLQLVTRVASD DEEGEVRSDKESDDNQTASALSRPPATEQLRYGGKDIRIPKPKKRDGKASSSKKPTIV LLTQEQLEAKWGPKDRLKHIRTTLKKVRRIAAWAQSQADDNVVLEKVAKYICEIGAGI DQVVAADDGDHGDELATCLWTHAATFTPFSAVQFERLYDDMACDAADFHQT H257_13584 MSTGAADKAKRLTKRSSTKEKKIKINMTLAKYEVIKKIARDRGW KQITDETDKVGLAGCNLHWIDVPDFVNTFKTMQPFQKVNHFPGMSNLARKSKLARNFQ RMMKLFPNEYDFCPKTWILPFDYTDFAQQFNSDGTSNRAFIIKPDHSCQGRGVFLTKN LKEVNKTDIFVAQRYVAHPLLLGGKKFDLRIYIMIASCAPLRVYLFQDGLVRMCTAAY TPPNADNMEQKFMHLTNYAINKLSNNFEKNKDEAADGEGSKRSLKWFFTWLRDKYDDA AVDLLWHQIGDICLKSILSVQPTLNQEYQSTFAKFSRGKQSKGDNVTGGADDEGTTNP GKACANSSCFAILGMDVLVDENLKPWLLEVNHLPSFGCDSPLDWNVKERLLNQALDIM QISASDKDTYDAEHTKNLQRRLYGDPKPATGADGEGNQDEGSSDGPETDPDATTTTQS WPQLSKDELTATLLNFYSLVNPEKPATDIDAIVAKFLDNQDELHKSLVVKYGRGVFDE VAVNNPSSNSSTPMVDDGLVDFVRLYPPPVPTSKNAIYKQILDACQSNIDEQLLRLTA PLHQKKGEDLSKTSLPPLVKGGTKKDLGRDCFGFTGTDKVQQLELKDRKPLAVPGPAQ LAAASRLMMGHSSQKLSVLIPTRPASQNSSTNLVKKAPVQYGLEYREKLETMKKKPTI AMTQITFGGFG H257_13585 MNSWPSTRQLRDAKRRRPQGDADKIRQDLVCIRADMSSLQAQVD DLQYTLAIWVRMRQAASYGRVKRQRTSATIAHRLMSVTSPDA H257_13586 MVAASGGVDVSTEAAGTGLTRFDIPRQYTDVALTPDTAHTMVAA SGGVDVSTEAAGTGLTGFDIPGQYTDVALTPDTAHSVDGSSSLNGMLYFVPPDSFAKI ENELAEHCRRYQRRVHRDPKVVYIYRHSIHRQQAVQYLEDAYIIHALALGSNGYKEAV STHESRNAKAKTYVHPPLAIKDGLRHCPAKTFHGLVAKDRPNYRLVTSAVVSHIRHID GKAASVMYTLGDASMSASATLTPSVIGPTSQQGLVGGKSQFPGVATNQSSWMVNENVG TTYLHGRSPAHAKPSRYGVQAYCPQRRAYNTSSEYQFQVTRLSHAEPARDDCVLEQSQ VTRQKGGFTRQPDMSRHADMDKGLYFSSAGDGDALGAY H257_13587 MQQGQPPAQPPPPPRSQDERASDRPHIPAPLQRESGNGLLGLKV RNFGPLVITQNYQGMGGTGSAVWQAAEAMLQMMKLPAYMSMLHGRKVLELGAGTGINS IAAAMSNATVVATDKDPDSLVLVQSNIQANQHLLPSRHHVAVRTLAWGNQENIQAIKD EFGLFDVILGSDLLFEALHGELLVTIYELCAMQGVVILTHSPRDYRREQSLLDSFSAY FTTNEVRLTTIIHTLRTCCVVQSSGHVGCLYHTYAQSEVLLNTNEV H257_13587 MQQGQPPAQPPPPPRSVRFAYSEEVIPSLAPIQQDERASDRPHI PAPLQRESGNGLLGLKVRNFGPLVITQNYQGMGGTGSAVWQAAEAMLQMMKLPAYMSM LHGRKVLELGAGTGINSIAAAMSNATVVATDKDPDSLVLVQSNIQANQHLLPSRHHVA VRTLAWGNQENIQAIKDEFGLFDVILGSDLLFEALHGELLVTIYELCAMQGVVILTHS PRDYRREQSLLDSFSAYFTTNEVRLTTIIHTLRTCCVVQSSGHVGCLYHTYAQSEVLL NTNEV H257_13587 MQQGQPPAQPPPPPRSDERASDRPHIPAPLQRESGNGLLGLKVR NFGPLVITQNYQGMGGTGSAVWQAAEAMLQMMKLPAYMSMLHGRKVLELGAGTGINSI AAAMSNATVVATDKDPDSLVLVQSNIQANQHLLPSRHHVAVRTLAWGNQENIQAIKDE FGLFDVILGSDLLFEALHGELLVTIYELCAMQGVVILTHSPRDYRREQSLLDSFSAYF TTNESKVPGTSVVCTILTRKVRYY H257_13587 MQQGQPPAQPPPPPRSQDERASDRPHIPAPLQRESGNGLLGLKV RNFGPLVITQNYQGMGGTGSAVWQAAEAMLQMMKLPAYMSMLHGRKVLELGAGTGINS IAAAMSNATVVATDKDPDSLVLVQSNIQANQHLLPSRHHVAVRTLAWGNQENIQAIKD EFGLFDVILGSDLLFEALHGELLVTIYELCAMQGVVILTHSPRDYRREQSLLDSFSAY FTTNESKVPGTSVVCTILTRKVRYY H257_13587 MQQGQPPAQPPPPPRSVRFAYSEEVIPSLAPIQQDERASDRPHI PAPLQRESGNGLLGLKVRNFGPLVITQNYQGMGGTGSAVWQAAEAMLQMMKLPAYMSM LHGRKVLELGAGTGINSIAAAMSNATVVATDKDPDSLVLVQSNIQANQHLLPSRHHVA VRTLAWGNQENIQAIKDEFGLFDVILGSDLLFEALHGELLVTIYELCAMQGVVILTHS PRDYRREQSLLDSFSAYFTTNESKVPGTSVVCTILTRKVRYY H257_13588 MRYYCARILVFLCVVATQCCQAVDVKTPMGWRANTCGGSFISES TELGCAVGRCECWEYISECDMNYMCEAYFTNGVNVCQGRCRLSTFGKALNVFGMLLIF GFPVGIFIFHVVSVRRQSQMAELSPAKDIDEVAAKGDGLVEQQQQRRVVDRLLLRRDQ CMNRGVHLSTNSIVDSSKALFSHIRLGSKQNSSSAMLAEDGGGYMLHSDAPTTILGNN FVIPKEGEADDHEESKEDVLRRYCDLTKLSAGSTNGAFVVRMNSQTYLETMHRGTLPL IFCIMGGIVVASLLLALYPLQLTSTVARLQPGDLAYFNCSVNVTECTAFEWIVLDASN RTAHRMAFTSDQLPKAPDVLRIQMNVENTTQKQTPGAYVLGNYRLSVWAEGEAKPIVS QYVNQLVTTCSCVDNQCRDVSCSTIPLVGVNLKRFSASLYDLRSQRYMIELDMDTSES TLVNSTFPAYSLHVELLENPYEMTGLAVQCILGCFNMAYLVHFVYSINAHYARRHPTA ATDVPIPRRWVYHLSLERKLLVMILLALAIANNPFMITLTLPFFGPPGPAYLVFRSVW ETVVYVTVLGSLLTIIDSYRKDSKLFKNGASISIVGTRFVLSKLFVVLLLLALRLSVI LAIESSFDETVNIDQWISTVDLALVAVGVTALVGVVVHVHQVLDRQRYSETRYLSLNF RYITVVTYAILAVLLINLVFFSAYAQVSTYKPTALRTSTTVSAVSFSVLICLAAVAFY PPRKPQQGQVPRGYVIREKRQFATTPTGLSPVAGRGTTPPRPTDPTPLRPRDVFFRPP VNNIPLRSMPAFRAKPISTPHHIFCIETACLMYNCSRHAYDRPALYQPTDMDDTGAPL HPPSAYVSSAALFRDNLREVAHIHDPETDTNCLVLQSDRKIIFAFRGTASTTNVKTDL KYALEAVPWTSSTPHPQGESGAGHAHSGFFHAYMSVQKQLHDAMRTLLEEYSVRGLPP DTHVQIYCTGHSLGGALATLASLDFKLTFGHRVIMYNFGSPRVGTHKFARFYNQEIPL AFRLVNEGDIVVGMVQTVSTNCFGQSKKFYRHVGTEVVLDGRVNGDFIVRPTFTEKNL IVEVRRKAARHFLNGYKRNLDAIMDSVLETETRLGELHVQTELEKALYGGLDGRNAEW HLADSIPDPLRTGCNQTNEPSDAQDEDEYFI H257_13588 MPGAWVAFGKALNVFGMLLIFGFPVGIFIFHVVSVRRQSQMAEL SPAKDIDEVAAKGDGLVEQQQQRRVVDRLLLRRDQCMNRGVHLSTNSIVDSSKALFSH IRLGSKQNSSSAMLAEDGGGYMLHSDAPTTILGNNFVIPKEGEADDHEESKEDVLRRY CDLTKLSAGSTNGAFVVRMNSQTYLETMHRGTLPLIFCIMGGIVVASLLLALYPLQLT STVARLQPGDLAYFNCSVNVTECTAFEWIVLDASNRTAHRMAFTSDQLPKAPDVLRIQ MNVENTTQKQTPGAYVLGNYRLSVWAEGEAKPIVSQYVNQLVTTCSCVDNQCRDVSCS TIPLVGVNLKRFSASLYDLRSQRYMIELDMDTSESTLVNSTFPAYSLHVELLENPYEM TGLAVQCILGCFNMAYLVHFVYSINAHYARRHPTAATDVPIPRRWVYHLSLERKLLVM ILLALAIANNPFMITLTLPFFGPPGPAYLVFRSVWETVVYVTVLGSLLTIIDSYRKDS KLFKNGASISIVGTRFVLSKLFVVLLLLALRLSVILAIESSFDETVNIDQWISTVDLA LVAVGVTALVGVVVHVHQVLDRQRYSETRYLSLNFRYITVVTYAILAVLLINLVFFSA YAQVSTYKPTALRTSTTVSAVSFSVLICLAAVAFYPPRKPQQGQVPRGYVIREKRQFA TTPTGLSPVAGRGTTPPRPTDPTPLRPRDVFFRPPVNNIPLRSMPAFRAKPISTPHHI FCIETACLMYNCSRHAYDRPALYQPTDMDDTGAPLHPPSAYVSSAALFRDNLREVAHI HDPETDTNCLVLQSDRKIIFAFRGTASTTNVKTDLKYALEAVPWTSSTPHPQGESGAG HAHSGFFHAYMSVQKQLHDAMRTLLEEYSVRGLPPDTHVQIYCTGHSLGGALATLASL DFKLTFGHRVIMYNFGSPRVGTHKFARFYNQEIPLAFRLVNEGDIVVGMVQTVSTNCF GQSKKFYRHVGTEVVLDGRVNGDFIVRPTFTEKNLIVEVRRKAARHFLNGYKRNLDAI MDSVLETETRLGELHVQTELEKALYGGLDGRNAEWHLADSIPDPLRTGCNQTNEPSDA QDEDEYFI H257_13589 MAASIIPTRDPTVGASDDENDADECASVEEEQETWEDWEEDGEA MKCFFCDFQHNQLAHVLVHAKDDHGFDFRAQAVALRLQFYSLVKLINFARSNSAADVL SLLSSQGASVLDDEALLIPVIKEDPMFCSVMELFNQDGGDDDSDDDDNANVESKPAAP LTLEEENAALKLELEQCRDKMRKYTTVVRAFAEDKRDYSKPPEDNDTYYFDSYSQVGI HKEMITDRVRTDSYRDAILNNRSVFEGKVVLDVGCGTGILSMFAAQAGAAKVIGIDCS DMGVTAKQIVAANGFGDVIEIIRGKVEEIELPVQHVDIIISEWMGYCLLYESMLDTVL FARDKWLVPGGLLFPDKSTMHLQAVTDSTARLAFWDDVYGYNMQAVRDRVETKDGFVE VVPASDVLSTRALLNTIDIPSVDVAALDFTTPFELHITRADTCFGFLSSFDVGFEDKL TNPLWFSTGAEATPTHWQQVFFHVKHPFAVAVGSVIRGTWGVQRNWKNPRFLDIDLSW RVNDDELQHETFYIH H257_13590 MRELGSYGCSVSTIFDVAPDLWACAYDEAANKKWVIGPKGVAKV LLLPALALAQLQDDETSTTKPTAGRFHTVPTADNTYDVALGYSNGDVCVYNRAGYELF ALQCHRGAVARLEWNFHSAKPSCRELYVLFADMTLVVIEWELADPTSPRRYWRKYSLN GQRDIIGILPCAVTRAASLFQSQPRAGLQSFVAVGCDPVVGFYHAGHEGTSLFRIAHL ASAVATRAAGAVWSLAKNWGWPQDRDNDTVDAVPSDLGIHVALGLPDSHRRRARDLNV SPNGKLALVPDTLGRILLIDTTSMLLIRLWKGYRDAQCGWMTHNDGLYMVFYSGRRGL VEMWRARHGPRVLCLPLGAHAKLKLYTCMAAEFATCVVVWEQSSGESALYQVELDSCS LTTEVQYLSKAKGEHESFVVHQLVDGLGKCLIRPALTTALLDQMKGLTTIEGVETVLD ALHEPKMGPLPASFHRDALKILLEVATNARNWGKTQADFADLVFLFNLEYKTRLVRGF VDLQQEVTTTPFAATAAALFDDDIDSLLTRMTKWRSVYSFCTTQTTSSPLNCLQFIDC FAFPWSEPMSPEVELSLGLLLHKTHRNNVLTFDECVRQLYAMLKSPVLRSATDATTHA AFVSFLFAPLHGAVFAVQHVQEIHQTLLLDQDTLQYTSQFLEWYFALPEDMLLGMTAT NASSCLQRWLQPWLLSCSFPHSIDEASFTLPALSPSLQAVYDRCRSTPLLLHAFVLCE HVAYGLAHHANALQDSTLGQVSAMGAGLRWRVLQQCLSQCLYFSCLLKVPGKLTVNAI EASDELMKFVAIVHLNTPKDSSTGDPEIPYDAHDSWIQTWTYSSKSHAVKSVLTSFRQ LDHPDSIAAFRALMLCMAWNNDRSRMSLLGLAIQEVDRLDGGDAPLKTALIVLLWDTY VREQVASIMDFWLQASTGARSSKGLDPSIAGEFLHLAQQLLQVLSESIKFAVNTTRLA PPTDRHADDDDSVDPSARFSPDEALDLDQLLAPVVWTGTPNDVLNLYSKQWPSSPLHS ALMQQLTRPGSPPTQESVDQHHQLVSVLIAFTSYPAAVVPLPSLFDLTSLCKSPSFNE AAVLPANNVRFQFTMDLLRHDISLGMSVASSLRLQLDQIKQAHAVWLYQGGQDALAEE VLDKVVVDATVVTLLARVARSRLGLVLCRMQSRSEFAVLMSQLPADTCSWIRSSAPPL RPDPQVGIRDAAPSLTATNALLHQCVQWMPPASPEHARCLAMIGIVQLLLSQLKKSTN LASRKQNA H257_13590 MRELGSYGCSVSTIFDVAPDLWACAYDEAANKKWVIGPKGVAKV LLLPALALAQLQDDETSTTKPTAGRFHTVPTADNTYDVALGYSNGDVCVYNRAGYELF ALQCHRGAVARLEWNFHSAKPSCRELYVLFADMTLVVIEWELADPTSPRRYWRKYSLN GQRDIIGILPCAVTRAASLFQSQPRAGLQSFVAVGCDPVVGFYHAGHEGTSLFRIAHL ASAVATRAAGAVWSLAKNWGWPQDRDNDTVDAVPSDLGIHVALGLPDSHRRRARDLNV SPNGKLALVPDTLGRILLIDTTSMLLIRLWKGYHPSIICMALFSMVGFRYRDAQCGWM THNDGLYMVFYSGRRGLVEMWRARHGPRVLCLPLGAHAKLKLYTCMAAEFATCVVVWE QSSGESALYQVELDSCSLTTEVQYLSKAKGEHESFVVHQLVDGLGKCLIRPALTTALL DQMKGLTTIEGVETVLDALHEPKMGPLPASFHRDALKILLEVATNARNWGKTQADFAD LVFLFNLEYKTRLVRGFVDLQQEVTTTPFAATAAALFDDDIDSLLTRMTKWRSVYSFC TTQTTSSPLNCLQFIDCFAFPWSEPMSPEVELSLGLLLHKTHRNNVLTFDECVRQLYA MLKSPVLRSATDATTHAAFVSFLFAPLHGAVFAVQHVQEIHQTLLLDQDTLQYTSQFL EWYFALPEDMLLGMTATNASSCLQRWLQPWLLSCSFPHSIDEASFTLPALSPSLQAVY DRCRSTPLLLHAFVLCEHVAYGLAHHANALQDSTLGQVSAMGAGLRWRVLQQCLSQCL YFSCLLKVPGKLTVNAIEASDELMKFVAIVHLNTPKDSSTGDPEIPYDAHDSWIQTWT YSSKSHAVKSVLTSFRQLDHPDSIAAFRALMLCMAWNNDRSRMSLLGLAIQEVDRLDG GDAPLKTALIVLLWDTYVREQVASIMDFWLQASTGARSSKGLDPSIAGEFLHLAQQLL QVLSESIKFAVNTTRLAPPTDRHADDDDSVDPSARFSPDEALDLDQLLAPVVWTGTPN DVLNLYSKQWPSSPLHSALMQQLTRPGSPPTQESVDQHHQLVSVLIAFTSYPAAVVPL PSLFDLTSLCKSPSFNEAAVLPANNVRFQFTMDLLRHDISLGMSVASSLRLQLDQIKQ AHAVWLYQGGQDALAEEVLDKVVVDATVVTLLARVARSRLGLVLCRMQSRSEFAVLMS QLPADTCSWIRSSAPPLRPDPQVGIRDAAPSLTATNALLHQCVQWMPPASPEHARCLA MIGIVQLLLSQLKKSTNLASRKQNA H257_13590 MRELGSYGCSVSTIFDVAPDLWACAYDEAANKKWVIGPKGVAKV LLLPALALAQLQDDETSTTKPTAGRFHTVPTADNTYDVALGYSNGDVCVYNRAGYELF ALQCHRGAVARLEWNFHSAKPSCRELYVLFADMTLVVIEWELADPTSPRRYWRKYSLN GQRDIIGILPCAVTRAASLFQSQPRAGLQSFVAVGCDPVVGFYHAGHEGTSLFRIAHL ASAVATRAAGAVWSLAKNWGWPQDRDNDTVDAVPSDLGIHVALGLPDSHRRRARDLNV SPNGKLALVPDTLGRILLIDTTSMLLIRLWKGYRDAQCGWMTHNDGLYMVFYSGRRGL VEMWRARHGPRVLCLPLGAHAKLKLYTCMAAEFATCVVVWEQSSGESALYQVELDSCS LTTEVQYLSKAKGEHESFVVHQLVDGLGKCLIRPALTTALLDQMKGLTTIEGVETVLD ALHEPKMGPLPASFHRDALKILLEVATNARNWGKTQADFADLVFLFNLEYKTRLVRGF VDLQQEVTTTPFAATAAALFDDDIDSLLTRMTKWRSVYSFCTTQTTSSPLNCLQFIDC FAFPWSEPMSPEVELSLGLLLHKTHRNNVLTFDECVRQLYAMLKSPVLRSATDATTHA AFVSFLFAPLHGAVFAVQHVQEIHQTLLLDQDTLQYTSQFLEWYFALPEDMLLGMTAT NASSCLQRWLQPWLLSCSFPHSIDEASFTLPALSPSLQAVYDRCRSTPLLLHAFVLCE HVAYGLAHHANALQDSTLGQVSAMGAGLRWRVLQQCLSQCLYFSCLLKVPGKLTVNAI EASDELMKFVAIVHLNTPKDSSTGDPEIPYDAHDSWIQTWTYSSKSHAVKSVLTSFRQ LDHPDSIAAFRALMLCMAWNNDRSRMSLLGLAIQEVDRLDGGDAPLKTALIVLLWDTY VREQVASIMDFWLQASTGARSSKGLDPSIAGEFLHLAQQLLQVLSESIKFAVNTTRLA PPTDRHADDDDSVDPSARFSPDEALDLDQLLAPVVWTGTPNDVLNLYSKQWPSSPLHS ALMQQLTRPGSPPTQESVDQHHQLVSVLIAFTSYPAAVVPLPSLFDLTSLCKSPSFNE AAVLPANNVRFQVRSMYSDRRIDVRACISSLRWTSSVTTLAWACRWRHRCVYSSTRSS KPTLCGCTKADRTPWPKKCSTKLLSTPPWSPSWPAWRGPALASCSVACNLGPSLLSS H257_13591 MLQFASELSYLDRHLTYAKERIFSFPNAGQVVIVQDISDVGGTV WDASIVLSHHLDSLGSAVLQGKSLIELGAGTALPSIVASRLGMHTVATDMIHVLPYSE AAIRSNCDDLVQTGAIRWQELLWGAAGVGLSALKTASKQHDYIVGADIVYNVEFFDDL LETLLELCPACDKDQPTVLVCFEQRRRDLTSLWATMELHFHVELVTSSMLDACRRDVN VFLYQLHRKSRDNTGR H257_13591 MFSVLQGKSLIELGAGTALPSIVASRLGMHTVATDMIHVLPYSE AAIRSNCDDLVQTGAIRWQELLWGAAGVGLSALKTASKQHDYIVGADIVYNVEFFDDL LETLLELCPACDKDQPTVLVCFEQRRRDLTSLWATMELHFHVELVTSSMLDACRRDVN VFLYQLHRKSRDNTGR H257_13592 MSSLAASFLEDLDELSGSSEEEEEELNQNEVDDDVDMDGEDEEA AAASKAKQLDLDALLKAAANSGAGLAAVAHLRRSESYKRHLESVNMYMQKEATADTLT QDAPEYKLVVASNDLMVKLDDEIIAVHRFLVEMYAKKFPELESLVPGPLDYARVVQRI GNEMDLTLVDLSSLLPSATVMGVSVTGSTTSGKPLSPADLAIVEETCTELLELDSDKL LVLRFVENRMNFLAPNLSALLGTRITAQLVGLAGGVDELSRIPSCNIQVLGQRKQVLS GYSSMSTLKHTGIIFGCDLIQTIPQDLRRKANRVVAGKVALAARVDSQPHRTATVGHH FYTELQDKFEKWQQPQKAKTKKALPRPDEKPRRKRGGKRYRKVKERTQLTDVRREWNR QTFAASGEEYGDNAMGITVGRLGAEGSGQLRVIKKAQKQTLLKLKAASYASQKNHQQS GLATSLAFTPVQGIELMNPSAAAERVREANKKYFNAQNGFMSTIKKN H257_13593 MPELLPLDQLPPAFQYYYLEHGEAGRVNSSPAADKSVESPPAQS GPRMKLKDLTWKNKARFNELMERHTFVVLTDLGDAVEALYTDLRVAMQAFFEQDRAAK DTCTSKYIYRNENKTPMWYAGYESTHVRECFRAHTGDLSRMQWPSADFEAKYLALLKV CQTICDKSLSLTLGYNADTARVHQAAGEDLSVCYGLHYPNQVGTGQSNDENVYEHIDP SLYVIEPVTDVAGLDVFDPHANEWLSAETVCVPHKEWVLFCGKALTRVTEGRVPGTLH RVTRPDHTRNVPRYCFIYEQKYQPYF H257_13594 MMHHRCLQVWRIFERRQPLPRGGRGLLKRSFAKKAMRDPQPVNL DDDDDDLDDDDEDFDEDEYDFDDGDVDFDLEDQLESMEDFDEAMGDNANVKEEVKRKV SVRRAKHSKRKFVDRIRIRVKGGMGGNGCTSFVTEGPGQKRPNGGHGGAGGDVIIRVD PRLQNLYKPTHHFNGGDGWNGMANDRAGRRGGDVIVTVPPGTIVKQVDRFERWNEDLD DYETVDRLENLVDLDQSNAEFMAAVGGKPGLGSRILAGKTTKFGGLRKVMPEGKNMGL LGTSNYLELELKTIADVGLVGYPNAGKSTLLRALSKATPEVAPYPFTTLHPNVGVVEF PDTYRYSVADLPGLIEGAHRNVGLGHSFLRHIERTKVLLYVLDTAGSEGRDPVDDFHH LQNELELYAPNITSRPSLIAANKMDAPGADVNIGRLKKVTGLPIVPLSALHKVDIKIL ASSVRWMIENYKSIED H257_13595 MMRTFMSHPTLSANWSGEYEEKSAEWFELFLDLIIVAACSNVTE KLKEDLTLSGLAYFVLVTSMYTSSWTLYTSFHARFNEKSLLHYLWLYIWLAGLGGMVL AGEPSNAFTIGLVLVRIAQLLMYTTVYMLLPQSRCTTKVDMIFVLSSVFALGSTFVLS EAWTIVVYAIVLVWECIFRFVAAAQGWLQTKDSVRIPLNIDHFNERVGCMVMVALGEA VVSTIINFNDPSLLTTRFFFMMQLGLLVIFTMAMFYFAIRPPRAFHAMRRSVYAGIGF SWLHLCLYPTLLVIGVSLKLITDAVTTDESLEATRVWWLFGSISAALTVMLCIRLVHF GGRHPAPTDPDCVKRIKHVWWAVVGAAPLVSLVLGGALQVLSGDFVDPIHALIVAASF NVTFVVLETAVMNKLVQLGHDQLKNEVHTPLIKKVSSH H257_13596 MSEVVGDDATGDLTNLGLYRHLRDDKAITKSVLIIGDGNFSYAC AFVRSVMTYDPSGDVLQRLHIRATSLDTHVELLRMYPGAAAHVDELKRHDNVRVLHGI NGTKLDEYKDTFGIASFDVIVFNFPHYAEGGNKRNKINKHRQLLSQFFHSCNSVLASD GQVWVTLCAGQGGTPAETIVRPVGDTWQVAQCAASSALTLLNVHAVPTDALFKLGYNS VGHRLQEKAFRTHASLTHVFCRESLGKVACHPLTWTRDISFWISDTFAEDKLAPVIEG VYGPKVNVFLENIDEYTDDQGRRAKGYRLTLSSRTMALSKEYVNAKTDEVTDILDSHD WSCSAESIVGQPQA H257_13597 MRFRVTLSCLVLPMLVLGGHGPASINVVSLPTITTPTNELTSTP GASPPPPSSKPSISIPSDWTHARPSPNNATATTSTIGSMRTTPLETIVFPATSATPPP LTSESEEPTTLDRTKPPIPLDQGSPDIGVMNNLLPASVAFVGMTLCLVVVVYFHRKRR MRDDQTRQPWLDSPSGSPTMTVKHTDSPSATSIAPYHPSLDTRNLCNDDESSCVVDPW FESGISSLASMDVDTTRFMEMVHVKVHVHALSNA H257_13598 MTFLRCILLVLAVSASVAGQTNTTSDTPTLAPTILPTSQTPTPP TTIGVTTLPPTSTSSPSVAPPSTTPSSTLPPTTTPSTPVVRTTTFEPTTTTASPPTTT GTAAPTNPRTNVVTTTRAATAAATPQPKPATSPTTHDPLHIQQTITSTSLPPANMKSD AVGVTSIVLIAVAAGVLLVVAIVVYRRHRRKAKYAPKTRTPLPSAHFVSSSTPFSNAL ARPSGVLSPWSDEDDDDDAALFKEMVRIRVHVT H257_13599 MAEVALPLVTLDAWHAAGIHLLDLRERSEQSYEFASNLVVNIPW SEQKLRSHEYPSRTTRFALLCSPTIANDQVDWFTNAALHPTKQPWQVEFYILDCAGTF ATAQALAIVATPHTPRPYPHARLWTPNSLMLQLLPILGHVAASTSPSSSVVMDLGCGS GRDIMFLAEEMRAQGVTSWRFYGVDHNKAGMANTIAFAGRRGVHAAYSFVQMDLRKLD AVRSMLRKHQNDIKCVFGCRFLHRDLLAVARDELPVGGVFAWSHFAMPADGRPWTWPH PSKPRDILGRDELVTLFADEFEVLVNVFESDSDHGRPLNQFIAVRRAKRHHTSDKASS H257_13600 MVAAVSNTPADAIGAAATSTEDEVHWSKAEYDIDLHPQVRRNLE LCGIHSFFPIQHVTIPKILSTGYTKDLCINAPTGSGKTMVYVLPIVQRLVSRVVRRLR AVVVVPSRDLVVQVKKVFDTLIAGTPLTCGVAMGQSNFTTEQQHLVSSVDGLSLVDIL VATPGRLVDHLEQTPHFTLQHVQFVVVDEADRLLNQSYQDWITKLYQSIYVTETSVEN LTAAGGSASLHPSTIRRADGKNPHRIRIPLIRILLSATLTRNPRKLAAIGMPHAELVT VNDALDDNLFATPANLDESMIECDSMDKPLVLLELLHAFESQLTIVFTSSINATHRLC RLLQLFSPTPQSVREYSSGLTQKQRSQLVQQCKKGAIKVVVCSDAMARGMDIAHVGNV INYDVPPYLKTYIHRVGRTARAGRAGRAVTLVKRGQVKGLTRMLSKAKKAELPTYVYD AEHMKSLVPRYTACLASLKDTLAKEKAGHLATTTKVSLKRPVAAMEGGATESGGDDGD AEDGVEWTRDEVKQKLLNQLQRRLAA H257_13601 MFSAWPTWATTTFGGLSVALLSLHALDASPASPPSLSKTTPADF TAFQMKYLCVYWIVMFADWLQGPNVYTLYQSYGMDVGALFMIGFTSSAVCSSTVGRLV DTYGRKRACVVFCLLEIVINLLEHVPNFTVLAVGRVLGGISTALLFSAFESWMVSEHR RQRFPDELLASTFALAAEGNGLVAIVAGVVAQIAADQYGDIAPFQLAIAATVVAALWI WRFWPSDSPPVGTQERATSESTTTTPSPTTWTWPVLALGLSYSLFEGAMYVFVFLWVP ALQSLSPDPLPVGLVFASFMLCLAIGGKVVRGVPHSSLPLVLSILCGVAALCMVIPAL EWGLAWTLASFLVFEVCVGMYFPITATLRADNFPDATMSTIMTAFRLPTNVIVLAGTQ MASWSATSPHIFLLCAAVHIVAAAVATTGITVVPATK H257_13602 MPISYCLVANKHCSLAECEYNGGGPASPTNSGKKVKEIAVQLTK KLNFEKIELEMEAIDCEGKTYSYLVENEVVYICVADASVGRGAVTAFLKHIQAQFEEQ FGVRGKMTKLKLDMQRDFGAILKTHMEMLSSQGGMQKLEALRKDLDGVKDSMQHNIGK VLERGDKIDLLVDKSESLNSSADAFAKSSRNLRRTLWLQNVKLYILAGVVVLVLVLFM YLYFRKSDDKSTTTASAGASLRRT H257_13603 MSVDDVMERTGRSASAVYKWMHEKRTLEQHVASGFGQQVRVVVR KPNRRKKRTSFFRGPRTPKGNLAHVVQWIKAQPPRSLDISSIDEKCHEVPGFAQKSAQ AKKSFVRELHCT H257_13604 MVRKPTEPETFIKLALLCPEDDVGVSWSNEYPMSSIASVVERSI AWSAGLVPGMMLKGVKVKGDTAFETLDGTSTPFLTGPGVYPRILVLKFTAGACTVDNI KQSARIRARTLAQRNKICKQLGPVSHAEVLVEAQKTVARQYWIIRSRMAKAEKALLPS QFTQLPVATSAATASNEEMCAAQVLNALPLHSPPPGKKKRLRSNETSQDTSSSVRLRA VTHHQQHHTRSDDKTTSYI H257_13605 MPSLAAALRATCMDVDVCHPLWLPLAPILERLATVFCSYLDALP EHEQCARTDDLHDHLADDDLHEWFPEARCRRQLGAYGDQHKNDDVICSKTAPSARYKM PGIFHFCCPHGVCLGFTVMLDHESPVHPFSILCQRWQVTDSPRVVIMDNACNLHTYCL RREPYFFRNVWFLVDRLHYCNHVNCSSGYKVDNFPFLKDISTVTCEVFNSTFKAVVKQ AGFMGMENFILFTKHFMTATNDRRVATVTSETRAKRHSPAPS H257_13606 MEAPQLNTTMTTAVVALEAPLSQVALAFPNRYANLILAHDLLIT VFPGKLFVLPAANEGMNQLRFDATSAHCRTTRNLLTKEVVAGTVVPSSRTFAEYQAQC DDGDCVHVNASEHPLDRVLMELEGENLWKCTSCPRTTSCTHRIDPEWFRDDFDSSRGA TWTPPSVTFPVQSSLQDEVRTRRGFQTLSQLDPHSFEPVQLAFNLCSCGHAYDENDRR FDCRGTVMTLSFDGFFHGFLRTSHTSFFDLDLVNLVRLSSIVGAAPMSATYRIIEGMY ASQNQALCSRAHFIRSCWVILRAVNVDLVKSFTCPHCGSLDSAPIIIGDGGTTHGRVF HDTVLRSTTDNSSDMQTRENSCFTTADRERTKGITLPTPCVLQPKLGFD H257_13607 MVLPIAGWDLKVADMITILRWANVSANRGVSKTDLQQRMTTQLF DGGPPDMTRLNVADFPSIVPESWAIWLQQHLAWQASTLPTSTLPPWNAPQPVPLGPPI AASENAMPTFWVFERKAVRTKPHTALGTVQKICSASDISGPFLDDYPLTKAAAWEFAS QPCAKVMLWAMWDNLKHSVPDTMMLLEWYRPGDKAYNLARTLADECKEKGIHPSLVNL HART H257_13608 MHCQVARAVWGTCTRRKHVEERKALPHGDKSDKNHSLPCQKFCP STNFVDFGCALAAKVDEFVHVRCTAKLHELCGRKALPHGDKSDKITLFRASSFVDFGC ALAAKVDEFVDVRCTAKLHELCGVRAHAESTSKSEKHCLTGTKATNYSLPCQKFFDIG CCCHFRLLR H257_13609 MGRTTKVAASTPKPKAAKRSAPSDEVQPGNKPGNEGESTFAENP STGGWAFLKPPSSSQLKKPKKASQRSDDDVEFPPLSEADTAVFDDILPLTKAGNTNDS LRILAWNVNGLRAVLKKDNYFRAYIARENPDVLCLSETKIDDAALGQVKQILPQYPHQ YWNCADQKGSSLLIETHVIMIGQLFVGYAGTAVFSKVEPLSVRTSLVVAGQPDNEGRF VALEFSSFWLVHTYVPNAGQKLERLAYRTESWDKALFAELKALDQSKPVVWCGDLNVA HQEIDIHDPKGNKNKTAGFTDAERESFGGFLASGFVDTFRHLNELAQAYTYFSYRFGA RGKNKGWRLDYFVVSSTLLDKVEDSFIRPSITGSDHLPIGLTLKK H257_13609 MGRTTKVAASTPKPKAAKRSAPSDEVQPGNKPGNEGESTFAENP STGGWAFLKPPSSSQLKKPKKASQRSDDDVEFPPLSEADTAVFDDILPLTKAGNTNDS LRILAWNVNGLRAVLKKDNYFRAYIARENPDVLCLSETKIDDAALGQVKQILPQYPHQ YWNCADQKGYAGTAVFSKVEPLSVRTSLVVAGQPDNEGRFVALEFSSFWLVHTYVPNA GQKLERLAYRTESWDKALFAELKALDQSKPVVWCGDLNVAHQEIDIHDPKGNKNKTAG FTDAERESFGGFLASGFVDTFRHLNELAQAYTYFSYRFGARGKNKGWRLDYFVVSSTL LDKVEDSFIRPSITGSDHLPIGLTLKK H257_13610 MTQLERSVVRENLSLLGKHPITNKHIFTRLDVKKHNLTAVDVLK DFPYLQDVDVANNQIESLAALAHLPFLISLNAENNHLTTLLDFDPPQCTAKNAWVDGQ EAIGPMLHIANFARNSISVMRDLKTHRYIQELILDHNHITEITGISELVFLKYFSISH NKLKTTRGLTENMPIEILNLSHNELVESSQLPKLTRLLIVNVAHNKLRSLEDFGKCRM LQKLDVSFNRIKDLHHVDALTKLRDLSSLNLAQCPITRIPFYRFRILVRTQQVVKLDG FPASIKERIKAKVIHGDDIQARTDIFDCHLKGLDKFVNYLPPLEYALTNSERQLSSPT LKPAKITKADSCKNVAKLQASKAILIAQVKIVTETFTRDTLNDLPKRYPMLFGKSGRR KPSRRSNGSIVSRKPILVQPDASQ H257_13611 MEDGQLHEFLDAVGQRYTPAIPDEVIAYYLESVGFTTDDPRIIR MVALVAQKFVLDVAHDAKLFHQHRLNGHTGSVHSDKVSLTMEDLAASLREYGVNLSKP EYFCDSASTLQSVGSAPNATAGKPPTKK H257_13612 MMSTKIGHTVLAWLVLATVVSSMFSHDQVCEPKSTICKPKRGVK TVLSPKKKYKVWANGCGTDSIGFQLMGDENLDFTDCCNWHDACYGICGISKTLCEKKF SKCMKDKCALEPTTELQKSCGTTAELYAMGPNMMGCPAFTAGQKEACECVDESKAATR NRNRLEHFLATHARDGAEAEDVDALLAKYKGKEPVMFLRLLAKYPEALTLKKARVSDT DKVFESLKKHKQEKAKADEHNDVEAHIEL H257_13613 MLGRSDSQTRTRDNAVVQKSSMDAMIDNASSHLQAQSTGINPAH PTKAQPTSPPLPNNIHEEVPEHMENFPLVPLEVIPKLTTLRLQECRAELFKLADTLTG DADDVALGLYIKKQLASVAAELEDRRAKQRIADLIAGNVVAPPVGIIYTPISPANINR LPPPVLNMQMSTQSAANATVATSKPSISTPSFETPPPKLQPKPLSLREMKSLAKTTKM TPDESAKFIIQGTTSARKKKRLAHKVKKRKQQQTLPTPEDLLQAQRHERRKLAHDRLV ARQAKITLKKQKDLQAKAAAAAAVAAAMEGRHKDDDSSESDNDVVDVAPTSSDEDIAE VEPETRDDQPPPPLVVTFNDVASSTGLVASTFEVDDRISRINAHLCNVQAEDNLRVLK SSRQQSRNREGQSSTGTLVDKPNQEEEAGPEDHVATRVDSQRRENDMTWHHPSRAGDL QNQETLSSQGPVEASSVALSNDHNHSLTEEEMASTRSTRPDERDDNNDEDNDDDCSDG DENGDEGDDEEVDGPDDPNLYNKSKFHTNTYMAVQDHDQPTTAAPTASAATSPSSLSS LPEWFQDQRKLIHHIVTQVAPPPPLLHDKMDTGRPVPSSPTDSSTTLTHQATNHSRLT AHTTGTNGAGAARRILSNLMRDTSRIKACQVSVESALECLTQPVAHIEPAAATTAETC TNMAASKKNPRPRSCTQGLISQNKTIESEVTKPPQRQPSRTPASSPPTKMLLPLVAPV PARPVTDYSSVFRNFYCIMTSFYERMKTDLTTTSTSKHIIQNRDVAIRFQCKLYTVWK NVMHDYTTVFGGAQGQQLTARSRALDSACVYDGSTSTPFTAQYRINSQARQEVVGIVV EALKKLPDWDELPVDLGLNTTWNLLWTWSKPRVDRQSLLCWQKVNHFAGAKALTRKDM LKKSLQRYAAMGDKMKASFDIAPETFILPNEYIPFVQAFKRRADAIGSSKNFWIMKPV ALSRGRGISLLNDLGQVTYGQAVILQKYIENPLLLDGFKFDLRLYVLVTSFNPLEAFF YNEGFVRICTHRYNTDSEDMNDLFMHLTNSSIQKHGHMDQVSDNPVNNAEATEAGGTK ASLAYLWSRLAAAHAPVDTIKAAILDVIIKSLVAGEDSIPFQVNSFDLYGYDILLDES FRPWLIEINSSPSMGRDNSLDYVIKDALIYDTMRLVRPLHFDRAALLSVLNRRAHDLA QEKKRPNQLPPTEVEARALQQLNEDLTEILHGERPRQYGEMPQHMGNFQRIAPSAMHH QVIKVKRSAFRETI H257_13614 MLPYAYTNNIVVQLFTGYGKIARVTLLRHKDTRASRGVAIVLFS QPEDCKKACKAQAIVKSMMLSGSMSNDDGRSGDFIKKREYSSKKPIPAFLREKPKPKK SSQRRAKFEHGEAADYCDHTQDDHR H257_13615 MADDESRNVISTRQQATTVQDLRDNRLADGSKKCYRGGLRHIVA WLRVAGRTGSINPDGSINLDVFTYEDFTEFVLHNVTALVVASVSSLVLLCLSINTIGT HSLRKVAATYAIAGSTSGPSIFNVCIRCGWSIGSVVERYVHYDGAGDQYVGRVGAGLP LGSGDFAVLPPHFIVGSDDAVSASGQLVFPRLWRHEELRGVLKSCLASLIYHKTFLEN AFHRITPCCPVFFFLGTH H257_13616 MLFKQEAMTKDVFLGNIHSKLRRRHYQDGVFQSHRLDEIGLDLT SSDSWPYRMRCANASPKLCVYAHSGAAQATWDAKTDTLVPILKDEW H257_13617 MVSKYLYKYVLSNPISIFIYSSIPMLVNTIDPAGTRPSCQVDYR PSPERVHWQPSVVETRRTSERFPSSAERSRTSGGRDPSQRTPFMISDNAVNVFGTRKG LPWEDPINLSGIVWAVMVKGFVLRLASLSIEAIVVVLVKV H257_13617 MVSKYLYKYVLSNPISIFIYSSIPMLVNTIDPAGTRPSCQVDYR PSPERVHWQPSVVETRRTSERFPSSAERSRTSGGRDPSQRTPFMISDNAVNVFGTRKG LPWEDPINLSGIVWAVMVKGFVLRLASLSIEAIVVVLVKV H257_13617 MVSKYLYKYVLSNPISIFIYSSIPMLVNTIDPAGTRPSCQVDYR PSPERVHWQPSVVETRRTSERFPSSAERSRTSGGRDPSQPLLHSTQNQDNAVNVFGTR KGLPWEDPINLSGIVWAVMVKGFVLRLASLSIEAIVVVLVKV H257_13617 MVSKYLYKYVLSNPISIFIYSSIPMLVNTIDPAGTRPSCQVDYR PSPERVHWQPSVVETRRTSERFPSSAERSRTSGGRDPSQPLLHSTQNQDNAVNVFGTR KGLPWEDPINLSGIVWAVMVKGFVLRLASLSIEAIVVVLVKV H257_13617 MVSKYLYKYVLSNPISIFIYSSIPMLVNTIDPAGTRPSCQVDYR PSPERVHWQPSVVETRRTSERFPSSAERSRTSGGRDPSQRTPFMISERGYLGKTPSTS LASSGPSWSKASCYVLQA H257_13617 MVSKYLYKYVLSNPISIFIYSSIPMLVNTIDPAGTRPSCQVDYR PSPERVHWQPSVVETRRTSERFPSSAERSRTSGGRDPSQRTPFMISERGYLGKTPSTS LASSGPSWSKASCYVLQA H257_13617 MVSKYLYKYVLSNPISIFIYSSIPMLVNTIDPAGTRPSCQVDYR PSPERVHWQPSVVETRRTSERFPSSAERSRTSGGRDPSQRTPFMISGTKYVILILVSS ASLNSKSR H257_13618 MMQDDNSVQGLKDIKDRLRRHHWIPKYEVEFGLQVVERHPHTGE VILAVCGLCKSFGREVREKEDDEASSSPNQRKRRRGLTTTKYFRSFRVDNIRSHLSGA HPVRWQEYQVLAKNEDLRRNYLAQCNVNMGLMTSLPMNMMGLPGGMDDGGDLDSETHL AMNAIVQQSTRQSTPNKRPASSMPGSFSGNRPPPLDPKTIELQKYQLDWERLEFERMR FHKELHMKELEEKQNERKLRHEKEMREKDRELDLEKAKIEQEKFNKLVDCLNRALGHV PVSSNVVADSIV H257_13619 MMLARAIRSRFVKQFSTNAKPGHYNAFVWGDGTVGQLGLGPVEK SGVARKYTELGPRSIDAFRALNANVSKLSLGTTHSAAVDATGRVYTWGFGEKGELGLG DNIKEVDVPTWVEHLDGVHVVDVSCAVHHTAALDNKGRLFTWGYGGTSMSDSALGLGP SDGQNVTLPTLVETFIDDGVRVQAVDCGDSHTVALSADGEIWTWGRGDNGRLGNGELI SLDFPEPLDFFDSMTCVAIAAGRSFSLALRDDGRVFGWGKNNHFQLGLEGGVLDINNA MERIPIEIRGFEGEKVVKIAAGTEHAAALTETGKLFVWGANLWVYPNEITPLKSKRLV DVACGDRSTLVVADDGSVYSFGKRGIGRSNYLGHYDTNPQPQPKQIDALATHFVTSVS CGYRHLGVLAKADGGSVDSDFSLRN H257_13619 MMLARAIRSRFVKQFSTNAKPGHYNAFVWGDGTVGQLGLGPVEK SGVARKYTELGPRSIDAFRALNANVSKLSLGTTHSAAVDATGRVYTWGFGEKGELGLG DNIKEVDVPTWVEHLDGVHVVDVSCAVHHTAALDNKGRLFTWGYGGTSMSDSALGLGP SDGQNVTLPTLVETFIDDGVRVQAVDCGDSHTVALSADGEIWTWGRGDNGRLGNGELI SLDFPEPLDFFDSMTCVAIAAGRSFSLALRDDGRVFGWGKNNHFQLGLEGGVLDINNA MERIPIEIRGFEGEKVVKIAAGTEHAAALTETGKLFVWGANLWVYPNEITPLKSKRLV DVACGDRSTLVVAGIGHLTKNIWTFMLIRQIYTDRWFYM H257_13621 MLAPRKVLHSTPVGVIEQAFSLAQVNGSDVVCDVGCGDGRVLLY AASSLHVARCVGMEIDADRVCQINEAAKRLGVDDRVTIYCGNALDMDLDDDVTVIFLF LIERGLRQIFRKLLACTSKLRHKELRIVTYLYRVHVMDPYMVSSQFCPIDPPGTSDAA FPIYLYKLPPLTT H257_13620 MKLSKSSSHSSRASTGRKSTKISKSPPQTPPDECDPVCHDQHYG VPQYHQPPQRGYASNPPGVQMPPPSSSLPTAGGVFHHNNNMPSMMEPADVLHRLETKE SRMHQLSALLETMKHESAGTAEAMERTLHENDTLQRMNQQLTHDLQAAIDATEQHKTD HAKQIQEVMTVFTDERRDLEKKFELYMESRQAEFEAARVAHDDQIAKLSQDTMALQEK NRELSHQCESWQDQYGTASDNLSALMRDQEATKGQHKAAMEALAATLQDVDTEKQELG KLLADAFHDLERCRAALLEANNNVTQLKTSLTQNDQSWTNTLTSLESQILESHAQQQL VKDALRVAEAALQVERSQKEELNAAMRTELQRYTDELDDVQAKHATSLAVVVAERNEC QDRLSQVKERAKATDKQLQALRLQFEDAMEGAKLTLAAKTADADKQRVVADRNLAEMN SQCIALAQDLAACQAARTDSEGKFAHLQQGCVKLSALVGIDSSSSMDELVGKVDSIVA TANDRLTLLQACQARESQLSMDSQAFAVEHAHLQQSLQSCTDELESVKQDCAALVVEM QSLTAAHDKALMEMQSSSQRKVDDALAQQQALAVSTMQLQRSVDGQRARIDQLEDEKR ELLQEADALNKSLETSYQVKNALQMDMDATKHRVVVLQSEHNDLQDAHDQLTAAMATN RTDWSAKLAESDALYQRDRHEWDKQSRSLLATVATLEGQVTSLNELVVQAREDSDACR RHAADLAESLERTRVELIDAQDSCAEWKDKADGLATTSSSVESTLRADLTASSGQCLA LAGDKRRLESTAASLETLNGQLNAELHTLRQEKQCLNKHLEGRDDELLAVQGDLAQVK AELERVARSKQTLQTELSRAAEDLNDSLRQLEVLRREFRSQEKAHAAAEEGCRKDMDM LRTDHMEAMALNEELQAKISTIQSAANATINDLVAELTHAEDLLKADQARRAQDDELL RSQCRYVEDDLKRKEVEWKEATMMLKRELAVRRDNYDSLETKYQKQKEMLEAKKVEVD KLTKDNEQRQLKLAELERKLAPLANIKETTATRLSEMKLVCDAKLKESHDLEEKLRDD LVRLQKEKRDLESSHLRAKDELDHSASGRYTLVHQQLTSENKLLKSTLDRTKLELHHA TDNVAALAQRLDAQQNASNATIADLSGRLQGLDQQYQAALASLSRELTVEKEKCQDHL LQKHQLMKQLRKLQRPGSTTSSSTSSSDDLADQQVFTSRTHPELADYATSSALTPVAK TSPRSNTNVMACSYDLTNIPVALLRAQIGLDMCSFDKLSHNNNNNTTTPTSNQVSEGV VPSLNLDNLNRLSTPSLVELSHRMESALSQRSSSHDEASGKLKAKTYSKSTTAKDTLK LIKRKIKQDDNKLKATASLPKLV H257_13620 MKLSKSSSHSSRASTGRKSTKISKSPPQTPPDECDPVCHDQHYG VPQYHQPPQRGYASNPPGVQMPPPSSSLPTAGGVFHHNNNMPSMMEPADVLHRLETKE SRMHQLSALLETMKHESAGTAEAMERTLHENDTLQRMNQQLTHDLQAAIDATEQHKTD HAKQIQEVMTVFTDERRDLEKKFELYMESRQAEFEAARVAHDDQIAKLSQDTMALQEK NRELSHQCESWQDQYGTASDNLSALMRDQEATKGQHKAAMEALAATLQDVDTEKQELG KLLADAFHDLERCRAALLEANNNVTQLKTSLTQNDQSWTNTLTSLESQILESHAQQQL VKDALRVAEAALQVERSQKEELNAAMRTELQRYTDELDDVQAKHATSLAVVVAERNEC QDRLSQVKERAKATDKQLQALRLQFEDAMEGAKLTLAAKTADADKQRVVADRNLAEMN SQCIALAQDLAACQAARTDSEGKFAHLQQGCVKLSALVGIDSSSSMDELVGKVDSIVA TANDRLTLLQACQARESQLSMDSQAFAVEHAHLQQSLQSCTDELESVKQDCAALVVEM QSLTAAHDKALMEMQSSSQRKVDDALAQQQALAVSTMQLQRSVDGQRARIDQLEDEKR ELLQEADALNKSLETSYQVKNALQMDMDATKHRVVVLQSEHNDLQDAHDQLTAAMATN RTDWSAKLAESDALYQRDRHEWDKQSRSLLATVATLEGQVTSLNELVVQAREDSDACR RHAADLAESLERTRVELIDAQDSCAEWKDKADGLATTSSSVESTLRADLTASSGQCLA LAGDKRRLESTAASLETLNGQLNAELHTLRQEKQCLNKHLEGRDDELLAVQGDLAQVK AELERFIYLVYIDEDIIFFSRVARSKQTLQTELSRAAEDLNDSLRQLEVLRREFRSQE KAHAAAEEGCRKDMDMLRTDHMEAMALNEELQAKISTIQSAANATINDLVAELTHAED LLKADQARRAQDDELLRSQCRYVEDDLKRKEVEWKEATMMLKRELAVRRDNYDSLETK YQKQKEMLEAKKVEVDKLTKDNEQRQLKLAELERKLAPLANIKETTATRLSEMKLVCD AKLKESHDLEEKLRDDLVRLQKEKRDLESSHLRAKDELDHSASGRYTLVHQQLTSENK LLKSTLDRTKLELHHATDNVAALAQRLDAQQNASNATIADLSGRLQGLDQQYQAALAS LSRELTVEKEKCQDHLLQKHQLMKQLRKLQRPGSTTSSSTSSSDDLADQQVFTSRTHP ELADYATSSALTPVAKTSPRSNTNVMACSYDLTNIPVALLRAQIGLDMCSFDKLSHNN NNNTTTPTSNQVSEGVVPSLNLDNLNRLSTPSLVELSHRMESALSQRSSSHDEASGKL KAKTYSKSTTAKDTLKLIKRKIKQDDNKLKATASLPKLV H257_13620 MKLSKSSSHSSRASTGRKSTKISKSPPQTPPDECDPVCHDQHYG VPQYHQPPQRGYASNPPGVQMPPPSSSLPTAGGVFHHNNNMPSMMEPADVLHRLETKE SRMHQLSALLETMKHESAGTAEAMERTLHENDTLQRMNQQLTHDLQAAIDATEQHKTD HAKQIQEVMTVFTDERRDLEKKFELYMESRQAEFEAARVAHDDQIAKLSQDTMALQEK NRELSHQCESWQDQYGTASDNLSALMRDQEATKGQHKAAMEALAATLQDVDTEKQELG KLLADAFHDLERCRAALLEANNNVTQLKTSLTQNDQSWTNTLTSLESQILESHAQQQL VKDALRVAEAALQVERSQKEELNAAMRTELQRYTDELDDVQAKHATSLAVVVAERNEC QDRLSQVKERAKATDKQLQALRLQFEDAMEGAKLTLAAKTADADKQRVVADRNLAEMN SQCIALAQDLAACQAARTDSEGKFAHLQQGCVKLSALVGIDSSSSMDELVGKVDSIVA TANDRLTLLQACQARESQLSMDSQAFAVEHAHLQQSLQSCTDELESVKQDCAALVVEM QSLTAAHDKALMEMQSSSQRKVDDALAQQQALAVSTMQLQRSVDGQRARIDQLEDEKR ELLQEADALNKSLETSYQVKNALQMDMDATKHRVVVLQSEHNDLQDAHDQLTAAMATN RTDWSAKLAESDALYQRDRHEWDKQSRSLLATVATLEGQVTSLNELVVQAREDSDACR RHAADLAESLERTRVELIDAQDSCAEWKDKADGLATTSSSVESTLRADLTASSGQCLA LAGDKRRLESTAASLETLNGQLNAELHTLRQEKQCLNKHLEGRDDELLAVQGDLAQVK AELERVARSKQTLQTELSRAAEDLNDSLRQLEVLRREFRSQEKAHAAAEEGCRKDMDM LRTDHMEAMALNEELQAKISTIQSAANATINDLVAELTHAEDLLKADQARRAQDDELL RSQCRSCRLTPNIWSFGQIRQIYR H257_13622 MFATRVKKSQGKRRRDVDEDGDDDAHEGDVTVPIPSDARKKLNT FSSSSKKANSQEVMDARFQTSTRQSVRQAYAGDATYESQIDTEQDKDARAILEKNIKL NADGNDLSGKIYQGQAGYKNYATKKEASIGMNKYTGTQGPIRAQTWARSICRFDYAPD ICKDYKETGFCGYGDNCKFMHDRGDYKSGWQIDKEWDEKERKKKQALQGREPKDGLGE DGDSDDDKYLIKSEDDEQFACTICRNPFTNAVETICGHFFCEKCALKRYKKSSLCFNC KRQTNGVFNVAKSLREKEKERVLEEMNSARDGSDNDDE H257_13622 MFATRVKKSQGKRRRDVDEDGDDDAHEGDVTVPIPSDARKKLNT FSSSSKKANSQEVMDARFQTSTRQSVRQAYAGDATYESQIDTEQDKDARAILEKNIKL NADGNDLSGKIYQGQAGYKNYATKKEASIGMNKYTGTQGPIRAQTWARSICRFDYAPD ICKDYKETGFCGYGDNCKFMHDRGDYKSGWQIDKEWDEKERKKKQALQGREPKDGLGE DGDSDDDKYLIKSEDDEQFACTICRNPFTNAVETMSVDLWILAF H257_13623 MADQRQGIYFGEISAQQSPSVEANSVEAGIRAGNINISSSTDRE VLELSASSRNAQEKHAELLRSLETEKRSRAVNVPTRPEEVIRQLRSLGHPITLFGERP EDRRARLRTVLSSLAVDGVLPQAVSRAQDISSSVGYEKEKKVPLEDQLFYVPVKSNDL KHARQALFNYSMVRTNARLQHERAQQDSSVFELDAHAADLYRTSQHIAVNASQLADVR PLSAVRFSPDASMLATGSWSSSVKLWDSKTCALMHTFHGHTDRITGIAWHPTATSAAS TSSSLLVGSASADGTAKLWNQQDTKQPVVTLKGHQSRLGQLAFHPMGAHVLTASYDHT WRMWDVERGTELLLQEGHYREVYAIACQGDGALCATGDLGGVGRVWDLRSGKSIMTLQ GHAKQIVSLDWNGYVLASGSDDHSVRIWDLRKRQNVYVVPAHDGMVSTVRFSASGEIL ATSSFDGSIKLWRSRNWTLLSVLKGHDGKVMAMDVAPDEKHLVSIGFDRTFKTWAPDT EC H257_13624 MEEQRPRFFTVLPSSSPTHMTVVNGYSRNKAPVMSDIANSRAVK RRSGLDSLSKEVLAKMAYRRLRAKRRLVIALPSALKNNQPSSAALDMTNEMISNNSST TQTMTLSLPVNERRKHSDLLDLLMKPVMQVSAIDRPQAIPMPPILLPQSFLDDNPTPK EMMHAGLLLDPTTVPLPSLPSIDSPATAMQFPSYAAAADVEEMTKAPPKVSVHSHQKR HQANGATPGGTAGGGRDDAGGSAAAAAAADMEEIDRKRAEMQLAPLNGGATDEQFEVK LLKKIVNRSRVMKKYMNPNALTREMMTDIPRDSLFNVMENPSDIRTGGVDGMDMPRTP PPLGSLHHQNRPRNVLMTGTEDAAAATNLNHSVRASHRNTISLEARHKDVHNLGDDDN DEQSPEDRRKSTNLFQKFCHYIVHKQRTKYQLRTSVNIISEIDCPEAGGLDALKKKAP IPRRQQDRDAAKSFLSGVDVVLTLDELYEEAVVAEKARNWRRATMLASACISMEKEWT EPLLLRARLCRRLGLWTQALKDLTSALRLCPNHQRLLLQRAHVHTQMQEFHSAIVDLS HVLNQQPNSAEALLLRSKVYAKQGHTTLAIKDLTAITKNDPKNWRAYYERAYLRHKQV EGMGDDSSSLQPPMSKENKADAADAASHTAVTNIPSLIGQTIDDYMQAIRTGCYLNDV IETVGDLTIRLVEWTHDAKHCLQVVGGLAALIALMDETEGKLKDQRRAAAKAQSITSS HDTTLWELEEDRLAKVIACLLTQRGRLYILIGQHTKASTDLDRAVIMDYHYAPAHFYR GAVASVHACDDASRKVVIHHLNRCIHLDPTITGAYIVRGGIYCADLRFNNALQDFKAA VTIDPTLDDIWIQIAIVFLTHYHDCDASAKACTRALKNDLGLTQALHLRGEALARQGN LKAAMRDFVRLVVMQPTDRFAHLMKGKLLLQLDHARPALYAYKQFMEMDSELETMDRI TRGKAYKVLSQHSQAVQEFKLAVDANPSPENLCLLSESLHSMGDTEASLHVINLAIAS DPGCAKSYVRRAQCYIGNHAYKTAILDYDHALTLADKSELYRMYYERALCRSQLLSKA WNQLYAMQRGERVPCYYENNFQSGQPLPVTLSATDMNPTCMTAFIKQTYVDTAADFTK SMKASEVMSDPYVERAALYALGGEFNRAYDDLATAIKIDPHNLNAYISAGVLKCKFSM YTASIECFDKALKFHPHCALAYYNRGVAYHILNVWAQADSDYTKSIALDPTNMDALRN RGIARCHLMNFQGAAEDFEDVHKNAPDDMELHMGLGYVYLKLSRFTEAIALFRSLSSK NPVAIDAYLDAGNTFFTMALCMTDSTNMTAAAASAALATTNAPALSKADTSKSLLQQS LHCYLRATRINPTDVNIRLNLAALFRAKGDESNASRQYSVVMALDPTNQDFHDERAMM LLETGQFAHAMEHMNAAVNISVALSPIVAMEYTLLVKNKAMALHELHRRRQQLTPSAP HPTKRAEENGGRTRRMSVAAEVLADKKSILGIKKTLSVHLANRGKVHELMLDVDAARL DYLNAVYFDPLNFDVYFHLGTLAVHDRNLNDAIEYFSQALVINPKLGVASVNLGVVYL LLQSYDLALYHLDMASTLIPDCAFVWANKACVYLKLDRVDDAVCNFSYAMKCMPTFAP FYVYRGRLLSSQKHLHDAMVDFAAALKMGYTGEL H257_13625 MGRRMHFNVRLVAVWAAIVASVVRAQDGTCLASGVPPYQTSTKY ALAFCTQFQAARCCLPVHDNAIQREYVNLLASSTVCAEMLNVHKSTLAHIMCASCNPQ SPQFLSPPRNPGFFSGQTTFKVCASFAAAVAPSSFDQCGLSQQAYRYSPCTPTNPVSA SAAWPDTCADGMFVCQSKATQAFSCQPTPCASDDVPTGFANTPCSNYTCTSSAMFLND NGGAKPVFYEKVAVEIIDDINSGPCFEPVLVHSSASGVGWQVTTLLVVGWYWGGWACQ H257_13626 MEVVAADTETTPLPPFPVAPVDVPPPVPRSPTKSFVDGIPHDSS FGPTSTRPLVHDGVIDMRLLMISIWKHRKNVAFTNSLCDELSKLTNTRPILDQVDFYL PQLSHMVLHLEKELPMEAMEQFVMLLSLSSSHFALQFFWIVYGALDEHRPKKNGNPRT FTRCAQLLVILEQCFIYGSPVNKQAKELFASHQISKAEMGLILKADRRFFAAQSSACM SLVEESFEGWLYKKGGGTSKLGRRSWHRRWCCIERKILFIYNNRHDRHARNTIPLERA EVRVIANPKRHHYFEIHHSFSNTTFKFAALTAQDLQEWVDKVDVASAPPGPPPSSPSK VGPSRAIERMSDRMRSFILEPERRNSDDDDDDAVILTEPSPAAAAADGGASLADNKNA DSGKEHEVSKAAPVVDPPSTEQHNDIFVAEKVAGGIGTPQDNSSIRNARTSSGSAPVH LTSDEQMRYDFFTAQISFVKAITDICEDLRLVDVSKRKELLPTKLTRLHETLPKYAYL PLCRSTDHFYHVAAVCAQEGYVFKTHERAPVLMHFLTTPNSANMDVSCALFAHLHAQD EHIDTVKCNAPVLNESTPFIDELLMDDDRRTKLAAIFGELKCQKSARLAHAHHPHGDG VHLQSFIAKSYDDLRQEVLVMQLISYLDDVFKREHLKLKLHPYRILSTGASTGLIELV ANATSFDGLKKSPGFKSLRNHFESIYGATNSTMFHTASSNFVQSLAAYSIVCYILCIK DRHNGNILLDVEGHVVHIDFGFFLGRAPGGSFSFETAPFKLTMEMVECMGGKDSANFK TFTDLCIQAAVAARRHGETLYTLVEVMSFHSKLPCFSGNVTATLQAFRDRLFLNVPED KVGRVVEGLIHKAFDNFGTAKYDQFQEYSNGIAK H257_13627 MVFRVAAPRFESDHDHDQDVKQSNRLFDLMSHEIIEQRLENLKR KPKWTQWLDAYVHKLDRDGHDDDEWTPRGGTNVTAKGRETHGAKRSGFFSHVFWTIVG LVSMPFIVLFCVPFQRFYSPYMWPLGCHSPTSFLKQAVLNACTIVLIVAVAYWTLCDE LPTRHMETNVALVRQHASILYLKLVHCAHNLRVSDDIASLKWLQAIVEGGSMASFEWP VTVPRECLGPILDALVMAAFLGLLLNFYSKWSRYAIFTFVLVQYTLSSPYVQTVWTPR PAITSLEPAYAMLDEPLVVTVEGDHLQPGGTVAWIPFWCAPSTYASSDAFFVGDCDKQ FGAPFAAGVVHVTFTLVDTFIPCYKHPPNPVHPQVFECFDAFQIRVKTRQNIPGLYVK PNTITVELSADDTVVV H257_13628 MASKIPATFKAVTPFIRRAEELDRDRSRPESQMVAYYCRQYAME LGIKLRNHDASDEASNYLLSLMEALELEMRSLPAHTHEEGRIICENFAYDIFMRADEE DRNGGSNKNTARTFYAAGSFFDILKQFGPPSEDVLEKTKYSKFKAADILKAIKEGRTP TPGAPSEQIPNSAAVDAIGTAGAPAQQQFVAAPSPPAPAPSNAPDFPNMAAFSYDIQQ PRPAPVEPEFQQQPPRYTPPPQAVAPPPSPPAYAPPPSAPQYAPPPTPPQLTQPTYSE PAPQRSIPSYQPQPVTAGPARTGEGWSQNNINDAMECAKFAAAALKSREVELAIQRLE QALQCLR H257_13629 MADSIDAIDGGDDTRRNIVDNDPQIEVTDQAGNDNTASEASAFA DEAPKIGKTQLRRLKREQIWTAVKEKKRLKKEEKKALRPAVEQPVLDMSDAAVLVRKE RSILKRESFLMRANEGSTIVIDCGFEEDMTSREKKSLSQQIMFSYGVNKRSDTPATMF LTSLTGETEANLVKIGGFGTWLGCSATPKSYMDVFKKESLVYLTADSPNVINDLQSDK VYIIGGIVDRNRLKGATFDKAVAQGIQTAKLPLDKVLDMGQATRVLTVNHVFQILVDY SNVRDWTQATLSALPGRKRATPKA H257_13630 MMYPAIERAREQFLQRGCRGQVSTGRESGNPPTAPPLMLSSSSR TTRTPFMYGEQSLTTLGECLERDLQGLHAATTTSLCEVEAMFGELVSMMAQSPRTSIA LHWPDGKANANQRSLPTDASTTVAVNDKATCTSSNQLETKATQTSEPQVACVSTVDLT HINLQISLEQCLSRQLRRNKLSALGERMKLRCVVLTWRKVQLSRMQWADRAAAACAAV ACPTEEDTWMHEIRERDGKYGMAKAAHARRTCRRVLIAWFRILAGRKAEGFC H257_13631 MSSSSRVETLEDSDPEVIVIHEDDSEDDECFDMSNPMAHVKKDP SPPQAATKSAGAQGKVVQQTRKQAKAIKSKTDNTSPSQPTDPLTKAKPSVATGSASAT LSPSHSAKKPGNTNNGGPALRSPLSESDLDESFVSVQKVIASTSSTESSDVDETLPVN RTSITLSKWASRFLAPRAPAALVEDVDLQPMQDFILDDFGTRFRGDTTTSDHEHDEHA EASDGDESSRTLAVGAPIVQKSPPLGRPDKPNAPPPGNASRPRPESRYFKKDLTTKCF NCGEIGHMSNACVNSTVLRPCFMCGYRNHKSNECPHLLCHRCHEPGHELRNCPNGRQN VSFCATCGASDHISRECPPRLSDVKYVRCMVCFERGHLTCVPHSRPANKRIYCPQCCG PHPHEECYGGGRGHRGRSSYEDRSARPRYNEDNGRRRDNLPALSNKTCYNCNNTGHIA SNCPTSKPRGVKHQRFESTNDTTNYSSKRQRVDDNHHDNQSYARTHFARR H257_13632 MGIKGLMKLLTDEAPGCVKELQMSALSGRSVAIDASMALYQFLV AVRSNGEHGGAAQMLTNDAGDVTSHIQGMFNRTIRLMENGLKPVYVFDGKPPVMKGGE LAKRTERRQTAQDNLKTATEEGNVEDIEKFNKRLVRATPQHNNDCKELLALMGVPFIN APCEAEATCAALAKHNKVFASGTEDMDVMTFGTPVLFRRLTIAASRKLPILEIKLDKV LAELELSYEQFVDLCILCGCDYCDSIRGVGPKKALSAIKEHKSIENYVTHLQAHPSKG ITVPDEWLGEDAIYKQARAMFLEPDVIDMADVDIKWKDPNVDDLRQFLVDKHGFSLDR VMNAIEKLKKAKTSHSQQRMESFFTVLPSSDSKLKRKADDKKAAKAKGTAAAKRGRKK H257_13633 MSTGPERDEALSQAFFESIVASAPTTADQHDRQYLIQHLLPCLV PALTSLLRAEEEVQTRKGRGENALTIKPLDYLAKYLYRHNPRHAEPSEETKMLTRLAK KLVG H257_13634 MQNAAATGEGLTHVHVRKWRKELKHVGPGGHLEVLAWIPVVPTP TPALQSSLQHNVDTAGKSSAVVKKAQFNTRKRTAPIDGAAARMTRSLRHNTPSGSELW GQLPSNSVRPPVRKEEKKAGDDDNPSDAASGRPASNDTASEPQGSIEHVSDPSPAPTE EGVVKHEYSFADDDDDDDEGYISPLSSPDVASPSPVASPDTS H257_13635 MSIVAATLGNTPNHAKYTCTSRFAFLRLEITLSNPSADSAAVVI TSTTLKLQVASSIKQLFGTVGVATHNFDVLSVDQVPGTHGQVSSSAILRLRQESVTPL WSALALSTKFDKLPCKYHVTQVASSLVELASARYLTL H257_13636 MSLPRLKSNRERRNLSVDANIVASAMPLRSPAMRASQPPTDPSS HVASSYSLLSPRNLPASVDREASSSSSTSSSIGDGGAHHVKASSACYLAYGMVVSWMS IDRSGLLASEGLNTSELRMEPLAVHTKHALDAVDRAMTSNGQSAVNVSCQLVSTHFKD CLFEVLPKMSYDAAIRFHDARAPSHHHQTDTTDAAIFADLKFKCDSELRLNSNIYQKL HGTTVKYGQVIQLRHIKSGLFVSTASATTTAADCVEVQLSSGSSSSHFTVMPRFKLRK AGEPVQLIDQVVLTSADKVHKMYSLYVSNRKASAESAALVMASSSFTSQWRVVPFDVT NKHVSTVDKYQQDFSQGLLKAGHCVRLFHLELSSWLGGSQPRHRVCLQATMDNPAAAG DQHLPSPQRTTVLDDPNQMLSPTTLWEVERTTSFQGGVLTWSHHIYLRHVVTGLYLAV AAPPPPLGVSSTTTSPDATFVCLQREPEPFVLCPTTLGDSRSSVGLHSAVQLLHLNSS RYIHASSQELDHLEDERLADDVDERRHISTCRVTALADAQDQDAFKIVPVSQAEMDNT LVLVSYKSVFDRFVAYFRDPPTAPPADSSVLFAQVCRALDGLKRFTVSDAAQGLDHMT VLRQALLWQHRYVALLTDMLQAPFALFGGPFTLDYVSSFYIDKTHNPDEIPYDVGGIA MTLDSPDKKSNPQKDGSTTASEVSSSVGDLPPPLGFRLSLSSMHALNHVICEVNVLLF RIFYSSRAADAASCRRAMPVLVQFLGHQFLASVPLAYLIQEKLYLSESSLASFSLLIR HFLHLIKTKGKSARYLQFLVVLCSEDGHAIPKIQEKICELLFNPVHGYAGAVLLESRP LPSGRGFEICIPPSSPHAPDDGQGGGSWTPMNEFYEAYYEHGHHATLAPYFYGLLQLY SALCLDRNYTCIHSLKDKFPRSCLLATVQDSTLSRSIRAVLLNLLLVVHVDCEPLKPV PSPNYTRIWKDVDASSTATKHIPMAQDVWYTAQDWLFFDDLRELVVGQLRKTDGRIVI AEFPHNTMLLAVLRTCKKLVECGLFRTLDTLSQLVQHLVRLLDCRTDSLSPHHPVPTP PTSKSFRAPSDGTMAVSPVFQRSSESTRFDLNAQNDIIHHTQGNMLDEGIRRDSIERQ YSSRPSKRVESKSLNDHDLRPRMWTAAVRDPSRPQSSSSSPPSQFKMTKWNQVVMEIK DEVCAILLHIDTFRLDHQISTVLGVLASSKEPPTTIDKTKPKPPPPSSWWISTLWGTS RGPSEVQETPVMPSTMIADTFALSSLAHRPLDTILLQALMYEHPPLVSKALELLLQQF NQHDQVIKALNNVLLIVDEHTVQNYDKLKTDMDRLRQLAETTEVWMDLTSTADYDVAD HVCQLLHTLTAALNVGQDASAALDKTFGEGDATTTRAAPVAPPVQRHSHHPPKGGSQV ETKRLLRNLDAMQTVINMLRDGSHFFKMQFPSSVSVATHPPVDTSSVDSPHAHQSIHC SVQQQQRAIRRVFSHGMTFLLAMCVDNVVNQSFLADHVASFVEFVDELPEAQALIAAI YTHHMPLCKSVPVKVLSAFMSLLVTDVAVRANKAPNAHQPPPIRLRFAAFLRAIVHCH GVPVVDNQTLVLSQILQSPTLLAFFRRCHGIVFKHLHDDDDGGGREDAMVETFAIYTE WTGLVVACARGKDMRLKLLCAGVTPFDQVLSLLEALSSRTTTRSSRTLVHGRAWLHPF EAGVVGALNDIYLTCDAFNEHPLDDALLSRLLHTVGTLVVQSTCSHVLALASMDSEAS LTTPKPYVIFDGGNVYVDVTMASYKGPLASEVASHSFVMVFTLLLPALHLMLMHHHAA ALGKDMYASVYVMLAMALTMPTVNALSADDQDVLLEVLRVLDKQLTPMDEAGRGGGAA VVACGLEMLFYDVSSRTKRPFLGNVVANDDLSPLALIQKLYDTYGNLVVLVPPGRKPA AAIVHSATTAAAKKLSVIHDAVLLPPTTSPIRKSKGGSTMAASWWSWPGLHAAKKYFV HSKHPGQAIASSPRRTPRQKQLDKVIVVATASIDHEAAILDRYLASVQRDGATQHLLQ EELHHMIRRILSVETAMKHESETISDLPKTTTTLDDIILRLVEHFKLLKYSKLSKVSV ILLDVFSQMINSQDLSQRHAMQVRLNHLGLTSLVVTIVSSTTDPLVFDRCVALGIALL DGMNAKVQDNFYDIWVDCKATRFFDRIKHRIDKATDVMRRQAQVDDSVDVYASIKRPP HRQNVWTDTVMSSFPSSSSSLTDPTRTIVHVFRFLQLLCEGHVLKIQRVLLAQPLWHG QVNLVEATTTFLLEVHSRMTSPNLTILKQLFDTVTEFCQGPCLDAQECVANYKFISAV NELLVVVPPTTGNADAIARVRLLKGSVVIALLSLIEGRTDAIIHDRLVTELNFDTIKD NLVQVHAYFLTQYDGTYDGNSACSTDAYLTMGFNLHILMQHLKQHQPQLAQTVQPKVG RLGQEMADSDDPQKSSCLTWLGVPRRTAAAAAKRSHAKPATSLADAQKDVLYAQAYRF FQDKCGRVEILWRRLDDDDNSDDRTKHDLVHMYFPVHPICFCLTERSKARLKATINTD GDGTSKLADFFSRMPTLLHEMEYHSQLLQRRLITRLAVHTTSLRKLSFVLALALNCVV LMTFRALDSDTDPVVDIRSYVLPAFPDDGDSEIHAVLRILGTCQVILCSILFVLYAVH TAPPLVMDRWYQRKKLQLLQHKRPLRAVVASHVAIENRFPTADTRHDHHKRSLTDVED LTRLLGDRVETTPPRRHPFSVGLNIVATMWVLVGDAYMVYSGLLVVFSILGTVVHPLF FAFHLLDVVNRSQDLKNVLKAIVYPGRSLLLLVALYILVVYIFAVVGFYFFRPDYTPE RKSNPDAPLRCSTLFLCFLTTLDEGFKQNGGLGGFLVPRERGTDPLAYQRMIYDLLYN VILIIILVNISFGLIVDTFATIRTSHKDKLDGLHDRCFICSIDGYTFDRLTARGFHFH THMEHNMWHYLCLFVHINKKPVTEYDGMELALAMQMARFDVTFFPNHRAMALERTAAQ TTGDVWRHDDGDHHPSDATDDRPTASQLVRPTAQRMGMPGDHFHGKGGKGLSSPHLGP ASSQQYSVEKTLNDLVEHQVAMRARQNEMEALQRQLAAAQDSMMQLLTAKLTTPPMTL QNEARPSRPNQFTFNDTTDDQPR H257_13637 MPSSSTQVLGPAWFADVKSATAGPPSKKKVDFDRSEFVRQVKAA IESTGKVNDPGFVREVKRRRDFAIDLVQAYPHFSEAQSLQLLLGLAVDLGSQDMSLLV RSLPSMLRAHLVFQVLAAALGFNPPTDLETYKHVKRGLVPLPEQFFLLIGSVPSGYSF VLQLRSDLASCVKKFRDALSDHELHALSFLDKLMRDLFATQTGVHFRRIELKPDNREV LRVIVQNERVHAMRSFDDLARRLNGPRRQVFGVFHSNISHLPLVIVETFFTTYMPTAI DAILEPSPVHHATDMDAASLHRPPPTSSQEADTHTHHHAHDDDDSLPTHGVFYSISNM HVGLRGLNLASHLLFLTITHCSKLYPSIHTWVTLSPVPTFRAWLHAQLVAGTASLSSD TLQTIEQEFGVNRFAAPKWLLAQLDTHNTSPRFTALARRVLVRLCATYVCFARQVATH KIIDPVANFHLQNGAQVESVNFHADTADRGVSFGVMINYKYSMHLATDNTSISYQRDS TVAVSPSVMPLLINMSPPHHHHHPFLQAIQDLDHNKKHDIHVLATQFAKGTTILRRGQ LPDAVYFICLGQVRVDTVPSSILAQGQSFGDAEVVNGEPVRFSVVAMSVCHVLFVRHK DMVTLLDLVPSLRPAAPPTRIDSRL H257_13638 METQATAATYDPAQLALYQQQQQQYLQMMQQQPNSEQVRAMYGN GMMIMPPYMMHSQAMFSRMPLPGGGEMVEEEPVYVNAKQYHRIMVRRQQRAKLEAKLG NARQRKAFLHASRHKHAMRRPRGPGGRFLTKEEIAALDREEAMAAAAAAHGGGNIDKE KIV H257_13638 MYGNGMMIMPPYMMHSQAMFSRMPLPGGGEMVEEEPVYVNAKQY HRIMVRRQQRAKLEAKLGNARQRKAFLHASRHKHAMRRPRGPGGRFLTKEEIAALDRE EAMAAAAAAHGGGNIDKEKIV H257_13639 MRKIDVLDNESLKLLASEDNSSKFAVDAHHRGIQRIGDLSQMAR LYSLDVSFNELKVLENLHTAKDLKELKAYNNKLTSSAGLKTNQALEVLMLSDNAIAEI SSDFTALFKLKTLHLHGNTITRIDNLKTCRHLTYLDLSRNRIAGAWSNALQSLAALEY VNVSDNQITSIGSLENLKKLEEINLGGNLLSSLSGNYPPNLTTLRVDRNKFADLSTLP LLPNLNEFYAQGNLLTDIAAVVSRMPQLESMDIRNNRIRSLGDVVILAQLSTIEDLWI RGNPCALSDSYLSDFAAAFPTIQFIDDLSRQQIQDAPNPQKLVAAATRPPSTGRPATP LLRPSSSSSSSLARPTTADGRPLFFKPSTRAGSQAKMLSPAEVEKAQNDVRDRLHKLR HLMGKMCGPDKAAPSKGAAEVHRHTVTSSQRKTKTVGDTMHVRPLVVPADAEITTDES EGIAFINQDTDQRVETRPRDDNVVVTKVEENRKTLSQARGQAKTSDMGTDPLDGIPIR PPTSHGSTREGEIQTTEGGSTSFVTMLQVDDEKDDKGLGVRDMVVEPSALERSGTSYE DAMKLHLASALKAEDIEVDVGGEWMKDTLGEELPRVEPWRRAEQRSRPSASERGGFRL FRIPESARRFMQGATSAT H257_13639 MRKIDVLDNESLKLLASEDNSSKFAVDAHHRGIQRIGDLSQMAR LYSLDVSFNELKVLENLHTAKDLKELKAYNNKLTSSAGLKTNQALEVLMLSDNAIAEI SSDFTALFKLKTLHLHGNTITRIDNLKTCRHLTYLDLSRNRIAGAWSNALQSLAALEY VNVSDNQITSIGSLENLKKLEEINLGGNLLSSLSGNYPPNLTTLRVDRNKFADLSTLP LLPNLNEFYAQGNLLTDIAAVVSRMPQLESMDIRNNRIRSLGDVVILAQLSTIEDLWI RGNPCALSDSYLSDFAAAFPTIQFIDDLSRQQIQDAPNPQKLVAAATRPPSTGRPATP LLRPSSSSSSSLARPTTADGRPLFFKPSTRAGSQAKMLSPAEVEKAQNDVRDRLHKLR HLMGKMCGPDKAAPSKGAAEVHRHTVTSSQRKTKTVGDTMHVRPLVVPADAEITTDES EGIAFINQDTDQRVETRPRDDNVVVTKVEENRKTLSQARGQAKTSDMGTDPLDGIPIR PPTSHGSTREGEIQTTEGGSTSFVTMLQVDDEKDDKGLGVRDMVVEPSALERSGTSYE DAMKLHLASALKAEDIEVDVGGEWMKDTLGEELPRVEPWRRAEQRSRPSASERGGFRL FRIPESARRFMQGATSAT H257_13640 MSTKEPSMTPAKKHPAVAVVAGAIAGGIEMCSIWPMEMIKTNLQ LGTMKSHYTKMLPGFRYHIQTGGVLSLYRGLAPVLVGSLPKAGLRFGAFDSIKQLLAD EHGQSTPLRNLAAGMSAGAIEAILVTTPTETVKTKLIDANAGMLHGIKRILETDGIRG LYQGLTATIMKQATNTGLRFMWFSEYKARVPDLLARNGVNYDTLSPGELAIVSFVGGM TAGIFSTFGNNPFDVVKTKMQGLEGARYPNTWDCAMTILKRDGVAGFYAGVVPRLGRV IPGQGIIFMSYDTISMHVAKYLDDGTNKVTVNLSGVQSKVR H257_13641 MAPAKQAEERREDGRLVQEIRPLSCEQGLLNRADGSARFSHGST SVVASVNGPAAAKNRRQEKVDAATLEVVFKSDKGAPTARDKEYEVILRQTFETVVYLE NFPRAVISLTVQVVEDDGSLLAVAINAVNLALLDAGIPMRSLVGAITCSILDDGQLCL DPSLTEGDAATASVTAACAGKNDGILTSLTTGLLNESQYFASSEICRRAIDSVLSFVR IAQESKFQKAAA H257_13641 MAPAKQAEERREDGRLVQEIRPLSCEQGLLNRADGSARFSHGST SVVASVNGPAAAKNRRQEKVDAATLEVVFKSDKGAPTARDKEYEVILRQTFETVVYLE NFPRAVISLTVQVVEDDGSLLAVAINAVNLALLDAGIPMRSLVGAITCSILDDGQLCL DPSLTEGDVSCPAI H257_13642 MDQLQEKSKGGRPRDPIWNEFEVVTDPQKKRLCACVWCRQEMKG EPKRMLMHLTNKCVGVDFDTKQKYIMRTTETTKLQRPPKDDSASLLSQVSRIAQIAAP TSRKIVIAGGNFHSAFIHFVASLTNKPRPRVCFLPTAAGDRDATIMTFYQDCASLNVE PYVQLSFVSSSKQHQGFDEVLLSMDAIICSGGNTLNQQAIWRAQGIDIILRQAWERGI VLGGASAGSLCWFEEGTTDGRPKDLSIVKCLGFLQGSHCPHYDGEPERRSFYHKLVYS GEMKPGYACDNDAGIFFEDFSVKNILSARPDAKVYYVSVAEGKLSELELPTTHYIK H257_13643 MESTQNDVPPPLSHRPLALPPMENVSFEDDMWSNIFAEDEAGAV GGVADGGPDGPSEDSKASSHDWHHLSSDDDDDHRTNDHQPPVGTPEYWTAVSLSQADY APSHVDHQPPPNVLLSSSGGVAFETSPLRYPLVVIPVATTALSKPLCRFDGLVVVGTD GDAAVAPGRLVLSNFRSPNPMAATTSLPIASMVRDLQWVNPTIVAVAVGKDIQLVQVG AAAGVDSATTTAACFVGAPITMAHSNAIREMAVPPLGCGRDGCILSGGFDETVCVTDL EKHDVLLKFDARNVVSSVRWMPGEASHVSWTTDGGMFSIADLRVRSSTGQINFDSALA FGHVGGLYSHDYVSPTTVVVGYESGHVACLDLRKPSKESCFLVCKTPLTSVGEVRKCP ATAECALFGAGGFSLVHFHATTPDTDQWHISFASKLANACQTSGDFAMGDPNLMAVSD SAGMVSLYDVSTSSATTASLHSFGDGLVASPRSHAMSF H257_13644 MNPTMSRRRAAQLVITVVNTVLEMWLAKYREGMVGREDAMPETA RLMTSLATLVTTGNILPTGIPPGVNMYTQMHAMRDHMEHRMRCKTRFKACVWLGRRGE PHGHRSDDGDGDHGNDSKEPAPSPPSTRPIPSLKRKRDMATLVMAMTSRTS H257_13645 MKTSAVVLSIVAVVAANKTKKIKPAVLESLQQSSTVDVLVAYGY LLFLENPRGSSLYSLAKCKPGLLNGEVDCYGLTEEELYSIAALPEVDDILPARDYSAF DSPKITPKPTTTAATPTHSACNEQRDSSDDRD H257_13646 MATKHKKRGARAAFKEETTSPITKFFNPVASPKSISRVKSSPRA IEFPNAIISAAPIVLDVTQSLSPPSPRAGGARSTHATDAVGSNDDVFDVCTPLQHQRF KRRMVDQKPITPSSTSKSCMNNSLVFDIVTSLSTNDPDVANSALRSVVALGKEYPTPL LFEELLDQMQNESTYARAMAIFSSMIFVYEQASQCHTTLAFPAQWTRMNDVLCDVVLK PVDDKWLRSLLVVQFMVHYFARDMALCEQRLAATNKDWIGHTRLSVLLTATLSQNKQP RGRVQNPVILAAVGRVVALWVRVYDSGDVAKAFQVDGREGCLAATRLLEMLLRLTDQK DNAMQKLQMGLQAMQRSTRLVFLQTLQSPTYKMMLATTVLGRTTVSRCKDMEWFACIA EEASVTKALPPHRGSNEAPDDVRRFAAMHLNTYLLKSATRPVHRLLQHIVKQTS H257_13646 MATKHKKRGARAAFKEETTSPITKFFNPVASPKSISRVKSSPRA IEFPNAIISAAPIVLDVTQSLSPPSPRAGGARSTHATVTPPSTSRHALSSSLKDAVGS NDDVFDVCTPLQHQRFKRRMVDQKPITPSSTSKSCMNNSLVFDIVTSLSTNDPDVANS ALRSVVALGKEYPTPLLFEELLDQMQNESTYARAMAIFSSMIFVYEQASQCHTTLAFP AQWTRMNDVLCDVVLKPVDDKWLRSLLVVQFMVHYFARDMALCEQRLAATNKDWIGHT RLSVLLTATLSQNKQPRGRVQNPVILAAVGRVVALWVRVYDSGDVAKAFQVDGREGCL AATRLLEMLLRLTDQKDNAMQKLQMGLQAMQRSTRLVFLQTLQSPTYKMMLATTVLGR TTVSRCKDMEWFACIAEEASVTKALPPHRGSNEAPDDVRRFAAMHLNTYLLKSATRPV HRLLQHIVKQTS H257_13646 MATKHKKRGARAAFKEETTSPITKFFNPVASPKSISRVKSSPRA IEFPNAIISAAPIVLDVTQSLSPPSPRAGGARSTHATVTPPSTSRHALSSSLKDAVGS NDDVFDVCTPLQHQRFKRRMVDQKPITPSSTSKSCMNNSLVFDIVTSLSTNDPDVANS ALRSVVALGKEYPTPLLFEELLDQMQNESTYARAMAIFSSMIFVYEQASQCHTTLAFP AQWTRMNDVLCDVVLKPVDDKWLRSLLVVQFMVHYFARDMALCEQRLAATNKDWIGHT RLSVLLTATLSQNKQPRGRVQNPVILAAVGRVVALWVRVYDSGDVAKAFQVDGREGCL AATRLLEMLLRLTDQKDNAMQKLQMGLQAMQRSTRLVFLQTLQSPTYKMMLATTVLGR TTVSRCKDMEWFACIAEEASVTKALPPHRGTWLRSV H257_13646 MATKHKKRGARAAFKEETTSPITKFFNPVASPKSISRVKSSPRA IEFPNAIISAAPIVLDVTQSLSPPSPRAGGARSTHATVTPPSTSRHALSSSLKDAVGS NDDVFDVCTPLQHQRFKRRMVDQKPITPSSTSKSCMNNSLVFDIVTSLSTNDPDVANS ALRSVVALGKEYPTPLLFEELLDQMQNESTYARAMAIFSSMIFVYEQASQCHTTLAFP AQWTRMNDVLCDVVLKPVDDKWLRSLLVVQFMVHYFARDMALCEQRLAATNKDWIGHT RLSVLLTATLSQNKQPRGRVQNPVILAAVGRVVALWVRVYDSGDVAKAFQVDGREGCL AATRLLEMLLRLTDQKDNAMQKLQMGLQAMQRSTRLVFLQV H257_13647 MTTIAPTTQTFNEDIDATGVAQQRPRGVPVHADMTAATAPTTEP ADPKGKHLRKMLCQSIDDALSVFAVFVFATSTRGIADLYVLAAALITALDRHLCGFVA ADTSKISRVCIALFCARTMFDIVALREEAFVVEGKMHNELTEDLHRSP H257_13648 MVKGLVLRIASLSVKAYVGLVLEWLSVHDTPPSGKTFPPSPHCH LFFALGVRRGLKLHFASSTASVSVKPLLLPTHITSSDSAADALLAPANDALIQDHAAT HIHPFDLSFDHSAHEHVLPELLQEHQEPNEWSTDAARLDQSPFLSNVKV H257_13649 MSGGQATASAWSAWLDRLENARTSEKNLSTKIRSGNVANLGTSV FALQQSVSRLRREFDSMTSGSTTNAVATPQELRRREDLLRDLETQTRSLLAAYNNRAQ GTKGSSTLESGAQMLRVQNQIMLDQDDQLSLIGQGVSNLKQYSLSVKNETDLHVRLLD DINVDVDRATTGLEAEGDRAQILAKKSSNFRLYLAIVVLTAILVFELIIGGSK H257_13649 MSGGQATASAWSAWLDRLENARTSEKNLSTKIRSGNVANLGTSV FALQQSVSRLRREFDSMTSGSTTNAVATPQELRRREDLLRDLETQTRSLLAAYNNRAQ GTKGLVKIFSPINSCTIDDDADTWNRSSTLESGAQMLRVQNQIMLDQDDQLSLIGQGV SNLKQYSLSVKNETDLHVRLLDDINVDVDRATTGLEAEGDRAQILAKKSSNFRLYLAI VVLTAILVFELIIGGSK H257_13650 MIMPTLWAVTVAAAAAPTVDASPSESSHLGSFIRTLQEHPWTSA ILGRPLDLLSPYLRMEYALIGLAFVTMTASLLMPYLINLFVPPSSSADARAEIERAIV TARLDAASKRPNAYPPHCPVRTSKGGDAVVQRYNASLDTYTLTSSGVDVPASDVVATT VADLFIYPIKSCGGIRLTRSHVLGQGLQYDRQWLVVDDKGDFVTQRKYPSMALIQPHL TLFDPSSIRSANAITLTATNGALDPLVVPVLTRGVQRSVRVWKDRLDAIDQGDAAAAW LAKFLGNPTFRLVRLKDAFKRPCDPEFAPDHITGFADGFPILVAAAASLTALEQKLDR PLDILRFRPNIVLAGCPAWADDVYHVFDILGQDGNLRFCNVKPCSRCSVPSVNPATGA KDDEVAGTISLQDVLKAHRNGKQLGFLKGAAHEVFFGSNVVCDNTGVIAVGDVVKVLA VKTA H257_13650 MIMPTLWAVTVAAAAAPTVDASPSESSHLGSFIRTLQEHPWTSA ILGRPLDLLSPYLRMEYALIGLAFVTMTASLLMPYLINLFVPPSSSADARAEIERAIV TARLDAASKRPNAYPPHCPVRTSKGGDAVVQRYNASLDTYTLTSSGVDVPASDVVATT VADLFIYPIKSCGGIRLTRSHVLGQGLQYDRQWLVVDDKGDFVTQRKYPSMALIQPHL TLFDPSSIRSANAITLTATNGALDPLVVPVLTRGVQRSVRVWKDRLDAIDQGDAAAAW LAKFLGNPTFRLVRLKDAFKRPCDPEFAPDHITGFADGFPILVAAAASLTALEQKLDR PLDILRFRPNIVLAGCPAWADDVYHVFDILGQDGNLRFCNVKPCSRCSVPSVNPATGA KDDEVAGTISLQDVLKAHRNGKQLGFLKGAAHEVCC H257_13650 MLLLYKHCRSESSHLGSFIRTLQEHPWTSAILGRPLDLLSPYLR MEYALIGLAFVTMTASLLMPYLINLFVPPSSSADARAEIERAIVTARLDAASKRPNAY PPHCPVRTSKGGDAVVQRYNASLDTYTLTSSGVDVPASDVVATTVADLFIYPIKSCGG IRLTRSHVLGQGLQYDRQWLVVDDKGDFVTQRKYPSMALIQPHLTLFDPSSIRSANAI TLTATNGALDPLVVPVLTRGVQRSVRVWKDRLDAIDQGDAAAAWLAKFLGNPTFRLVR LKDAFKRPCDPEFAPDHITGFADGFPILVAAAASLTALEQKLDRPLDILRFRPNIVLA GCPAWADDVYHVFDILGQDGNLRFCNVKPCSRCSVPSVNPATGAKDDEVAGTISLQDV LKAHRNGKQLGFLKGAAHEVFFGSNVVCDNTGVIAVGDVVKVLAVKTA H257_13650 MEYALIGLAFVTMTASLLMPYLINLFVPPSSSADARAEIERAIV TARLDAASKRPNAYPPHCPVRTSKGGDAVVQRYNASLDTYTLTSSGVDVPASDVVATT VADLFIYPIKSCGGIRLTRSHVLGQGLQYDRQWLVVDDKGDFVTQRKYPSMALIQPHL TLFDPSSIRSANAITLTATNGALDPLVVPVLTRGVQRSVRVWKDRLDAIDQGDAAAAW LAKFLGNPTFRLVRLKDAFKRPCDPEFAPDHITGFADGFPILVAAAASLTALEQKLDR PLDILRFRPNIVLAGCPAWADDVYHVFDILGQDGNLRFCNVKPCSRCSVPSVNPATGA KDDEVAGTISLQDVLKAHRNGKQLGFLKGAAHEVFFGSNVVCDNTGVIAVGDVVKVLA VKTA H257_13651 MSAPSSPTRPSQGSPSQRSPGKTSPLRSSRENSAAPPSPPKSPP KPGVVGPREWEKSLTVKCRLCGGPKCRRCSESAALAKPTSPVTGLHADWATDSMLGMM RPSSRLFQAYRIVEQFQRLKIKAVFNLTLPGEHPYCGDGLVASGFPYDPETDLMAHNI QCYNFGWEDMTTPTLAFMVDLVKVMTGVLSCANQKLAVHCHAGFGRTGLAIACALVFQ HDISPQNATDIVRRGRPGSIQTPGQVEFIRLFYDYTHGSKLVYAMPSIHDRFTLHEMA EREGRQYIHSLRSTLGIAPPRVVSFLCKSLEAAAAASPNAQSLCMIYITHLPATSDGS MASHFEHMKGLLGTDSDVPPLGQAMVVAADELWPHKVKMNTGEFSDIPPECVGGLLLD WLEHLQVPIVQHPKVVLATGAAFNALPLLTLRTLECIFNLTRTLLTKLLSEHTHATAS TTATICDAVVGRTTMACLQLSAGAAKPYFSKVRHLLDTWTCPKPLPLNDGRIAELNLA PVDAAGRFDSPMDRGAVGHGSYSSTSLHDGETSKWDQNPHQPLSSAHASSAPHVGDSS GLPPVKPPSPAHPPPPSGAFSSSFVAANTIKNTDDAGENPVILDGMSKSTSPMLGDTE LTPSTNSSASLHSVGESKLLSPLHQSSSSPTKECSMEPLLLLSVKGSVPRSLPALHIP RKQSAAQ H257_13652 MSYHSVGVRNRVQYATQRIEQLESIDKRHWRLEENAVDNNHSAC VVMLSSYIETSLRQAKYHHGFHKAVLCVEIFKHMLFNLDREALSPLFVYCEAMEHFVA SIHKTKALDGANNAGVSPLSPSPTTTARDTLSPRFKRSGVAPMPLTIPLTPGRPKRFI ELPKQERHVLQVAFYLKDVLTKLAGSIGSKPAGKFKSHRMPVVATSMTTTTVDILPLD VVRALRAIKTKLRKNEFFADLPNLVADAGKTSKGGDSRQANTHQAFSSFFLCLHEVVR STSYHSIELADGAFDLLGEVLRAIQNYIVEVVGQRKEHRSRIRGLRALRQQLRAQFAA MEAETHDIAQEAIKLSTVLSAIEFELDVLHDECEWHAQSKRLFLDTVRYQAIQIGALR DASDQPPLVFVNADGSSKVLQPGTAILRAENVPHIRYLVQLLALSRSFVHVRLNDPRV MDANPSRKLTLNKMNHQGRAETLKMHLMNQRPRDAAAVVVDPTPPPNGNEGAAGGASP GDKSAAAAANALHKVTDAELTALSSIHECLRAVEGFMGDEARRDHSSTVSTGCQIDAS VHLLEDVNNPADSISSGGVSSGPDNSTGAVVLARELAKLPMPWRTAFSLLHDDETATL LSKDEMLHDVSAIYAAYDDMLVRPSHHDEVILNTTTLPFTAFVMSYFMVFPRKVPSTS HESSADSCIEPDAEIRQGPAWASWECARLVVSVQVHVQGAVGVDWLGYFAVFLGLSRT LTLPLPRALDAFLLARRMLYATTVMRQRHADPSSMDVIWVMAKDAMLPSAAYSLHTTA VKILGTFTRTPDVYLNVLADKSTSVDLFTEDDVGNRGVSAALVLHVDTALLVLMQAWV REHHHTLQVLQRIFGAALTHDDGSISYGEFVTMWGFIDSTIPLDRLCTIYMHAAQHDS ASACGDAATTHLIQSLYTSECFAELKKPWLGVSAMHVACPHQRVWDEGVDGLVAMWRA TRDRVLDRLGELKATTQVNLAMVHTCEERQVKLERLLAAATAVDCDDVPTAVEMAWFA CHFLQQDIHATTHAIMRQQKPNKKPHHWAPPTTSSVVSSSSKKAGVVAEVLVDRRSSV PHMQHSE H257_13652 MSYHSVGVRNRVQYATQRIEQLESIDKRHWRLEENAVDNNHSAC VVMLSSYIETSLRQAKYHHGFHKAVLCVEIFKHMLFNLDREALSPLFVYCEAMEHFVA SIHKTKALDGANNAGVSPLSPSPTTTARDTLSPRFKRSGVAPMPLTIPLTPGRPKRFI ELPKQERHVLQVAFYLKDVLTKLAGSIGSKPAGKFKSHRMPVVATSMTTTTVDILPLD VVRALRAIKTKLRKNEFFADLPNLVADAGKTSKGGDSRQANTHQAFSSFFLCLHEVVR STSYHSIELADGAFDLLGEVLRAIQNYIVEVVGQRKEHRSRIRGLRALRQQLRAQFAA MEAETHDIAQEAIKLSTVLSAIEFELDVLHDECEWHAQSKRLFLDTIGALRDASDQPP LVFVNADGSSKVLQPGTAILRAENVPHIRYLVQLLALSRSFVHVRLNDPRVMDANPSR KLTLNKMNHQGRAETLKMHLMNQRPRDAAAVVVDPTPPPNGNEGAAGGASPGDKSAAA AANALHKVTDAELTALSSIHECLRAVEGFMGDEARRDHSSTVSTGCQIDASVHLLEDV NNPADSISSGGVSSGPDNSTGAVVLARELAKLPMPWRTAFSLLHDDETATLLSKDEML HDVSAIYAAYDDMLVRPSHHDEVILNTTTLPFTAFVMSYFMVFPRKVPSTSHESSADS CIEPDAEIRQGPAWASWECARLVVSVQVHVQGAVGVDWLGYFAVFLGLSRTLTLPLPR ALDAFLLARRMLYATTVMRQRHADPSSMDVIWVMAKDAMLPSAAYSLHTTAVKILGTF TRTPDVYLNVLADKSTSVDLFTEDDVGNRGVSAALVLHVDTALLVLMQAWVREHHHTL QVLQRIFGAALTHDDGSISYGEFVTMWGFIDSTIPLDRLCTIYMHAAQHDSASACGDA ATTHLIQSLYTSECFAELKKPWLGVSAMHVACPHQRVWDEGVDGLVAMWRATRDRVLD RLGELKATTQVNLAMVHTCEERQVKLERLLAAATAVDCDDVPTAVEMAWFACHFLQQD IHATTHAIMRQQKPNKKPHHWAPPTTSSVVSSSSKKAGVVAEVLVDRRSSVPHMQHSE H257_13652 MSYHSVGVRNRVQYATQRIEQLESIDKRHWRLEENAVDNNHSAC VVMLSSYIETSLRQAKYHHGFHKAVLCVEIFKHMLFNLDREALSPLFVYCEAMEHFVA SIHKTKALDGANNAGVSPLSPSPTTTARDTLSPRFKRSGVAPMPLTIPLTPGRPKRFI ELPKQERHVLQVAFYLKDVLTKLAGSIGSKPAGKFKSHRMPVVATSMTTTTVDILPLD VVRALRAIKTKLRKNEFFADLPNLVADAGKTSKGGDSRQANTHQAFSSFFLCLHEVVR STSYHSIELADGAFDLLGEVLRAIQNYIVEVVGQRKEHRSRIRGLRALRQQLRAQFAA MEAETHDIAQEAIKLSTVLSAIEFELDVLHDECEWHAQSKRLFLDTIGALRDASDQPP LVFVNADGSSKVLQPGTAILRAENVPHIRYLVQLLALSRSFVHVRLNDPRVMDANPSR KLTLNKMNHQGRAETLKMHLMNQRPRDAAAVVVDPTPPPNGNEGAAGGASPGDKSAAA AANALHKVTDAELTALSSIHECLRAVEGFMGDEARRDHSSTVSTGCQIDASVHLLEDV NNPADSISSGGVSSGPDNSTGAVVLARELAKLPMPWRTAFSLLHDDETATLLSKDEML HDVSAIYAAYDDMLVRPSHHDEVILNTTTLPFTAFVMSYFMVFPRKVPSTSHESSADS CIEPDAEIRQGPAWASWECARLVVSVQVHVQGAVGVDWLGYFAVFLGLSRTLTLPLPR ALDAFLLARRMLYATTVMRQRHADPSSMDVIWVMAKDAMLPSAAYSLHTTAVKILGTF TRTPDVYLNVLADKSTSVDLFTEDDVGNRGVSAALVLHVDTALLVLMQAWVREHHHTL QVLQRIFGAALTHDDGSISYGEFVTMWGFIDSTIPLDRLCTIYMQRSTTVRVPVAMRP RLT H257_13653 MLHQSAVPAVCLENGVDVMLGIDEAGRGPVLGPMVYGAAYWRVQ DDAVMSSQGFDDSKALTHESRQRLFDKIKATTDMGYVTRTIDATEISHNMLTRRRNLN EMSRDAAIEMIVAVQNAGVNVKHVYVDTVGDPGWYRMFLTKHFHGKIVFTVEKKADSL FKVVSAASICAKVTRDAVVASWQYESSGLAKLDTDFGSGYPSDPKCKRWLHDALEPVF GYPNIVRFSWGTLESYNNRLVDVTWPHDKEDAPVGTQSIAAFMTTSTTKKRKRAAYFS QANLEIVQDL H257_13654 MTRCDDLEREIAAMETRLFEVKVTMDAEVVNWKRANKLHKKSGT HWRNAAKLATPASSSTPRTDSSIMPLSTSSFAENAPTSFTLRPPPPPRHKSLHALMKL NIANWTVSDVSAWLHHTNHEALVTTCIDVGITGNQLMDAHLGLSDVNLRRLFHVQHGD LRWKQLVQDVDALHRQHTTPPMGNNAPNTTPLPALTASPRRNPSSNQNQTTRALNPKP QRPRVLPAASTVPTSCAGGCGKVVHRRKEDVVHSNRSHPTRWFCSPQCQGDQQSCISA CGLETASGSARLVGLDATSCSTMSATKSTTTPRNRVTRTRKPPNRAVGGSAAFAGPTI KPTPRRERPLSPHSNLPIELVRGTSTSLNATANGASRRPPPLGHAGVPQTSADSNVMK IGGGRLSHGVTSTRGAAATVLPTPLLPMLVVASVQVHHPSSTTSRIGHIQPRPGGVAF SKYKLDDHTTPPPTFTSVFLSPVPLAASCTLSPLRTAHDDDDDKVRDHDMVLAPPSLL THLASFLRLPAWCRLSVANHTWHHISKAQDRVWKDFFDHMWVRAVDGGVDAVAHTTWT KKRRQYRRTVYSLAKQVATIAADNVHLLVHPESWHLANETDDLIVDTQRFAVGADRGN VVAAVVPAFPTHLIQTLVPEISTMGVLQGLAAGTFLCVTIRRLKLFNTIHVPPLDLWP TFVNHPLVSVTANNDEVKSTEPSWIKSLQRHGGGGGRGEEGGGPVRKFQAAVISMTQR RLRAKLKADALDRLAKRAKKHPTATAALEAIVHFSKRDSAIVSPTGLSEDPTIMGQ H257_13655 MKTSDGRLANDANGSRMQTTYVSALRVFPAGEKSDRNIMHHLPY YDMRAARQFLASEDTDSMEDYKRQCRALLKSTEKCFKKKASRLKRSVLYLSLLHHPQN TVGIVATDSLHSITFHYNTLVHALERGMTSPRRTRTTSLDSARQESLRIGLELDLMNQ SIHKELGRLHTVHSVLTTLLNHLPYGMLPVFSFLGPADVAAALSVCRSWLSMLTAYCT LSQAFSHPHERWQYWQRYLLIQTTKTSPDLDHLHIDDIVVSRVCTDDIRNIALLDQEV HRTTFFPKESMYMPALLSNSPPSGSTTYLPRIGSYSSRSPALKSLHQKLHHLLSTFAH QYPQVGYGHGMTFVGAALLSTLQYDMDASYRVFVTFMEKHGMHHLWHASPSSFGAGLP RRLCQLERCVDVYAPEVAAHLRAHSISTSMFASSWILSLFLNDRSLPPSTCMHILDTF LVEGWLAMYALYIGLIVVHSKELVVATDEASILHVLLSLPKHLAMHGLGPYRMCALTT LSPSLATTLSLEIEQEPHP H257_13656 MNKATLHFRYTSADTSSSLVMYGISDDCHRCDLVPIRPVTCAQD NATCVQLHPNTTYDFSVDGVYPMSLALRPNDEEPPVWQGSHAFMEQSEYTMQAQQLDT GDVHASIVLDTEGSPTLILTAIFVFVLLWLGSCVGLFFWKRHLASLRSDDEDDEGATS TNLAPLLPPTRPSVHTTASSIKLSATGTPTYKRIKSLDTFRGMTIFLMIFVNYGGGGY WLFNHSTWNGLTLADLVFPWFAWIMGMSMALVPPAKRTLWASGVRSVKLFALGLFINN GFDLKTWRVPGVLQSFGASYAIMSTILLGTQPTGAGPSAVPVALAMAVLVVLQLLVVY LVPVDGCPTGYVGPGGRGDHSQFVNCTGGAHRAVDVALFGAAHIFQHPTTRPVYDTPS FDPEGFLNWIMVALTTCMGYIVGTWHHPLGRSYSQKAWVLALSGLLLVVLGVALCQGR VHDGWVPVNKNLWSVSFVLMASGLGSVVFCAVYLLVDVWHMWSGAPFAYAGMNAILLY CGHELLQGYFPFSFAHDESSHTATTAANLVGAVSWLCIARVLYAKNLFMTV H257_13656 MNKATLHFRYTSADTSSSLVMYGISDDCHRCDLVPIRPVTCAQD NATCVQLHPNTTYDFSVDGVYPMSLALRPNDEEPPVWQGSHAFMEQSEYTMQAQQLDT GDVHASIVLDTEGSPTLILTAIFVFVLLWLGSCVGLFFWKRHLASLRSDDEDDEGATS TNLAPLLPPTRPSVHTTASSIKLSATGTPTYKRIKSLDTFRGMTIFLMIFVNYGGGGY WLFNHSTWNGLTLADLVFPWFAWIMGMSMALVPPAKRTLWASGVRSVKLFALGLFINN GFDLKTWRVPGVLQSFGASYAIMSTILLGTQPTGAGPSAVPVALAMAVLVVLQLLVVY LVPVDGCPTGYVGPGGRGDHSQFVNCTGGAHRAVDVALFGAAHIFQHPTTRPVYDTPS FDPEGFLNWIMVALTTCMGYIVGTWHHPLGRSYSQKAWVLALSGLLLVVLGVALCQGR VHDGWVPVNKNLWSVSFVLMASGLGSVVFCAVYLLVDVWHMWSGAPFAYAGMNAILLY CGHELLQGYFPFSFAHDESSHTATTAANLVGAVRYRHPTWQHPNHICDGVV H257_13656 MNKATLHFRYTSADTSSSLVMYGISDDCHRCDLVPIRPVTCAQD NATCVQLHPNTTYDFSVDGVYPMSLALRPNDEEPPVWQGSHAFMEQSEYTMQAQQLDT GDVHASIVLDTEGSPTLILTAIFVFVLLWLGSCVGLFFWKRHLASLRSDDEDDEGATS TNLAPLLPPTRPSVHTTASSIKLSATGTPTYKRIKSLDTFRGMTIFLMIFVNYGGGGY WLFNHSTWNGLTLADLVFPWFAWIMGMSMALVPPAKRTLWASGVRSVKLFALGLFINN GFDLKTWRVPGVLQSFGASYAIMSTILLGTQPTGAGPSAVPVALAMAVLVVLQLLVVY LVPVDGCPTGYVGPGGRGDHSQFVNCTGGAHRAVDVALFGAAHIFQHPTTRPVYDTPS FDPEGFLNWIMVALTTCMGYIVGTWHHPLGRSYSQKAWVLALSGLLLVVLGVALCQGR VHDGWVPVNKNLWSVSFVLMASGLGSVVFCAVYLLVDVWHMWSGAPFAYAGMNAILLY CGVSLPYKYILQYVHACLTILTMDDILHMRHRLWDTPPL H257_13656 MEQSEYTMQAQQLDTGDVHASIVLDTEGSPTLILTAIFVFVLLW LGSCVGLFFWKRHLASLRSDDEDDEGATSTNLAPLLPPTRPSVHTTASSIKLSATGTP TYKRIKSLDTFRGMTIFLMIFVNYGGGGYWLFNHSTWNGLTLADLVFPWFAWIMGMSM ALVPPAKRTLWASGVRSVKLFALGLFINNGFDLKTWRVPGVLQSFGASYAIMSTILLG TQPTGAGPSAVPVALAMAVLVVLQLLVVYLVPVDGCPTGYVGPGGRGDHSQFVNCTGG AHRAVDVALFGAAHIFQHPTTRPVYDTPSFDPEGFLNWIMVALTTCMGYIVGTWHHPL GRSYSQKAWVLALSGLLLVVLGVALCQGRVHDGWVPVNKNLWSVSFVLMASGLGSVVF CAVYLLVDVWHMWSGAPFAYAGMNAILLYCGHELLQGYFPFSFAHDESSHTATTAANL VGAVSWLCIARVLYAKNLFMTV H257_13657 MLDTTNRYGTDVHEHEILLSSAGQQVMMAWEREYMEKCVDALGI TPTSDVLEIGFGLAYSATHIQSYSPKSHTIIECDPVSLVELEVWAKTRPNVVIVAGTW QTVLASLGSFDCIFFDDYPLPQTERDESIFSISRWHDFLDATLNWHVNVGGRVTGYLA REIDLSRDGCHVAMTPFEVQVPLNCTYFPYKTALVPLVTLVERKPSITTYALRHDDMK EHAAEHKVVTSHPKLVQLRRRLDLQRSMERAADTSSPPCRPITQMPREDFIRHLKAAA NAAKKQVDVAKSDDDIPLEGHRKRSDDRVIG H257_13657 MLDTTNRYGTDVHEHEILLSSAGQQVMMAWEREYMEKCVDALGI TPTSDVLEIGFGLAYSATHIQSYSPKSHTIIECDPVSLVELEVWAKTRPNVVIVAGTW QTVLASLGSFDCIFFDDYPLPQTERDESIFSISRWHDFLDATLNWHVNVGGRVTGYLA REIDLSRDGCHVAMTPFEVSSSFHSPVTNSSTKLGASASELHLLPIQNCIGATGDLGR AQAEHHYIRPAS H257_13658 MAMIFLSGLAMSSYFLALCYMLMTFMGISKLCSNKNLSYSRTTQ LLMVSVSLGCLFRAATFSTLCFLDFQHTESGLSPLSSPVHVPEVTAPEESDLSFYNKV VAVLFNLPDYLFVSSYLLVVLLWAETYQSSRRHWFSAEQFHRRWMIFYLIFNGLLYLT QVVLYALLFLRADPSAIAGIYENEEGTQLALVPTLIFDCVAAADLCLPLIIFITWVYL TLTLSGFPYKSHHAQIKLAKIGRLAMAWSLGRILYSVMMLLTFTRGWFNVGKNNATTQ SMLLVALFMAAEILPIYILIDADLLRLLSMDAAYEQILEP H257_13659 MRGLDSCLSIRCGDKLWFSRGDEQRDGGDVGACKRSLVLPSSGL TSHCCNSIVAVEDWLAGSRHSCRPCCTCPEDALSISGICGATCAGAGLLVGRRGGGGT LSEEAIGTDMTVIDDVDGERSGRGRRRTVTRSRSFEFVPRVVGARYCGVEFADCLVIL DNTMRSICVSRICSIQSCVCLVIVNSAGTFNAC H257_13660 MSTLTNQNKAPAWWVEALPAWHASLVQERAHMEALFNATCKQVE FMLATYEAIEIATKGSGIHVDDSTIQQPKVNRLAKTRDTRYPFLAYATVCSEGSSLST CSALHQLVHTGVEHATLHAAMANPTLEMADRLGETARAKWKQVHVDSTGHRMAFATAL RDRVHSLLRWLRHTSLKTADAAPALDVCLPSPLEMLASTPDVDDVAGGGGPIPSTIDD DVARYRDWASHERFNIDQAHAMQVHNAEREWGAYHAYLTAQVTAELASCDSMDNVHVA AKKRTLVRNIFASAMETGEQRKLDAMRHLEMQHRDLHIQIDAKELEFKLVVLVREQAT AEFHRLDRWIHTSISTLQDAARGSSWVSDTSPLPSWLVDIAQGAPTPPRPLPALQKQL SIHRTKPSSFGPSSRQSRHRLPTGTSSSMPTLSR H257_13660 MSTLTNQNKAPAWWVEALPAWHASLVQERAHMEALFNATCKQVE FMLATYEAIEIATKGSGIHVDDSTIQQPKVNRLAKTRDTRGVEHATLHAAMANPTLEM ADRLGETARAKWKQVHVDSTGHRMAFATALRDRVHSLLRWLRHTSLKTADAAPALDVC LPSPLEMLASTPDVDDVAGGGGPIPSTIDDDVARYRDWASHERFNIDQAHAMQVHNAE REWGAYHAYLTAQVTAELASCDSMDNVHVAAKKRTLVRNIFASAMETGEQRKLDAMRH LEMQHRDLHIQIDAKELEFKLVVLVREQATAEFHRLDRWIHTSISTLQDAARGSSWVS DTSPLPSWLVDIAQGAPTPPRPLPALQKQLSIHRTKPSSFGPSSRQSRHRLPTGTSSS MPTLSR H257_13660 MLATYEAIEIATKGSGIHVDDSTIQQPKVNRLAKTRDTRYPFLA YATVCSEGSSLSTCSALHQLVHTGVEHATLHAAMANPTLEMADRLGETARAKWKQVHV DSTGHRMAFATALRDRVHSLLRWLRHTSLKTADAAPALDVCLPSPLEMLASTPDVDDV AGGGGPIPSTIDDDVARYRDWASHERFNIDQAHAMQVHNAEREWGAYHAYLTAQVTAE LASCDSMDNVHVAAKKRTLVRNIFASAMETGEQRKLDAMRHLEMQHRDLHIQIDAKEL EFKLVVLVREQATAEFHRLDRWIHTSISTLQDAARGSSWVSDTSPLPSWLVDIAQGAP TPPRPLPALQKQLSIHRTKPSSFGPSSRQSRHRLPTGTSSSMPTLSR H257_13660 MLATYEAIEIATKGSGIHVDDSTIQQPKVNRLAKTRDTRGVEHA TLHAAMANPTLEMADRLGETARAKWKQVHVDSTGHRMAFATALRDRVHSLLRWLRHTS LKTADAAPALDVCLPSPLEMLASTPDVDDVAGGGGPIPSTIDDDVARYRDWASHERFN IDQAHAMQVHNAEREWGAYHAYLTAQVTAELASCDSMDNVHVAAKKRTLVRNIFASAM ETGEQRKLDAMRHLEMQHRDLHIQIDAKELEFKLVVLVREQATAEFHRLDRWIHTSIS TLQDAARGSSWVSDTSPLPSWLVDIAQGAPTPPRPLPALQKQLSIHRTKPSSFGPSSR QSRHRLPTGTSSSMPTLSR H257_13660 MANPTLEMADRLGETARAKWKQVHVDSTGHRMAFATALRDRVHS LLRWLRHTSLKTADAAPALDVCLPSPLEMLASTPDVDDVAGGGGPIPSTIDDDVARYR DWASHERFNIDQAHAMQVHNAEREWGAYHAYLTAQVTAELASCDSMDNVHVAAKKRTL VRNIFASAMETGEQRKLDAMRHLEMQHRDLHIQIDAKELEFKLVVLVREQATAEFHRL DRWIHTSISTLQDAARGSSWVSDTSPLPSWLVDIAQGAPTPPRPLPALQKQLSIHRTK PSSFGPSSRQSRHRLPTGTSSSMPTLSR H257_13660 MANPTLEMADRLGETARAKWKQVHVDSTGHRMAFATALRDRVHS LLRWLRHTSLKTADAAPALDVCLPSPLEMLASTPDVDDVAGGGGPIPSTIDDDVARYR DWASHERFNIDQAHAMQVHNAEREWGAYHAYLTAQVTAELASCDSMDNVHVAAKKRTL VRNIFASAMETGEQRKLDAMRHLEMQHRDLHIQIDAKELEFKLVVLVREQATAEFHRL DRWIHTSISTLQDAARGSSWVSDTSPLPSWLVDIAQGAPTPPRPLPALQKQLSIHRTK PSSFGPSSRQSRHRLPTGTSSSMPTLSR H257_13661 MRAESQLPVETSSPSSSPPLASDSTATEAPSPSSMTFTSYTDVT SSVVIDVLHRHVQDTDDKTRNGKSTTPLSSPTRATPGRWKIAAVYAAFIVFGLGSWIM TNSVFIETAALFQQVPEKAAISAYLIVALQAANIFPTLYMVFNSDQQLFSIRSAIWVL LALGVVTCLLLSQFWDITSVFWGHEHSSALLALVFFGGAVSATTTVVYYPFVATFPPV FTSALSTGEGLSGVVAGVLGIWQDPGASTMHLSVSHFFTCAAGVFGIAMVAYWFLATS TISAQVQHSKYNEDPGEFECKFTPPTKPRTSSSSSSRTKTSINGESIPLVSNPPQRPP HIFLSSTHRRDYVLRNLWKPLMFQVLLCAMSFGVIPSIMPFLGSKYMFSAQVLKWSSV LSMACDPLARFLTSFYRWYNVTALSALTLFLGVTMVLSSTSSNPLFSTFTYGGIAPVA ANCSFVFLFAYTQTMVYLTLKREVRGNEAYAKTAYQWSGFMTQMGALGGTVIIFPLVT YTTWFQPSYGA H257_13661 MRAESQLPVETSSPSSSPPLASDSTATEAPSPSSMTFTSYTDVT SSVVIDVLHRHVQDTDDKTRNGKSTTPLSSPTRATPGRWKIAAVYAAFIVFGLGSWIM TNSVFIETAALFQQVPEKAAISAYLIVALQAANIFPTLYMVFNSDQQLFSIRSAIWVL LALGVVTCLLLSQFWDITSVFWGHEHSSALLALVFFGGAVSATTTVVYYPFVATFPPV FTSALSTGEGLSGVVAGVLGIWQDPGASTMHLSVSHFFTCAAGVFGIAMVAYWFLATS TISAQVQHSKYNEDPGEFECKFTPPTKPRTSSSSSSRTKTSINGESIPLVSNPPQRPP HIFLSSTHRRDYVLRNLWKPLMFQVLLCAMSFGVIPSIMPFLGSKYMFSAQVLKWSSV LSMACDPLARFLTSFYRWYNVTALSALTLFLGVTMVLSSTSSNPLFSTFTYGGIARTC LRHLCLDYHDDVIKMVAPTCLDDSHTYMMIYVYV H257_13661 MRAESQLPVETSSPSSSPPLASDSTATEAPSPSSMTFTSYTDVT SSVVIDVLHRHVQDTDDKTRNGKSTTPLSSPTRATPGRWKIAAVYAAFIVFGLGSWIM TNSVFIETAALFQQVPEKAAISAYLIVALQAANIFPTLYMVFNSDQQLFSIRSAIWVL LALGVVTCLLLSQFWDITSVFWGHEHSSALLALVFFGGAVSATTTVVYYPFVATFPPV FTSALSTGEGLSGVVAGVLGIWQDPGASTMHLSVSHFFTCAAGVFGIAMVAYWFLATS TISAQVQHSKYNEDPGEFECKFTPPTKPRTSSSSSSRTKTSINGESIPLVSNPPQRPP HIFLSSTHRRDYVLRNLWKPLMFQVLLCAMSFGVIPSIMPFLGSKYMFSAQVLKWSSV LSMACDPLARFLTSFYRWYNVTALSALTLFLGVRCHIYIYMAWQRPLYPSWHIPTDCN VSIYWDSGAGCIYPFFPCCFYR H257_13661 MRAESQLPVETSSPSSSPPLASDSTATEAPSPSSMTFTSYTDVT SSVVIDVLHRHVQDTDDKTRNGKSTTPLSSPTRATPGRWKIAAVYAAFIVFGLGSWIM TNSVFIETAALFQQVPEKAAISAYLIVALQAANIFPTLYMVFNSDQQLFSIRSAIWVL LALGVVTCLLLSQFWDITSVFWGHEHSSALLALVFFGGAVSATTTVVYYPFVATFPPV FTSALSTGEGLSGVVAGVLGIWQDPGASTMHLSVSHFFTCAAGVFGIAMVAYWFLATS TISAQVQHSKYNEDPGEFECKFTPPTKPRTSSSSSSRTKTSINGESIPLVSNPPQRPP HIFLSSTHRRDYVLRNLWKPLMFQVLLCAMSFGVIPSIMPFLGSKYMFSAQVLKWSSV LSMACDPLARFLTSFYRWYNVTALSALTLFLGVRCHIYIYMAWQRPLYPSWHIPTDCN VSIYWDSGAGCIYPFFPCCFYR H257_13662 MSVEFEAPWVEKYRPSTLSEIVGNSETVNRLQVIAKEGNMPNII ISGPPGIGKTTSILCLARDLLGDALMKTAVLELNASDDRGIDTVRNKIKMFAMQKVTL PPNRQKIVILDEADSMTTAAQQALRRTMEVFSSTTRFALACNNSTKIIEPIQSRCAIL RYTRLKDEMILERLVHVCDAEKVGYNHDGMEALIFTAEGDMRNALNNCQATFSGFGYI SDANVFKVCDQPHPTVVKEIIDACVQGDMVTAEKAMVALWKSGYSALDIIGTVFRVAK STDMDESLKLDFVKLIGQAHMCVADGLTTLVQLHGLVARLCAAATVAIQAKNSKPSTA H257_13663 MFVPLSSGEEHFLRQEESQRRRKQRLIEVRRQEKRIAQEQAQWY KAQLKQTHNRKRAARMQVAEVEKSVVLSSLHAKYNASLAAIGDAHTLATELNSSLQAR AKRQLEVLAHNDGVEDQRFSSAVEDALEDVRRRTARERIARENMAKIHEIASRQRAHA EKVQRHKLERDERERQHRDAMARAVEARREHTTVSPTSVAPVQVHESMLHHSRLHAMT HTTSSSVTRNRIVRHNVHHAAAMDAWNEGRRKRHEVDQATHAKFMAAEAQTADARDRG AVAERQMVDDLDAKTTLQWLQDADRVYTQQTAARQRDLRYVMHRSARERQTLERATEA AFEATFSRSGFEGEAAPSPPSQPSTRVWNVNQDGQMEDVDEEAADGQAPRRRLLDVMP AAPPTAAPHRNRTTTEPEHVPNRRRRRPPPEVSSAVYYPQMDDHISSTSVLRADKAGR RAWPLVMPSPRQPSPASNGDDTGTVGVEDYERPSALGKTVHGPAVDTIQDNDAVASPK QSMTLTTDPAEIGTNGRLYEGEPTSAESPHGSVWSSSSSSTSLDYDDDGDTPIPPLPP VEATIAGRLSPALSPPVEFTTEQHPIISSVQPNESLQPAAALSPRHAPSLSSLSPSSN HSISSSSSRRGALTKDLSCVRSSSSSSSLSEPAIATQMNDAASSLDPSTLEGIEGPST LTTSHDMTTTFTAASGDEPPTSPSVSTRMLVSSGVISSQQSSPPHDDATSVALQHLSG SPTRVVVVVPPTSSPPSSGVDKGTQVVHPADITVPERSLPPPALVCRTDKEAKWDAAD VRLSITFDTAAPPPLRDDFCHTSNGHTSHLRRGSPSWHGDHEFHAAASSSDDDDNADD DTDLPCFDLNSSTYSSEGYAWDPHAPASPLQPPRHQSESAPTLTFPPAFHPTVRTLRR MSSLSNSSSSSSLSSSSSSTVFSATAARLPQSSSALQRDRQRLQDLLGSSSSSSSSSA YSTKEQHDKEQHDAMQYAVGRMRQSVHDLSNMSTSLVPTTSSSRRDVANEEEEDMEVV PITREEKNDPSTVRGHQRERNSDDNPPDSMMLAWKSATTAPLINMDLSMASSVAPSSP MSSSSSLSSWSKKTSEPLASQHSFFHDDDDDHPSHDIMAGKASAADAPLVLQWLQHAP SLSSDENDRYDGRAGRPYPPVFGDDDMPVGADDLHRRHATETSSGAHDQLHHLDDVHT SHHGVATTRDIHHLNFEDDDDDGWLEGKRSLHTSQMSSSSSSSSVADLARAAIVDGRR LSHIENHIAVPMSAQVVLTQVRPRSPLDAGRWLHDPLQPAMHKTTEELDDMATSSLVD HPSTATTMSATAHVHTQPGSNRNIVAPNSEQSLPSPGPWPPRAMHHQPTGFLPAESPA PLERRPPLDAKSTECTGDDGGFYSPARDMQTAVQVMVEDDAQSLLSIQSMQSSSHGRS SSSSSMSLDDTSTLVRQSLGADASSSPSVRSPSTNPAMSLNHPPLSSPARPPPRVTEP HDPFPNSAPSSSASSGGHVNVAEDVVLVALGSTSLPLPSSSLLSHRGNEGALSTIIPQ PPQQATTTTHDVSAIYGSAWKVQFGAARTHNPPKDAKDIIQRGVMLTTIHSSSSPAVD ASSIGATAMQSVRMSDIHAALPPASAPLARLQPSPHSPPTAASIHPTTHDATRRAPST STKEEACSSRVIVYPPLYSSTSEDFVPPPPSFNRHSMRPPSPPLPSSPSYEPDDSASY EEEDAVQRMPATLLQSTGLGGSSRRPMGLYERGDTGDDSTCEPYWSMSYRGDGRGMPE LLPPMPFPFPMDMSVPPPPPPLEPSSFVYVGPNTNNDDQEDDMSSSPEPVSIADHLRL RNPALYHRMSQRKMPRPTPSRGGTTPDEQRAQQSSRGSTDDPRTHTTPSATEHILMPP SQDTTRPPPSQRRSRVREGRDVISPAEMKARNQRLYEKLPEVVEQKRQDEIARQRIDR LRKLREDDKARRHAARRGTHR H257_13663 MFVPLSSGEEHFLRQEESQRRRKQRLIEVRRQEKRIAQEQAQWY KAQLKQTHNRKRAARMQVAEVEKSVVLSSLHAKYNASLAAIGDAHTLATELNSSLQAR AKRQLEVLAHNDGVEDQRFSSAVEDALEDVRRRTARERIARENMAKIHEIASRQRAHA EKVQRHKLERDERERQHRDAMARAVEARREHTTVSPTSVAPVQVHESMLHHSRLHAMT HTTSSSVTRNRIVRHNVHHAAAMDAWNEGRRKRHEVDQATHAKFMAAEAQTADARDRG AVAERQMVDDLDAKTTLQWLQDADRVYTQQTAARQRDLRYVMHRSARERQTLERATEA AFEATFSRSGFEGEAAPSPPSQPSTRVWNVNQDGQMEDVDEEAADGQAPRRRLLDVMP AAPPTAAPHRNRTTTEPEHVPNRRRRRPPPEVSSAVYYPQMDDHISSTSVLRADKAGR RAWPLVMPSPRQPSPASNGDDTGTVGVEDYERPSALGKTVHGPAVDTIQDNDAVASPK QSMTLTTDPAEIGTNGRLYEGEPTSAESPHGSVWSSSSSSTSLDYDDDGDTPIPPLPP VEATIAGRLSPALSPPVEFTTEQHPIISSVQPNESLQPAAALSPRHAPSLSSLSPSSN HSISSSSSRRGALTKDLSCVRSSSSSSSLSEPAIATQMNDAASSLDPSTLEGIEGPST LTTSHDMTTTFTAASGDEPPTSPSVSTRMLVSSGVISSQQSSPPHDDATSVALQHLSG SPTRVVVVVPPTSSPPSSGVDKGTQVVHPADITVPERSLPPPALVCRTDKEAKWDAAD VRLSITFDTAAPPPLRDDFCHTSNGHTSHLRRGSPSWHGDHEFHAAASSSDDDDNADD DTDLPCFDLNSSTYSSEGYAWDPHAPASPLQPPRHQSESAPTLTFPPAFHPTVRTLRR MSSLSNSSSSSSLSSSSSSTVFSATAARLPQSSSALQRDRQRLQDLLGSSSSSSSSSA YSTKEQHDKEQHDAMQYAVGRMRQSVHDLSNMSTSLVPTTSSSRRDVANEEEEDMEVV PITREEKNDPSTVRGHQRERNSDDNPPDSMMLAWKSATTAPLINMDLSMASSVAPSSP MSSSSSLSSWSKKTSEPLASQHSFFHDDDDDHPSHDIMAGKASAADAPLVLQWLQHAP SLSSDENDRYDGRAGRPYPPVFGDDDMPVGADDLHRRHATETSSGAHDQLHHLDDVHT SHHGVATTRDIHHLNFEDDDDDGWLEGKRSLHTSQMSSSSSSSSVADLARAAIVDGRR LSHIENHIAVPMSAQVVLTQVRPRSPLDAGRWLHDPLQPAMHKTTEELDDMATSSLVD HPSTATTMSATAHVHTQPGSNRNIVAPNSEQSLPSPGPWPPRAMHHQPTGFLPAESPA PLERRPPLDAKSTECTGDDGGFYSPARDMQTAVQVMVEDDAQSLLSIQSMQSSSHGRS SSSSSMSLDDTSTLVRQSLGADASSSPSVRSPSTNPAMSLNHPPLSSPARPPPRVTEP HDPFPNSAPSSSASSGGHVNVAEDVVLVALGSTSLPLPSSSLLSHRGNEGALSTIIPQ PPQQATTTTHDVSAIYGSAWKVQFGAARTHNPPKDAKDIIQRGVMLTTIHSSSSPAVD ASSIGATAMQSVRMSDIHAALPPASAPLARLQPSPHSPPTAASIHPTTHDATRRAPST STKEEACSSRVIVYPPLYSSTSEDFVPPPPSFNRHSMRPPSPPLPSSPSYEPDDSASY EEEDAVQRMPATLLQSTGLGGSSRRPMGLYERGDTGDDSTCEPYWSMSYRGDGRGMPE LLPPMPFPFPMDMSVPPPPPPLEPSSFVYVGPNTNNDDQEDDMSSSPEPVSIADHLRL RNPALYHRMSQRKMPRPTPSRGGTTPDEQRGMHTCSRLHVHSLCVA H257_13664 METTPMPTEGGGGMPAALDITPRPCPFDFVLGDRTKRSLHLHNP SFHVPLHFKIQCNVSSRFRLQPSKGMLQPRGTITVYIQLSPQMSTEADCVFLVLSVPS PDNSVDDDVWKRGTSVMISKQYISSRIVNVSAPDKADEVRPSPPPPSEPPLVLAPPSL IMTLLMKNKSKHPTNQLTASEIACLRHRKLHTPSDEWSNLMSQAATKRKLLQKQRQFL ATAQLPPPVRPFPFNYDTPVEVLSPPDSPCRRSDTSATSFPSNMRRLSHQVPIGLGER SGSTTCSSSSTCDSTTGSRTLYEDVKGDGSGPNKDTDDEQQRQAYSMWTRYMLDVCVQ QLEQVDEVCGDLVRDTSQSEKAQAKLLALKKSAHTLVKLCHTSPFLLDGHAQGSSCTS SPLSSRRSQFPSR H257_13665 MEKSTGILLLSEVSQLPSTTVMTNHIGCAGHPQLVHHDQLESAS GSGFCRVPQCPWSGLVHAVGRRRTCASRYCTSMMAYSCIDLRRIDGAVIPLGALKRQN MDGNRAAHVHLGTGLDYNEYVIFNPAQTRMRFLVAINFCFDV H257_13666 MARGGKRRSQHFYRTTYYNSDTTHDRQTPPRKKAKVSSPVNKRV PVDFAFAAAVGGDVTGATVFQQDGCIYDAHLHQASLSSRRHATCLLQVVATSYGYYVW QRHNSWHEDDPTSNDVTSTDLSPLFVSTSLAIDSFTSEFEQKTGVAWVDRVNNVTRLQ VDPFDGLYCYVAMEYPSTHSLALLDHRCLGPSLHTDVRKLMSILYKDDQDRHVIKPFD TIPVPMPLPLPYAQVAIELAHSILDQVEATLQAKGPRGRRAKLLGWSNRYFSAIPHTY PPRVMLDSMEKVENCRETLKELHMTSPSRSFLHHLPPLDNLEQKYAALGVDLEVVPKE SLEYDLVATYLHNSKDHVQYEMKIQAVYRVMKEDETMAFKRFERFGNRKLLWHGSSMT NWPGILKDGESSYVTIAFKLPRRT H257_13667 MVVRLRLARWGRVHSPFYRIVAADARYPRDGRHLEILGTYNPLA ATDGVKELRVNNDRVKYWLSVGAQPSDRVSFLLGLANVLPIPPSREYTKKNQSKQEEK AK H257_13668 MRRLHGRMGQHVARISRQDMSTLARWQQNSMMTIVAMPQRSFST DVPKKEHGFRPQIHRLLYSSNTTLEEVFTMLASRVAPYDSKGSDAFFYKCLQHDSLSP SFFHDVVSYFDRFLHDDLVGPSDGAMASVVALLIKHGHLDHAESSLRVRLDRFPNLPP HFRVHAPFMEHYIAVGALELAWKCWESIKSSSTMHLPPDTVGPILASFALAALQHNDE HLLRKIMQDLHALRYQFSPADASAWEAAWHPPSPTAQPHIAAPMGQWSLGRHAQQLPV CTQCREPLEKLTVRPAELAHLLEVVRAMCVAASSSRHTSKQQHHAAAEANKKLTALAD FEAWLSRRHAQVAPGKMHYIVDGPNVAYLNQNFEGGAFRFDYVDKVITELEAQGHVVS VTMPSIYFNEKSLLSVKASTANRRQRKEGKVFHRTRTNADKAFLDKWEATDVAFKCRR EVAPDDLFWLYASLFLACPPQQHNVRVVTNDIMRDHIVVLTDRYHISRDLIDRWRDNT LVGVRILDRNLKPDGVVARSSSYQSSAASSTSSPLQMEILDTLPYSLVVQGKGTPSYH VPVVSTSSAVEWLCLTRAAKHHGT H257_13668 MRRLHGRMGQHVARISRQDMSTLARWQQNSMMTIVAMPQRSFST DVPKKEHGFRPQIHRLLYSSNTTLEEVFTMLASRVAPYDSKGSDAFFYKCLQHDSLSP SFFHDVVSYFDRFLHDDLVGPSDGAMASVVALLIKHGHLDHAESSLRVRLDRFPNLPP HFRVHAPFMEHYIAVGALELAWKCWESIKSSSTMHLPPDTVGPILASFALAALQHNDE HLLRKIMQDLHALRYQFSPADASAWEAAWHPPSPTAQPHIAAPMGQWSLGRHAQQLPV CTQCREPLEKLTVRPAELAHLLEVVRAMCVAASSSRHTSKQQHHAAAEANKKLTALAD FEAWLSRRHAQVAPGKMHYIVDGPNVAYLNQNFEGGAFRFDYVDKVITELEAQGHVVS VTMPSIYFNEKSLLSVKASTANRRQVHRRLCLVTDMGSIIAQGRQSVSPHTHQRGQSF PGQVGSHRRGVQMPPRSGPRRLVLAVRELVLGMPPPTAQCARRHQRHHARPYRRAHGP VSHFPRPYRPVA H257_13668 MRRLHGRMGQHVARISRQDMSTLARWQQNSMMTIVAMPQRSFST DVPKKEHGFRPQIHRLLYSSNTTLEEVFTMLASRVAPYDSKGSDAFFYKCLQHDSLSP SFFHDVVSYFDRFLHDDLVGPSDGAMASVVALLIKHGHLDHAESSLRVRLDRFPNLPP HFRVHAPFMEHYIAVGALELAWKCWESIKSSSTMHLPPDTVGPILASFALAALQHNDE HLLRKIMQDLHALRYQFSPADASAWEAAWHPPSPTAQPHIAAPMGQWSLGRHAQQLPV CTQCREPLEKLTVRPAELAHLLEVVRAMCVAASSSRHTSKQQHHAAAEANKKLTALAD FEAWLSRRHAQVAPGKMHYIVDGPNVAYLNQNFEGGAFRFDYVDKVITELEAQGHVVS VTMPSIYFNEKSLLSVKASTANRRQRKEGKVFHRTRTNADKAFLDKWEATDVAFKCRR EVHVDEPSSLLTALWMQLGGPRRLVLAVRELVLGMPPPTAQCARRHQRHHARPYRRAH GPVSHFPRPYRPVA H257_13668 MRRLHGRMGQHVARISRQDMSTLARWQQNSMMTIVAMPQRSFST DVPKKEHGFRPQIHRLLYSSNTTLEEVFTMLASRVAPYDSKGSDAFFYKCLQHDSLSP SFFHDVVSYFDRFLHDDLVGPSDGAMASVVALLIKHGHLDHAESSLRVRLDRFPNLPP HFRVHAPFMEHYIAVGALELAWKCWESIKSSSTMHLPPDTVGPILASFALAALQHNDE HLLRKIMQDLHALRYQFSPADASAWEAAWHPPSPTAQPHIAAPMGQWSLGRHAQQLPV CTQCREPLEKLTVRPAELAHLLEVVRAMCVAASSSRHTSKQQHHAAAEANKKLTALAD FEAWLSRRHAQVAPGKMHYIVDGPNVAYLNQNFEGGAFRFDYVDKVITELEAQGHVVS VTMPSIYFNEKSLLSVKASTANRRQVHRRLCLVTDMGSIIAQGRQSVSPHTHQRGQSF PGQVGSHRRGVQMPPRSTR H257_13669 MFLACYLLTPADPKKHMRMSYIGFTVSPKRRIRQHNGELVQGAK KTFKHRPWEMLLVVYGFPTKELALQFEWVWQHPYNSRFTKPTMGHLKTDKSLGPMRSI QRKVRELHLVLNLAPWSDLSLTLSYTSHDMLEWSRTLNAFRLPPVMRTVCSPLDDLPT TTSDDDADDDAAIKCDECSQPIACRDEPMLHCYVNSCAMKCHVACLSKRFQAHHENLA FVPQVGTCPLCLNELTWSRLLTEAINPVHSKSHGHTVTPSSSTQQKKPRRQQLPPTPS SSPSSSSSRSIMQVELAQRRRRRRRLGEQEPLDRQDDDDMATTTDMARLSDDRQRLTV NTSSCALSPPSLEQLLPSPAGRRREELYQTQPVIFPGSPIWHDGPTTNDEGYDAVRRR GGRPRFSRIQSQSSKQHTGRVSGPQQHSMTELKGDDEWECVVVFGLVGVLWVVGVCLS LFLVRFPVHVYTAQAILIVLGPLMPLYWLVRQLFQCASHMRPKIDDNNDDDM H257_13670 MVFAKIHVENPVVDLDGDEMTRIIWQLIKDKLIFPFLDLKTEYY DLGVEHRDATNDQVTLDAARAISRHNVGIKCATITPDEQRVQEFKLKEMWKSPNGTLR NELGGTVFREPILCKNIPKLVPGWKEPIIIGRHAFGDQYKATDFVAPGKGTFKMTFVP DDASQQSQEWTVYHFNDAAGGVGMGMYNTKESILGFARASLEYALDRKMPLYLSTKNT ILKRYDGQFKDVFQDLYDTEYAAKYKAAGIWYEHRLIDDMVAQVLKSKGGFVWACKNY DGDVQSDILAQGFGSLGLMTSVLLTPDGKTMEAEAAHGTVTRHFRVHQKGGETSTNSI ASIFAWTRGLLHRAALDQNAALKTFCEQLEATVIETVENGQMTKDLALLIHEDKMERK HWLNTFEFLDAVAENLTAKLTLHQ H257_13671 MRRESVAAIVCQVVLGTSGGYIKLTLMPLPSQAMGTMQVANMGR ESVAAIVTFPPHLAVEEGTMSDEEGVGGGGGDMSEHDIMRTLRALSRQSQDLPQTLRG LLGQFGGDLPMMFGAHNPQFRNLLEKIQPSNAPHVQMASLSELCEQLTMSSSEEMLAL SGFRADAFVPVLATLVAAPPSDSMDILLLACRALATILDVMPSAVDIAVAANVVASLC DKLLNIEYMDVAELALRMLERLCSSSAGRAAALHENGVVALLQFVDFFAVDVQRTAAR TAALLCTDATSIPTLQSTGGLQLVHALTRSFDADIVYHGIDCLDRLCTSLSAQGNDAD LSNTLVVVIDLNTLDHLLALLATYPTTSRMPDNMKPATYGLLVHILSVVGRRVYSRRL VDGTCDSVSVVLCAMLAAQDPPSVVRAALHFIDDVIVSLSSSSSDNTLCELADHMLTT KLVPAMLSSLAGLADPMDDDDAADTRARLVDVLTAAMTTVSHRALKHVDALCSFVATT LKRPRRRRRHVASVGAVDDEKRDDVGSTEVSLALCVMSIALTHAHDEYHVRFVRDGVY QALEGVAHEPPVATANTHAATQLLATYKSNATTTPALDALAALARDLRGGSSNAGVGA VVLRLADVFRSPDGVTSYELTTSAVVPALVEFIQASTDDFGEMVATMSDVRWVVALVA TVKEAVVSQWNPYHLTRPGTDGLFPSVVASMTTSGRPVAHVMNLLAQHLNVRLHVNAM MGSPAIADTTTTTTVVLVEPLARVDTMQAFVAAKMFGKDQHHHNDDDDEEDVTEMQEG DGEDKARIRTTVDGHVLPPSMTILEALIRSKTCGWTNDYEGDEDLPWVPVESLWDAPM DLTFHMAATTPPNETPTLPVTNCHDDDKNARGSVVDDCLALLALLYKMSLVPPTAFES PALAMHLQRTCLMQPLVVALQAFPWAVRTIAHSYSFLLPFQAKLHLMYASSFGSARAI QYLSRTLWKLPGGRRPDATGSRRRDDAALAAAVARVAKIPRLKVRVARSKLLQSAMKL LSTYGGQKSIVEIEYLGEVGTGLGPTTEFYSLVSQAMQAKHLNMWRDEQSSSATADAE SEPPAAAIPPQEPPPHHAMPVRGFHRVVVIVCNTCAHLSIPTCPTHQCLLTQPNDGSH SSSSTPPSGTPEPHCHACSFSWSMHQCRHATATSPSLSGSLDTENGGGGAAAPHSVSW RWWIVSDSEVAYLSQAYPRGTPSVVHPVLQCSHCDTVTFPGTEAGLVTMVDGGTRMVA RTGRRMYERDYRAVTKHASVHCEGTPLTQTNVVLYELDVDTLVALVPQSPEVLDTELD GLGITSSFHTDLDDAVEAPHGLFPRPIDLHPPSPLSSGSSSSELLARFAFLGKLVAQA LVDERLLDLPLAVPFLRLLRGESLHGNMALALEHIATVDPQLGRSLQYLYDHRHDASI DDMGLTFVLPPSSMPLCDKGADRPVTTDNVVEFLDLTVTTMLDTAIRPQVDAFRAGFA SIAPLHVLTMLSAADWSVLLADPSRQMWPGGADEIRAAMVCDHGYTMDSRAIGWLVDI LAEFSPDDQRLFVRFVTGSHRLPMGGLARLDPALTVVRKLTVDDASSSTANDAILPSA STCTNYLKLPDYSSKDIMRTKLLYCIHEGQLSFHLS H257_13672 MCSVATSAALPPPPPRLAPSSLAVPTQVDENEEDVEVVTKKRCT SNGSRWDAKPAESTLELRKQAVRDAVAAAQQAFRCPICLDTFGELVVECAECAHVFCD PCMRESLKRKDKCPLCRCNPMPLRRSKPIERLVAALPESCAFLDNGCVMTSLTRATAF QHALTCGFARFTCPHCRQVFLRHEHDESVCSAELHACPLSALGCSFRARVVDMHEHVK DRVHFDLARRVLKGGGPIVPTSDMATALRALLEISTKAWKDTDGDPAPPHDEITERAR LEFVHAMLASNIVPPPA H257_13673 MASFANFPRRTTAIEMRARTRSVASMHEYFEDDVDDIRSSVASS RSSSFSYRMSLDDWDFSETRVRRCSHSKRISFDDDVKVETIPSLSSIDEATKRDLWYS ADDFAKMQQQLRNPHSSSAHHT H257_13674 MPGKYQIVLIRHGESQWNVDNRFTGWHDVPLSAVGEKESHDAGK ALKVAGFSFDVAYTSVLKRAIKTCWNTLEELDLMWIPVNRSWKLNERHYGALQGLNKQ DTVAKYGLDQVMVWRRSYSTPPPALDASSEYYPGNDPKYADVPREELPFTESLATTKL RVVPYWNDVIVPSIKAGKKVLIVAHGNSLRALVQHLDNISEDTITGLNIPTGVPLVYD LDENFHPIVHEHAIAPLSGHYVGNQEEIRNRIAGVANQTKA H257_13674 MFPFLPSVCMATLRLHALTDDAPSVGEKESHDAGKALKVAGFSF DVAYTSVLKRAIKTCWNTLEELDLMWIPVNRSWKLNERHYGALQGLNKQDTVAKYGLD QVMVWRRSYSTPPPALDASSEYYPGNDPKYADVPREELPFTESLATTKLRVVPYWNDV IVPSIKAGKKVLIVAHGNSLRALVQHLDNISEDTITGLNIPTGVPLVYDLDENFHPIV HEHAIAPLSGHYVGNQEEIRNRIAGVANQTKA H257_13675 MSSHDVPVQLGYYRLGETLGTGSFGKVKLAEHVITGHKVAIKIL NRNKIRSLDMSEKVRREIGLLRKMQHPHIIRLYEVIDTPTDIFMVLEYVAGGELFDYI VSKGRLSPDEARHFFHQIVSGVEYCHFHRVVHRDLKPENLLLDADNNVKIADFGLSNT MRDGEFLRTSCGSPNYAAPEVISGNLYAGPEVDVWSCGVILYALLCGALPFDDESIPN LFKKIRGGMYSLPSHLSDDARDLIPRMLVVDPMKRITIPEIRQHPWFQTNLPPYLQHP PEAVEQEARKIDDEVVAKCLTLDFPGGTITRDHVIHSIEHEEYTPLRVAYDLVLDHKN AKMRIDELRNVKRHDNTPKTFSQPDQSSLLVPGRGPIPMAASPMIQASPGDPLMQRQF GGRTPLARGPPAANSSHGGGVMSVQHQMNEAALAEKKRRRWYLGIQSKKEPAHVMSEV YKALLVLHFEWKVLAPYRVKCRWQPAPPPPSCSTSVHKPVKIGLQLYKVQQHIYLLDF QNLGGDAFTYMNLCARIITELKTLSGVRPTAAAPSHGDMLHGYNPSSQGGGLHQHHHP HINLHG H257_13676 MVALWCVFRVTLPRYTAIPSRGAIARRISAASTTVMMSAPGALC PEFHPTPEEFSCFATYVRTIVEPACHRIGMCKIVPPAGFFTRAYDDLEFTIPTPLTQY VAGKKGVFHVDLVTRKSMSLDAFRALAAAASPNDIDDPALAPLDVLERKFWKGLRPTM DPPTYGADIVGSLFGDSPAMSWNVNNLDSILRTWVDLPGITQAMLYFGMWSAMFALHT EDMELYSINYLHTGSPKVWYTVPPAHASRVERVCQTMFPHEHMACHEFLRHKTSLVAP WKFQEFGLPYSKALQGPGEFIITFPRAYHQGFNTGFNIAESVNFATLRWIPLALEAKV CKCVPHNVTLDMDLFLTQLFKASRPGSRALTSDDWVFSCVCLKFASSADPSVVVEDKW FECSSCLIWCHLRCRYPDLWAAAAQDDTSLPSTLLCHRCCCDDDSVQGTRRSISRSAP TPSPPPPKRSRADVYAKNARILIASDRGVREAKVVAVDGAFVRVHFKGEACATDEWIA STSSAIVEGSSPTPQKPPPAKKRRPSSINKTPRTLPKRPKHQPKASSKVN H257_13676 MVALWCVFRVTLPRYTAIPSRGAIARRISAASTTVMMSAPGALC PEFHPTPEEFSCFATYVRTIVEPACHRIGMCKIVPPAGFFTRAYDDLEFTIPTPLTQY VAGKKGVFHVDLVTRKSMSLDAFRALAAAASPNDIDDPALAPLDVLERKFWKGLRPTM DPPTYGADIVGSLFGDSPAMSWNVNNLDSILRTWVDLPGITQAMLYFGMWSAMFALHT EDMELYSINYLHTGSPKVWYTVPPAHASRVERVCQTMFPHEHMACHEFLRHKTSLVAP WKFQEFGLPYSKALQGPGEFIITFPRAYHQGFNTGFNIAESVNFATLRWIPLALEAKV CKCVPHNVTLDMDLFLTQLFKASRPGSRALTSDDWVFSCVCLKFASSADPSVVVEDKW FECSSCLIWCHLRCRYPDLWAAAAQLCLIG H257_13677 MEQPETLPYRQPPPSSPRNNTSSTSHSSSSRTTSAISGPTPPTI STATSIGGSSRSAYPPPPASSNLHRGGRSATANHTGSSSACLPPPSSSIKDTSRSKGD SADTHHHASRSHSMSSSRDANGSTRRSSPTSSSRSDPRPPVFLSTQGHRLRERHALLE MNRFRSHPADDGAPTMDLATNVLGMDARYIKDACTPTLTSKLAVVPGVFPTHNDHDEE KYHFFAKRRATYVAALPRGLGPMPSNWQRQYALFPDTPRLFDRLSPLLLQPPPSSSSV ATKKKKPATDNSFLDYLVRQVRRFDPHTAHVWMTELARGDVSIPALVQSFSGIPFEQW RASPESKCPLVDLVATYNVGLVEASWFIRINVIYQELNEMRRDRDRRVGDWFYHPKRF QRRSMEWTEQLIWSLHTTARQCFTHRLTGQMNKNKKTSSHKTHAPRPTTTSGTTSGPS ESNKPQQLVPPTISPDDRFRYVLQLAEYHFRLRLVDSSRFFNGLLSLYQKSLLLNSKH DNLGDECWPVLPMPVNHLFLVLGVIIKLLPAMLQDASATKLLVKITLAHFQVLLVVES RSRHHERLIVALCDILRLVLHHGADHLVQIKDDVLKQWPACVLTDEFFPCDDPAARTA ELEYLTTALRHVYARKARLAEFHSTVARRRKKEAPHDKTCEARLRNQVDMIQVLDDFH SGNSTVEVADVYKLIFDDKAPHDDGSVDVNAVTTICEWAVTIHRSQEYKYITAAYILE MRNDAILEQRSTTTSSQQQHQATLQDTLVWFLKSYEPQHAVELTAVLELFSLLIRRRL FVLESFIESVANGLSVPPSSTSTSNSSSSPSAVLCQPKFQFEFGPTKGGFNVDRLREL TLPDRLRLYLWQLPRGDTPLSTHVPMELTVDNDEYMVATWMELVHVTRNELKRSRALE RVMILTHQVFQPSSTSPSSCSTTSGAAMADTTTDPGDNNVELDQMAKIFELYSLLKKL SGHDKGRYAAWLLRQVYDQTTQLSDVPPFFILNDLNTVEHVLRLTWLLLELTDVLSLL QLLIHCLRHAPVYVIKSVVLPILDRHHATFYACHDILSLIQAFEYRCMAFRAAGLDPD DSYQTIALFICRIYQRHSKALDKALQSLQLHSPPEVLTKAFFTLLKDDLKASKDTKDG ITSLEGVNQKFAFPKDKDTMAPDMQELMARVFAALQRPRDAAATVPFTHVMGTVDKHD VACRDAGVDQAVQAILRHGQLTSQQRIFMFRVLLTDVMDKWMAMLHVATSKAAANGAQ NHHQQSIYVQVPQYMHRCVHVLRDVIDGHEEGERKLMRDTLLTWLHKEVITAFNGVEP TPKGQAEKPKPKNVFVKSSAVDATSGKDTFAANLKGHLDKIQYGLKLFLMALVVHGIV DLTQVLRFVLVPGFPKKNANAAAQRTENRTLANQILSMTLAFHLLGDNPPQFVKLGHN LFANFEDPMLKYYWRYLRSMVPCTVMFPFVFLLCQMSYHWSPENNALLPRQERGVLAS TMLFDCTNDVVVRELIFADKAVKERHVLKENGDVWFMTVIMKLLCRPLHSPPEVSTNR VHLLKADEIFAHMTKWSVHRGGCIYLEVEVKRQHLKVSKRIKPVAPIVLQQLSSAPNM RNHSATAAAVSNGLGSMLIDGDMQDENAGNSSIYSNSYYYQTRLDTIVATPTSYTFDK DDKSSAADKIGTLVVHRLFGRCVRPQFLAPPALDSAIESKLAAREAADVGTANLYASI LCSIYTSSQTSTASYAVATSLITAAVSRALEVLEADAKTTSDDQYQDTFNGSVVAVFL RRVLSSQGASNNLFVRYMRSMKVQLAWLLQTCQADNHNKSAAFLAALRRKVGLRLQLV SITASVSTSPCPHRNGIVKLLFSLLGTSIVSQGGSGGGGGTLFEWIIDLIPVIPSTVF ADHHYKDLVGKLQLPPSLARRVYAVFPKSNYGAVPLQYSHGGAKVDPWGLLEGVPDLP SRSVTSTAGDLIPPIAKRMKTTPV H257_13677 MEQPETLPYRQPPPSSPRNNTSSTSHSSSSRTTSAISGPTPPTI STATSIGGSSRSAYPPPPASSNLHRGGRSATANHTGSSSACLPPPSSSIKDTSRSKGD SADTHHHASRSHSMSSSRDANGSTRRSSPTSSSRSDPRPPVFLSTQGHRLRERHALLE MNRFRSHPADDGAPTMDLATNVLGMDARYIKDACTPTLTSKLAVVPGVFPTHNDHDEE KYHFFAKRRATYVAALPRGLGPMPSNWQRQYALFPDTPRLFDRLSPLLLQPPPSSSSV ATKKKKPATDNSFLDYLVRQVRRFDPHTAHVWMTELARGDVSIPALVQSFSGIPFEQW RASPESKCPLVDLVATYNVGLVEASWFIRINVIYQELNEMRRDRDRRVGDWFYHPKRF QRRSMEWTEQLIWSLHTTARQCFTHRLTGQMNKNKKTSSHKTHAPRPTTTSGTTSGPS ESNKPQQLVPPTISPDDRFRYVLQLAEYHFRLRLVDSSRFFNGLLSLYQKSLLLNSKH DNLGDECWPVLPMPVNHLFLVLGVIIKLLPAMLQDASATKLLVKITLAHFQVLLVVES RSRHHERLIVALCDILRLVLHHGADHLVQIKDDVLKQWPACVLTDEFFPCDDPAARTA ELEYLTTALRHVYARKARLAEFHSTVARRRKKEAPHDKTCEARLRNQVDMIQVLDDFH SGNSTVEVADVYKLIFDDKAPHDDGSVDVNAVTTICEWAVTIHRSQEYKYITAAYILE MRNDAILEQRSTTTSSQQQHQATLQDTLVWFLKSYEPQHAVELTAVLELFSLLIRRRL FVLESFIESVANGLSVPPSSTSTSNSSSSPSAVLCQPKFQFEFGPTKGGFNVDRLREL TLPDRLRLYLWQLPRGDTPLSTHVPMELTVDNDEYMVATWMELVHVTRNELKRSRALE RVMILTHQVFQPSSTSPSSCSTTSGAAMADTTTDPGDNNVELDQMAKIFELYSLLKKL SGHDKGRYAAWLLRQVYDQTTQLSDVPPFFILNDLNTVEHVLRLTWLLLELTDVLSLL QLLIHCLRHAPVYVIKSVVLPILDRHHATFYACHDILSLIQAFEYRCMAFRAAGLDPD DSYQTIALFICRIYQRHSKALDKALQSLQLHSPPEVLTKAFFTLLKDDLKASKDTKDG ITSLEGVNQKFAFPKDKDTMAPDMQELMARVFAALQRPRDAAATVPFTHVMGTVDKHD VACRDAGVDQAVQAILRHGQLTSQQRIFMFRVLLTDVMDKWMAMLHVATSKAAANGAQ NHHQQSIYVQVPQYMHRCVHVLRDVIDGHEEGERKLMRDTLLTWLHKEVITAFNGVEP TPKGQAEKPKPKNVFVKSSAVDATSGKDTFAANLKGHLDKIQYGLKLFLMALVVHGIV DLTQVLRFVLVPGFPKKNANAAAQRTENRTLANQILSMTLAFHLLGDNPPQFVKLGHN LFANFEDPMLKYYWRYLRSMVPCTVMFPFVFLLCQMSYHWSPENNALLPRQERGVLAS TMLFDCTNDVVVRELIFADKAVKERHVLKENGDVWFMTVIMKLLCRPLHSPPEVSTNR VHLLKADEIFAHMTKWSVHRGGCIYLEVEVKRQHLKVSKRIKPVAPIVLQQLSSAPNM RNHSATAAAVSNGLGSMLIDGDMQDENAGNSSIYSNSYYYQTRLDTIVATPTSYTFDK DDKSSAADKIGTLVVHRLFGRCVRPQFLAPPALDSAIESKLAAREAADVGTANLYASI LCSIYTSSQTSTASYAVATSLITAAVSRALEVLEADAKTTSDDQYQDTFNGSVVAVFL RRVLSSQGASNNLFVRYMRSMKVQLAWLLQTCQADNHNKSAAFLAALRRKVGLRLQLV SITASVSTSPCPHRNGIVKLLFSLLGTSIVSQGGSGGGGGTLFEWIIDLIPVIPSTVF ADHHYKDLVGKLQLPPSLARRVYAVFPKSNYGAVPLQYSHGGAKVDPWGLLEGVPDLP SRSVTSTAGDLIPPIAKRMKTTPV H257_13677 MEQPETLPYRQPPPSSPRNNTSSTSHSSSSRTTSAISGPTPPTI STATSIGGSSRSAYPPPPASSNLHRGGRSATANHTGSSSACLPPPSSSIKDTSRSKGD SADTHHHASRSHSMSSSRDANGSTRRSSPTSSSRSDPRPPVFLSTQGHRLRERHALLE MNRFRSHPADDGAPTMDLATNVLGMDARYIKDACTPTLTSKLAVVPGVFPTHNDHDEE KYHFFAKRRATYVAALPRGLGPMPSNWQRQYALFPDTPRLFDRLSPLLLQPPPSSSSV ATKKKKPATDNSFLDYLVRQVRRFDPHTAHVWMTELARGDVSIPALVQSFSGIPFEQW RASPESKCPLVDLVATYNVGLVEASWFIRINVIYQELNEMRRDRDRRVGDWFYHPKRF QRRSMEWTEQLIWSLHTTARQCFTHRLTGQMNKNKKTSSHKTHAPRPTTTSGTTSGPS ESNKPQQLVPPTISPDDRFRYVLQLAEYHFRLRLVDSSRFFNGLLSLYQKSLLLNSKH DNLGDECWPVLPMPVNHLFLVLGVIIKLLPAMLQDASATKLLVKITLAHFQVLLVVES RSRHHERLIVALCDILRLVLHHGADHLVQIKDDVLKQWPACVLTDEFFPCDDPAARTA ELEYLTTALRHVYARKARLAEFHSTVARRRKKEAPHDKTCEARLRNQVDMIQVLDDFH SGNSTVEVADVYKLIFDDKAPHDDGSVDVNAVTTICEWAVTIHRSQEYKYITAAYILE MRNDAILEQRSTTTSSQQQHQATLQDTLVWFLKSYEPQHAVELTAVLELFSLLIRRRL FVLESFIESVANGLSVPPSSTSTSNSSSSPSAVLCQPKFQFEFGPTKGGFNVDRLREL TLPDRLRLYLWQLPRGDTPLSTHVPMELTVDNDEYMVATWMELVHVTRNELKRSRALE RVMILTHQVFQPSSTSPSSCSTTSGAAMADTTTDPGDNNVELDQMAKIFELYSLLKKL SGHDKGRYAAWLLRQVYDQTTQLSDVPPFFILNDLNTVEHVLRLTWLLLELTDVLSLL QLLIHCLRHAPVYVIKSVVLPILDRHHATFYACHDILSLIQAFEYRCMAFRAAGLDPD DSYQTIALFICRIYQRHSKALDKALQSLQLHSPPEVLTKAFFTLLKDDLKASKDTKDG ITSLEGVNQKFAFPKDKDTMAPDMQELMARVFAALQRPRDAAATVPFTHVMGTVDKHD VACRDAGVDQAVQAILRHGQLTSQQRIFMFRVLLTDVMDKWMAMLHVATSKAAANGAQ NHHQQSIYVQVPQYMHRCVHVLRDVIDGHEEGERKLMRDTLLTWLHKEVITAFNGVEP TPKGQAEKPKPKNVFVKSSAVDATSGKDTFAANLKGHLDKIQYGLKLFLMALVVHGIV DLTQVLRFVLVPGFPKKNANAAAQRTENRTLANQILSMTLAFHLLGDNPPQFVKLGHN LFANFEDPMLKYYWRYLRSMVPCTVMFPFVFLLCQMSYHWSPENNALLPRQERGVLAS TMLFDCTNDVVVRELIFADKAVKERHVLKENGDVWFMTVIMKLLCRPLHSPPEVSTNR VHLLKADEIFAHMTKWSVHRGGCIYLEVEVKRQHLKVSKRIKPVAPIVLQQLSSAPNM RNHSATAAAVSNGLGSMLIDGDMQDENAGNSSIYSNSYYYQTRLDTIVATPTSYTFDK DDKSSAADKIGTLVVHRLFGRCVRPQFLAPPALDSAIESKLAAREAADVGTANLYASI LCSIYTSSQTSTASYAVATSLITAAVSRALEVLEADAKTTSDDQYQDTFNGSVVAVFL RRVLSSQGASNNLFVRYMRSMKVQLAWLLQTCQADNHNKSAAFLAALRRKVGLRLQLV SITASVSTSPCPHRNGIVKLLFSLLGTSIVSQGGSGGGGGTLFEWIIDLIPVIPSTVF ADHHYKDLVGKLQLPPSLARRVYAVFPKSNYGAVPLQYSHGGAKVDPWGLLEGVPDLP SRSVTSTAGDLIPPIAKRMKTTPV H257_13677 MEQPETLPYRQPPPSSPRNNTSSTSHSSSSRTTSAISGPTPPTI STATSIGGSSRSAYPPPPASSNLHRGGRSATANHTGSSSACLPPPSSSIKDTSRSKGD SADTHHHASRSHSMSSSRDANGSTRRSSPTSSSRSDPRPPVFLSTQGHRLRERHALLE MNRFRSHPADDGAPTMDLATNVLGMDARYIKDACTPTLTSKLAVVPGVFPTHNDHDEE KYHFFAKRRATYVAALPRGLGPMPSNWQRQYALFPDTPRLFDRLSPLLLQPPPSSSSV ATKKKKPATDNSFLDYLVRQVRRFDPHTAHVWMTELARGDVSIPALVQSFSGIPFEQW RASPESKCPLVDLVATYNVGLVEASWFIRINVIYQELNEMRRDRDRRVGDWFYHPKRF QRRSMEWTEQLIWSLHTTARQCFTHRLTGQMNKNKKTSSHKTHAPRPTTTSGTTSGPS ESNKPQQLVPPTISPDDRFRYVLQLAEYHFRLRLVDSSRFFNGLLSLYQKSLLLNSKH DNLGDECWPVLPMPVNHLFLVLGVIIKLLPAMLQDASATKLLVKITLAHFQVLLVVES RSRHHERLIVALCDILRLVLHHGADHLVQIKDDVLKQWPACVLTDEFFPCDDPAARTA ELEYLTTALRHVYARKARLAEFHSTVARRRKKEAPHDKTCEARLRNQVDMIQVLDDFH SGNSTVEVADVYKLIFDDKAPHDDGSVDVNAVTTICEWAVTIHRSQEYKYITAAYILE MRNDAILEQRSTTTSSQQQHQATLQDTLVWFLKSYEPQHAVELTAVLELFSLLIRRRL FVLESFIESVANGLSVPPSSTSTSNSSSSPSAVLCQPKFQFEFGPTKGGFNVDRLREL TLPDRLRLYLWQLPRGDTPLSTHVPMELTVDNDEYMVATWMELVHVTRNELKRSRALE RVMILTHQVFQPSSTSPSSCSTTSGAAMADTTTDPGDNNVELDQMAKIFELYSLLKKL SGHDKGRYAAWLLRQVYDQTTQLSDVPPFFILNDLNTVEHVLRLTWLLLELTDVLSLL QLLIHCLRHAPVYVIKSVVLPILDRHHATFYACHDILSLIQAFEYRCMAFRAAGLDPD DSYQTIALFICRIYQRHSKALDKALQSLQLHSPPEVLTKAFFTLLKDDLKASKDTKDG ITSLEGVNQKFAFPKDKDTMAPDMQELMARVFAALQRPRDAAATVPFTHVMGTVDKHD VACRDAGVDQAVQAILRHGQLTSQQRIFMFRVLLTDVMDKWMAMLHVATSKAAANGAQ NHHQQSIYVQVPQYMHRCVHVLRDVIDGHEEGERKLMRDTLLTWLHKEVITAFNGVEP TPKGQAEKPKPKNVFVKSSAVDATSGKDTFAANLKGHLDKIQYGLKLFLMALVVHGIV DLTQVLRFVLVPGFPKKNANAAAQRTENRTLANQILSMTLAFHLLGDNPPQFVKLGHN LFANFEDPMLKYYWRYLRSMVPCTVMFPFVFLLCQMSYHWSPENNALLPRQERGVLAS TMLFDCTNDVVVRELIFADKAVKERHVLKENGDVWFMTVIMKLLCRPLHSPPEVSTNR VHLLKADEIFAHMTKWSVHRGGCIYLEVEVKRQHLKVSKRIKPVAPIVLQQLSSAPNM RNHSATAAAVSNGLGSMLIDGDMQDENAGNSSIYSNSYYYQTRLDTIVATPTSYTFDK DDKSSAADKIGTLVVHRLFGRCVRPQFLAPPALDSAIESKLAAREAADVGTANLYASI LCSIYTSSQTSTASYAVATSLITAAVSRALEVLEADAKTTSDDQYQDTFNGSVVAVFL RRVLSSQGASNNLFVRYMRSMKVQLAWLLQTCQADNHNKSAAFLAALRRKVGLRLQLV SITASVSTSPCPHRNGIVKLLFSLLGTSIVSQGGSGGGGGTLFEWIIDLIPVIPSTVF ADHHYKDLVGKLQLPPSLARRVYAVFPKSNYGAVPLQYSHGGAKVDPWGLLEGVPDLP SRSVTSTAGDLIPPIAKRMKTTPV H257_13677 MEQPETLPYRQPPPSSPRNNTSSTSHSSSSRTTSAISGPTPPTI STATSIGGSSRSAYPPPPASSNLHRGGRSATANHTGSSSACLPPPSSSIKDTSRSKGD SADTHHHASRSHSMSSSRDANGSTRRSSPTSSSRSDPRPPVFLSTQGHRLRERHALLE MNRFRSHPADDGAPTMDLATNVLGMDARYIKDACTPTLTSKLAVVPGVFPTHNDHDEE KYHFFAKRRATYVAALPRGLGPMPSNWQRQYALFPDTPRLFDRLSPLLLQPPPSSSSV ATKKKKPATDNSFLDYLVRQVRRFDPHTAHVWMTELARGDVSIPALVQSFSGIPFEQW RASPESKCPLVDLVATYNVGLVEASWFIRINVIYQELNEMRRDRDRRVGDWFYHPKRF QRRSMEWTEQLIWSLHTTARQCFTHRLTGQMNKNKKTSSHKTHAPRPTTTSGTTSGPS ESNKPQQLVPPTISPDDRFRYVLQLAEYHFRLRLVDSSRFFNGLLSLYQKSLLLNSKH DNLGDECWPVLPMPVNHLFLVLGVIIKLLPAMLQDASATKLLVKITLAHFQVLLVVES RSRHHERLIVALCDILRLVLHHGADHLVQIKDDVLKQWPACVLTDEFFPCDDPAARTA ELEYLTTALRHVYARKARLAEFHSTVARRRKKEAPHDKTCEARLRNQVDMIQVLDDFH SGNSTVEVADVYKLIFDDKAPHDDGSVDVNAVTTICEWAVTIHRSQEYKYITAAYILE MRNDAILEQRSTTTSSQQQHQATLQDTLVWFLKSYEPQHAVELTAVLELFSLLIRRRL FVLESFIESVANGLSVPPSSTSTSNSSSSPSAVLCQPKFQFEFGPTKGGFNVDRLREL TLPDRLRLYLWQLPRGDTPLSTHVPMELTVDNDEYMVATWMELVHVTRNELKRSRALE RVMILTHQVFQPSSTSPSSCSTTSGAAMADTTTDPGDNNVELDQMAKIFELYSLLKKL SGHDKGRYAAWLLRQVYDQTTQLSDVPPFFILNDLNTVEHVLRLTWLLLELTDVLSLL QLLIHCLRHAPVYVIKSVVLPILDRHHATFYACHDILSLIQAFEYRCMAFRAAGLDPD DSYQTIALFICRIYQRHSKALDKALQSLQLHSPPEVLTKAFFTLLKDDLKASKDTKDG ITSLEGVNQKFAFPKDKDTMAPDMQELMARVFAALQRPRDAAATVPFTHVMGTVDKHD VACRDAGVDQAVQAILRHGQLTSQQRIFMFRVLLTDVMDKWMAMLHVATSKAAANGAQ NHHQQSIYVQVPQYMHRCVHVLRDVIDGHEEGERKLMRDTLLTWLHKEVITAFNGVEP TPKGQAEKPKPKNVFVKSSAVDATSGKDTFAANLKGHLDKIQYGLKLFLMALVVHGIV DLTQVLRFVLVPGFPKKNANAAAQRTENRTLANQILSMTLAFHLLGDNPPQFVKLGHN LFANFEDPMLKYYWRYLRSMVPCTVMFPFVFLLCQMSYHWSPENNALLPRQERGVLAS TMLFDCTNDVVVRELIFADKAVKERHVLKENGDVWFMTVIMKLLCRPLHSPPEVSTNR VHLLKADEIFAHMTKWSVHRGGCIYLEVEVKRQHLKVSKRIKPVAPIVLQQLSSAPNM RNHSATAAAVSNGLGSMLIDGDMQDENAGNSSIYSNSYYYQTRLDTIVATPTSYTFDK DDKSSAADKIGTLVVHRLFGRCVRPQFLAPPALDSAIESKLAAREAADVGTANLYASI LCSIYTSSQTSTASYAVATSLITAAVSRALEVLEADAKTTSDDQYQDTFNGSVVAVFL RRVLSSQGASNNLFVRYMRSMKVQLAWLLQTCQADNHNKSAAFLAALRRKVGLRLQLV SITASVSTSPCPHRNGIVKLLFSLLGTSIVSQGGSGGGGGTLFEWIIDLIPVIPSTVF ADHHYKDLVGKLQLPPSLARRVYAVFPKSNYGAVPLQYSHGGAKVDPWGLLEGVPDLP SRSVTSTAGDLIPPIAKRMKTTPV H257_13677 MEQPETLPYRQPPPSSPRNNTSSTSHSSSSRTTSAISGPTPPTI STATSIGGSSRSAYPPPPASSNLHRGGRSATANHTGSSSACLPPPSSSIKDTSRSKGD SADTHHHASRSHSMSSSRDANGSTRRSSPTSSSRSDPRPPVFLSTQGHRLRERHALLE MNRFRSHPADDGAPTMDLATNVLGMDARYIKDACTPTLTSKLAVVPGVFPTHNDHDEE KYHFFAKRRATYVAALPRGLGPMPSNWQRQYALFPDTPRLFDRLSPLLLQPPPSSSSV ATKKKKPATDNSFLDYLVRQVRRFDPHTAHVWMTELARGDVSIPALVQSFSGIPFEQW RASPESKCPLVDLVATYNVGLVEASWFIRINVIYQELNEMRRDRDRRVGDWFYHPKRF QRRSMEWTEQLIWSLHTTARQCFTHRLTGQMNKNKKTSSHKTHAPRPTTTSGTTSGPS ESNKPQQLVPPTISPDDRFRYVLQLAEYHFRLRLVDSSRFFNGLLSLYQKSLLLNSKH DNLGDECWPVLPMPVNHLFLVLGVIIKLLPAMLQDASATKLLVKITLAHFQVLLVVES RSRHHERLIVALCDILRLVLHHGADHLVQIKDDVLKQWPACVLTDEFFPCDDPAARTA ELEYLTTALRHVYARKARLAEFHSTVARRRKKEAPHDKTCEARLRNQVDMIQVLDDFH SGNSTVEVADVYKLIFDDKAPHDDGSVDVNAVTTICEWAVTIHRSQEYKYITAAYILE MRNDAILEQRSTTTSSQQQHQATLQDTLVWFLKSYEPQHAVELTAVLELFSLLIRRRL FVLESFIESVANGLSVPPSSTSTSNSSSSPSAVLCQPKFQFEFGPTKGGFNVDRLREL TLPDRLRLYLWQLPRGDTPLSTHVPMELTVDNDEYMVATWMELVHVTRNELKRSRALE RVMILTHQVFQPSSTSPSSCSTTSGAAMADTTTDPGDNNVELDQMAKIFELYSLLKKL SGHDKGRYAAWLLRQVYDQTTQLSDVPPFFILNDLNTVEHVLRLTWLLLELTDVLSLL QLLIHCLRHAPVYVIKSVVLPILDRHHATFYACHDILSLIQAFEYRCMAFRAAGLDPD DSYQTIALFICRIYQRHSKALDKALQSLQLHSPPEVLTKAFFTLLKDDLKASKDTKDG ITSLEGVNQKFAFPKDKDTMAPDMQELMARVFAALQRPRDAAATVPFTHVMGTVDKHD VACRDAGVDQAVQAILRHGQLTSQQRIFMFRVLLTDVMDKWMAMLHVATSKAAANGAQ NHHQQSIYVQVPQYMHRCVHVLRDVIDGHEEGERKLMRDTLLTWLHKEVITAFNGVEP TPKGQAEKPKPKNVFVKSSAVDATSGKDTFAANLKGHLDKIQYGLKLFLMALVVHGIV DLTQVLRFVLVPGFPKKNANAAAQRTENRTLANQILSMTLAFHLLGDNPPQFVKLGHN LFANFEDPMLKYYWRYLRSMVPCTVMFPFVFLLCQMSYHWSPENNALLPRQERGVLAS TMLFDCTNDVVVRELIFADKAVKERHVLKENGDVWFMTVIMKLLCRPLHSPPEVSTNR VHLLKADEIFAHMTKWSVHRGGCIYLEVEVKRQHLKVSKRIKPVAPIVLQQLSSAPNM RNHSATAAAVSNGLGSMLIDGDMQDENAGNSSIYSNSYYYQTRLDTIVATPTSYTFDK DDKSSAADKIGTLVVHRLFGRCVRPQFLAPPALDSAIESKLAAREAADVGTANLYASI LCSIYTSSQTSTASYAVATSLITAAVSRALEVLEADAKTTSDDQYQDTFNGSVVAVFL RRVLSSQGASNNLFVRYMRSMKVQLAWLLQTCQADNHNKSAAFLAALRRKVGLRLQLV SITASVSTSPCPHRNGIVKLLFSLLGTSIVSQGGSGGGGGTLFEWIIDLIPVIPSTVF ADHHYKDLVGKLQLPPSLARRVYAVFPKSNYGAVPLQYSHGGAKVDPWGLLEGVPDLP SRSVTSTAGDLIPPIAKRMKTTPV H257_13677 MEQPETLPYRQPPPSSPRNNTSSTSHSSSSRTTSAISGPTPPTI STATSIGGSSRSAYPPPPASSNLHRGGRSATANHTGSSSACLPPPSSSIKDTSRSKGD SADTHHHASRSHSMSSSRDANGSTRRSSPTSSSRSDPRPPVFLSTQGHRLRERHALLE MNRFRSHPADDGAPTMDLATNVLGMDARYIKDACTPTLTSKLAVVPGVFPTHNDHDEE KYHFFAKRRATYVAALPRGLGPMPSNWQRQYALFPDTPRLFDRLSPLLLQPPPSSSSV ATKKKKPATDNSFLDYLVRQVRRFDPHTAHVWMTELARGDVSIPALVQSFSGIPFEQW RASPESKCPLVDLVATYNVGLVEASWFIRINVIYQELNEMRRDRDRRVGDWFYHPKRF QRRSMEWTEQLIWSLHTTARQCFTHRLTGQMNKNKKTSSHKTHAPRPTTTSGTTSGPS ESNKPQQLVPPTISPDDRFRYVLQLAEYHFRLRLVDSSRFFNGLLSLYQKSLLLNSKH DNLGDECWPVLPMPVNHLFLVLGVIIKLLPAMLQDASATKLLVKITLAHFQVLLVVES RSRHHERLIVALCDILRLVLHHGADHLVQIKDDVLKQWPACVLTDEFFPCDDPAARTA ELEYLTTALRHVYARKARLAEFHSTVARRRKKEAPHDKTCEARLRNQVDMIQVLDDFH SGNSTVEVADVYKLIFDDKAPHDDGSVDVNAVTTICEWAVTIHRSQEYKYITAAYILE MRNDAILEQRSTTTSSQQQHQATLQDTLVWFLKSYEPQHAVELTAVLELFSLLIRRRL FVLESFIESVANGLSVPPSSTSTSNSSSSPSAVLCQPKFQFEFGPTKGGFNVDRLREL TLPDRLRLYLWQLPRGDTPLSTHVPMELTVDNDEYMVATWMELVHVTRNELKRSRALE RVMILTHQVFQPSSTSPSSCSTTSGAAMADTTTDPGDNNVELDQMAKIFELYSLLKKL SGHDKGRYAAWLLRQVYDQTTQLSDVPPFFILNDLNTVEHVLRLTWLLLELTDVLSLL QLLIHCLRHAPVYVIKSVVLPILDRHHATFYACHDILSLIQAFEYRCMAFRAAGLDPD DSYQTIALFICRIYQRHSKALDKALQSLQLHSPPEVLTKAFFTLLKDDLKASKDTKDG ITSLEGVNQKFAFPKDKDTMAPDMQELMARVFAALQRPRDAAATVPFTHVMGTVDKHD VACRDAGVDQAVQAILRHGQLTSQQRIFMFRVLLTDVMDKWMAMLHVATSKAAANGAQ NHHQQSIYVQVPQYMHRCVHVLRDVIDGHEEGERKLMRDTLLTWLHKEVITAFNGVEP TPKGQAEKPKPKNVFVKSSAVDATSGKDTFAANLKGHLDKIQYGLKLFLMALVVHGIV DLTQVLRFVLVPGFPKKNANAAAQRTENRTLANQILSMTLAFHLLGDNPPQFVKLGHN LFANFEDPMLKYYWRYLRSMVPCTVMFPFVFLLCQMSYHWSPENNALLPRQERGVLAS TMLFDCTNDVVVRELIFADKAVKERHVLKENGDVWFMTVIMKLLCRPLHSPPEVSTNR VHLLKADEIFAHMTKWSVHRGGCIYLEVEVKRQHLKVSKRIKPVAPIVLQQLSSAPNM RNHSATAAAVSNGLGSMLIDGDMQDENAGNSSIYSNSYYYQTRLDTIVATPTSYTFDK DDKSSAADKIGTLVVHRLFGRCVRPQFLAPPALDSAIESKLAAREAADVGTANLYASI LCSIYTSSQTSTASYAVATSLITAAVSRALEVLEADAKTTSDDQYQDTFNGSVVAVFL RRVLSSQGASNNLFVRYMRSMKVQLAWLLQTCQADNHNKSAAFLAALRRKVGLRLQLV SITASVSTSPCPHRNGIVKLLFSLLGTSIVSQGGSGGGGGTLFEWIIDLIPVIPSTVF ADHHYKVLGPS H257_13677 MEQPETLPYRQPPPSSPRNNTSSTSHSSSSRTTSAISGPTPPTI STATSIGGSSRSAYPPPPASSNLHRGGRSATANHTGSSSACLPPPSSSIKDTSRSKGD SADTHHHASRSHSMSSSRDANGSTRRSSPTSSSRSDPRPPVFLSTQGHRLRERHALLE MNRFRSHPADDGAPTMDLATNVLGMDARYIKDACTPTLTSKLAVVPGVFPTHNDHDEE KYHFFAKRRATYVAALPRGLGPMPSNWQRQYALFPDTPRLFDRLSPLLLQPPPSSSSV ATKKKKPATDNSFLDYLVRQVRRFDPHTAHVWMTELARGDVSIPALVQSFSGIPFEQW RASPESKCPLVDLVATYNVGLVEASWFIRINVIYQELNEMRRDRDRRVGDWFYHPKRF QRRSMEWTEQLIWSLHTTARQCFTHRLTGQMNKNKKTSSHKTHAPRPTTTSGTTSGPS ESNKPQQLVPPTISPDDRFRYVLQLAEYHFRLRLVDSSRFFNGLLSLYQKSLLLNSKH DNLGDECWPVLPMPVNHLFLVLGVIIKLLPAMLQDASATKLLVKITLAHFQVLLVVES RSRHHERLIVALCDILRLVLHHGADHLVQIKDDVLKQWPACVLTDEFFPCDDPAARTA ELEYLTTALRHVYARKARLAEFHSTVARRRKKEAPHDKTCEARLRNQVDMIQVLDDFH SGNSTVEVADVYKLIFDDKAPHDDGSVDVNAVTTICEWAVTIHRSQEYKYITAAYILE MRNDAILEQRSTTTSSQQQHQATLQDTLVWFLKSYEPQHAVELTAVLELFSLLIRRRL FVLESFIESVANGLSVPPSSTSTSNSSSSPSAVLCQPKFQFEFGPTKGGFNVDRLREL TLPDRLRLYLWQLPRGDTPLSTHVPMELTVDNDEYMVATWMELVHVTRNELKRSRALE RVMILTHQVFQPSSTSPSSCSTTSGAAMADTTTDPGDNNVELDQMAKIFELYSLLKKL SGHDKGRYAAWLLRQVYDQTTQLSDVPPFFILNDLNTVEHVLRLTWLLLELTDVLSLL QLLIHCLRHAPVYVIKSVVLPILDRHHATFYACHDILSLIQAFEYRCMAFRAAGLDPD DSYQTIALFICRIYQRHSKALDKALQSLQLHSPPEVLTKAFFTLLKDDLKASKDTKDG ITSLEGVNQKFAFPKDKDTMAPDMQELMARVFAALQRPRDAAATVPFTHVMGTVDKHD VACRDAGVDQAVQAILRHGQLTSQQRIFMFRVLLTDVMDKWMAMLHVATSKAAANGAQ NHHQQSIYVQVPQYMHRCVHVLRDVIDGHEEGERKLMRDTLLTWLHKEVITAFNGVEP TPKGQAEKPKPKNVFVKSSAVDATSGKDTFAANLKGHLDKIQYGLKLFLMALVVHGIV DLTQVLRFVLVPGFPKVYIYILSKSWTRADSPNIYHRSIDFV H257_13677 MEQPETLPYRQPPPSSPRNNTSSTSHSSSSRTTSAISGPTPPTI STATSIGGSSRSAYPPPPASSNLHRGGRSATANHTGSSSACLPPPSSSIKDTSRSKGD SADTHHHASRSHSMSSSRDANGSTRRSSPTSSSRSDPRPPVFLSTQGHRLRERHALLE MNRFRSHPADDGAPTMDLATNVLGMDARYIKDACTPTLTSKLAVVPGVFPTHNDHDEE KYHFFAKRRATYVAALPRGLGPMPSNWQRQYALFPDTPRLFDRLSPLLLQPPPSSSSV ATKKKKPATDNSFLDYLVRQVRRFDPHTAHVWMTELARGDVSIPALVQSFSGIPFEQW RASPESKCPLVDLVATYNVGLVEASWFIRINVIYQELNEMRRDRDRRVGDWFYHPKRF QRRSMEWTEQLIWSLHTTARQCFTHRLTGQMNKNKKTSSHKTHAPRPTTTSGTTSGPS ESNKPQQLVPPTISPDDRFRYVLQLAEYHFRLRLVDSSRFFNGLLSLYQKSLLLNSKH DNLGDECWPVLPMPVNHLFLVLGVIIKLLPAMLQDASATKLLVKITLAHFQVLLVVES RSRHHERLIVALCDILRLVLHHGADHLVQIKDDVLKQWPACVLTDEFFPCDDPAARTA ELEYLTTALRHVYARKARLAEFHSTVARRRKKEAPHDKTCEARLRNQVDMIQVLDDFH SGNSTVEVADVYKLIFDDKAPHDDGSVDVNAVTTICEWAVTIHRSQEYKYITAAYILE MRNDAILEQRSTTTSSQQQHQATLQDTLVWFLKSYEPQHAVELTAVLELFSLLIRRRL FVLESFIESVANGLSVPPSSTSTSNSSSSPSAVLCQPKFQFEFGPTKGGFNVDRLREL TLPDRLRLYLWQLPRGDTPLSTHVPMELTVDNDEYMVATWMELVHVTRNELKRSRALE RVMILTHQVFQPSSTSPSSCSTTSGAAMADTTTDPGDNNVELDQMAKIFELYSLLKKL SGHDKGRYAAWLLRQVYDQTTQLSDVPPFFILNDLNTVEHVLRLTWLLLELTDVLSLL QLLIHCLRHAPVYVIKSVVLPILDRHHATFYACHDILSLIQAFEYRCMAFRAAGLDPD DSYQTIALFICRIYQRHSKALDKALQSLQLHSPPEVLTKAFFTLLKDDLKASKDTKDG ITSLEGVNQKFAFPKDKDTMAPDMQELMARVFAALQRPRDAAATVPFTHVMGTVDKHD VACRDAGVDQAVQAILRHGQLTSQQRIFMFRVLLTDVMDKWMAMLHVATSKAAANGAQ NHHQQSIYVQVPQYMHRCVHVLRDVIDGHEEGERKLMRDTLLTWLHKEVITAFNGVEP TPKGQAEKPKPKNVFVKSSAVDATSGKDTFAANLKGHLDKIQYGLKLFLMALVVHGIV DLTQVLRFVLVPGFPKVYIYILSKSWTRADSPNIYHRSIDFV H257_13678 MTQWMESHAGAQAELAKVRAEGDWVMIKIEMEKKELDATLQEIQ MAKAQIAAIHTIKLHECPTASTSMGCVRDLVKHVKALQTHEERQKGHLSEDLSKLTLL KQAIDEARRERKDALTIQADENQRATHMAATVEATHAEIAAIKQRDAALADQIRQLQV DINDDQATYLAAKKQLMDQLHECHKQQGHSQSSDRARQSGGGAASPTHVYKKKSNLSW LRARNKVCLVKRTESLEQKKHLLDTLLHTSKLPHVHVFWEKYHVQEADKAALCVDIER QASENAAMKVKIQQLEAEKIKLQGHDLPTMEGLNPYCQDIRNEAKKSREHTEKLVAEA KQLDNLAKSLFDPLEHLFALVTSPNQPNAPRDNTVTGKTHLERLAVIEEQVTQKVLSK IAHECATHNGNWQQSASLIRLLQSRGVLRPRLVKFPPLLPTSVGVPGTSMDKGGNTDG DDDDANDDENDPRDDNNPTIFKGDDPHLVALHSKELLRELRERHAAHIQAATTLETNR KSLLAKSKSRRRISVRQPSDDNNTTTPPAVNTTSPRKTSRRPSVVAETELASSSMMIV APPPPNESNPTAQ H257_13679 MAVPNVHNGFTRVEYDQHVRHLVHRRINPHSLYDEVTLIDELTL YDMFRQPRPLATTPLTVPPTLLQSEMQCPVCLGIVRNATVIKSCLHRFCDKCLNECLR SGIKECPSCRAVIGPKRSSLRRDETFDKLVATIYPDLERYEAAEDERIASANTPKRLH HDDNPMSPSGKRAKATAQVGSAEPTTRRTSPRERCRLANLSTRD H257_13679 MAVPNVHNGFTRVEYDQHVRHLVHRRINPHSLYDEVTLIDELTL YDMFRQPRPLATTPLTVPPTLLQSEMQCPVCLGIVRNATVIKSCLHRFCDKCLNECLR SGIKECPSCRAVIGPKRSSLRRDETFDKLVATIYPDLERYEAAEDERIASANTPKRLH HDDNPMSPSGKRAKATAQVGVRAIHLLSFHVIVV H257_13680 MPRTDLPTRPRRVESCELCGRKDGEKKVWRKILWEEQPYDDCYV DSSFLEQLRTNENVREYDYWGMSKASAAITQQLSLVFIFFAIFVNSREHVWSWQLLAG IDIVVAVSGYFVMFYCAQSELDMWQGVKEGMLFSATLSILSPVLRTLTESYAVDTIWA LSVALTGIHLITHDYTYINGTTYKYAGTISLNAAIFTSVLLASLLHSNEQVFSFVLFA IEVFAVSPIAQHNIKKTSEHLHVALALLLFCVALALMWPISPSISVVVFVAVGFITFV CPLWLMHAQEYKNEIQGPWDIAKVEPQQ H257_13680 MPRTDLPTRPRRVESCELCGRKDGEKKVWRKILWEEQPYDDCYV DSSFLEQLRTNENVREYDYWGMSKASAAITQQLSLVFIFFAIFVNSREHVWSWQLLAG IDIVVAVSGYFVMFYCAQSELDMWQGVKEGMLFSATLSILSPVLRTLTESYAVDTIWA LSVALTGIHLITHDYTYINGTTYKYAGTISLNAAIFTSVLLASLLHSNEQVFSFVLFA IEVFAVSPIAQHNIKVQTPLRARYG H257_13680 MPRTDLPTRPRRVESCELCGRKDGEKKVWRKILWEEQPYDDCYV DSSFLEQLRTNENVREYDYWGMSKASAAITQQLSLVFIFFAIFVNSREHVWSWQLLAG IDIVVAVSGYFVMFYCAQSELDMWQGVKEGMLFSATLSILSPVLRTLTESYAVDTIWA LSVALTGIHLITHDYTYINGTTYKYAGTISLNAAIFTSVLLASLLHSNEQVFSFVLFA IEVFAVSPIAQHNIKVQTPLRARYG H257_13680 MRAVRPQGWREEENVREYDYWGMSKASAAITQQLSLVFIFFAIF VNSREHVWSWQLLAGIDIVVAVSGYFVMFYCAQSELDMWQGVKEGMLFSATLSILSPV LRTLTESYAVDTIWALSVALTGIHLITHDYTYINGTTYKYAGTISLNAAIFTSVLLAS LLHSNEQVFSFVLFAIEVFAVSPIAQHNIKKTSEHLHVALALLLFCVALALMWPISPS ISVVVFVAVGFITFVCPLWLMHAQEYKNEIQGPWDIAKVEPQQ H257_13682 MTDHIILLPVTTPYSIPPRRAEATILPCMMQPSTSYNVMGKMTD GPSSTAPSLKITAPSTPSLLAFAKFKEREAMGSPTSPGMSSMTLPARKELAEAAAFRA SSFHDDSSSPDDEDAGNDDDSDDSDMPRDCKANTGRWTDAEHKLFLKGLECFPYRAWK KIATLIKTRSVVQIRTHAQKYYQKLAKEEAKGKDRSSVTGHITADAANHFMHSNAAVA SSAFASSSTDSTDHCANTRQKGALNSMKKRKFSFDEGHYTTPAASRLSKRKDVTLPPL GFASVDTSKLVDNNLMRPTVAATAAIEFEKPSSRISTRQHAMMPLSSVTRRQAMSAFD VPTMILDYPASVDDKPLDEYDIIDESLSRMTPVNDEDMLHLTDEDWFSSSSENDRDAG NKNSLDDSCSPLSSPSHQYQMLSLKKSHNAFLYCDTSNHPTDATTPFDLLEPETDPYS ILFDHADEPQAVSTYVDEFILDPQTFLTSYFGKEG H257_13681 MAQNVRGADKSASILAYFDALARSEGNAVKNRSDGYLIVESGTT VVTKQRGKALFNTGFSEHNNLHLHPEEATCLAHRGVLDIRLADNSSSAASTSSLSLHE LNDQLSVCVPRECREVYCFLKDRKFIPRRVRRPAVSTATHVSIAYAVHAPGKKSDPPL FHAVVFRMSDVMPSLDLLLSLTPTRSPEVPSHPHDDDSAPPVDIPIKLFACDGEGGVI PLECLVPAFPTLVHPPDI H257_13683 MLNVSALTARLQDQTTSDQVFLGQCLEDYSEVVVNCDDVADSLC PIFDKVLAHSGEDGVRVLTNFTRREFDVLWEVVELPLKVR H257_13684 MTLAVLKHYNSWEKLAMKMPSMSELRANNGCFVNYPYALYATDV KFQSSERPAGRLGEAKPYFSAKHKMYGLKIEASVSPQGLLVEMSEAHRGDVADLTIMR SCMEQHQQVLKKTDQEPNIHDHGEQVDSHPSMWAALVDKGYYRAMADIFTRRKTRLVE CSTLKTLCETAKCHRTE H257_13685 MKGFIGLVLERLPVQDTHTVTEAPVRGIPPPPPAVTVTEIWRML EREFGESSATVSLTTSLSSSAPLRPTTAMWVTDHFKVMGTLRNCINTQSVKCFGEPIV SEELVSALFLSLLPQQYFGSSVKFTKDSFTMDKVFQLVLNTFWSKSKRDILSMSTGFG KSLNKSEFPVGQILANERKRKPDGG H257_13686 MEAVCEDEHFLTTTHLVTWVKFNNPQWLDEYTSSKPSDDRAYKS LIQWCLNFANRHDFRQCVPYALKASQGELSAIQDALSTKLYVKFRHIPKRAWMKFDET PMYFDMFLNLKCDVSKKSCKILEDELFCGANLQPLPANTTSVLHPLDVGVMSPFK H257_13687 MPPPSTLLGRSARFSVRIWLYMTGLQHQAQLIRNLILDWKYRAS TEDGMVIMAQNLLNLLWRSVRLLLVPDVFFRFFAAVVSLQVLFELGAAARRVGLKLLL QCSAKGRQRLKLRTAMERATTLEKRSALGQELDVLEGHDKWRDDPSSGLFLYERVQRK IAMYRRLQSERDIMGIMFSLRAGLLRKHWGLGNPRLYGVSHVGTKHVVDEYMEAVLTS MDLVLQSRGSWSSHTLPKSHDDDDALSLDNKLAFFSETRHAFGRSALMLSGGGGLGLY HTGIVKTLVEEGLLPTVLSGSSAGSIVAGCVGVRTDEELSEVFQDGHLDLSFFDANVT ARERAHYTPAWLAAVEGFVPGFAKLQEAVVLAGRFLDKGYILDISRLKQTLQNNMGNY TFREAYDRTGRIINITVTPSQSTDYPQLLNYLTAPNVLVWSASLASCAIPMVFSPVEL YGKDQHGNIVPVYREGLKWSDGSVECDLPMERLSELFNVNHFIVSQVNIHYKFISGYE SHGGGDILGFLKKQMKAYIKNIAEFGLNTSVLKLFGVGLVPLLTQTYEGDITISIASQ VSFLQLAAQVLTNPSPSSFQDLVLAGERSTWPHLSRIRAMCRIEFALERAVRYLRGEQ ALEEEKRTGISSLGRVPSFYTSSSSLTLSSMAQHPVKETLPPSSAQPPTFSVSSTEAS PGVPIRRNKSINVAGAVMNMESVLGGFGARHGASFE H257_13688 MSSGGGVPPSDQQQPTSARVSPEAWDDLETFKALSRGRVDPLTD PLLQATTELKRSPVRKVRLDILQSSLASRLEGGSSSSPIRKKLKKHTSSDPLETLPVG PNLAVALGPLGSFHGSRRPSSTSSPFAHLDSKPSPVVGSFLNGGAGSSSSSGSSFGDE ERTYCTLSDRIGCHYTPTSRALTKQEVARVANMLVAHPKPDKQTPLAGSPKKREFIAK SPALERTLGHRMSSVGDDEIANAESLPSLSSDGGTKPVSLFPEGSRAFSRHVNSSNAS HTFKVPSPTHSPTKRDPIRLNLPKSSTLHHHSFHVKAKTVLEPLTPRTLQVDAHLYQS TKANFWKAVEANVSSVTPMNPRWPVEILHRLQLPPPAFRHVPDLLAQHTAICESMLGA MLDEANVDYTAAVARAMVQYALLDDRQAAIMGIVPSYLHAASQWWTSDLYSSFKWRVL RQTHVNRDAVKECAEYVEVFLHTADPALLSLQAQWLLEGPPAEWESRDGLPWTPYAQL LWTDVQSPSFRASLPRTVASFVDHMTRRVEVVKDCLRTHWITSSAKKVDACLPPVVAV TPSSIINPASPASKPQNGMKLASGGHQSSLASFRSMHSHQPNHPHHRHAVTTTDDDND VLVEDDLFLYNHHIGVLNAASVLMSRQLRSNVDASVTAFLEFFDQCAQDYGEAILALE VVCTSTSKASTTGDLHLASGSALVRLDPPASVVQERILACVTLLVEAASIFPRIDAQT SVPARIRNKAVLGPCTLTSDDSFVVASKKQIAAAMTTHLAQAGRVLDAFMPFQVLLDG SLEQRLTLLVELPPLDLALLGIELKALQDLDTSIQSLIQDHYYFPMFAVYCVDAKDVL RQRVKDLSHVLLAAASAENIRRMTAMGQTYEQTVQTLMADPLDSAELKTLQTFYDSSM ATFAALHDELYTNVCVSVRFLKEHAFQMSREEVQLFFMTFRWPDTVVNFQRKSLERQR DRKRELELVVDARQEHLTTTFGTCQKKIEKLREAGNMQDAAAVTKRIEAVMKLIADID DEAQKIRDQQTILDMTPPTDNDKMIKELQEMLMPMEKLWTTVAQFTDQINLWRGQPLY LVNAEDAEKEADGFRRNIVKVIKECEKVGDVLDAPVAVARQAKKMLDEMLESHVPLMH LICTPALCPRHWDEIEGITKLNLHPPSGDMTLNHMLEVGLQKFTAQIEDICVGAAKEY SLEQALDKMEREWEGVEFHTKPYRTTGTSILCATDEMQQQLDDHMVKIQSIRGSRYNK PYLERIGRWEKILVSIQDITDQWLKVQATWLYLEPIFSSDDIMRQMPTEGMLFKRVDS TWRQNMDDTIAEPEATKVAQRKGLLDTLVQSNADLETIQKGLNEYLETKRLYFPRFFF LSNDELLEILAETKDPLRVQPHLKKAFDGINLLEFQPNMDITAMLSPENEKVPFLFDK IAQSQINPNATGGNVEIWLQEVETTMRKSVAYHVDLSMADYPKQDRLQWVQQWPGQVV LAINQTVWTAQTEAALVGGSNLDPMKAHLQMLRSELLRTVELVRGELPKLTRITLGAL VVMDVHNRDTIAELVDKKISDKSEFDWLAQLRYYWVAGGTSALTGKPGTMQCRMINTL ALYAFEYLGNSMRLVITPLTDRCYRTLMGAIHLNLGGAPEGPAGTGKTETTKDLGKAI AIQCVVTNCSDGLDYLAMGKFFKGLASSGAWACFDEFNRIQLEVLSVIAQQVLCIQIA KAQKAVTFMFEGTLLSLNPTCCPFITMNPGYAGRAELPDNLKVLFRTVAMMVPDYGMI AEIMLYSYGYMDASALSKKITTTYTLCSEQLSSQSHYDYGMRAVMAVLRAAGNLKRSE GHLKEDTLVLRSIIDVNLPKFLSPDVPLFNGITSDLFPGIIVEPPDRTDMLEAIHHVC SQMGLQAVPNFIEKVIQIYEMMIVRHGFMVVGMPFSGKSSSWKVLADVLDTLHKKFPN DVRYTSVVVSVINPKSVTMGQLYGQFDDVSHEWTDGVLAINYRNLATSPTPDRKWLLF DGPVDAVWIENMNTVLDDNRKLCLMSGEIIAMSPVMSMMFEPMDLLVASPATVSRCGM IYMEPEQLGWQPLVDSWLDLTTIPPKDPTDRKLYLTDDQRATVRTLTSWLVEPCLCFV RKELIELTTTVDANLIQSLLHIFEAEMLNVKAADVGTKKGLQHLESLFVFALTWSIGA TSSTDGRTRFCEFLRAYMESMQVLTTKFVIVGRALQVRNWTKPTAFDTYTLASPLPTK GNLHDYMYAVDDAKWIRWEDTLKEYTIPPASPFSAIVVPTTYTAQLECLVTLLVTCKR KVLVCGPTGTGKSCYLNGILNEKLSAEHFSVIMLSFSAKTSAYMTQNIIDGKLDKRRK GVYGPPVGKDGIIFVDDLNMPQIETYGAQPPIELMRQFVDSGGWYDLKEMTWQKIVDT IVVTAMGPPGGGRNTISPRFQRHFNVFCFSEFDDATLVRIFSTIVAWYFNSGPFLPEI RKLADAVVAATLETYQNAMKVLLPTPKKSHYTFNLRDFSRVIQGIMLIPASDDFNTTG LVKLWVHESLRVIGDRLIDDEGRAWFCEFQRKMVAKHFSANFDKVFVSLKRGRDNGGA ITPQDMRNLFFGDYRDPDANPRLYKEIDVSCSAEVDGIAQLIACLDTYLGEFNAVSRK PMNLVMFLFAIEHLSRIARVLKMPRGNALLVGVGGSGRQSLTRLAAFIMDYEVKQIEI AKNYTMLEWREDMKYVLKTAGTGARPLVFLFSDTQIKYESFVEDINNMLNAGEIPNLF PYDERVGLCEGVRPYAKEKYGKAAGDMTPTQLYAFFVQRVRQQLHIVLACSPIGDAFR DRLRKFPSMINCCTIDWFTAWPADALVAVAEKFLRDVEMESDGIRRGIVDTCQYFHVQ VEELSSLFLRCLRRQNYVTPTSYLELIVAFKSFLSQRRDSVMKAKLRYQVGLEKIQFA EANVSVMRKELVDMQPILDKSTKDTAVLMEEIQSKLPGVEKTRAEVQADVAIADASKM ECEAQKASVEADLAEAVPALEEALKALDTIKASEINEVKAMANPPGGVKLVCEGVCVM LGIKPNRIPDPGDPSKRIMDYWGPSVKMLSDSTFIQQLKKFDKDNLDPKIMKVVVSKY IADENFSPEKAEKASKAAAGLCKWVHAMALYDNVSKVVAPKREALATAEKQLQETMAS LNEKLSRLKEVEDGLAGLQKAFKDATDSKVSLEGQIDLTGKKLVRAATLIDSLGGEKI RWKEFSAQLAIQYTKLTGDALISAGIVAYLGPFTSVYRAQAVTAWVARCKTLAIPCSD LPSLSGTLGDPVQIRKWNIDGLPTDMFSIDNGIVVFNARRWPLMIDPQGQANKWIRNM ENDNGLNVIKLTDGDYLRTLENSVQFGRPVLLENIGEELDPSLEPLLLKQTFKQGGTL FIRLGDANIEYAETFRFYITTKLRNPHYLPEVSVKVTLLNFMITPQGLEDQLLGIVVA QERPDLEDQKNKLIVQSAKNKALLKDIEDKTLQILSSSEGNILEDESAINTMNQGKQV ADQIKSEQVIAEATELEIDTVRQGYRPVAYASQVLFFCIDQLANIEPVYQYSLSWFIN LFILSIQQSERSAELAERLHVLDTHFTFSLYRNICRSLLEKDKLMFSFLLTVSIMQGR NEIDAAEWYFFLTGGVALSDIPPPNPCADWFSEKQWNELVRLANMPCYLGLVDEFKTY HVEWKGIYDSPTGHLLPFPGSFATATPFRRLLGIRVLRPDLVVVAAQQFVVVTMGESF VKPPPFDLALCYGDSSVQSPLVFILSPGSDPISSVLKFADATKQKIDTISLGQGQGPI AERMISLAMETGSWVVLQNCHLAPSWMPTLERITEGIKVDATHPTFRLWCTTYPSPVF PPSVLQNGVKMTNEPPQGLRANLMGSYLLDPISEPGFLESVNKGGEFRRLLYALCFFH ALVRERRQFGPLGWNIQYEFNESDLRISTRQLAMFVDENDVIPWGAIRYCTGECNYGG RVTDDKDRRTLACLLGRFYTTDVLQDTYSFDERQQYVVPPDLPYDGFITFIEGLPLVA PPNVFGLHDNATITKDQNETTILCANILRTQSSSSSGSGDAKGLSQEETVDAMAADIL GRLPDNYDMELAAIRYPVKWNESMNTVLCQELVRFNNLLAVVRLSLKNVRKAIQGLVV MSAELENLSLSLFYGKIPAMWIAKSYPSLKPLASYVSDLLERICFFNGWLQDAPPPVF WISGFFFTQAFLTGANQNFARRYTIPIDQIAFDHEAMPRSEYAAGPKDGVYVRGLFLE GCRWNKVEGQLTESQPKILFTSGPVLWFRPSKKSDLVPKKSYNCPVYKTSERRGTLST TGHSTNFICFIRLPTNLPEAHWVARGVAMLSQLDD H257_13689 MFYRRRSLMEAPTTTSTLNTLDVVNFGLIFMTLALTFGMSVMIL QRSHVMVVASSLA H257_13690 MALELDCEEYFVDDAAMTTATSPEDIDMELVDDWYSSMLAQERA QFHYAPQPHYLKVRLVLVDWTCDVGEELDVPKSIVHTAVNYLDRLLNVPCALPPQSKL QLVCLVCLWIATKFASVDCEVPSIEEIYAYGHQQYTLDEIKAYEMATLKALHWQLNVL QPIHFTEFYLTNPPLFPDDDINGYQLADPTAYATVYTKHVEFLTEMCLQEHAFQQWLP SVLATAMLCVARRIVHITPVWREEIEINSGYVVDEIQDCFHAMWSHYVHHFSKGTADE PSPTSVAAVSQYQHHQ H257_13691 MQLPPMSSTSVPTTPSAPEDASASSPEEQLSVFFNVAGLYQKIV VQDGWFVGDLIKFMAKISAELHPHADVPECNAIRNNQTRKFVALADPATRALVHGNLY DLLYVEKAAASSSTSALPLALHDEIQVVFYSNPLGITIKPVGDGTYVVATKKKELNCY RRLTPGMQVVSIGPASLTGMDFREFHTTMVNSEFPLTAVFRATTESIEIGNNNNVVSS ASSTATGDDGQAKQPHPEATSSSAPESPQEKDPSSIDKVPATKDTTQPPPSNVDTFNV PVPVVFHDDGSVEGRVRRYRPSVVVDSPRNDVSSPSQHPLHASTPADHQLPSFVPLMA TLQDEVRLEEGLKSLNEAFYKKKRELNDIVDRIREYTQQLSAVRAALSAGTPLPPHPP SSSSIASGGGLTFDMLQQAQAAGGPPPRGGTSLNLAPRHQRKPSSVVSGVSSCTSSIL HHATSHHHRPPPPQSSRVYLPPKSRQGVSKGHHMSSSASVCSLTSTCSIDRMAFKKNR LGKYGDTPAVSSTGTLSGRTKKPSTLSTTAFSSTLQLPSSFSTKGAVIPQAQTPRGSA FLNPKSTTPGVGHYDVKDLSKNVRGGEIGDSDRDLTWS H257_13692 MSTVDKDNPDKPDGDGHVHKKFQRISLGCLTTKNPIRRICIRIV SWKWFDRFIVFCVIFNTIILGLTDYTDAWVDGPNSTIWINWFIDKCNYVSFYIFLAEA TFKVIAMGFCFGERAYFSEGWNRLDFVIVVSGVLSMLNFKGVKVGYIRVLRVMRPLRT LHSLPGLKVLTNSLLASLPALANVFVLLMFCTLVFAILGMEMYRGAFHYRCRVTPYPV KLPPNGTLNYPPDAAYIALVQSAPHLYQCMMTGSIPITQNMSMWPEPQDCFWPTDPGE TTPKLCNPNSVVGRQCQPDFVCGSNYDIEGSPRFTYMELAPWNQSSGDDALFNSNLNY GFTSFDNLGRSAIIILQTLTASGWMVLTQTTQTTGSPVVGGIFFTVLMYVGMCFLLQL NMAVLFSEFEKAKELQAKLLLKELQRKSVILASLPPDAGSAKHRPAKLPDRTTTNSLT STQPGDVAVQSSLRRRFATLRARMHVVVTSKKFINFGLLVTVANIIILALDHHDIDLD SKAVYEKMNFTFMLYFGMESIMKMLGLGFRHFWTDKFNRFDVLTFVLGVVEVIVHPPA FIDGTPGGGGFFTAFRAARAFKLARMWKSLNQLLTAILASFGEILNFLLFLVLFLLIF SLIGMELFATKYQFDPNNFSMPYNNTNPQTRLHRSNFDSIQWAFFTVFQILTYDNFPS VMYDGWIAVGTITPLYFALVIILGVLIVMNMFSAILVQSVMVDKDEDIDDDIALDRDA TALALEVANYADGSPDNVAADGTTLNAILSSRKRSGNSPDRRGSVTPQRLRMTKRTMQ QLMRMINSTTPPPQPPVSTYGAPEDGAAVQVNGGKSLYLFAPSNPIRRLSRFILKRRE YTWVMSSIIFVSCVGTALDSPLQDTSTGIGLVLDSSNLVFAVIFSTEMALNVIARGLV QGPDAFVKDSWRLLDGFIVFVSVLPYCLGDSKSDALSGLRSLRAFRALRPLRVINKLP SLKLVVNTLFRCMPDMGRALLFAFFMLFLFGLMSLSLFKGALHTCSVMLEELDVMTFP RPWTDLTEPQREALRPVWNQPGCGPFADDFTPTSRDICLCFADQNGTSWNRQTPQSFD NIIRAVGGLYELTTMEGWTSVAIACIDAVGENMQPIANHNPAMMFYWWLYIIICAFFI TNLFIGVLCDSFQRESYGSMVTDEQVRWIKLQKKVLAMSPLRSFPRPKNVVRAVCHRI ATFNYFEHFITLVILVNTGCMAVQGFGQSKATELTFNTLNTVFSVIFTLEATVKLTSF GRVYFEDGWNRFDFVIVVFTLLSMMLQAVNINVGSTATVIRVFRVGRALRLIKKAKIM KNLFDTLIVSLPAVGNVVSLLMLLYYIFAAVAVQLFAKTGFDGNMINEDQNFESFWVA FQTLIGFSTGENWDNFAWEVFNQVPATNPTCEDRSYNASMCGFNDVPGCVPLDGCGSM LILPFMYFFFLIMGYVGINLFSGIVVDAIGDSSSDCPVNVNTLAEFSDRWAQFDPSGS GLITADELTDFLYTVYPPFGFKGVPGFTRRRVVIAIGELDIPIYDKKFVHFKDVPRAL VQRVLAEGDKTKYAEITDVMEKLGINRQFDEMWFRNHGKKHQNTLTKRQKAHVKEYSA SVVIQRFLERVRLEKVRQDTGSTRNLKINQESVGGSKERIQLQPPQCLHGESREIMHV ESVGAGEATGGEPNIPAIVETYMAPAASIDDLQDVVDGVA H257_13693 MIRQFQRMALGCLTTKHPIRRTCIRIVTWKWFDRFIVFCVIFNT VILGLTDYTDAWADGPNNTIWINWFIDSCNGVSFYIFLAEATFKIIAMGFCFGQRAYL SEGWNRLDFVIVSSGFLSILNIKGIKVGFIRVLRVMRPLRTLHSLPAGLKVLTNSLLA SLPALGNVFVLLMFCMLVFGILGMEIYRGAYHFRCRVTPYPVVLPPNGTFNYPPNASY ISLVQQSPDQFQCLFPNGTQISKLNDVWDEPLDCFWPVDASEVIPMVCNQELDTGRQC QGNTVCGSNFDERGNPRFKYLLQPPWNGNINDDALFNSNLNYGLASFDNLGRAWLILL QTITASGWMVLTQTTQNTASPVVAGIYFTALMYIGMCFLLQLNMAVLFTEFEKAKDLQ AKKLREDIGRQSLMLAKMPSINRHSMRLFKPADLFTRVSTVAVRRGDVIVQSAIGRQF LHLRAEILKIVVSREFINFGLVVTVSNILVLSLDFHGIDVATKNNYETANFMFMLYFG VESLLKIVGLGLRRFWADKFNRFDLLTFFLGVVEAAISPPSFMDGTPGGSGIFTAFRA ARAFKLARMWESLNQLLTAIFNSLSEILNFLLFLLLFMLIFSLLGMELFATHYQFDPN NYHMPFNNTNPQTRLHRSNFDSIVWAAFTVFQILSYDNFPAVMYDGWISVGAWAPIYV SLVIILGVFVVMNMFSAILVQAVMKGNTNDDDNDDDIDSMILDSKHMDGGMRTKSTRI LRRVMDQLARLQFPPPPTSLANDDDAPKRPVYLGRSLFLFAETNPLRRLCTALLQRRE YTWAMSVIIFISCVDTALDSPLLDPNSSLGTLLDQVNLLFAVLFSVEMAINVVSRGLF IGRDAFVKDWWRVLDGFIVTVSILPYCFGNLNKDALTGLRSLRAFRALRPLRVINNIP SLKVVVNTLFRCIPDVGRALLFFFFMLFLFGLMSLALFKGALNTCSVSPYNYGLGTGT PVNPPWFPTDYTGDFNIVNVTVLEELDVMTFPRPWTKLTDPQKDAIRPVWNQPGCGPF ADDDTPTSRDICLCFARQNGTSWNPQTPQRFDNIFYAITGLFELTTMEGWTSVALACI DAVGENMQPIANFNPAFMIYWWIFIIICAFFITGLFIGVLCDSFARETYGSLVTDEQI QWIKLQNKVLAMSPQHVFPCPTHPVRRAAFVVCTYGYFEHFITFVILLNTACMAVQVF GQSVATETALNALNSICSVIFIFEAAAKLASYAIGYFEDGWNRFDIVIVLLTIVSLIL QAFSIDVGSAASVIRVFRVGRALRLIKKAKIMKNLFDTLIVSLPAVVNVVSLLSLLYY IFAAVAVQLFAKTGFDGNMINENQSFQNFWTAFQTLIGFSTGENWDNFTWEVYNQVPA TNPTCEDRSYNASMCGFNDTYGCVPLDGCGSGMILPFMYFFFLVMGYIGINLFSGIVV DAIGDASNDSRVNVNTLAEFSDRWAQFDPSGSGLITADELTDFLYTVYPPFGFKGVPG FTRRKVAIVMGDLGIPIYDKIYVHFKDVPRALVQRVLAEGDRAKHAEITRIMEQLGIN KHFDEMWFRSHGKKHQNTLIHRQQVTAREYSATLVIQRFFEKVKLERQRRRNLARIHT YGTDITEQTLNVGRAVVARDMDVESVEANERLDEAAASAMGVALDAAGGEEGTAVVAP DIQVEGETAQLQYQGGSDVLDKSNTKEREANNLVDG H257_13694 MTNKVQSEENVAGHHHIDKFQRLSLGCLTTKHPIRRICIRIVTW RWFDRFIVACVIFNTVILGLTDYTDAWADGPNTTIWINWFIDSCNGISFYIFLAEATF KIIAMGFCFGQRAYLSESWNRLDFVIVTSGFLSILNIRGIKVGFIRVLRVMRPLRTLH SLPAGLKVLTNSLLASLPALGNVFVLLMFCMLVFGILGMEIYRGAYHFRCRVTPYPVV LPPNGTFNYPPNASYISLVQQSPDQFQCLFPNGTQISKLNDVWDEPMDCFWPVDASEV IPMVCNQELGIGRQCQGNTVCGSNFDERGNPRFNYLLQPPWNGNMNDDALFNSNLNYG LASFDNLGRTWLILLQTITASGWMVLTQTTQGTASPVVAGIYFTALLYIGMCFLLQLN MAVLFTEFEKAKDQQAKLMLKAENKLVSQLTFGFPMRTMHRLENAPGMKLLTRVSVAA AIHGDIVVQSTIGRRMQAWRECIFRIVSSKHFVNCGVVATVANILILAADHHDIPIRT KQAFEIANFAFMMYFGIESTLKIWGMGLSRFWKDKFNRFDLLTFVMGAIEAALHPPSF VDGTVGTAGFFTAFRAARAFKLARMWKSLNQLLTAILQSLGEILNFLLFLLLFLLIFS LVGMELFATRYQFDPDNYPMSFNNSNPQTRLHRSNFDSLPWAAFTVFQILTYDNYPAV MYDGWIAVGAWSPLYMSIVIILGVFIVMNMFSAILVQSVMDGNGDELPSPNDVDAGPH GDQCARSITHQRQSSIGTKSVRVAKRAMRKLLRLHIVHAFQPMRHVLDGPPAHLVPRG KSLLLFSHRNPIRKFCHYILNRPEYTYVMSSIIFVSCVGTALDSPLQDPTKGLGLVLD TSNLVFAVLFSTEMALNVIARGFIQGPDAFVKDSWRLLDGFIVFVSVLPFCLGNTKSG ALSGLRSLRAFRALRPLRVINKLPSLKIVVNTLFRCIPDMGRALMFAFFMLFLFGLMS LALFKGALHTCSVSPYNYGLGTGTPVNPPWFPTDYTGDFNIVNVTVLGELDVMTFPRP WTDLTEPQREVLRPVWNQPGCGPFADDFTPTSRDICLCFAKQNGTSWKPQTPQSFDNI LAAIGGLYELTTMEGWTNVALACVDAVGENMQPIANYNPIIMVYWWLYMIICAFFITN LFIGVLCDSFTRETYGAIVTDEQIQWIKLQNKVLALAPQRVHPCPKTYPRKGCYKVAT YMYFEHFITVVILVNTGCMATQYFGASVTTTETLNSLNLAFSVIFTVEAAVKFGGYGL AYFEDGWNRFDFLIVVFTILSLILQSMDINVGSAATVVRVFRVGRALRLIKKARIMKN LFDTLIVSLPAVVNVVSLLSLLYYIFAAVAVQLFAKTAFDGNMINENQSFQNFWTAFQ TLIGFSTGENWDNFTWEMYNQVPATNPTCEDRSYNASMCGFNDTYGCVPLDGCGSWLI VPFMYVFYLIMGYIGINLFSGIVVDAIGDSSSDCPVNVNTLAEFSDRWAEFDPSGTGI ITADELTDFLYTVYPPFGFKGVPGFTRRRVVIAIGELDIPVYDQKYVHFKDVPRALVQ RVLAEGNREKHAEITQVMERLGANKQFDEMWFRNHGKRHQANLLQLNKSHVKEYTASI VIHRFLARLRLNRARNLSKRNLRRAAFSPPIEEENDGPEILVPVRGRPTLILPQSGSA LPSLERPVLHKACGLTVSTQTDFSTALLPTAHGGLPITTDQALSSPTEADVRLASTGL FTMVNELTLPIPANFSPQTSLPGRATGVATGGPPMYPPGPELSMLTVPHGPPMALNHV LSSPTKADVSSLPSPDFTAPIDATDPLSPPTETNLFPGGPAVADTARPPHDTTSTPQT PPHVAHPPCEPPSPSSASAQQ H257_13694 MTNKVQSEENVAGHHHIDKFQRLSLGCLTTKHPIRRICIRIVTW RWFDRFIVACVIFNTVILGLTDYTDAWADGPNTTIWINWFIDSCNGISFYIFLAEATF KIIAMGFCFGQRAYLSESWNRLDFVIVTSGFLSILNIRGIKVGFIRVLRVMRPLRTLH SLPAGLKVLTNSLLASLPALGNVFVLLMFCMLVFGILGMEIYRGAYHFRCRVTPYPVV LPPNGTFNYPPNASYISLVQQSPDQFQCLFPNGTQISKLNDVWDEPMDCFWPVDASEV IPMVCNQELGIGRQCQGNTVCGSNFDERGNPRFNYLLQPPWNGNMNDDALFNSNLNYG LASFDNLGRTWLILLQTITASGWMVLTQTTQGTASPVVAGIYFTALLYIGMCFLLQLN MAVLFTEFEKAKDQQAKLMLKAENKLVSQLTFGFPMRTMHRLENAPGMKLLTRVSVAA AIHGDIVVQSTIGRRMQAWRECIFRIVSSKHFVNCGVVATVANILILAADHHDIPIRT KQAFEIANFAFMMYFGIESTLKIWGMGLSRFWKDKFNRFDLLTFVMGAIEAALHPPSF VDGTVGTAGFFTAFRAARAFKLARMWKSLNQLLTAILQSLGEILNFLLFLLLFLLIFS LVGMELFATRYQFDPDNYPMSFNNSNPQTRLHRSNFDSLPWAAFTVFQILTYDNYPAV MYDGWIAVGAWSPLYMSIVIILGVFIVMNMFSAILVQSVMDGNGDELPSPNDVDAGPH GDQCARSITHQRQSSIGTKSVRVAKRAMRKLLRLHIVHAFQPMRHVLDGPPAHLVPRG KSLLLFSHRNPIRKFCHYILNRPEYTYVMSSIIFVSCVGTALDSPLQDPTKGLGLVLD TSNLVFAVLFSTEMALNVIARGFIQGPDAFVKDSWRLLDGFIVFVSVLPFCLGNTKSG ALSGLRSLRAFRALRPLRVINKLPSLKIVVNTLFRCIPDMGRALMFAFFMLFLFGLMS LALFKGALHTCSVSPYNYGLGTGTPVNPPWFPTDYTGDFNIVNVTVLGELDVMTFPRP WTDLTEPQREVLRPVWNQPGCGPFADDFTPTSRDICLCFAKQNGTSWKPQTPQSFDNI LAAIGGLYELTTMEGWTNVALACVDAVGENMQPIANYNPIIMVYWWLYMIICAFFITN LFIGVLCDSFTRETYGAIVTDEQIQWIKLQNKVLALAPQRVHPCPKTYPRKGCYKVAT YMYFEHFITVVILVNTGCMATQYFGASVTTTETLNSLNLAFSVIFTVEAAVKFGGYGL AYFEDGWNRFDFLIVVFTILSLILQSMDINVGSAATVVRVFRVGRALRLIKKARIMKN LFDTLIVSLPAVVNVVSLLSLLYYIFAAVAVQLFAKTAFDGNMINENQSFQNFWTAFQ TLIGFSTGENWDNFTWEMYNQVPATNPTCEDRSYNASMCGFNDTYGCVPLDGCGSWLI VPFMYVFYLIMGYIGINLFSGIVVDAIGDSSSDCPVNVNTLAEFSDRWAEFDPSGTGI ITADELTDFLYTVYPPFGFKGVPGFTRRRVVIAIGTSQRHFS H257_13695 MGSDKYESKMERQEETTARHRKFQRISLGCLTTKHPIRRICIRI VTWKWFDRFIVFCVIFNTVILGLTDYTDAWADGPNNTIWINWFIDSCNGVSFYIFLAE ATFKIIAMGFCFGQRAYLSEGWNRLDFVIVSSGFLSILNIKGIKVGFIRVLRVMRPLR TLHSLPGLKVLTNSLLASLPALGNVFVLLMFCMLVFGILGMEIYRGAYHFRCRVTPYP VVLPPNGTFNYPPNASYISLVQQSPDQFQCLFPNGTQISQLNNVWDEPTDCFWPVDTS EAIPMVCNEQLDIGRQCHGGTICGSNFDGRGNPRFNYLLQPPWNGNMNDDALFNSNLN YGLASFDNLGRTWLILLQTITASGWMVLTQTTQGTASPVVAGIYFTALLYIGMCFLLQ LNMAVLFTEFERAKNKQAFLLENERECLSKVLATIPLSKRRHPLKIHSTQLLQRVSIV AAQQGDIMIQSSLGRRWLDFRKRMYRLVTSWAFVNFGLVVTVANILVLAMDYHDIDLT TQNNFETVNFIFMLYFGVESIMKIVGLGFRRFWTDKFNRFDLLTFVMGVIEAATNPPA CIDGTPGGNGFFTAFRAARAFKLARKWKSLNQLLSAIVSSMGEILNFLLFLLLFMLIF SLVGMELFATRYQFDPDNFAMAFNNTNPQTRLHRSNFDSIVWAAFTVFQFLTYDNYPA VMYDGWIAVGASSPVFASIVIITGVFIVMNMFSAILVQSVMDGNEDSNAESHQVDITS DSGNNPHDPTSNKAVDERSADRRSPPSQLRSRSIRAARRAMRMLLRLNEKNANPEDPT IIGTPTKDDVIHGQTNQGKSLMLFAHINPIRRLCVSILNRPEYTYVMSSIIFVSCVGT ALDSPLQDPTKGLGLVLDTSNLVFAVLFSTEMALNVIARGFIQGPDAFVKDSWRLLDG FIVFVSVLPFCLGNTKSGALSGLRSLRAFRALRPLRVINKLPSLKIVVNTLFRCIPDM GRALMFAFFMLFLFGLMSLALFKGALHTCSVSPYNYGLGTGTPVNPPWFPTDYTGDFN IVNVTVLGELDVMTFPRPWTKMTDPQKDAMRPVWNQPGCGPFADDFMPTSRDICLCFA GQNGTSWDLMAPQSFDNIVFAVMGLFELTTMEGWTSTCLACIDAVGENMQPIENYNPM IMVYWWLYMIICAFFITNLFIGVLCDSFTRETYGSMVTDEQIQWIKLQNKVLAMSPQR VHPRPKHYARKWCYKVSTYPYFEHFITTVIMTNTVAMAVQVFGQSVATEHALTAMNSV FSVIFTIEAVAKLGAFGRVYFDDSWNRFDFVIVVFTIVSFILQAVDINLGSAATVIRV FRVGRALRLIKKAKIMKNLFDTLIVSLPAVVNVVSLLSLLYYIFAAVAVQLFAKTAFN NSMVNENQNFQNFWTAFQTLIGFSTGENWDNFTWEMYYVKPATNPTCEDRSYNASMCG FNDTYGCVPLDGCGSSLIVPFMYIFFLIMGYVGINLFSGIVVDAIGDASSEYVNVNTL AEFSDRWAQFDPSGTGLITADELTDFLYTVYPPFGFKGVPGFTRRRVVIAIGDLGIPI YDLMYVHFKDVPRALVQRVLAEGSREKHAEITRIMEEKGINKQFDEMWFRTHGKKHQR ALVQKERSPLREYSSALIIQRFLGKARLERTRRLSKSVAYDGSTKLAHPSSSQQSHRS RGEDEGDDGGCTDDQGAQEQPPPS H257_13696 MAESDSDDELMRALQGHGQPEECAYCQTEGATFPCTKCNSVVYC DNGCLRRHFKAHRDHCIKVYLKEESESESEYEDDDDEEEEEEKADALSRRRSGRGSAL FRGSSQVFQNGSGSMMIPGLTEEQIAQLVKLSSKSTDVLKSSNEISGLKAQLEEMMKS QVNMQELAGRVTTMQQTQETQQQASSTSSTLLQKSASLKQHELEKLMHKLEALEQRAN FSGGGAPPTSSSSSSTMPPMMMMMPPPPPVVIYTPLLVQNDDKFKKYFKLLKMAMPVD QIKAKMEVEGVPPALLDTPTAISPNDPGAPPGAYLPLTVGEDPKFKKYFKLQEMHIPV DQIKMKMEAEGLDPDLLDHPEKVSPHDPGPPAVNGGGFAFPGAAPALLQPVAAPYIPL LVKDDPAFKKYFKLMSMGMPVEQVELKMKAEGFDASVLATPDAVSPNDPGPPPPQAPT PPVSGGPMGGVSMEQMFAMVMHHQQMIQSGAAGGSGMRTAPNGDGGAAPVRSVKDQMA AELAAASDAVHDIFGEDTVKATGGGMTMVEQLEKKARKDLNKKLVDNIDAINTTIQDL LTVQFTTEAQAVQYATDAAKRLDAFGLTLGVDANQTWSARLLIKNKDTRDWYFNEQQR MDAGHAYLRLWSLSTLANDVSQLTQKYDQIVNAPVVLSAQNKNKIEVIDKFTNLLKDA VKLKHKIFKNKTYDDELARMATLNIPQEYDKHGDELIDAAAILAAAALDFADEELRVL DKTVRAKKIRASATVHVGEKAVQLVGIVNKLGARGMDAVNARMGPLDEQLNAIKEQFF ADKDEEEEDDVL H257_13697 MQFVDVRTEWDAVDADLAGSPSPHKRQQHSRWREQSIVPGKVLH SNDTRTLDQRRRRRHRPRMAQTTTMVRHEPIDYLALRRTELSKRALMRMRDVVVQEIL APTASLEPRPLDKSTTILPLPSQMSFTPAAPIVWQPTANTVEASHAAQLHRDMQQLHA AQSCASAAVATEEAKVREALADVRNAVASMYTFTPTFRIWSRFKTLSAIERWQRFVTW HREEAARLKALTHFGVRIQRAFRRRRGRLAGFIDRAALRHAEWTSAIRVQSWMRRLLA THETHRLRESKVATLLQKIWRGRVGRATVKHMLRERLRTRLRYLSPTGSLHRLRDVAM YRGDMRRTLERMLTLVEDVHVSFRRNPSPDKQDQQDMPDDLHMSSKAVSIPAWYTAIA DLNALIAARHMEIDQAKRQYEAHRALRAAAARSQDTADKAHALADAIARVHRANELIA MYREDCESIEWVRSQRVAEVDCVHRAAIRDKRSEKDACMAMHMQELQTRHYISEHRWR EADRRRRRGEVDKLEAMRHMEADAAAARRQEYVNTMIRQQELRVQMETDQKHQRVQAW QLKTKEELVGLFERMEARKIENDRVKAERKELKRARQQQARDRAAALELTRIARLMEL DRQHDERLAIEAEDRLMRALLSQQKKADEAKVWDAMRIEANKARPDPLALPPDVLAAR QAVERERHAQLSMAEEESRTRVYVDAMAKATFLHQCKLRKRQVELDHKAEAKARMAME REELDEITRLRKIANKIAYNQTLKRMQAADEAYRAKQAEKLFEARCRKLMHNEEMRLH RLYTEVLHRERLRERKERHLMHNEDMYMQRILDEREKLRLRTMEKTHRVEMAVEDVRS HQWQSLEAQATSMGLAIWSARELKTLAAHVQHFPNMLRANIVLMSSIMGQKRDPPWKG VRWTLSDDPTPANEVAKSHARDMWSRIRYLTTIVYILNGAPPHLCVGRHKYAKRTVAA TEAKAGADALYAGDLPQALRWLRMAFRDGYKGPTLLRNIAKCYVKLYEAHLHDNDLST GWSWYVKACEHLALQASPSFLDEMARALYLLCRFQHAAEILARIIYSFPTYVRMPTVI FRAAMLMWHLGLYEQSTDYLLHLLESPPAPWTDLDLMFLIARLYLLDENKAHATFAYD DAFRRYRVHGFHFQYPSWKAWVGDAAVWRHFGAKALAANEYLVAKDLYQQVIKRRHDE VQVEHRQEERDLDWFHLAQCQIMLHEYVPAGQTVAHWLEAVPYADRVMARCKAWPREK WTSMGVVVPAWEQQDSTGVVPKRATATPPLKRKRKPLAKARKKRMRRPRVKYTSTAEL RQWVQIDDTNTGKTFYFNEKTFDVSWTRPT H257_13697 MQFVDVRTEWDAVDADLAGSPSPHKRQQHSRWREQSIVPGKVLH SNDTRTLDQRRRRRHRPRMAQTTTMVRHEPIDYLALRRTELSKRALMRMRDVVVQEIL APTASLEPRPLDKSTTILPLPSQMSFTPAAPIVWQPTANTVEASHAAQLHRDMQQLHA AQSCASAAVATEEAKVREALADVRNAVASMYTFTPTFRIWSRFKTLSAIERWQRFVTW HREEAARLKALTHFGVRIQRAFRRRRGRLAGFIDRAALRHAEWTSAIRVQSWMRRLLA THETHRLRESKVATLLQKIWRGRVGRATVKHMLRERLRTRLRYLSPTGSLHRLRDVAM YRGDMRRTLERMLTLVEDVHVSFRRNPSPDKQDQQDMPDDLHMSSKAVSIPAWYTAIA DLNALIAARHMEIDQAKRQYEAHRALRAAAARSQDTADKAHALADAIARVHRANELIA MYREDCESIEWVRSQRVAEVDCVHRAAIRDKRSEKDACMAMHMQELQTRHYISEHRWR EADRRRRRGEVDKLEAMRHMEADAAAARRQEYVNTMIRQQELRVQMETDQKHQRVQAW QLKTKEELVGLFERMEARKIENDRVKAERKELKRARQQQARDRAAALELTRIARLMEL DRQHDERLAIEAEDRLMRALLSQQKKADEAKVWDAMRIEANKARPDPLALPPDVLAAR QAVERERHAQLSMAEEESRTRVYVDAMAKATFLHQCKLRKRQVELDHKAEAKARMAME REELDEITRLRKIANKIAYNQTLKRMQAADEAYRAKQAEKLFEARCRKLMHNEEMRLH RLYTEVLHRERLRERKERHLMHNEDMYMQRILDEREKLRLRTMEKTHRVEMAVEDVRS HQWQSLEAQATSMGLAIWSARELKTLAAHVQHFPNMLRANIVLMSSIMGQKRDPPWKG VRWTLSDDPTPANEVAKSHARDMWSRIRHKYAKRTVAATEAKAGADALYAGDLPQALR WLRMAFRDGYKGPTLLRNIAKCYVKLYEAHLHDNDLSTGWSWYVKACEHLALQASPSF LDEMARALYLLCRFQHAAEILARIIYSFPTYVRMPTVIFRAAMLMWHLGLYEQSTDYL LHLLESPPAPWTDLDLMFLIARLYLLDENKAHATFAYDDAFRRYRVHGFHFQYPSWKA WVGDAAVWRHFGAKALAANEYLVAKDLYQQVIKRRHDEVQVEHRQEERDLDWFHLAQC QIMLHEYVPAGQTVAHWLEAVPYADRVMARCKAWPREKWTSMGVVVPAWEQQDSTGVV PKRATATPPLKRKRKPLAKARKKRMRRPRVKYTSTAELRQWVQIDDTNTGKTFYFNEK TFDVSWTRPT H257_13697 MQFVDVRTEWDAVDADLAGSPSPHKRQQHSRWREQSIVPGKVLH SNDTRTLDQRRRRRHRPRMAQTTTMVRHEPIDYLALRRTELSKRALMRMRDVVVQEIL APTASLEPRPLDKSTTILPLPSQMSFTPAAPIVWQPTANTVEASHAAQLHRDMQQLHA AQSCASAAVATEEAKVREALADVRNAVASMYTFTPTFRIWSRFKTLSAIERWQRFVTW HREEAARLKALTHFGVRIQRAFRRRRGRLAGFIDRAALRHAEWTSAIRVQSWMRRLLA THETHRLRESKVATLLQKIWRGRVGRATVKHMLRERLRTRLRYLSPTGSLHRLRDVAM YRGDMRRTLERMLTLVEDVHVSFRRNPSPDKQDQQDMPDDLHMSSKAVSIPAWYTAIA DLNALIAARHMEIDQAKRQYEAHRALRAAAARSQDTADKAHALADAIARVHRANELIA MYREDCESIEWVRSQRVAEVDCVHRAAIRDKRSEKDACMAMHMQELQTRHYISEHRWR EADRRRRRGEVDKLEAMRHMEADAAAARRQEYVNTMIRQQELRVQMETDQKHQRVQAW QLKTKEELVGLFERMEARKIENDRVKAERKELKRARQQQARDRAAALELTRIARLMEL DRQHDERLAIEAEDRLMRALLSQQKKADEAKVWDAMRIEANKARPDPLALPPDVLAAR QAVERERHAQLSMAEEESRTRVYVDAMAKATFLHQCKLRKRQVELDHKAEAKARMAME REELDEITRLRKIANKIAYNQTLKRMQAADEAYRAKQAEKLFEARCRKLMHNEEMRLH RLYTEVLHRERLRERKERHLMHNEDMYMQRILDEREKLRLRTMEKTHRVEMAVEDVRS HQWQSLEAQATSMGLAIWSARELKTLAAHVQHFPNMLRANIVLMSSIMGQKRDPPWKG VRWTLSDDPTPANEVAKSHARDMWSRIRHKYAKRTVAATEAKAGADALYAGDLPQALR WLRMAFRDGYKGPTLLRNIAKCWSWYVKACEHLALQASPSFLDEMARALYLLCRFQHA AEILARIIYSFPTYVRMPTVIFRAAMLMWHLGLYEQSTDYLLHLLESPPAPWTDLDLM FLIARLYLLDENKAHATFAYDDAFRRYRVHGFHFQYPSWKAWVGDAAVWRHFGAKALA ANEYLVAKDLYQQVIKRRHDEVQVEHRQEERDLDWFHLAQCQIMLHEYVPAGQTVAHW LEAVPYADRVMARCKAWPREKWTSMGVVVPAWEQQDSTGVVPKRATATPPLKRKRKPL AKARKKRMRRPRVKYTSTAELRQWVQIDDTNTGKTFYFNEKTFDVSWTRPT H257_13697 MQFVDVRTEWDAVDADLAGSPSPHKRQQHSRWREQSIVPGKVLH SNDTRTLDQRRRRRHRPRMAQTTTMVRHEPIDYLALRRTELSKRALMRMRDVVVQEIL APTASLEPRPLDKSTTILPLPSQMSFTPAAPIVWQPTANTVEASHAAQLHRDMQQLHA AQSCASAAVATEEAKVREALADVRNAVASMYTFTPTFRIWSRFKTLSAIERWQRFVTW HREEAARLKALTHFGVRIQRAFRRRRGRLAGFIDRAALRHAEWTSAIRVQSWMRRLLA THETHRLRESKVATLLQKIWRGRVGRATVKHMLRERLRTRLRYLSPTGSLHRLRDVAM YRGDMRRTLERMLTLVEDVHVSFRRNPSPDKQDQQDMPDDLHMSSKAVSIPAWYTAIA DLNALIAARHMEIDQAKRQYEAHRALRAAAARSQDTADKAHALADAIARVHRANELIA MYREDCESIEWVRSQRVAEVDCVHRAAIRDKRSEKDACMAMHMQELQTRHYISEHRWR EADRRRRRGEVDKLEAMRHMEADAAAARRQEYVNTMIRQQELRVQMETDQKHQRVQAW QLKTKEELVGLFERMEARKIENDRVKAERKELKRARQQQARDRAAALELTRIARLMEL DRQHDERLAIEAEDRLMRALLSQQKKADEAKVWDAMRIEANKARPDPLALPPDVLAAR QAVERERHAQLSMAEEESRTRVYVDAMAKATFLHQCKLRKRQVELDHKAEAKARMAME REELDEITRLRKIANKIAYNQTLKRMQAADEAYRAKQAEKLFEARCRKLMHNEEMRLH RLYTEVLHRERLRERKERHLMHNEDMYMQRILDEREKLRLRTMEKTHRVEMAVEDVRS HQWQSLEAQATSMGLAIWSARELKTLAAHVQHFPNMLRANIVLMSSIMGQKRDPPWKG VRWTLSDDPTPANEVAKSHARDMWSRIRYLTTIVYILNGAPPHLCVGRHKYAKRTVAA TEAKAGADALYAGDLPQALRWLRMAFRDGYKGPTLLRNIAKCYVKLYEAHLHDNDLST GWSWYVKACEHLALQASPSFLDEMARALYLLCRFQHAAEILARIIYSFPTYVRMPTVI FRAAMLMWHLGLYEQSTDYLLHLLESPPAPWTDLDLMFLIARLYLLDENKAHATFAYD DAFRRYRVHGFHFQYPSWKAWVGDAAVWRHFGAKALAANEYLVAKDLYQQVIKRRHDE VQVEHRQEVGIFVGKHRDGVG H257_13697 MQFVDVRTEWDAVDADLAGSPSPHKRQQHSRWREQSIVPGKVLH SNDTRTLDQRRRRRHRPRMAQTTTMVRHEPIDYLALRRTELSKRALMRMRDVVVQEIL APTASLEPRPLDKSTTILPLPSQMSFTPAAPIVWQPTANTVEASHAAQLHRDMQQLHA AQSCASAAVATEEAKVREALADVRNAVASMYTFTPTFRIWSRFKTLSAIERWQRFVTW HREEAARLKALTHFGVRIQRAFRRRRGRLAGFIDRAALRHAEWTSAIRVQSWMRRLLA THETHRLRESKVATLLQKIWRGRVGRATVKHMLRERLRTRLRYLSPTGSLHRLRDVAM YRGDMRRTLERMLTLVEDVHVSFRRNPSPDKQDQQDMPDDLHMSSKAVSIPAWYTAIA DLNALIAARHMEIDQAKRQYEAHRALRAAAARSQDTADKAHALADAIARVHRANELIA MYREDCESIEWVRSQRVAEVDCVHRAAIRDKRSEKDACMAMHMQELQTRHYISEHRWR EADRRRRRGEVDKLEAMRHMEADAAAARRQEYVNTMIRQQELRVQMETDQKHQRVQAW QLKTKEELVGLFERMEARKIENDRVKAERKELKRARQQQARDRAAALELTRIARLMEL DRQHDERLAIEAEDRLMRALLSQQKKADEAKVWDAMRIEANKARPDPLALPPDVLAAR QAVERERHAQLSMAEEESRTRVYVDAMAKATFLHQCKLRKRQVELDHKAEAKARMAME REELDEITRLRKIANKIAYNQTLKRMQAADEAYRAKQAEKLFEARCRKLMHNEEMRLH RLYTEVLHRERLRERKERHLMHNEDMYMQRILDEREKLRLRTMEKTHRVEMAVEDVRS HQWQSLEAQATSMGLAIWSARELKTLAAHVQHFPNMLRANIVLMSSIMGQKRDPPWKG VRWTLSDDPTPANEVAKSHARDMWSRIRHKYAKRTVAATEAKAGADALYAGDLPQALR WLRMAFRDGYKGPTLLRNIAKCYVKLYEAHLHDNDLSTGWSWYVKACEHLALQASPSF LDEMARALYLLCRFQHAAEILARIIYSFPTYVRMPTVIFRAAMLMWHLGLYEQSTDYL LHLLESPPAPWTDLDLMFLIARLYLLDENKAHATFAYDDAFRRYRVHGFHFQYPSWKA WVGDAAVWRHFGAKALAANEYLVAKDLYQQVIKRRHDEVQVEHRQEVGIFVGKHRDGV G H257_13698 MTKRTKRGQRDGRMDRYIPNRSAMNMDVSAMHVCEHATPSMGKS TPYHQALTLTMFGVPDLSAVPVYKLTKNAANRMEQGSTPSSVRRVETGVVKTVSALRI MDAPMVENDFYLNLLDWGEMDVLAIALGKSVHLWDHRKKSHSQLVSYRNNIVTSLKWG ISSNRHLLAVGIDNGTAQVWDTQTKQCITRIGGHIARVGSMAWRGPTLTTGSLDNTIA HHDPRMPNHQITMLRHHTGEICGLEWSPDERMLVSGGSDHVACVWSKDFSRTTPLHVI TAHTAAVKALRWSPWDVGILATGGGSSDKTIKRWRITERTCKLQHSVDTMSQVSGLVW SQPELHHSKQLLSSHGPVSNTIKLWDVGGMALIKEYGGHARRILNMAASPDGSAVATL SADETLHFWPGFDAKLATKKRPRDDSSLLTGLLR H257_13699 MRRVLIAAAKNGVAFPYEKDSSALVLSCPQGVYSAARTVNSKFV FALDSHLKRLVQPTKAIVKDASDDELARRVAPRVLATLRAAMDDLKSHHTLPDDQEFK LTMIMQPFPTATKMTDVVGDHGDVFCHVGLLHPKESSNVKVEVAGQPRSNPLVKDLQW AKDRQALYDAMTPGTEEIILMDPTTRRLYEGSQTNFFVVQNNAVVTAEEGILKGTMRD LVLESCATLKIPVELRSPSLDEVDEWSGAFVTSTSRVLMPIQSFVYPARTEDGGSITR EKTWASCSIVDRLHRHMFETVQSHSTKVFD H257_13700 MKHNNVIPNGHFHKDWQNRIKTWFDQASKKKSRRLTRKAKAAAI APRPAAGLLRPAVHCPTIKYGSKVRAGRGFTLDELKEAGISRKQALSIGVSVDYRRTN KSVESLQANVQRLKTYKSKLVLFPRKRASKPKAGDSKVDETKNATQLVGAVLPIARPS KEVPTATITDALKNTSVVKTLRLARADARLVGLRKKKADEKANADK H257_13701 MGRALKGMAKAKRVFTVDDDEYDDGTSANVQHSDGGGSPSDDDD NDHHANYNRGPSALRIRASRFDDTDPTYEGKVVKRADLRDDGDNDEDDEDAVERNMEE EWSEGEPFAASDDEDAEESGTESDNAPLDEVVEEDSDEDHPRSVSRGPLDDNDDVDDV IRNLEDEDDAAILMRGADAGQHDRAKHVYHQKLIYERCLELQISIKQILTAVHDVSSN NDNPNTTAALAASIRTSMASLSVMQQQLYTLPDMAATTTTSRPSTKKRAFDDTVQNCW AAIDAESTAALGVYEPILNKQSVQADTQGKKFKAVNQDILVQVDAVLADAQRVRRKAH PVVTDDDDANSTDHDDILDETTYDDKDFYHHLLKEYIESGTTVDDAAAAAAAQLKLKR KVNKKINRKASKGRVIKYTVLPKLQHFMFPDPSAFRRTDINVDELFRSLFTSSAASTL DA H257_13702 MMSTWRPLSSSKQRKSDDSYKQATLVKVKPPPSPPSRKFSSWLS SFTNRFASTTANPTKINQKPTTTLAAKPSAKPSSRLTGHDAGIPDCCRRFAKAAFASK QHHPRSAGIQYKYLDRSVLLAQCSVVGGHRVPFLTSHVTKLRQERTKMRALTPIEEDS AAKLCENCHSFQYSHDPRTTCVALSRVVRRLAARPNHDQPVEMSVETPPCSM H257_13703 MFASVFRSAVAPAPSNNEPPSPSTRWLIKSHREKVPECCRRQAK APPIKTTSTLFNLYDGISYRFVDGTVQRRLSSTVGGQRVPFEVAQVQSLRRKRDFLTG LSSIEEEKLAMCESCHSNSYSRLSNTKCITLTSAPKTRKTPTKATNVVEKIVPLQTES VPAGADVTCA H257_13704 MFTSMLRMPRSPSQWLPHLTKKSDSVPDCCRRQSKAALAASSNN HHQRYSSYDGISYQFVDGSLLHRLSTTVGGHRVVFGLAQVKLLRRKLDLNVALSPIEE EATSTCESCHSNTFSRLSKTKCITLLTLPLK H257_13705 MWDCGAAGKCNVEHTDFRVCKFKFDPQCDTYLSMLNPSDDPKTN DAYKMCEYKDDKKDKKTCSEGCWWHYASCDTASSEEACADRIREEGSRFVYCGKDGRP ADTVTTAAPVSPTAAPVSTTPLEVRIQWQFFGMTCSWKYQNSKAAAPVLSTASLGAAQ PAFDKEVAIKMQNVDVTEVTVSCDFFFKSNAAGADVKPTKRSRSKTVLIQNCDHPRWN AERPTDQGRYIKDTCDVVSWYSDISGPKPRQPAPFQACKGALLYPSDPSIEEGKSSTI YVETGSTGLACCNPKSPTTVATFTCQNLPGTTSIGLCTDSKDPSIYYTRSVKFFGESV IDAAQSYVRELVVAGGLLAAVAVVAAVSAKTSSVAGVKMDDAYLQLLA H257_13706 MLPSTRATVEWASTADRNQLVGERLALAESAYDVVPGTASETRR PDNYGQCVWDNKDSDGETSRTECFANVVETGKALAYPFPRSSYHYDTDPNHAVKEDSL DKPHIALDIEFDVSKNNAVSVVDTQYFDFENFETNAASILYKSTGHSAGRYNPETLKG STRKTARRSCQNANKDGFTKANVDELKFQVDKLVEYRQKADNNKCSDDRSDTMGLHQL FRCRVRARQQGRHQSRRRPPKLEVLPLSVHMRPIVKFYTPYSCAADYGVEGLDRRQCA GDATQFCNFTQTVTARASDLVSKVQVGLKPSPVKPPILDPKTEFPGSNYNAPSTTSKE LHFDVTCDNNAPEFATYCTSHLQVKVSDLFKLEATLNTDNDAVKALLANRPTTTTNPI VFWRVKNTLAGTWQEISAATGDLKDSTAVLTFPQFKSELVFEAYTACGKVGDSITWTI YVHRASH H257_13707 MTATTTTEVQCKYAYKECNNVRTYKRDGGLHRLCEYHRSKANAL QKIYATKRRGELRAQKRQLLAEKLVVKAEPLALTFAHEWTTHGSSFTDFTVGDLDDID WCSILPETDELEPIECLTDIEALSDEECSYLSRVL H257_13708 MGIAKYNTKCQLRVLIGVNYPRHISNTALYTRCTAESLRCRLLR SRWGLLGHILRCPVDTRPTSPCSGTSAFPSLIFGPAAPSRRCLASSTLIPRPSPTSSS ASPRVLNSDPKTVPDELQRLTCLADLQTLRSTPRTGSGGKY H257_13709 MSPNNSISHVLPFVSSTSMAKSNGCTGKRRILSAWGSPFGLSRQ KCHPAPSSLPACCEKRRQNTTSLGNLDLSPHDQPPSQAAVLYKFVNRRLLRKQTAIVG GQRVPYFPVHNAHHRIARSKGSVLACIDEDTDICDTCHNHQLQLTPAIVSMTAY H257_13710 MSPSPSSDGSEYKDIVARRSASARPGKKRSWWEDRDGDLDRYMP VKKARTESATGFGSVDSYIHSPLYSPVSPGDGVRSVCSDEDSEAAGNLLTRSRVDVNK EFNEIFDALTVLANDRCIDLLSGFLDELERLMLRVVSLVEFVGDHRGHQEMYFACLDM AAQAAAHLTRTQGTWMAKEHGFTRY H257_13711 MKECVHCNDNFGQANHCGFCDNYIHHLCAIELAMQQGWEEAPQC REVCSRACLDYCVQRALDVEARKKKPQEECDAAEKPAALVSVRNPISTKKPAFAKAAS TKATSNKATSTKASTKASRPKSSMKVMTLQESRQRVAALPATPATPSTFKAATEMRYV AFRPLEEPEIVGSDAKLAKFYAPCSGFWLRAVVRSTELKKVTIAVAAPAQASGRKQKA VKSVTDLVPISTINWVDTRFARHEPRISMTTMIRGIENFARVKAAQALSKSRTYLSIS AYQHEDHDMTFSDMVALNEDANIDEEAKGDGCEDVSANDAIDSSSDDDMEEYVDRAWK KAEVLDVRDVEGLENVRWEQGLQVQGPTDLFVHANHAASHDQTIILPQHEDLFVDPVK VLAKTNANAHKQREASTRALVAGRPFVADFRLEELMKFLGILVLMGVVRAGEYRLYWQ SPNTTSFMMPDGKSVRSVMSIDRFKALRACVTFNDVEVKEDPLWRLRPLINLLKASFK LFVTAGREISVDEASIPCRSSHARALIVYNPMKPLGKYHFRMYTAACATSWYVHAFKI HSKATGNFDADDGGQDSDGSNDGNGSDGGSNRPRGSLRPSTLRQHVLDITKQWKGSKR VMNMDNWYTSVQLCMTLSSIGLYCRGTVRANRAHNPRFALFGKNEVQLHPRGHSRVSH APDHGIVAVSWMDGNVVNFLSTADGSEETFVLRRVGNKRRKQPCLRIVQMYNKYMQGV DRHDNLRERFSIAKGSTFKKWYRKLALALVDIAISNVYVLWCKCDEKNRRDAHMHFHQ KLAIQMMFDTDWNRFKIVETR H257_13712 MPLSDMQCKYAYKECYNDRTQKRDGGLHRLCEAHRNKANALQKT YATKRRRELRAQKRHVVSSKLGTIQPIPIAYPGGLAITASVDKDDKLRTQRRTFVYAD WDYLFFGDNCDMELIDSQSDVEAFSDDEVAYLNGVL H257_13713 MSDPHACKYAYKPCKNLRVAKKDGDLHRLCEFHRNKANAVQKIY ATRRRRERRSERRQVLMQKLLGTIEPVPFEAAQGQQVGQQKANTDQRDLLEAELAGLL DDEEQDKVGDDGDDLDGGEDHSSSSSAEEEDVEDNEARLFVTEATDPIKTIHM H257_13714 MMSEQPHLCKYAYKPCTNLRVVKKDGDLHRLCEFHRDKANAIQK IYATRRRRERRAERRRVLMQKLLGNIEPVPFDPHSVQTPKRRTTDDYDQDVLDAELAG LFDWDDNQVNTMIEDDGEMSSSSLSSDENNGACPRQARR H257_13715 MVYLRAYTCDAKCSPIPSLFKVNQQMNDSEVCKYAYKPCTNPRV AKKDGDLHRLCEFHRDKANAVQKIYATRRRQERRSERQVNLVQKLLGTIEPVPFEHGQ QAHVLLTATEREMLEVELAGLLDEDRDIMIGTLDEQSPSLCSWSDADSDDLQWPRQLK KLPRSCGTVAKHQPSSSLTIAYKVVGWASMRKHTTIFGCERVPNLPFIHNEHHRSLRG TALDTIDEEAPSKCETSIQHKLSWRTPSVSITFRYRIPALPSKAGDVVASTRRRDHQA RPTTSTPCMMIAQQHPSSQTLPLRRSEPFLPPSPLHEPPTERSWSTDARFTLDHSQSL TTASTTPSTADRQRTRALSDKRLLYRKWRTGSHRGASLSIIETTLDDENSTITPRRAP SSAASLSMIPIHTSPLYSADAQLLSPSNDHQIHGHTAIAAATTLTLPPPSSAPNNTLL SATTHKDELDRRARVLSKLFLQEEEDQFPKSSTVEALSGSVGKQVSSTQYVEMKKSRS HQGLSDLERATTVNPPKLSASGVQRGLKDSVMHDMCHAVVKQMVGDAISSSAAPGADG GNRPSSAAPPHHPATNHLYAPVLASVQTHFKQLPARYALSVDPDDVPMHMRLLANQKR RPDDIYLHAHLLKHDDGSINATCCEVVLVAQDRDSLLDAITRGLSSLKGSIQDADVMT TKDGVTLDRFVVKGSFVPPERLAELRRRILENLARSTLAAEHSDKARQPTPPPAPPPT SPDLDPHSALRLSLNTTTIKPEWRLDFSELVLREAVGTGRSGQTYAGSWRGTRVAVKV INVSHHNHSVSEEILQEFHREVAVVSRLRHPNIVLFLGASIEPPKYCLVFEYMENGAL TDLIRRRRTPIDFFRVAREIAMGMNYLHLCNIMHRDLKSANVLLDVFGTVKISDFGLS CVLEAGTSSDLTAETGTYRWMAPEVIGHEPYSAKADVYSFGVILWEMIGKDQPFKGMT PIQAAFAVARQSLRPAFPDVTPSCLRTLVNRCWHQNPADRPTFAAILDMLPGVRAQMR KLEFHQLNFVFT H257_13716 MKRVRRKNEDEGKEEESKEERPAQRPRQDEGGTGGVARGRGAVT ADRASYFARGDTAGVEAEDITPAVAPAKEEWPGMFHTGAVLQKGRSAAQAKRQEELDN KDADADDAVTPWEPRYPLRALIKTQDIPSLRDLVCDTIAKHIHHFHDLGHEYLGERSL VEARGRIATLVAQRRRLDSAVLPFFVYPGVMEIDIPDCSMLDENSLVQALLTAQTVEK ELHMSTKFASLKLGMSGRCISDRVLENLSTALSTVEDLRLDGCYRLSDRGIQYLQAKC SPTLETFELSSNQRITATSISYIQGWTHLHTLSLAECPQLVDQDFVPLRQLVTTSLRK LSLVQLDKATDRLLELLFADATDTLVEELSVARCSRLTDVGVQVALQACPRLISLDVA DVLLLTDDTLATVRASNLTLQRVNLRRCTNITDKGIEDLVVSCAGHLERLEISSIPLL TGKSMVLLATHCATSLKHLDISFCRHIRDNDVGHLTVSCPNLTRLGLYGCTQISSLFL QGQALDDLVCYGHPLLTGLKLRS H257_13717 MGGMPASMDESGGAHVYLSSAAVRERNMGTASWWHDEAHDCVIS LRPILDAEGSSTDKLGLCVDMLPGASHGSASPIAATTHSSHGSFSHGASFVDVKLSID CQFFAVQRNDIEVDVWATATSDDDASISLRYSVMCKRTSRLLGLYWNAKDIDASAGST KVPSQYLTLVTTGGLEVFKLTSSKCKFHRVLAYSTHLFWWHPQQHVVVLATGSKATEL RPFFVDGGNLAKISKVVVSAPLLTTAQVGLAYLYSTLYLVYSSTSNQQLLLYRVHPSV DTVCVRALRVGFPDPVALSVVDNLLVVHSATYGVSSFYDVALADADPFLHPLPLHMPM PTNNTTTCDKVETAFMSPHFALASRPTNDDDMTRVLFHAIRLNLHAIAACATASARPM LSVARFLLRRRGGTAHGDVGGDDKDVKEALFASFRTRLHEGRWPEADFRACVHMLHHH EATDAMKMQSNILPISKAMASATTSAHLLMHVSQHDWFVYFWQPLQHVLPPPRLSMFL VIFLDSLRQHAFTVSSDLLVLYIQSMATQHRCAELVAFPMDDSVEVAHELELHEGVVP AMHQVAMDMYHRLGAVDDLVRLFLRDGHVTLALRLTLRYASSKKHISHSPKWFFDQVV AVATKGTTTPPTIDMPLDTNNHHINPHLVLNGPAASTPSPDAVTCDQSNVQARQYLYA LYVFLCTFAPAALVRDDRGRSQLSYECTFPEHLCTPSDKELQINGSSNDDDPSVHFRR LFGFSDNGHGT H257_13717 MGGMPASMDESGGAHVYLSSAAVRERNMGTASWWHDEAHDCVIS LRPILDAEGSSTDKLGLCVDMLPGASHGSASPIAATTHSSHGSFSHGASFVDVKLSID CQFFAVQRNDIEVDVWATATSDDDASISLRYSVMCKRTSRLLGLYWNAKDIDASAGST KVPSQYLTLVTTGGLEVFKLTSSKCKFHRVLAYSTHLFWWHPQQHVVVLATGSKATEL RPFFVDGGNLAKISKVVVSAPLLTTAQVGLAYLYSTLYLVYSSTSNQQLLLYRVHPSV DTVCVRALRVGFPDPVALSVVDNLLVVHSATYGVSSFYDVALADADPFLHPLPLHMPM PTNNTTTCDKVETAFMSPHFALASRPTNDDDMTRVLFHAIRLNLHAIAACATASARPM LSVARFLLRRRGGTAHGDVGGDDKDVKEALFASFRTRLHEGRWPEADFRACVHMLHHH EATDAMKMQSNILPISKAMASATTSAHLLMHVSQHDWFVYFWQPLQHVLPPPRLSMFL VIFLDSLRQHAFTVSSDLLVLYIQSMATQHRCAELVAFPMDDSVEVAHELELHEGVVP AMHQVAMDMYHRLGAVDDLVRLFLRDGHVTLALRLTLRYASSKKHISHSPKWFFDQVV AVATKAASTPSPDAVTCDQSNVQARQYLYALYVFLCTFAPAALVRDDRGRSQLSYECT FPEHLCTPSDKELQINGSSNDDDPSVHFRRLFGFSDNGHGT H257_13717 MGGMPASMDESGGAHVYLSSAAVRERNMGTASWWHDEAHDCVIS LRPILDAEGSSTDKLGLCVDMLPGASHGSASPIAATTHSSHGSFSHGASFVDVKLSID CQFFAVQRNDIEVDVWATATSDDDASISLRYSVMCKRTSRLLGLYWNAKDIDASAGST KVPSQYLTLVTTGGLEVFKLTSSKCKFHRVLAYSTHLFWWHPQQHVVVLATGSKATEL RPFFVDGGNLAKISKVVVSAPLLTTAQVGLAYLYSTLYLVYSSTSNQQLLLYRVHPSV DTVCVRALRVGFPDPVALSVVDNLLVVHSATYGVSSFYDVALADADPFLHPLPLHMPM PTNNTTTCDKVETAFMSPHFALASRPTNDDDMTRVLFHAIRLNLHAIAACATASARPM LSVARFLLRRRGGTAHGDVGGDDKDVKEALFASFRTRLHEGRWPEADFRACVHMLHHH EATDAMKMQSNILPISKAMASATTSAHLLMHVSQHDWFVYFWQPLQHVLPPPRLSMFL VIFLDSLRQHAFTVSSDLLVLYIQSMATQHRCAELVAFPMDDSVEVAHELELHVRRHG NCISFPKIIEVVTNFRTYFN H257_13718 MTDLATQLAMEQEKNRRLQAEVDRLRKAVVTASLEAEKEEEFIV NSFIRRMEQKQKAMAAAAAAKASRTQPALHVQRLAPPHRDSYYEAEMKRLRDDKVALG VRFEQEQESMLNRLMRQFKSTSAKQKRLNRGPVAAVAC H257_13719 MVSSTDFPRIVCCGYNSLDLTVGWIACRQTFVFFWRWPRSPSHT FQMMAGTPKPTPLLGPSSSSTIIAPTFDLPVPLLLPTPKSVVKDRSLPQSPKSPVKKR NVGVPKFLRFLYEILEKEDKSIICWSHKGTAFQIRRPDALSKGILPRYFKHNKVSSFQ RQLNYFGFKKWTKTQTVVCTFSHPNFVHHKPDNIKLIKRKERGLNEGGSGLSAPVKHN KITSSSTSSLRAPPPPAYNWSFPSMPILSTSTLLPQQPYDVFLYDNTTFLDKRPTIHP DTTAYHNIMPMQILSTQIHVGDALGPSSTDCWGDVLSLGTADPSQQPHNATLSTNTIL CSSTTKHTNGDDPASYFDLWEEDCMTESSPIPATNATVGPYTQAIYGDHGLDIIKHED DMYHTAGPNMTFDPPSSLSRFYVDA H257_13720 MEDLNGPAVGDDDEDLQPKPEPANNSPTRRGGCQIAIGRAWPNG PAIDVDHYEFTAADYVRRKGVVAALRERMRVNSLPGCEHFPTRKRTLRTAAEKYTRCN LYFLPFVKTTLPDAVWDGDLVNVARLLVLRIPPDSRDKDGRLALSIAIQLKHSAIAKF LIDKHAKPDLQDQGTLHGALHMCIIMGNKAMTRRLVESGANVELRDAQGMTPLIWATV RGFLEVVGLLLHHGADVNGKDNEGMTALHVACFKGYTDLVDFLLHTGHADLEAEDING FTPGLYARIEDQGEVLDRIDEFVAGLKKDDERRHRRIKRRAERLARLAKEADEVEVNS HSLI H257_13720 MEDLNGPAVGDDDEDLQPKPEPANNSPTRRGGCQIAIGRAWPNG PAIDVDHYEFTAADYVRRKGVVAALRERMRVNSLPGCEHFPTRKRTLRTAAEKYTRCN LYFLPFVKTTLPDAVWDGDLVNVARLLVLRIPPDSRDKDGRLALSIAIQLKHSAIAKF LIDKHAKPDLQDQGTLHGALHMCIIRRLVESGANVELRDAQGMTPLIWATVRGFLEVV GLLLHHGADVNGKDNEGMTALHVACFKGYTDLVDFLLHTGHADLEAEDINGFTPGLYA RIEDQGEVLDRIDEFVAGLKKDDERRHRRIKRRAERLARLAKEADEVEVNSHSLI H257_13721 MDAATDQSILRHEVSEVSFGFYSDDEIRDLSVKQITSRISFDTL KNPVLGGLYDPALGPVDFNMICPTCHLTQKECPGHLGHIELPVPVYSPVLFTTLINIL KRKCLSCHKFRRSSANSRVFRVRILLLDNGYVEEAASLLQLLDQKDGNFDESSTQTVQ RQQAILDEFERLALSGSSKSLPRNARSVEVERDGIIASFLKGMTNKCENCEAHSPSLR QDSNAKIFLKPLSLRSIKHNQSRAVRLSSAFDSLSKFSTKGGGSNTNDNDDDSDDEGI IDSDDEDGDKVTTADHQGGANQYLAPLEVMSQIQLLWKHEEGLLELMWGNRLVANGRS PDSAAPLDGWRKFFLQVIPVAPSRFRPPVIMGDSLFEHAQNIYLAKIITLSDQLVNVS GMRRGATTNDATSDTNSGVDLSEKIYLWTELQTQVNCLIDSSKAKRPDEVPQGIKQLI EKKEGLFRKHMMGKRVNYAARSVISPDPYISTSEIGVPLKFAKTLTLPQAVTPWNVEE MRQLVENGPDIHPGANFVENERGQLIDLSKRTHHQRVAIGKTLLTRSASAHGAHQEHK VKRVWRHLHSGDVVLMNRQPTLHKPSMMAHVTRVLTNPAMQTIRMHYANCNTYNADFD GDEMNMHFPQNELARAEAYTIANNDNQYIVPTDGSPLRGLIQDHVDSGVKLTQRDTFL TKEMYIQLVYSAWACMDHERGAEIVVLPPTIWKPTPLWTGKQVMSTILNLLTAGKPKL NLNAKAKIKADLYGPQNAEHVVVFRDGELLQGVLDKGQFGATEFGWVHAVYELYGSGT AAKLLTALGRVLTCYLQYAGHTCALEDLTLTEAAEAERRRLVQHAVVHGEIAYSEFAG LTDVAAARKAEADAKHDSVRLMNDDERAQIRDTMQKKMAEDLDQTSAGLDSHMMGFVH GSSSDIIKACLPHGQNKSFPANCFSLMVLTGAKGSMVNHSQISCGLGQQALEGRRVPV LVSGKSLPSFEAYDPNPRAGGYITDRFLTGLRPQEYYHHCMAGREGLVDTAVKTSRSG YLQRCLIKHLEDLHVGYDHTVRNGEGTVVQFLYGEDGIDPMQAAFLSGKPDQLSFLAM NHASLTHKFGISGEFLENSGLDLVEPAHVHQRIKDAKRYGYDRVSASVGLEMHSMKAG LTIQARRLKAGASKWKKGSFELGWHTAVIEHVDTTGEYPVYDILYVDTGMTAYKVPQY KRFKASETITPELSYLSGTVQLLRPIVPDPVMHQLPLNAHIGVISEKTQLEIESYAKT NPAGLLESKKTKALPVEAQSPMMLSPLGFRLMVWVKYMRSLCQPGENVGTICAQSIGE PSTQMTLNTFHLAGHGAANVTLGIPRLREIIMTASQKMSTPMMTVPLLATTSPAAAQQ VEQRLNQVPLSELIRNVKGIRVTDEFKESATRVLWVREYTIRLSFFKAKAIRSAFGIS MKEVHRSFGRVFVSRLLTLLKHEIRKSGVALSVSRTIDNDMDNASPSHVGRFSKQGND DNEDDEEAQGTMRFGAKKEASGYGDMDDEDQQILAQQLDDQPAVATPSGGSDTENSSD DDADDAAPLKPVAGELDPVAVSETVRKSPYFAGAGFNSKEQFGELKLRFPANFKTLLL VPLIEKVANQVLVRSCPGISRCYQVKQRFNGSDVEEQCIQTAGLNFQAIWELDDVLDV NRLATNDIYQVLRHYGVEATRASISKQIQDVFGVYGISVDPRHLNLLADYMTHHGDYM PLNRSGMMRKGSSFQQITFETSMKFLTAAATGNLADDMDGPSARLVLGQPVKLGTGSF SLLTPISL H257_13722 MQVLRALSTMGVEAFFQDRLFGDAPPSPTPLITSTCLPSPSPLM TPRGGGGTREDKLFDLFTTYAMLITCEDPTSIRMGYVIKMLQDCQVVIDSVATSSSST IDPLHAPHCLNQTLTIRDIEIIASKLLHTSTTCTKLTYDLFLKLLWDIALHAHPETSP PLAFKLLVDQCVQYSPKHKTRIRCHVDDVYTRAEKVISFFEPSLVEIFKGYADATHRT TASHRNKLKAKTHAVHGGVNAPTTTAKKKACPLLHHMAMYLNYHDSVAFARQFGLVSH GGVTIAEFAAAYIDSVEKAKGSTRRQLTFLGFCHLLLRLALKLYSHAPVSVSVQLKAL FQFMWLNSRPDTTKLCGHRDLGTSGLHASGTAAFHATFLKQWKKDQFVDYAAQIHATA PHQVVRNVAIRQALLEPMAGFAWSP H257_13723 MFIPSLFTTKSTQPCCRERTRKRGLLFFRKSPPSPCVSMTTEAI DKSVAKCATIVGGRCVPFESHDVVRLKRLRKLWQTLSPVDESCCSRSHQVRRGVPTTL ELCEDCQGHQFTKSAPDVTVLVTDVALTYYKPPTAQWIA H257_13724 MLVFQKRSRSAPPPSTTLTPSWPFFSSSMSVYTTALRPCCAERE RLQRRPSLFAKSHEVVAVRVVEKSPWASRANAACMSVVGGRVGIPMEADEVASQRRLY RRHIDHTMHTIQEENGSDACGDCHAYLYRPDVIVSVTNVHMAPRRRPPFQNAERYTKA STTRAPTAAGMNSPTTRKAKKLPMQLNQLARPSKNAFANFPKRQSPRM H257_13725 MHIPPAALSSNISPHRTRSKIIRTIMSWRQTFAEPYMSTKDPHL QRWTSNAAGGGMPVANDSRFVGVEETFQVGALRHAAALAEVDDTDKTSVSDRSERIRS SLAANCELVCLKTLVCTCILPRKTTKAKAWPKLLAEYTVHSASIMYHLAS H257_13726 MQQKQPHTSLSHATREWRRWNLPRVTALSQCETLCHAPPHLRRV PGIGELVGSYNGAGRHGGCGRSDRRHSPSLACDVPRYVFPWWMRRHRRPSAGIPWRAT RNVHQHELGRQDQARFVSLSPALGVRLLWQPHARQFCPSSTQSARRRRKNRRHIPALL QPMPDVELHVVGETLHCPRWECTMSYEAFKLK H257_13726 MQQKQPHTSLSHATREWRRWNLPRVTALSQCETLCHAPPHLRRV PGIGELVGSYNGAGRHGGCGRSDRRHSPSLACDVPRYVFPWWMRRHRRPSAGIPWRAT RNVHQHELGRQDQARFVSLSPALGVRLLWQPHARQFCPSSTQSARRRRKNRRHIPALL QPMPDVELHVVGETLHCPRWECTMSYEAFKLK H257_13726 MQQKQPHTSLSHATREWRRWNLPRVTALSQCETLCHAPPHLRRV PGIGELVGSYNGAGRHGGCGRSDRRHSPSLACDVPRYVFPWWMRRHRRPSAGIPWRAT RNVHQHELGRQDQARFVSLSPALGVRLLWQPHARQFCPSSTQSARRRRKNRRHIPALL QPMPDVELHVVGETLHCPRWECTMSYEAFKLK H257_13727 MEDNIRAPDVQRYRNHSVDDDGMYRRGSIGTSSEGESSSICQPF FYRSSSISSTTSSDMRSIQGKTSLLEELKGRLEEHPLLPLVHQQLAVVHATCNVQRAR MRQWKDDEIDLAMKEEDQYYDDVERFVLIIDAMQAKIESMQPSVQASVSSSIPTPPRI CATTDDTSDLASARRHVAALEAAVAESRAQCQELESQASALRDVNEALSARAKSWKKA QVEKESAVERTWMERQHAATMSLQQRVDALQTELAVAQKRGESSHHQWSDLQMTHHDT LDHLKEAYRDVIDANGKLQEEHRLGLGRDAIIKKYEELQAKWATERTSLETSRHNLAT ELSEYRDELRRVTDELASKTLREEDLTRQVAAAAAERLQDQRENANWHDKYNVVIAKL DEAQATIMSLEATVKATTSRLDDTMSQLEFARQNAREFDDERHQAKSQVEELREALQD VQSTLAHRTQEKTDAVTSVGRLNDLHIEVVALLAEKERMLDRVSTMLGQNQQRVDQLE ASIEAKDDEINRLKRQSSTTAAALDDTFQRLQAFDQLSMAYHDTRIQYEARQSEIEQL ESQLRAADTSAAALQRSLLERQEQLDAAVEQRVDLEARFRELQSICHDLVAKLELAED KTRAQSEQLDVRAAHDELQSAHASLQHTLHDVQRAANAKVQELEAALSGMYDSTKQKD CELAALRSDMDRLADEQNHMLANERERGERNTRALESKCAALQAKVDALQQQNSQLDA LVQTHKGSCNEWRDACQEAATNAETLKRELTQVQVDKAHEISDMSERLEALEKDHKTL KARERTVSMQNTEMTQRLDSITTARDAEMHEWKVAVAQWTSSRERSQAMVDALKGAIA EKDTALGHVRRDLDHARGELLATNAQLEMATEVGQKAERRVEALMATVESLQSQVTSQ SQSFQDKHVEEFENLRAACHDVSAVNRMLTTERESIHAWLQQWLANGSNDPNTSSQYA TDDIQATLDRLDSWWRERLTTTQSLAETVTKLNAKLMATVDVGARFEAQVDALIHQLL DALGSHNRSNNNQTCHGDIKRAIHEAFAHAIALARSTQKETTPQTSNLTASRNSHAYK LMSTKRPQDASATVVVVAETGPSDQVGRPNHDNHVVQLNDHLTHLMQQCLALQARNEK YKKQLHTMRQREKLLERDLLLAIK H257_13727 MEDNIRAPDVQRYRNHSVDDDGMYRRGSIGTSSEGESSSICQPF FYRSSSISSTTSSDMRSIQGKTSLLEELKGRLEEHPLLPLVHQQLAVVHATCNVQRAR MRQWKDDEIDLAMKEEDQYYDDVERFVLIIDAMQAKIESMQPSVQASVSSSIPTPPRI CATTDDTSDLASARRHVAALEAAVAESRAQCQELESQASALRDVNEALSARAKSWKKA QVEKESAVERTWMERQHAATMSLQQRVDALQTELAVAQKRGESSHHQWSDLQMTHHDT LDHLKEAYRDVIDANGKLQEEHRLGLGRDAIIKKYEELQAKWATERTSLETSRHNLAT ELSEYRDELRRVTDELASKTLREEDLTRQVAAAAAERLQDQRENANWHDKYNVVIAKL DEAQATIMSLEATVKATTSRLDDTMSQLEFARQNAREFDDERHQAKSQVEELREALQD VQSTLAHRTQEKTDAVTSVGRLNDLHIEVVALLAEKERMLDRVSTMLGQNQQRVDQLE ASIEAKDDEINRLKRQSSTTAAALDDTFQRLQAFDQLSMAYHDTRIQYEARQSEIEQL ESQLRAADTSAAALQRSLLERQEQLDAAVEQRVDLEARFRELQSICHDLVAKLELAED KTRAQSELDVRAAHDELQSAHASLQHTLHDVQRAANAKVQELEAALSGMYDSTKQKDC ELAALRSDMDRLADEQNHMLANERERGERNTRALESKCAALQAKVDALQQQNSQLDAL VQTHKGSCNEWRDACQEAATNAETLKRELTQVQVDKAHEISDMSERLEALEKDHKTLK ARERTVSMQNTEMTQRLDSITTARDAEMHEWKVAVAQWTSSRERSQAMVDALKGAIAE KDTALGHVRRDLDHARGELLATNAQLEMATEVGQKAERRVEALMATVESLQSQVTSQS QSFQDKHVEEFENLRAACHDVSAVNRMLTTERESIHAWLQQWLANGSNDPNTSSQYAT DDIQATLDRLDSWWRERLTTTQSLAETVTKLNAKLMATVDVGARFEAQVDALIHQLLD ALGSHNRSNNNQTCHGDIKRAIHEAFAHAIALARSTQKETTPQTSNLTASRNSHAYKL MSTKRPQDASATVVVVAETGPSDQVGRPNHDNHVVQLNDHLTHLMQQCLALQARNEKY KKQLHTMRQREKLLERDLLLAIK H257_13728 MSRRCAMEAMPLLRKAPPTEQDKTQQELALNPHRPLRSWLHGVV AVVSLLLVLVGLTSISSSITPPVSSNLSPPPSTPLNVRTVWVVVNRTVDGQLVAAATF DVAADTIDGSTSHAYATFNDSVPRIGWSQLWLRATPASSNSSDAFNQAMYAAGYAEGA LTHRRIHEHYWNTRSYFFGRVPTAINLASAARVYDFLDRNVRWLRSQVATMDTNDTLH WAMVGGVLAQLEGLVQGYNDHRGVEDSSLSELDLLFLNADGDMPNLIARTMPPAADAA TVTSLTKPSTSNFKCSALIRILDDDLLWGHATWDTYTALNKMFKHYDLPLPSHSMASR AGQERHNSHRRRISMSSSPGYLSSVDDWYLLDSGLGVMETTNGNYNATLAAMISPESC LSWVRTKVANALAVDGPSWTVYFGAYNSGTYNNQWMVLDTSRFSNGALLPHGLTLLEQ LPGEIMVQDVSNVVNTQGYWASYNIPYFEHIYNKSGFAAKAAASTDSSWSHSDCARAK IFRRDAPHVHSIADLKRLMRANNYQHDELSLGDPGRAIAARYDLASNPLEFALNGAID AKVTSVHLARQLQCEAVLGPSNDNQPTFEWTAAFDKLALHKGHPTAFNFSFIAMRHHD HLEHQYQPSTDSL H257_13728 MSRRCAMEAMPLLRKAPPTEQDKTQQELALNPHRPLRSWLHGVV AVVSLLLVLVGLTSISSSITPPVSSNLSPPPSTPLNVRTVWVVVNRTVDGQLVAAATF DVAADTIDGSTSHAYATFNDSVPRIGWSQLWLRATPASSNSSDAFNQAMYAAGYAEGA LTHRRIHEHYWNTRSYFFGRVPTAINLASAARVYDFLDRNVRWLRSQVATMDTNDTLH WAMVGGVLAQLEGLVQGYNDHRGVEDSSLSELDLLFLNADGDMPNLIARTMPPAADAA TVTSLTKPSTSNFKCSALIRILDDDLLWGHATWDTYTALNKMFKHYDLPLPSHSMASR AGQERHNSHRRRISMSSSPGYLSSVDDWYLLDSGLGVMETTNGNYNATLAAMISPESC LSWVRTKVANALAVDGPSWTVYFGAYNSGTYNNQWMVLDTSRFSNGALLPHGLTLLEQ LPGEIMVQDVSNVVNTQGYWASYNIPYFEHIYNKSGFAAKAAASTDSSWSHSDCARAK IFRRDAPHVHSIADLKRLMR H257_13729 MQTSSAHFPFAAAATAAAATRTTATLSEHSRQTSSRSRSTNTAV HLSPSIVNSWIVELDRWTTNLMLDQVLTGSLCFLALAIYMYIKFIRRDRSIAFEQVRP HAKEAQSPPSQESGTSPSDPFVVLHPHNNSPPPRKKPTKGHVYQHPMRRRTHSSMTNV DVTGGGGAPPLHSPTELVSMLTVEPPGPIPEDTEFFGRSPTILRKTISIDVDTSGSSQ KKRPQASHLSSPDINLFAEVPSDKDTWRHLESQHLPDDRSFDVVLNMPSTSSRTSTHD HVATDHHLLAMPPPPPLPPIIPAPPRAKLLLDNRQQPAQMQLEVARKAHIPLFLHLPL HPRKDDASAMPIPALLPPRHDVEGAPAVIMAPGVSHAIKRPSRSNSFNFRIDFHELAM GELIGQGAFGTVHKAIWRGTLVAVKILQVQHLSAEVLDEFETEVHIMSVLRHPNICLL MGACLDPPTRCLVIEYLPKGSLWCVLRQPSAVHIDLNKQLSFALDTALGMHYLHTFDP PILHRDLKSPNLLVDGSYRLKISDFGLARVKAHHQTMTGNCGTTQWMAPEVLAAEKYT EKADVFSFGVVCWETVTRACPYDGLSQIQAALGVLNHHLRPTIPAACPPFLKKLMTVC WGPAPEKRPTFAQIIDMLNAHSSTSSNSPIQMG H257_13730 MDNQKAAPTMELEHAIGFSGISAGLHYHPNGQDYVYPAGGCIVI ASLSDPHNQVFLRGHDANITCLSMSPTGRYLASGQAGSNADILVWDYSSRQLLFRLSE HDFGINAVAFSHDEKLLVSVGSERDARIFVWDMASGNIVTTQQKLQATVLAVCWGGFH RDVKRRDTTSYLFATGGTRMLQFWVLNPASGELVASKVEFGAPVVRDYTCVQFTPDRE TMVAGTTSGDFAVVHVKTRRFLQSIMACTCGVLSLCTFDAGVLVGGGDGSLLFFNSEF VDSAKQALVGPIAGLSPLVGSSASSGALQVMAGTQSGFIYHVTVHPSSMSSRLICENH SNGVLSVAFAAQHSDRFATISKDCTIRIWDGSDYSVVVRMAVQNAGMPTCLAFSLDIV LSGWQDGCLRCHSSDTGHQLWVIDNAHTGGVTALVLSNNQRFIVTGGMGGDVRVWDIR KRDMVSHLKEHSMAITGLALFEDDVHLVSCSRDKSFLCWELRTERRIASHIQRMGGLN AIALSRNQSIVLTVGQEKRISYWDLRIEAPVNVVTKAHADEATCLAVAHTMPYFATGG TDQLIKLWSFDTGLLIMDGIGHSGSVRALEFSPDDRQLVSVGDEGSIFVWNLFSD H257_13731 MEQSPPTTSPEDTTQPESTIKILISTDNHLGYNENDAIRGKDSF RSFEEMLAIGGREGVDFVLLAGDLFHHNKPSRATMYRTMSILRTYCLGDGTINFQILS DQSLNFPNFGQVNYEDPNINVRLPIFSIHGNHDDPTGEAGRSLAALDLLSAANLVNYF GKSDRVDEIEVFPILLEKGSTKIAIYGLGNMRDERLHRMFAQGHVRFRRPEESPDSWF SIFVVHQNRDNRGRGAKNCLPESFIPEFIDFVVWGHEHECVIEAEESIKGDFYITQPG SSVATSLVEGEAKPKKIGLLEVRNNNFRLRSVPLKTVRPFKMAQVCLRDHKHLDPADP KVADKISAVLAVKVQQLIDEAAAETPNQDTTMQVLVRVKVDHSGFPVLGNQRFGSQFV GKVANPSDILLFSRDKKGATDDDASSAACLLKNPIRPGAPPPPSSMLCVEQLLSNHLA GSDKVLEILPETNLAHALDDFVFKNIPTAFGDIYDTVLEESQGALKKNKATLNKHDIQ SLVEKNLERIKASQAVHVGQSAMDTHEVLRMLQTTTTTQRGDGEHDNDLDGLSDDDGH TPITTTAAKHGRGRSGGAAAAASVKATTSTPARKAAKQPKHANKKQSTHRFSDSSDDQ PTYDDNDDDHVVVVMSSSGSDFDEPPLRQTARKPAAASKRPPAATKRKAATRRTSDAL PPSSSTRKKQKTISALFSQSATPLPPATATTTYGASSWRDGGTQVPTQGTSTTQRRKL PLSFSSYTQDDDDADVRSSAALPPSSANAWGRAKK H257_13732 MEDRALPPVLPLPSVTGALDAAAAANGMPDDESADSDYVEAAGA LGPDGNSSDEIDDDRQQTGAGNDDADDEEEYSTENTKVIQLTNYTCCAKRCIFGRTRE MDLFIDSLDEMTKEMQHACILTSLSISVALTTKRKSRGKGLRARYTYVMPYLGNVCKQ AFEACFDISGGSLNRLRKQVSTSIVPKKHGNMSNKNATSVDYTTLKAWFYPYATSIGH SVQARRKTRVKTDGHTHVQYRTDHVIVLPPSVTYEKLHQDYLAHLSAIQTTPSAVVTS RPRLPSEKTFRDYIKKSFPDIRLDSATPHNATKHYHHSHHHSHSTKPIIPAAAAPSSS SVSTVKKTSNM H257_13733 MIHRSLLLVSAALASVAAQCATDMQVSVRFTDDIYCVDTAPCSG VYLPSKTGCPTKGTVSINTKNTLQVDTCCSVIDTSNAVGCVIPVAGSTECIGAPNSAP SSTSSAPVTTDPATTTPATTSADGIIPATTIPATTSADGIIPATTTPATTIPATASAD AITSAVSTSLAPATNNTPTSSTTKPITPAIPTPSSTSSSVAANTTDSNATKSPDAPRD SITEPPSSTGNATTNTTVPPSTSATSALPVIVSSLVVAIVATML H257_13734 MTSENNEDADYSERQPLYEGKPQSRQFTVAGVVSTKQRRLEDHV IEGVTSSSYSTNSNKELLCLEYVANFRKQFDDLMDASDICRPPLYLSPPNERGIPKFV CTTLRPTLLPFLDLYDMDKLATFVSGLIEYEPLVDPCAPPACLPSPSAVLAWQAGDSF DMANVLASFLIGAGYDAYVVHGKAPKWVCLLDQSHTECPYLEGLTSGSTTTSSLTAAP SSVDTAAAPKDEGKSKFVAMQEAKDAARRADEAARLDWTKYMDVDDEDEDELDGKRVH AWVLVRAGRRDVTEHVFLEPSTGRKYPVRASPYLRIEAVWNHQNYWVNMQPTCSSSLS SSSTKAAAAASCSDTLFDLNHATDWEFVFLSSQDRRGGGGSGRSSGLHGDGGESKHND DLDGGRADNNSHHDGDEDAADDDNVLDLPPSWVAKLHVDRKAYKRRFVTAGQRTTLFR KAKVEEFAENNHAQGLVLRITQFRNIARTLPVQVREVFKNRKDKLHLRVRYPLEGKFE EHFLPGRVPEALATRTEWSGFRRDLGFYTSARMDGLVTREEVIHKRTVESFEGRDDFL VGRSVALTEDKDEAKAGAASFVLPGGGSGELVVLKMAEKYDRNDRKDADEDARKRTYN VRDGSIHVQFHYAVGKITSGSRVYHKAPGTPVDVFQVDPMATRPKESILDRELQAAIQ MEKECYNNIRHSDVETQEILKFRRREEASIALETSIFDAQDDESKHDRVDDPHKAGKD AKAETDYLSPFLQSVHSHHRTPHGGGRKQVLSRDDAHNVRDMCLKNLKERLLERANII QTRLDKENAALAKKQAAFQRSQREHDQGTDEEFERFCSETMFRIQILEQRLTRHEETA LQKYAELDQRLHSDPRLAVLHQ H257_13735 MAPRSFRLSPSCILVVSMGDITQWKGDAIVNAANQRMLGGGGVD GAIHRAAGQALRRACEAVPPVDGTSIRCPTGEARITGGFLLPAKHVIHTVGPIYKTKA ESRPLLKAAYDNSLALALEHGLSSIAFPAISCGVFGYPLLDAAVVAVDACQRVASSSP NSIQAIEFVLFSPEVVQAWLAAISKARLQEIRHNDDL H257_13736 MAWDLAIRIAVGVSVSVLAAYRGLKRRSLSRGGAFSAVIVGTAS MACGYRFGLLLLAFYFSSTKLTHYKEERKATLDASVKAGGQRSSIQVLAVSLIATFLA GVYLVYVGDDRPLDSVASPLATMLWGAYIAHYACCAADTWASELGVLSASSPILITRC RTVPPGTNGGISWLGTFAAAAGGTFIGALFYLYHVLVFVVSSSSEASFPPQWPVVLFG LASGLIGCMLDSILGATLQSTYYCTRTNRIVPWPLIPTFDVIHISGYDILSNEQVNVV SVALTTVLGAVAAPYLF H257_13737 MTSTKRSLCDAAATTSTSAHAATTDVQNLVHMSDELILLVFSFL TPESILKTRQLSKAWAVVSTMDRIWQPFCVARWRMQPRLLRLTRYGVHSYLGLYRHLQ LAGQKPHGVYTTPDKLSWGHSRRHGVESWLTLGHRSDCKTVRVTGRSFVQLRVVVQNL SPSVVLVNLTDINVHFKNGPVVPVVHDVAATTPPILDMKPRILAWNGHAVDPSTTPLA SCPLSFFEFVVVGVYVACDDCDFEVDFLERALSVWIPMKRHGDCIDLARCRCMLLPDQ YHHFGLHIPLVDEAVIWNRYTQCSRGFMVLNAKDRLTAPHDLPARYLIVDGA H257_13737 MTSTKRSLCDAAATTSTSAHAATTDVQNLVHMSDELILLVFSFL TPESILKTRQLSKAWAVVSTMDRIWQPFCVARWRMQPRLLRLTRYGVHSYLGLYRHLQ LAGQKPHGVYTTPDKLSWGHSRRHGVESWLTLGHRSDCKTVRVTGRSFVQLRVVVQNL SPSVVLVNLTDINVHFKNGPVVPVVHTIRFLACVTAFWSLLFVVHDVAATTPPILDMK PRILAWNGHAVDPSTTPLASCPLSFFEFVVVGVYVACDDCDFEVDFLERALSVWIPMK RHGDCIDLARCRCMLLPDQYHHFGLHIPLVDEAVIWNRYTQCSRGFMVLNAKDRLTAP HDLPARYLIVDGA H257_13738 MLPLLSQAIVSPRSTSNNRPQQSSPRSTLPYLALHGLPSPRPLP KLHMKPQLDPDFVPMTKGRKGPKSDRFVVNMDDCHTPELVVQGFTTMLADIRASFARI DATTAIFRAEMDEANDVVQYICDVREAANNQFDDLATSSAVTIQRVHRGNTGRRQCLR KRQASAAIQVQRIFRGRLARRAYVTKKLHSTVANTVLGLRAMHNLHQDDKLLQLDDAQ TGDRAATLLRMLKASITIQSRWRVAYNKVRGNVSDIQTVQARAGRLNRLLVRVAWQVA TTIGILRYWRKATALNLLSKPAALLRQQLHRRRSSIEIAANAMIAARLRFAAIAEAAP RHAEQHAEHVIENVSFFAQPPKAWYTRHAGSDSVVKSTTSSHSTASLPPPPPPPSFAS PKAHSKTNVVLLGNNKARSSSLPLTNTQRDTSRFGIVPNVVATTTEVTRAYQAVHSSV APRPGHVKVSPTKVSNVKLSPDSMRQRQATYDAKRKANDSRAGATKDITAAMGKAAYQ EAGMRRTMDRQRQLKVKVEQRKHRRAKHKQTTSLVKLNLARAQGMATTSTANHENPSK PLDATPSCHLESSTGRRIVADAINSAMQNVLACLR H257_13738 MLPLLSQAIVSPRSTSNNRPQQSSPRSTLPYLALHGLPSPRPLP KLHMKPQLDPDFVPMTKGRKGPKSDRFVVNMDDCHTPELVVQGFTTMLADIRASFARI DATTAIFRAEMDEANDVVQYICDVREAANNQFDDLATSSAVTIQRVHRGNTGRRQCLR KRQASAAIQVQRIFRGRLARRAYVTKKLHSTVANTVLGLRAMHNLHQDDKLLQLDDAQ TGDRAATLLRMLKASITIQSRWRVAYNKVRGNVSDIQTVQARAGRLNRLLVRVAWQVA TTIGILRYWRKATALNLLSKPAALLRQQLHRRRSSIEIAANAMIAARLRFAAIAEAAP RHAEQHAEHVIENVSFFAQPPKAWYTRHAGSDSVVKSTTSSHSTASLPPPPPPPSFAS PKAHSKTNVVLLGNNKARSSSLPLTNTQRDTSRFGIVPNVVATTTEVTRAYQAVHSSV APRPGHVKVSPTKVSNVKLSPDSMRQRQATYDAKRKANDSRAGATKDITAAMGKAAYQ EAGMRRTMDRQRQLKVKVEQRKHRRVRHRFISAKHKQTTSLVKLNLARAQGMATTSTA NHENPSKPLDATPSCHLESSTGRRIVADAINSAMQNVLACLR H257_13738 MLPLLSQAIVSPRSTSNNRPQQSSPRSTLPYLALHGLPSPRPLP KLHMKPQLDPDFVPMTKGRKGPKSDRFVVNMDDCHTPELVVQGFTTMLADIRASFARI DATTAIFRAEMDEANDVVQYICDVREAANNQFDDLATSSAVTIQRVHRGNTGRRQCLR KRQASAAIQVQRIFRGRLARRAYVTKKLHSTVANTVLGLRAMHNLHQDDKLLQLDDAQ TGDRAATLLRMLKASITIQSRWRVAYNKVRGNVSDIQTVQARAGRLNRLLVRVAWQVA TTIGILRYWRKATALNLLSKPAALLRQQLHRRRSSIEIAANAMIAARLRFAAIAEAAP RHAEQHAEHVIENVSFFAQPPKAWYTRHAGSDSVVKSTTSSHSTASLPPPPPPPSFAS PKAHSKTNVVLLGNNKARSSSLPLTNTQRDTSRFGIVPNVVATTTEVTRAYQAVHSSV APRPGHVKVSPTKVSNVKLSPDSMRQRQATYDAKRKANDSRAGATKDITAAMGKAAYQ EAGMRRTMDRQRQLKVTILANRLHVEEQTKCLTIFVHFAVQVKVEQRKHRRVRHRFIS AKHKQTTSLVKLNLARAQGMATTSTANHENPSKPLDATPSCHLESSTGRRIVADAINS AMQNVLACLR H257_13738 MLPLLSQAIVSPRSTSNNRPQQSSPRSTLPYLALHGLPSPRPLP KLHMKPQLDPDFVPMTKGRKGPKSDRFVVNMDDCHTPELVVQGFTTMLADIRASFARI DATTAIFRAEMDEANDVVQYICDVREAANNQFDDLATSSAVTIQRVHRGNTGRRQCLR KRQASAAIQVQRIFRGRLARRAYVTKKLHSTVANTVLGLRAMHNLHQDDKLLQLDDAQ TGDRAATLLRMLKASITIQSRWRVAYNKVRGNVSDIQTVQARAGRLNRLLVRVAWQVA TTIGILRYWRKATALNLLSKPAALLRQQLHRRRSSIEIAANAMIAARLRFAAIAEAAP RHAEQHAEHVIENVSFFAQPPKAWYTRHAGSDSVVKSTTSSHSTASLPPPPPPPSFAS PKAHSKTNVVLLGNNKARSSSLPLTNTQRDTSRFGIVPNVVATTTEVTRAYQAVHSSV APRPGHVKVSPTKVSNVKLSPDSMRQRQGTKSSNDGIDTGDLLNDELKHAIYVYADHP VRP H257_13739 MKSEEGIQPERPHHRDANALSTEDLRSEMVRYGIPAHESVPNTL VILQKKFDDEFSMHMTAYTKFMERYNRKKSQADLESKMARDDADDADAFAHNPKIQIL VEQIVANNTHDTLMVRGLNDASARAVLRVLGLNQSVVSLDLSNNGLGESVVTDVARML RTNKRVASVDLSSNRLNAKCMHELAAALTDNTVLTSLSLEGNPITTHGNTSDLSGFEA LCGYISSTTTLESLNLFRTGLNIEAGRILAKSLLFNESVYFLELGCNALADKELEVIA VQMGENRQLHDDMASKLFGKRQMIQAEAKARAADHALERLKIETQEWHAANAVERRVQ HEIDRVADKKRQDAEDARLRKIAADRDMERRLAMEDARLKAEAKAKKKKK H257_13740 MADEQLDNVVAETRPRAGTTSVPMMRSLTPGTESALPIYKFVLT GGPCAGKTTSLDRLSSYFRERGFRVYMVPEASTLLQTGGAYVMDLKATDVLNFQWQIL STQIALEDAFMSLAKDTNCPCVILCDRGAMDGSAYMSPDQWEELKVLHDLDTVTLRDT RYNAVFHLVTAANGAESYYSLENNSTRSETLQQAIDADNRLCHAWVGHPKFFVFDNST GFESKMQRLISTAANLTGLPSTVKTAKKYLLTSLPPSWPIHVEEFEVEKVYLSVLPTT DEGTKDYDFVRCRTQYGLPSYGMTTVRYLEDSDEPVHLKRVLSAREYGYAVRHRKDHT RLVIKQRRICFLWNNQSFNIHWYKEPASIANQGIVHVQASDSETPVSIPDFLDISEEL SKSHPYYSAYNIALE H257_13740 MADEQLDNVVAETRPRAGTTSVPMMRSLTPGTESALPIYKFVLT GGPCAGKTTSLDRLSSYFRERGFRVYMVPEASTLLQTGGAYVMDLKATDVLNFQWQIL STQIALEDAFMSLAKDTNCPCVILCDRGAMDGSAYMSPDQWEELKVLHDLDTVTLRDT RYNAVFHLVTAANGAESYYSLENNSTRSETLQQAIDADNRLCHAWVGHPKFFVFDNST GFESKMQRLISTAANLTGLPSTVKTAKKYLLTSLPPSWPIHVEEFEVEKVYLSVLPTT DGKPQILRDQGL H257_13741 MSDVNALVIEPLKQFAKNSVHLVKKCTKPDRKEFARIAGATGVG FLLMGFIGFFVKLVHIPINNILVGGGSA H257_13742 MEDGYTTPVDLSVMWHIQRTVHGHTSTFKDMQLNQPRNAEKGVH NFLGVEDRRFLRADGSHGMLPRCQARDTSLEIVRAIQFGDVDTVASALQSRMACPQQT NSAGETLLHIAVLHQQPRIVQLLLEHGANVNARTNWRPLPSNENHIPRQYAFTTLSGG ATPLHFACGLSNLELARTLVEAGAETEIGADELCGTPLLWALCAADKPLEMVRMLLAA GASPHCRDVEYNCVVAMAVMWWWEPHHATRLYQLVTALVDAGADVHDKNITGWTAVDV AATDAAKDMLRRVFGATSGRCVDIDRIGNIERERDEGRSWLRPRTFAMASMHPETKSE Q H257_13742 MEDGYTTPVDLSVMWHIQRTVHGHTSTFKDMQLNQPRNAEKGVH NFLGVEDRRFLRADGSHGMLPRCQARDTSLEIVRAIQFGDVDTVASALQSRMACPQQT NSAGETLLHIAVLHQQPRIVQLLLEHGANVNARTNWRPLPSNENHIPRQYAFTTLSAC GLSNLELARTLVEAGAETEIGADELCGTPLLWALCAADKPLEMVRMLLAAGASPHCRD VEYNCVVAMAVMWWWEPHHATRLYQLVTALVDAGADVHDKNITGWTAVDVAATDAAKD MLRRVFGATSGRCVDIDRIGNIERERDEGRSWLRPRTFAMASMHPETKSEQ H257_13742 MEDGYTTPVDLSVMWHIQRTVHGHTSTFKDMQLNQPRNAEKGVH NFLGVEDRRFLRADGSHGMLPRCQARDTSLEIVRAIQFGDVDTVASALQSRMACPQQT NSAGETLLHIAVLHQQPRIVQLLLEHGANVNARTNWRPLPSNENHIPRQYAFTTLSGG ATPLHFACGLSNLELARTLVEAGAEVRFRSNHTFISFVVHLLVGRTTSTLYSNGATLY CSVLMAATSRQK H257_13742 MLPRCQARDTSLEIVRAIQFGDVDTVASALQSRMACPQQTNSAG ETLLHIAVLHQQPRIVQLLLEHGANVNARTNWRPLPSNENHIPRQYAFTTLSGGATPL HFACGLSNLELARTLVEAGAETEIGADELCGTPLLWALCAADKPLEMVRMLLAAGASP HCRDVEYNCVVAMAVMWWWEPHHATRLYQLVTALVDAGADVHDKNITGWTAVDVAATD AAKDMLRRVFGATSGRCVDIDRIGNIERERDEGRSWLRPRTFAMASMHPETKSEQ H257_13742 MLPRCQARDTSLEIVRAIQFGDVDTVASALQSRMACPQQTNSAG ETLLHIAVLHQQPRIVQLLLEHGANVNARTNWRPLPSNENHIPRQYAFTTLSACGLSN LELARTLVEAGAETEIGADELCGTPLLWALCAADKPLEMVRMLLAAGASPHCRDVEYN CVVAMAVMWWWEPHHATRLYQLVTALVDAGADVHDKNITGWTAVDVAATDAAKDMLRR VFGATSGRCVDIDRIGNIERERDEGRSWLRPRTFAMASMHPETKSEQ H257_13743 MTPQPNIEPLVMPSWRYRIEPLASGGGVRLTIPNHRTTGSTLCL VVFLLIWCSVGGFMSSMALEMTSSAVAIGPIVVTSIGALLMGSVLLYWMFGEETITIT PAMCTYHWEALCIHRTKNYDIHQMGPLIVHTTVSRSNTDDSHRGDERQQRIAFQYGGS LVQMGYLLLELEVIPFYNDLLQCVPQNLIPPAVRQQRPHVEPVYMAQPLDTSKDQEPL V H257_13744 MNDGRSAVDPAKHVGLRQLVHGRRRLSVAAGMVDGPELVETSLA DVNSKLTSPVRSVTLSQTMTL H257_13745 MVLVNLDAAPVVPRKPQTLVRKVLTSLTFWILVGTAVGILLGSQ APDFSKKAAPTANVFLRPVQFIVFPLVFSTLVVGIAGNGDLKALGRVALKAFIYFEVV TTLALVLGLVAVNLVKPGDNGYKTVANPNVTTSDKFTYAIWINHLTPKTWGEMMGGSG SSELLQVLVASVVFGCATALADPKSKQRVLDIADAVMWIMFKFVDIVIWTAPVGVCFS IASAVANNGGLSVLSSLGLLVVTLYLTLVVFILVVFGPVFVYMKLNPIEFFRGMKEPL IIAYTTATSEAALPKVFEALEAFGVSPHITSFVVPFGYSFNLDGSTLYLTLASIFCAQ AAGIEKSIGEQVTMVLMLMISSKGVAGVRSASIIVIAATLDQFAIPAWTVGLLLGADW FMDMARTFTNVLGNCLAAVVMAKLEGEFRKPGWETLLHTTHDVQEDKVGGLEEELIAD KERYSHN H257_13746 MSSANKLAGLVAKINQSGLPSFLRESALSLAFNSQVKMAGVAGI HIESLTESQSVLHLKNRFRVQNHIGGVHACGMALLAESASGVVFGVNVKDTHLPLLKS MSINYVKRAHGDLKAVATLTPEQREAIQRDDKGNLVVDVVLTDEKGEQPVECQMTWAW TPKKRSTKP H257_13747 MEVFIERAVGKIRKLLSRRDKDKELRESCDEVLSHLKAGTPNLS EETYFAPLFCAILTKHSSKTTCLALDCIEKLLAFGYMRGTAQITSALQAHLQRTLDLH EDTMNMTAKHGILLIDAVVEVICSCQDHSDNDVQLQVLKAVLTAATSTTCAVHEHSLL KSIRASFHIYLVSKSVINQTVAKGSLQRMIASTFQRMETAAAEPSTASTTTSTTTSTP PSTQASTSMYPSVQHLFQLKFVSRPLQTHGVLPDTSTSFPSIWHKDAYLVFRALCRIS MRFVVDDAIGDIASDDLPQGDDPYALQSKMISLDLLLAIINQAGNTFHSNDRFLVAIR SYLCVSLLQNCTSIYTQVVELSLRVFVVLITHFKAHLKGEMEIFITNIFLRILDSDNS TFEHKMLVLEVLNHICDDQLILSEIFLNFDCDWDSMDLFKRIVNALAKIAKSKQRDLQ YHSSAPVARQLKMQQNEAALVLKGLECLTSTVHSLKKAANFVSITDQGKPTPSGY H257_13748 MEIFITNIFLRILDSDNSTFEHKMLVLEVLNHICDDQLILSEIF LNFDCDWDSMDLFKRIVNALAKIAKSKQRDLQYHSSAPVARQLKMQQNEAALVLKGLE CLTSTVHSLKKAANFVSITDQGKPTPSDNDSDTEEDAECGPRLPSSASLVVGMFDKKK KRQDLISTGIVKFNVKATDGIKFCTANKLIENNPRSVAEYLHEYNSKLDKFQIGEYLG RETAYQGGFCVKVLHDFVDMMDFHGLEVDEAIRSYLSYFRLPGEAQKIDRMMEKFAER YHWNNPGVFPSADVAFILSFSVIMLQTDLHNPSIPEDKKMSKEGFIRNNRGINNGDDL AADYLGGIYDRIKSTPISLKEEQSMKHKRENSTISVAVLDKQRKDAFSRERQAMVKAS EAYFKRKSPSSNANAETKSSPPPSSYVVSSTENVYVKPMFEIVWAPLLAVCSVLFETS DHPVAIQYCLDGFKHAIHLSARLQMQSERDAYVSVLANFTAVQHSATRSIGTKQIEAI KTLIAIAVKEGNFLGDAWRDVLQCISHLARLQLHAQGLQADTQFFVSPPSNGLPGSTS TSASKRLTSFGHAYSFISNPFTLPHPTQSPKFKPLTVSEDQLMAMEAQNAQRIADQID GLASDRVFSNSPFLTDTSVQEFVQQLCIVSLTECQGLTGSGMTVRTAAALPRVFSLQK LVEVADMNMHVRSRVVWASMWSVLSRHFTTIGCHDNLGIAMYAIDSLKQLSMKFLEKD ELRDFNFQRLFLTPFEIIMANAVATEIRELVLSCVHNMLRSRVGNIKSGWKTIWGVLR VAAETFDAAAHDARVVLMGFMLAKTIVHSHFDRVVAVFVDVVECILAFALCGSLPSLS SGELLQMSLDAISVLGLCLRHVASGRVMEQLDQANIAISPRHRLGSATDQGYQPTASV PPFGNNYYAHTWWPVLKALVTLASDPHPGIRNAAVDTLYGTLHLDGAAIDANLWLLIF RELLVPLMESIRLVELNKTTAFSRISSKKALLALVNLYGSFYPSIGHVDDILELLKRW LVRESEDELAVAAASSYETLLIEHGHQFPPPIWELVTAELIAIQDHLLPHWLLAPTPD PATCAMYPTVSQMFFPTAPVDLELPSLTHMLVLLEVQRICGNVLVQVHTSLPRACFDS ILTCLRESIAFARQLNDNYNVRLALLNRNWRYGCQSAQELPHMVAHEITGTREYFKAV CYHLPRFRVEFKAIVESSLNEYLLWSNEKGDARSQSMDLRQRSYGYVPLVVDILDQLV LLSRAEMGMHLAWLYPLLTELIQTNSIEVRRALYRVFGSTIQSLLPLGEA H257_13749 MTTPTPMPLAATTSHHVFRLIHPLIGLSGVVDWRMAYLVSSNPH IPHQAHHISSNVLEGLASIMWGVGGVLQYEKEVPKFNHSTRHAHSVMGLVTKHRLDKA FQTSRRCYMVSYAGLLGLTAHHAVFGTLAYRRHDSKRTAAHVAAGTSSGCYAYLWHSI FLGAKVQRMGLTHFTATAAMLTVWLTESDKLLKQIW H257_13750 MLREREMELALQQIVYEEQSKMKALGFAEAYIGSNDAKCSIFLS SQWERVSRLLLIIVSGNGIQPGIWSRSLVMEPQDPSRQYYRSGSMLPYLHQALTLGYG VIVTNPSTNMVITNRGDKIPIPYSGTPEDHVRYVWEAFAMQARCHQVYIAAYGRGGAL AKHLLLTQPVLRQKLAAIAFIESSHRVGADDPEDVRTIISTRAINWQRSTEDAGRQLP DCTQLGCLSLSAGEPQAPSRSSGEQRSTNTAWTIAASMETVFAFFDSARGYDLADEQK VMEKMYGSQAPYTQPPPLHPQPPAQGQHEEGDMVIEQVVDTTSRLTSRSMVISTSMSV GDFDLLSVVGKGAYGKVFLARKKAGRNGGRVYAMKVLRKEDVFRKKQVEHTMSEQRIL KHVEHPFVVHLRYAFQSDYKLYLVMDYYHGGSLFVHLKQLKHFPEARARFYAAELALA MSHLHSLHIMYRDLKLENILMDADGHVAITDFGLSKEDDEASTFVGTPEYLAPELLSS QRTATSYGKSVDWWSYGVLVYEMIRGQTPFYDKNRRAMFQNILTNEPPFPSTLFSPTA TSFLQALLVKNPAQRLGCGPNGPHDIMQHPWFAGIDWQALLDRRVEAPFRPNIKHGHD IFNYVPASFLQQEVADSPVVTSSVLSGSMPGRHTMHFDNFSYMGSDIMGSRRTSLFRE SDFRMEDEDS H257_13751 MKSDFLGATIAISAAIGSNLGVNVQKRSHDQEDAKAKHLQRPYT KRPYWWLGMFLVVFGSLGDLFALGFAPQTLVASLGGGSTIVANVLFAHYWLKQPLYFT DVVGVVLVSTGVVVLALSSSEEGHYEVAQLFEMMRAPAFIVYTICTSIFVSFLVLRVR RSTSPALRVVDVTEEVRKKELADLEKSVQDAETSSTTSATSTLRPSSSTSSTEHHMSP FPSPVVDVVEDKCLIDDDSTTPSSNGGKILVSQKHSLVIDKHLPLYWAAISGTLGAQS VLLAKCVMELINMSFIGENQFIYPGTWILLAGMVACLLSQTHALNKATMCGDTMSAYP VFQAFWIGMSNISGIVFFQQAHNFNATQWIMFPTALVLVMVGILLIAKHEKMGNPVKY SVAMPLQLSSPRQHDIVAQSFLFKEMTPQQDHGLVEIPLVDDAIPTTAAAV H257_13752 MEYMNWQFGAIDVVTEMQIIIRGTRVAVSVTHAMTFKHGATFGL AEAKELLRKKLHGLVMVKCGGCPRQSYHRSVPHMWCQTSEIATVIASCYESVSIELGI TANVVLTPSSPPPRPASSPTTLWQSCHVNKLLYQTTTLNICNHLLALE H257_13753 MDVKRPIVDLEERVSFVHSTADKDVQGEYADVKTPGDLEGGALV AGGALSLMSREAMGLLSQYAAIGVVLNMLPSLSYPLFTAYLNMEGYQTSSYGVLVTIG YSYKVFFGMLSDCFPIFGYRRKPWMLIGWTTTMICLSILTFSSFGTPFCDREKTKYCG KALETVPASELKHFNLSAPDQGMFYIMVSMVASIAYIIAACASDAMVVEYAQREPEAI RGRVQTAIYVVRTISGIASSVTIGFGLNGPNYGGSFGFAISPNVPYGICLIPCVLAVI ATLTLVVDKKTPGVPFREWCANFWELLQHRVMWQICLFRVVVSGLQSIGATPGSLISL YWAKVEPINNAVMDLASSAIFIATLSAVGKWGLAWNWRVMIAFGTLGVMIIDGPVLFL TIWDVVRNQWFYTGATLADTIPAGVRFIVSTYCAVEVADVGNEGATYGLITTIANLMG PIGSVVYKYIDSYFKVFANDIKRDSDEVRWDVSYVYFISYGCKIMSLFGLLLLPPQKK EMQELKRKGGKSKVAGALIIIGYFVCISFSMTSSIMAIYPSTKCYRIAGGNGKVDANG KCLKR H257_13754 MNMTSEYIANAVAAESAMDVPEALIQYAQAIAAINSNLATCKDP VQRRQLQEAATTCQQHLQALARSQGTRRDVAQPQHRNSDLKDMDVLRTAMGASTTARN GLSQAGGAKVMMGSAAAAAGVGLVIAGPVGSVLGAAGGAVMAAQGGTSGELARATGQL VAASYDKAKAANERYHMTDKVKAGVVKATATAKHLDDTYHIQAKATSLARGGLGRLSS FNDKHHVTDRVAKSTLSGLQGLTKALGSPSKSSHETH H257_13755 MGWSERLGPRWTESEVTEFFSLWRQHDATTASLDRVVAAVSTEL PQRTPDMVRALIQMHKGFLSLPMATDEGLYAILTDHYDAQAAWESEAAEKQQQQQVVA ASSSRRKVSRRNNVQYVRSFSAAIDDEFFAHSEFHDCLVQMNMGHFQRAKRTEWSAIR GSMGHPRRFSATFLKEERQKLLRYRNVVRYAQRMNEFPVDIRFPYKIYSPLKVGAHVR VLHPKSRHLCVGVVCAVHVHDNAYDVLLPFAADHKEILRCPDTSVMLLRHDDSHGITD MSLLYWQQATPKADVAPSFPLEYDQHGGGDLADATGMAMRAVTALLQRKEQLVMHLSR MNDQAATMSIALPNTDVADENDVVQQFQSQYAWVLVNLDTTNHMLASALYRMQLPSPH HAVEDQRLQDDAADAALNVQQLEWAHQYMHAAHERSRNLVAGTIRRLTMDERCKDTLQ MPQLATSELIMSCMDMVLTLQCAVAKVPLPPMVVHKLLDRNLELLKPRAHANMTLYHE MVQSIQVLKSVLLTHHQNSPP H257_13755 MGWSERLGPRWTESEVTEFFSLWRQHDATTASLDRVVAAVSTEL PQRTPDMVRALIQMHKGFLSLPMATDEGLYAILTDHYDAQAAWESEAAEKQQQQQVVA ASSSRRKVSRRNNVQYVRSFSAAIDDEFFAHSEFHDCLVQMNMGHFQRAKRTEWSAIR GSMGHPRRFSATFLKEERQKLLRYRNVVRYAQRMNEFPVDIRFPYKIYSPLKVGAHVR VLHPKSRHLCVGVVCAVHVHDNAYDVLLPFAADHKEILRCPDTSVMLLRHDDSHGITD MSLLYWQQATPKADVAPSFPLEYDQHGGGDLADATGMAMRAVTALLQRKEQLVMHLSR MNDQAATMSIALPNTDVADENDVVQQFQSQYAWVLVNLDTTNHMLASALYRMQLPSPH HAVEDQRLQDDAADAALNVQQLEWAHQYMHAAHERSRNLVAGTIRRLTMDERCKDTLQ MPQLATSELIMSCMDMVLTLQCAVAKMTTDDQVPLPPMVVHKLLDRNLELLKPRAHAN MTLYHEMVQSIQVLKSVLLTHHQNSPP H257_13755 MGWSERLGPRWTESEVTEFFSLWRQHDATTASLDRVVAAVSTEL PQRTPDMVRALIQMHKGFLSLPMATDEGLYAILTDHYDAQAAWESEAAEKQQQQQVVA ASSSRRKVSRRNNVQYVRSFSAAIDDEFFAHSEFHDCLVQMNMGHFQRAKRTEWSAIR GSMGHPRRFSATFLKEERQKLLRYRNVVRYAQRMNEFPVDIRFPYKIYSPLKVGAHVR VLHPKSRHLCVGVVCAVHVHDNAYDVLLPFAADHKEILRCPDTSVMLLRHDDSHGITD MSLLYWQQATPKADVAPSFPLEYDQHGGGDLADATGMAMRAVTALLQRKEQLVMHLSR MNDQAATMSIALPNTDVADENDVVQQFQSQYAWVLVNLDTTNHMLASALYRMQLPSPH HAVEDQRLQDDAADAALNVQQLEWAHQYMHAAHERSRNLVAGTIRRLTMDERCKDTLQ MPQLATSELIMSCMDMVLTLQCAVAKVFIYIYIC H257_13756 MADAAPRGERGGFGRGRGRGGDRGRGRGRGRGRGRDGDKEGWTP VTKLGRLVKDGKIESLEQIFLYSLAVKESEIVDYFLKDRLKDEVMKIMPVQKQTTAGQ RTRFKAFVAVGDHAGHVGLGVKCAKEVATAIRGAIINAKMNLVPVRRGYWGKMSGLPH TVCNKVTGKCGSVRVRLIPAPRGTGLCAAPAPKKLLGMAGIEDCYTSARGHTRTLGNF VKATFYALRATYAYLTPDLWTATKFQSTPYQEHSDYLSKAFVKK H257_13757 MAPEPLMTTDLSCQHNEADAIMLLEESLPLDPLDQPYYDAVANA CGSDASPVFCMRLARAYRSEKKAARMGKTVAEAKKVMEWRLEHSADTILGVELDKTHL FRQSWPTVLCGEDYYGHVVSVERAVDIDLATFQSKFTVNEVLVHRLQHLERIQAHLAA ASQRTGRRIYKHICVFDLGGVGLKHLTPTVIGYIQPLFALGQQYYPESLFRMYLVNAP FVFWGAWKVLSALIDPDTRDKIQIFTSPAKFCTAAQAQGIPLTSIPTSLGGLHAAPT H257_13758 MQPIHAVDQSSSMGKLVATALGFGVFHVLSGPDHLSALATLSAG SSWRSFALGVRWGCGHSIGLIIMALIFILLDDSLNLEKLDIVTEVVVGVFMIALGVYG IYSAHKKFHDPDHQGHAHGGTPSTCTCKQRPYEEVPCRLSSTGRSSDASDLPSASSPP TDPSSEQSPSPSVKSSQTKLIPAVQVDDASVSSFNDNSEVSDADTLEIASSTTSSHIQ RDDDDSASGDDGSGDDDNVPLAKGRSNAHDYRRRWRRCVPRVNMDNPTTQKATALIVG IIHGIAGPGGILGVMPAVRYHNWLRSMVYLGTFCLTSIIIMGLFAALYGELTSRLGQR STIIAFRINVFSAVLSIGVGILWITLVALGKMKAVFGFRTLGLRRGRRMLVALSWPSL PYPPHQLTLLVRIRNLALPCNHFEFATMDKPTDANQGCVGTQSDEAGKASGCAGCPNQ SLCASGATKLPDPTVATVKDHLSRVKHKILVLSGKGGVGKSTISCQLAFGLAQKGFQV GLLDVDITGPSVPRMLGLQGQEVHQSNEGWSPVYVDDNLGVMSIGFMLPNADDAIIWR GPKKSGLIKQFLTDVHWGDLDYLIIDTPPGTSDEHISIVQYLKDAQIDGAVVVTTPQE VAMADVRKELNFCKKTNVPILGVVENMAGLQQHLARCNFVDPVTSVDQTQHVLDVLRA KAPELLSLAVHLQVFPPAAGGGEAMAHAFNVPFLGRLPLDQKLTQACEEGVSFLEAYP TSSAASAFKQILDQVVLNAAKK H257_13758 MQPIHAVDQSSSMGKLVATALGFGVFHVLSGPDHLSALATLSAG SSWRSFALGVRWGCGHSIGLIIMALIFILLDDSLNLEKLDIVTEVVVGVFMIALGVYG IYSAHKKFHDPDHQGHAHGGTPSTCTCKQRPYEEVPCRLSSTGRSSDASDLPSASSPP TDPSSEQSPSPSVKSSQTKLIPAVQVDDASVSSFNDNSEVSDADTLEIASSTTSSHIQ RDDDDSASGDDGSGDDDNVPLAKGRSNAHDYRRRWRRCVPRVNMDNPTTQKATALIVG IIHGIAGPGGILGVMPAVRYHNWLRSMVYLGTFCLTSIIIMGLFAALYGELTSRLGQR STIIAFRINVFSAVLSIGVGILWITLVALGKMKAVFGFRTLGLRRGRRMLVALSWPSL PYPPHQLTLLVRIRNLALPCNHFEFATMDKPTDANQGCVGTQSDEAGKASGCAGCPNQ SLCASGATKLPDPTVATVKDHLSRVKHKILVLSGKGGVGKSTISCQLAFGLAQKGFQV GLLDVDITGPSVPRMLGLQGQEVHQSNEGWSPVYVDDNLGVMSIGFMLPNADDAIIWR GPKKSGLIKQFLTDVHWGDLDYLIIDTPPGTSDEHISIVQVDTIVLMCLAMSRRPSSI GSPYSNVLGP H257_13759 MPLKGVPNVLTPQLLSILASMGHGDELLIADANFPASSQGVSAV VYLPGSTATDLLEAVLHLFPLDSFDVFQAAVMKQVHSSDDAPIVHEFQMILNHAYQTV DGSSKPAAVDRLERFAFYERSKTVYAIVSTGETRLYGNIIVKKGVLDAAGKTVLV H257_13760 MDEIKHVPLATPTTDKDATMLKDLDGEIPQHVLDDIHDHRRFIW WSLLFLNGSVLWAYYSGLSAQDYYAAKFSAADFNFAYLTTPVSTWPMFVGHALQLFFG WDKKINMWTRVMVGYVLFIGCALIILAQEAFNTSPSTGATLVLLSLGMIGAINTLTES AFYALSALFPDSSFTTAIQIGNGASGVINITLSTIIRLLVGGTSPAPEDKASINSVSF YIFFSILIVVCVVAMYLFTRLIKVDGVKYLLERNDAETRRRAANSETLGNHLARLWRI TTVIMLPFVAQFIIFLVSLTVFPGIGCSSGFQYAAGASWANWYCAPGIIATYNYGDFF GRLLAPLLLTRIDLKWCFGLTWLRWAFLVLLLIGLPGADPVTFASPTNSLFVFQDARA FGQFWQLFLNVLIGLTNGILSTITFALGPRLVPQEDRESAGALMVLALFFGISSGATV GWQFGSNHWFGA H257_13761 MSTKNLPLVSPDTATQAKDATLLKDLDGEIPQHVLDDIHDHRRF IWWSLLFLNGSVLWAYYSGLSAQDYYAAKFSAADFNFAYLTTPVSTWPMFVGHALQLF FGWDKKINMWTRVMVGYVLFIGCALIILAQEAFNTSPSTGATLVLLSLGMIGAINTLT ESAFYALSALFPDSSFTTAIQIGNGASGVINITLSTIIRLLVGGTSPAPEDKAPINSV SFYIFFSILIVVCVVAMYLFTRLIKVDGVKYLLERNDAETRRRAANSETLGNHLARLW RITTVIMLPFVAQFIIFLVSLTVFPGIGCSSGFQYAAGASWANWYCAPGIIATYNYGD FFGRLLAPLLLTRIDLKWCFGLTWLRWAFLVLLLIGLPGADPVTFASPTNSLFVFQDA RAFGQFWQLFLNVLIGLTNGILSTITFALGPRLVPQEDRESAGALMVLALFFGISSGA TVGWQFGSNHWFGA H257_13762 MTHLLHRGGLVTALSRTRVLRVEGPDALKFLQGIFTNDVHGLKT RGDVRYGAFLSHKGRTLTDAEVVLHETDALFLKVDAAAEEDMLKHLKKYKLRSKVTIS AAHDYVRAHAILPSLADPTATPFLPSWTADQNETHRDGVVYVDPRSAAFGSTAILPVE HASLDIPSEYVVAEDEDVGDLAAQDRRIVLGACSGLEWRDGIPLEYNLDLLHGVSMTK GCYVGQELISRTHYKGNIRKRTLPCLVVPVAAEVDMPPPPFPFGSSGSLDASAGLAWA TQHLTAVAPPVEVDTKLMAHDAAAGKIVAVATGVNAVVAMVRLEHLNCGNIVTDDGRF RLLPYSPAWWPALNLNTGKLLSS H257_13762 MTHLLHRGGLVTALSRTRVLRVEGPDALKFLQGIFTNDVHGLKT RGDVRYGAFLSHKGRTLTDAEVVLHETDALFLKVDAAAEEDMLKHLKKYKLRSKVTIS AAHDYVRAHAILPSLADPTATPFLPSWTADQNETHRDGVVYVDPRSAAFGSTAILPVE HASCTSLVMHCVGSHLSGFRVVDIPSEYVVAEDEDVGDLAAQDRRIVLGACSGLEWRD GIPLEYNLDLLHGVSMTKGCYVGQELISRTHYKGNIRKRTLPCLVVPVAAEVDMPPPP FPFGSSGSLDASAGLAWATQHLTAVAPPVEVDTKLMAHDAAAGKIVAVATGVNAVVAM VRLEHLNCGNIVTDDGRFRLLPYSPAWWPALNLNTGKLLSS H257_13763 MAFRIGSPPGEPLNGANEFLPLFQAYESEFNEVSDEEAKLLAEL DTLDTLFVALKSERRIAPRTLQSMVTFFVKKRFGFPRHALTPDRRLLHHTTLLLMAAL AKDAVADSEDDADALASLYEYMLDPFSIDGSTRWTTTDLGPHGSILFAFALYVRRVER TNDALYVATVSRLRTRQAADTEFEMDDTLSDVVLEKGRSLGGLALFSSFFISLEPESP VFDINDPAWRRLDKADVFSHFSEDRHRVWGLQDAGYAFFSRFVDEFGLLDVSKSTAEL VAVVDIFAALVAHHPSLRASAAQEVFRLAEPLGLLFPSQFTAWMRLLASFLAPDTLDL VTHVAGLERPLATYTQHLSVEQHTTAAGDVITCDKVIRTPDMDIPVSTTGQVVPLVCL DGSPTTLVCWHIEGTSLLTLWDVVWTRLKDATCDSVMAAAALHWLATYGRVAPARFDA VWRRWSTRGEAADVLSRHLACGTTAAAALGVVAVLDPASLDDDVIAAALHAVVVSSHE SSSVGVLACLQCWLRRLPAAPSVFVPLLLQLLLHHPPPRRVARVAAFRLVAQALVQSK VPFLFDLTLLSHGQSLLFDAATTLLQHPVETSSATTKEERGHFASFNRPTPAACPVLP YAFTDTSEVDVALVEAALHAVRLVLANHPPGIETPHADATSSFAAYGRGSLNWPVACA AYLTCPSSSVRLVAAQVLAAVARHLPDSTSLMACFRTSDDATAFLTSLLAIVRHDAPR LQVAVWTLWTQCLDAQPSVLSLLFERPEAPSAIVDAVRGAHDGGQHVVVGAAVGFLLA IWDGLSRSNACHHLASLFRATPLFWATITQPLGTSPPPRRPPPPFRTPPPPTTTVAGA SSLHEILASFRDLYDRWFHEYTSVTPAAAAMDEADVPAYVLAADVPPPLFALAQWTTF MEIYFLHPSTTSSSSVATVPVSSPSASAGSRSRLTCDSPMLPARAAESRPSNFSGDRT SLAWSLKLTERMAALVSQGDAVGLRGMLPILSRLCLCMIHHQVCEVTHKANDPRWSTT HLRLPSRQQLDPVTSVHLLTLVHSILHMDTTNLSLWTASLLLLRQLAPQPLPSLLVAR VVSHCVHVITTSAYKQQDQDSRFATASLVLVIVLDQHVSADSTLQLVAILDHGPLVPA LVETAAAGLPSSSINKKNPTTECRRRTDALRCLIGLVTAHADVRAPVLAALARLDFVH RASVLATYLTADRPTAQRDGPTQTAWCLLLQIVTALLQNDVVAYVAFVANVASVLLAA LYSTDDLTVALLTERHVTVQLVHASARHLRAWQAVMPGTFSRLLEATRAHLVRCCGWV SPDTNNTPDPDVERLLWT H257_13764 MSKRRHEASSFGPLLGDSKITTAERRAIRCREREILQELRERSA EASQLTSTIFQSKAKELDAIYTQVVYPREANLDACNLDEFSNLVVKQAGLMSASDLTK FDTGQFINSAKAKCCCEDTESVFDWSRMGSAVGPCFQSTVGVNFMYGSMDTQLVTKER RAPRRRRAENLDEEEVRPTQMTKNSLVQEDVQANRMNVLIETIQEVGTSGLFDLCLNP KSFAQTIENLFDISFLIRDNRVKIKIDDNGLPQIIPKKGITGELPNPTQTIISLTYEQ WEKLAPMYGEPILGNRPPPLA H257_13765 MLRKFAQRHVLAATQRYPRSINTMRFFSTQPATVDDEDEEESTS SNGLLDLHFTQEDDGVKRPFRFVGIRDVEIEDKRYEDRDQTVKPKDAPLVLRNSYGYP NFVSLYVDALPKVVEYMKQHNIPHDAPRKTDQGVDFVTIPPTAESAPHFDLCDKPAFV ELLQASAELIKEFEDNEAENAKQEFDP H257_13766 MATTRVSFFRKIVASAESSFLSTKQMLGMKIAVSTDMPIFHFNS QDSVAGWLVSSDQAIGGTSACTFKHEPRRTDDDPEAGAAVFSGNLSLNLQPTEQYVVR SGYCAIRGNVPRHLLLHGYEGLAMRIKTDGRVYRINAQADGWNPHDLYMGFLKAPANE WVEAELKFSDFILTSRGYTQVDDPNKLDPAKLSKIGIALADDKEGEFEFAIEWIKAVE KVETTHVYPKPQVTLKEDSEVRDDEVKVDDSAKSSAKRPRNPDLMI H257_13767 MRLITHNMLMCNKKGVVNGFPLVLKAEETEVVPSEFSAEFIVKM AAKLDWAAFVAGAAALNVDVPSTPLSDADKSNEVVLKQIHHALLDVHVKKGALVCPES GREFPIVDGIPNMLLREDEV H257_13768 MRIQNVSFRCNMTIRSAPTVFRDGVFDGKVAVITGGSTGIGKTI AHELCLLGCSVVLASRTLDTLVAAANEIKAQLPAALSARPNVVVPLACDVRDEAQVKR LFDQVMQTFERVDYIVNNAGGQFVSPFENLSLNGWNAVHRLNATGTFLVTKYAYEAYL KEHGGAIVNILVAMNKGFPYASHSGASRAAVENLTKSLAYEWASRGIRVNSVTPGTIL SSGLSNYGPVADQVCTSYSAHTPARRLGTVEEVSAATVFLLSPAAAYTTGTNAIVDGG WNLAGALVPLPQHVRYPVYGTSKL H257_13768 MRRAGRSPSEAPVRSSHANVRTSGLYRQQRSVASLLYHTFLRHP IPCVLAGGQFVSPFENLSLNGWNAVHRLNATGTFLVTKYAYEAYLKEHGGAIVNILVA MNKGFPYASHSGASRAAVENLTKSLAYEWASRGIRVNSVTPGTILSSGLSNYGPVADQ VCTSYSAHTPARRLGTVEEVSAATVFLLSPAAAYTTGTNAIVDGGWNLAGALVPLPQH VRYPVYGTSKL H257_13768 MRRAGRSPSEAPVRSSHANVRTSGLYRQQRSVASLLYHTFLRHP IPCVLAGGQFVSPFENLSLNGWNAVHRLNATGTFLVTKYAYEAYLKEHGGAIVNILVA MNKGFPYASHSGASRAAVENLTKSLAYEWASRGIRVNSVTPGTILSSGLSNYGPVADQ VCTSYSAHTPARRLGTVEEVSAATVFLLSPAAAYTTGTNAIVDGGWNLAGALVPLPQH VRYPVYGTSKL H257_13769 MATESLKGASFRKKLVTRFKPKESDAIPLARALRACHGQDIKKK SGHRKGKFLFAFPGLVSPMPEGGTLGTLDKLDTDKPVLYIDFPQGRLKMQGTLVYAQN RFLTLQCARRGKSVVCDDVFDAVVTFPEVSWIGPVDANPSEAPLPIPSFLHAYTNIPP SYTFGAGDYNAVEEAANEADEQPPPPRTTPERRSKTACLLAYSSALATALDDQSDHSD GEFSPLDDLDDGDEMWQQAQTKRRRRNDARRAQLKNQKSKPTTGHPRILPPTTTLPPS TSAAVATMTDDTFAFE H257_13770 MTQGRAQFPFSPLSIYRTMLAAVARRAFSSAARPFRVLGIQQVA VGGLNKDALRNLWVDTLGLTYKSSFRSERENVDEDILEAGRGPFAVEVDIMQPIDAAK APKVHVPQLNHLGLWIDDLATCVDSLTKKGMRFTPGGIRKGAAGYDVVFIHPKGNDAF PLSGEGVLIELVQAPPHVIAAFDAIKE H257_13771 MTTPPPKPPVVAFSNDSPALKLDVLHTYGRARACDLHLPHGTVH TPIFMPVGTQGTIKGITAEQMALPPIDCKILLANTYHLALRPGTDLLKDVGGLHDFMG WHRNILTDSGGFQMVSLLELAQITEVGVEFQSPVDGTTMLLTPEMSITHQNNIGADII MALDDVAPSTIQDDARFLEATERTLRWIDRCIAAHKRPATQNLFGIVQGGLDTKPGGL RERCIQGLIDRNLPGYAIGGLAGGEDKTSFWTVVALCAERLPANKPRYLMGVGYPVDL VVCSALGVDMFDCVYPTRTGRFGTAITPKGLLRIKSADFASDGGPLDATCACFVCTEY SRAYLHHVMKKDGSIGPQLITYHNVAYMLHLMAQVRQAILNDSFPSFVRVFMAEWHQG TPVPAWVHDALNYVGIPLNQAE H257_13771 MTTPPPKPPVVAFSNDSPALKLDVLHTYGRARACDLHLPHGTVH TPIFMPVGTQGTIKGITAEQMALPPIDCKILLANTYHLALRPGTDLLKDVGGLHDFMG WHRNILTDSGGFQMVSLLELAQITEVGVEFQSPVDGTTMLLTPEMSITHQNNIGADII MALDDVAPSTIQDDARFLEATERTLRWIDRCIAAHKRPATQNLFGIVQGGLDTKPGGL RERCIQGLIDRNLPGYAIGGLAGGEDKTSFWTVVALCAERLPANKPRYLMGVGYPVDL VVCSALGVDMFDCVYPTRTGRFGTAITPKGLLRIKSADFASDGGPLDATCACFVCTEY SRAYLHHVMKKDGSIGPQLITYKDI H257_13772 MIIVQKALMSTTTTSSSVHASSAMLSHSVGKRKKAVYAKDYLME YEQNRLRVLAEQQDYFQRTRESHMISRKEQQKEKRNAYQRERRRLKKLKGSQLDDEYC CSRSTSSTASSDTSTTDDHMNKPTTATKPIELSISFLLNP H257_13773 MAATTAATSMDHLQDLISHIHHASIGFLGNDPPSAVPSSPVLAK AHLQALKPILPPHLFHPMHSMWRRGRPVYWLDVAGAVGRLDWVDWLHRRGDTCSTLAL DLASGSGSIACVHFLHKVGNGGATTLAMNLAARFGHLAILRFLHTRRSEGCTSDALYF AAKYGHEEVVQFLATYCLPQCMPSRALDAAARHGHYGIVQIIHAASSHLPSIATTDAM DMAAAAGHLYIVCFLHEHRHEGCTEAALMTAAARGHLTVVHFLCENRDEGCIAAALEV ALEHQRWDISAYLSSLLDRRVTLCSRIGCHLLCEKQWSRGFAVDLKSSCI H257_13774 MASRSAFKFTDTAGGASAEYPAPSTFDSLHHGSSSSDLSSFVDD IDPSIAGGYRVVYDRETPFELRIQDSDNAPQQVGTLEAIKVKILLLGDENDLKALKIE LSTETDLFFYYAHVCDLEGFHLVQEQQKLMVDFSDYANVLIRMLNNCIKEPHNHIAVY LMQADGRARLDFIQNMEYKFVELLSVDFARSPEEIVRQHITFRYNTVKNRVVALQSRL HEVNNLVKVKNPSLLLQLQKAPPPPAVNPRDATGATASSRNHSRFH H257_13775 MSLGLPNHGWSDACIDVYEKIECIGAGTYGQVFLASHRDTGEKV ALKKIRSLNEIQGLPVTTIREIKVLKAIKHRNVVGLREVIVTNELDMGDLDDDPDADI PAAGGGPVPMDFSCGCIYLVLEYVDHDLTGLLDRQYPFTDVEIKSIMMQLMDVMKYMH ATDVIHRDIKCSNLLLTPQHLLKVADFGLARSTLGELPFTNKVVTLWYRSPELLLGAT SYDAMVDMWSIGCVFAELYVGRPLFSGKNEIDQIKRIFDVCGSPTVADWPDHAALPFS SKFVPENLPIPNRLAEVLVREVSARVPPRELPPGALELMLSLLQLNPAKRPTAADALR SRYFQSEPFAPDDPESLPPIPCIPSHEFQTKKIRKENNAKLRTTMPSSGGSRFPSPPP VELMKPLNKRHKP H257_13775 MSLGLPNHGWSDACIDVYEKIECIGAGTYGQVFLASHRDTGEKV ALKKIRSLNEIQGLPVTTIREIKVLKAIKHRNVVGLREVIVTNELDMGDLDDDPDADI PAAGGGPVPMDFSCGCIYLVLEYVDHDLTGLLDRQYPFTDVEIKSIMMQLMDVMKYMH ATDVIHRDIKCSNLLLTPQHLLKVADFGLARSTLGELPFTNKVVTLWYRSPELLLGAT SYDAMVDMWSIGCVFAELYVGRPLFSGKNEIDQIKRIFDVCGSPTVADWPDHAALPFS SKFVPENLPIPNRLAEVLVREVSARVPPRELPPGALELMLSLLQLNPAKRPTAADALR SRYFQSEPFAPDDPERYVLWNEHDIVPFNQICLWMDEIAN H257_13776 METKPAPAAPSGGMETAVSTVPKIRDIGTIALEALGKIDTVLAF ELSVMNDHMKAMEGENALIKAENDMLKAKLVQQKEDEADIYYYLHKKLDANYEVIAQL ESDIQTVKLERDAMDKAFHIKQDETQDAFAKEKKELTERIESAEDTLHALEVFRERKI VLEDTIAAMESTLAAEKDNHKKQVVEMERRNVQEKERIKKDMLMKIKENKQNLLARTE DQLDTTTKRTMMENDQMISELQYQSKETEKLLVKYKALETEVVHLRLQLKVNKDTEVE MAKRTHFYQKLIKKLNERVQSDHVAARTHAALTQGQERTKTDVNKANEDMIALLQTKS NGLETQLRTLCAELADARTHVDEARAEKHAMLAQQDDTLRFLSVAIHDITHQLALSGH GHAAPSSLHAWQAGDVIPAKLDDLAPSDVKKVLQMLFGKLHAYQAAIVQTRPVLYPPV VPPSPASSSSLGVELPPLVPAKHRQDQLEILKALATRGDSVQRATRPGNSGCGVLLES PHGVPLRSIAVQTLPEWSAESKRNTGASRNISTLYHHVDRTKNRPRNRREGDGHIGHE DGGSSSVVTTAAGRLGALVLLSKGSSRPTIKTRIVLPDAHRMSLPSATVSPG H257_13776 METKPAPAAPSGGMETAVSTVPKIRDIGTIALEALGKIDTVLAF ELSVMNDHMKAMEGENALIKAENDMLKAKLVQQKEDEADIYYYLHKKLDANYEVIAQL ESDIQTVKLERDAMDKAFHIKQDETQDAFAKEKKELTERIESAEDTLHALEVFRERKI VLEDTIAAMESTLAAEKDNHKKQVVEMERRNVQEKERIKKDMLMKIKENKQNLLARTE DQLDTTTKRTMMENDQMISELQYQSKETEKLLVKYKALETEVVHLRLQLKVNKDTEVE MAKRTHFYQKLIKKLNERVQSDHVAARTHAALTQGQERTKTDVNKANEDMIALLQTKS NGLETQLRTLCAELADARTHVDEARAEKHAMLAQQDDTLRFLSVAIHDITHQLALSGH GHAAPSSLHAWQAGDVIPAKLDDLAPSDVKKVLQMLFGKLHAYQAAIVQTRPVLYPPV VPPSPASSSSLGVELPPLVPAKHRQDQLEILKALATRGDSVQRATRPGNSGCGVLLES PHGVPLRSIAVQTLPEWVGYHTNTYIYITYHHTNIYIYIYIDITT H257_13776 METKPAPAAPSGGMETAVSTVPKIRDIGTIALEALGKIDTVLAF ELSVMNDHMKAMEGENALIKAENDMLKAKLVQQKEDEADIYYYLHKKLDANYEVIAQL ESDIQTVKLERDAMDKAFHIKQDETQDAFAKEKKELTERIESAEDTLHALEVFRERKI VLEDTIAAMESTLAAEKDNHKKQVVEMERRNVQEKERIKKDMLMKIKENKQNLLARTE DQLDTTTKRTMMENDQMISELQYQSKETEKLLVKYKALETEVVHLRLQLKVNKDTEVE MAKRTHFYQKLIKKLNERVQSDHVAARTHAALTQGQERTKTDVNKANEDMIALLQTKS NGLETQLRTLCAELADARTHVDEARAEKHAMLAQQDDTLRFLSVAIHDITHQLALSGH GHAAPSSLHAWQAGDVIPAKLDDLAPSDVKKVLQMLFGKLHAYQAAIVVRIYIYIYIY WNRCVKHLNMHGNMGFS H257_13777 MGTTNEDMKNLKRPPASPRHSSNQTSPSIPMTGGSPSPPRRRSV VVGSASPFPSASSTPAMSIKTWTTQALKRFHGMSTSPPRRDSSSMSPSSSHNRDRCMA LGECDPRCIVDNMHFQGGVHHTQMLFAVFNGRPLSHCCYDMTFTWFRARHDDEFAVIP HASMDWYQPTAEDIGASLLLQVEIDDAVLGCIEHGPLVADPSVRSRVETLLAAHTAYF TNVRRTPVSICGDGGGNNAMDMAWSPEDTWSLLLDDKRVRLTCESALLPPLEALYAPS VQVSLDAHHANAFVLEFGTCVDDTRRAAARLVVDLHDQRDVLFLVFRAFSSRAVHSSA LADAISSGQSCMLACRTLPATLPPPPSSSSSSTDFHWSHGGYMLPWTAWSTAGRPNPS VATTIHDDDEEEDVLNSMLLHDVDALLLLTHEFCTEVVPTSPESCNLSAPAARDEEEE GTSMQSALESIKAERRALERQLEHLRHHHQSPV H257_13778 MAPSSTKKAKFGTSWPATNPTSAAASCPPKTNANLQKLVAPHVD SFNFMLSEGLDYAVASILPLTIDVGHGNKMTVWIDSVQIGYPTSDATGGHSKTLLPAE CRQRGISYTAPLIVTLARSFVGGSTTEHLQRTVGHIPIMVRSQRCHLANLSPPELVKA REEANEMGGYFICNGNERCVRLLQMPRRHHIMAVRRGAFSKRGDLYSELGVTMRCVRR DQSAVTLTLHYLLDGNATIRLGVRKQEFMVPAGLLLKALYPLTDREIYDRVVRGDVDN TYLAARMELILRESKRFSVYSRDEALAYLGKHFRHVLPYLSTDMTNIQVGNRFLDEYV FVHIQPGEKGRAQKVELLCLMLRKLYAFAKGDIREDNPDSLMNHELLLPGHLYLMILK ERLQDMLASIQGQIEGAKAKPAVVDATYLKKVWDRTQNIGHALTYFLNTGNLRTSSGL DLMQLAGYTVVAEKLNYYRYFSHFRSVHRGQFFTTMKTTTVRKLLPDSWGFMCPVHTP DGSPCGLLNHLAVECQLVTSPPYTPETAADEELKLARFLANLGMIPSTGFSDGSFVAP HHYLPVLLNGKLVGAAAAAVCVELEAALRFTKATPESHLRKERGVVPTLEVCLILPVV GGPFPGLFLSADAARFTRPVKQRNTSWIEHIGPMEQVFMNIGVLPADIRDSTTHMEIK PTNMLSLVASLTPFSEHNQSPRNMYQCQMAKQTMGTPAHSIPYRTDNKMYRIQTPQAP IVHNERLQEFQLDEYPLGTNAVVAVISYTGFDMEDAMILNKSSYERGFGHASVYKQIQ VDIAPKENSTTKSYFGNVQPDGDGTTLFTPKLDADGFPHVGQHVEYGDPIACHINETT GKETFLKHKETEPAVIDQINLLGNGTGVNTAQATKASIKLRFVRNPIIGDKFSSRHGQ KGVLSILWPQADMPFAESGMSPDIIINPHAFPSRMTIGMLVESMAAKAGALRGEYMDA TPFQFDEEHRAIDQFGKYLKKAGYNYMGSEPLYSGLTGTVMHADIYMGVVYYQRLRHM VSDKSQVRATGPMNSLTRQPLKGRKKKGGIRFGEMERDSLLAHGCAFLLHDRLMNCSD KHIATVCTKCGSLLSTWTARASVSEAGQSDQSILSKERQQWMCATCRTGDGCEAVAMP YVFRYLANELAAMNIKMTLSLKAW H257_13779 MATAAPALFEPLQVGAVTLRHRVVLAPLTRYRASAVEGDDESIA TDIMATYYGQRASPGGLLISEASPISPEGRPNILAPSIYTPLHAARWKPVTDAVHAKG GFIFLQMWHVGAWSHSGFDVKGRPPASSAEFDMEPGTGRFNTRDGLKPRDPKTRMLST DEIAELIQQYVRAAKLSIEAGFDGVEIHGANSYLLDQFISDHINTQRTDQYGGSLENR LRLPVEIATAVAAAIGADRTGIRFSPYVSIQGKQDSDPINTWTTLLKKLNPLNLAYVH LVEPRIPGSWDRVPNPEELNLRPFREAYDGVLIVAGGHSAATAEAAVKRGDADLVAFG RDFISNPDLPSRIEHGHPFTPYDRTTFYTPGEVGYTDYKTWEQLQSDKHDTAADTSDV PAP H257_13780 MATTQLFEPLQVGSITLQHRVVMAPLTRYRAHALEDGVENVPSA IMATYYGQRASKGGLIISEASPICPEGRPNIRAPSIYDPLHVAKWRAVTDAVHAKEGF IFMQLWHVGGSSHSLFDPQGRAPPSSASFQMEGNPVNTPEGPKPRQVTRMLSTAEVHD LIAQYVRASKLAIEAGFDGVEIHGANGYILDQFINDQINTQRTDQYGGSLENRVRLPV EVAAAVAAAIGADKTAIRFSPFGSFQGMSDSDPVKTWSTLLKLLSPLRLAYVHLVEPR IAGGWDAGDAPDPTVINLTPFRAAYDGVLIVAGGHSAESGADVVASGGGDAVAYGRYF ISNPDLPSRIKHGHPFTPYVRELFYTEGEVGYTDYKTWDEQLAGQVNPSVAS H257_13781 MTDDGCVVSYGELVVLGYSSYKRRQATSATVDKSQWVPVGAPNC HFGLVKRPVANALVPTKTVLVESSLQKRAFTHALDHALQATSSRYVCVPVTDTATLVT EYAPSTANQADMFQLGRQPSPCNDFVVPGHLHGAKGTVARFTARIVCDRTPPYTCRVF AGGFDTDHQVMVPLNAPKFCPTCQQWTSTWSRDHAKCILPMVSTSHGGGSSGDDLKIT VCGIQSSTGSTATHTVDALTRNGLRLWRPDSQTWAEVSVHGSLYAVPTASSSTSFARP TGSPITTEAVLMHGSILDIGGVYVKFVLRPSSRPVTSLLLELTTLHCPVQLTPLHFTN HHPQAKPQHVPIDKDQVVPAYIPRGLQPHVYPACGHVCGYNAQMASSCPLCRTPSSLV PLQLAPLNGHRLVCDDVPGLPGYVFNPCGHMTGATLAAEAVALRVQSSGKSICPFCAK TLDSLRPYSKLYFYIDDDDDHQHPMDTVGAIA H257_13782 MDAMKGGGGGGAPGGVDFSLLQREYRNMEMNRKSYSDESHQVMR RQQTVIEKLKRDNEDMKNELALATRHITESSATAQQESINRMQDQIDTFVKKIAAETK HSDTLGQQITIMKHKVLHQKKHMGGVNAAKENHHMVNKQIRILENRLDKSLVKFNEAL AQNKILREEIDNLRRERMIFDNIYRKLEKDHNERKKQMANIIELSNLSYEQRDSAQME IKAIDQVNRQEADEHRRQIGELLDKIEESKRRADLAREAQIRESQRRESAAHMGDDDT LKRKLQRQQWGAVKDKVHVQVSVERVQNYEEVFAKLKAATGIADIEELVTTFIKNEDQ NFSLFNYVNEQTNEIEKLQDYIDSLKAEEAKYSEETGDDAHQHKQLLKELESKLSSTE HAADKYETKYNDAQKNINAVKLAIHTLFAKIGCNAQAMCEMLGDNIVTEVNMMQYLGM VEQRTNEILHVYAAYQKHRNASVDVPPEAAAAAAAHHTSGHGVSSHLLHAVLGIGPTA PMGGDPLQINPPNLEDYSSDDEENVEQADQMHPLSRDELKLKTLKGLRRGSSSKSPTP SSKFNAAATTHSPAKKKG H257_13783 MATTEADVLGRYERRLTEKYRFGLHVIIDHMSCLVSLLHHAQTE LKSLEALAQKKLPQMLPFLNLVHDKINVVTQATPLFLGYDHLLAYIKILQQEKQSFDP RPQPWNALRLYDTDYQDQFATKVDVFTTLTQELDVHVREFHVQVERHASLLQLLSQKR EAMYAILQQVQNQQLPTNLKKHKAELKGLYERSLVKYKQALQAGPIKLEKQIQHEIRH FTAHLRSMVLPHWRVCHTVRRANAEVSPESPLSSRQLELVEAFISVVMHLHDHVGDFC STAMKKQTFLDQVTAFEMVATNDWFVVCTSPLKLNFHEPLDQPLFGAYCDDLQHRMNE LHTLRNSNKFQSAVAHCEASRVDVGRCFLKVEHSRHTYKKDWSKEEVMAALTLEIQEL AAADGLVV H257_13783 MATTEADVLGRYERRLTEKYRFGLHVIIDHMSCLVSLLHHAQTE LKSLEALAQKKLPQMLPFLNLVHDKINVVTQATPLFLGYDHLLAYIKILQQEKQSFDP RPQPWNALRLYDTDYQDQFATKVDVFTTLTQELDVHVREFHVQVERHASLLQLLSQKR EAMYAILQQVQNQQLPTNLKKHKAELKGLYERSLVKYKQALQAGPIKLEKQIQHEIRH FTAHLRSMVLPHWRVCHTVRRANAEVSPESPLSSRQLELVEAFISVVMHLHDHVGDFC STAMKKQTFLDQVTAFEMVATNDWFVVCTSPLKLNFHEPLDQPLFGAYCDDLQHRMNE LHTLRNSNKFQSAVAHCEASRVDVGRCFLKVEHSHTYKKDWSKEEVMAALTLEIQELA AADGLVV H257_13783 MATTEADVLGRYERRLTEKYRFGLHVIIDHMSCLVSLLHHAQTE LKSLEALAQKKLPQMLPFLNLVHDKINVVTQATPLFLGYDHLLAYIKILQQEKQSFDP RPQPWNALRLYDTDYQDQFATKVDVFTTLTQELDVHVREFHVQVERHASLLQLLSQKR EAMYAILQQVQNQQLPTNLKKHKAELKGLYERSLVKYKQALQAGPIKLEKQIQHEIRH FTAHLRSMVLPHWRVCHTVRRANAEVSPESPLSSRQLELVEAFISVVMHLHDHVGDFC STAMKKQTFLDQVTAFEMVATNDWFVVCTSPLKLNFHEPLDQPLFGAYCDDLQHRMNE LHTLRNSNKFQSAVAHCEASRVDVGRCFLKVEHSYVP H257_13783 MATTEADVLGRYERRLTEKYRFGLHVIIDHMSCLVSLLHHAQTE LKSLEALAQKKLPQMLPFLNLVHDKINVVTQATPLFLGYDHLLAYIKILQQEKQSFDP RPQPWNALRLYDTDYQDQFATKVDVFTTLTQELDVHVREFHVQVERHASLLQLLSQKR EAMYAILQQVQNQQLPTNLKKHKAELKGLYERSLVKYKQALQAGPIKLEKQIQHEIRH FTAHLRSMVLPHWRVCHTVRRANAEVSPESPLSSRQLELVEAFISVVMHLHDHVGDFC RTGLSCVRAR H257_13783 MLPFLNLVHDKINVVTQATPLFLGYDHLLAYIKILQQEKQSFDP RPQPWNALRLYDTDYQDQFATKVDVFTTLTQELDVHVREFHVQVERHASLLQLLSQKR EAMYAILQQVQNQQLPTNLKKHKAELKGLYERSLVKYKQALQAGPIKLEKQIQHEIRH FTAHLRSMVLPHWRVCHTVRRANAEVSPESPLSSRQLELVEAFISVVMHLHDHVGDFC STAMKKQTFLDQVTAFEMVATNDWFVVCTSPLKLNFHEPLDQPLFGAYCDDLQHRMNE LHTLRNSNKFQSAVAHCEASRVDVGRCFLKVEHSHTYKKDWSKEEVMAALTLEIQELA AADGLVV H257_13784 MTAHQIGVVFNPTGGPFTLITSFMRGKRAIDWTDAYTILKAGHS SLLQPSMITPATMDAAAEDGRLDIVQYLHAHHNGVGCTTDAIDFAAGEGHLEIVKFLR QNRSEGCTEQAMNFAAAGGFLDVVEYLYHCTSTYDISHALLYASDFRQKQVVEWLECQ PCRRHPLLDSCSMYTHVDVVVAPLRRKTLLGKLKAMLDWNIAPDLAL H257_13785 MGPKPMPPAKSKQLSPSKAAATTNTGSISKSPPSKGKQPDMKSE TLPKITTPKAASPTKQPTPKASDTNASTAQKMPSIDTRPISVQKLTEVADTSIAPTDV AEISVVNTEEASSSNAAAGEVAVVAAQTAEALEATEQDHGEGEAAALARRQHGRVVLK YEMYSEQFDIAQGSTTAAVVDDAYCLSFVMPQCRIHLSEWDPPTKVEKEGHVDVFVRE DPVGTYHGLEADRTYYVYIEQEADQLARDQAAMRATAATMEGAASMTKADKKDDDGRG FESCSCVEGNPCVDEYGCKDWHNRYAIAMKNGWKGF H257_13786 MSTPNAATAARRRHDEFVAFLDRIGYEPQGRYAKAGKLRREDVE TLMQGGALDHIWSWVQHNIKSTEDINMLRRNLHVSHQAMTSPDAMELKKRRIAALTEK RRLLHDAVSKLQAENKQAIANLVSVEQAMTSICDPPIQALLEDTYVLQTQYRHQERAI AVQDLHTVLTPPLASPPSQSHQSAVVQDALRRLKDLLKQSRPHQPSSSPRHLLPRTAS TDKTVQAVLQLPGKVVLDELEASTTSATTNPTLAPLTPYRNRHTLHDIESQVQHHVHH LYEQLARRQQECDHLLLHNTPSPCGVSPCAATTSATKLEWLQSYGDELVLELKSLDAA AAAAADHMSAMASFETRLDHAHTSVASAFRTNRALVVDILDRQSKLLLFLQSEVVDAF KGLRQETQAALDDVIRREIDRSREMHEEADLEVPSRTLHGHASVRNSAIMQRHEDTAM SKLHMSVRKLEAAKAAFDAFLQSSPCFTVLSWEELLEQVREVDAIVSDEMLPDMGRME EAAAELLYTKLPALFRAIHNWTDEPAKAYGADGAHRPVERCD H257_13786 MSTPNAATAARRRHDEFVAFLDRIGYEPQGRYAKAGKLRREDVE TLMQGGALDHIWSWVQHNIKSTEDINMLRRNLHVSHQAMTSPDAMELKKRRIAALTEK RRLLHDAVSKLQAENKQAIANLVSVEQAMTSICDPPIQALLEDTYVLQTQYRHQERAI AVQDLHTVLTPPLASPPSQSHQSAVVQDALRRLKDLLKQSRPHQPSSSPRHLLPRTAS TDKTVQAVLQLPGKVVLDELEASTTSATTNPTLAPLTPYRNRHTLHDIESQVQHHVHH LYEQLARRQQECDHLLLHNTPSPCGVSPCAATTSATKLEWLQSYGDELVLELKSLDAA AAAAADHMSAMASFETRLDHAHTSVASAFRTNRALVVDILDRQSKLLLFLQSEVVDAF KVGSY H257_13786 MSTPNAATAARRRHDEFVAFLDRIGYEPQGRYAKAGKLRREDVE TLMQGGALDHIWSWVQHNIKSTEDINMLRRNLHVSHQAMTSPDAMELKKRRIAALTEK RRLLHDAVSKLQAENKQAIANLVSVEQAMTSICDPPIQALLEDTYVLQTQYRHQERAI AVQDLHTVLTPPLASPPSQSHQSAVVQDALRRLKDLLKQSRPHQPSSSPRHLLPRTAS TDKTVQAVLQLPGKVVLDELEASTTSATTNPTLAPLTPYRNRHTLHDIESQVQHHVHH LYEQLARRQQECDHLLLHNTPSPCGVSPCAATTSATKLEWLQSYGDELVLELKSLDAA AAAAADHMSAMASFETRLDHAHTSVASAFRTNRALVVDILDRQSKLLLFLQSEVVDAF KVGSY H257_13786 MSTPNAATAARRRHDEFVAFLDRIGYEPQGRYAKAGKLRREDVE TLMQGGALDHIWSWVQHNIKSTEDINMLRRNLHVSHQAMTSPDAMELKKRRIAALTEK RRLLHDAVSKLQAENKQAIANLVSVEQAMTSICDPPIQALLEDTYVLQTQYRHQERAI AVQDLHTVLTPPLASPPSQSHQSAVVQDALRRLKDLLKQSRPHQPSSSPRHLLPRTAS TDKTVQAVLQLPGKVVLDELEASTTSATTNPTLAPLTPYRNRHTLHDIESQVQHHVHH LYEQLARRQQECDHLLLHNTPSPCGVSPCAATTSATKLEWLQSYGDELVLELKSLDAA AAAAADHMSAMASFETRLDHAHTSVASAFRTNRALVVDILDRQSKLLLFLQSEVVDAF KVGSY H257_13787 MQPQRSQVLGLYRDILRLHRRKLEPVMRVLGDRYVRDEFKLHKS AKPEFVHGFLTEWQNYRTMLQERQTHFGQDLSADTRKLLDDQQKKKLLDLHAAATKPT DKNNT H257_13788 MTEALNDVKMEIDIDPEKLRNRRKKRDFLQRRDDVLKGVGAKAA ELRRRLFNRRTIAKASIANLPMMQQAKKDALDVRLALVEHDVKTRMIDAHTHQHFDLA ESVRYLSQNLQHSTRSVRDNTSTLDDLARRVEFMQHVNTNSAVFTQPPAVDKVMQEVV AKYTSFLPLEACATCGKVLATSALRAVHEPYCRGILARDLPPTMHRCVLCHRVVKADR MTHHVEQCQRDCDRKAIIWSKYDVKGQPPQPPTDLRVVQTSYSSISLAWNPPMFTAGL DLVDFQVQMHVLVVEKFQTLKHRQHERSFQPLLPHVSTSQWNARLRPVALHGCTIDHL PAKTTFGHLSVRCQTKNGWSAWSDPIHGDIVTKDPVPPTPPLFLSVGLITVDSIALSW LPPFDCGGEPVDEYVVSFSGLVQVQDGRDSIDLRAAELKAFTRRVKVARSDQNPPSND LLGVEKDGAQVVCCTIDGLRSGQAYSRLRVCAVSASGLIGQESPELDPVRTLAHGKEL QLLAELQEAINSPASYIDSSFYNGFVQRYDRKHYITLVADTIKLHHPALSHRVDAMLP VDSDSESESDSDASTASSMPSGDRENAAVMLLSPASSTPPKPTLCANSDDGMDQANQK RLDKQHVRRKQFQYRLHQLRTHVDTLEYNIQWADDRCIVLVSMIEAAERRIMDKQAEL ERARGFQGPAMDSLAMHGGLQRFYTPALITALEEELDIEKYYIVDTKADLRAVEDQKK ADMGMLAVKKAQIAARVTALDAFEADCEFDQFKAAQTANVLLKFKSRQLSYVFDTWRR HGRRRRANRAVMFKALTRLVLVKVSSAWHRWRQCIDGLRDQDAIRKDVIIGKGGVDLK GAHLARTHLQSDLYSMLHECRQLTGTLAATTHTMSQNSRTRTNVFAKERQSQQLRGVF VESKVQMSLRDQGDAQLDIGDYDAAIKTYDSLLDNLHIVSVEYTLQASKSSRSSSSLV PHSLRSIQTQTSQVYNRLGRAYFLLKQYDRSANSFERASGIATMLGDPIEGGVAFRGL ADCHVASRDWSTALDLYLKAAGMYEDVTDVGGEVAAWRGIALCYREMDAVDLAADATT KGDSLEYALDQTMEHMERTLAQLHARLVGVTVKMSVECTCERVGAIVPRLRQERLQCK VGIMDEQKVLDALDMMLDEKKALRAQAEADLETSERTDSGFVDSTVFLGVSTRYTLDD FKANVQKLINQLSVVQVALDRERANAAIRISNLHDRIQECEEELKAETGPLMRRVRGK DPLRCFRFNAINAMYKNVLGRASGGVDTAVASVGPSIVVYDFLTGVCMGQGVGDIGGD QRHLGAPLGHTKTIMSLCCFHAYVYSGSMDCTLLVWQLVQNVPVLRHRLTDFDAAVMA IAATTSFVVAGTADCCIMVHETTTYDRVVTIPSAHFRTITFLAMDLNVVCSAGADNEL RFWHLQHVSVPGKPAISITTQQKSNMQYTRGVRLRSTRDGNVWKHGHVHPVSCVQFSA NEVVSGDQGGWVVVWDGDTGAARRQMHVHACAITCLSFDSLRVVTGGSDGSICISDLV SGVLLQTLLGHRAKVLDLQLDRVHLVSASEDGQLRQWRWHTRDGDAVSSKRFHILGPG ETLRSISLQYRTSVASIREWNRIQDVTKLYLGQQLLVQKDLPENDDSNESGANVMISP VLGKLALEDTSFLAQNRMSKADLDQRIQNIIQELSISEDNTPRKASTTESEKKEDNED NSDDGSDDDGDDDGDDINPEDLNDGPGAAIEHDNE H257_13788 MTEALNDVKMEIDIDPEKLRNRRKKRDFLQRRDDVLKGVGAKAA ELRRRLFNRRTIAKASIANLPMMQQAKKDALDVRLALVEHDVKTRMIDAHTHQHFDLA ESVRYLSQNLQHSTRSVRDNTSTLDDLARRVEFMQHVNTNSAVFTQPPAVDKVMQEVV AKYTSFLPLEACATCGKVLATSALRAVHEPYCRGILARDLPPTMHRCVLCHRVVKADR MTHHVEQCQRDCDRKAIIWSKYDVKGQPPQPPTDLRVVQTSYSSISLAWNPPMFTAGL DLVDFQVQMHVLVVEKFQTLKHRQHERSFQPLLPHVSTSQWNARLRPVALHGCTIDHL PAKTTFGHLSVRCQTKNGWSAWSDPIHGDIVTKDPVPPTPPLFLSVGLITVDSIALSW LPPFDCGGEPVDEYVVSFSGLVQVQDGRDSIDLRAAELKAFTRRVKVARSDQNPPSND LLGVEKDGAQVVCCTIDGLRSGQAYSRLRVCAVSASGLIGQESPELDPVRTLAHGKEL QLLAELQEAINSPASYIDSSFYNGFVQRYDRKHYITLVADTIKLHHPALSHRVDAMLP VDSDSESESDSDASTASSMPSGDRENAAVMLLSPASSTPPKPTLCANSDDGMDQANQK RLDKQHVRRKQFQYRLHQLRTHVDTLEYNIQWADDRCIVLVSMIEAAERRIMDKQAEL ERARGFQGPAMDSLAMHGGLQRFYTPALITALEEELDIEKYYIVDTKADLRAVEDQKK ADMGMLAVKKAQIAARVTALDAFEADCEFDQFKAAQTANVLLKFKSRQLSYVFDTWRR HGRRRRANRAVMFKALTRLVLVKVSSAWHRWRQCIDGLRDQDAIRKDVIIGKGGVDLK GAHLARTHLQSDLYSMLHECRQLTGTLAATTHTMSQNSRTRTNVFAKERQSQQLRGVF VESKVQMSLRDQGDAQLDIGDYDAAIKTYDSLLDNLHIVSVEYTLQASKSSRSSSSLV PHSLRSIQTQTSQVYNRLGRAYFLLKQYDRSANSFERASGIATMLGDPIEGGVAFRGL ADCHVASRDWSTALDLYLKAAGMYEDVTDVGGEVAAWRGIALCYREMDAVDLAADATT KGDSLEYALDQTMEHMERTLAQLHARLVGVTVKMSVECTCERVGAIVPRLRQERLQCK VGIMDEQKVLDALDMMLDEKKALRAQAEADLETSERTDSGFVDSTVFLGVSTRYTLDD FKANVQKLINQLSVVQVALDRERANAAIRISNLHDRIQECEEELKAETGPLMRRVRGK DPLRCFRFNAINAMYKNVLGRASGGVDTAVASVGPSIVVYDFLTGVCMGQGVGDIGGD QRHLGAPLGHTKTIMSLCCFHAYVYSGSMDCTLLVWQLVQNVPVLRHRLTDFDAAVMA IAATTSFVVAGTADCCIMV H257_13789 MPLERLTDDLVVEIAREFPTLSNLNLSKNAIREIDCDFSLLRHV NRLNLSHNQLDDLPVTLHAHLPQLTQLLLAHNKFTSLQSLQSLKTITHLDLSANAIDH LDQLDYLKDLPLLSDLSLHGNPKLDALDSTRRLHILRRLPQLQTLDQEPVRPQEREQA RRFGVATSVNQPLTSSLPPAFRLPLPSPLTNTSPLPRTPVVSTPSTPPQLLHFQQLAP STTSHPPPPPPSNSTKQLELMQHRIHALQEILTLQETELSHAVVSATARKQLSHVTPD PPSMYQKLLAKWRTKVFEMVVAAKCQDMASHELGQQAARSVHIMETRVADHVRHIQSL TQRLADADAAARLLHLQLDQLHADRTTLQSKCQRHVVTVESERQRMREMAHHVIASVQ DNGMVQRLQHMYTRLTDHEQRLRRQVQHAETLVVCLARKEARLRNQEAAWEGERRVWI KRLQGANVADTNTSTTTGKPATTLHNLPPTKAKSSFKLKPESEHVLRAVFHRVDPYQT GLAPTKRFIAALQKDWTVRQELGAAGVDKLMAVMSHSPLFGVTKHVTWGEFVLLFLPD LSPLPKDAPVVDNVPETSDGVPVPFEEGSKVTKPALTLPLNLDEWTRDQLECHVVHLE QERARLVCRVKEDASELQHRVRGVQQQWHVKCDAQVQSMAALQDTIRDLERTLALQTN AHAHVETQLAEVRAQSATADSAWRTQVRELELKLDSVSREHDKAMARLEEAHAMALQD AALQVRQAQKDQAKQDVYIRQLERQLKRLQDSVVAQEKDELQALARQLEKRDVEITKL RRERNALLTTLREQEKAPSPIMVDQGTDLPELVVATVETSDKVTTPAIQLQTPRKPQT AAPPATRSVPPPTSLSHRMSALTSQANRWLTDDDDWAHDDV H257_13789 MQHRIHALQEILTLQETELSHAVVSATARKQLSHVTPDPPSMYQ KLLAKWRTKVFEMVVAAKCQDMASHELGQQAARSVHIMETRVADHVRHIQSLTQRLAD ADAAARLLHLQLDQLHADRTTLQSKCQRHVVTVESERQRMREMAHHVIASVQDNGMVQ RLQHMYTRLTDHEQRLRRQVQHAETLVVCLARKEARLRNQEAAWEGERRVWIKRLQGA NVADTNTSTTTGKPATTLHNLPPTKAKSSFKLKPESEHVLRAVFHRVDPYQTGLAPTK RFIAALQKDWTVRQELGAAGVDKLMAVMSHSPLFGVTKHVTWGEFVLLFLPDLSPLPK DAPVVDNVPETSDGVPVPFEEGSKVTKPALTLPLNLDEWTRDQLECHVVHLEQERARL VCRVKEDASELQHRVRGVQQQWHVKCDAQVQSMAALQDTIRDLERTLALQTNAHAHVE TQLAEVRAQSATADSAWRTQVRELELKLDSVSREHDKAMARLEEAHAMALQDAALQVR QAQKDQAKQDVYIRQLERQLKRLQDSVVAQEKDELQALARQLEKRDVEITKLRRERNA LLTTLREQEKAPSPIMVDQGTDLPELVVATVETSDKVTTPAIQLQTPRKPQTAAPPAT RSVPPPTSLSHRMSALTSQANRWLTDDDDWAHDDV H257_13790 MDDGGTATTTANPPPAVNVNSVCQAAYAPFIQRYPTCAFTSLLA QSQVNATSVRSSDCLPLSQCRVGLTTFVAAFQPQLPTNLLAKCLLTDTPTKLTTPVAS LNALCLGEIPPTPPPPPPPPPLPSFALPSSVTPSTTTSTSPPTSTKPYTSYTSVVQVI DPSWVASSSVKPLVSPGGGSVTPAREPKEADDPNHNVAAAALIICAILLAVVVVFGVV CLVRKAVRRQRRVEEYSSMQDLTATEMMLLERRREQATSSVTTTMDHPPPPPPHPLHP TPYFTSTMNHGGGGGGNSFVLDSYDLMTPNSRSSHHATALSSGTPKQQQPRRSFPPPS PLPYDDDVADLAKYSISAADVRLLQPLAQGLSREVWLAQNSQDKTMITATRLLPHMKT PYELHVFVRSIHFLARMQCPEYIVHFVGVTGVLGRKPTDMMLLTEYMDCGDLRGILDT NHADSSFHWSHKLQCAAHIALGLAYLHAKSLVHGNLSSLNVLVDSAKGAKLSDINGSC NPPDGDDDDVVRLRRFGRSRGSNLKLLTAGLGAFRWVAPEVLRGNQPTPAADMYSLGV ILSELDTEQMPFSSSASLEGVVTPRRLREGDHANQEVLVSIVTNAASPAFSPTCPSWF LSVATTCVDADPTRRPTATIVANRFQSQLATTIVYDSARN H257_13791 MDDPAYCPSLIGKVISQFDDDNGTVFRGCSYYEGLNKAATQVDP AELREKDCKNPDCAALWAEFVSFTSLCPILDQETRHTVSISSLASVCAPTTAKALAST ATPSNPAASLLTTNPSSAPTSSSAATIGIVASIVVVLVALVVFGWTRYRRNHSSTTSS SKHSTHTSNDRLDGDTYEPHGTPTHHPNNHSTANSSSNAASLMDSAQQSLMQRSLLDL DMVRVPIDEISYIKPLAEGAYGEVWLGNLYDGPVAIKRLLPTRRTTAVDLQKFISEIV LLSRIECPYVVHLIGAAWTRPSDIVMVTEFMAGGDLRHVLDTNQLDDVAFFPWVKKLQ CAHHIGEALVYLDFMTPKVIHRDLKSRNVLLDEDFNTKLTDFGIARETDDATMTAGIG TFRWMAPEVLLDGHYTEKADIFSFGVILSELSTNIVPYSDLRNAKGNVYTDTAIMAKV MTGELIPTFASDCPEWFAELGKLCLALDPHARPSATMLAFRFQKAVLAATALHHES H257_13792 MAPLPCTKAFMTNYASNMSVTVNANCYLRHGLLNGSIDSLRIAT EDCQVTNCKSLITEIYVFTSSDCTFVESQTGNQWQWTNLYKFCDIPVATTTIATSRLP TTSAPSSFKPVTRAPISTSSSSGDSMPPFTIWPDGSITLTSEPTWFESNKAVVIGVSV GVLLLLTLVVFFCRRNQQQRATDIPTRVPYAPVQDTATQQVQRSNNLNSHQPPKGSYP STMATGATGTTTATGNKTSSGSMIPDGVLDMCGLDMHRIASNEVRPVQAIAQGAYGEV WLGQYLGQKVAMKKLLTSTPVTVSDLQKFIWEISLLAKIHSPYVVQFVGVTWTKPADM TLVTEYMAGGDLRGVLVANSSAQSFKWYHKVQCALHIAEGLVYLHTMDPKVIHRDLKS RNVLLDADFNAKITDFGIARETDDATMTAGIGTYRWMAPEVLMDGHYSELADLFSFGV ILSELSTELVPYSDLRNGAGNAFTDTAIMAKVMIGQLQPSFAPDCPAWFATLARECLA LSPAARPSAMQAAYRLRLHVESNTRCINSY H257_13793 MATLVVGGLAILATRRHTVCTATKSADKDEGEHSLSDWGDVVVV DAVVVWSGGAGSSQRVVNEVAGAPLMAQVKAAYCLRLATQIGKLQYIFKIATVVTFAT DVMLDTCSHLSLIRASGCSASVLHGTRGSPGVVVGDAEGDEGRVSTSRGV H257_13794 MKGAMAAATPTPHTASVTMCSQEIMTQTHLILLNQPIYASCYVT TVVDTNINSTRLKGDCTIPDCFSLLNQNQVAAAASPSLNCYMYDVVTKSAFPNGDLVN LCFTKTTTPTSPLIVKSTVVGSSSAVVGGAVGGAVALFLLIALGCWCRRRRRNQHEND RGAAAAFDAVSSPMETRPVAKLSSNTASLPKHNSSSQNATTHASSGSYPMNALNSRKD LDVTQTMLADLQLLELHRIPVSSVHLVHVVAEGAFGQVWLGNFMGDRVAVKKLLPRKA TTSIAVAQFVAEIKLVAKIQCRYVVAFVGAAWVKPVDMLLVTEFMDRGDLRSVLQANH AATSSPLFAWEDKVECALSIAEGLVYLHSMDPIVIHRDLKSRNVLLDSVRGSKITDFG IARETYDQTLTLNAGTYRWMAPEVVLDGHYTEKADLFSFGVILSELSTELLPYANVRN DRGHPLTDLGLMDGVAKGRILPTFSATCPAWFRQLGLDCLALDPHRRPSATNVSFMLR SHLHTCSSTYPDDEDRLSTGYLV H257_13795 MNDMTTSSPRKRMALLCNVVVVATDGTDVVTGPRGAATGVQIAA TVEAGTPWNVHASPLKSKNVVAHSGNWHSAAKVATDPDDVMRDVMPAAVVHLVLATSK PNGYAVAAHSVDLVRGGVGAGVVKYGDFTTGSQRVDQTAAGAP H257_13796 MAAATPTPDTSSVTMCSQDIVTQTHLILLNEPMYASCYVTTVVD TNINSTLLQGDCSISDCFNLLNQNQVTAASSASLNCYMYDVVTKSAFPNGDLVNLCFT KTTTPTSPLIVKSTVVGSSSAVVGGAVGGAVALFLLIALGCWCRRRNQRENDRGAAAA FDAVSSPLATDSKSGLPKLKNSQKAITNDSSKSGSNPMNALNSRKDLDVTQTMLADLQ LLELHRIPVSSVHLVHVVAEGAFGQVWLGNFMGDRVAVKKFLPRKATTSIAVAQFVAE IKLVAKIQCRYVVAFVGAAWVKPVDMLLVTEFMDRGDLRSVLQANHAATSSPLFAWED KVECALSIAEGLVYLHSMDPIVIHRDLKSRNVLLDSVRGSKITDFGIARETYDQTLTL NAGTYRWMAPEVVLDGHYTEKADLFSFGVILSELSTELLPYANVRNDRGHPLTDLGLM DGVAKGRILPTFSATCPAWFRQLGLDCLVLDPHRRPSATKRNLV H257_13797 MYLIRIGVHERPIQHVVLARVFTCKANGKAGLVAIGERVHRLVA ALAVALAAHGWLLLLVLLRSLLLQEHHRYIRPVFRTRPTVMTAHTQVKVERCGGCIIG AREGLGTPVALRGKHQLGVQVGRQHSLERTFRRPPPLRSVALVAQHKPRQVGQRQVNG AGERKQVAAVALGVVAHRRRVVLLLAEGAVRNFPLRRSMARRA H257_13798 MAAYDTCSLIYSYLTTSTSGYSCTYAKYIATNQIDLATVKTVDC TQSFCRSILTTFASVGVYSDFGSCYLKDTSSSKNVSLLSLASVCNGIDVANTGGTNIY VPTYNPSLYPSGLVTDVQTGISTGAIVGIVVGVVVVVIFFGVVIFKYRQNNKASPPPP AYIVLNEPRQGHHATATSTAGTTSSTAATGQSTRLPKPLKHQPDPCQPPTRTVGSTLD QTTSNSSSMTTLTHKSMPGQLDLCELDMHRVHPKDIHLIQPLAQGAYGEVWVAEHLGV SIAVKRLLPSKTSLADLQKFIWEIKLLSKIDCPYVVRFLGVSWTKPSDMMLLTELMAG GDLRQVLESNQSTNHNHQFTWHDKVQCALHIAEGLVFLHSMDPKVIHRDLKSRNVLLD ADFNAKITDFGIARETDDATMTAGIGTYRWIAPEVLLDGHYSESADIFSLGVILTELS TQLIPYSDLRNDKGNVYTDTAIMAKVMAGELTPTFASECPMWFVKLGRECMALTPQDR PTAMKVAYQLRSHVQGFV H257_13799 MAADACSIMYNGLATALLSSPYFDACSYPKKIAASVVDLDRVRS IDCGNVYCRSYFATFIEYAKMPTFADCTLTDESTSKRIPFKDFAVACQSVLPTSSSPP VAAPSSPSNPASQSPLPPLPPPTLPSVVHDESSNNSVTIIVAVAAVVVVGVAIAAFVY MRTRRRRPPQSTSFLHLNDHAAANPASVALKQLSSTWSNSIATAASTHPSRTNYHHHS STLAFQSTGLDMCEIDMYRLPTSDVHLVKPLAQGAFGEVWVGDYQGSQIAIKRLLPTK STLPDVQRFIWEIKLLSKIECPYVVHFIGVAWNTPGDMMLLTEFMNGGDLRQVLELTP TSFQWVHKLRCALSVAEGLVYLHLMDPKVIHRDLKSRNVLLDSDFNTKLTDFGIARET DDATMTAGIGTFRWMAPEVLLDGHYTEKADIFSFGVILSELSTNIVPYSDLRNDKGNA YTDTAIMAKVMMGELIPTFASDCPRWYLDVATPCLALDPLERPTAMKTAYTIRRQVEG CN H257_13800 MLVLPISLLSQDKPTDSTSTMEDCAAYIGTYSNALLQRPEYVNC DFPKQFAAGKLDSRRLKAIDCTLKLCKTVIEGNKNAFSVFPGCSLPDTASSNTPVELA TLSSLCTDISIVDTGVVTGPPSSSPSAFAPAFSPSPSNPSPMYLATPPSSTRSTLDAP NNTGILVAAGASIAVLALVICGYVYMRTHRKHTTSAFARVEDGERTDDQQTHQKGDPT SVAPSTTSSTGDSSYAVTGELDLAEMERHRIPAANVVLVKTLASGAFGQVWLGQLNSR QVAVKTLLTTCTTKSDLQKFMCEIALVAKWGWVVWYDCSLHLGSIVCTSMYYRIECEY VVSFIGVAWTRPTDMMLITEFMDGGDLRSALQPNLETHRRLTWKMKVQIAHRVAEGLA YLHSMAPKVIHRDLKSRNVLLDSVQGAKITDFGISRETNDATMTAGIGTYRWMAPEVL RDGHYSELSDLFSFGVILAELDTEILPYSDLRNDKGNLLTDTAIMAKVMAGQLRPTHA PQCPDWFVTLGRNCTALHQMDRPTAVEVAYVLGQHLSKL H257_13800 MLVLPISLLSQDKPTDSTSTMEDCAAYIGTYSNALLQRPEYVNC DFPKQFAAGKLDSRRLKAIDCTLKLCKTVIEGNKNAFSVFPGCSLPDTASSNTPVELA TLSSLCTDISIVDTGVVTGPPSSSPSAFAPAFSPSPSNPSPMYLATPPSSTRSTLDAP NNTGILVAAGASIAVLALVICGYVYMRTHRKHTTSAFARVEDGERTDDQQTHQKGDPT SVAPSTTSSTGDSSYAVTGELDLAEMERHRIPAANVVLVKTLASGAFGQVWLGQLNSR QVAVKTLLTTCTTKSDLQKFMCEIALVAKIECEYVVSFIGVAWTRPTDMMLITEFMDG GDLRSALQPNLETHRRLTWKMKVQIAHRVAEGLAYLHSMAPKVIHRDLKSRNVLLDSV QGAKITDFGISRETNDATMTAGIGTYRWMAPEVLRDGHYSELSDLFSFGVILAELDTE ILPYSDLRNDKGNLLTDTAIMAKVMAGQLRPTHAPQCPDWFVTLGRNCTALHQMDRPT AVEVAYVLGQHLSKL H257_13801 MATPSPPFCSLPDILKASLLASNDLVKSCDSVQALVSRLFQPVP FDVATSPSSCGEREVCKELTDVLESNSVFGCAFSPASGPPGCVLAPLPVPPPTPSSNH TVIIVAVACGVVVVVAVVSAVVYVKQKARHRKLSTLPMHEDSECCDEGYVAAPGGTAL ASKRPVPASAYVRPPCATFSDQLNFYDLESFRLPYDHIVLEKPLAQGAFGDVWLGHLL NQRVAVKTLKVANVAQDVQLFIWEILLLSKLDCPYVVHFLGVAWNESPSRMMLVTEFM DGGDLRSVLDASRTSRRFSWLHKMECALHIAEGLVYLHSLDTKVIHRDLKSRNVMLDS VSGAKITDFGVARETNDATMTVGIGTYRWMAPEVLTDGHYTEKADMFSFGVILAELST ELVPYTDLSLSDAGIIARVMVGMRPTFDTTESPPWFVEMGTQCLALQADQRPTAAAVA YALRQALKQTAETRVV H257_13802 MAFDSCGNFIASIVKNTVASELCTYLKTVGDRKVNSTLMQSVDC RDLTCDQSLLSHDASFASIANCAVLDVATGTNVEMSKFSSLCGNVRASLTPTTFTPSS SHSAAPTTSSTPSYVAPGATASPAATTIYNTSSSSTVTIVIVVAAAVVMILLGAMLWQ RYRQTTTIPSKQLDPRAFVMLDEPPPSTVDSNGTKQPSSPAESTSLTLLADLKNVDMG ALALFRVRRSDVTLIQSYRHRGAQYHIRRAEYLGQAVALTSCRDSHHIPSFVAEMKLL STLDCPNIVRFVGVWWGTYTSDLTLVTEFMVGGTLREALARSSSTLFFSHTFQLSWQQ KLTIARHIVDGLVYLHSLDTKVLHRDLTSHHVLLTVSSPSSSLPSCSQSLQPSSVVAK LHGFHRSRPVQCDDLTLTAGVGTLVYAAPEVLQGGYYDDRADMFSLGVVLSELDTEEM PYYENLQMSCGGKPPLSNAELSVGIMTGSLEPTFSRDCPAWYVALARDCLQLDPHLRP SASKVAYRMRVQK H257_13803 MTTPRATSLSQSTDYLDDVPAIIEYIWCGESVGLQLARPTPSDV KTVQHTWSNGDDADITLATEFYSKKVVARRVSKRITSGVRAKFVLQSINGVDVKMDNF NEMMVVLKTGHAAGIPQVLAFHPNPPPVMVKNVPEDGALDRAGVTTEYELMTINHINV GYLTIDQIGRMLHDSAKPCHLTFGWTALHDDDIHVHLAGLSVTDVVTV H257_13804 MNESVVVPRVGEQQPVNSFSDFQRNCNLLENALGDPTTDPLPSL HTLWTWIDWVNRGMGTPKHDQHLYAVCLPRVANGFLRRSFNLFPDHHDIPPQIMNFLR EVVRFIVLRMQPGTDIFASYLDTIHNILNPSHLFYQCHGLSASPVAEVDDDDSHATIP LDLPSDRIVGTIVDAYRSNHRTWYEGVIMSHDAAQNQVYVGFFGHDSEGDGWISIDSP HLAPRGSMSSGRRGQGPIRVDLDEPIPDEADPASPTSVDLAQHYAVTIPFAIPRTRVP ASHFFIDLVNAFGSVGGFHKLPLLRNSNSPTSSVVSVALVTTSLSLVANVAPWLTRPW ASTIVTQCNNWIQQHLAAVELRSVTKAMLDTLHVSVKTLCRRVASRYDASEKADAVLL QVCLQCLHSSVLEIRLHGLKCLTDFIPLIRQAQVYPFGVKLVPSSLTNQYGPPPTSSM VIHIPISESTSKSDLAAWCTHHRLLHLLCDSHEQVIRRSCELLRFLCDADAFSLADLH VVWHAVQSNGLDVRASWLFVLEALASYMTVPVCWALLRLIQDLGVSSVSMLGLLGALA KYAPLDSYDDDIEGRAADDLLFATPNVFVERCSVRHAAMQLLWATMEDTTDVAKRMLY DTAKTQLQDAIKANVDDLLDDDSSEKWTPPVVLGCVSYLLELAVHSLTRHRNVPQAFG IVGFILTLFEDATAKRDAIAAALEARGVLQLVLDDLVQFKASYAPDNRNGSYRVDVAA DGSGLAGLNARLLADGSHVDFVDHIKARLGFLSLWLNIQPTLAWRFDQLRLLWTELNE YATLGTERTMLFKWLTTNALHWNASTVSFVFQELLGNEVFLTSGALSPLSLQCFLCYF RLTNHHHGLLTLDHVAGTPTSQNQFAIHHLPLMGTSMLWTVLLRGRPTSHSHVVFVQT IKFLMLLPFKLDPELPPLNLVADGLDYLEQATDASVRSRCLTVLASIIGTDEASAAAL ATGDWVPHGKASRGPPLHLTVNNSIKLTATTGQRLPLDVYAHDTVLEMQVAVARKLDT APLSTKGLRFFRMGSEIHELSRCVTLADAGFRDGDTVLVVSRPNIPLAPSIPRPAVVF EPRLVDVLMSLIREHDAKEAWDLLMRLPTPAAVVDAIRTHADTWSSSLLVASVPHGSQ TQLLYRLQVLDNLIHDDNTTGDAMLTTFVLTDGPACIVDLFLANSRRHEEEQEEGRSF RTYLRHQVTMGCLRLLVTILAHAPTYKDYVVPKTVEFDPEHVNMDIPVVVELVLSPYR DPTTSPFVPTSMGQTTSDATSQAAAAVQDHVAALIASIDYTSLHGACCRLLTDALQAA SSSDMAIEAGLRLYLICASYSRLFETLPVDIIRMMFTSTSRRVRHLITHALLVLSFAR DSIELDPVRCGVVAQQLVELETNGQPLPLRYSTLLGLFLYTWQAHPAPQALLDAHVTA LQTHTSKSSAFLTDASTSLFSTNDADPVLGELFILRCLVAGFPALQTFQPNLLLEVWH TCLMSYPSTTNESWHPRCTSATSRQAAFRLVVDLSTYPSRSADVTNGNFAFVVHQLAR LVLESPVKPFYDDWEWSWDPHLQMKASYVGLRNLGCTCYLNSTLQQLYMTPSIRRAVL TMPISEADPPVFAHIQRLFATLQESQLKHVDPSHLLACLTDETGAGPLNVMVQQDAEE FLTKFCDGLSEYLTQCDGANPPLFGGTVCTQLVCQGGCHSIRETAATSFVCMTVEVKG HDSLVHSLQTWSDGEVLSGVNCDMCGTKQDTMKRDCLDQLPETLLLHLKRFELNFDTF LREKVNDEFRFPLKLDMFPYTKAGLLQSEQERDDVAAHDDAMYDLVGCVVHMGSTESG HYYSLVQDRSNGQWVEFNDEQVTKFDLGQLETECFGGADKGHSTNSCDRDPYARSSPL NSKSAYILVYERRRHADAASELAPTAVPPPSSVMADLAAENQAFVQSCYAHEQEFLAC VCTLLEQFPTVSQPLHEDMAQWTFLMSAVRCIPLYARAFTLAPLPLLSLVGNHVTCPT FAGAVLGHFVQHNLAELLDVLLHCTKPSVRVEFSTLLVHLCTLVLENDLAALQADSAA ATSTSVIGRLVDAMHLFGMVDDVASHWRHMNEWMGVLAGLAELHPAVRNLLRARKCGM YLLDLYLGDLSPLMGNQYAAYSRKRLPKVLPPSALVRPLSIVARLYRDQVYTAVDSET RSCLLLKTLYVKMFSHADHAKALADLLVSWSCDWEAYTTSVINVVGDVVGHLNPYGTT TAGLVYVLDGFLGLSDSLQETRVSQWFDVMAKHMDGLKSAQAQAQVVGVMLWLGTRHV HVQMCLKLHLESWGPSAGSVVALMAKQPWALVVELADQSTVEWSHAKSLERMTEMLLE YDLGLGWLEVHEAAGESFDDADPTAYMWDATLD H257_13805 MDIDAAIAAKEGQLKDIEHEIQLLFVKQSEVERDLSLLRKQQAH AREIVVLSDSDDDDNVLSTTSLPTRYTTAQLEDVLHTQFGLTSFRPLQESILMATLAK KDTFAIMRSGGGKSLCYQLPAILERDVGFTVVISPLVSLIHDQVMHFCNIYGPESAVA LTGDSSRQDASAVYARMLAPFSPPLLLLFVTPEKVSNSKLLLSRLDKAHAVSRVQRFV VDEAHCCSQWGHDFRHDYHKLGLLKRQYPTVPMLALTATATPAVIDDIKMILEIPHCA FLHSTFLRPNLVYSVTVKPDKDSVAAIVDAVMSFGASTSGIVYCMTRKETETVAAALQ AAGVRAAYYHAWAPDRHVMHTQWVQNHVTVMVATIAFGLGINKPDVRFVLHATMSKSL EGYYQESGRAGRDGLPAHCVLFFRPQDVPRVASLVHSERDGLRNFEAMVEYCLNTDQT CRKQTMAAYFAESMPRPCGTACDVCDGRMMENATSSQDQGGSSSMSATAYAQQVVQWL SVPNKRYTLKQVVDEATSKKAQWALEGPLATRRLAVERWLVQLTMSHVLKWEFAITPY ATNAYLATDYLAAKLTRGDLVSCPPVVCFPGFSDVDTRSFCVLHRLDLVRQTVSIQLN VLPSQVWRQAAMYQFVQSHPPPPWTPEQIRPLLRHSFTGTEEAAVTQALTHVNIPNDD DLDYPVSKSTKRRRELD H257_13806 MLRVAFHAAPRRASASSSRGNHHFGAWDHLHRMHATALTSSSDH HDDKSTLKFRPLVAPSLNAAYAYSLGFTSAPRHPRLVGQARWMSTDAAKKAVVSNVLA RRSSTRVAQQEDRLDKALEKVGERGFQVKDLQNLDLNVSAKAWAFIKSTPALVVGGAA TVQEWVRILVKEPERARAWLKQLKESINHELKHYWVGSKLLYAELSTSTRILRRILKG NALTRREKKQLQRTVADILRLIPFAFFLIVPFMELALPFALKLFPNMLPSTFKHAFQR EEDMKRQLQLRVSLAEFLQDTVKDVMQTTHETEGVAEEKRATAKEVMSFVERAQRGEP LTSEETLKIAGLFNDEITLDNISRPQLVGMCRYMGVNPYGNDNFLRFQLRMKIAALKK DDQQIIWEGLDSLDKEELQIACMERGMRATGLTKAGYVKQMKQWLDLSINKNVPASLL ILSRAMNITSVENPEAALAASMSSMDEELVTEVALAAKTAAAADSTLEKMQLKELQLE SIRYQNEMIADEEKLREDMKKKSDVDAKKKQEDEEVDKHHITDEFVEVTQVEAAPTHA PPVDRVSVVEAVPMHVFEDERKLTLEEVSALETLAFKSIVEKERQVMAKMKLDKSVMD VQGLLAAGRIGAEKENKTADRMLKKLDSMLLKLEVELEQVDKDVGDRLNILDRDSDGV VDVTEFKTAVMTILRKNKTEDAAEWIVGQIDEDKDGKISLDELVKWVEQKRDLLEATG ELSPHRDETLDQDILKEVTTLKRTESKKTAAAAAAAASATDKMTPA H257_13807 MPTLRFRVNITPMTGLKALSQDREIMDLLSTVFSQEFHYGTYES STTITPFAVKSELCLVPPQPTVSDHSNDHSNDGNVHSSNSHNDPSPKRHCVRHGKGKR CRVEDCTSSVKLYGVCWKHGGSRLCQREGCNNHSKSRGLCWSHGGGKKCETPGCKKTG LRGGHCWAHGGGKRCRMQGCQQPAYERNDNLCYRHCDTGV H257_13808 MAKNSKDRAQKPKVAATAPAAASSETEEEVQVTEPPLVPVVGWT TDVLPAVEESKSEEVRKTMEELAAANKATGSARAASMTWDESRRRYGGQTMGRTGNNY HDPQSKAPMSTKTTRRQKISEKRAEEDEKEYQEEQRKQAERRRINKANRPAKEKRVDH AKVARAAHDRRMLDTTLPSSSGWGPLTSGPPTPSSGGWGPNFNSSGPSLVVTHVQPPR ITCMDRTFLVSWVKKRETYEDKLRANAQRMGGEWRRMPVEQLSEDDYRDRIMEIVGQP ATKWTPTMSDMQNYCRTLSIDPHGDVTSRLVSFMERVDDVIDENGLRQQLKDATMLRT LVKVVAARVTPSYLRDRVEEQIKTVPANDLVAFADILREQPDRTHDADMDNQQRNSYG SKRGREEDDQGRRITKHAKKANKAVRDQRELRGNYPRPPGGYIKPERSAAVWSPSTQK QSGDPPATEYGPQANSRPRHDDRHVQAVRDEARPEFAPGRDDRGMLCFVCQQPGHMAR ECPIKKDGDSGDTSWKKGKNAVKRFKARERKANMQAKRMKKPPPPSKEDDGRWVRLNS VLEVPYCPDTGADQNIVPQAMVDELQVLQPQLQVVKLAAPFVPGKRRCYVVNDGDEFV SDDTLKTIGIDIDRLLEQVARLQVDEDGDDLEEVGGDCVELPQRSAVRAATMKAVLPV AKNEVEEALQGMIDGAVDNGFPMEHVKYLWDVLSKHDIWRIKFDGSDPPAKVKPLKPT PSLATKRVKIRRGHGRSKKVKTRKVPPPAQPKLRPLNKEIVWPCVMDIRHAEDQHGET KPKRATVVNTNTLWQGDERLWIPGAANDLIQRIMVVAYCGSAGHRGHAALVATIRRLF YVDHLPDRASEFLRWSINRMLRDGTRKSATKESTSTKYVLVLKDDLTHYCELIACDGP TSQVCVDALVDSTKRFGMPRVWVSDQGTHFKNVAMKALANKFKVHHYLTLAYCPWRNG TVERMNRDILQVMRVMLREYQLAEQEWDYLLSMVQANLNQTPAASLASKSPMELFTAL NPATPLDVVVVGMNKELRESDWTVMDIPKNLDKLRASLQVMHKEVLDKNAMRAAKATK TTEKYEQCNVSEGDYLLVTWTGPYRVKEVGEFSVVLEHLVTHELREAHASRVKLYAED SFEVTEEILEHVSEQMIMLKVKSIAGHKFVPLEKDFMLEVLWEGFEDIESSWEPLQKL MHECPAIVKNYVEGVKTASEGNALRKAMKRVKAKN H257_13809 MPLLSNKLEHLLNPVGTAFHHCADHDDDLDSSRHFISYICGGDG RTCGPEPLEVIIPHCSPFECVHSPRTDTSELDSIDEDVNAIGHAISPTGTSRYCLVGQ CPNKVKRNGVCWRHGGFRNCTSTGCINRAKSRGLCWTHGGGKRCDTPYCSKTALRYGH CWAHGGGKRCDADGCKRPAYERNGNRCTLHTRPAALSLES H257_13810 MASLDALAEDSEIIDLLATLFNNEFTQGAAAAAASHPHEQPPTT PGLLLPTLAAPSSTRKLCKEDGCLRKNVSKGFCIRHGGGKRCQVESCDKGAKQNGVRR GSASWKAVQTIPKPEACVGPMEGGGRALPKIATAQRYKGGIAGLMVEVHISSSMTLCT SGLLLLNQAH H257_13811 MTMTPMTGPLDSLARDLEIVELLATVFSDEYTQGVASSSSTNQT SATLPPETRRRRACQTDGCHRTIVSKGCCIRHGGGKRCQVALCDKGAKHNGVCWKHGG SRICKRDECSNHSKARGLCWTHGGGKPCATLECSRTSLQGGHCWAHGGGKRCNQDGCQ RPAYERNGNYCTVHSSQHIPAPPPLNETAAS H257_13811 MTMTPMTGPLDSLARDLEIVELLATVFSDEYTQGVASSSSTNQT SATLPPETRRRRACQTDGCHRTIVSKGCCIRHGGGKRCQVALCDKGAKHNGVCWKHGG SRICKRDECSNHSKARGLCWTHGGGKPCATLECSRTSLQGGHCWAHGGGTYYTPLALL T H257_13812 MVYSVPWQHVRSFAKKRQVQWLGPRRIVTMVRDWVYRVEGLRNG AVATHHASRLKFFAARDMLVTQALTDHIAYVEGGHLVECFLDCRFDRPTHSWMLRVKW LGIDILEASWEPAADMATEDVPVIVVVYLRKASRGNVAAPMIV H257_13813 MSYAQALRNFVTESLQDVDAGTLIHLCDTFHLDPTAFPTKQDIQ RSIVDLVVKDRETALGLFVAWKQVLTTGGGAAESIVLDNTAFANAFLPIDAPSSPLQQ QQAAIPMPPQTPKRLFDFVLDRDLAVLGDELLRLESAYKEAERGLHQGGITYEKIKRF LQSLTKLRAKDDQFRILLLEKNQNLRADNARLFKLEAHTRNQLEFFVDGCARLRCKLD AMVEDFSRVSAADAAAHDLVVQLYAGECAFSQALTSTLHFKCQRLTDTEAALALATAT VEALEAKLEQQRLTLDVMRAKWTAAAKDALCGRVQLRRCRKRLREVDGLAAEATFLRA RAMEMQHMACDLLGMGHVHTSAFQDAKGAFVKSADRSSIAWRVLRYLNTVSSPTLSHP PPSSKEVPSTTMGYSPSSGDTANMPSIKLPCQRRRDVLFDLSVVVILGGGQQLSSVVH RLCLQFGYSSLDVDAWRSDSSSTDDAPEVDTKPVPVPHDRLARAIQAIGSVLVYNWPG LAPGDIHRLVQHGTDVKMVVDLGDNPPSTTSLSLAHSCGVYCKLPTQPPLHVDQMVQD TALVWRAYQDRLYTHVGILWDGPTDVLNERSAMMHEEMSQRMAVEWAKHVAVLADKKA AADAKAKAKLQPAKRPPSRSTTPSQKTKPGTPAKAATSAKGKTQASPTNAPSKGSKTP VKKALATTPVKKK H257_13814 MKYPFSKVLPHQLSTVNNISIDTMATTTLQWEVLATAKEAARLA GKHMRAHLGSVSATAASKSNKDDLVTVVDKQCQEIIAAQIAAKHPTHRMLGEEDVDAG SDASKAAIRSMDGTQWLWVVDPIDGTTNFVHGRPASVVSIAVALDGVVVVGVIYDPYR DELFSALRGHGTHLNDVAVHVSKKELTFSQALVGFGIGTKPSVRLPMLDVIALFSSTC RGLRLQGAAALELAWVSCGRQTGFYELDLNSWDVAAGALLVSEAGGQVSDSVGSLFTL HTRHIVVSNGQGTIHQDLLKCIADANATHPR H257_13815 MRLFNAGHIFSIGFNIGENLRGWRRVVAGHRPEADNAVVGIALF RVYRDTFSSGRKLYVDDLLSNAHRFYFREGLTIAGFDFVAPTASD H257_13816 MNIASNNILRGRQLAELFFTLNAPGSTDWTCRCGARRSQNGSGY TNLVSHITADHPGYVAFDATNPPNASALFEIMVPRHVRTVFGWLYWITLCLLPSLSAA AHVEFTEFVLDLYGKSWSNVIALIGDNCSTNVAFARLAGVPFIGCACHRLNHFVPDML TNYAYVIDKVNALMTKLRFTLPAARLRRVTPLRAITLSATRWSSTFNMLKRYIELKPF LWAIADDSIDVLRLNVVENREVTALLVTLEDLNSITLALQGDECSLLEVRQIFDTVIE DYPNAVGRLGPSARVVKNPSFESAIVKILRGTETMMTAEERESVIKLRNEEAIESSAA AVALPVISLAERALKKNKVTRMTSSFMDWRFLCPTSNMCERIFSSTKLAIGDRRCSMT PKNFEEQMFLHANINLWTIEDVQEMLRSVE H257_13817 MTGTTTTTLLLVQPLWLQNPKSSIDFIMNNNGTAIVVAALSTTT SGCPRPLSTLDLCPKEDLVLLRPVPSSRSRHCEVHGCGHFIASRKRCIGHGGGVRCVM TSCQNGAKHDGLCWAHGGSKSCTKEGCTNRTKARGLCWSHGGGKPCQTPHCLKTALRY GHCWAHGGGKRCLAVGCNRPGFERHGNFCRQHCE H257_13817 MTGTTTTTLLLVQPLWLQNPKSSIDFIMNNNGTAIVVAALSTTT SGCPRPLSTLDLCPKEDLVLLRPVPSSRSRHCEVHGCGHFIASRKRCIGHGGGVRCVM TSCQNGAKHDGLCWAHGGSKSCTKEGCTNRTKARGLCWSHGGGKPCQTPHCLKTALRY GHCWAHGGGRHC H257_13818 MSIALDNLGFSAAKASIQYILNPTTAITSLPTPDNLHSVGTLSP PNRRQCQVVGCVHFIASRNRCIGGSTVQNEALSKWSQTPWTVLESW H257_13819 MCNHTKSKKSSIAYILNADSASSLKSDTHSSPSPVLVEGDALVM AAACGAKPPTAPMEPNITGRAGHTADRGVVKWTGVRTERKLEGCAGAMAGASGVWSAT VPRQRCDMGIAGRMAEGNGAKWMVAIDWGFNDTPTVVLATGT H257_13820 MLRTTAALITTTTTIMTPAKHAQDTTQPPPSSHDDEGTNDEQVD DEGGGKSSDDDTDRNPRPNKKAKTAESSSLLAKLEVLQKEVGDAVAMNAVQFDTLSEH LVAMDARITAVAARAYNLPLSHEWCLDSEFQPVPKAIPGHPARYSPPEGLDEKTVFDV GDVPPPPVHDLIATVRSVDGINHHDQLDAIHWFYNDPRLAHHSGSTISQRRIALRSFL CR H257_13821 MVHGSSNGGKKKAKKAAKRKPVNLQPQYPSKFPKVVHVDDGDSS AVDEHHEVSHDMYPSNHAEYAQGDFHMHSTCSDGKFRPSEVIAKAAANGVVYISLTDH DTMAGVSEAMDMGRSLGVFVLPGVEISAEEAGAENLHILGYFCPGTDSVALEAKLLAI RQARHKRGKEMLRKLAEMGIHLNWDRVLEIAGEAAPGRPHVAEALVEGGHVSNFREAF SRYLRNDGPAYAEGEHFPPEDAIQMIDQAGGVSVLAHPWCCKNPLAIVPTLAAAGIHG MEVYHDTGKIDLYGSLATESNLLRLGGSDFHGIDPMTEHAPGDIPLPRKHIDLFLELC KTKWRVPLASKVVEMCTSANEVEKSTIWTELFPLVKDTVDASYSDTVTLTHTVDGHYT DIVIAKCIIDNA H257_13821 MVHGSSNGGKKKAKKAAKRKPVNLQPQYPKYAQGDFHMHSTCSD GKFRPSEVIAKAAANGVVYISLTDHDTMAGVSEAMDMGRSLGVFVLPGVEISAEEAGA ENLHILGYFCPGTDSVALEAKLLAIRQARHKRGKEMLRKLAEMGIHLNWDRVLEIAGE AAPGRPHVAEALVEGGHVSNFREAFSRYLRNDGPAYAEGEHFPPEDAIQMIDQAGGVS VLAHPWCCKNPLAIVPTLAAAGIHGMEVYHDTGKIDLYGSLATESNLLRLGGSDFHGI DPMTEHAPGDIPLPRKHIDLFLELCKTKWRVPLASKVVEMCTSANEVEKSTIWTELFP LVKDTVDASYSDTVTLTHTVDGHYTDIVIAKCIIDNA H257_13821 MVHGSSNGGKKKAKKAAKRKPVNLQPQYPSKFPKVVHVDDGDSS AVDEHHEVSHDMYPSNHAEYAQGDFHMHSTCSDGKFRPSEVIAKAAANGVVYISLTDH DTMAGVSEAMDMGRSLGVFVLPGVEISAEEAGAENLHILGYFCPGTDSVALEAKLLAI RQARHKRGKEMLRKLAEMGIHLNWDRVLEIAGEAAPGRPHVAEALVEGGHVSNFREAF SRYLRNDGPAYAEVFIHHHTICCHTLIIRRCLGVYKCTSRSHCLLSYTNYMLLGRVSS ILQTDHTICCRLPMTW H257_13821 MVHGSSNGGKKKAKKAAKRKPVNLQPQYPSKFPKVVHVDDGDSS AVDEHHEVSHDMYPSNHAEYAQGDFHMHSTCSDGKFRPSEVIAKAAANGVVYISLTDH DTMAGVSEAMDMGRSLGVFVLPGVEISAEEAGAENLHILGYFCPGTDSVALEAKLLAI RQARHKRGKEMLRKLAEMGIHLNWDRVLEIAGEAAPGRPHVAEALVEGGHVSNFREAF SRYLRNDGPAYAEVFIHHHTICCHTLIIRRCLGVYKCTSRSHCLLSYTNYMLLGRVSS ILQTDHTICCRLPMTW H257_13822 MALLVVISSDLAFSLYVYSITQVSSPSSVIGTHPEQNAFKKYRY MKTDPVVTWPGGGRTRGVVRRRSVLCRPGVYPIARNCVSFIPLVACRAELVSLNQWVR ALPRMNVTLSRTFPS H257_13823 MVRRRSSADGGNGSNRRKSSTDMTGHRKADRKMSEIMEGVAMPP SMSFLETQRITAMQMEIYGFAGWIASIAIFVCYLLWAYVPDAILASYGITYYPSRYWA LAVPAMLVMTLMALVVFYIAINWISTAPLDSYNTIRGTSEFGNDSCIGGDRSIHGHID QGRARHPAQRQYPCHRRYSAHFCQSHVVSLSGMIL H257_13823 MVRRRSSADGGNGSNRRKSSTDMTGHRKADRKMSEIMEGVAMPP SMSFLETQRITAMQMEIYGFAGWIASIAIFVCYLLWAYVPDAILASYGITYYPSRYWA LAVPAMLVMTLMALVVFYIAINWISTAPLDSYNTIRDQYTVTLTKEELDIQRNANTPA IADIPLTSVNRMLFH H257_13824 MRCRECMEECCSGAALFVCNGIDLACGMALVAYGSYLGLNHFAP EWLFGPLLALGGLLVLTALMSWCGSASRSCTSLLLVSSMLLVLVALFELVLAIVIFTQ GTAIEHFLEDHQAQLHLTDDELRVLEKNKFIPAYILIGLFVMEVFRYCCSSYLRRSRL ENKYAYMNLKNLKGMEAELVRDSRKQDLSSKYENLKGHYKAKYAGQPLVS H257_13825 MRAPRSASISSEVGWANIVPAKATKFKLYQWSKAGGQQVLGKLC LVLLVIDVISNNWAIIDYVGDAKHLLTPMLDLNTPEAMLDHTDLRLTTPLVLPPPGQV TTGSVFMYRYFLKAFCSGCVPITELGLDTCVIEYTYNENAKSLEITTSKAIMGGSHEL GIIIQRRGGPIAALYIRFSTLLLLFGVYAASQKTVQWMDFTQQTLPQRLLRLIAPPLY RQPCTAIGLSDICFNSDIFVVLYTLTVLLDEEISMIYSRILNRWYANSGFDLWIELRL MSMTVRWLWLNCFLLKAAKWMCHFVSMAQYTGTNTVMGWLNFSSVTWMYLSVLLLFER NAFVEFGNSVIVDLHSTTQNLDATYVFFFESWYVRALPTLAAGVIGNLAGLLALDHIV NRKLWRSLARNSLGRQLVFNSTSILSEIRGAVVDKPGYGGTCVDMKIRAWCTLRWFLA SHLTSFGLPEQPHVMRALAAATYKSKSPHPHRANRDNKSATEDVEESQRSTATTGPRI VPDMDDTSRTETMSSSSELHVVVQDREGHMHVINAEKREMQALAVEVKILRDTNILLG H257_13826 MSVRVPAVGTKPVRKQPIRHASYNDTSDGSADSFNPAMCSLVDK REVCAAVLREGLVQSFVDLFYLVHRVDTHVTADGHEKKEAVAISPAQMTFLRDHLTAA EKARRQGDVIHVFESYERLAVHFMNQHDLRTGVFFYEKCLEIARISRNQDFELAALEN LGNAYFGLKEFDKAKDNLESHLALITTLRGPPGAIASNNNHTAQPAMAQLSKVYEEVA RQHETKAEYDLAIGIHAKFLRCAQEAKDLANVAAGHFRIGCCFNAMHQPTDALVYLKD YLIMCKNLGDAEGECNAYAALATTFEALGHASQAMDYLNEYLITAEKIQNVVAQAEAC RRLGLLYSAARNFTLAAEMMERNFDLIKNAAKSDTALLDQARISLGVIRAHQKFDTFV NFVTADVDGLLKWKAARTIEPNAS H257_13827 MSSPSIDTYTGQAAFVPGFDLKPHSFTPKPFDEDFDVEIRVTHC GICGSDLHTVSGGWGPIPYPMVVGHEIIGHVVRVGRLVDPAKFALGTRVGVGAQCASC LDCSACGRDREQLCPDMKWTYNSTMDLPNGAKYVTQGGYADYYRCHHKFAFVIPDGLT SESAAPMMCAGVTTYSPLKKFGVGPGMNVGVLGIGGLGHLGIQWAVAMGATVSALSSS GKKERECRDLLGAHKYVNYSDPAQVAAASQSLDVILCTSYSDKTDWNMLLGLVATEGK FVLVGLPEVPISFHAFSIVPREISFVGSVIGSPADIEDMLQFAVDKNVNSIVEVMAMK DAAAAMQKVHDGQARFRIVLKNE H257_13828 MGGRWGSSLEFGCAFLGRGRLGVIEEVEVHAHRLVRQPRRLSLL RRVGHGRKHVAAHALLPAGSSVHSGGRVHGRDGCRLGRELLKARRDERTQEGFGEVVE RAEGFAGDGPSFQAREGTRVAEESKHDATR H257_13829 MSKPSMAAAAAVAPRQPDGAENDTRGIGISNSTHTMADGKTIHY SAERIIGNGSFGVVFQASIEETNEVVAIKKVLQDKRFKNREMQIMRQLQHDNIVQLKH CFYCNGEKPDELYLNLVMEYIPDTLYGVARQLQRSKQLMPIVLVKLYIYQICRALGYT HSMGICHRDIKPQNLLLNPTTHVVKICDFGSAKMLQKNEPNVSYICSRYYRAPELIFG ATDYSTAIDVWSLGCVFGELLLGSPLFPGESGVDQLVEIIKVLGTPTRDQIEAMNPNY TEFQFPQIQAHPWSKVFRSRTPPEAIDLIAKMLEYDPIKRIKPLEAAAHPFFDELRQD PSISPLVLPQNVPPPVLFDFTWQELKSVDVATRDILVPKHARTATNWPDVVGAEAGGG GGGPPPVDAPADSEQRE H257_13829 MEYIPDTLYGVARQLQRSKQLMPIVLVKLYIYQICRALGYTHSM GICHRDIKPQNLLLNPTTHVVKICDFGSAKMLQKNEPNVSYICSRYYRAPELIFGATD YSTAIDVWSLGCVFGELLLGSPLFPGESGVDQLVEIIKVLGTPTRDQIEAMNPNYTEF QFPQIQAHPWSKVFRSRTPPEAIDLIAKMLEYDPIKRIKPLEAAAHPFFDELRQDPSI SPLVLPQNVPPPVLFDFTWQELKSVDVATRDILVPKHARTATNWPDVVGAEAGGGGGG PPPVDAPADSEQRE H257_13830 MASLVVARNLLRRSFATNIYVEGIHWRTDAQRLQQAFEPFGPIL KVSFAPTQTENIYLTGCVSYKKADDAFRAILAMNGQELDGRLLKVDYYDGTLDD H257_13831 MWKLTPLTSRAMPKLPLAAAATTTRSFQSKTFQDHVQTATMSDR TEQILAGGRHLFPLLPKAFAGVKQIGVVGWGSQAPAQAQNLRDSLKGTDIRVKVGLRS NSSSLPKARAAGFTEESNTLGDQNDVLAESDLVLLLISDAASVSSYKDIFARLKPGAT LGLSHGYLLGHLDSVGEAFPPSINVVMVAPKGMGPSVRRLYVQAAQNNGGTAGINASV AVHQDVNGRATDHALGWSVALGSPYSFPTTLGEEYKSDIYGERGVLLGGVYGLIEALF RHYVHQGKSPAEAFHDAADSLTGPLNTLISHHGLLAVYDAFEGADKEAFETAYTSAYH PSREVLEEIYDEVASGNEIRSVNMAVDRQARGFPMLATLEHRWMWKLGDELRRSRDAS KLKLHPTTAGMYVAMMMAQIDVLLSHNHGYSEIANESVIEATDSLNPFMHARGVAYMV DNCSMTARLGTRKWAPRFDYTLTEQALSVGGDSKTPLEKKELLAKFTSHRIHDVLNTC LKLRPDVDISVV H257_13832 MKSTASVIMDAEAAIRSITLEDSSHVLSDGRTIHYHVERQIGYG SFGAVFHVVVVETGEVVAIKKIFQDKRIKNRELQIMRQLHHANIVQLKHCFYSHVDNS DDLYLNLVLEFVPQTIYGVVCQMKERRARLPMIYVKLYVYQLCCALGYLHSLGICHRD IKPQNVLLHPSTHVIKLCDFGSAKMLQPSEPNVSYICSRFYRAPELILGATDYTTAID MWSLGCVFAELVLLEPLFPGESGVDQLLNIIKVVGTPSRADLEAMNPKHTDFRLPRVH PRLPSVFPPDTCPPLALDLLQRMLTYSPARRLHPLAAAAHPFFDELRQPQACLPNNVD MPPLYQFTLQELSQVDVATRECIVPPHARNTWNWCRWPERS H257_13833 MTAPPTAVAITEDFLLEHVGVYDIVGTKELMLRDEGIDRLDQRC ATQLVSLELLSLSHNKLQSLEHFQHLVNLVELNVNFNQISSLDSLQCFGLQKLYAANN KLTSMAHLRSFPHLVHVSVFGNQLGDLDDAMQTCRHLLKLRGLDLDGNPCARVKGYKY HVLRRLPRLKELDGDTIHALDRELMHDQQQHSLVPGFRPSTAPPAPPIVSNSASLRHL SSRSSLVDNGDSLRGPVHLFRDDFLNNNPILLEYLAQGVDDAHNAAHDYSPTSELRST CPDAVAALATASTFVDRMRLANPDPTPDALVVDGGGRTPPCPMATPTPVATHSIVDPS DPHATIRKLLKHIEQLEASVDMYKAAASDSAVTSLVDEITRLRIENNNIPILQAEIHQ LKATIRHAPPNYDDDDNQQPGTINDSSKSVKALQAENAALTRQVKKLRTMLAARQATD DNHGPIKQSKEEILDEAAAVDVELTHLIMQNEISLQVMRQTIQKTKLDMQQDRVARLT GQQRPSTSAGVLQTFAGPRQSSVPSSVSSSAGRRQLHTSAGPRPRSKGAGCRVSSKKT PPVPEDSPTDQVNNNTGCPDLLVLHS H257_13833 MTAPPTAVAITEDFLLEHVGVYDIVGTKELMLRDEGIDRLDQRC ATQLVSLELLSLSHNKLQSLEHFQHLVNLVELNVNFNQISSLDSLQCFGLQKLYAANN KLTSMAHLRSFPHLVHVSVFGNQLGDLDDAMQTCRHLLKLRGLDLDGNPCARVKGYKY HVLRRLPRLKELDGDTIHALDRELMHDQQQHSLVPGFRPSTAPPAPPIVSNSASLRHL SSRSSLVDNGDSLRGPVHLFRDDFLNNNPILLEYLAQGVDDAHNAAHDYSPTSELRST CPDAVAALATASTFVDRMRLANPDPTPDALVVDGGGRTPPCPMATPTPVATHSIVDPS DPHATIRKLLKHIEQLEASVDMYKAAASDSAVTSLVDEITRLRIENNNIPILQAEIHQ LKATIRHAPPNYDDDDNQQPGTINDSSKSVKALQAENAALTRQVKKLRTMLAARQATD DNHGPIKQSKEEILDEAAAVDVELTHLIMQNEISLQVMRQTIQKTKLDMQQDRVARLY DLFCRPIYFLSYIYIYIIY H257_13834 MPAAIMQSNNNDEHDIVVANQTQGGSSYTARLMDDEAIQSLRRD LREYEVNIARHGHLQEYVASKPVQVSRIELLQSTSPGNTYDPPTTPSTHGTPRQTSRR QHPSSVIRHRDSFATAMVKHHLGFDPLMKTDDDIENQDITPIAAPATTGDALLLPCRP ASATSTSCQTTLPSKPTSCRPATVNVGKGEGARQRLYTKVHSEEKASLQGLEAQYFAA KDMLLRHMDRWGVHSRPDGDVSHSVFAKLSKFDEKVGIHHPEDADHRKHPRSSRQPPP VTSVLLPLMSSSATTPRQPHKQVRIHQN H257_13834 MPAAIMQSNNNDEHDIVVANQTQGGSSYTARLMDDEAIQSLRRD LREYEVNIARHGHLQEYVASKPVQVSRIELLQSTSPGNTYDPPTTPSTHGTPRQTSRR QHPSSVIRHRDSFATAMVKHHLGFDPLMKTDDDIENQDITPIAAPATTGDALLLPCRP ASATSTSCQTTLPSKPTSCRPATVNVGKGEGARQRLYTKVHSEEKASLQGLEAQYFAA KDMLLRVRPYIVTGPHNCDNVIAWMCELTWLG H257_13835 MLRQLWVAVGAALCVVVAATDHVHLRNQAATCTSVSVVGDATYC IDTATICGGTVGACPKQGDVASADCLPTLKSYFRGDGSTAECIAPVDAECKAIPSGVL GCVFPSTISTTSPPHSSSLPPVPSTTSIDPTTIPHPTDEAVKVCSEDWGQCGGQSRTQ RFSNCCHNATFACKAFNVYYSQCLPTVSTS H257_13836 MVGSFPFSPLASPHSMTMFALVVLAVAFVCVATSSSSIQANAYA SPVQRALDVPVAMANDVARLTTLLATLSQLPSASEQDRAIQDARPVFIRVDKDKLMQL LKDVPEAVKDRVVVASLQANDPSSTSSHDHGFVAAISPYFGSGMILGLIVLVLALVFR AFNPYRALASDAAIIAHVMDKLQDEDRHGSSQDATPDASTPTSTSACLGKPLPMPTTS IAVV H257_13837 MSKPFPWLRVTQLTLGWLPVAIAFNAVGLSWGRVQGKSMQPTLN RDYATTTKGDTVLLDKFSVQMLHRLVRGDVVVLKSPTDPGELLTKRLIALEGDWVEGR NGKRVVVPPGKCWVEGDNEDVSEDSNEFGSVPMALIEARVVAILWPPSHVAKIPNALP PNRVL H257_13838 MKPTSFLVGLAALVGLASAECPGGCSGNGVCGPRDMCYCFKNYA GNDCAQRVCPFGFAHIDTPKGDLNMDRDQKTTGWILDQSQVYPYKTYEWFNPDAKTDE AHFYMECSNMGICDRATGICGCFPGFEGSACQRAQCANNCNGRGVCKSISEIAATADA NGKVTGNPGGQVATKYNLWDANVGHSCVCDPWFTGGDCSRRNCKVGVDPLYMAAGFPV LETFIIYTGIVPASTHLDPVNSWYRLRVFDNYGAGYLTDRIPIYATADGAKAVEAIEN AFLNIPNDVFSSIDCELVGTAGTLGQGVETAAVASEEGTVVVCQYIDNPGDMRLPEVA DSRFAITGNVVQTTATRAFVAAGDRRGENREWITTPSVFAFDDTTSSTTVLLIKPADP TTTPASVAPINTNSLIKIRDRHLLVASVQTTVSITVLWPYTGAAFADYSAIFYSTSLT VAADATAKIVAWAVGSDTFEIDVDPTTLAPGSRIFYHNVHYFVRSISLTTTPKTVTVD RKFNGQAADGTAVSSATDDLFIVSTPNPATGFFDYVSECSGRGMCSRDTGICACFKGY TDDNCNNQNILAF H257_13839 MPPPRVYATEPKRRKWTWAHGRKWWRVISNLLAIFLILLTGLTV VVLLAKGMFFSRLASPYFQTSTDWKPYNQTCRLSPDGFVASSCSAEEVAFTLSPEAWH SIGRQLAADIQVPSATVAAFVTTCVIGTRREWVRVAMLVGEFGFPQCLPVGEQVILGM ALLETATTATYPDGAYLLSSFSGMKQTHNMTELALSDGTVAMAFAPMVKTLVSTDGVT SMAHRRQPNYRTTLNSLNQRYLMEMISVAEYIDISSVVSTQSGWSVGSRNRFVGTFAW DTQHKASNYKELLVFQIAIALAALCLLANDGIITLEGLSGLLKDRPVLTYDLFSALER RKLLLVFLVWAMMFSPLYADVLRYLHLVAGNGPWDLSLIMVSSLFAWSWMGVLTCVQH VPCPVAWRHRPLAYSAPVFVNTNLALFLGLQMAKDRGFVEFFAFWADAHPLLGIQVHS VTMNAGAYGLDGTTPVIYKLLPDIAMCLGISWVVSVISAASSNGWDCRLDTAWTAHNS FLQSVGVPRWITCLSLDKRNAIPIGKDKLYCKPSTLVLMGYTSVHSAAVMSMNTNARE ENHAMEHFVLGIYDLIRAIVPVLRRRRPPRVHGTIQHNAFLSAKSTVKLDATRTFVYS RGECCG H257_13840 MDSSTRALVLTVTQYWKGFDLDSKRVMLDAQGVSMQEQKEHSLK SRKALAEHTKKFRKLVDTDKVAAMPSLLKAYQEEIDTLTKRAKYSDNSFFALYKALYE APDPVPALDAALLLESTSPAPSSTDKTQSIDLVAKLRRELASYESEFASLKNQDITIR NLEAKLAAMEDNMERHVEDKVHAQCSDLENTLRLREADMALHAAQADKCLHQAREARD DALAQLDLMRSELFRAKQHADHVHAVHASETQSLLTDLSRFHGVELENQRLKDQLRRN RHPLTSDTNSSSNLAYQQNTAVSTMQWELEIAQKDAVIAQHEREILRLQDTMAGQTSH WTAQVTALQCQVTELSDRPTVAAYEQALQAHQQVAGLTSVEASYSTDKGLNAMISDLQ AQLVAQKHVHESTVKQLELANAATVSRHVSVIAQLESALDEALDTTTRKDMPSSILGD VLGSSNRDKDAKLVAIVRQQRDRAKDKLKHVEAEWHRAVAAAQAASTRLRQLEVENVD LVQKMRYVSSSSNQRGDLETGKHSSSLYEARMNPFDQFKQMESAARVAQLNPLDKIML VSARLILSHPFTRMGLLVYLVVLHSLVMGTLYLSMHLCNISNHT H257_13841 MALVQGVWEQWRPDATVTCVAASCRYVCVALEYADCVVLKLWRT SSSPTTAHHFTPQTSRELTGHADRVGCAAFQGDLLCTASSDCILVWHISVAGGGLTAT RHMLVSSPRCCVNVVEFDASGGLVALAYHNQVEVVTVQSKAVYITLEGHLAKITAIQF DPQQPHVLVTGSEDRTFKVWDLAEQCLRYQSAVLSAHSILAVAIHPTTSDICIGFADG TVRIYHHFATELAALAIGAHIHKLEQHHHVIAFVDATLAATQNVVSSLPPWARSQNAT ASAMARHLLAASSSRNLLPPELDAKSTPVVEVACTILSLHYVVLAAELELQSVLPASR QVLLVGTTNHVMCVDALSYQLTTVHSFQAHAPVVVGMAASVAMTTAAAPSSSFPSPVE DDPGLINMWISSAFQPCITRLQVTANSKAAAFNMTEPDGSNYSSISRNNQSESLSMVP QTSPPKTSILCLSPLLLQLQDVSKHSKVQGDHPVTFHSRIKSSGYGSSSQQRKPSSKF AACVKTSSRTKNIHIASKSSSFPSYPMDSHRLTRLDSSKTRLKWHQGCINHVAYTADG TSLASAGNDRLAQVTSAGTLKKPDLLVGHEHHVKTVRWSLSGRYLVTASTDKTARVWG VPCDVASLTLPSTSGSDICDAAFYYMDKFVVVAMGNKVNVFQYVVDDKHAQHVNTSDI ARLENHSRAKKVAAYHLDAVKAITSVTCANATLSHLLVVAASDKSIRIIDVSVGKTAR VIQAAHATRAPHTVVIPQASAYVSHSPDVSNLVLSAACDNAMHLWDIRADNCVLRFGE HANRVHAVGCAFSPCLRYVAAGSEDKVTHLYDLRTGRTLDKLHGHTDVVTAVAFHPVK PWLCTAAADGSVRFYGPAND H257_13841 MALVQGVWEQWRPDATVTCVAASCRYVCVALEYADCVVLKLWRT SSSPTTAHHFTPQTSRELTGHADRVGCAAFQGDLLCTASSDCILVWHISVAGGGLTAT RHMLVSSPRCCVNVVEFDASGGLVALAYHNQVEVVTVQSKAVYITLEGHLAKITAIQF DPQQPHVLVTGSEDRTFKVWDLAEQCLRYQSAVLSAHSILAVAIHPTTSDICIGFADG TVRIYHHFATELAALAIGAHIHKLEQHHHVIAFVDATLAATQNVVSSLPPWARSQNAT ASAMARHLLAASSSRNLLPPELDAKSTPVVEVACTILSLHYVVLAAELELQSVLPASR QVLLVGTTNHVMCVDALSYQLTTVHSFQAHAPVVVGMAASVAMTTAAAPSSSFPSPVE DDPGLINMWISSAFQPCITRLQVTANSKAAAFNMTEPDGSNYSSISRNNQSESLSMVP QTSPPKTSILCLSPLLLQLQDVSKHSKVQGDHPVTFHSRIKSSGYGSSSQQRKPSSKF AACVKTSSRTKNIHIASKSSSFPSYPMDSHRLTRLDSSKTRLKWHQGCINHVAYTADG TSLASAGNDRLAQVTSAGTLKKPDLLVGHEHHVKTVRWSLSGRYLVTASTDKTARVWG VPCDVASLTLPSTSGSDICDAAFYYMDKFVVVAMGNKVNVFQYVVDDKHAQHVNTSDI ARLENHSRAKKVAAYHLDAVKAITSVTCANATLSHLLVVAASDKSIRIIDVSVGKTAR VIQAAHATRAPHTLKSYGHERR H257_13841 MALVQGVWEQWRPDATVTCVAASCRYVCVALEYADCVVLKLWRT SSSPTTAHHFTPQTSRELTGHADRVGCAAFQGDLLCTASSDCILVWHISVAGGGLTAT RHMLVSSPRCCVNVVEFDASGGLVALAYHNQVEVVTVQSKAVYITLEGHLAKITAIQF DPQQPHVLVTGSEDRTFKVWDLAEQCLRYQSAVLSAHSILAVAIHPTTSDICIGFADG TVRIYHHFATELAALAIGAHIHKLEQHHHVIAFVDATLAATQNVVSSLPPWARSQNAT ASAMARHLLAASSSRNLLPPELDAKSTPVVEVACTILSLHYVVLAAELELQSVLPASR QVLLVGTTNHVMCVDALSYQLTTVHSFQAHAPVVVGMAASVAMTTAAAPSSSFPSPVE DDPGLINMWISSAFQPCITRLQVTANSKAAAFNMTEPDGSNYSSISRNNQSESLSMVP QTSPPKTSILCLSPLLLQLQDVSKHSKVQGDHPVTFHSRIKSSGYGSSSQQRKPSSKF AACVKTSSRTKNIHIASKSSSFPSYPMDSHRLTRLDSSKTRLKWHQGCINHVAYTADG TSLASAGNDRLAQVTSAGTLKKPDLLVGHEHHVKTVRWSLSGRYLVTASTDKTARVWG VPCDVASLTLPSTSGSDICDAAFYYMDKFVVVAMGNKVNVFQYVVDDKHAQHVNTSDI ARLENHSRAKKVAAYHLDAVKAITSVTCANATLSHLLVVAASDKSIRIIDVSVGKTAR VIQAAHATRAPHTVRRYM H257_13842 MNSFATTIHVASRQDLTPVLPLYKKWLPSSHLTLISQWAKVAKV ALGVFCTFLLVVDVSSNNWELIDYVGDAKHLLTPLLAIDSVDTMQDRFTFCDGGAPSD VSQIGQFHINISLAQIQARDSNTFILGTTKHLIQDRANDICGEIVQSYPIKDPTAKSV RLGTVDDDITFARGTALTHWFGNTQSSPQATDGMNETQLRALGYVPARHSTDLRLMTP LVLPPPGQVTAGSVSMYRFFMKAFCSGCVPGTELGLDTCVIEYLYNDTTNTLEITSSQ AILGGSHELGFIFQRRGGPILALYVRFVMVLLVIAVYTTSQKTVQWVDLTQQTLPQRL LRLVAPPLYRQPCFVFDLSDICFNSDIFVVLYSLTVLADEEISMVYSRVLSHWYTNSG LDPWLQLRLMSMTVRWLWLNCFLLKAAKWMWNVASIVQYTGDSSVMGWLNFSSVTWLY LGVLVLFVRNEFIEFSNSVIMDLNHATQNLDAVSVSFLDSWYLRAMPGLAVVLVINVV AMLALDRAANRRWWRVFVKSSVGRQLMFNSTSIVAEVRGDVVEHLGYTGVTMKARALA TFRWFLSTHLTCFGLAEHPKVMRGLGAFKPRRISADDGTSSAETVDDTSSGNSRRRVG SSTSQVTDDLVQPSPSEITMTQHLYILVQDRDGHVHVIDGDKREMQALNVEVKILRDA NVLLG H257_13843 MASHTEDERVTVLCLNLSQRCVDHELTDSRHMQCRRAVGESKLR GNLVWAFDGQQRREQVLGIAYVVDDVPVVHGHVDAKQRQAKHPQSDFAPCCKDLDVLP VATW H257_13844 MDSPPSTSHQFKAAGQRKKLPGSGGMGSFQMEGEADDMDVHQGS LPVTRPDFLVHTTFFNNFQDDFDDDDLM H257_13845 MLTNRSIVACVCLAAVALVPGTHAMNRDQLMNMVVSQHKMTKGT THDDNPNVNITTTAAPNATIDDTPRIVITPAPTTTLLVPDHLEGETPEPTPKPTTTTP TLTTTTSEPTSTTTPAPTTTIAAPTTISIDHHEDTPAPTLASVAPTPCPFQVSVVGDA TYCVDATPCGGVGTACPEANATAWGDCHSHLASYRGDRCVAPWNSTCDVIRSVGGVDL RGCTWVRVPNTQNNNEQTTGRASSAGTAAGGSAPLVYGMSGLALVLAVVAVAMATMKA PQADEVESVLTVNATAEEESKSQEEQDDEAAEADAKHLSHDDGAVVEV H257_13846 MAKAKLLGISAVVVLVASVAYHFQSTAIMRLDTMKLKNANMSLQ GQTALVVGGTAGIGEGIALRLAQAKANVVIAGRNADRGKSIVRNMQTINPSGTYRFLP VDAQLIGNIRGLSAEVPHLDKLVLTQGIATIQGFTPTSEGIDQKLALHYYGRMAFIEE FLPTLRQSTHSPRVLSVLSAGVHSPYANYTNDPSLESSYSLKNAADAAGFYNDLMLDA YSAANDQIAFGHAAPGFVATNWGTEMPWAIRMLLKPLKYLLAKSKADCAEFMTDFLLR EDVAGGQLYLTDAVGEPAAVTTAHTPAAVAFLAKHTQDRLKYVQ H257_13847 MTAGRRLAFLQQKNGALRFPGQTAFLVGATSGIGEAIARRLAKA EFNVIIAGRNARRGAAIVKDLKDTFHGGNHDFVPLDAQLIGIATLQGRTLTSEGIDQK MALHYYGRMALIQEFLPRLRQSTQSPRVLSVFSAGVHKPYDGYRDDPDLRHSYTLQNA ANAAGFYNDLMLDAWSQDDANAGVAFGHAAPGIVATNWGTEMPWAVRMLLKPVNHLLA KSPDVCAEFMCDFLLDASAFPAMGGVHLIDQHGSAAQKTAAHSPDAAAFIHHHTLAVL NHVEHPHRPI H257_13847 MTAGRRLAFLQQKNGALRFPGQTAFLVGATSGIGEAIARRLAKA EFNVIIAGRNARRGAAIVKDLKDTFHGGNHDFVPLDAQLIGGIRGLSHQLPQVDKLIL TQGIATLQGRTLTSEGIDQKMALHYYGRMALIQEFLPRLRQSTQSPRVLSVFSAGVHK PYDGYRDDPDLRHSYTLQNAANAAGFYNDLMLDAWSQDDANAGVAFGHAAPGIVATNW GTEMPWAVRMLLKPVNHLLAKSPDVCAEFMCDFLLDASAFPAMGGVHLIDQHGSAAQK TAAHSPDAAAFIHHHTLAVLNHVEHPHRPI H257_13848 MLNRALRSIVRPQRNRGSQLHRCHGTVVSYYDSQSGQHVTYTDA IHIHGLHFGSLDEVTTSVQGLDSITATHANIKTLPLEHGKPVYLTYPPWTPSSSSPPL AVNLSCTSPREDWNDVLAQCAAATKLGLPIKATLAHAFASSDVTIQLAGSLLADAGVG IITLDDSVDQLADEDNLLEAFEALTWCDVVGLPMKQRIGFRGSAHTSEDLLLLAVQEH EIKHFDVCLQGGVHAVTPSHLAQVLDTAGVPHHLVL H257_13849 MAMLPRGTLSSSVSSGIRLPPTVSSSLLSSPFLSFVVVVSVSPT LLFGVPEHDAVSVSWYRARRLRNTTTTSTSRRHATPAAPATAMARPSPAFNMSTKSLK LVDVVGRTCVTCSSGCAWKAAFCAGVWQTVTPWSTVIQLVMSPLSLTRPHVVSSKWSL GFSSKCKMYFPATCFSLSRLHVMCVTTEYTCGADGAPPSNFSKDTPTQWNSSHTFVRL YAANARYDAFASAPE H257_13850 MADVCWTCSTFWLAKSVFCSGFWQMAVPLWMPIQLVTIESLTWP HMVSLNESTGISSKCKMYLPPARSSAAALHLTRATTEYIWPLLGKKPVNFSNDTRSTW NAPHEDPVYVANASTDAWASASGRVSSMPIHCFFWVSWVGTADVGATGGLVVAWRG H257_13851 MASPKSPAASSAASAQGPPPTNLAASPLLSTPTFTPVAVTTTLA STTPGLPKTATTTSAPVTAQLTHSLVANGSSITLPSTTITSPKPASTTARPSTSASTT TAAPSSTPISPPSARTPTPSIITSARSSPPSVVKVACMTDTYECRSGLLVARDPSNDC KFYPCRDADNETSPPLSTAPNTTAAVDTTSFPSNITHRKFHDFTPSSALNWTVADSTI PAEVSAAALRAFLAYNDSSVCDHLAVGITSAERADLPSSRALFHVVMDVSCTLNTTNQ TSGVYVLELLTESGPPSVSLTQCGVLANGTLSNWLTQHNHSTACQTPRERLEYIHQPL EHTLHLPKEGSTAHSSHGMFSDLAALMEKPTVLYLFVASVAGIVLLAFGFAVVVGRRR IAAMKRRQMERSILEEVATGRIEERAREAEARRRQQEEEVEDEKTPTETDALDYIGKA HRDKNERRDGGQSIFTIE H257_13852 MVVSAEVQTEQSQLHALQKLDEQCVQFQLQGNYVAALECMERAL VLRRHFFGLDAVEVRESCKAVAEMCNLLSMTYLQQENYGVTLELLKKAEILTENHPQE RATTLNNMACYYRRIGKLHGALTCLKRALAIELHLNKLETTADTHLNLCAVLSTMGKH AEAVEHAQSALILLQDELFSAGKDLTPLDANKDRVSVLCIAYHNLGVEYEYLKQYHAC VSSYQSGVGIAEQYLGASHGVTITLTNSYVAARRTIHTKAKNELMAPKDSSPKKGAAS PPKHTREQKISPKANKHPRNVVSSPRSALNARNDLPPLDVPKSPRTPSRHPPDDSTAL PLVRHQCLEPLKPRHDISPTIPPVIMISPPPASTDEEGSVVVPLVHVQPPPSDIETSP HTEQQRQLDAAVLSSYSKQDLQASPVLAADDCLTTGEAAHAAEGRAVAESTATDGIVD IATDALTQVSSNKADNAAATLEDEGAPITTTSDLPARQEPTDSATKVEDTGSSVEGGT SSDILELTTAGVELSPEKPDVTRVEPPMQLDATDQPDDCVPGDDPLRPLDTVETANEA IAVNSKVPLSAQSQLTLDTVETANETIAVDSKEPLSAQSQLTLDTQAPATEIEPTATE VSVQVPQVTDTSTPVEDAAEACLPAHDEVLPAADVVASINGQVAPQVARSTDSHDELA GQVIAESAGGEVAPDTTTTSASLVDTTPCSDIIMAAVDEPVQGVHSVDTVPLGGEVSE IVAKLVSDVVASTKCPVIGAAAASDGTPLACAAKNDPPSTDNNPTTATDIPVAASFDD LPVNFVPDTPGLEDGSRDTSTSAAPPVAAEDKVDTSDLSTEEGGGQESIAEPMVPVQD AIEVAIQPAPLTDIQAQDEPPLRVVSATGETPEVVTTTDEIAEVVEQINRVPSTVDAG LFNEGVISGGAVEGVVQLPWT H257_13852 MVVSAEVQTEQSQLHALQKLDEQCVQFQLQGNYVAALECMERAL VLRRHFFGLDAVEVRESCKAVAEMCNLLSMTYLQQENYGVTLELLKKAEILTENHPQE RATTLNNMACYYRRIGKLHGALTCLKRALAIELHLNKLETTADTHLNLCAVLSTMGKH AEAVEHAQSALILLQDELFSAGKDLTPLDANKDRVSVLCIAYHNLGVEYEYLKQYHAC VSSYQSGVGIAEQYLGASHGVTITLTNSYVAARRTIHTKAKNELMAPKDSSPKKGAAS PPKHTREQKISPKANKHPRNVVSSPRSALNARNDLPPLDVPKSPRTPSRHPPDDSTAL PLVRHQCLEPLKPRHDISPTIPPVIMISPPPASTDEEGSVVVPLVHVQPPPSDIETSP HTEQQRQLDAAVLSSYSKQDLQASPVLAADDCLTTGEAAHAAEGRAVAESTATDGIVD IATDALTQVSSNKADNAAATLEDEGAPITTTSDLPARQEPTDSATKVEDTGSSVEGGT SSDILELTTAGVELSPEKPDVTRVEPPMQLDATDQPDDCVPGDDPLRPLDTVETANEA IAVNSKVPLSAQSQLTLDTVETANETIAVDSKEPLSAQSQLTLDTQAPATEIEPTATE VSVQVPQVTDTSTPVEDAAEACLPAHDEVLPAADVVASINGQVAPQVARSTDSHDELA GQVIAESAGGEVAPDTTTTSASLVDTTPCSDIIMAAVDEPVQGVHSVDTVPLGGEVSE IVAKLVSDVVASTKCPVIGAAAASDGTPLACAAKNDPPSTDNNPTTATDIPVAASFDD LPVNFVPDTPGLEDGSRDTSTSAAPPVAAEDKVDTSDLSTEEGGGQESIAEPMVPVQD AIEVAIQPAPLTDIQAQDEPPLRVVSATGETPEVVTTTDEIAEVVEQINRVPSTVDAG LFNEGVISGGAVEGVVQLPWT H257_13853 MDVNDSAVLQEKDRVIVLLNETAKAFQFQVASLQGQLTLATNQL AQRDMVVHDLQQHVQDLKNASADQNSTIAGLQSQLALQKATLDEVEGQLKTADADFEA LRATFEAKDTDTSDKARECIKLNELIVRLQNEASQLNVVIRRQDKQLQEKATATVTTD ACLSARDYSENQLISLRDDAIEAKAKELKLVTDANDGLRAQLDALEIEMESLQAVLTS KDVELHRNAKRIDRLDRQVATLEAAAKQAQGRELAMELSTKQNTQLLQCLQAEESKSE QLKQQVQALTTDLAHVRSQATQIRSEAAVVEIDVELKTKALERQSQNLTTSLEKLQVE REGIREELSVTRLKARVEVEGMQGELIQRRTKQYELTLKLHETESQLHTLRTTTEGLD EELQATRSRMQELDRLYADAKRWKADMTATLDAMSQENSHLQRTLAVDTKRFQVESAA LHDQLKELERFVQTQAIQLGKADDAKTSAAQDMQKMNHQIEGMQERIHGLVAEANAET KRRMGVEMELTIAHEQLQQLQANSQSMLAGCYVEHKKLQDGKNKLKQLLQQLEAECKR EQLGKSQLLQASYNILGHHKGHLVDCWLSDADLPILVRYFQAIDSPLDVLDLRSNRIT DQGTKHLLLLLQPRRALFMSINLQENYISPQGIRLLATGMEGMGYSIVIQDGRVEATD TSGRVLIVDVSKNKDAAVLVFTPCSVKLAKVKSLKPASVSKPDPLQEIYGADLVQSMV LKKDYLPPKNQSPLLRSPRCQSLPKL H257_13853 MDVNDSAVLQEKDRVIVLLNETAKAFQFQVASLQGQLTLATNQL AQRDMVVHDLQQHVQDLKNASADQNSTIAGLQSQLALQKATLDEVEGQLKTADADFEA LRATFEAKDTDTSDKARECIKLNELIVRLQNEASQLNVVIRRQDKQLQEKATATVTTD ACLSARDYSENQLISLRDDAIEAKAKELKLVTDANDGLRAQLDALEIEMESLQAVLTS KDVELHRNAKRIDRLDRQVATLEAAAKQAQGRELAMELSTKQNTQLLQCLQAEESKSE QLKQQVQALTTDLAHVRSQATQIRSEAAVVEIDVELKTKALERQSQNLTTSLEKLQVE REGIREELSVTRLKARVEVEGMQGELIQRRTKQYELTLKLHETESQLHTLRTTTEGLD EELQATRSRMQELDRLYADAKRWKADMTATLDAMSQENSHLQRTLAVDTKRFQVESAA LHDQLKELERFVQTQAIQLGKADDAKTSAAQDMQKMNHQIEGMQERIHGLVAEANAET KRRMGVEMELTIAHEQLQQLQANSQSMLAGCYVEHKKLQDGKNKLKQLLQQLEAECKR EQLGTYNTVICTLLAHDS H257_13854 MAVIDESSTLIREIQLPRRPSNAAELEYVDHVGLKEWTEVEDLA MSRGEVGVPDDIDSEYNILGFKFAFSWATLWAYAGPGWLMSIAFVDPGNLESDLQAGA YGGYQLIWVLLGATIMGFFLQVLSARLGVVTGKNLAEMCHLMYPRWTSRVLWFMAEVA IVGSDIQEVLGSAIALQILFKLPLWIGCLITAVDTFTFLLLHHFGVRKLEAFFMALVA VMLVCFCTNAIQGNPDTTQVLQGFVPSIPKRYATTQAVGILGAVIMPYNLFLHSGLVQ SRSVDRQDGRKLAQANKYFAIEGAVALFVSFLINLAVVCVFAQSFFSLDCLPSFDIHG INTACLPLGASDSLIYGRCDLAGTPGVCQEIGLSGAGIALRGVLNSYSETIWAVGLLA AGQSSTMAGTYAGQFVMEGFLSIRLPPWKRMALTRAVALVPALSVAMWSESRPSESDS MNEFLNVLQSVQLPFALIPILHFTSNPVVMGTFANGRTMRLVGWAMTLVVCFVNIYLV VDKVPLATLAPLAQTATVGGGLAYFAFLTYLVALEVKRLVAEK H257_13854 MAVIDESSTLIREIQLPRRPSNAAELEYVDHVGLKEWTEVEDLA MSRGEVGVPDDIDSEYNILGFKFAFSWATLWAYAGPGWLMSIAFVDPGNLESDLQAGA YGGYQLIWVLLGATIMGFFLQVLSARLGVVTGKNLAEMCHLMYPRWTSRVLWFMAEVA IVGSDIQEVLGSAIALQILFKLPLWIGCLITAVDTFTFLLLHHFGVRKLEAFFMALVA VMLVCFCTNAIQGNPDTTQVLQGFVPSIPKRYATTQAVGILGAVIMPYNLFLHSGLVQ SRSVDRQDGRKLAQANKYFAIEGAVALFVSFLINLAVVCVFAQSFFSLDCLPSFDIHG INTACLPLGASDSLIYGRCDLAGTPVLRSSIIYFESQRYGRESAKKLGCRALELLSAG F H257_13855 MTASNVHEITRRLDALPTPGLLSISGHYWGLLAKTGFGWAMDSM DTFVFIYLGLAPGGWGTDLGISPTSQEAGLLGSAAFAGSLFGSFLFGQLADLYGRKPM FMVTLLVFMAGTLLCGVSDGFATLLVFRFLAGFGLGGELPVASALVQEMVPTSVRGRI IVILESFWAVGCMIAVLLSQEAGLLGSAAFAGSLFGSFLFGQLADLYGRKPMFMVTLL VFMAGTLLCGVSDGFATLLVFRFLAGFGLGGELPVASALVQEMVPTSVRGRIIVILES FWAVGCMIAVLLSFELVKYVSWRVIFYISSIPALYAIVIRLYVPESPKWLASVGRMDE AEAIVGRIEAAHGVVANKDDTKADVAAPELSIWTNLTQWERVGMLFQGEFLSRTIVLW TVWTGISFSYFSIFIWLPLLRASEYNINQSTWEMLFIVFWQLPGYFSAAYVVEVFGRK LTLFMYLIGSFASALAFGYVEASQWNLLITGSLMSWFMLGAWGSLYAFTPENYPTNIR AMGCAYPGGVSRVGAIAGSYIVPIMLGAGWGIKTIMWVAGGVPLIFIALVLLAFGYET RGQDLESCPRVEAILKEKATHYGIATPLQ H257_13856 MARAVSASTLRYEHVSWKNDALEIQYGVMKNDQDGHMSFARHVY ANPLNPEICPVLSLGVLLFTRGANLPGSPSLVFGYNAKEHFSTWLRNTCSNSEDDIVS MGLAISDIGTHSFRKDVASSLSNCPGGP H257_13857 MARPRYNHATDNWWDGKIGIWPFVEPVTAQRDSVNRKAGTLETN SITVTKDVYRTFLLDKVLPAIVAKWPRADNTIKFQHDNARAHVTPEDVKLKAALDTYK AVG H257_13858 MALQSCLVETLKLFGDNAYKRKGLLPQNVSRPRDVFEEAKVKLD GVASAKLDRVLAVELEEARCIDELAQALESIPQDDDEPGDIIFGPM H257_13859 MSGAAAVPSARGVALVSQRLDNLHGSKGGCGGISGYYLKLLFAS GFGWAMDSMDTFLFTYCLKPIKDEFQNDYNRTFSPHELGILGSSVFAGSFVGAFLFGC LADQFGRRPVFLWTMVVFLAGMVMCGLADSYGMLLTFRFVTGIGLGGELPVASTLVQE LSPKAIRGRMIVLLDGFWPVGCMFAVALAFELIKVITWRQVFFVSTAPVLIAIILRVM IPESPKWLASVGRNQDANRIIQDIEIAHGVYSYTAKLDPHVDDPTANVFSYRHLSTYQ RVALLFRGEYLKRTVVLWIVWFGIAFAYYAIYVWLPVIVSSRPGAFNIYGTSTSLFVI LAWQIPGYFSAAYIVERIGRKLTLVLFLFCSFASALIFGYVEPTEVNLMCAGSFMSFS MLGAWGALYAYTPENYPTNIRAMGAAYPSGFSRISAIAGTYVIPLLHEAGWSPESVMW LNGAILMVCCVILFLFGYETRGKDIDDVLGMGNPAELHSGLSDLLSPYPDLLE H257_13860 MADVRSITQRLDSLPLTGFLSISVHYWGLLFKAGLGWAFDAMDV FLFTYLGAATGGNGGWIKELQPTAHEKGLLGSASFAGSLFGSLIFGQLADVYGRKNMF AVTLLIFMAGTLLCGTANDVGTMLAFRFIAGFGLGGELPVASALVQELVPTAVRGRII VILESFWSVGCMIAVLMGFELVKHVSWRTVFYLSCIPAVWAIVIRLWVPESPKWLASV GRTAEADAIVTKIEASHGVVSKSDGDKADVAATSGDDAGWSALNPLDRLCILFRGEFL VRTIVLWTVWIGIAFSYYAIYVWLPVLRSKEKGGYNISGSTWEIFFIVFWQFPGYLSA AYLVEIIGRKITLVAYLFGSFVSALAFGYVENNQVNLLVTGAFMSWFMLGAWGALYAY TPENYPTAIRATGCSYPNGFSRIGAIAGPYVMPLMLDAKWSSTTIMWVAGGAPMIFVA LVLLAFGFETRGQDVEVCPRIEAYLKAKAGIAVPSKDTVATPGPDNFEMK H257_13861 MLLIFHIDWSPTSAMAASNVHEITRRLDALPTPGLLSISGHYWG LLAKTGFGWAMDSMDTFVFIYLGLAPGGWGTDLGISPTSQEAGLLGSAAFAGSLFGSF LFGQLADLYGRKPMFMVTLLVFMAGTLLCGVSDGFATLLVFRFLAGFGLGGELPVASA LVQEMVPTSVRGRIIVILESFWAVGCMIAVLLSFELVKYVSWRVIFYISSIPALYAIV IRLYVPESPKWLASVGRMDEAEAIVGRIEAAHGVVANKDDTKADVAAPELSIWTNLTQ WERVGMLFQGEFLSRTIVLWTVWTGISFSYFSIFIWLPLLRASEYNINQSTWEMLFIV FWQLPGYFSAAYVVEVFGRKLTLFVFLIGSFASALAFGYVEASQWNLLITASFMSWFM LGAWGALYAYTPENYPTNIRAMGCAYPGGVSRVGAIAGSYIVPIMLGAGWGIKTIMWV AGGVPLIFIALVLLAIGYETRGQDLESCPRVEAILKEKFGQYGIVSPVDDRKDSE H257_13862 MGVDQVTMDTFSALNTQDANLRASVCSSTCRSFVYKAHLALHIT SASDDTPAITPHHRTLCWLLDDGTLTWTTMTECVESVLCRAATVDDSDFLNERFFITT ATGEVYTCYSKCRLERDAWMHALLSTLHNNAPPSSPHDDPSDSMDRIVACSAVVHEQL ASWTSILTDMLASPYGMWKETRHWRRFGMAERRPYMDLQMKMTSSAEVDGLSLVIGLY VYHQNPMMILAILCQLARLMDTCPSQVEMYWPQILHWGITSHAVQSVNMQLFYLYFVA GVCRRSLPLAIKSSWECDAAKIDAVGDPSRYAAISLIQVYASMISLTDDNNNATAAMV DKLFVSNAPDTSKTHQILLQSLFTTSTSLLRNHPATNSTFGEWLAATSEADIARVHET WSTLPSFVDPLPVPSEEVPINDATASDMTSLRGRMVDANDMEDVMECLNEVQQNDKQQ DVSSLVIFQDTMHVVNSLIVASQNFKRQIEDPRDRKKHLPAVLTRLRATMPSHAMLPL DQPCWITDVLVNEGTVFSTKARAPTMVWFEGLHVTSISDVPTAMPELSPVVYLSEVLL ADILSPSSSPSSFSSRESSMTEAHLSVFDSCKVRTTDDFSIFTSMQSFADKKSRIRKE RKGVQPGWDVVPVIAKSLDDMRQEVFVMQLMHVFDHIFRCEGLGGLDQLWLRPYSIMC CGDNCGLMEVLTDSMSVSDAKMQYISVAPPGCVTASLVDIFSKRYGDPDSTTYAVARK NFVRSMAAYSLFCYVLQIKDRHNGNLMLHAEGYVMHIDFGFCFGTAPGGAFSIEGAPF KLTDDMIAVMGTAGMTAFQALLADGLVALRRHASRILSLVKITAHASPFPCFQGDVLT MCRRLKERLCADAVDDDWVRQHALALIARSAGSVRTKLYDQFQFRSNGYLV H257_13862 MGVDQVTMDTFSALNTQDANLRASVCSSTCRSFVYKAHLALHIT SASDDTPAITPHHRTLCWLLDDGTLTWTTMTECVESVLCRAATVDDSDFLNERFFITT ATGEVYTCYSKCRLERDAWMHALLSTLHNNAPPSSPHDDPSDSMDRIVACSAVVHEQL ASWTSILTDMLASPYGMWKETRHWRRFGMAERRPYMDLQMKMTSSAEVDGLSLVIGLY VYHQNPMMILAILCQLARLMDTCPSQVEMYWPQILHWGITSHAVQSVNMQLFYLYFVA GVCRRSLPLAIKSSWECDAAKIDAVGDPSRYAAISLIQVYASMISLTDDNNNATAAMV DKLFVSNAPDTSKTHQILLQSLFTTSTSLLRNHPATNSTFGEWLAATSEADIARVHET WSTLPSFVDPLPVPSEEVPINDATASDMTSLRGRMVDANDMEDVMECLNEVQQNDKQQ DVSSLVIFQDTMHVVNSLIVASQNFKRQIEDPRDRKKHLPAVLTRLRATMPSHAMLPL DQPCWITDVLVNEGTVFSTKARAPTMVWFEGLHVTSISDVPTAMPELSPVVYLSEVLL ADILSPSSSPSSFSSRESSMTEAHLSVFDSCKVRTTDDFSIFTSMQSFADKKSRIRKE RKGVQPGWDVVPVIAKSLDDMRQEVFVMQLMHVFDHIFRCEGLGGLDQLWLRPYSIMC CGDNCGLMEVLTDSMSVSDAKMQYISVAPPGCVTASLVDIFSKRYGDPDSTTYAVARK NFVRSMAAYSLFCYVLQDRHNGNLMLHAEGYVMHIDFGFCFGTAPGGAFSIEGAPFKL TDDMIAVMGTAGMTAFQALLADGLVALRRHASRILSLVKITAHASPFPCFQGDVLTMC RRLKERLCADAVDDDWVRQHALALIARSAGSVRTKLYDQFQFRSNGYLV H257_13863 MRPSTIKALYKVLLRDVRKMAAAPGFRLRESLVPEQWGHGTLYP AARDATSASSYLSGEEKARWTKLQTFLGPQKGGQDVDFVELVRLKFRSGNPTHLDDLM WAVQEVSHQRLLAESSSVTKTDDVLVEATGRYLPQHSDPSARRFRYTYRVRVLNEGVD AIRITGRHYIFDHGNQKREILPRHSPQVVGLTPTIQPGEMFEYASGVDLETIAGSVRG CLHAIRLHEDGSETEFDAIVAPFSLQPTVLDAMMLQ H257_13863 MRPSTIKALYKVLLRDVRKMAAAPGFRLRESLVPEQWGHGTLYP AARDATSASSYLSGEEKARWTKLQTFLGPQKGGQDVDFVELVRLKFRSGNPTHLDDLM WAVQEVSHQRLLAESSSVTKTDDVLVEATGRYLPQHSDPSARRFRYTYRVRVLNEGVD AIRITGRHYIFDHGNQKREILPRHSPQVVGLTPTIQPGMK H257_13864 MWFGVNPLRWNKPTAVSPRGHRSLKRHLPPQGSKEDSTSTTSPT NQGSSVHPPPTLCNSSSPDHTPVVPLQAPFSATPPPAPTSTRVLYGHTAGVCALLASD DRYIVSASMDATLRVWSRGSFHCYHVLKGHRDVVCAVALNATFLVSGSHDFTVGLWRA AADFRLHRRLDGHAGHVTHVAFVPSANVAVSAAEDASLRVWQCDVGLCLAVLSKHTSR ISCMLITPTAIWSGGADAVVSVWRVPLSTSTSTCAALLLGFFRVHTKTVQALVQCQQT VLSASNDGFIQTYDVVTLTPRRRLVTGPPWYMLACLHRNRQVVASAGDGTLWRCDLDG TEESNARLEVLPGVWIPHVQLHAASEGFLACVAASTLFVVDVRSWRVVGQCDTPHGGL IHSIAWLNASTVLTTGADGLIHCATFHLPHDDKQEKC H257_13865 MARTTVATGQPHFCATCGKFGASFSISRSGLLYFCSKECMRRRH PGRNSSTTMGGLNPICEIESTPMPQPTTSSCTLCSLGGGTRLDTSTTAAADDACIVST CPCGNLVGFWV H257_13866 MAAARHHRRMSLHEDDFEDALFEQLKQSLDVHECVHCRKSVKAA IPCHGHCGHAYYCSTDCLVFHSRTHRHQCLHHLESDASLHGVDSDDDDDDDDDDLPPF LNSAIDSILRQLQHEAVIPSTP H257_13867 MWGRVVRVATALRPAPRSALLRPCPSFQLTPLSCSLDTSIRFMS QQRSKKVPLNEEIRAHSVTVVLEDGTVRENVDKRTAVREAKSLGLDLVQVSLQPSEKP NGQPRVVCKMFDFRKRMHSAPPKKAQAEEPERKMRGDKDIHYKVDIEAHDEGVKTRRV VGFLEKGHPVNLVIEWGHRSEKRPKGMALYDRLLETIDAPYTIAKSKTTNNAIRARLN PQFKK H257_13868 MDLWSEIEKNMKWIALAAVVLFAIFSVVSSWGGGGGVSASASHI LVSTEDEVDDLLAQLLKTDDGSDAQLKLFADLAKAHSKCPSGSRGGGALGSFGRGQMV PEFDKVVFEEAVGKIHKVKTQFGWHLVLTTKRTDPTADKTTADDPAEADDKKEL H257_13869 MATLVRKKAKPKQRTHQELTDHPLVASGAPYRGNGGTSSNMQEG GIAHETSPIKIHVSPDDLLLMENFNGQSPVTPSAPEIDILRDSKHNEQLDHWEAIMMG SPMTPLMSMDPNHMRQPDEFSLTGENPPSSSETATAPVQLNGQSPDSSPSFLETQVPP VERKYTLPPASVTISSVADLLAPSKSSRLHLTAPVYPVLAQLKPIVLPQSHRVVAPQP YMERPLLPLQEVPRVPLRRFEHYDDHVHLRDLSLRHYEERSRAIETAPDDFYRLVEAY LHFDHALRQAHVQLLQRIADVDERRANVWTIDFESASTSALCGDLKTIDESVEYQTAS LNPQLQANLADALGQLRQLRTAEASLHLFDRALGFLRVELAVDVVVEGGDMGSIQTAM DTLFFFEKHLTTPRFMGTVPSSVRRGQLVLDKQAKGLVCHACGHVTAISSSAVRVVSC GRCDALGFVPHTTIAVSSKEFTAAIAQVRRSIQHWLTRCMAKLHQLSSTPHDENVAKH GENVAKHGKNVAQHGKNVAQHGKDEAVMPWLIAHLLHLPGLALERQWAVGFVHFAPPS AWTVATLDHFLAMMHLLFHPAVLPMQYAAAADDDVGVGGETSPPPDEWLLMELPSHGE LSLLDADYVLLWRQFPLDTALSHCMTLAPHDAYSRLLYLVNECTVVFEKFADYDQLVT SVSDALGKILVTASQTSHGSLFDQLFQIGLFGVVMARSPKVYTSLPSWAYHALSPSGQ WDALSAFFFQPSIPSSALKAYIPFTCFMNDHPSLRLRFYHEVSRNQALLAALGVLASH TPWSELVQTIVHELFLCYMATPDTLLDAHVRTLSGVCTAHPCALSQLLTLVCHHDTGM AVLPQLPIRLWHPTEADLGVFQAWLMLDHVHDPKSQLVRTLLDRMNWGYLDDQSQLAL HPTVHRHVALLLVDATVHHSTNVTWSSRLVAFDFAQWSWQLVLKLHFYSPRTYEPYLT LVRLDSDLTRHAMILRRYAKSLPKSHVVLGKAEHAMDSHSCISYVEPGTSTTSTTSTS TTLVHPEEEEDGVVPLAEMQPIVLYVVCQVTDHLYSTCIDKFAPLLSLVLDHNRPVAV LQVLENILPTLSQTPLNHTDVHTFVKNYLDNNADWRSIVRVLAKNWYFLPDLAKSTLV DQLLANDDTGGISQILPVIVSTLEQSVCVHPALLPALLGQLPSPSWMQSAMSTLIGFK SAVLQQPRTAFVNEVVQVIRRQYLHTNLHSNVLLVWLVVVMGVPNWSDAPVYRQLVDS VIECALVHRPDTAMVELEAPFRLYLDHMCAVFPTSSLSFVPTCVPPSTSSYYMSSVVF RGHPPNVELYLGFLSLLVEIRKEKELFKALGHIVLKSKLKVKSLDTLRAKGRLATELY VFGIDTSQPTLYGHLDLQFHSWHTLKLFKVASFVLQHRESSHPVDLLLWQLLFALYFA SVGSDCFGFLMLELHPHTRKALQTKCRRLSNAYSAQIGNRSKADELARTYAAMETWLE HPDVSTWLHQIDHLPRHYNTAQLKQVLGLSHALLHTADTIDMTSTTIWEDIAPFLWLP LCDFAYTTPATAAPLSSSDPPPPTPPPPSLCPSPLPFHAPKYVRFSPVVSIDTMQSVE LRTEPFHDRATVYADAVATLATLETEFLDHLNGLYQPKHRVVHTSRPCDGTSHEPCKR PAALHLEYTEWTVDADRVERIDQFHIQSAKLDLWTTDIPTVPSVGMMVDRSTSLGLTP LDVQVCYQILVIDQMVALLTQSPDQFQSMGVQWFHKLLHLDTKATRGFPPFQEVLWRS IKRLGATFVNADETTGLLRFMLQDCARVCLLADCFFPNQTPTRFVEYFASIMYQSEHL ESADRLELLSRFDIALWLDHDPILFDRDTLVSIVLAELVLGNAKLDILRMHAKMIHVL ASRYLDDHVDKIVCALLGVYDVHYASDLHAMRSYFEVPTTPPSSKALDVSMWHALLNI PPSCWSRCKVVPQLVDRVAQFVLHARTKASQAKQVKDISALLITSSAAYPIVTWHSDQ AILQPLCDLMLLWIKASPPDTLWPIATKLFEAFLTVLYTPGPTPDATTIVEPWPPTEE SADQVLCHALQATFTLYLDLPPDVDASARLDNIWQFYRRVLLPHASDALAGSYNAVLS RLPWSLWGISEDTLNEMKEGVVPSVQTTTATKSANFKYQSPLRPNVLLLVQTILAQVP WSRIAAHLADQPPHVMSSFYIKYHVLLCHVLLLVDTPSSSPPQKSYPLPRPVKVAEVE AICNGVSALLLHPSVLCVPPRTDASPRFYATFGLLLSLCGLQLTNGTASGDELKKAAA VLHCLSVFLHPLSPDWKQNFPAFESTMLGLVQAALRSVLDLVMLLHAQVDTLDRTFKA DHVTALYCDIFKLCNLPFISQASLVVDSTLSTSTSSSTSWMELEAKVSVAIPPSSQEC TMLSLDKCMPWSVVGWQLLWQFAGISKSLPAICVSVASVSVLSQLTERNLSCWNMSTW PQLKLPELSQDELVITSVKHQCWLTLLAFAKQQHFDADIQRQLHFSMRMNEWVEEIAA AAAISDQAKLVGVILALLARLLAMPGVAVTFKRDMVARMSKACWSMGDARQLSNGLDA VQRAMGFNFMNSFGKLKHSAELHVAMLALSLFMRVNSRKSAVLRLDYGAPLDVSKKTL KLIKTMEGMPERKEVVQWSIAFCQDPKRCLRDLDEFAKVLITELYPAHVWMLPLVKD H257_13870 MDAFIWQRGKLIGRGRYGKVYVGILLSTATMMAVKQVRVRDESG LDEIEGTFVPVEEESIESIMEEVRIGRSLYHPHVVEYYGAEQDGNIFNLFMEYLPLGS ISGLLKGFGPLDESMVAAYTYQLLQGLVYLHSRGIAHRDLKCANLLLSDSGCLKIADF GAAKESSSHAIPSTHTRTSIQDEGFHHSSSQDGGVGSPYWMSPEIIRADGRGSDGWTK SDIWSVGCCVIEMATGTPPWVQHTYIQYCYMVIMAACQSNFSNPLTAMFHIASDTAIP EIPPHVSHAARAFLTQCFIKDPSVRPTAESLLSNSFFASVPPFGPHYRWFNDMTKPLD GGSWWLDQHMQVWTYGVRGIGGWWLTTEDDGTWTWQPDMSAVAVEIATYWLSMARQVV HRNPETPKPRNPECPPWRTVRVVADYIAADDTELSLAVNDLLVVKDMDESGWWLGTDS RGGGDGWFPCTYVEWVDGPSAETGLGVVRALGEYEPTTDGELRLTDQDLVLVLEAPPD GWWRGRLVVERGEADGWFPCTYVEWLPMVVATWTSSKEDDDGGGLKVQRGDRVAVVTA DDENGWTLGLDLSNGQQGWFPTSYLTPPLTLKQQEDSEEEEEEYVVEYLDV H257_13870 MDAFIWQRGKLIGRGRYGKVYVGILLSTATMMAVKQVRVRDESG LDEIEGTFVPVEEESIESIMEEVRIGRSLYHPHVVEYYGAEQDGNIFNLFMEYLPLGS ISGLLKGFGPLDESMVAAYTYQLLQGLVYLHSRGIAHRDLKCANLLLSDSGCLKIADF GAAKESSSHAIPSTHTRTSIQDEGFHHSSSQDGGVGSPYWMSPEIIRADGRGSDGWTK SDIWSVGCCVIEMATGTPPWSNFSNPLTAMFHIASDTAIPEIPPHVSHAARAFLTQCF IKDPSVRPTAESLLSNSFFASVPPFGPHYRWFNDMTKPLDGGSWWLDQHMQVWTYGVR GIGGWWLTTEDDGTWTWQPDMSAVAVEIATYWLSMARQVVHRNPETPKPRNPECPPWR TVRVVADYIAADDTELSLAVNDLLVVKDMDESGWWLGTDSRGGGDGWFPCTYVEWVDG PSAETGLGVVRALGEYEPTTDGELRLTDQDLVLVLEAPPDGWWRGRLVVERGEADGWF PCTYVEWLPMVVATWTSSKEDDDGGGLKVQRGDRVAVVTADDENGWTLGLDLSNGQQG WFPTSYLTPPLTLKQQEDSEEEEEEYVVEYLDV H257_13870 MVAAYTYQLLQGLVYLHSRGIAHRDLKCANLLLSDSGCLKIADF GAAKESSSHAIPSTHTRTSIQDEGFHHRYTTSSSQDGGVGSPYWMSPEIIRADGRGSD GWTKSDIWSVGCCVIEMATGTPPWVQHTYIQYCYMVIMAACQSNFSNPLTAMFHIASD TAIPEIPPHVSHAARAFLTQCFIKDPSVRPTAESLLSNSFFASVPPFGPHYRWFNDMT KPLDGGSWWLDQHMQVWTYGVRGIGGWWLTTEDDGTWTWQPDMSAVAVEIATYWLSMA RQVVHRNPETPKPRNPECPPWRTVRVVADYIAADDTELSLAVNDLLVVKDMDESGWWL GTDSRGGGDGWFPCTYVEWVDGPSAETGLGVVRALGEYEPTTDGELRLTDQDLVLVLE APPDGWWRGRLVVERGEADGWFPCTYVEWLPMVVATWTSSKEDDDGGGLKVQRGDRVA VVTADDENGWTLGLDLSNGQQGWFPTSYLTPPLTLKQQEDSEEEEEEYVVEYLDV H257_13870 MVAAYTYQLLQGLVYLHSRGIAHRDLKCANLLLSDSGCLKIADF GAAKESSSHAIPSTHTRTSIQDEGFHHRYTTSSSQDGGVGSPYWMSPEIIRADGRGSD GWTKSDIWSVGCCVIEMATGTPPWSNFSNPLTAMFHIASDTAIPEIPPHVSHAARAFL TQCFIKDPSVRPTAESLLSNSFFASVPPFGPHYRWFNDMTKPLDGGSWWLDQHMQVWT YGVRGIGGWWLTTEDDGTWTWQPDMSAVAVEIATYWLSMARQVVHRNPETPKPRNPEC PPWRTVRVVADYIAADDTELSLAVNDLLVVKDMDESGWWLGTDSRGGGDGWFPCTYVE WVDGPSAETGLGVVRALGEYEPTTDGELRLTDQDLVLVLEAPPDGWWRGRLVVERGEA DGWFPCTYVEWLPMVVATWTSSKEDDDGGGLKVQRGDRVAVVTADDENGWTLGLDLSN GQQGWFPTSYLTPPLTLKQQEDSEEEEEEYVVEYLDV H257_13870 MVAAYTYQLLQGLVYLHSRGIAHRDLKCANLLLSDSGCLKIADF GAAKESSSHAIPSTHTRTSIQDEGFHHSSSQDGGVGSPYWMSPEIIRADGRGSDGWTK SDIWSVGCCVIEMATGTPPWVQHTYIQYCYMVIMAACQSNFSNPLTAMFHIASDTAIP EIPPHVSHAARAFLTQCFIKDPSVRPTAESLLSNSFFASVPPFGPHYRWFNDMTKPLD GGSWWLDQHMQVWTYGVRGIGGWWLTTEDDGTWTWQPDMSAVAVEIATYWLSMARQVV HRNPETPKPRNPECPPWRTVRVVADYIAADDTELSLAVNDLLVVKDMDESGWWLGTDS RGGGDGWFPCTYVEWVDGPSAETGLGVVRALGEYEPTTDGELRLTDQDLVLVLEAPPD GWWRGRLVVERGEADGWFPCTYVEWLPMVVATWTSSKEDDDGGGLKVQRGDRVAVVTA DDENGWTLGLDLSNGQQGWFPTSYLTPPLTLKQQEDSEEEEEEYVVEYLDV H257_13870 MVAAYTYQLLQGLVYLHSRGIAHRDLKCANLLLSDSGCLKIADF GAAKESSSHAIPSTHTRTSIQDEGFHHSSSQDGGVGSPYWMSPEIIRADGRGSDGWTK SDIWSVGCCVIEMATGTPPWSNFSNPLTAMFHIASDTAIPEIPPHVSHAARAFLTQCF IKDPSVRPTAESLLSNSFFASVPPFGPHYRWFNDMTKPLDGGSWWLDQHMQVWTYGVR GIGGWWLTTEDDGTWTWQPDMSAVAVEIATYWLSMARQVVHRNPETPKPRNPECPPWR TVRVVADYIAADDTELSLAVNDLLVVKDMDESGWWLGTDSRGGGDGWFPCTYVEWVDG PSAETGLGVVRALGEYEPTTDGELRLTDQDLVLVLEAPPDGWWRGRLVVERGEADGWF PCTYVEWLPMVVATWTSSKEDDDGGGLKVQRGDRVAVVTADDENGWTLGLDLSNGQQG WFPTSYLTPPLTLKQQEDSEEEEEEYVVEYLDV H257_13871 MKSAMVPRGFRRFSSRRSSGRRQGNAYEDDGISLNSQFGKKTLN RMNAHLAAFEDKQRGGPREPSAREVKRWEKIMAKKSERTLTPEYLAQPIFRPNSAALL HFGDKSMVDYEDKVPVGVFPGAIHVVSTAEEELQHRAALESMRVVGIDCETKPSLFRN YASSPIALVQLASHDTALLYRVRWSGAWNPNLTFPGLQHVLANKDTIKVGHGCAFDFK SLRECRVASHVVNTVDTLPVATKIGCLKPNLRALGMIWQHLQISKAMQTSNWEAPLLT NDQVEYAATDAWLARQVMLGLMQFAPSRPYLTAVHYTDSRQGDDNLMGSSNDEIVAQL LEVAQLEQHRL H257_13872 MSSVRTVNPNAEVVARSQALLVNVSAARGLQGVLKSNLGPRGTL KMLVGGAGQIKLTKDGNVLLHEMQIQHPTAAVIARAATAQDDITGDGTTSSVLFTGEL LKQAERFLSDGLHPRILAEGFELAREEALRVLDNIKVDKPDVLQDRELLTSVARTSLR TKLDQQLADQLTEIVTDAVLTIATPGRPVDLHMIEIMHMVHQSAADTRLIKGLVLDHG SRHPDMPSELENCFIMTCNVSLEYEKSEVNSGFFYNSADQREKMVEAERKFTDDKVKQ IIELKRHVCTDENKASFVIINQKGIDPLSLDMLAKEGILALRRAKRRNMERLTLACGG MAINSTDDMDVNMLGWAGKVYEQTLGEDNYTFVEDVRHPQSCSILIKGPNEHTIAQIK DAVRDGIRAVNNTIEDGSVVPGGGAFELAAHRALYAFKDTISGRAKLGVQAFADALLI IPKVLAENSGLDVQDALLACLEEGAASGEAVGLDLFSGQPMLPLQEGIIDNYRVKRQF IHLATALASQLLLVDEVMRAGRQMGKSQQPDAGQDE H257_13873 MADVTTPHRRSPKSHNMMKNMFRESDDESSSSDDEDDEEDGDPR DKEGLSAVPPHADNDDTMAYTGLCHDFQVVLRQDRKKGIAHQLWPAATFLSHYLEQHV ETLLPPGTSVLELGAGIGLCGLVCYKLHATSVVLTDLPVAMSLLDTNVLLNAVEPGEQ IPSTKQSVRPMVLSWGSESELAAVLATMDKSSQWVCVAADCVYWEALFEPFFHTVRAL VVDHHVDVILAHVKRWKKDERFFKMCRKHMQVIQLVEDVSLELQEHTQDHHRVIKRIY RLTAKV H257_13874 MATLVVDPPPSTGTTTAAPAPFPLAPSSAAPGASTSLVQTTPTP TKNSSKPPPTTSAAPGASPPPQSQSQGGMEAPVLPTCNIFTIPSPVPLNSSTTRAIAT CDKALMVQCPVDVAACQFSPSAKPAQQWTDESPSTVHESVCYSANNTTNYILNCIALV PTAPTALIASFPPETTTNESSSPSSASVGPIVGGIIAGSLVILLGLLFFRRRRNNPAA SSSNDHRRSPMYSPPMPPRSQPSRPPPSQTGLDYSNVQHLHRYLATHPKLKSIWMHDV ASCHPKLKTAPLKGAESNHVIATVLRRKIVLKGMAYLDATPSTRRAFVEGLVAVHGMS LCHKHLTTLHGMTLVDTTDGVLLCAATDFMDQGSIGSLLLNPKTALDRRQLAAIAVAI AEAVTYLHDEHGVAFGILAPDKVLVDGSLVKLNVLTLLYPYYHGIRTTNLLSNAGSSL HLQFMAPELRKIHGKFTPEADVYALAVMLGFVFTRTLPYADLYHDKGLVRGDLHLLEY PDTAPYDLELVPDALRPTMRRALQVDPSRRPTASQLLRALAALPL H257_13875 MMHLRVQTVAAAAFMLSSAHAADENPTITSPRIADSQRPLCAVL CSTSIDSDDPNLCTSINVTWGGESQCSSFYAICPANATSCILRTTGPQPSTLSGHINT TTFASCGLPRNSSKLSQTLQCSNSSFIVAPPLPVSTAQQLPSTTETISYTSRAATTTP PPPSHSDSSLASSTISLLSLLGGFLVVAFVSFVIVRHRHRRRRRDPSTKGPAAHDDIL DDDNAYMAMSPKPLLPPRAIPSDEQVLSPTSPTFLEYLDSVDAFRSLWLSMNAVRLVP VKGTSRGLVAATVQGNKHVLKGIDYTTVEPSNLFRFGRAVQLVLALPVHPNLTRITGV ARINWTHQFAVASEFMNKGSLTHHHVLEFRSTADAPFPRRQRLRLCADIAAALVHLHA LGCVYGILHPEKVLLHEDVNQGTLIAKLNVLAMMDQAFVEVPQCAHRLGSMLVPYIAP ESRHESKTYTAAGDVYALGVIIAQLLTGRVPFEATYHDLGLVRGDVHLVTHPRAVPYD IDVNEGLREVLHACWSVDPSLRPTAAAVWVEIASALSTTT H257_13876 MSDRPAPTAGAATTSTMPVGAATIRPTTTTTVPPPTCLGLCVFN SNDPKDKDYCGVDPSTSTSPASCSDGIRVVVQCPSNTSSCRVPSNLPRILGASVPSIL GEFDRWISHATTTPSVCRSTLSSSINEFPCGVPRFTNAPVENLVTSSPRPVSTIASAL GPEAAVLGPSSQPPPTDASSPAAPLVGGIVGGLVVVALLVFLLIQRSRRRPPPPSPDL EGVPYAAASIIFTPNPPAPVVASAAGSSTPSFVRSDIDDDIILDANHSSSAASRLVAS RPDLIELWMPSLDQVKPNPLKGGDQDLKVVTVHGHKLVVRSSSASGFLDAVEFIRRFR HPHITSVVGVGCVQTSQSDVAVVTEFLDQGSLGAYLSRTVELVPLTRLRLALDVGRAL QYLHATHNLAYGGVHPDKILVYRDHAASFDENTIVVRAKLNVFHLMTHEQRHQQRHQQ RHLYQPKRTCCIPSSGVPNFMPFLAPERRQRIDPPTKPSDVFALAVVVGMIWTNERPH AALYDQQGLVRGDVYLATHPEETFPYLEGKLTGMTPSLSRVLWQCWHVDPAARPTIDV VVATLEQLLS H257_13877 MGIVKNGKVVVLLNGRYAGRKAIVVKTYDEGHGDRKFGHAIVAG IDRYPRKITRSMGKKKVTKRSKIKPFVKYVNYNHILPTRYVADIELKKVVDDEVLATP EARIESRKIIKKVFEDRYLNQGATKSEKKAAGVSFFFKKLRF H257_13878 MGKKKRRDDGVEETKIARIYCYYCERVFDDEKVLIMHQKARHFK CNYCNKKLSTASGMVVHIAQVHHETILHVPNAKPGKDSVEVEVYGMEGVPGYSSTKTP ATTNIIDDPVKRMRVEGGLMPPPPPMVMQPQQMMHTPPPRPPPMMFPPRPPMMHMPRP PGYPPFAGAGPGGVGPPPMLRPPPPPRVLRPPFPAMGGPPPRPGFLPSGPPPMVPFPP GMRPPPMIPFPPGMTPPPRPPPHALPTPPLPSPPQPPSPEHVEPLPSPSHDSLDLPLP FTLAPPQPGIILIYPEDDVSMEEKRAHLPKYRPIPQK H257_13879 MGGGSGEGATGYPTCSSKESFLGVGNTECIGSHLGYAALLCAII VLLSFIMDVVIEFIRRKITCPQMMRVANRFFEELMVMGVISMCIFAFNTSGVINQLSF VVSGLNPSQLLHFQEFFHYVVFMTAVYYICIMVVLIVIATVVPRYLYDRQHPNDAARN DDTADDFPLESPSRYNASSQTNGGGGRMSHRRYQRQHSGYDFVNGSRMYYFLRQRYKR EGWSFRFNLVKQFALWKSFEVLAYNVCQYRSGYLYKNPAEMQRIFNLSVRASHAVDFG RFNTLCTRNMLATLTKLHYSAFFILVLLVMATGLLHATSIYLYMAFAALLCAMNFVIM IKTLRILKGIVKDRLRIFSLDDIERMLPPSSTALVSPPRHAPRKPPSLKVVAQLVRAL VRMQMSVLCHRQLHSHDARFWLRSPAFLLRLFQFATTGHAFYLVWLTLVVAHDPLVLP WMYFLMLTFPIVSMLVITPLTMPSLVLVMSLTGFFVEQNPSPSHDDATTPTSSNLLSA KDRIRVARRSYLRSTDDCSDVIATSPVQSPANSSTPHMLLHMYDGLLHPSPLPSPRAS SRGNYPNAAAMVQSPVATSMLMGTSVTNYAKMQDEDEDVDCVGGASTSNTTTTTSTPW TVGLTPLSTRTDTNPIKMFEKYCSSYGGYPSQPKPEAHEV H257_13879 MTAVYYICIMVVLIVIATVVPRYLYDRQHPNDAARNDDTADDFP LESPSRYNASSQTNGGGGRMSHRRYQRQHSGYDFVNGSRMYYFLRQRYKREGWSFRFN LVKQFALWKSFEVLAYNVCQYRSGYLYKNPAEMQRIFNLSVRASHAVDFGRFNTLCTR NMLATLTKLHYSAFFILVLLVMATGLLHATSIYLYMAFAALLCAMNFVIMIKTLRILK GIVKDRLRIFSLDDIERMLPPSSTALVSPPRHAPRKPPSLKVVAQLVRALVRMQMSVL CHRQLHSHDARFWLRSPAFLLRLFQFATTGHAFYLVWLTLVVAHDPLVLPWMYFLMLT FPIVSMLVITPLTMPSLVLVMSLTGFFVEQNPSPSHDDATTPTSSNLLSAKDRIRVAR RSYLRSTDDCSDVIATSPVQSPANSSTPHMLLHMYDGLLHPSPLPSPRASSRGNYPNA AAMVQSPVATSMLMGTSVTNYAKMQDEDEDVDCVGGASTSNTTTTTSTPWTVGLTPLS TRTDTNPIKMFEKYCSSYGGYPSQPKPEAHEV H257_13880 MRVWHAWMCAALASVAAAAPDVAFHKACASNDVKTVVSILKVAP DVLNEIGPEGGQTCLMRAVLFGAKGVVTYLLSSTNVDVTIGEKDGYTPLHGAGFQGRA EIAKILIAHGLDPLHQHEDGYAPIHRAAWGGERRHTETVYAFLKAGVPADLKAGDGRT ALEMTHSPATVRVLTEHGASGGGL H257_13881 MAPQFNEPATAGTPAGFPSTLDSTSHNASTSFLHHSYDKVLSTS RKLRKSCSLPNCSQRPGECMHCTCDGRCGQHEGGKCGVRREGSGKSCKRLGCPKDESC LHSTRATCCHCRNLVSSQSRSMKAKRATDEPDSLHTSLSMDHLSHACPVPSSMATSST FLAPSSSTMMHLSQAQPVIQATPNVVAASSALDIKSTKTFPIDKKRKLPSPVNAVKPP SKKLKQTATPKTPPSAQHSAASVGVPSLCEYPEWSRRRSTCNLVVVVEGTQFNLHKFP MLIACPELRTKLPSLSESSASSVTLLKYAHFPGGAAAFELACIYAYTGGSVVINASNV ALLYCAARVLKMHVGLTSRCATLLETLAHTGSGDDAVHMLLQVVEIRKCLRNQSTDRM MALCVHAIASRYPYDASTMSLIVKLPFDVFLHITQLVLNDRPHHDATANNVVARLCAQ AHLAQVFRSAKSADKAAELIRVLDVLLSSDTAVTMQQQFPSTHQLLDDDEGEEEEGEV VEDDLDGTLMLNIPHVKMENEWLAAAQFIAATAQ H257_13882 MLLTKLVVAAVAAASVTSSHVAEANQSYAVNATSPVDDIQQVSP YGRELFAKLLANLNLEHVKGHPLSTLEYNEAVDGVEDLIRGRWAFDKAFHSKVKHDVR QLLARMNPGELEQLFDDVIFDLTLASFTENDDEANEKVTVAVHAPQLSTSAAGGYVLV LGLFVGCVAGVAALVSVVANRPASGIASTVITADIILAEIEDAEKLGKQSTVV H257_13883 MKLALFATSAAVAAAALNRTNGTNATHVNSTNNVIVFHNGTNGT AQNASRDAIKFTQAHERSRLFDYENNDVVIVGSGLIGCLAVAAVAFAAVKKTRSASSA GASDASVLAEDVVDEIEAVENAAEEPKEDAPASPSDKDEDVHQVSTDSPSVVSV H257_13884 MFAKLAVFAAFAVLAVTGAAHNSTTNSSNVIVPNNSSLPAAAAT PYGRVLFAKLLEQLKIEHIDSKPLDDGEYNNAVNELENLLTGKTIGKSKAVKQQLRAS LRSLLARMTPEELEQLFNDVAFELTVKLFKKTFGDEIDVDALSENKTAASDVVTFASQ NETFTGLSRSDVVYVGSAFGGCILSVAAALAVIGARKKKSAAAAAEAASDNVVDEIEA AENIAAAQKDDDVEVEDIKTDSPAVVSV H257_13885 MAPTQLDGRRTGRPSTKQIKRMPKKHKNLYHTYEKKLHIIHWRK EHSMESAIDTFFPGVAGAKRTTVWKQILRWESQRGHITMACSKARTRDMRTLRKQGVS TTLTRVAEENIAQWVSELRGDGIPVSKALLACKAMDVALEQGLAANQFKASPSWMKGF MKRWGLAIRAKTRSGQANLADGEKALAEFKTSIRKVIKDNRIVEVFNADQTGINYEYL PKQTMDNKGAKTVWIKASGHDKDRVTAMLLADSKGTKYPPFLVLKNKTKKDRQEAKSR MDAMVAERKKKRGAALVVSAVVSRWARVAATMDLKPLPNGQVKANRKNAVEVVV H257_13886 MLVQRLAAGGRRHFASTTAAAATVASTAPSAAQLSPEIRALLES FRTNPSDATATLLQQPTTDLPVPVALEHVVAQLFRGKHTKEALDVLQLSLDRSIGIDY SIAIRKSGALDRQDSNAVLSWLHANAASIETDQHVSMIRVCLRLKHFSSAVRLFELIR TRQFNGRVLSKDVMYLLAAVESVPNGRLRHELQTKLLRFHSHPSNISAPELVTDMCQR QPDAASQCVQWAIGVIYWRSPEDWPGRLALIEAILASALAHGVALENPMRFSMAFAKC RDHDLDPERLVHLFLAMAGQNLVDPNESSCMAAIHACQNANNFALALTLFHLLKRSQP MNSRLFNTGLYSAAKSGNEAAVASLALEMLAANVTPDKRSLAVVQSLAASPTSMGFLI KDPQLVDYFGISSTSMASANGSSPRKQRQSPPQSQPSEAKATPNTSRAPTPKPLGDSS CAIM H257_13887 MLPTTSKRMSAAHKHLLAMKMEGETVLSPSMSSEWRSKYLEKLR INPHVTNEVPRDGRADTDPSNRLSAPIMIPTKSRSTSLEDLTYSSPHRHTSWECAYSP PSERPSTIARSPEWDIDLDIGLFPMGSPDSTSNNNNDNDENMDEDDRDVHPHHSGTSG RRWRGFIPPHQLIQRDCFSLGVQHHFRKRPTGAAVI H257_13888 MADEVRAAAPPPRRKKPSPPPSNHVPSTGGASVPKRLSRILNLN ELRLQTAGNETPPTGTLGVHPPSGPSDKTLLIDRIYAKGIKRTLLQAQLFPPKKESGS KLSPFTLQPLVPPLSTEKSLVPRRPESNHTTPSFPSGNKGALTPRPMVPKAPSSTPAL AETSPPVPLSARDAYYLDGRRPQAKKPKFVSLSVPVPRQSAEPTRPPNDSSVPPTRAQ LLQKSTQLKTFTESVEESILTRGVPKDSFLYLVRAEDNPYKLVVVDHAAINVHDYYTM SRAGITHFCDGVPEFTYLETFEREHYMFSLVREIPFFQKYRVWKQFRTWKTNVRAFKT AVCKRVLTQDLFILRSSLHGALMELRTLCYNMGLLRVFTIEARRTYTLVEFSERQQVQ MTDTQTRIGDFVKTIVATTHTTCNAFLSDFLLANGFATPEKLPPNLSSILALMGQSAS AEDESDVRNPVDAPSSAETKAVWQNGRAVTFTERAAMRTQCRKITKLIRLVEFFVVDS FMLLGVISTQYLLDEMRRLATVLAADVKTKKTASSSQPTPTTTAATTIPGKQKLKLHA APPPAATPLFRVEIHLHLLAEPGSDSYRSDLLFTPSCDELRAEMESVVFCGLKAATKR DRLMSHGEFGPFVKPSLDEISNGELSAGLNLDMMVLEDARFQTMTSGIGDLITQSYED LVTFTQSLATFQDEYIENMAFCHVASDLADVANLGRSIDDLRDHLDKYSEQIARFDTL GDTAVVGLILADCRALNATLKPSPRQCMDALHLLIPTIAQHKNEDLMLEVSKANDAIS SIPTTVDEFAQALASLRDTQSKMNGLDDRYMFLKMLYGLTDEYKIRVSDLDSTNAFML AQKRAQLKTSMDLLDTSTEAYTDKFSKELEKKIPKLTSQIQERFDELNDERLASISSD AAEMLTLLEGIHDRLLEHETTSIKYIGFQKTLGLGQSSFDELAILREDLEVKVQVWKA TKDWAAQAELWRDTTFADANVTSLEDKVAAYFKTTLSCERSLPGNPVVHALKEAVESF KVTLPIVGDLRCPALQDRHWKELTDVLHFDVMGDPSLTLGRLVDMKLQEFAPSVNRIA TEATQEKLLENLLVRISTLWADLEFDIKSHNDRKDMYVLGATDEIISALEESLINMNT ILGSRYVGPIRDDAVALHNRLVSFQETVDEWMACQREWVYLETIFSAPDIQRQLPAEA QMFTIVNTFWKDLMLRTHDTPNCMKATAAPGLCDTLSKHNHSLEKMRKSLEDYLETKR QAFPRFYFLSNDELLEILAHTKEPHAVQPHLCKLFDAIMRLEFGDAHGSIDILSMNSS EGERVPFGRNLKARGNIEDWLNAVQVNMTTSLHRSMKACVGDYEPSQRDSWIFLHPAQ CVASVTYMVWAKECEGAFGLAGGLEKWHKTIVAQLGGLTRLIRSPLTKLQRCIVTSLV TTDVHARDIVDELIQLKVHATHDFNWKKQLRYMWDVDLDDTLIQQSNVSIRYGYEYMG ACSRLVITPLTDRCWMTITGAFDLKLGASPSGPAGTGKTETSKDLAKALAIQCIVFNC SDQIDYKMMAKLFCGLSQCGCWTCLDEFNRIDIEVLSVIAQQLMILRQGRLAGTTELC FEGRTILLQDHHVIVTMNPGYAGRTELPDNLKICFRPISMMVPDYSLIAEIMMFSEGF DNAKDLSKKITKLYKLCSEQLSQQTHYDFGMRAVKTVLVMAGGIKRQQANSNSNAAAA PSEELLLIRAIREANLPKFVDDDLALFLLIIRDLFPNVQVPEAQASVLEESIAQQKRQ MGLQDVPALTRRAVDLFETLQVRSGVALTGHSGSGKTTCYTLLKNAMGDLREDKQSAD RRFQRVTINVLNPKCISLGELYGSFHPLTHEWKDGLASSLMRTIISDNLEGNTGQDKE VFPWLVFDGPIDALWIENLNTVLDDNMTLCLANGERIKLLPRMRLLFEVSDMNSASPA SVSRLGVLYFSDKTLGWRPYVDTWLNEIFGSSDQDPKYASPKLRPRLTKQLETFLDAP WIYSFPLPLQTTLLSLIVNGCDLFATLLHRQQNWFPSADADKQLKCLDMLFVFAMGWA FGGNLFERDQRQFNQLYMGWLGDNKSLFVPSLLQVCGKSSGGASSCVGTGASRSMACV YDFSIEFAELAWSHWENSVAPFTYNMHTPVFNITVPTVDVTKYSHLYTLLVAAMKPVF LTGDTGAGKTVIAHSVLDTLASSGDAHGPGVIPVYVHFSAQTTSMTTQSNIESKLIKK RKTLLGAPVNHKVVVFADDINLPAADVYGTQPCIELLRQLLDHKGVYDREKYFWKDVS DTVITAAAGHPGGGRQALSQRFMKHFTVFSLPAGNDDAMRVIFSAVVLGHLNALNNVS PGVRDATVQMVDATISLYGAVSEELRPTPSRCHYLFNLRDVVKVFAGVVSIRSSFSVE TAVKLWMHECLRVFCDRLVCKPDRVWFTTTLVGLVNKSFRMGWTHDAIFGSEDAISVL FGCYGTGSVKDYDEIADIASLEDLLNSFVDEYNSTHPTPLTLIFFRDTIMHVSSMARI LMQPRGNAMLIGVGGSGKRSLAKLAASIMSHDCFEIELTRQYGRSEFREDLKTLLVKT GVKGKDTMFLLTDTQLISDEFVEDINALLNAGEIPHLFTHEEFEAVVNDMKPVLAQLS IEDTRQHAETTFVQRIRSHLHIVLCMSPVGATFRRWCRQFPSLINCTTMDWYDEWPTT ALMCVAESYLVDVVLAAEASRRALAHMFVQVHLSIHRHTLLFHQVFQRHVYITPKTYL DSIRLYLRMLMEKRQQAKDAFERLSTGVVKLEDTNRIVAALQIELTNLQPILAAKAVE AEELLKQVSIDQKEAAVVEQRVSHDEAIVKAQAYEVSIIQADALKDLETAMPALNAAV QALDSLDKKDITEVRSFAKPPQIVIVVMEAVCIMLGEKPDWDTSKKLLAKSTFMQELK EYDKDNIPPSVLKKVRKYTDSPEFAVDEVKKVSKAAMSLCMWIHAMDVYSRVVKEVGP KRDRLNQMNAVLQDANGKLATKQAELNAVITRVRGLQAQCDFVVAEKKRLIMESDLTR ERLKRAEKLTVGLADELIRWKASMEAMVKDEVNLVGDVFLSAASISYLGPFDCTFRAK LTKLWLLECNQLLPCTTNYSLLETCCDSVQLREWQLHGLPSDTVSGENAIMLFRGDRW PLLIDPQQQAASWIKRMELPFNLELVKLHDKQLLRNVETCVRDGRPLLIEDVQDTLDP ALDTLLLKSLTKQGGKYMLRLGDKDVLYDRNFRLYMVTKLPNPHYLPDVCIKVNMINF TVTKEGLEDQLLGDVVRKEQPEIELKKNTLLASIANDQKLLQAIESKILLLLSTSQGN ILDDQVLIHTLAESKQTSTVVSERLAESEVTRADISDIRNKYRSVSTRGSILYFVLAD LAAVDPMYQYSLEYFSSLFNQSMDECIKSPTVSIDGRLPLLIESQTFLVYRNVCRGLF ESHKLLLSFVMAVRIAIDAGVVTPPELLLLNPVAVSTDALAMPKHIGIATTMAATCSA MEGIPASMQLYPQAWASWIDHDNPYKAEMPDEYDVKLTSFIKLALVKALRQDRGIVSV VSFIGGYLGAQYTKSPPFAMSDVYADMSKTVPCVFILSSGADPTSILHRFAQSMNKDD HLHVVSLGQGQGVVASALIDRCSQSGDWVLLQNCHLAKSWMPALEKILQGLRLDPSDV NDAFRLFVTSFPASYFPISILQSSVKITNEPPKGLKPNLLRSFEMVITDDALSQCAKP AWKQLVFGLCFFHAVLQERSKFGPMGWTLPYHFNDSDLETALSVLRTFLNENDHIPWE ALHYVTGEINYGGRVTDEFDRRCLVTNLQRFYSPVILDDNGPARFFTRSSELYFAPTQ CHTAVAFRDFIELLPSHDTPDLFGLHENANIVFQTHETNALFVTALDLQSRGATSASS VSLVEDTGDAAVLQIAAQVDSLLPALLGNELTPQSGLVVDSLATVLGQEMVKFNTLLR VVQVSITQLQLAVKGVVTMSEGLDSTYKSLLLQQVPPEWHKVGFASLKPLAAWLVDVV DRVEFFRQWLQNGSPPPTFPLPYFFFPQGFLTSLLQNHARKHVLPINTLEFTFEVDPA DLAVVDGAIVTGLFLEGGRWDNQRKLLCDANPNEMLSVLPPVHFLPYVVTSGDAQSAG PRYECPVYKTTARRGTLSTTGISSNFVISVHLPCEHSAAYWVLNGTALIGNLNQ H257_13889 MANSARRALSKYIYWTEDIELAILREAIRVEPFAADHGELLARW TLVAAAVAEQEPRVTPRAAREHVYMLLKKFKADDQAQRLSSGTAEEVTEKVQLLQDIA MRMDEVASSRTMKKTKETAKRDLLETTGEKLCREAE H257_13890 MPNKSAESVVQPLWNHVVCVFGPCRELMSDRASFGVSPNVKQLH PVAYRPALMGLVERFNKAVKDMLSTMVQDIEVDATADGDPLPETEEVVELRPVVGTGL EPMETTRLRRSTRQLAAANRQMEAENSEHQADEIGIRQRK H257_13891 MNLPRPARAAQQRGPVVFHVHHRIGQLTACALYTPPILPLATAR ASPLRRAGTSTPAKTPLAADARCRPSCVLESPPPSGKLADAAILDLALLHTESASLTT SQIHPPTESTASLPFQSSYSADRMLAASEVIHHE H257_13892 GGSFIVQFSIVCTASPKMASRGVSRQIMSSKRARGAQKKSKKLQ ALEMQQQQQKDASIKLNLLTPTVPTILVNRSLQPIQKAKVVSEQTLEDHEKTDDDEDD DVDDDLILIPRPIEFCARFAPKATWTKDDSNATFCLSIPSFHESTNESGVPQHVAYAI LVETPHHTFTVKKRYSEFAAFSNALQVKDVSWELPPKTWFRLTQDAALSDRRARLEAA CVDLLQQRPYLALDHSVREFFQLDLFALSVTPSSP H257_13893 MEGYVLANQNAPNCQPITHRFVLLFRLAQGKKFILKCVYHTLNM LKSHSKIRIIHPCRQNYGFKKSEWGTVVHSSTKYQSPKHESSVQIKYSRLNNIQVLVL G H257_13894 MDSSKPTLLLLSKVPSLCYYWPVERSGPTPEFVDVLAFLFLDRG VIDTRSIYFVLVLSYELLLYEVYIYCPVSQHLAVYAISPSIDFLCTEFCMQRVKGHAI LYLDAH H257_13895 MAMDHVGIMNFLHTHRDEGCMSAIALNVLHAFRDQVFDDNDEVL VAQLNDQRVQCIEYLVVLRVSH H257_13896 MSMKMLLDTCTSSIRFPATSELMFLLYMYVRDRQAPRPHMDQRA KGSQPWTLWRSFRCTSTDMLSSPFQLHVGHMPHDPTRELLLAWPLPP H257_13897 MSIRICQFKTCTAPASYHGKCDSHRMRSGCMVKHCPNQVYARKL CVKHGGKQQCQADGCDANARRHGFCCKHGQKPVKKPCLVEGCVKFAHSRRFCAAHGGS RKCRVDACSTFARTDGVCHRHRALLNVHCDKIEPRGAGVKLDSTCYGGITFVETLVYS GESLFGILVCDKAYTIDSVDLTTEDSMILDYLLM H257_13898 MLRTAVDLEERVSYIQSATKGQDDKDGGDFAAIKTPGELEDGAL VAGGALNLFSREAFGLFSQYAAVGVILGMIPSLNYPIFNVYLNLEGYQTSAYGQLVTM GWSFKVFMGMFSDCFPIFGYRRKSWMLIGWTVTMICLAVMTFSSLGDPYCDRVKAKAM NSPACSRVYSRASEKEKELFNLGAPDQGTKFIMLSMIVSLGYVTAVCASDAMVTEYSQ REPLAIRGRVLTGIYTVRTIFGILSEVVIGFGLNGANYLGSFSFSMAPNVPYGICLAP CVLVVLSTIFIVEEKKTPPIPFRVWASGFWVLLQKRVMWQICAFRFISQVFRNISSTA DSPMASTWAKVEPLNSSLTGIIASGIFAGIMAVVAKWGLHWNWRWSIAIASVSVLALD SITTFLTIWNVLRNQWFYTGVALSEQIPQGVRFVVSTFCAVEIADVGNEGATFGLVTT VSNLASPFSGMLTRYINSYFRVTQNDIREDSTEVRWDVTYTYFISYGCRLFALVWLFM LPPQRGPMQELKKKGGTSKLAGGILIFVFVVCLSVSLTSSIMAIYPSTRCLRIAGGNG VLDAKTGKCPIAASRKG H257_13899 MLRTAVDLEERVSYIQSATKGQDDKDGGDFAAIKTPGELEDGAL VAGGALNLFSREAFGLFSQYAAVGVILGMIPSLNYPIFNVYLNLEGYQTSAYGQLVTM GWSFKVFMGMFSDCFPIFGYRRKSWMLIGWTVTMICLAVMTFSSLGDPYCDRVKAKAM NSPACSRVYSRASEKEKELFNLGAPDQGTKFIMLSMIVSLGYVTAVCASDAMVTEYSQ REPLAIRGRVLTGIYTVRTIFGILSEVVIGFGLNGANYLGSFSFSMAPNVPYGICLAP CVLVVLSTIFIVEEKKTPPIPFRVWASGFWVLLQKRVMWQICAFRFISQVFRNISSTA DSPMASTWAKVEPLNSSLTGIIASGIFAGIMAVVAKWGLHWNWRWSIAIASVSVLALD SITTFLTIWNVLRNQWFYTGVALSEQIPQGVRFVVSTFCAVEIADVGNEGATFGLVTT VSNLASPFSGMLTRYINSYFRVTQNDLREDSIEVRWDVTYTYFISYGCRLFALVWLFM LPPQRGPMQELKKKGGTSKLAGGILIFVFVVCLSVSLTSSIMAIYPSTRCLRIAGGNG VLDAKTGKCPIAASRKG H257_13900 MFVAAACGNAVHVYDVDGGTLREGRTISSAIETEATCAVWNHNN RILVNSFANGLISINTLARQRDATVCNLQEGSSSADMRVNSLHLSAGSRYLISGGTDR TVRVWDLKRQHLKHSFPACNSAIRSVTFTGQTDEFIVAGCDSGDIYLYHAQQGTAAGI CRDRDDASAIQAVQSSSHPFVRNKLGTVQSSGTLCLWDVATASLVSNFPRLHWAPATC VAFSPVHKHLVATGGLDKRIVFSDLGLQKEINCLESPYPVTTMSMHTNGQLIATGTST GHVLLYDLRGATKPLSIVEPCRDQQPHQNPSSAASNGGVLWVQFSQDRLKDLSLLSSP MKKSQSFHMQTLQTSLLNLVPPPSNNSRDDTGLKLSSEPHRSSAADIRPSPSTVRPEQ AAATSSPPPYPTEGDLSSSGENIPTTWLRHELQALRDGLSEEIQLVHLEVLRQHQAQQ TEVAAAMHEIKVQMAHLLAENDRLRQENERLKHIF H257_13901 MSEEALHVVLPVLQWLAEGFFDPRVFGTVAISVFLYKLLPTTDP VLGLGQVKKPRFQARSHVFATDLKIVVGSNTGPDGKTPVSGNVTGRQVWRPQGDVVEL PAFSAAENPNAGDKLLRKLLLDGKKVPTGGNGGKIDKALRQALEYFKLLQSEDGSWQG DYGGPMFLLPGLVITCYITGHDLGQSIVDGIIVYLRNQQQYDGGWGLHIEEGSSMFGT VLNYVSLRLLGVPPTDDVCVDARTFILHHGGATLVPSWGKVWLALLNVYDWRGVDSLP PEMWLLPRWLPFHPGRTWVHCRMVYLPMSYLYGIRFQAAETPLIVAIRGEIYATPYKS VSWRKARGAYSKLDEYHAPSPIIRTINYLLSWYELLPTLLPYRKRGLQFALDFIQADD KETNFCNIGPVNKSLNMLVAWVVNPNSTEFKQHTQRIEDYLWVAEDGVKMQGYVGSQT WDASFAVQAFVDAGVATDASFKPTFVKAQSFLKEAQNVHDVDDGPKWWRRIQKGGWGF GIASNGYPVSDCTAEAVKALLLLQQDAKLPAFPEQRLKDAIDFILALQNTDGGFPPYE RSRGFDWYEYLNPAVVFGAIMHDYSYVECSSASISALQAFQAAHPTYRPHAISAATAK ADTFIRSLQYQDGSFFGKWGVCHTYGTLFAIKGMRAAGATDTDEDVKDAVAFLLKKQR EDGGWSESFFSCSTRRYLEESTSQVVNTAWALLGIMKGIEGASDPEEVYAKEWAAVQK GIEFLVAKQLPSGDWAQERVSGVFNRTCGITYANYRNIFPTWALGLYQKLHPSVKN H257_13902 MEHMNLVFVCYYDADYLHAHTRQVDYTKCVGLGVASSYLDESFT RQELLLGCVPWKGIDEGSTSTCSSTVPLTLATVAWDMSRCQRSGGPVAHLGCAADQHP RGVQDVARPCRLCRPNPARFGLPVAAACRHLVYPRCSVIPRRGATF H257_13903 MTGSPSSNPSIIPMCQFNRCLSPAIHHGKCDYHRMRSGCMVKHC PNQVYARKLCVKHGGKRQCQADGCDANARRHGFCCKHGQKPAKKPCLVEGCVKFAHSR RFCAAHCGSRSHTYNDIFLDPSTAAVLSSTRCKVHGDHWNGDAVPTGFVAMLAVDNVD SHSSVADEDDASFVGACWGDGLDKGWQVESVDLTCEDAMILEFLLM H257_13904 MLLLRRVPWQQHRGRAASSVRRKSLLDAPSERQAKLVCAKCEHH LVETSDLFFLHWQRGVHVASFQNAALEHLKSTEHPLASIQPWKQAKLNCTKCDSGVAT RATVRGQEATLFSAKHVSLKLPLGFNPIVSISGVPSDVFRFSSWSDLMAQVIATPALK AVLKIREDKKLPATTTSTTTSPSPPVDHKAINTKILLGQSAIDVLQLVSNEKHLNHVN VLTAFQRFAMFHQRTLQDIAQLKKQRIPNVVQVDEEDAARLDLYKVQLQRYHHDLNLI YSSRFWNLVDEMERQCQMHMHYVPSRYLFYLLKSLLALHVAPSKLMENLASQVTFRLP QERYSVDKVAHFLHGFAILCAADESAKSWIDPTFHHACSYMLTQNPSPELLAMAAWAC VVAKVHHIELFHAALQAANDHPNPGVALSTQLYQVHLDATLSNLPCQHVLAPSRVEAF KQALVRQQHGNVSSTLHGVVSNTLLQMNVPHINEYVMELGYSLDIALVDDRIGIEVNG PSHYQLRSNPSERYLLGASMLKMRHVQRAGWTLIQVAYEDLSKLPAGKPRQEFVSMLL EVAMAHRVQ H257_13905 MREPTSKRRTKKPSKKPLDDDNRSVVSLNVLDVQTVAQTYKLTD AQCRTYDAFANLLAEFDTTMMLDMLEDVLHDAQHRTRLQDYLGVNTVTTAQLTSSLAS TGMTSNSSN H257_13906 MASSSSSTADTSAFDSMVEDLIPNASDPNAVCLEDFSLIRVIGK GSFGKVTLVRKRDNSKVFAMKILSKAYIVKRNQVEHTRTERRVLAVVSHPFIVSLHYA FQTKDKLYFVLDYCPGGELFFHLTRLGKFSEAMARFYTAELVVALEHLHSLGVIYRDL KPENILLDEMGHVKLADFGLAKTEVTEVASGAHSLVGTPEYLAPEVLARTGHGTAVDW WGLGMVLFEMLTGMPPWYTRNRQDLYARIRDAPLEIPPGLGADAASLIRALLHRDPEK RLGGRKGHGAVQVKAHPFFRSVDWDGLLWAEPPFRPSHPQAKDDGDTSNFEKEFTEMP VHTPVSLHRIAGTSQIIAPSMFTGFTYEAPSISLGSNTSQCQVDTAATQPFI H257_13907 MQRDADQDDDAMSRTVDDATTDDVNMETASSPTPGKTDGGDAVG NPHVKKRQRTSQATLENDAPPPPPAARDKSNFLSTDTQKAKVKKRGFSIAHEFEREIN VAQPPTSLQQSQSPDRRSVSPVPPPLAHRGGNDVDFFPPAPSLFSSPKPPTPETGAAR KPKRSTATATHRRDTISPGRAVPMPQSELPGDNSSSRRDTLSPDGFRRIESLVEDEES SQERAGHKELEEEEKTEEGKDSSHRHDDSPTHAATPHPIETRRLPTSPKSSNNDNDDD VVAHSSPPHATTVASVRGAAAAAEKNDMAARRSTLDPSELAGMFGSSDLATSHDMAAS GRRDTLDPLEALAVLEGMSTLEGGEHEGQQHKKKKLAQELALDSHATIGPTNQHGTSN KDNASRRDTLNPSDLMHLDSDDDDDHLVLSSFQSERSDGQVTSTSSPPSILEAIDPSR DRRRRRSTQAPTPSSSSLGPSTRKNSTHETKRVVTKPGPHPSLFSPPNRHNHPTPLKS CLSARKAQPPPSSSPTPTKSVVFGSPRGAEFRRNDPPTSMTPMCVLQTREMFPLDKID SDDDDDVTSENTSILDEADHFLDKEEENHSLSFPFGSIRSPTRKRNKAAPNNVVGVSP LDNIADARRKRRASFGARQQQSASLVTQQQQQPSRRQSLLGVNVMQNNDALVIVGSAK KPRPATSTMVDSSSDDDMDITGDFTAQNHPSSVQLSNGLGDLWNETNYTPPKVTANNG HSSDEDDDQTLELGPIGHLQGDASVFNNTTTKTLSQDTTVSHFKGLLHPIAEGEEATS TRSSLLSHLSLSSDEDDDDAKYVAQRESVVINLGEKFDKLGGSSGKKNKLKHEPMSLS PRHLEDQPRPTISSTVSTTTSVSLENASRTPVPTPNPPHGDKSTAFIPSDSSHVVDDD ISPDPSAQVVVLAPSSPQQSAPHQPTTEQLSTKVTPLDFDTPAAQQDAPRLALHPPTI TTATFADLVAALTLTSVDDAIERQVAECADLLAVSAVLPQTTTRWSQAVDQLSTWVAE MAVERRLNEAKLVPSYLAALLQTTNEFPHDLVVELYHANVSSVLSEWFAWRRRLEAAS MAVWNGGAGLQAEYQGLQQRLASVGRDEAQERAAVHQLMAKARSMDRSLASIQEQQTI RAEVSARLHRLDKQLSQLQSTLAKHTQDAALHRIETTDAVVSDTHMHDRIHAVQAHDE LFQIASKLAKWTYTSMSPTQWELRMMLTSLHSDMSIIVSLDVDVSKATCQVQVVLPPR KQNKQHSVFDDVAALVCAALLDPVRLQQAGDATVRQVSDVPAFVQWVQLDVQRSVRLW RDMETLVLQHAITTDVASKQLWIAFVSFQSLVKVRVGLPLSTHFCFRRWTAPPVVVVE FGKAKVDVVELVRRIEGVPRGFRRLHAICTCVTTYIESLE H257_13907 MQRDADQDDDAMSRTVDDATTDDVNMETASSPTPGKTDGGDAVG NPHVKKRQRTSQATLENDAPPPPPAARDKSNFLSTDTQKAKVKKRGFSIAHEFEREIN VAQPPTSLQQSQSPDRRSVSPVPPPLAHRGGNDVDFFPPAPSLFSSPKPPTPETGAAR KPKRSTATATHRRDTISPGRAVPMPQSELPGDNSSSRRDTLSPDGFRRIESLVEDEES SQERAGHKELEEEEKTEEGKDSSHRHDDSPTHAATPHPIETRRLPTSPKSSNNDNDDD VVAHSSPPHATTVASVRGAAAAAEKNDMAARRSTLDPSELAGMFGSSDLATSHDMAAS GRRDTLDPLEALAVLEGMSTLEGGEHEGQQHKKKKLAQELALDSHATIGPTNQHGTSN KDNASRRDTLNPSDLMHLDSDDDDDHLVLSSFQSERSDGQVTSTSSPPSILEAIGKPT SPPRTKNDTISADPSRDRRRRRSTQAPTPSSSSLGPSTRKNSTHETKRVVTKPGPHPS LFSPPNRHNHPTPLKSCLSARKAQPPPSSSPTPTKSVVFGSPRGAEFRRNDPPTSMTP MCVLQTREMFPLDKIDSDDDDDVTSENTSILDEADHFLDKEEENHSLSFPFGSIRSPT RKRNKAAPNNVVGVSPLDNIADARRKRRASFGARQQQSASLVTQQQQQPSRRQSLLGV NVMQNNDALVIVGSAKKPRPATSTMVDSSSDDDMDITGDFTAQNHPSSVQLSNGLGDL WNETNYTPPKVTANNGHSSDEDDDQTLELGPIGHLQGDASVFNNTTTKTLSQDTTVSH FKGLLHPIAEGEEATSTRSSLLSHLSLSSDEDDDDAKYVAQRESVVINLGEKFDKLGG SSGKKNKLKHEPMSLSPRHLEDQPRPTISSTVSTTTSVSLENASRTPVPTPNPPHGDK STAFIPSDSSHVVDDDISPDPSAQVVVLAPSSPQQSAPHQPTTEQLSTKVTPLDFDTP AAQQDAPRLALHPPTITTATFADLVAALTLTSVDDAIERQVAECADLLAVSAVLPQTT TRWSQAVDQLSTWVAEMAVERRLNEAKLVPSYLAALLQTTNEFPHDLVVELYHANVSS VLSEWFAWRRRLEAASMAVWNGGAGLQAEYQGLQQRLASVGRDEAQERAAVHQLMAKA RSMDRSLASIQEQQTIRAEVSARLHRLDKQLSQLQSTLAKHTQDAALHRIETTDAVVS DTHMHDRIHAVQAHDELFQIASKLAKWTYTSMSPTQWELRMMLTSLHSDMSIIVSLDV DVSKATCQVQVVLPPRKQNKQHSVFDDVAALVCAALLDPVRLQQAGDATVRQVSDVPA FVQWVQLDVQRSVRLWRDMETLVLQHAITTDVASKQLWIAFVSFQSLVKVRVGLPLST HFCFRRWTAPPVVVVEFGKAKVDVVELVRRIEGVPRGFRRLHAICTCVTTYIESLE H257_13908 MDNGPTVVGGGAATHDNNNGLVLDTTLLTGSNALGLWITISAGI CSNLGVQLQKHAHQARRPRLGKENNSVGMYFAQKQWIVGMALVLVGSIGDFEALSFAT QSLVATVGGGTTVVTNVLFSTIWHGEHFTIRDAYGTFCILLGVLLIALCSPQDEQYNV EQLVYKFQSPSVVAYLALVAALLYVLHAIVQGSNDSDDIHIIAFPSAINACRQTSARL RRFIPVDTGSMMMASQESIQPVVYAIMSGIMGSLSMLLGKCASEMLQTTFQGSSQFNH PVTYLFFTGMVATIGLQVHWFNQSLMRGDMAIVFPVFQVFWIGFGVVGGMVLYGDLAR LEFFQGVSFVLAFWCILIGVYNLAQHESQGVDASPSPNLVRPPNLPPSIGSTAANSYL VDAYQTLLESHESQQMVDNCDELGKMEAENPNTYRPPALLRTL H257_13908 MDNGPTVVGGGAATHDNNNGLVLDTTLLTGSNALGLWITISAGI CSNLGVQLQKHAHQARRPRLGKENNSVGMYFAQKQWIVGMALVLVGSIGDFEALSFAT QSLVATVGGGTTVVTNVLFSTIWHGEHFTIRDAYGTFCILLGVLLIALCSPQDEQYNV EQLVYKFQSPSVVAYLALVAALLYVLHAIVQGSNDSDDIHIIAFPSAINACRQTSARL RRFIPVDTGSMMMASQESIQPVVYAIMSGIMGSLSMLLGKCASEMLQTTFQGSSQFNH PVTYLFFTGMVATIGLQVHWFNQSLMRGDMAIVFPVFQVFWIGFGVVGGMVLYGDLAR LEFFQGVSFVLAFWCILIGVYNLAQHESQGVDASPSPNLVRPPNLPPSIGSTAANSYL VDAYQTLLESHVRTIGVYSVIAN H257_13909 MFRASKYRNVLGANVPREEWYEELQLDADRLDLYPIDATATQLA FPSQLNAGASIEVKAASDTGKSNAIQKPTSLLRGHTQRVNALAYATLVVDPSSSLLLA SGGDDTTVKLWDTSPSALENRSCCVWSYEDAAASTRVVGLAFHPSASGVLAVAMSKHV EVLDVQHQQKCVSTSSFVHPDVITGFTWNDDGSAVATVCQDNVIRLWDPRRSVSAGFD VASTKGHQGRKAAAITWVRPSFFLTAGFNTLQERELMLWDVRKLDKAMARERVDTGTG LLMPIFDQDTNLLFVGGRGDKTIRTYELNVEKARAFTALQPATVGPPTWGMATLPKRA CALGKCEVARVLVLEQGGTIEPISYTVPRRDAATQFQADLYPDSRTSTAALTAAEWTA GQNAAPVLGKVTPLPTTNVSATSLGTATSSPSQQNQVENLSNWNAGGGGWASVAPPPT VLLTPDTATTTTTTLTTHLPVSTELSEKAIKLGSIQGHKFKYITGTTALARSDSYLNV PSSDASLLVANATHWATPVVGTGGPVLVYPLTSPGKVDQGGGVVVNGHKMPVTDLEFH PFHSALLATASDDGTIRVSDHTKPDPFVTSLDGHTKGVRCVAFHPTADTVLASGSQDN CVKLWDVETGAARQTIDKFEDAPLNLSFNFDGSLVATISRDKVLRVLDPRANKTVAMA CAHEGAKAQKVLWCSQNNASTLVTVGFSARSERQVFLWDARNLLDPLSKTTLDTSGSA LLPYYDESSNLIYLVSRGDRTIWTYELDAASSTPTLYPCSPYSFPGLPIAGAALLPKH LCNVRDVEVARFLVLTKSTIDPVTLTLPRADKLKQYFQDDVYGVVRSCAIGGSLSATA WFDGLSQPPPTESLCPAGMSWVSTRPPDIPVVPKVLDFQATKQRQDDERTQRDENFNR LHALAAQPTLHAQGPKQEENEEDDDDDGWDD H257_13910 MTDTAAADDPVVREIPVHLADILRGNIHVVQFPLRPVYRPMTSK PVQAKYKPSNSMLSLDYPLHTEDHYNNDDDMDIPPRLTLQSSVVAPVSNYAVGVFRDG QLHLTPVTSVLQMRPTMSHLDDDDGDDDHDMEAHPAPSSSNVVAAAAEEVQVQVVKRQ SERALAAMQNSYAYKRSVVQSEKWTDLSINANEDDEFEQLFSDVETPVVFDVTPQAYL HALSYRQDDNVIAVSDVDPTRVTSTTSSSTSLDDRVLAVLQTYKVLHFNRLCEYLPDV PPLDIRAALPRVASIVRGCVVVNSTLLKDHVEYRAAIVSELTKAVHVSRNHVIDTYKI PAPLAKTLLTEYAVLNPVNRLWRLKLPDDSEATWLR H257_13911 MSSTAAPSGSKREQMDMHREYMKRYQQQASHLTSDTVKYKDFYE TLGLARSATHAEIRSAYRTLALRFHPDRNPSKEAEDRWDGVPAAYAVLSNPNDRSEYD ASLDTRDALVLFYSTYNPAKLDQSTIQAVIDGWHGREVELFRMLGDKYEIAPHQGTKS AKDMCDLLLPEDKAASIARDHQASADVQAPSRSLFESLLYITQGMCGCAAKTYYEVNS RSPGFIDIPRNSNTPGTSTVDKAVDGKRSSSDAGDAPSTLTDIPLDPPLVAENNGATN GFATAC H257_13912 MEYHRRHGGGYTNYAVALAFTLLSTATVHAQPTADPAAATSTSV PDAVTSTSVPAATTSTAGSAAATSTSVPQVVARGLNSGATAALIIGLVLAGCTIYGVV MYLKHQRLQDAALATRKLDSHDDSPPYIEAGRHGSDDFDAYFGKQPPLNGAAASHCVK FASARGAMLAPPHVAVDINLLRAKSTPAMLSSSMSKQDALPRVSMAAVDETPNDTVTA MRSYRSMIQQTMGDSVDVAWMSPVESSRSMFPSRQPARDEDDDEFEWMSALESSRTNN LHAMDTMRSALDYDLAEEGSDCGSSTTTFNL H257_13913 MTTTKLTHLVVDAGALIKCTDLTNVANNLWTTSDVLAEIKDSKA RRFVARLPVELKTRDPSEKDMEAVVAFARLTGDFSFLSLTDLRIMALTYMFERELHGI DHIRKTPKVSETKVTGPKIPASKVRCRYLQTPGGCRNGATCPFSHNLKDKAANTDPLP PIDAPCRYFNSDTGCNAGDACRFQHIKVDTTSTTSPDDASAHTTSSQVEAATVETLSP VQEVEATASVESAALPPTAAVGSLRNANVKSRILSAGFGASTNAGAEGDDGKNWVHND NKAEYTHSPFGSGKLTQAIDANVVQVGCITTDYSMQNVLLQMGLHLISTDGMVINRVK QWVLKCAACYKTTTELDRQFCPVCGNNTMERIACSLDKDTEQLTLYTRANRPANLRGS KFSLPMPTGGRSGDLLLREDQLMHGIWAQRQKSSAKVLKSAFGEHVAHDLGVKAEKQT TITVGYGRMNPNSQKGRERRGKKKRHTD H257_13913 MTTTKLTHLVVDAGALIKCTDLTNVANNLWTTSDVLAEIKDSKA RRFVARLPVELKTRDPSEKDMEAVVAFARLTGDFSFLSLTDLRIMALTYMFERELHGI DHIRKTPKVSETKVTGPKIPASKVRCRYLQTPGGCRNGATCPFSHNLKDKAANTDPLP PIDAPCRYFNSDTGCNAGDACRFQHIKVDTTSTTSPDDASAHTTSSQVEAATVETLSP VQEVEATASVESAALPPTAAVGSLRNANVKSRILSAGFGASTNAGAEGDDGKNWVHND NKAEYTHSPFGSGKLTQAIDANVVQVGCITTDYSMQNVLLQMGLHLISTDGMVINRVK QWVLKCAACYKTTTELDRQFCPVCGNNTMERIACSLDKDTEQLTLYTRANRPAVRSWQ YLKWILNVS H257_13914 MPKKALSLDEAVAQGQRPSTPRSLEACLRTGIDPDEIVPRLLEE FLSKLRKADDVEREAAQIRFNHFEEGRQMKIRLLKKERASLVEAGYTSSPSPLTKHAS PTKPSEGTTNNSPNKITSPFDVFTAPEDKLVVTDSTMVEMEEKRFQAMKVRQEREIAG IIEMENKMAEIQKQNAAREAVEAKRKAEWEKEKKERRAALMAAKHERELAKKKAEDAE AVARRIRAKREAEKERILLEEGRKEEKQRQIEMAERELDRKLKAEQHRRDTEELLQQQ ENKIMENRRRVIEREKQAQRKMEEANERRRQEALVRREKANTRIQMALEQNQQVMQKK KKDFDAKQTLAAARAMEVHKKEMLDLKARAERSRKEEEIRIQRVEAARNNQQSRVQTI VEKRSQLESHLDVVYHERIKDRTLKCVERDVTFEEKKANVERIKKVEEFNRLQTLMKI SQEDSRSRMIKMKKQALIEARKKIALESLVRKHRIAEAVGNMRISNKWDNINEIMESA TTSSPGKDKSRKKKLSSSKSASDLETPTYL H257_13914 MPKKALSLDEAVAQGQRPSTPRSLEACLRTGIDPDEIVPRLLEE FLSKLRKADDVEREAAQIRFNHFEEGRQMKIRLLKKERASLVEAGYTSSPSPLTKHAS PTKKITSPFDVFTAPEDKLVVTDSTMVEMEEKRFQAMKVRQEREIAGIIEMENKMAEI QKQNAAREAVEAKRKAEWEKEKKERRAALMAAKHERELAKKKAEDAEAVARRIRAKRE AEKERILLEEGRKEEKQRQIEMAERELDRKLKAEQHRRDTEELLQQQENKIMENRRRV IEREKQAQRKMEEANERRRQEALVRREKANTRIQMALEQNQQVMQKKKKDFDAKQTLA AARAMEVHKKEMLDLKARAERSRKEEEIRIQRVEAARNNQQSRVQTIVEKRSQLESHL DVVYHERIKDRTLKCVERDVTFEEKKANVERIKKVEEFNRLQTLMKISQEDSRSRMIK MKKQALIEARKKIALESLVRKHRIAEAVGNMRISNKWDNINEIMESATTSSPGKDKSR KKKLSSSKSASDLETPTYL H257_13915 MSQSNPSNRVTQYSDVAEHSAAAMNLLAEEYEYLDPTPDVWSLF MEYDTLFFDGHLRGCEIKWSPRMTLCAGLCSFQPASGFCSIRLSQPLLKLRPRADLVN TLLHEMIHAFLFLHSPVRDHEDHGPQFQFHMNRINKMAQTEITVFHTFHDEVDTYRVH WWKCSGPCQSKPPYFGMVKRAMNRPPGPRDPWWATHAQTCGGSYIKVKEPPPKSLKSK ASKLNSDAPPAKKCKRPQPSIASFFQPSSSVSKQVAPVATITPNPTKPEPSDGNSHQN WILIDHSPQSKPTRSTIDLTTSDNDSD H257_13916 MTTRRHRISDKAFLSFFIPIACVLFVSAPVFVLKSYADPLLETT EGVPGLWRQKFNWWWQKQAWPLLLPVTTAGKPSDPEIPLVFLHEKYPHNGHKTLRLHD KKYLKMAESMQKSKYPSELGYVYIFDAKDTRDLPKGELIQVDGFEWKDDGCLLSTTRK RSFRIKSIRRDPHQGIMYAKVEWI H257_13917 MKGQFDDPSAPSEAVHLVVLQHGLHGSPQDYASLQPILTSVLQD QRVHVVATRSNSTEYYTTHDGIDIGGVRLADEVELLAAQCPKLQKISFIGHSLGGLYV RYCIGVLYARGFFATIEPMNFIALASPHLGIRRPASRGAFNTLFNSISSKLFDLTGAQ LTLRDAVSEDTLALSSVHTLVTVTTPRSFPALAMTLTMQFAAPNAGFSTLFSQLLGNS WAVYFSHLDVNEPPLFSFDLTHFDAVLYLPEPLTPPTAANSHDHDHDFNHLHIPAQPR DTVLQLQGRHDGQEVAIDICIESTDDWAFVHAILLRLGAISCATYPGDKLQLRSFQLQ DLDNAYTLFPPVSRSVAQPLAKQHLLQCLCQGAFLHGLHAFRRRAVYSNVFYDIQAPY SCSAFRAFNPYRSHVVPSATSSVYPHITLHSMENAAILRASILELTTAEISQEAHAVD PPKPKSWLQGLATIPAIIFPVNKAADTNELPPQQHRICHVVSKESDKVLVDSPEDIYS SDPMRDILREMLVCMQSLEWERIDVLFESALAHEQIIAKRSHEVPAHESGLDIVHHIA DTFVVD H257_13918 MTMWATKASDVLPSAGTPVGTLLPPTPSIVEDTFTHNLPRVVDL SVTSYSIRKDGVVMYHVDVQSSTGTYTIRRRYTDFRALYLKLASDMPMEQAADEVMTS RTSLISRFTTAGSTLPSLPSAGVWSFLRKYDTKVLEKRRERFQDILDAAASHPIARAS DAFQNFLSVAPEAVDEHRASYTSLRDYSVPTDNMVYLRQRKKAAGRKRLGSEGTSETS MIQPTSTSIRVV H257_13919 MGHRHHDDPVFALTLSGHISGGYDSPKAHKGKHHQRASTTKKKL IVSATSPSSPHTDRAHNLLVQADAFLDTAHSEVYAIEGAMKHLRHALDAFQVLFDKQI HMCADTECFKVARMKADLMDMLDSVKNASTSLEGSSSRLQKAITGGKKAIHVNQSTLL HRRNQPSRNGALDSIDTDYHHHQYATTSRTWSSSSLTGSCSSGSLDNTTTTPLTLWDT LFPLPGAPTLPKPDVSAVLDKVAFYSKTSLGKKDVLVFFRELIHWSLVHNHKTLSFLD RSHNKNHSTRYASILTSAAWDELGSLQVLTKVAQQHGNDSDDLGDVASATSVSTVNLE SFVTSTAFAHLVKTISAKLNFSPSKPPATSSPPKEAMTAAENTLSMAKLLHDLANAEC EAKNGFKLSVRSNAVRSKEFSKAFLTASKELLDKETTLY H257_13920 MEPLSSRSMGTSSASSRTNNQNRKYRRLASKESVDDTLFGSTSS RSGVGTRQGKQGTKRSQLAGREIVNGSTNQETEPDTKLTASPAAAASPKRVRPSDVCA VLPVNELQRIRNETRILTDSEIEAIAAQKEADAENLRMHAKARKQHMMERAAEAAKRA PKSEIETLFDQENDAIRKRAAVLKDQAHDSVKLMKTLGARAAAFTIRDEQIKRKKKIE EDHDKQNDQINLMMELDRLEGLKRQEDIADEKKLKRMHDRKVLEEQIHERRLFNERAQ KLVEQEAGEMLEKICQAQQEEAIRDKQKVARAQKAMDEVRAFNQAAMLKKQQHAQLIA LEDEKVVAYQRKKDEDARLREEQDARKRQEAELRCAKLRSTQERAANEKAQLDELRAK RAVEARERAAREADVAMERKMKKEMDELRVSREAQAMHRQRSKIQEALQQKHEYENII GQVEADKRQFQDREEQLHKKRTAHRVALQKQIEDKQVLAKEKFVKVQLEGKALKQEYA DELQKLEHIRIQEVAELEAAGVNPSYLSEMKALDIAKARDC H257_13921 MSKARVLLDESSAVDRHFRLKCIPTSMASTNSDDDGKAEAMSNL VLVEDKLASGGVGRVVLEHVVLQLTKSSRAKRFIHVHLETDGSSEKEALHLNYTGDIR GWFTVGNATLLERIYNDIIQAQAGEASSTSSCAVVIDSLSELLQQFSLRDVSTFLARV YQLPTVVVVVSRYNASLHKPTTTLGLRTLAKTLVNVETTASIAAYPLLSVESKRRLPH GMHGMVTLLHKSTHGQATDVIEYFTFEVTAGRRSLLMWTKQRAEQRVSHNATLGSTKT EEDPALGSLLSDLTFNLTVSERDSAARKQVVLPYQHQNHQSTPLFFIDDDDPDWDDDD LDDDLDI H257_13922 MKSAPAPTSGHRLSPIEATRASAIIEETIEKLSFLGSITPDILQ HREELSQVVGDEISRIIQDQRRLEAKYESLISQRSVLKGLANKSKFKENQREIQEVSR ALRESTRSLCRNLKDNPNFGGNLLKIQYERQALVDLLDETKRELKMCTYDSLVTYVTE GKNAADKAADLIETEKEAVEEVRRLTTELAREKIEHTRDVAEQKAAIAVLKEQLLQVK SKTQIDIRYARNEAKAKTASTSRLYQQLIAEQRDKISVLATQCDTETRVHDETVTFLR GKHERLTHEFTHWTDKYESDVAAKQKELARLTDERAANLIKLEGLQKRWVEEVELQKN KETEKRRLKELDLLKRDEEKKQLIAIRKIQIAYRTHKALLDEKKNAADTGKKKKGGKK GGKKK H257_13923 MGSGASNFQRRGSANAGNKAPAKMTGRGVPAPLVAANTQWKMVP QTISRDKSLKSLDEAELNSMLNDPVGQKHIGNYAKKILTSESFYCWIEILEFRDAPSS GYQRSVAKHIYKKYVRKGASMALGGLTREIVAEFDQKLAVIDSAPNVINQDFFASIQK LCFDDMCRNTYHRFKSSPEFDLYKLELKDTYNKVTVDDFDYLELLGSGGFGRVVHARK KSTGKHYAMKIQLKNGLLHEHQDQLDQITSEKDILQICNHPYILDMHYSFQTSAHAII VTELVRGGDLNELMKTSKKGYLPEGRVRLYAAEIALALNHLHELGLIYRDLKPCNVLL GEDGHLVLADMGLATGLEEIRAKKKEKEKATERALQVLNDAKRQQGKGDDDDDLPVPV VVPTPHSEAEAQKRQDEENATPSTNSQLQNIPKSFARPPDAKYTRRKTTVGTKGYMAP ELISGKLLRREERPGYNYTIDYWSLGVTVYELVCGYQPFNLYNVLGDGFLAEIAQEVE VAKLSPRSQYRAELERMAAGVDYPEYVSEEVRDFINKLLDVNASSRMGCTTRGFLDIM EHPYFKDLDWERLMVKHVQPEFTPTVKPLTDRKTYSNFWNMMAHFDARDKHFIRHDWY QDPPPEKQKAFEHWDYISPHTLKAELGIATEMDESNRPYKILQLTGETAG H257_13924 MPWRNEEWPSTLAATSRTSFTSMAARYQSELEQLASSPIMQTCD DTTSTRTTVTELGGNETTRVRWRTKLLQEIAGGLTNTPPQSPSQHLDYISATIAKPLM CTPESPLTKLRKQRELLQSRLLPPDKAATAVPLAALHPSKTSPTDHDPIGYDDVAQPQ PMNIPVIPHVKPTLPNAKLHEFPATSVVAQLQVANEARHEVSVQNHDVLFAAKVHTYK QLLERVLLGQGLQSPPQHHHHRQTFDNGVATSKQYAALASCACSSNESTHPSSEASLA GSHLATPPNTSNYEKSTIFQPVFIDTQPCKVVHSPPHTEPPATSSPTATPRKALLLSA IEKSVDVVGNTEAETCPACDTETSQDKDRVRFDATNESALSSQGLACTVSPTDVVVPP SLHIVTPSCNVAVGGILSQSIEYCVASPRSSDLRGVYTPSDLIPYSNMDSCTFQGPVD KSTISMTVLNAFECQTFEHVATVRTTDMIPLDSMPITPPSTTPTTPCPNKITPSLHDH VSKLGLIGIASRGAPYTTKQAAAATTSTPPPSPDFVPLPSLACDTGYCHTDFAPVEDM IPLPAPRCRSPRLNHRHGHNSTIAQFKARGHWKLGRSNVDLVGLDVMEGMSSACCRDE DSNKMPSSKAALHDGWPRQGNCISDRATASSVQACRHVLNADAAAVSTASFNTWHQRH EPETTVVQVVPPPAILLQSSDGSSGMSSLWTNAISDTTGRQSSDTHRPPRRTSSNSHP ICIVPNKLRHAGLPHTFWAAGLGA H257_13924 MNIPVIPHVKPTLPNAKLHEFPATSVVAQLQVANEARHEVSVQN HDVLFAAKVHTYKQLLERVLLGQGLQSPPQHHHHRQTFDNGVATSKQYAALASCACSS NESTHPSSEASLAGSHLATPPNTSNYEKSTIFQPVFIDTQPCKVVHSPPHTEPPATSS PTATPRKALLLSAIEKSVDVVGNTEAETCPACDTETSQDKDRVRFDATNESALSSQGL ACTVSPTDVVVPPSLHIVTPSCNVAVGGILSQSIEYCVASPRSSDLRGVYTPSDLIPY SNMDSCTFQGPVDKSTISMTVLNAFECQTFEHVATVRTTDMIPLDSMPITPPSTTPTT PCPNKITPSLHDHVSKLGLIGIASRGAPYTTKQAAAATTSTPPPSPDFVPLPSLACDT GYCHTDFAPVEDMIPLPAPRCRSPRLNHRHGHNSTIAQFKARGHWKLGRSNVDLVGLD VMEGMSSACCRDEDSNKMPSSKAALHDGWPRQGNCISDRATASSVQACRHVLNADAAA VSTASFNTWHQRHEPETTVVQVVPPPAILLQSSDGSSGMSSLWTNAISDTTGRQSSDT HRPPRRTSSNSHPICIVPNKLRHAGLPHTFWAAGLGA H257_13925 MSDEGKVVVPAYGALPLESSGASKLKLRSQSNRELAQSARGARR NTSLSVSTGGFVQHSGHGVRTSALTNNLVGMAQSAPGKKKVRGNSLFDGLSMSSSASS RRRTSRGLAQTTTSSLESFQSTLGNRMVTALTPRTGYACATCGTSLVVTWPEAAAGED SVAAAAARLLHLDVVPPPARTAKHNDDNEEGAEDDDVAKKNAVFVREHVWENQYFSVS EAKWSRDFVDLVGMSPYSSDDMEPLQSLPEPWTIGQTSSWVDDWTPVASHEEDPGGWH YAVSFHALSHSTPMDEPLVDTTEISVTRRARRRQLVRHRTIDPTENGWMGELKHRSVT HSLAKTPLCHTCALKLTQSLQAELDKLYTVATQYDVYLLGTSNPITKDQHHLVIPHDN MDILQGASHVGSTTSTHEPPSTSHHDVFTTPISSSAQHQSTPEHAETDLRDLSHLPQP PQLADHVIDLDLLSLDQLRREEAALAALVADLEASCISTSQTRQLVWQCAANLQHMTQ QTFIAGGLLQLDHHWTSQERASVGVFAVHASDMLRCLQRYNVFNDAFHIWHEGPFGTI NGLRLGRLPSRPVEWAEINAALGQATLLLTTVAQRAGMVFAKHVPVARGSYSKMLVAQ GSGVKRKEYPLFNDGGFLQRQKFNTALKCLLECVDEAGRQAIVEEPSLRFPYKITRAK IGDLSIEVGGNDEQWTRALKYLLTHLKWLLAWVAKRYP H257_13926 MLVKLFCVVVGEGRPFSVQIDADETRAYRDGAWLKSDGAKLMTV DDLDGLDAMDPVLYIRNPKHFGNTFAPNEGEIHIVVLVPPEDHPSKRQCLADVEVRDL LREHSLPGMEFTDALQKPLGFKIPIPHPNHVSQWPNTFTQGQAEFGSFVDALLRPPIV NDGATTAVYPLDALWLSLFRNLCVCSIYEDEYSRRTNPTNNASIVKGRVLVGKCEPKA SDDAMASAKEDLTKDMPVDAICTFPKGLDSFKLLKEFRGGDADMMPTIERLVTKFPEE AVVVDMDIIDRIYQTLGTRHLMIVDQVKLALDELHSLGVAHCDVRPANVFVLNTKRVI LEYCRPVDAPPPPNIKCVPTSFTCKTALELDVFQFIWVQDDLARYS H257_13927 MGKDARERKRKFEFRTPQKQASPFVFTKKNRPDDEMTPVTKPKG KATAKSPSLPAKQHADNTVDLCTPLRPTTVHANSSTKPSTTPRVHRISEVESNVRNQL TSANDRQKLEIFDALCGVLQKKIQHHIAALHHGKDSTQLVHVLDAAADAIKREFVAPA DPSPSSHAHDSGNTVHAVVASLEAKLQSLNDCEQEWRAFEDAVPSTLTSAASPPSFSS ESPFHYCSSFPVQIATDQRSLTARVTDVGTKMQFVEASLGDVDRMVDVSHAVRGSLFN AFHATEFKGYDHMHTPKEAIKSLMALLS H257_13927 MTPVTKPKGKATAKSPSLPAKQHADNTVDLCTPLRPTTVHANSS TKPSTTPRVHRISEVESNVRNQLTSANDRQKLEIFDALCGVLQKKIQHHIAALHHGKD STQLVHVLDAAADAIKREFVAPADPSPSSHAHDSGNTVHAVVASLEAKLQSLNDCEQE WRAFEDAVPSTLTSAASPPSFSSESPFHYCSSFPVQIATDQRSLTARVTDVGTKMQFV EASLGDVDRMVDVSHAVRGSLFNAFHATEFKGYDHMHTPKEAIKSLMALLS H257_13928 MALQLRYPGEADFSSRAALNDLPPSPTSTNGLTKRPVTAVFRWS PHVRASLQRQNESKLTPNELVIATGRRAASIMQQIVACTPEARVLGTLVLSTTFIVDT SLSSLDPSAVCSIVSLSSDKVVVVAPLDVADEHVWIWIESLFDHIAPRNVISMSTLMS LTYDSELHEACVLRMLRTTSSVEAPVHDVPILASPRFVTGIPAALLTYCELRQQDCSV FVTLHHSSSTVSQIAAAFHGITPLVQVESTKQGGPHVSGAPSSANSFEALYS H257_13928 MQQIVACTPEARVLGTLVLSTTFIVDTSLSSLDPSAVCSIVSLS SDKVVVVAPLDVADEHVWIWIESLFDHIAPRNVISMSTLMSLTYDSELHEACVLRMLR TTSSVEAPVHDVPILASPRFVTGIPAALLTYCELRQQDCSVFVTLHHSSSTVSQIAAA FHGITPLVQVESTKQGGPHVSGAPSSANSFEALYS H257_13929 MDTSEDGGCEHDGSIGKDGSLEVIWKDSPIEKKLQSNGMSNRHS NQSELRGFVHSLISSPSPNKNQTKPKASTTSVAVADARTSGGAGTTPSSISRFIVFSP ERATATSTTTTHHDAPAAMGFVTKTPPIHRHKRRKTGNEHEDLMSVLDKLDEEYADTP EKAIESAFESPFSKEAWDTIEQMEIEATQQSIQRHELKPVQTHISPAGDTLKPPPPPP PARPAAAPSATPLDYLRCVALEVQIETHQRQKTIRALDDATDKTIVIGLREDWFDTPL QVGDTFHFIFTPSSTSRTDSTTTTTHPTSQPLVADNSSHRIVLHPEILVSPTTVTSSM GCSRRAVLQQTLTMQRNAGAKALIGTLKHQLFQRALESGTYSIPYLIEQSKLIIQSNL LKLLEYGLSTQQASDELKLSFQGMYKWLNQAHRNGIRVKGGGNNCSALYRLQAVLSIE EPLWSVKYGLKGAVDACLNMQHISHEKANKMMAFELKTGKPTNSIDHIGQVLLYTLLL DERYQGSAEGLLLYLQDVDSTMLQQPVEAHIRGLLHSRNLHAAHLFQARTRQLLPTLL KKTWECQNCFVSAECMLHHAAIERGSALSSGVPDVFDKVTSHLTVPELTYFKKWIQLL EWESRSNQNTSMLFPQWSCTEPRLLSNLKAQTHAPGFVELTFGDESTNSSSFDVLSDL KVQDRVILSVQSPTHSIFHVAKASVAAVHPSYLRLSLFSAIPATILHGQSVVGSAFSY RVDKDATYSGLQAAKHNVLALMATPDMEAKRKLICHLHPPRFASLSVEARVRRRCLDI GGGGNDCEALLREFYSTMNADQQKAIEQMLNAKDYALILGMPGTGKTTAITMAVRLLR YLGLSVLVTSYTHAAVDNLLVKLLDMDVSVLRIGGTPALVHPKVGPHRLEAKSFEHAE QMRQAMLDASVVGCTCLSTHHVLFAHRKFDVCIVDEASQITQPVLLGALQHATVFCLV GDHYQLPPLVVDAQAKTGGLDWSLFKCLSEAHPQASTVLGYQYRMNQHIMLLANRLIY NNQLKYGGRRGDIRPSGASSTSSLGPVVVPTRQQPPAPIGPMARATTSSSTTSTPPWI SQVLSPEMGVVFVNTDSLVPTSDGIDGLEVRQGRSIINPTEARVVLGLAGLLCQQQHL LACDNVTILSPFRSQVQYLSQFQTAHEISTIDTYQGKDKRVVFVSFVRSNRDGHVGDL LLDWRRINVALTRAKDKLVLVGSKATLRQSPVLHALLTLVDQQHWGIDVPCGVVGLPH LVAGQNKTSRVRRGAEMGATTSSHMYTVVPPSDEIENLVGGNIVRVVPSGHRFQVSRN IMEEG H257_13930 MLPYLFELYPSVISKSQAELIRGSMAGVSVLTIDSKVTFRFKPF PSYLGCTFRMFTVVELEDQQIIKHTDHWDLNTVLESIPIVSFVYRIFRTAFGNASSHL INTFIPRTPRTQLIQDSSTTSQRGFGEVAAATK H257_13931 MSTPEALLNTLRKLDANKACANCDAVAKFGHGNICEKFKTFVCN HCKSAHQSYSHRVKSVTMSNWTREDVDALKEEHGGGNALARRTWLASWKDGDGRSLRK PLETDHLDVFKKFINAVYNDKAYFSEPNAAPSSSRPKPTPPPPHVSSIVSTHASTSGD LLGFSPPPTSSLSSATFEANFDAFSAPVPPQLATSSFEATFDAFPPPSAVATTTPQHH HDEWSAFQSATVVPPAPISTPFDPFGIPSAAAGATSSTSPSLAFDPFASTTTSTSSTS STPTHHRGPPTPQHSHAGTAINSLLQPAVGLHGFGVPNNQQPFNQALYPQGGGQLSNI TYSGGGGASISSFLDPTLVASPHPRPPLGGQPSYHHQPTFQPQPSYQQQGYHRPSQTS GGRDPFAGLAFK H257_13932 MAVTARRKNNAKGGEMGMAQAMTLKILSMYDRATDSDAIVQELY HPDASFSDPWVEVHGRENVAAHFRAIPSVISKSQAELIRGSMAGVSVLTIDSKVTFRF KPFPSYLGCTFRMFTVVELEDQQIIKHTDHWDLNTVLESIPIVSFVYRIFRTAFGNAS SHLINTFIPRTPRTQLIQDSSTTSQRGFGEVAAATK H257_13932 MAVTARRKNNAKGGEMGMAQAMTLKILSMYDRATDSDAIVQELY HPDASFSDPWVEVHGRENVAAHFRAIPSVISKSQAELIRGSMAGVSVLTIDSKVTFRF KPFPSYLGCTFRMFTVVELEDQQIIKHTDHWDLNTVLESIPIVSFVYRIFRTAFGNAS SHLINTFIPRTPRTRTTQSHELTIVSWSRL H257_13933 MEQLHAVLHSYNTLSTLEPTWAAWTADLSPFDLAFTYTAVVSSA ALFGGSFVYFILDYQPSLRKYKLQPTRLPTLGLYWKCLKLSVLNQVVLHGAVLAGLLY LWGHLATFSASAPLPVPTTILYELVMFVLVEDATFYWVHRALHWKKVYKYVHKVHHEF TAPFGLTAVYTHPVEELATILATLAGPLIFGSHILCLWVWLVLRTIETIDSHSGYDFP FTLGHFFPLLSGPARHDYHHEKFDCNYGSTLAFWDWFCGTDKAFRALQYEKASKGERA WFDVFDYCTQIMAKTKDI H257_13934 MDLVLDYADKAFLTEHVYPSWMAEDDIGRQCLSLFAITSVGGYA LYLSFALVSYVFIFDKSYEKHPKFLKNQVRLELQVACGSIPFMTLLTLPVFLAEVRGY SFLYDDVSDYGYAYLAFSVAMFMFFNDMMIYWIHRLLHHPLVYKHIHKLHHKWLVPTP FASHAFHPVDGFLQSTPYHMFVFLLPLHKVVYLGLFVLVNFWTISIHDGNFVMDKMPK WFEMLVNGAAHHTDHHLYFDFNYGQYFTLWDHVGGSYRSPSPFDQNGPLDDVKRLQQA RSS H257_13935 MSLAVLQTRLAIVDPLYSSPHPFSCRTDDDHEMFLVCNGEVYNH RTLRAAIPPGAYSFQSHHCDIEVILTSIHLWGLDAALAALDGMFAFMLVQVDAATGDV DKIICARDPFGIKPLFVATTATTLECASEMKAACFSTLMENERLQPTLDEVPAGSYMV WHPTRDGGLGPAMTQRYFSPPWSLGSLAMPSAGTCQDDVVPRVDKLKPSIKTNVEDVV ADEVADELLGRLIRTALEQAVAKRLGVPWERNDDVELTLKDADDGCEVGVFLSGGLDS SLIAAIATRKLPSSRQLKTFTIYCTDNAEGEEGGKPSGSNSECWVGADRDMARKVSNA IGSDHFEYAFTPLEAQAALPDVIYHMETSDKRCMRAGLAMYLLSQRLRQHHPHLKVVL CGEGADELFAGYDLFRQFQRTCPLGSPTAADDKIVLDFERELTRRLMHIGSSELLRVD RCTMAFGLEARVPFLDLHVVHVAMQCIPPQQKMLDVPDRLEKQVLRLAFQGYGLPPDV LGRRKVEFAKGFENQSWIPGDEEHAYATHFSALFPPSVASCVRRQHARRQQGADEGPS IRLTIVQVDLFLSTRLHLDLTKTSAPPTPELLHCIVEAMHKHIPFHNLTLLTRPRRPP SWDEIVHDMMMGLGGPCAVVNAFLHALLTALQFQVSFRAATIAGRTGCHVCLVCELDS TLIFVDCGNAKPYMPCALDDTTTHEVGDASWAWRLITQVVAANDEPSNSPHTTTVVSV QHRQRAKCQETATATGGRDEEKIERWETAMTFDAYRPVPYSFFRASIVQSRSDPRYGP FLTGVRLVRYPRLALVAIRDRTMRSPEMLTPKIATTSAELTAFVQTHFGDIPALVRLF DAALACVALF H257_13936 MEIKGWALQDAWMTKLADWLALCPMSETNPGGVAAVGSLLATEL DRLGFTVHRIQNPSGREGSIVLAAIRSPSPGVRTWVGLCGHWDVETTSPLKWASDPLV PTIRDRRVYCRGVGDNLGPLLQRLLVLASMSEDAPCPGLFWVLHGEEETGNGFPHQVF PTLYAKFPNLKDSIALWMDETGYFEANGDQRLLVVQNHNRELMRKVVAAVETSAHADD GGRQVHVVERFLNKELGGKTCPYRRYLFGPQVDYVALGMNDVLTNIHRPNESVPLDTL AVSATQFAKVLTVVATHNEDGQVVMQATVE H257_13937 MSFEGLSIAVTGGAGFIGSSLVTQLLALHATHVMIIDCLTPDYD VAIKRARIEYALTDERCSFEQVNICDRARLLDVFRTHQPVVVYHLAAQAGVRRCELSP ALTCATNVEGTASVLHTCSATPSVKYVVFASSSSVYGNQPTPWNELTTPMDPQSLYAR TKVMGEQLCQQFGAKHEGNKSVCILRPFSVYGPQGRPDMAIAKFVRALRHRQPITLIG NTQRDCTFIDDVVQAFVLSALVQRPHQERYKQQHQLVSATGESNINQTPLTRTFNVGT GHTTSMEDVLQQIQRAMRQVPVEVLHAPANPVDAIVTRADSVAASNELGFRASVHLSE GIVKTVASELHDPPMHIAVVVATTDGGRFDLLTKRCLPSIWNQTRPPDSIVIVADTSC EDGFTNDLHAFLRNSPGNVMLLFNHRTLGASGAWNTGILHVLSAIPPGGDMSRMYIAI CDDDDMWSCDHLALMDRHRSDVVVGGLIRYESDEGEGKPLSIPRLPLSSNAFLSGNPH LQGSNLYVRLLVLLQAGLFDEGLNACTDRDLMVRVLDLPGVSVECVANGAHSVHHFAD ASRVRLTTCGHRKQLALTVFWRKHAHRMTKTVQGDFMCRAVMLFGWSPPSPQVTPNES TTTSVPTPLISPSCDGRLSQKYALIVGITSDSGSSAVRGLLEDLVALSCASLVSTDVV ILENGPKASTLQATITTFQESHVLRCLFVPLDQQRQDMVSGLLPPNQTFDVRASIAET RTRVQLYTSIFAHQLAPQLGSADCIVKPVVWILDDDKRLPPTFPLQAVLQAHESDPTI AVVLGVDAQCPPLPPAFCVRTQLVDMLSHLQLCLHTPPSDPLGPPQPPGATSLSEKVQ GDYYHDLAGYKTLETPMWMNTMSTSLAHFTTLGECMNQILKGSLVTRPLASDDDVPPT PTLTLTPSIHRGGCTFVFDLECMLDANTAPPCHRRSDMVWSLLQRDVHHKRVVQCRQV CVNHIRQSMPSKTDLIDVAMKDVAGHALYQALQTVLGDPDMTETPSWVELWPRFWEQY CVTYNRRRTELRASVERIRGLVYTIKSLLRCQSAWWNSSNNSSDNDVIEGAKATLWTA LESLTHRFDSAWEEPLSVDVTNDNTMRELHMWFTAVLPRHRHDDWTRAQLTLFHNSVY EPHRIESARACVSILYQVPPESLELLGVGYEGVTFHNGKSMDGQRGCCCFKYMDLAAL RFPNHVWDSLVALLTEPTKSMLGLRCVRRRGYHVCLERDYVDGTELNLNSKRECAPEA PLSFLAWCRKANITCRNVKPQNLVVSRETGQLTLVDIGMDTVVPWTSEGEGHMMRKMY LSWAWLHRHDLAALLSASHHSPKMPELQAGFNRFQLAYNHMLTPQACVDDAVALVAQL VPPGGGSLLNVTIDWTLHARVQAILPHAQGAKLVVHPLVQVGKTELTLHNPFDTITCI CVVCAVDDLTMHRVLLELRAKVAPHGVVVLAMCNPFFVAANPSPHGLSDRFHRCFGRR NDDVVMPRPWHVFEHAFWRAGLMVVDMAHTKSADVVAFEPVSDYIVVQLKPVTTLCLS PSVMPAAVGGRPSHRGETTLMASCTLLIKTCATEHLTLAARVRHLKEQLEGPRAFAET LVIVDGYRRDNDKSSFGKPEFFEPENELDEEYNDDLDSFVDSTPRPSLDTPLHRDTDK FDLCDGDAVTDELSKCLAVCQELQQEGWIDRYLHYQPTSAEVVALNSKWFGLHHNNQT HTRTRRGTLVQVASTLAGLEAATSEFILQVDSDLMVGRHSYYHLDDYLGQAMAIFAQD ELAISVALDTFRSQPQGGGRQLPGGPTWCDPDTGTPHRVEVRGCVFSKARLMSKLPMP RPLGPTLAYLKSTTVTQYHSVCKVDPQHWLLPWYRAMDIAMQDINWGRSYRVHDGTTF FVHPTDTTKASTDNYGLVLDCVATLRLPSALQHGHVDCQGGVQDWMNALSKRHEDVVV VVLGRNVSPSKIMRCLDSIARQHKCPQWTVGVIVVDDASSSHTTAAFLRWYCHPQKNN ARPPVTLIQPRFEPRKVGANTVLAVEYVCANPMSVVVTLDMDDSLLGMDVWTTLYRYY IQEYADATVGGMLRTDKIQPPTSYPGICVNGARRLRGGGNVWMHLRSFRKYLFDRILD QDLREHAILDATTLTRGDNGGNPYLTFGFDWAMMLPLVEMATKPTVVHEVLYLYEPFG PHKAETDAVAFRLLARPAYSKLRPLIAVVGDANLNARHAVVDCAFPGPRASSEATGAA EKEAVLMALGQALVDAGYTVLCGGLGGAMLAVARGAHASTEWQEGRVVGLVPGTDRRQ ANSFIDMPIATGLGIARNCLVAQADAMVCVGGGSGTLSEMALAWSAGRLVIGMESASG VTPQFVGKPLDGRRRYPAEVVPHDQVYRAKDVDQVIQLLRAYLPLYAKKRQLPM H257_13938 MATHASYAMSEEYVPQHQGGKYSATNAKKRLVRKIQQDSLKQLS LATQAVLVRSPTEPYHSYHMTITSEYYKQKWIACHRYSDFYRLRKTVLEVLSVHARMG CPVCQTVHAQVKKFDFPPRDIFRRGELDKQVAMRLPMLEDFVVALCQYLSTEGLLVHC RNILTVQNKMKDFMQFPLAHEEQHIRAIRSLTYVDPRDVHVETESCPICLNDWGELDG NQLVYAQCGHFFHEHCINEWYTTRFDCPMCRHIAGV H257_13938 MATHASYAMSEEYVPQHQGGKYSATNAKKRLVRKIQQDSLKQLS LATQAVLVRSPTEPYHSYHMTITSEYYKQKWIACHRYSDFYRLRKTVLEVLSVHARMG CPVCQTVHAQVKKFDFPPRDIFRRGELDKQVAMRLPMLEDFVVALCQYLSTEGLLVHC RNILTVQNKMKDFMQFPLAHEEQHIRAIRSLTYVR H257_13938 MATHASYAMSEEYVPQHQGGKYSATNAKKRLVRKIQQDSLKQLS LATQAVLVRSPTEPYHSYHMTITSEYYKQKWIACHRYSDFYRLRKTVLEVLSVHARMG CPVCQTVHAQVKKFDFPPRDIFRRGELDKQVAMRLPMLEDFVVALCQYLSTEGLLVHC RNILTVQNKVVVHGILRYHHHCINNLYFSIFDPECACIMQCLIASDIVSTCADERFYA VSTRA H257_13938 MATHASYAMSEEYVPQHQGGKYSATNAKKRLVRKIQQDSLKQLS LATQAVLVRSPTEPYHSYHMTITSEYYKQKWIACHRYSDFYRLRKTVLEVLSVHARMG CPVCQTVHAQVKKFDFPPRDIFRRGELDKQVAMRLPMLEDFVVALCQYLSTEGLLVHC RNILTVQNKVVVHGILRYHHHCINNLYFSIFDPECACIMQCLIASDIVSTCADERFYA VSTRA H257_13939 MIYLHLGFVSDNAIPEFVGWGTMSPKDTSRRSGRWTASATAAIG IVGAAIYLGVEPAPYAATTSEYFPAHAPATATAPAALWSGATSSYTLGRWTEPITDVR FHFEHPRPSSTSIADAIIVYGRALPARIQTKKWHYTSINTRDHFIAIAVVSLQYLSPM FVYVVDKATMEKWEYGAMLPGSYGVEFAASSVDPATCTSVASVNLRMCFQDNAWRMSA TSIPLTSSEDRSSVRPFSFELTMLPGEPLILSFPLANDIMRPSYVHKGAGYAVHGTYS FGSVDTTIATAVPALGTIDWTKSLALHRTEWNWVSSTFVADDGTAVGINLSRRVYDVD GASQENAIWLDGIVCVLGAVTFDVPEDGMTQAWRIRSVDGVGAVNLTFVPGGARQERL NVLNVLRSDFVQPYGRFTGKISCTGSDRISRTVDMHDAFGVVEDHFALW H257_13940 MTTNTTAQASTTSSTSSHNDRHSDSYHTLVDDQHHSSFSYSHQF PSNAAKPFVRGSNHTPNPFIRGSIIDREIPAMPEECMATGPPKRAYNGKIKRWPGVVL LVMIVGGALVAIVVYSLQTHDASIKRRRDVQRAIADRVRIADGLDIPTGIERPNDDES DDGVINNPKVYKSMECELPNYVSKKGKIWAVSKNGTEVPVGIKGVNWFGMETGMQAPF GLWDNEHNGTTVYAIADFLAANNFNSVRLPLCVQNILDNKPLQAAIVNKQTNRALDLS SYVSLIQSIVKGLGFRHVSVMLSMHTLDLMNTAGSLWYGVGITEEQFLTSIDVLTTAL CTNEYWNVLGIDLKNEPWQGTWGTGLANDFRVGAETIAARMLEKCPKWLAFVEGVNDQ HDIVLDGQEYGYYDWFGGGLHKAKLYPPRFPVPDKLVYAPHYYTPAVFPQMYLFGGGV VGKGNVIVDYVELANAKLYNRVKATMYDMFGYLNEDKGPAVVLGEFAGLYTKDAHPMK TTQRCTDLTIQIMVEEGYAGGYMWSLNPESAYQYNPADKAGHFVEGLMQDDWRKANLP FLKAMKGLDALPDLKPMPCFPIVKANE H257_13940 MTTNTTAQASTTSSTSSHNDRHSDSYHTLVDDQHHSSFSYSHQF PSNAAKPFVRGSNHTPNPFIRGSIIDREIPAMPEECMATGPPKRAYNGKIKRWPGVVL LVMIVGGALVAIVVYSLQTHDASIKRRRDVQRAIADRVRIADGLDIPTGIERPNDDES DDGVINNPKVYKSMECELPNYVSKKGKIWAVSKNGTEVPVGIKGVNWFGMETGMQAPF GLWDNEHNGTTYAIADFLAANNFNSVRLPLCVQNILDNKPLQAAIVNKQTNRALDLSS YVSLIQSIVKGLGFRHVSVMLSMHTLDLMNTAGSLWYGVGITEEQFLTSIDVLTTALC TNEYWNVLGIDLKNEPWQGTWGTGLANDFRVGAETIAARMLEKCPKWLAFVEGVNDQH DIVLDGQEYGYYDWFGGGLHKAKLYPPRFPVPDKLVYAPHYYTPAVFPQMYLFGGGVV GKGNVIVDYVELANAKLYNRVKATMYDMFGYLNEDKGPAVVLGEFAGLYTKDAHPMKT TQRCTDLTIQIMVEEGYAGGYMWSLNPESAYQYNPADKAGHFVEGLMQDDWRKANLPF LKAMKGLDALPDLKPMPCFPIVKANE H257_13940 MIVGGALVAIVVYSLQTHDASIKRRRDVQRAIADRVRIADGLDI PTGIERPNDDESDDGVINNPKVYKSMECELPNYVSKKGKIWAVSKNGTEVPVGIKGVN WFGMETGMQAPFGLWDNEHNGTTYAIADFLAANNFNSVRLPLCVQNILDNKPLQAAIV NKQTNRALDLSSYVSLIQSIVKGLGFRHVSVMLSMHTLDLMNTAGSLWYGVGITEEQF LTSIDVLTTALCTNEYWNVLGIDLKNEPWQGTWGTGLANDFRVGAETIAARMLEKCPK WLAFVEGVNDQHDIVLDGQEYGYYDWFGGGLHKAKLYPPRFPVPDKLVYAPHYYTPAV FPQMYLFGGGVVGKGNVIVDYVELANAKLYNRVKATMYDMFGYLNEDKGPAVVLGEFA GLYTKDAHPMKTTQRCTDLTIQIMVEEGYAGGYMWSLNPESAYQYNPADKAGHFVEGL MQDDWRKANLPFLKAMKGLDALPDLKPMPCFPIVKANE H257_13941 MGAPRHEHNGREKVKVFLLTFFSYVMLHVSRKSFSAIKGEMSKE LFMESALIPSTEQGKMYGLMDTLFMAFYACGLYVSGIIGDRFDLRKLLSGGMFITAAI MCVFGVSAFLDIRSLGLYAFLWALNGLVQSIGWPVNVAVMGNWFDKQERGAIMGLWSA NASFGNIVGTAVVALLYIAVPSKVIAWKSAVLVAGGLVAVQGWLVYAFLKPAPKSANH DAEEALLGSARTDDSHVMTSPASSANPPHRGKQGISFWRAWQIPGVLPYALAYACLKS LNYALFFWLPFYLTISLGMDDAKADMYSMLYDAGQIAGGFLGGYVTDKAGIRSPIVFA MIGAATSLLHLFDGASHAQTTALLLATGFLLGGPANLISTAISADLGSHDSLREDTAA LATVTGIIDGTGSVGAAIVQFLVGYLAGCHPVGEGGTMVCTWGPVFVLLQVSGILSCV CLVPLVANELKRTCRR H257_13941 MFITAAIMCVFGVSAFLDIRSLGLYAFLWALNGLVQSIGWPVNV AVMGNWFDKQERGAIMGLWSANASFGNIVGTAVVALLYIAVPSKVIAWKSAVLVAGGL VAVQGWLVYAFLKPAPKSANHDAEEALLGSARTDDSHVMTSPASSANPPHRGKQGISF WRAWQIPGVLPYALAYACLKSLNYALFFWLPFYLTISLGMDDAKADMYSMLYDAGQIA GGFLGGYVTDKAGIRSPIVFAMIGAATSLLHLFDGASHAQTTALLLATGFLLGGPANL ISTAISADLGSHDSLREDTAALATVTGIIDGTGSVGAAIVQFLVGYLAGCHPVGEGGT MVCTWGPVFVLLQVSGILSCVCLVPLVANELKRTCRR H257_13942 MPGTLQRRRQLPGRHGIRSSIVAVLMALVSASSNTCSSTGDDDG LQQQHYSLTGVKGALVSGNGLTFCTQCVDVLREGSVVPAWESYHNPDVFRVYGDIVYA VPNTALDDLYNGHQVDGRLVLVDRGDVPIADKARRVQEAGGTGMVVVDSGECGAAFAC GVLGSPRQNGFLEQDEWVKWRDMHIPVVLVLQPDGDRIKAAMDLVQMDMPDLGLQYVL RE H257_13942 MPGTLQRRRQLPGRHGIRSSIVAVLMALVSASSNTCSSTGDDDG LQQQHYSLTGVKGALVSGNGLTFCTQCVDVLREGSVGTNVIAHVLILSSLNALTYMGI LVPAWESYHNPDVFRVYGDIVYAVPNTALDDLYNGHQVDGRLVLVDRGDVPIADKARR VQEAGGTGMVVVDSGECGAAFACGVLGSPRQNGFLEQDEWVKWRDMHIPVVLVLQPDG DRIKAAMDLVQMDMPDLGLQYVLRE H257_13943 MGPRSNMKKSGYEDIEAGKDKTVPLRSLAVLLIPYFWPQGFFLK LRVAVSLSLVAASRSFRVLSPLFLKDATNELASTGTLPLRSLALYCAALFLSSTAKQV QTYSYLRVKQHAYTNVSSTVFAHLHQMSMHFHLTKKTGKIMRVLDRGLDSTDSIVNVL FFRFVPTLCEVAAVSLVFAFAFNDHWLSVVTVSSVSLYTVVTFIGTSVRLRFKTQSNL HDNDANEKAVDSLTNFETVKYFNAEKYETERYMASIDRYQQSTYLTRGYLNALNVAQQ LIQSTCLFVCMAITGIKVSQGHLTVGDFVAVGSYILNIFKPLDSLGAIYNTIVQSIVD MSNLVELLHQTPDVLDKDDAKSLVVSATPTSSPSSSRGFTSSQRRYQPTVQFDHVSFT YPGQPSANGLKNISFTIGPGQTLAVVGTTGAGKSTLSRLLFRFYDVTAGRILIDGQDI SNVDQKSLRQVLGIVPQDAVMFNDSIYYNIHYGRLSASKAEVEAAAKAANLDSFLASL PDGLDTKVGERGLKLSGGEKQRVAIARAILKNPKVMVLDEATSALDTSTERSIYEELQ RICAHRTTLVIAHRLSTIREAHEILVLDHGQMLERGSHDHLIAQNGVYASMWCAQQTS AISMDGDATSQDI H257_13944 MSPYAPVTYHHQLCRQRRYQQTFFESASSGRWPSATPAAYIMAW PLYLGDVEPKDVDAAMATIKTKRSMQVVVWYSTGFRCGANYHPGD H257_13945 MCRPFEIESRLNVSHLLPGFNVPQQQQQTLYAMPKPAAAMPFML SSFKPPQFDVMTTGNSNFDSFEDLLDCSILDDDSDLHLNVGDEDFDPTELAILSKYVE DIPLDNADNNMKTEVHVMDQHPGMVTLNLLDDMSLDLNMLSDEDMLLTNMQLKAPIDM SMNTGAMMTSDPWTNQTSSCTSDDHHHDEEAAFPFKSRRFCSVDGCDKRSRSHGLCIA HGGGRRCAVDGCGKSSQGGNLCIKHGGGKRCQVDKCDKAAQSNLLCKMHGGGPRCLFA NCHRSSQGGGFCRTHGGGKRCLFESCDKGTQRGDFCALHGGSRLCGVSGCMRNDRGGG FCATHGGGKRCSEEGCQKPCRRQGMCSTHLRLSGSA H257_13946 MQSAAKSFARHFSTALSPRDVVIVSYARTPIATFNGAFASLSAP ELGAIANKAAIERAGISVNEIQEAYLGNVVSAAIGQAPARQSVLKAGIPDTVPCTTIN KVCASGMKSIALASQSIIAGSQDVMLAGGFESMSNIPYYLPKARTGYRLGDGKLVDGV IHDGLWDPYNNQHMGLCGEKCAEEYSITRQDQDKYAIESYTRAANAAKAGKFAAEITP VSIAQRKGDPKVITEDDEIHNVNVSKIPSLRPAFKKDGTVTAANASSLNDGAAALVLM SAEKALALGLKPLARILGFGDAAHEPVDFTTAPSKAVPIAAKHAKLSLTDIDYHEINE AFSVVSLVNMQLLNLDHSRVNVNGGAVALGHPIGMSGARIVGTLIHVLEQNDATIGCA SICNGGGGAGALILERL H257_13947 MAHSALDLPSAAAAEGEAPVTPHNNQDECDSTKETQVDGKIDEA DDVADAREPEQKKHKPLSTPTNAQPSSPSTTDLQALSWDGDHCGDHVRLSNKTNTMET CILDPEQWNCVLASQAASTFRVRLDNVGRGGDLWVGYCKKDSFVPNGSTRGRFCQILR ASAANVTGDETSHPFEDGDVLTVTHHRANHTILFQKNGQDIGIEYEHVTDEPRYPAVV TNSDRVSMTLL H257_13948 MTSVIKTPLPPHRLQDSTLLQLSMSWQQSPPMAIPMMATHRSAA STDLTHTEPTIPQLSFEAREGQMLVQAYAANARIGAEYAKHETDSSSSTPRPSSLSSD LDAADNLSLPDDDQDVFSMEL H257_13949 MTAYEAPEWALNGSNRFGLSMEVIKGGIILEKVELVTSSKSYFV AGRMEPLCDIVLQHPSVSRTHAALQFDAKGQLFLVDLGSTHGTFVNKKRISAADHIEL HVGDVVVFGESTRIYTILGPVDLMPDEYSSDNLAKLRSKLEARKARRLEQQKDVDEEG ASWGFREDAVEEDDSEHSDDSDNDTFSTSTTNKASSKPNVPLPDYLRNRKDDTSGPYV SSVTKDTVNLTKDSKLYTRLQNRIQKLENLKLESSRIRAKQNVGLTDGQEAALARNDT RTQELQDEIEMLEAQLLAKHTQRTTQKEVAAVVAKSKKSSATKAKMYDSDDDDFYDRT KNHVAASTSHHPPPKQVLTFATLSTTLAGLRADLKLLDAQTATSSLSRATPAPTAKPQ PTLSTATTILNQHDTDNHVAPQPTGSLMSDVTAEPSSSSSFVSSDDVAEREDKRPSKR HSPEVKPIEVEARPKRIRHRRKGDPVNKKSAVVHATALDVLEGGDVVWQPPTNQTGDG RTSLNDKFGY H257_13950 MEDVDMKDDRHDGSFSSLHITTSSHSSEAIDTGTPTTEYWKQHG ALKASYLDEVNAVYNDFRALIMDSSSDESAERQQQLEYYMEFVAFCKLVLDESAASQD AIRPWEDLARVRKYIQLVVTPYMAKMAPPSAATSTATQGTNRHELDNSNVHVAWTGRR HNSSRGGRPAIDRSVGGVPASYMNHHHASSSSVTSPLGGRSSQVSMESDDVDTQSSAG GGNATEYWRQHTALKAAHFETCAAVYHAFQHFLTSQPVDLASPQRQKLLYLLGFIEFC CQVLSESSATHSARAMSDLKRVVKSINKIVTPYRHKIASEQPPSKNPPQCLNNHKDQY HHNPNHNLPLSVSTAHPAKILPSVQDLLNGTTSNTNLSRTSIDSTQQYTSSAVVDRNG GPHPHGGGADAADEYWHHVSQLKSTYLSQVVAAHTFFDAYLHQDAAHHSQEHLQDVEH LCDYAAYCRQVLTEVQPSPSRTLQELHHVHTYITTLVLPHFASLQADTVQQQQQPPGQ PKTSEEAVALETHHRQTNQHTDLFLQSIDHQQQHPPCRPDNKDTVGSRASTFESSTFY GVGATSTYWRKHAALKELYSDKVILVQTAFRKYASEFAHAKSAAEARKLTRLYEMLES VEFCAKVFDEQAVCDPARDLDSLETIHRCIIQIVSPYCQQVEAEAARA H257_13950 MDSSSDESAERQQQLEYYMEFVAFCKLVLDESAASQDAIRPWED LARVRKYIQLVVTPYMAKMAPPSAATSTATQGTNRHELDNSNVHVAWTGRRHNSSRGG RPAIDRSVGGVPASYMNHHHASSSSVTSPLGGRSSQVSMESDDVDTQSSAGGGNATEY WRQHTALKAAHFETCAAVYHAFQHFLTSQPVDLASPQRQKLLYLLGFIEFCCQVLSES SATHSARAMSDLKRVVKSINKIVTPYRHKIASEQPPSKNPPQCLNNHKDQYHHNPNHN LPLSVSTAHPAKILPSVQDLLNGTTSNTNLSRTSIDSTQQYTSSAVVDRNGGPHPHGG GADAADEYWHHVSQLKSTYLSQVVAAHTFFDAYLHQDAAHHSQEHLQDVEHLCDYAAY CRQVLTEVQPSPSRTLQELHHVHTYITTLVLPHFASLQADTVQQQQQPPGQPKTSEEA VALETHHRQTNQHTDLFLQSIDHQQQHPPCRPDNKDTVGSRASTFESSTFYGVGATST YWRKHAALKELYSDKVILVQTAFRKYASEFAHAKSAAEARKLTRLYEMLESVEFCAKV FDEQAVCDPARDLDSLETIHRCIIQIVSPYCQQVEAEAARA H257_13950 MEDVDMKDDRHDGSFSSLHITTSSHSSEAIDTGTPTTEYWKQHG ALKASYLDEVNAVYNDFRALIMDSSSDESAERQQQLEYYMEFVAFCKLVLDESAASQD AIRPWEDLARVRKYIQLVVTPYMAKMAPPSAATSTATQGTNRHELDNSNVHVAWTGRR HNSSRGGRPAIDRSVGGVPASYMNHHHASSSSVTSPLGGRSSQVSMESDDVDTQSSAG GGNATEYWRQHTALKAAHFETCAAVYHAFQHFLTSQPVDLASPQRQKLLYLLGFIEFC CQVLSESSATHSARAMSDLKRVVKSINKIVTPYRHKIASEQPPSKNPPQCLNNHKDQY HHNPNHNLPLSVSTAHPAKILPSVQDLLNGTTSNTNLSRTSIDSTQQYTSSAVVDRNG GPHPHGGGADAADEYWHHVSQLKSTYLSQVVAAHTFFDAYLHQDAAHHSQEHLQDVEH LCDYAAYCRQVLTEVQPSPSRTLQELHHVHTYITTLVLPHFASLQADTVQQQQQPPGQ PKTSEEAVALETHHRQTNQHTDLFLQSIDHQQQHPPCRPDNKDTVGSRASTFESSTFY GVGATSTYWRKFKLPFESTRPSLPMPNLLPRQEN H257_13950 MDSSSDESAERQQQLEYYMEFVAFCKLVLDESAASQDAIRPWED LARVRKYIQLVVTPYMAKMAPPSAATSTATQGTNRHELDNSNVHVAWTGRRHNSSRGG RPAIDRSVGGVPASYMNHHHASSSSVTSPLGGRSSQVSMESDDVDTQSSAGGGNATEY WRQHTALKAAHFETCAAVYHAFQHFLTSQPVDLASPQRQKLLYLLGFIEFCCQVLSES SATHSARAMSDLKRVVKSINKIVTPYRHKIASEQPPSKNPPQCLNNHKDQYHHNPNHN LPLSVSTAHPAKILPSVQDLLNGTTSNTNLSRTSIDSTQQYTSSAVVDRNGGPHPHGG GADAADEYWHHVSQLKSTYLSQVVAAHTFFDAYLHQDAAHHSQEHLQDVEHLCDYAAY CRQVLTEVQPSPSRTLQELHHVHTYITTLVLPHFASLQADTVQQQQQPPGQPKTSEEA VALETHHRQTNQHTDLFLQSIDHQQQHPPCRPDNKDTVGSRASTFESSTFYGVGATST YWRKFKLPFESTRPSLPMPNLLPRQEN H257_13950 MEDVDMKDDRHDGSFSSLHITTSSHSSEAIDTGTPTTEYWKQHG ALKASYLDEVNAVYNDFRALIMDSSSDESAERQQQLEYYMEFVAFCKLVLDESAASQD AIRPWEDLARVRKYIQLVVTPYMAKMAPPSAATSTATQGTNRHELDNSNVHVAWTGRR HNSSRGGRPAIDRSVGGVPASYMNHHHASSSSVTSPLGGRSSQVSMESDDVDTQSSAG GGNATEYWRQHTALKAAHFETCAAVYHAFQHFLTSQPVDLASPQRQKLLYLLGFIEFC CQVLSESSATHSARAMSDLKRVVKSINKIVTPYRHKIASEQPPSKNPPQCLNNHKDQY HHNPNHNLPLSVSTAHPAKILPSVQDLLNGTTSNTNLSRTSIDSTQQYTSSAVVDRNG GPHPHGGGADAADEYWHHVSQLKSTYLSQVVAAHTFFDAYLHQDAAHHSQEHLQDVEH LCDYAAYCRQVLTEVQPSPSRTLQELHHVHTYITTLVLPHFASLQADTVQQQQQPPGQ PKTSEEAVALETHHRQTNQHTDLFLQSIDHQQQHPPCRPDNKDTVGSRASTFESSTFY GVGATSTYWRKVLRNRIYVL H257_13951 MLALWCVVVGEEAAFSVKVAGNNTVAHLKAEIKAKNRYQFPAHQ MQLYRVEGLTLNDQRHWHFHGRPVADMSTMQLSDFAGSTTKLTTMSLVSNCFNDTDAE LTPEKVHILVKRPDPPPPPLPPSCRPMEISISDLLQQNPLPSMEFTEAMKQPLGFKIP ITTPRYVSLFPDSFVEGTAEYGVAVDVVLQHTMFEHSQVEVATVDTNWLNLFVFLCQC VVHRDQCHDSDSPSEQEMEAVVVKQNAMVGKCVTRASWGEMTTATNALTYKLGPAAYC TFPDGLTSIPAWTTSSTIIQLHQLTYNCALQSYSTRQLKTYHVSNLDGRHQFVVDVFK VLKWVGSIPKPHTTMHLVPGIRTVTRNHGHYLTWVKSGLVKQFQHDDIIDMAVMNRIY RAPLQHVERGRCHYTSVTITSIGQTLKTALSEDLVSRDTVKAQVRSALNELHSLGLAH CNVQAANVFVLLEDKRVILGDLESCRPVDAAPPQVCPNKIKTALELDEYQFGTFVDEL ATM H257_13952 MSSSPPVDSNVHDAPDSTCPVLLSWGEIPPNMEVVDEGNLLSDP VHHNLHATPEEGNRPYLPPLVPQEEADDSALLPVLTTNEAKVREWHRHKQQLQKRLEK NQPPLSCLEVDKARRQADSDAQYHTWLARKKQQLAREKAAAVAKSQLEQNQLLSRLQA QAQDIHTIQTHTKERVAAMKQRKKKQPRRKQRKRLKAAPEVGEEAALLSTHELTDTMQ RLDDVERVLAQQFQRVFQVPTAVPHCAWWVVSRVTRMERIPKLVADVIARCKYEGVDV SETLAAFVCRTVVQDDANRFCLDGDVDADGLAALTQASVTTLLQKDSPSLETIKMQLD FDLCYVKHEEEVDKARAAKAKKVAALHRSISLLQPNGTGDFDTLTTLYRQIFTLLMVH ADAEKTGDRNVEREVAAALESVFPRIGLKSFVSMTPEDKKFQLKELSSIVGGIRLFNK EIGKGGAGITLTLDTIRNNVTNVSKLAAEEVDEANQVSTEYTEVLLHIHHYNVHDNIT PDRIHRWQQELNNKRQFLSYLQSLYEDIDVSVDKISRIMTTYDNELNTLKALVGARTS LPKGQVYPVFEALSKAWEDLDAEHQLLLARSRSIKALMQFKDSFTRTLSSQSEWILKA KKAGMPAQDHWFENSTTDQLDNQPKQHAATSPSSAAGGAKHSGDDNGGGPSGANDEVP VRMSVDSTPEFMQLPLEYQGYCPWTLVCRGGLLLPGDPSLGVIQFRNAYHVFVNERAL EEFMADPGRFVGAVHDIVVRKPELIYLLRLQESFPETSMASLMKLTNNRTHVHPLLAP HAVQKVDAGTSTPVHFVEKNLDVNYEWNEWSLRRRAVKIANLRNYKTVSAQTTLSNFR AHVEQQVWLPTDGTTQTGHDKGTNPTKTITYFAGLRGTPSDKKTASAYVEDGDGKEGG EEQEEDVVRPAIVSYTFDL H257_13953 MSNTRLQSQHSLNEAIISRLAIPKLGSHRPGRSRSQDDSVVVVD GVDLRVLSSHSKLPRPPRMSIAWKPNKQMAAIVSAHEDAIAKDNAAMYIQKWYRCRRN VPFHRLTQTLLGLIKQLDRDLILFWKLLHEGLTVFKYNYAGKVKSRRILCLSPDCERL VVGKPMTSSVRYLFRASTRLLPTEKGLYLADIAEVRPGLATYSFGQSPLYPIADKCFS IIGSERTLSLEMPTRNARDQAVSRFRVLVDVLQGPDCMLASRQWQTPSLLSSVPLPPA KIENIYACFLAGVHVLRHTNKASAVDHILWVDLTTQKLHCGDSKLSSAKGVALVDVVE IRKGINSHGFSLTDEPPIVECFSIIGSERSLDCQVHTQTSRDTLCVALQHLLLDCATA TPLIQLQRQAQYSRQPPTALGHTIALQL H257_13954 MGNQTSTYNPARESAELGRRPNDHELILLFKMQTKFLVRLVECP YHVSLLERYWDSMYGNHASNNHAIPFQRKGSGWRDIGFSTDNPADDIRATGELGLECL VFFVENYPGEARMMNNRQLGYPFVKAAVAVTRVLMEIFHVVQLDGSRGSYPVRDTLYW QLLDSDLSLFRLFAFCFLMFDELFCDHVATTKALPLTMCPPSTVAKLADVGKEKLLAT LRRAPAHLTDLTDLCANGQVLRRTNFKVDVDDRKPKPSPWTKSPSKDPQPLMTSSHCS LFDGLVQK H257_13954 MGNQTSTYNPARESAELGRRPNDHELILLFKMQTKFLVRLVECP YHVSLLERYWDSMYGNHASNNHAIPFQRKGSGWRDIGFSTDNPADDIRATGELGLECL VFFVENYPGEARMMNNRQLGYPFVKAAVAVTRVLMEIFHVVQLDGSRGSYPVRDTLYW QLLDSDLSLFRLFAFCFLMFDELFCDHVATTKALPLTMCPPSTVAKLADVGKEKLLAT LRRAPAHLTDLTVCQLGQKYMFMKANYSLHRFLEFIFHI H257_13955 MCPHIQKPGPSRHQSGSVSLTFQRIPLDNRHIRKGTIAFVPRFI LHFSSIMAASKTHSYRSINYATLTDDQIVALTKPDGKLNYFNCVVCPFAQRALWAALE VQAPIDTVIELNVFNLPPSYSQLVNRYGNVPHLIHDGTHVYDSALIVNYLDVTFGRGK LGRRDTPKLAALTDLLTLKTSYVPLYNYLSHQNETDAPVRALLSELETIYRDHAKAHR ANGPFLLGANVSTGDINLIPWLFRFEILLAHYKAYTLLPEKDFPLLKAALEAAKALPT FQQTVKDSSIYIQAYSVVANQAS H257_13956 MAASKSHSYRTINYATLTDDQIVAFTKPDGKLNYFNCVVCPFAQ RVLWAALEVQAPIDTVVELDVFNLPPSYSQLVNRYGDIPHLIHDGTHVYDSPLTVNYL DATFGRGKLGRRDTPKIAALTDLLTLKTTHIPFYGYLSGRDKTDAPLRALLSELETIY RDHAKAHRANGPFLLGANVSTGDINLIPLLFRFEILFAHYKAYTLLPEKDFPLLKAAL EAAKALPTFQQTVQDPSIYIQGYSVVANQAA H257_13957 MIKRMTSHTTATTAGLPAEEVYAVDFRVQITHTLRRDTKFVPPA LWTWMQVTVSSGSVQLTPTIDAQVVATIPVAKCSLTSLDNHFYILCRKGLYTIHVYCP SKTVWTKFVDVLTLASSSVKWSVPSSNKWADLVQVAASIVETADVNHHLPPFPMVHTS SVTVADVQSHLAAMKAMYELKCTLSAQDLYETLVDLEYAYVELGVDYNFAHMVHQLHP KAYMAQKGGDLYRQQASSLQPSLKTILHICPHDNCDMVLTSSQRLRVHMHHGTVSCTR CFGRVSMDTFKLAKFVQSYTSCEVEAPMALNDKVTIVMPRLPIDGSIPTYLQELKTLM VRSKVSYSAMKAIQTAVDTYLKPDQPPMGWMALDLVHAMARQLQFVEKVCTNYTYWNQ PVVVAASVVRYHQFMHIMAAKPSNVTCLVPTVDIDLVWHAHQCNPVAYRTFCNRVAGK LIDHDDTIPATALARGYADTFSLWSKTFHGEKYSSFPPQVPTTRAASAVPSSTWKKSK MKDKRYVLKVSTPTLLMWWALPPPLPPTSEGHTPHDYRVPSFHSRFVGVDEFVADLLL YNTTTSASLVQVGEQDVLPTTVVAVIGTPVISESCVSAQAKLSATQLMDTDINRKRNV TAELNRFRDWCVAHERSTTPVAKAPPTKQPVVAKPSSMTAYSSSANYYDTPTYSYNYS SSYAANASSTTSCGNSSGTTSGDSGGDGGGGGTTSSSGCGGGCGGGCGGG H257_13959 MEGSQVYIPDEKLAWVPGTVLRTEDGGKRVLVRLVHANATTIDD RWVDLRDESMPSELPLQNDDPLVHGSVNDMCTLNHLHEPAIVYNLRARFLQQRPYTYT GDIVVAVNPYAWLDGLYSKATQALYMGKDRRSSLPPHVYATSASAFTSMIQYDRHQSI LVSGESGAGKTETVKILMEHLATMSTTTQGQSSNLAVVAKVLKSNPLLEAFGNAKTIR NDNSSRFGKFTQLQFDHEHQLVGAKCRHYLLEKSRVVTQSDPHERNYHIFYQLVASND PRWNLLQNQPNQNQHATSFAYLQGDRSLSSSSFSLDATRYTATREALTTIGMPQLDQL ALLDALAGILHVGQVSFTPRNSDDGSSNADMTSDAWTMTYTLLGLDPRSLATSLCNRT VKARLEVYVVPLSIDQAQMNRDAMAKEIYARLFGYLVQCVNESISNDNAMVTHIDLLD IFGFEAFATNRFEQFCINFANEKLQQKFTHDVFKTVQEEYEQEGLGWTYVQFKDNQDL LDLLEAPLGVISLLNEECIRPMGNDLTFCSKLTSTHDVHPRLDKQKARLSASHFALKH YAGTVMYCVDGFVETNKDALSTEVVSLLATSSNHLVSHVFQDVTTSSSAHRSSRRGSI SSGFMGETVVSKFKTQLAGLMADIAATQVHYVRCIKPNAVKSSSAFDFRDVADQLRCA GVVEAIRISRAAFPNKLSHERFLHRFELLQNAKIKAANVVTVGAACAQLATALIGQPE SPTSFVLGSLHIFFAAGVLEELETQRMDRMHSRATLLQRMVRGLLCRRWFLRQRTAAV LIQARFRQYTTTTKFQQTRRRVTRLQALWRGRASRRSLATRQFTLSVVLVQRNYRKYI AKKEYIKFRQAVILLQAQAKQRTQQAKFHAHKRELRAQQTMEMDIVALKTRLDEEKRR ALDEHKQQGAMGISLLPTTKQILPPPPPPPSAGMFAAGLSVDDVSLLDESGRMLETLQ REVQKWRDVHDRDISEMDQLKNENKRIKDAYTAAGASFAALNQHNKQQSKANLRLMST HAALIKSQEEKMKKYQRQVADLKDELKLVKGSNGFAVGAASVQENVLQVLTDHHVHHD VQAKVRQVFEGSSSVHHAPQRRTSVTPSTYEPSVVATQRRGPMPGTSIDQQKRPSSNL HSNGSTADNLRGSGVLPKEDGGQLLSNNSIYYEEDANRKSGLGGMLKKMFKKNDN H257_13959 MEGSQVYIPDEKLAWVPGTVLRTEDGGKRVLVRLVHANATTIDD RWVDLRDESMPSELPLQNDDPLVHGSVNDMCTLNHLHEPAIVYNLRARFLQQRPYTYT GDIVVAVNPYAWLDGLYSKATQALYMGKDRRSSLPPHVYATSASAFTSMIQYDRHQSI LVSGESGAGKTETVKILMEHLATMSTTTQGQSSNLAVVAKVLKSNPLLEAFGNAKTIR NDNSSRFGKFTQLQFDHEHQLVGAKCRHYLLEKSRVVTQSDPHERNYHIFYQLVASND PRWNLLQNQPNQNQHATSFAYLQGDRSLSSSSFSLDATRYTATREALTTIGMPQLDQL ALLDALAGILHVGQVSFTPRNSDDGSSNADMTSDAWTMTYTLLGLDPRSLATSLCNRT VKARLEVYVVPLSIDQAQMNRDAMAKEIYARLFGYLVQCVNESISNDNAMVTHIDLLD IFGFEAFATNRFEQFCINFANEKLQQKFTHDVFKTVQEEYEQEGLGWTYVQFKDNQDL LDLLEAPLGVISLLNEECIRPMGNDLTFCSKLTSTHDVHPRLDKQKARLSASHFALKH YAGTVMYCVDGFVETNKDALSTEVVSLLATSSNHLVSHVFQDVTTSSSAHRSSRRGSI SSGFMGETVVSKFKTQLAGLMADIAATQVHYVRCIKPNAVKSSSAFDFRDVADQLRCA GVVEAIRISRAAFPNKLSHERFLHRFELLQNAKIKAANVVTVGAACAQLATALIGQPE SPTSFVLGSLHIFFAAGVLEELETQRMDRMHSRATLLQRMVRGLLCRRWFLRQRTAAV LIQARFRQYTTTTKFQQTRRRVTRLQALWRGRASRRSLATRQFTLSVVLVQRNYRKYI AKKEYIKFRQAVILLQAQAKQRTQQAKFHAHKRELRAQQTMEMDIVALKTRLDEEKRR ALDEHKQQGAMGISLLPTTKQILPPPPPPPSAGMFAAGLSVDDVSLLDESGRMLETLQ REVQKWRDVHDRDISEMDQLKNENKRIKDAYTAAGASFAALNQHNKQQSKANLRLMST HAALIKSQEEKMKKYQRQVADLKDELKLVKGSNGFAVGAASVQENVLQVLTDHHVHHD VQAKVRQVFEGSSSVHHAPQRRTSVTPSTYEPSVVATQRRGPMPGTSIDQQKRPSSNL HSNGSTADNLRGSGVLPKEDGGQLLSNNSIYYEEDANRKSGLGGMLKKMFKKNDN H257_13959 MEGSQVYIPDEKLAWVPGTVLRTEDGGKRVLVRLVHANATTIDD RWVDLRDESMPSELPLQNDDPLVHGSVNDMCTLNHLHEPAIVYNLRARFLQQRPYTYT GDIVVAVNPYAWLDGLYSKATQALYMGKDRRSSLPPHVYATSASAFTSMIQYDRHQSI LVSGESGAGKTETVKILMEHLATMSTTTQGQSSNLAVVAKVLKSNPLLEAFGNAKTIR NDNSSRFGKFTQLQFDHEHQLVGAKCRHYLLEKSRVVTQSDPHERNYHIFYQLVASND PRWNLLQNQPNQNQHATSFAYLQGDRSLSSSSFSLDATRYTATREALTTIGMPQLDQL ALLDALAGILHVGQVSFTPRNSDDGSSNADMTSDAWTMTYTLLGLDPRSLATSLCNRT VKARLEVYVVPLSIDQAQMNRDAMAKEIYARLFGYLVQCVNESISNDNAMVTHIDLLD IFGFEAFATNRFEQFCINFANEKLQQKFTHDVFKTVQEEYEQEGLGWTYVQFKDNQDL LDLLEAPLGVISLLNEECIRPMGNDLTFCSKLTSTHDVHPRLDKQKARLSASHFALKH YAGTVMYCVDGFVETNKDALSTEVVSLLATSSNHLVSHVFQDVTTSSSAHRSSRRGSI SSGFMGETVVSKFKTQLAGLMADIAATQVHYVRCIKPNAVKSSSAFDFRDVADQLRCA GVVEAIRISRAAFPNKLSHERFLHRFELLQNAKIKAANVVTVGAACAQLATALIGQPE SPTSFVLGSLHIFFAAGVLEELETQRMDRMHSRATLLQRMVRGLLCRRWFLRQRTAAV LIQARFRQYTTTTKFQQTRRRVTRLQALWRGRASRRSLATRQFTLSVVLVQRNYRKYI AKKEYIKFRQAVILLQAQAKQRTQQAKFHAHKRELRAQQTMEMDIVALKTRLDEEKRR ALDEHKQQGAMGISLLPTTKQILPPPPPPPSAGMFAAGLSVDDVSLLDESGRMLETLQ REVQKWRDVHDRDISEMDQLKNENKRIKDAYTAAGASFAALNQHNKQQSKANLRLMST HAALIKSQEEKMKKYQRQVADLKDELKLVKGSNGFAVGAASVQENVLQVLTDHHVHHD VQAKVRQVFEGSSSVHHAPQRRT H257_13960 MSDDASTTRANTRNMRPQSSKRVKSTARRATDQAHEDEPGSNDV VLADLSPEERTMRSPPTANAKTWWSEDDDLLLLIQTNIERPFLALKNKMMAWNDTAAG CMRIDGFGRKSLNGKKASQRFQLLLENHRQFQAKSKFMSGGTQKETEKTVLLDELLAL MDDNKAVKEEQHVAEAAGKEKKASATADDTIHAMRLLALHLPRHMRVIPDEPLRRRNE VRRVDQRIRVCHDDHATRDV H257_13961 MKYMECPYEDELVFMAAVMVNGVEPELKPLYEASLVRERIRTRG PFLRVVLPTNAGVLKADDKAGLIELGELTLEKLQKAWFIVEKKTVGMMEISHRILRIS PELDGVFDSYTLKPANELVVETLRNLLFQIDVQQLKRQLIAYIANPGDPSVSLAVKGS MSITLETFFVKYAAAHDIERRWEGWEVATAKFSANPNDDPNWVPQWEAFSLNVNHINR AKKPTYADFVAAPTSIFYMNDPGYPFVDCFWYDDNMKAVHAGQVTMSQNGHPKGVGTF NTMKKNIGIPDGVKLVINYITLPFQADGYANGPRSYFFSNVHDGGITAIQQTVEFRVI KMSLS H257_13962 MENNHIDLPSSAASIERATSACHVILSTPPDDGWTPHVHRLTWT QQFPQKLLHVPHGETLPHGTTSLSRSVSSPVPLQPDSLQTRHLVAPEMRLVLGSLVGR APISLVDSCPNNSVHVAVRVPGVPHPLWPQSTTFNDAVDTTAPLHALHTKLLGNVSHR YTVPDTTPPFGVASVEYLKQENFDENRQ H257_13963 MLQLYLKYNLILCICTLSRSQFAPDGGRTEGQVAAAILSSQEGC CHAVVGEAVFQYLHVLPHSHPANLIHRSKQQQQQLSHVPTKALDIGHRGLGRSYYQKL GHRLSWIRENTLPSFIVAGAAGADMIEFDVQLSRDRVPVIYHDFFLHAKLGHRVLHAT MMSKMGLHDLTLAQLNQVEWRHCSQPNPSRLRSLLRKHWLSILALAQPPPPSLSSSVQ PRPSNSNGTKYVTTGGSCDSVSPMQALCDAFPTLRLAFDHVPLHVGFNIEIKYPADNS TRHLTALPSFEVLSISLILAQIF H257_13964 MAAVLEKGIVRSDLKPLYKPEAVLERVRTRGPFLPVFLPTSKNI LTIETKSVCLRIGCANVDKQKRVWFISEEGTSCGMPISHRLLRISPNVEDKYASYTLK LASNLAVERLVEVLLATDVMELKDLLQVYNADPRTFKLSPAIKGAIPMILEAFFVATI NCSAADMFGWMPTWEAFSLNVSNINGFKSPTYADIVASPNSIFYMNDPAYPFVDCFWY DGDTNTVHACQISKHRRRRVQRYDGKACYSGHRQAGSEYYPPADANVEDIAEIYQDAL FVT H257_13965 MSPGKVNISPDSDVDDVKQAVEAMYRGSALERITRTDLSVYADQ AAFARNDAPLAADAMVEGYGEHWANPILVVAPSTSNKRQREDDLFQCSATRLGKRSSQ LQSRRCLKPTYADFVAAPTSIFYMNDPGYPFVDCFWYDDNMKAVHAGQVTMSQNGHPK GVGTFNTMKKNIGIPDGVKLVVNYITLPLQADRYANGPRSYFFSNVHDGGITAIQQTA EFRVIKMSLS H257_13966 MVKAMTACKKPRIAVVGNTGIGKSYMQLVILLWWARPELRPVPE NVDDGAALDKFLDDIEAIARVERDSWTDLFFKREQLHYGVDTLPLPSLHELVFMSAVM ENGVRSDLKPLYEASLVRERIRTHGPFLRVVLPESANFLKAEDKAGRGELAALTLEKL QKAWFITEKRSVGMMEISDRILLIAPVLDGVFNSYTLKPANDLVAEHLGNLMFDIDVQ QLKRQLIAYIANPGDPSVSPAVKGSMSITLETFFVKYAAAHDIERRWEGWEVATTKFS ANSNDDPNWTPQWEAFSLNVNQINRAKKPTYADFVAAPTSIFYMNDPGYPFVDCFWYD DNMKAVHAGQVTMSQDGHPKGVGAFNTMKKNIDIPDGVKMVVNYITLPFQADRYANGA RSYFFSDDNTAVQGLANVKRVPIYD H257_13967 MTQASRELSSTKKEDVIRRLHLYVTVANSPTAPSSNNLTYVSTC VVSTTWRKFRNEGTTESNKADKVKRRPRHTSKAIQQNVGAVPIDQHSTMRDISIVTGI PLGTLSRHLNKGAFRRRSTRIKTLVSDANKLERLRNRPAGTLVSTLVNVNALVYRDFV INKVVPAMKPLFSSAKKCVILQQDNATPHRSITDAEFVSVSTDGWTFVMRSQSPNSPD MNVLDLGFFASIQSLQLKKLSYEKLESVFLTFQAVMRLVLEHASDNKLALPHLKKTAL RRAGLLIFSC H257_13969 MESNRRAWVALVDGKGAQLTSPGKVSVSPDNDVDDVKQAVKVKF HNLLERIARPDLSVYADQAAFARNDAPLAADARVEGYGEHWANPILVVAPSTSNKRQR EDHVETHGAVESPVSVFSNKAWRNFVSTVKPKLSGKHPLDLLHF H257_13970 MKAVHAGQVTMSQDGHPKGVGAFNTMKKNIDIPDGVKMVVNYIT LPFQADQYATGPRSYFFSDDNPAGVAAIQQTAEFRVIKITAEGGVCS H257_13972 MYDPAYPFVDCFWYDGDTNTVHACQISKPFPGHRTDVDAFNAKM EKLAIPDTGKLVVNIIHLPYQADGCAQANTVDIAKIYQNGNVAFRVIKLAL H257_13973 MTASKKPRIAVVGNTGIGKSYIQLVILLWWARPSLRRLDSTSTL LLYEVCVSKDEIQLSGITRGHLWATVSPLKDRYKEFSKYSAAVKYMECPYDDELVFMA AVMENDNARFLKAEDKAGWSELAELTLEKLQKAWFIIEKRSAGMLEISDRILRIAPVL DGVFTVNSYTLKLTNDLVAERLGNLMFGIDVQQLKRQLIAPAVKGSMSITLETFFVKY AAAHDIERRWEGWEVATAKFSANSNDDPNWTPQCEAFSLNVNQINRAKKPTYADFVAA PTSIFYMNDPGYSFVDCFWYDDNMKAVHAGQTGLEKAGVSVPQH H257_13974 MNEVTHRTCRELSSSDKVTVIQRLQPFLKKDKLTKEDKLAHGAF KHIAEHLSLDPRTVASTWRLFSAGGAMKSNKPGNLGLKHTYSSALIKHLVGAIPVEKR STYCDMAAATGLTLGSLRRHLKKGTLQRRWSRIKPLLTEANKAEHLAFCI H257_13975 MFLAAVGHPRFDKARVTSLVNVNGTVYRDFVLNKVVPAIKAGFP SANKHVLLQHDIATPHASVTDADLASVYTNDWTFAMRRQPPNSPDFNVLDLSFFASIQ SLQYKKISRTVNDVVRHTMDALSELNYEKLADVFLTYQLVMRLVIEHDGDNKFALPHL KKAALRRAGLLMSNVTCPCVAAFVG H257_13976 MLQLELLGERLGLPGRIITKAGDNVTRLESVSIICRRLSESSKL LTIANEFGRSPAAISRIVKHVARLLYGMHKSTLYFNRRLIASRIGSYCSAVNENDAPL ANVLSSILHAQLQGLNTVIATKIFSVRCTKDIRVDIASTGKHLRHLMVFFKAFIAPSK VADMTAQF H257_13977 MDVLRDYVDGTFEGRYVYGDPAYGCNHCMICPFASPDADSNERR FNARMSKVCEAVEWSFGRLKILWPFVFDDKKMQVRRTPAGKLFYVAVLFTNCHCCMQP MGNQISIPPMSKDEWDE H257_13978 MTHEQSNAALLDTLTTSSSKKGINSVKPWEAPSGQVMNTWADLA KDLRDNRRFRLTKDGAACKSRFENLIKAHCGDSLAAMRRSGTDEEFGECDQLLEDISS QVEDHRVLKEVGRAVDAKKKNGIEKSGVIMRQLAMETLDDDDVGSVPRRSTPKKRDRV DLFFEKITKSVA H257_13979 MRFLIDVVASLTPNDHHVCILGNVPVIMLKVSTSPTSTTWTATV EGLELPTLAIEYKYAIASTQDHTFVVWESLPLNRTVVPEPMVLGNQHRSGNSEVHDGW FGLDTRELGDCSKLHIEVRMYG H257_13980 MASSTTSAITPTGISTTTTPKATSFAATGASSPVVKRVSPSFLK LVQNISLFEPEPLTPREFLTKFYTERNLPEKLTDVDALVAYYGTNAAKMLVLYTELDK RYGTTFAANPPCKFKDEWVRRPDVVQAATTATATSSTAKPPVNKVILLGNSGVGKTNL LSRLAKGEFSTDFASTIGVEFLTHVMEVDGVPVKAQIWDTAGQERFHAMMSTYYRKAV GALLVFDVTDKTTFDGIQKWLDQLLQVAEPGLTTVLVGNKADVDLNKRAVTTAEAQAY AASHHMMYLETSAKTGSNVDKAFRDLLTTVHRLQSYTSTDHDTHSTLDLHAKFAPAAP DSFCG H257_13980 MASSTTSAITPTGISTTTTPKATSFAATGASSPVVKRVSPSFLK LVQNISLFEPEPLTPREFLTKFYTERNLPEKLTDVDALVAYYGTNAAKMLVLYTELDK RYGTTFAANPPCKFKDEWVRRPDVVQAATTATATSSTAKPPVNKVILLGNSGVGKTNL LSRLAKGEFSTDFASTIGVEFLTHVMEVDGVPVKAQIWDTAGQERFHAMMSTYYRKAV GALLVFDVTDKTTFDGIQKWLDQLLQVSCSHPRQIRY H257_13981 MTTTTDAAPPRPVFGSPTPTFSWGEVVWYNVIILSVWHAMAVYT LFFVLPQASFVAILTLWVGLWFFSGLGITAGAHRLWAHRSYKARLPLQIFLALCNSMA FQGSIYEWSRDHRVHHKGSDTTADPHNSRRGFFFAHMGWVMVRKHADVFREGKKMNFA DLDNDKLVQFQKKHYLASVLLMCYVFPSIVGYLCFDSAWQGFWIGGVYRHVWVLHMTW CVNSVAHFFGYKPYDRNSRAVENLFVSIGAIGEGWHNYHHRYPTDYATGEMGVTGQWN PTKGFIDLMASVGLAYDMKRSTTAAATREKNRIAVDREILKGVLQPPSSIDQFVNWLF FGRTEDQSVF H257_13982 MAPPAQDGEVSVAATMLGSAVAGILARVACHPLDVAKANLQVAG QTAYRNSYHVLQSNFQKEGVRGLYRGFGVILLGSAPATCLYLTSYDQSKKWLEAYPLF KSNLFLTSFSAGIMAEALSCVFWVPIDVIKERMQVQSRAGGGVFYKNTVDAWQTIART EGLRGLYRGYGATMWSFGPFSAFFFLFYEKNKALAEAFHGTTDNVPFTSLLACSVSAS AGASLVTNPLDLVKLRLQIDRMQQPATARYVNTFQGLHRVALEEGMLGLWKGVSARVA FQAPLTGLTLALFEKCKYMCALVV H257_13982 MAPPAQDGEVSVAATMLGSAVAGILARVACHPLDVAKANLQVAG QTAYRNSYHVLQSNFQKEGVRGLYRGFGVILLGSAPATCLYLTSYDQSKKWLEAYPLF KSNLFLTSFSAGIMAEALSCVFWVPIDVIKERMQVQSRAGGGVFYKNTVDAWQTIART EGLRGLYRGYGATMWSFGPFSAFFFLFYEKNKALAEAFHGTTDNVPFTSLLAW H257_13983 MAPRRSSIPTSGAEPATTPSPQPPSTAASLTPAFSWSEVVWVNV VVMTVWHVGAAYGAICVMPQASLSANLVLWVSFWFWAALGVTAGSHRLWSHRSYKAKL PLQIFLMLLSSMAYQNSIFEWSRDHRVHHKGSDTTADPHNSNRGFFFAHMGWLLTRKH PDVFTESRKINNRDLETDPIVQFQKRHYQVIGLGMCYGFPTIVGYVCFGSAWQGFWIG GVFRHVWLLHMTWCVNSVAHFFGYKPYDRNIRAVENLFVSIGAVGEGWHNYHHRYPTD YATSEFGFLYQWNPTKLFIEIMAAVGLAYDLKRSTTAAATRERLAIAIDQQVVKGILA PPTTPLQQALTWAVHTAKSTLFAT H257_13984 MASNRRIWVTLVDGKGVQLMSPGKVNVSPDSDVDDVTDTVKAMY SGGALERIAPTDLSVYADQAAFARNDVPLAADTMVEGYDEHLANPILVVAPFTSNKRQ RDEDVETHEAMESPVSVFSNKAWREFVSTVKLKFSDSNFFNAHCTAPINPVDSIEDLA IAESFEDCITTFTNPITKVQVGCLPHCLRRSTLILNHNVYIRPFTVAMLKAMTACKQP RIAVVGKAGIGKSYMQLVILLWWARPELRPVAENVDNGAALDKFLDDIEAIARVERES WTDLYFHHEQLHYGVETLPLSSLRRLDSTSTLLLYEPCVSKDAIQLRASREVTCGLQS RH H257_13985 MLGLLNRSRRWLPGVLAGIGLAIHVAPLCYGDWEFIYSFDDGAN FVENPMIQALTLPNIVAMATTVKINVYEPLSWLLKAFVHGLVGMQSKYVRMVSVLVHW TACGILGCATHRLLAPSFPDRASVAIAASLSAILFAIHPVHIEVLMWPSAQPYPLAML FTSIMFLAHLHKPWSIVGTVAYVCAVLSKSIALFTPVGLVLLDLVRGHLQLCPPKHLL PYLRKMSVCLVLSMALVATTYVANQRGAGTDVDTISLTIPQRLAKVFIVSVWPIQAWL WPVNLRPHYQIPDPTALTLPTNPNVVFSVLIVLVTLVAGLTTGLATTPASNWTLLAST LYMLTMVLPVSGLVQHGMVSLTADRYSYFATVVFIRVPLQALLLHRVGQRWATVVALT VFGLWGSLSTNQLQAWRSEEALWLHSLIQDPSDWRLLNQLAEYYLHHGRNADAVPLME ESIWFGPTLGFKATLFQAKQRMFLNRVTDGCSMYSALSPQRC H257_13986 MPIRAGGSHLIYLDHNATTPVAKEVVEALVPCLETNFGNPSSSH ELGRRAKAALDAARAQVGSLVGAPASSILFLSGGTESINYVLKGLVSSKPHQRHIVTS VVEHVAVLATCRFLEEAHGFEVTYVPVDAEGLVSVAAVAAAVRASTCLVTIMHANNEV GSIQPLAAISAAVRAQHSALCTTGDNGHLQYDPILIHSDASQSVGKFPVLVDVLGVDF LTIAGHKVYAPKGVGALYIRPGTRALVKFMHGAAHEQNLRAGTENIPFAVALGTACEL AQHSLATGLSQSLLSLRRQLLTSLTSLLATVPVKVNGPADVTKLLPNTLSISFQGVSA NELLHMIEDRVAASAGSACHSHATTVSHVLEAMQVPVEFALGTLRLTVGKDTTPEDVE CAAVVIAQGVQTLRQR H257_13988 MKLLVPLFTLALVESIHAASICNALTPNSWTQAASSNPKLQGAL NELSKNAVATWYTDRGGDAISDLLQKCSGSQVPSIVIYGLPNKDCADGFSSSGNNKDA AITISGATGGLHCVFDTSRNYRGSVGDEWCNSRSAGIGAPPGTDTGHPLVDYNLWLKV PGESDGTCSGRTPDAQEGPSAGLFFPDGFASLWDNSWFVDVKGLPKINGGGSWTGPSP SSATPKPVTTETSKPVVTLAPTTSTPPQTEPPETNAPVATTTPPSTPSPTTSNEPPTT STAVPILSSIGTTENPTTTPAATYAATTEAAQSVVPVSTSTAEPESTAQENESGNESA VLGPTSSTPAPTNPAVQSRVATSTDKPNEVISVQSSVETTNITTGMIVLIAGVAAAAV VATVLAVMVIRKRNAQEKHNDRLDSQGGVVALGVTHGLETERCMQML H257_13987 MVVVAGANVVLGSFVVVVVAVVVGGFVMGVAVVTGAAVVTTGGA SVGRGVSSAVEGVGLAHDPPAPILGSPFTSTNQLLSHNEANPSGKNAPALGPSWASAV RPLQVPSLSPGTLSHKL H257_13987 MVVVAGANVVLGSFVVVVVAVVVGGFVMGVAVVTGAAVVTTGGA SVGRGVSSAVEGVGLAHDPPAPILGSPFTSTNQLLSHNEANPSGKNAPALGPSWASAV RPLQVPSLSPGTLSHKL H257_13989 MIACEGAPTFLLREEVLSTVGSITSSDYNFRDHELVLTNGNLIQ VYSGLASDDVQLRHTWHADDGEVFLRVIYVEWNNAVLVFSAIGSALHRRLLQSDSLGN NGFDDLGVLCYEGTLLDVAKNPDVHEVVTTDSLGGIRMWSIRGSSSTHADTPTRSTTF HSSTNNTPSHSLVSGVTTCSSSTSPPKKNECLRCPTRLHVQDTKKTTWHALCVDTKHQ RIAAASPRAIKLVDALSGVILAKFPTSSNSTPPALVRRLAYVPLVDEILAFGPHGVDV WNVSNVKQVPVSVPRHAIHNALQLDDDTTFFHDVASCCVTHTPSAFATKSGLPAVVLL TTTSKLAFVPVQDTLDEPARVLAFQLRSLALPLDTVVSGYSPSTVSSPAAAVANRKPY SNGFVFSNLQHTARHFVAIVQSHTAGSCLRVVEVLSTQSTSRMVSASTNLFSLREIPF TIPAAQQRDPTGGGVVRPRRGYSLFGGTVAAKSVQLFEDVALPVCELALPRHEGVDFP TVEGVSQPVICFLEYSTHLAKCIVGWSDGVLDLFSLNGHRWCLLKRPCGVMADCVCTL QLSNGVVGLVVGDAQGSLDTWLVEAHAWTYHGTFVAHSENIVSIQNPAALPSHNIDDD VVADKSTVCSTNNNNNNAGGFCRPSIVTTAFDGQIKLWEWTLVDENYSIETSGWQLVG LFKTHSINISVAKLVDRNHLCCGCEAGAVELWTLPRHHVKGATSLLTTKKAITFSPSA HANTVTDIAVHHNIGTTVDHDFTLLATLARDHTILLWYFAGGDICVPFRVVVTSAKPC GGYFSTAATPNAVSFLCCLGSGVDRVLVLPRQKKKVLALVLRATNNPLVALRPPTSIA TSDQLNQPPHSIYHTDTSPLLYQPIDIPLQLTIPVVHMHMIPDAAVAEAVARLSPTSA SHRLHNQPLVPTRHAVTVNLAHEREILGVPLHMSQSTIGGLSSNGALPSHVLSTCTSI ANGDNNDQVPSDWVLNGQNIRRPSNSLLPKATAVPRAYRDISRRTNAPRVYRQPPPPP RHHRPVRARVVSAFGLLGTDEELLEREQIPHLQQRRHAITMPKATPAILWGPNDNNQS ASSGVHVVELATRPPTNPLAPLFWLDAHSDRSRTVPTRFSDGNVESKLDPQQAGDFNV ESLLIPWDDLSPEQQFIEIQASLLSKAVQNICHDAGIDLPPSCFNLQLPTNVPDRVLY HKYMFWYGNKAPHARTAFLRQELLFATGDADVIRSALAQGIAIPKRSDCQSLNSSSPL YYRWCRYVAWYCRGFVLQHHHLQSLGALDWTATPHYAHRLAHRTDLLKCQLHAIDVAL QSFAALQAKDAAKQEATRNAATIMLSKLHKMAPSSSKPTSAKPVVLSPELKSLDVDFF CDIVLPDGQVLDFVPWESLTLMAQQKELALAMHDAVVRWQAMKAHVAIPQVLSVVAAD DVDDVQLTNQCAAFITWWSTPHNAARVQFLKTEAHEAAHDSTVKAAASRAGVDLAFYD AIQIFTHHEPPPPSTSNDSQSTYQDWYFQVTHAADSARVDFLKQKMAMLHRQKRFELV VEMGRLPPPVLFCMTPAVLLSFESDNVPAVAIIEVADESASPADANNAERKNSMPLQD SAGSSDGTAAALERVLREQWEASQLVSNRKQMEVEDDAARLMRLMDDDGDDQDVTSSP RVRPARDFSVTYFFNTMPGTKEAAAVAASGWVSGCGLDNGDEEVEEREVREVERLRQL ELDAVDRARIAEEERVAALLLAEQLREDERKAQKRARQVEVKRILTWQRDETLRRQAD ASQKRIERECAGMQFEEFLSRQWWLELDSERQHMAMADLESAEWARQCHELEKRRAAM LVSDRRHMLADDLRSRHAAEFEDEVARLTLARETFLRDLYTPFDPYFADSSDSRRYLQ TSHTRQRRNQEHHVAPANNNNIRPMTQQAASKLVSGQYAVPFHHAVELTTPECEVYQA QPSHKFQALLGLPIQYRRPPTSHNQNVATSLDTLARSRSVPGLLPRPHTTTTPMRMGG SNHPLPSRGSCMRTTPPLRGRTVAFDKEPGFQHDDLVDPPTTRHRSQDNPTISRISNQ SSKHGRRRLVPDTTLLAPLTRDILSNQQESILSSATTTTTMTTTISSPLKHPQQLYHP RSDTRPLESTTTFVPNEEVPTEPMYRQSSLPPPFFRTHLAVVGQKPTTAPSISGGCRE SCSRTSSSSADPTSSLFVRVPHRGSTTIPRTRTKVPTPTSSQSDDYSFGRLEMNAHR H257_13989 MIACEGAPTFLLREEVLSTVGSITSSDYNFRDHELVLTNGNLIQ VYSGLASDDVQLRHTWHADDGEVFLRVIYVEWNNAVLVFSAIGSALHRRLLQSDSLGN NGFDDLGVLCYEGTLLDVAKNPDVHEVVTTDSLGGIRMWSIRGSSSTHADTPTRSTTF HSSTNNTPSHSLVSGVTTCSSSTSPPKKNECLRCPTRLHVQDTKKTTWHALCVDTKHQ RIAAASPRAIKLVDALSGVILAKFPTSSNSTPPALVRRLAYVPLVDEILAFGPHGVDV WNVSNVKQVPVSVPRHAIHNALQLDDDTTFFHDVASCCVTHTPSAFATKSGLPAVVLL TTTSKLAFVPVQDTLDEPARVLAFQLRSLALPLDTVSGYSPSTVSSPAAAVANRKPYS NGFVFSNLQHTARHFVAIVQSHTAGSCLRVVEVLSTQSTSRMVSASTNLFSLREIPFT IPAAQQRDPTGGGVVRPRRGYSLFGGTVAAKSVQLFEDVALPVCELALPRHEGVDFPT VEGVSQPVICFLEYSTHLAKCIVGWSDGVLDLFSLNGHRWCLLKRPCGVMADCVCTLQ LSNGVVGLVVGDAQGSLDTWLVEAHAWTYHGTFVAHSENIVSIQNPAALPSHNIDDDV VADKSTVCSTNNNNNNAGGFCRPSIVTTAFDGQIKLWEWTLVDENYSIETSGWQLVGL FKTHSINISVAKLVDRNHLCCGCEAGAVELWTLPRHHVKGATSLLTTKKAITFSPSAH ANTVTDIAVHHNIGTTVDHDFTLLATLARDHTILLWYFAGGDICVPFRVVVTSAKPCG GYFSTAATPNAVSFLCCLGSGVDRVLVLPRQKKKVLALVLRATNNPLVALRPPTSIAT SDQLNQPPHSIYHTDTSPLLYQPIDIPLQLTIPVVHMHMIPDAAVAEAVARLSPTSAS HRLHNQPLVPTRHAVTVNLAHEREILGVPLHMSQSTIGGLSSNGALPSHVLSTCTSIA NGDNNDQVPSDWVLNGQNIRRPSNSLLPKATAVPRAYRDISRRTNAPRVYRQPPPPPR HHRPVRARVVSAFGLLGTDEELLEREQIPHLQQRRHAITMPKATPAILWGPNDNNQSA SSGVHVVELATRPPTNPLAPLFWLDAHSDRSRTVPTRFSDGNVESKLDPQQAGDFNVE SLLIPWDDLSPEQQFIEIQASLLSKAVQNICHDAGIDLPPSCFNLQLPTNVPDRVLYH KYMFWYGNKAPHARTAFLRQELLFATGDADVIRSALAQGIAIPKRSDCQSLNSSSPLY YRWCRYVAWYCRGFVLQHHHLQSLGALDWTATPHYAHRLAHRTDLLKCQLHAIDVALQ SFAALQAKDAAKQEATRNAATIMLSKLHKMAPSSSKPTSAKPVVLSPELKSLDVDFFC DIVLPDGQVLDFVPWESLTLMAQQKELALAMHDAVVRWQAMKAHVAIPQVLSVVAADD VDDVQLTNQCAAFITWWSTPHNAARVQFLKTEAHEAAHDSTVKAAASRAGVDLAFYDA IQIFTHHEPPPPSTSNDSQSTYQDWYFQVTHAADSARVDFLKQKMAMLHRQKRFELVV EMGRLPPPVLFCMTPAVLLSFESDNVPAVAIIEVADESASPADANNAERKNSMPLQDS AGSSDGTAAALERVLREQWEASQLVSNRKQMEVEDDAARLMRLMDDDGDDQDVTSSPR VRPARDFSVTYFFNTMPGTKEAAAVAASGWVSGCGLDNGDEEVEEREVREVERLRQLE LDAVDRARIAEEERVAALLLAEQLREDERKAQKRARQVEVKRILTWQRDETLRRQADA SQKRIERECAGMQFEEFLSRQWWLELDSERQHMAMADLESAEWARQCHELEKRRAAML VSDRRHMLADDLRSRHAAEFEDEVARLTLARETFLRDLYTPFDPYFADSSDSRRYLQT SHTRQRRNQEHHVAPANNNNIRPMTQQAASKLVSGQYAVPFHHAVELTTPECEVYQAQ PSHKFQALLGLPIQYRRPPTSHNQNVATSLDTLARSRSVPGLLPRPHTTTTPMRMGGS NHPLPSRGSCMRTTPPLRGRTVAFDKEPGFQHDDLVDPPTTRHRSQDNPTISRISNQS SKHGRRRLVPDTTLLAPLTRDILSNQQESILSSATTTTTMTTTISSPLKHPQQLYHPR SDTRPLESTTTFVPNEEVPTEPMYRQSSLPPPFFRTHLAVVGQKPTTAPSISGGCRES CSRTSSSSADPTSSLFVRVPHRGSTTIPRTRTKVPTPTSSQSDDYSFGRLEMNAHR H257_13990 MTTSSAKEKGAKQFHQQKKVIERLLTSANDGDLNELEASVRAIQ DSTANVTVDEILTDFKDAHKRSAIHFAALNGRRKVLSYILEHAPGALNPVDEDGRSPL LYAIKANEFATAKLLLDAGADTNVVESNGTSCLHEAAAHGSVRACKALLERGANLNAS TKNGTPLHIAVSEGHDKVVDTLVEAGADVNTRNAHGITPLLLATLMHKPHVVANLLRH SADMQICILPGVTALHVAAETGFDDVVAIMLQERTDEAIKIANQRTDAGVTPLQLAAG LGHADVVALLKPVTSGFEQRDTLELISTEQARVATESAEPYQAPVSAKKSDDIPTPSD IVDDAVELPEDIPVDEAAQATATRLKDDGNKLFAQKKYIDSIDLYTEAIGLTPRDPLL YSNRCAALLAAGRAADALRDIRLAKVLKPDWPKALFREGQCLEALKRYEDAACAMWAA MQIAPDDKLIKKRFQNCVKRGREEFQASGAATSSP H257_13991 MIIRTVFENMSARREAWKRLGLSADQSQEKRRQDAMDRQKQARR DLTMHVRSLALPSVDNELEELTITMMDAEMEISTAASKSRKKTREDRVKARRSHFSNQ LMHPEWMVDVPSTLVQASSTSGKLDHDDGWLALPRPDGKRCLVISSNGSTVARTISGS ILKKFPSTLPCGSRKTNTGQDQYCVLDCIFHEANDTFYVLDVMCWKGYLLYDCSADFR LYWLRDKLAETTASKVSNANPYAFEPVPAYECTLDGLDTAYSTTYPFTKDGLLFYCKA GMYTLGLTPLVLLWRDRHTSLYENQLSIVLQVLDDGVSCATLENVVLFTFTPELALAE EVVPGDLVRVSVASIEWQDNLIHVTDAVFQKRCSPQRGIADSWTKLAHVGQSTCSMAL LRSVLSDDGKDAVMMHDQ H257_13991 MIIRTVFENMSARREAWKRLGLSADQSQEKRRQDAMDRQKQARR DLTMHVRSLALPSVDNELEELTITMMDAEMEISTAASKSRKKTREDRVKARRSHFSNQ LMHPEWMVDVPSTLVQASSTSGKLDHDDGWLALPRPDGKRCLVISSNGSTVARTISGS ILKKFPSTLPCGSRKTNTGQDQYCVLDCIFHEANDTFYVLDVMCWKGYLLYDCSADFR LYWLRDKLAETTASKVSNANPYAFEPVPAYECTLDGLDTAYSTTYPFTKDGLLFYCKA GMYTLGLTPLVLLWRDRHTSLYENQLSIVLQVLDDGVSCATLENVVLFTFTPELALAE EVSLYCCLLFSIKKYIGGARGLGAGVRGVD H257_13992 MTTIILDALRSHDLLTLVCRFQRGLNGDMLVFQPLRTLDLLPSD PLPILCVSQAQSAPGVLLPLFTRVDGILIPWLAEHSLHRLALVMDVMPHMRLILVRHA VYYGHVPTLDRLQSVRPGLFRPPGERMLLAILGGSVLSVQWLLRHGHPLRYDAVHMAG RYGHLTLLQYFAAQPSIHTPRLASEALVAGARRGSLKVVQWALPLTTSEVSIRQAITC ANRHGHLAVLEFFTSAIDFSFADIPSLVTAATRRGHLNLVQNWFNKVSTSITQDLHGQ CLRLAIKFGHADIASHFLLHRHPEKSIVHDILQAAKFGHTSMFGLLDMTWHDVVEPAF FVAAKWGQLNLVQFFVEHSELDVSLACLMTARLHVQHKKATMEAYFDTMPAHSTFFEL LIGRTLVILQEE H257_13993 MAKCMLRLDELPSPTTMPPLEVSAPVCEKKKQRHQQPYREADMQ HSTVLGLDLPTVMPSLESRPSSLQQQQPRPPSKHDIGQCIFHAIDSSLDPPNTGEVHL ETFRAHVTSFQDMKATTLLDMLSTVRGTHIPRDMLQNAWLRVVEDEQHDLLELSNSTP PRSPRTATSSPSSPPRSARPSTSGASTKLSLAKSKRKQAEYDAKLLANRLALLQQEEV KAWRKIEQTREKAAQILEHREEIVKKQQDKYMLLHEKEQHVRVATKKHKLAAKTSVIR KRQAAISVISKKYQEVESVKTERRRLKQEKEQQAMDDVKRAKEMRQRVRQQEDEMRKK KVKEKMTVEKQVVARYQKNVEDEETQWREQQKRVAEMERAEMELIQRLQSTQLLQRQA YDELEKALVRTEV H257_13993 MAKCMLRLDELPSPTTMPPLEVSAPVCEKKKQRHQQPYREADMQ HSTVLGLDLPTVMPSLESRPSSLQQQQPRPPSKHDIGQCIFHAIDSSLDPPNTGEVHL ETFRAHVTSFQDMKATTLLDMLSTVRGTHIPRDMLQNAWLRVVEDEQHDLLELSNSTP PRSPRTATSSPSSPPRSARPSTSGASTKLSLAKSKRKQAEYDAKLLANRLALLQQEEV KAWRKIEQTREKAAQILEHREEIVKKQQDKYMLLHEKEQHVRVATKKHKLAAKTSVIR KRQAAISVISKKYQEVESVKTERRRLKQEKEQQAMDDVKRAKEMRQRVRQQEDEVGRG LK H257_13994 MMADDQVAAVDVPVPAAQTIDIAGMVDGMSVSPLEAMYLQDTHH DLPDINNSQAERVHELQPSTTLPSQGLNATHPHSVRRETPPARPLQQPPEGMQHDTAP SSPILNSATAKPLWVHRHSIEPATTTPSSSSTHLPIAIAAHGSQPTKKSAELTTSTAL ASPTKPTAPARPARPRPPSVASVVAAAMRNPELVTADEMIEPTAAVIVTFDVGGTIFR CKQRVVEKYPNKRLHRLLLCGCEQQSSASIISTSTQTTAASLATCAPTTTAIFVDRNP AYFAPILDWYRCGTFHVPDSLSVAGLQHEAAYFDVLADMFPTLDTANTTLPPAPIQSS LASATATPLPPHSTLQSALATAIHCFVKSYTHTLVPSQPPIVFVLRAHEQLVLDSAAG VGRLLLRVTDLHGNTTVSRAVLYDSHSYFFLGGGLAKLHGTPFPGNLIYSFWADDTCT TTTTTSTLASSDGGVEMLPPLHVEFKLRCAFHKSDELVLAAHEQQLLGHTLDMVAKTT SATITTAVLAGLDLSLHVMASVAGRKERENPRPAVVPAQQPPVHLLAATPAVRLAIPT VSTTNDRNSSVAPAQGYRPPPPVAAATAVPPVVASQAYNTSKEHAISLPEKTKERAQI LDEAQQFQSKVKEHAAWLQHYQREVQQSTSILREPSSNSDSNSPNKKSSTGAAATNVT PRQVGKRK H257_13995 MKSVVASSAVLVALSTLQWTHAINICNALVPHSWTQAASTNPKL QGALNELSKYAVATWYTDRWEDAVNDLLQKCSGTQVPSIVIYGLPHKDCTDGYSRDGN NEDSAKYKTWVQSLVSRVGSREVVYVLEPDAIGLLSKDYCAKENNYLDNLKVALGLIS SGNPNAKVYVDVATWSERDEATKVLNDLKTAGRLHGITINTSNYKTNAQLMSFCSTIS GATGGLHCVFDTSRNYRGSVGDEWCNSRSAGIGAPPGTNTGHPLVDFNLWLKVPGESD GTCKDRTADAQEGPTAGEFFLQGFTSLWNNGYFVDEQGLPKIGDVRSVPTSALGTEAP KATTVAAPITASTSLPTTESTPAPPATTSRSYNVDDIVAIDAASTVEGGPLSQPPSPI ITTTTQSNEISVQAQPGEETSFTPSMVLLIAAVAVAGVVATVLAVIVIRKRNIREKFN DFIERDSSGIVVLGHSTPMHGLDTQRALAMI H257_13996 MGEYVKLIRQHRPPNRFRPRTRHESWFLRYTATRVGGDDADNVE LHPWHSKLHLWQLASLQFTSLHEACRVGDVKALNMALQETCTMHQDCTGSTPLHVAAT HGHLSCVLRLLELPDAMLQLHVVDVAGRTALHKALQRPCPSVLACVDAMLAHAPPSLL TNVHDKYGWSIQALDVRQRGDLFDAVSTGNVHRMQFILSNYDLRSSNWTVLPLLEWTL LHQACLSQQVAATKEVLVNRRLLCSVDPLKQDSSGCTALHVSAKGGFIEGCVLLLDAN SSNQPVDMIEALLLCADATGRTALHWSVRNKHAAVASYLLQSAALVGVVVELLQMCDD VHHSPLHVACATGQTALAEAMLQLGANANMATRIHEPRPPRCRRRSQSKMSTTLNTSQ PNRLREAMETMSLHDVAQHTVELKTLKLLVPRPLSSPQLQSSTATSCDTVEPIASPLA IALVARSYDIADLLLQYGANIIVRDQVWRAYVDDANSRATLVQWSSRWLCPDIFPSSA FATLCGQASFTPSIFPRNDTNDNSDAKHAMTVIESGLCALLDVSIGLNYYSTWDNLAH AAFSAFRLRRLHLAHRLANLCGATLFNDSRSTSWLVVAVENACLASCRWLQAHDYPFS AIDIPAMCLLLSSPCRHRSVNDDIAVQTRTSLFVWLVQCHVSLIQSSYLQTYLDLALA NANTAVTRLLVDNMIHTEDKSYYTIRADHAVVASRGDETYILSLRSMGCWTHCDLGLT LAIHFNMSQTILRCIIAHGARPTPQAVVYGKPAIVWAIWHRRLDIVRVLCILEPTLCL ATTDGQGRDALYYAMRTNDVSVVAFLWTNRRQSQFNFAAALVAAVESNAMASLKWMAA TAPQDFFRSIEALHVHMPHVTSLEHVACARGFLELATWLRSINSNPTTTCLSSSNADG CTPTQVARLFGYPIHFDESKGDNCCHDPVVLNGVLRRLLSTQVSVISTGNKSIDGTAA WRPLYPIASQAFQFTELYAACSNNSVAYVSILASFGVSLVEPCGPLNLPPLDWAAHYG AVDVIQCLLSQGVVDVEGTALRRAATRRQGSAVAALLDAAPPATSLGRLQGDGATPTL LHLVARVQSTVAPRLIQVLIDQYHADVDIVDGQGLTPVVYAMVSGNVPAMLCLLERGA RLEAEYEGQSGFYYVLHLLPSDTWRVFFQAYLGVVLHGRALHCTESCGCKSFEGSVGD VACSFCSHRFDQHSHIPLPPWHQDVSDTYRMQRTSSTTSSHISPESSPRSTTSDNDDH DNVHRFQHDRSDFENQDPHLSPLPLSTLEYDYVGRLDQCHISAVAWARFRPEVSMYCL SSMTGHPDAGPSSTTDDDDEDKEDVLQRGFVCSLDDQPEAWSWRVPALNQSDIPVKMD KQLIPPRWSVATTFGLEYHVDCWCTQGGMYCLSAAMSLRRVVTWWLGRRLRSTGSATT TTKTVTTLFHIWKSDGRRRISSPRDHVVPRTRQQLVRLKLFVLHWCHAKLVQAFSRWK KVPTASYTIHLRHHTEVVVVAAPPQASNMGDHSYCNSLNKLNPHKPVPRLKNSTLSTV DCG H257_13996 MIEALLLCADATGRTALHWSVRNKHAAVASYLLQSAALVGVVVE LLQMCDDVHHSPLHVACATGQTALAEAMLQLGANANMATRIHEPRPPRCRRRSQSKMS TTLNTSQPNRLREAMETMSLHDVAQHTVELKTLKLLVPRPLSSPQLQSSTATSCDTVE PIASPLAIALVARSYDIADLLLQYGANIIVRDQVWRAYVDDANSRATLVQWSSRWLCP DIFPSSAFATLCGQASFTPSIFPRNDTNDNSDAKHAMTVIESGLCALLDVSIGLNYYS TWDNLAHAAFSAFRLRRLHLAHRLANLCGATLFNDSRSTSWLVVAVENACLASCRWLQ AHDYPFSAIDIPAMCLLLSSPCRHRSVNDDIAVQTRTSLFVWLVQCHVSLIQSSYLQT YLDLALANANTAVTRLLVDNMIHTEDKSYYTIRADHAVVASRGDETYILSLRSMGCWT HCDLGLTLAIHFNMSQTILRCIIAHGARPTPQAVVYGKPAIVWAIWHRRLDIVRVLCI LEPTLCLATTDGQGRDALYYAMRTNDVSVVAFLWTNRRQSQFNFAAALVAAVESNAMA SLKWMAATAPQDFFRSIEALHVHMPHVTSLEHVACARGFLELATWLRSINSNPTTTCL SSSNADGCTPTQVARLFGYPIHFDESKGDNCCHDPVVLNGVLRRLLSTQVSVISTGNK SIDGTAAWRPLYPIASQAFQFTELYAACSNNSVAYVSILASFGVSLVEPCGPLNLPPL DWAAHYGAVDVIQCLLSQGVVDVEGTALRRAATRRQGSAVAALLDAAPPATSLGRLQG DGATPTLLHLVARVQSTVAPRLIQVLIDQYHADVDIVDGQGLTPVVYAMVSGNVPAML CLLERGARLEAEYEGQSGFYYVLHLLPSDTWRVFFQAYLGVVLHGRALHCTESCGCKS FEGSVGDVACSFCSHRFDQHSHIPLPPWHQDVSDTYRMQRTSSTTSSHISPESSPRST TSDNDDHDNVHRFQHDRSDFENQDPHLSPLPLSTLEYDYVGRLDQCHISAVAWARFRP EVSMYCLSSMTGHPDAGPSSTTDDDDEDKEDVLQRGFVCSLDDQPEAWSWRVPALNQS DIPVKMDKQLIPPRWSVATTFGLEYHVDCWCTQGGMYCLSAAMSLRRVVTWWLGRRLR STGSATTTTKTVTTLFHIWKSDGRRRISSPRDHVVPRTRQQLVRLKLFVLHWCHAKLV QAFSRWKKVPTASYTIHLRHHTEVVVVAAPPQASNMGDHSYCNSLNKLNPHKPVPRLK NSTLSTVDCG H257_13996 MMMARVHQAMLQLGANANMATRIHEPRPPRCRRRSQSKMSTTLN TSQPNRLREAMETMSLHDVAQHTVELKTLKLLVPRPLSSPQLQSSTATSCDTVEPIAS PLAIALVARSYDIADLLLQYGANIIVRDQVWRAYVDDANSRATLVQWSSRWLCPDIFP SSAFATLCGQASFTPSIFPRNDTNDNSDAKHAMTVIESGLCALLDVSIGLNYYSTWDN LAHAAFSAFRLRRLHLAHRLANLCGATLFNDSRSTSWLVVAVENACLASCRWLQAHDY PFSAIDIPAMCLLLSSPCRHRSVNDDIAVQTRTSLFVWLVQCHVSLIQSSYLQTYLDL ALANANTAVTRLLVDNMIHTEDKSYYTIRADHAVVASRGDETYILSLRSMGCWTHCDL GLTLAIHFNMSQTILRCIIAHGARPTPQAVVYGKPAIVWAIWHRRLDIVRVLCILEPT LCLATTDGQGRDALYYAMRTNDVSVVAFLWTNRRQSQFNFAAALVAAVESNAMASLKW MAATAPQDFFRSIEALHVHMPHVTSLEHVACARGFLELATWLRSINSNPTTTCLSSSN ADGCTPTQVARLFGYPIHFDESKGDNCCHDPVVLNGVLRRLLSTQVSVISTGNKSIDG TAAWRPLYPIASQAFQFTELYAACSNNSVAYVSILASFGVSLVEPCGPLNLPPLDWAA HYGAVDVIQCLLSQGVVDVEGTALRRAATRRQGSAVAALLDAAPPATSLGRLQGDGAT PTLLHLVARVQSTVAPRLIQVLIDQYHADVDIVDGQGLTPVVYAMVSGNVPAMLCLLE RGARLEAEYEGQSGFYYVLHLLPSDTWRVFFQAYLGVVLHGRALHCTESCGCKSFEGS VGDVACSFCSHRFDQHSHIPLPPWHQDVSDTYRMQRTSSTTSSHISPESSPRSTTSDN DDHDNVHRFQHDRSDFENQDPHLSPLPLSTLEYDYVGRLDQCHISAVAWARFRPEVSM YCLSSMTGHPDAGPSSTTDDDDEDKEDVLQRGFVCSLDDQPEAWSWRVPALNQSDIPV KMDKQLIPPRWSVATTFGLEYHVDCWCTQGGMYCLSAAMSLRRVVTWWLGRRLRSTGS ATTTTKTVTTLFHIWKSDGRRRISSPRDHVVPRTRQQLVRLKLFVLHWCHAKLVQAFS RWKKVPTASYTIHLRHHTEVVVVAAPPQASNMGDHSYCNSLNKLNPHKPVPRLKNSTL STVDCG H257_13998 MVVYNFKKIQTVPPANNFVDIILTRTQRKTPTVIHPTYAISRIR AFYMRKVKFTQQTCQEKLSQIIDDFPRLDDIHPFYADLINILYDKDHYKLALGQINTA RALVDNIAKDYVRMIKYGDTLYRCKQLKRAALGRICTLLKKNKSSLDYLEEVRKHLSR LPSIDPNTRTLLVTGFPNVGKSSFMNKVTRADVDVQPYAFTTKALYVGHMDYKYLRWQ VIDTPGILDHPLEQRNTIEMQAVTALAHLQASVLFFLDISEQCGFTIEQQLSLFANIR PLFANKPLLLVCNKIDQMPYELLPAHHKEAIEEAATTASAKIFTMSNHSEENVSTVKN YACDELLSHRVSTKVKGKKVGDVLNRLTVAMPVARDEIVRDISIPDSVLQARDDPSSL AASRKTQKQKMVENGGAGVYAMDYTEHYEGMLKNKEWRHDVMPEIWNGKNLADFVDPD ILARLDELEREEEALDAAAADQDMEDDDIVSDLDEEDKVTLRAIRDKKHVMIAEHRQA KNKNRSVLPRKHMRRTLDGFKDSLDSLGVDSSKLGHATAKAKKYEVDDEQRGRKRTRD EVDDVDMEGGDDDDDSANKGGARLRSTSVARRSKSKSGRSLSRVAPRDESGFRDEAMQ AKSKNIALKQQRKMNKMAKIGEADRHQLPKLAKWQNSGKRGNGNTNSR H257_14003 MDEPRSSLQHARIILVKIGTEVVNSPEGLLAMGKIGCIVEQIAR LHMQGKQIILVSSGAVAIGRMVLKRQQMLSGSMQSHLKGYNPAAQGSLNPKACAAAGQ SGMQSLYEVLFSQYHLACSQILTSDTDFQVPETRENVKQTILSLLQVGIIPIINENDV VSQRKAPLTDATNRIAWDNDSLASLVAQELGAELMLLVTDTAGLADANGAVVHEYNPL QPMQFASTSRVGHHGQLDKVLACVDAINSGVVKAAVMLPAAPNSLVHAVEGQRIGTLF RGPAPLPQPSAPAAAPVPPASKL H257_14003 MDEPRSSLQHARIILVKIGTEVVNSPEGLLAMGKIGCIVEQIAR LHMQGKQIILVSSGAVAIGRMVLKRQQMLSGSMQSHLKGYNPAAQGSLNPKACAAAGQ SGMQSLYEVLFSQYHLACSQILTSDTDFQVPETRENVKQTILSLLQVGIIPIINENDV VSQRKAPLTDATNRIAWDNDSLASLVAQELGAELMLLVTDTAGLADANGAVVHEYNPL QPMQFASTSRVGHHGQLDKVLACVDAINSGVVKVNTSTSISSSRF H257_14004 MSSSLVASTTPPALQPVTALSPSGSPTDTMNPTLHDSVHLPGEL QPLEAAGTARAVRRETTGGSSDPRTTPSLLTPGEATQLRLSCLARRRRWSVRWILALS SLGTNHSAPKGQALSRHPVNSQSEISIQMLLKVRYPACMRPNLRRHVEWSTSATRYQV QCPRQRLLLKVTLCRPTRANLAKLMELASDEATSDDAMFQAIEDALPYPKRIGTTTFW IDTSDALMSQTNDKIIKSLFEDNKDAPWAHLMQDFVQVNKARGGDVVVTVTDEATRFG MSGQSIRLLGKEFSVVASSTTGNRNPSQRQHDDLHDLYYMDIVGTRFNFDARAVLNAL RRLKTNPVFISYKLAYSSSTQKSNTHPNIWRVYFNTPSQPAALLVKGHPVDQIMLHGT NSLY H257_14006 MSSIWLQRMTPPKAMCKVVTDGNMAELRKLLLDCDVNAASEPDS KYSGKSPLHIVCESGRLNVAQLLLAQPTVDVNVRTPYDETPLLFACQARHVDIVRLLL ARPETNVNCSNGNQWTPLHSACENGFADIVQLLMSRPELDVTGISWRRTPLHLACQQG HVDVVRLLLSHPLVSYHVESAKVANATPVHLAVKRQHTVVVQALVLHALAALISDSVA VAASATQFLAAFLAPEFPLDITARADVIQAVWAAHEDAAERRTMRNRLLEQSPAQPHD VLSRVVW H257_14006 MCKVVTDGNMAELRKLLLDCDVNAASEPDSKYSGKSPLHIVCES GRLNVAQLLLAQPTVDVNVRTPYDETPLLFACQARHVDIVRLLLARPETNVNCSNGNQ WTPLHSACENGFADIVQLLMSRPELDVTGISWRRTPLHLACQQGHVDVVRLLLSHPLV SYHVESAKVANATPVHLAVKRQHTVVVQALVLHALAALISDSVAVAASATQFLAAFLA PEFPLDITARADVIQAVWAAHEDAAERRTMRNRLLEQSPAQPHDVLSRVVW H257_14007 MSRSLLLLVLAGVASVGTAWTCSPTKIIDWRASCDKLGSDDEKC KNGPCHRALHYLVEDIVRDCYVQSGMGDASDLDVYRILDDYCHGETPAPKPVPTTSTS TPTTSAPSPPTPTTSTPTPTTSAPTPTTKAPTPTTKAPTPTTSAPTPTTKAPTPTTSA PTPTISVPTPTTKVPTPTTKAPTPTTKAPTPTTKPPTPTTKPPTPTTIAPTPITSAPT PITSAPTPTTSVPATKTPTHSSPPAVRPTTSPASAPLTQPTNGPPVALPIAPTTSSSL SSFPTSSAPSNVAPSSTTSSASTRLPEKSASTTPTTTGVRVASPTSVPVKTTSNITNT TKTTNVTKTTNATNATLGVEFITVPTTQASKTSLPRTLQATTKPAATSSAAQVGALPL VMAFTALALP H257_14008 MPVVKPSTGRNAHGSRDRFIPSRGAMNADLCQYMLAPDATAPHP PSKGHGPTHRDLLFATLVASDDRRMLRFQSRPLLPPSIALSACIPRVGGAATSLRRHI PLIPTRILDAPNLRDDYYLNLLAWSNSNLLAIALDTVVYVYNVDSGGVTAVRAIQGGR EYVTSVAWLSDRLLAVGTSNAHIQLWDTGAAKCTRTLVHSHHERIGSLAWNPSMQVLS SGSRDAKIIQHDIKAPNAVVAMLYGHTQEICGLSWSPDGKTLASGGNDNRLSLWDGMS TTPRLTLSQHTAAVKALAWCPWERHLLASGGGTADRCIKIWQATTGAMEHSIHTGSQV CGLVWSPIQKELLSSHGYSQNELCLWAYPRMNRIKEFTGHTARVLHMALSPDGASVVS AAADETLRFWSVFGPPSASTRPPRFHLTSSLCGVR H257_14009 MFEKTLADLVKGIRSCKGDVSVYISQSMQEIKTELKSTDPFIKS QAIRKLTYLNMQGYDMSWAAFHTVEVMSYERFAHKRIGYLAASQSFTQNTDVVLLCTN LFKKEFGSVNEYEVGLALNAMANICTLDLARDLLGDILSLMNSHKPYVRKKATLILYK LFVRYPQGLRLSFDRLKERMEEPDVSVVSCSVNVICELANKKPKNYIGLAPQFFRLLT TSSNNWMLIKVVKLMSSLVPEEPRLARKLLDPLATIIQNTGAKSLLYECISTVTTALL YTQKSDGTQPRNVPAIVKLCNDHLRRHIEDPDQNLRYLGLVGLSNLMHSHPHVISDHQ HAILECLSVEDTTIRLRALELLVGMVNPDNASGIIEELMRHTVVADGVYRQDLMAKIL LVCSVQKYQNVSDFDWYLGILVQLATVPGENAKNAAEITRQLVDLAVRVKGIRAMLVQ KMIEFLLDEDAVTGPGAKTTCQVLYAAGWIIGEFILEYVEDEDDDDDDDDGRLDDVMN AADHMLLPRTTSLPAPVQAVYMQALLKLLMVMVDKADEATVDSLAQMIVERLPAFVQS EHIEVQERACGVQEIVLGLGLGLNALSADDRANRVFDSQPLSADTRVEVLTSFFAEPL APVGAKAQGKVPLPPGLDLDEPFDRDVAAFLAAGGDLDVLDEDAEVSFVNQPHASTSL GGPDGSYVNLNDDRSSSESEDDAKLELTSKVDKSNAFYIKSSDNTPQNNMVGNLLQAL EKQKKKKKAKKSKKIVILDDEPDNGSSDDSRRRSTKKKAAQYDDLSQVDLTTPLGDDE VIPDSHWHHRQVRADEAKDKSKKKKKNKTMEVEVVEKKKKKEKAVLSVEEPTAKAKKT KKDGQKKKKPKKQQPNDDDQVLLLF H257_14010 MRHVAAFLLLVLGGNTTPSAADVEKVITSVGGEVDSEQLEALLK EVEGKDIYEVIAAGQAKLATVSVGGGASAGAAAASSGGAAAPVKEEKEEEEEADLGGG MDMFGGSSDY H257_14011 MAAASTVGMVPLLVSWLSCLYMPVALGLYCFHRNHPCIKYRQPR RMAVIAGSLGACALSLPVLVFTDAHCHLYSLWFSCSICALVSYLLMQCSVVISFQITE LLAQPKLATAESVHRTMQRRWFLHRKVQSAVFVLTNAFVLGPIYYPFAAHFDVLVTHT RGACFDETSWDILLAEIGLIGALSFALAIHVSRVVDNFGLRRAFLATSKAGILCMALQ FLLSIGTYKLQWTWLDDFYVLDSTASLPFHAFFYFNLLHPLKTVLRPSTFHQRVMVLS DPFSQHRAHSTSHFKAFLLHPDGFRAFLEFCRLELRLELLLAWQMLTQFEAAPTSRAA IHVFETCFEPHCMYATDIGANWRPHFAPHRLTWTHHTTVFLSPSLFCHVTAALLQRMY DVQFPRFLQHPRGVLAWHEFLDRKRAVEKLDQVLTMVNRQGSSTSSFQMKLHLPLHFN GG H257_14012 MVLSLDDVSALLVLAKTRKQRRLVATLLACTYIERPLIPAVRFH LTACTDANSIMDFRFDVDGVQKLGLLLGLPSVVITNSRNRVCRDEAMCIMLSRLAFPT RFYQMAKTFGRSDAVLCDIFLFVVNDIYDRWHDLLYFNLRLVRKQLDQYCAAIKKRGA PLDSVFGFIDGTKVAVSRISSSGSGDNLQRQVYSGHKRLHCLNYQAVTAPDGVCIHFF GPVEGRKHDTTMLRHSEEYLDTLEVALV H257_14013 MTTASKRRFTEEEDVMLLREVNARMPFRARRGAVMDAWAEVAAA LLSHEDFDRSVFDAKRAHNRFTLLLEGHRGDNRESMRASGVDEEYSEKMQLLDELLST YDDNKAEKRGRLEEAQREADRIESLGKTVREEALQSLGKRRLAQDGEGSSGGGGGKLF KIMSMIQDDNKAKLEIRKLQYEKDLEERQKDRDVLIEQSRMQHEAMMNILAALNKTK H257_14014 MSIDTTEPVDQLKHAIRAHPEFGFPTRGLDLYLAIKDHAWLSSE DPDVVALSDPAGGDSVVASYVNADRKMKANKFLSTYFTGGKFPPFDAAERIHVVVVVS PPIRPREGESIVVDSKKAKLITGLDEPRGKVDVALPSPKVFQYDLSTVPVGVLLDTSA LSDALKSFGGFPRTLYVRHEMKVVWAILYDNYFVEPVQDVHYVLLGSPGDGKSALLVL FCCFLSQMHNFDIFLARHVICDAGTTSPDVVLCFRGSVVTGYPKIPRGLLPYIEFNLS ESCMSTQRYLVVGDGYHQNSFITDGLNIFQSSDLLSTSGQYRLSSQDNRSLVLMSAWT RHDLGALYPTLPINTFETQYAYSGGNARDFCRQFSDLYERRMTTLQRLTPATMETLLG RYDDARCQGMDTLTSLYVKDATDRSMYTRPSMWKAIVDSKFALTELVTKADLQVYVNA LHLAENAMRGGTLHGIAFGAMLHKLAWLKQLELRGGGLVVSVTDECQVMGHGASYGEC IEFLKTNTRTYMYWYPAYATFPPILDSILIVDGVVYYLKTTVAETHALDWDQLREIHE AIAPRGTPTTGVDMWEAWAGTSGHVQRELRSLRCLHLVG H257_14015 MFDFLKRPTLEDELFKMRFTAKSLVRNSKKCEKNQIAQKLKLKQ AIEKGNMEGAKIYAQNAIREKTQALSYLQLSSRIDAVAARVQTAISMGNLKSNMSGVV KGMNVVMDSMNVEKISKTMDQFERQFEDLDVRAAYMEGAMNSTTAGATPADQVDDLIQ MVADENGLVIAGQLDSAGAVGSHVSVAAPAAAQATDDLTARLAALRKA H257_14016 MPRKRKASETTAQEEVRVAAPKHRVRRAPSPPEQATLDGIKAKA TKDRILFILAKATTLVGVPTIMKRLVEEYGLTESKAFNTNVNKALAALSSEQRADFGK VGGSYHAGDASEAYIQHFQGKASAAADEANAQKYMDQGCIQCCHCGEWCPGDCEVGED SIARGSKYKCFACDKVFWTWISDGYTTGHEVEYKYSNGY H257_14017 MLFMYLPKSTMPRKHNNASAVDVVAAPKRRVPPKPKSLKDRIVS IVIESSIMLGAPTIKKKLVHDFGLVNSKAFNTNVNKALKELDDDDDRKDFGKCGGSYH AGPTSAAYLAHVAKEQEAGQLEAYKREGRVLCCHCNQWTLNEFIREDYVARGAECLCC GCNKTYFTWISDGYTEGHEVEYRFGDGREEYKQILH H257_14018 MQVTDVTAIITGAGRGLGLAFTRAILTGGGRVLMTDIDSAAVEA AARVLQQEFTDRVHSQQQDVTDLASFDAAFDAANRAFPSHPVNVLVNNAGIGNTGFYT SDTAWTNVIAINTTAVIRGTQVGLLRLSPSRGQPVVVNIASMAGLYPLKDSPDYSGSK AAVVAFSRAVGMNVNKTNVRVVALCPGFADTQMGQAVLTHAPAVVRGIGGLMTPDFVA SALVLRALAEQDNSGQVLVVSKRGVTYHGRKLPAKL H257_14019 MDGAFSLKVCCMGAGYVGGPTMAVITKFCPSIRVTVVDVAAKQI ARWNSEDFDLPIYEPGLEALVRETRGRNLFFSTDIDRHIKEADVIFVCVNTPTKAAGI GAGSAADTKNIELCARMIAEYATSDKIVVEKSTVPVHTAEVLMAVFNANNKSSVHFEV LSNPEFLSEGTAVQDLITPSRVLIGGGSTSAGQAAVQALVRIYEQWIPSERILTTNVW SSELSKLVANAFLAQRISSINSISAICEATGADVTEVAKAVGTDPRIGSKFLDVSVGF GGSCFQKDLLNLVYLAESFHLPEVAAYWRSVVAMNEFQKHRFGTHIVRTMFNSVTHKK IGILGFAYKKDTGDTRETAAATIVKMLVAEKAQVYVYDPKVELDDLVNELKYHGVPDD DIGRHVHVMDRADAVADKAHALVVLTEWEEFKAYDYTRIYDSMLKPAFVFDGRNLLDH DHLRAIGFAVHGIGKK H257_14020 MSATKRDPILDLAKYIDQSVQVKFAGGREVRGNLKGYDQLVNLV LDDAVEYLDTPGQTRELGLLVCRGTSVMLISPVDGTEEIANPFAQEQEEE H257_14021 MSYMLPHLCTGWSVDQAIMTEGDRVVVMRFGHDHDPVCMQMDEV LCGVAEDVKNFAVIYVVDITEVPDFNTMYELYDPCTVMFFYRNKHIMIDLGTGNNNKI NWAFNNKKEMIDIIETVYRGARKGRGLVISPKDYSTKYRY H257_14022 MHRMKRTVVKSRCDTTSEWRSAKSNASTKCLPSMSRSIHPIFQL ATNMALTTLVQTAAIAQRCEKEAAGRPRVSKTSFMMIYLCLCLGSKFYVSSQQQSELL LASELYYYDDTNLPVFLQDEPPQISPEDAQRFLALPQATEHPLHAAIRSGSLQMTQLA HETYEDVDVVSMQQRKTPLMLAAELGHADIVAYLLDQGADVFAEDAAGNTALHVACEA GHVYATYVLLTAGADLDLPNDRMQTPDDVAMPHLQELLDTNGVIADSIVRGWDRQFKT HGFLVPTAVVARRTTRYARFLKLAKIVEEVGII H257_14023 MYFDASPTSVKPPLLSPTLHAVLWIWVFAYIGVAARMGLAEVTT SLERSQARPSVLTDMGRPYFVANIVGCFVMGVCQPLKSKYTHLESVWVGITTGFCGCC TTFATWQLFTAHQYLTQLGVNATFVFFIQLTCCFGAHWGGRYIGTSVCESPVELPPAA LALQLRKTAADQSGDKWQAVVDVLDSLLLQTPSDTSDEPAADTPSVLLPRICLASFGS GAILVSIAVAFHQATWSSLWIGPFGALLRYALGQRLNRSTLPFGTLVANVLASAVNCI VVLWLREPEWVQAAIITGFCGSLSTVSSWINELDGMPVKKAVAYVALSHILAQVVSLT ILGSDDGANSISLGT H257_14023 MYFDASPTSVKPPLLSPTLHAVLWIWVFAYIGVAARMGLAEVTT SLERSQARPSVLTDMGRPYFVANIVGCFVMGVCQPLKSKYTHLESVWVGITTGFCGCC TTFATWQLFTAHQYLTQLGVNATFVFFIQLTCCFGAHWGGRYIGTSVCESPVELPPAA LALQLRKTAADQSGDKWQAVVDVLDSLLLQTPSDTSDEPAADTPSVLLPRICLASFGS GAILVSIAVAFHQATWSSLWIGPFGALLRYALGQRLNRSTLPFGTLVANVLASAVNCI VVLWLREPEWVQAAIITGFCGSLSTVSSWINELDGMPVKKAVAYVALSHILAQVVSLT ILGSNS H257_14023 MYFDASPTSVKPPLLSPTLHAVLWIWVFAYIGVAARMGLAEVTT SLERSQARPSVLTDMGRPYFVANIVGCFVMGVCQPLKSKYTHLESVWVGITTGFCGCC TTFATWQLFTAHQYLTQLGVNATFVFFIQLTCCFGAHWGGRYIGTSVCESPVELPPAA LALQLRKTAADQVDISSCSTPNIWSSFSRRAEINGRRSWMYWTPFSFKLHRTPLTNQQ PTPRPFCSRGSASLHSVAAPSWCPLQWLFTRLHGARCGLGRLARCCGMPWASD H257_14023 MYFDASPTSVKPPLLSPTLHAVLWIWVFAYIGVAARMGLAEVTT SLERSQARPSVLTDMGRPYFVANIVGCFVMGVCQPLKSKYTHLESVWVGITTGFCGCC TTFATWQLFTAHQYLTQLGVNATFVFFIQLTCCFGAHWGGRYIGTSVCESPVELPPAA LALQLRKTAADQVDISSCSTPNIWSSFSRRAEINGRRSWMYWTPFSFKLHRTPLTNQQ PTPRPFCSRGSASLHSVAAPSWCPLQWLFTRLHGARCGLGRLARCCGMPWASD H257_14024 MRWWTLAGVRVAAPCRLLGIRSRHFHHVPVLPQETLELWAPRRG QTSEPLYYVDGTVGLGGHSRLLLDASPTARLLCIDRDPEILDQAKRSLARFSDRVDFA LGSYMDIKTHLSHAGFPDAVDGILVDLGVNSHHLDAGERGFSIYHDGPLDMRFDQSVH TPTAADLVNTLSEVAMIKIFTQYGEEPLAKEFAKAIIRRRGTNPFERTDQLKRCIEAI AEKWKSPKKAAPKTGKKPIHPATRVFQALRIAVNFELDHLEKGVPAFLECLAVDGQLA TIAFHSLEDRWIKRYFRDVVDDTEEFKLTQRKAIQATNDEVLGNPRSRSAKLRGIKRI VSLAQE H257_14024 MRWWTLAGVRVAAPCRLLGIRSRHFHHVPVLPQETLELWAPRRG QTSEPLYYVDGTVGLGGHSRLLLDASPTARLLCIDRDPEILDQAKRSLARFSDRVDFA LGSYMDIKTHLSHAGFPDAVDGILVDLGVNSHHLDAGERGFSIYHDGPLDMRFDQSVH TPTAADLVNTLSEVAMIKIFTQYGEEPLAKEFAKAIIRRRGTNPFERTDQLKRCIEAI AEKWKSPKKAAPKTGKKPIHPATRVFQVFTILHIYHSIMYIYIYVLRRHCALPSTLNW ITLKKAYQRFSSVWQSMVNWLPLPSIPSKIDGSSDTFETWWTTPRSLN H257_14025 MPPPTPHAHSRGERVPDSNPSGNDAQGWLWKKCGVFSLWRRKYF VLCGPLLSFYDTLPDTCSANPSIVLSSGTCSSPQGVLRVVYVESTSKGFKVFGSSGKV IHVRANTSSTDFKWVQVCQRAAMLMQQQKETPSPSDCSSTCTVLSDHDTLSRMSDSVD RSGWLRGDNGIKFFVLQATMLTMYENKQPWCVPSYRGYICSVAKKGYQDLRVSLSGGK VLNLQAPTSQDRDDWAMRLYQSTQR H257_14026 MSDDDDIYIPLKQRRREEEERRVKRQKLIQEKLKQHAQDDEEEA ADDDATSRQPTSAATTDPASDDVDAAAPTRSTVSLLDQSFQLKKKRENEGVNEDDRIQ STSEVQLLHEASQVQKGALVSAAEHASGVRYTESLQTTWTPPRHIARLTEDECDLVRK KWHILVDGDDIPPPIKSFAEMRFPPAILNSLKAKNIIRPTPIQVQAMPCILSGRDLIG IAFTGSGKTLTFTLPLVMLALEQEKKMPLIAKEGPFGVILGPSRELMRQTYEIVTHFT SSLFQAGFPEIRSLLCMGGQDKREQLDMIFKRGVHIVVATPGRLKDFLQSKKMHLDLC QYICLDEGDRMLDLGFDEEVAAIFNHFKHQRQTLLFSATMPQKFQDFAKQVLVRPILV NVGRAGAANLDVLQEVEYVKHEAKIVYLLECLQKTAPPVLIFCERKGDVDDIHEYLLL KGVEAVSIHGGKDQVERNEAIDLFKRGDKDVLVATDIAAKGLDFPDIQHVINFDMPVE IENYVHRIGRTGRCGKTGMGFDRVSGVRDVRVLGVATTFINKNVPESALLDLKHLLVE AKQRVPPVLKALDDPMEHLQENATGSKGCAFCGGLGHRITDCPKLESNARKLNAGRRD YLGGQSGGYGGDTLG H257_14026 MSDDDDIYIPLKQRRREEEERRVKRQKLIQEKLKQHAQDDEEEA ADDDATSRQPTSAATTDPASDDVDAAAPTRSTVSLLDQSFQLKKKRENEGVNEDDRIQ STSEVQLLHEASQVQKGALVSAAEHASGVRYTESLQTTWTPPRHIARLTEDECDLVRK KWHILVDGDDIPPPIKSFAEMRFPPAILNSLKAKNIIRPTPIQVQAMPCILSGRDLIG IAFTGSGKTLTFTLPLVMLALEQEKKMPLIAKEGPFGVILGPSRELMRQTYEIVTHFT SSLFQAGFPEIRSLLCMGGQDKREQLDMIFKRGVHIVVATPGRLKDFLQSKKMHLDLC QYICLDEGDRMLDLGFDEEVAAIFNHFKHQRQTLLFSATMPQKFQDFAKQVLVRPILV NVGRAGAANLDVLQEVEYVKHEAKIVYLLECLQKTAPPVLIFCERKGDVDDIHEYLLL KGVEAVSIHGGKDQVERNEAIDLFKRGDKDVLVATDIAAKGLDFPDIQHVINFDMPVE IENYVHRIGRTGRCGKTGVATTFINKNVPESALLDLKHLLVEAKQRVPPVLKALDDPM EHLQENATGSKGCAFCGGLGHRITDCPKLESNARKLNAGRRDYLGGQSGGYGGDTLG H257_14026 MSDDDDIYIPLKQRRREEEERRVKRQKLIQEKLKQHAQDDEEEA ADDDATSRQPTSAATTDPASDDVDAAAPTRSTVSLLDQSFQLKKKRENEGVNEDDRIQ STSEVQLLHEASQVQKGALVSAAEHASGVRYTESLQTTWTPPRHIARLTEDECDLVRK KWHILVDGDDIPPPIKSFAEMRFPPAILNSLKAKNIIRPTPIQVQAMPCILSGRDLIG IAFTGSGKTLTFTLPLVMLALEQEKKMPLIAKEGPFGVILGPSRELMRQTYEIVTHFT SSLFQAGFPEIRSLLCMGGQDKREQLDMIFKRGVHIVVATPGRLKDFLQSKKMHLDLC QYICLDEGDRMLDLGFDEEVAAIFNHFKHQRQTLLFSATMPQKFQDFAKQVLVRPILV NVGRAGAANLDVLQEVEYVKHEAKIVYLLECLQKTAPPVLIFCERKGDVDDIHEYLLL KGVEAVSIHGGKDQVERNEAIDLFKRGDKDVLVATDIAAKGLDFPDIQHVINFDMPVE IENYVHRIGRTGRCGKTGVATTFINKNVPESALLDLKHLLVEAKQRVPPVLKALDDPM EHLQENATGSKGSSYTLRLGSFYSENDTIWVLMALFSGEYDTICRLTETFYASKMILF FQVQMIVFHMKWFFEVNRILLFRVKMILFRVQMILFGV H257_14026 MSDDDDIYIPLKQRRREEEERRVKRQKLIQEKLKQHAQDDEEEA ADDDATSRQPTSAATTDPASDDVDAAAPTRSTVSLLDQSFQLKKKRENEGVNEDDRIQ STSEVQLLHEASQVQKGALVSAAEHASGVRYTESLQTTWTPPRHIARLTEDECDLVRK KWHILVDGDDIPPPIKSFAEMRFPPAILNSLKAKNIIRPTPIQVQAMPCILSGRDLIG IAFTGSGKTLTFTLPLVMLALEQEKKMPLIAKEGPFGVILGPSRELMRQTYEIVTHFT SSLFQAGFPEIRSLLCMGGQDKREQLDMIFKRGVHIVVATPGRLKDFLQSKKMHLDLC QYICLDEGDRMLDLGFDEEVAAIFNHFKHQRQTLLFSATMPQKFQDFAKQVLVRPILV NVGRAGAANLDVLQEVEYVKHEAKIVYLLECLQKTAPPVLIFCERKGDVDDIHEYLLL KGVEAVSIHGDY H257_14026 MSDDDDIYIPLKQRRREEEERRVKRQKLIQEKLKQHAQDDEEEA ADDDATSRQPTSAATTDPASDDVDAAAPTRSTVSLLDQSFQLKKKRENEGVNEDDRIQ STSEVQLLHEASQVQKGALVSAAEHASGVRYTESLQTTWTPPRHIARLTEDECDLVRK KWHILVDGDDIPPPIKSFAEMRFPPAILNSLKAKNIIRPTPIQVQAMPCILSGRDLIG IAFTGSGKTLTFTLPLVMLALEQEKKMPLIAKEGPFGVILGPSRELMRQTYEIVTHFT SSLFQAGFPEIRSLLCMGGQDKREQLDMIFKRGVHIVVATPGRLKDFLQSKKMHLDLC QYICLDEGDRMLDLGFDEEVAAIFNHFKHQRQTLLFSATMPQKFQDFAKQVLVRPILV NVGRAGAANLDVLQEVEYVKHEAKIVYLLECLQKTAPPVLIFCERKGDVDDIHEYLLL KGVEAVSIHGDY H257_14026 MSDDDDIYIPLKQRRREEEERRVKRQKLIQEKLKQHAQDDEEEA ADDDATSRQPTSAATTDPASDDVDAAAPTRSTVSLLDQSFQLKKKRENEGVNEDDRIQ STSEVQLLHEASQVQKGALVSAAEHASGVRYTESLQTTWTPPRHIARLTEDECDLVRK KWHILVDGDDIPPPIKSFAEMRFPPAILNSLKAKNIIRPTPIQVQAMPCILSGRDLIG IAFTGSGKTLTFTLPLVMLALEQEKKMPLIAKEGPFGVILGPSRELMRQTYEIVTHFT SSLFQAGFPEIRSLLCMGGQDKREQLDMIFKRGVHIVVATPGRLKDFLQSKKMHLDLC QYICLDEGDRMLDLGFDEEVAAIFNHFKHQRQTLLFSATMPQKFQDFAKQVLVRPILV NVGRAGAANLDVLQEVEYVKHEAKIVYLLECLQKTAPPVLIFCERKGDVDDIHEYLLL KGVEAVSIHGDY H257_14027 MIQAVDAPSLLVLTLLCVVVEVLLHVLQTRGSRPTQAELALQRQ HAVLAVQAKKLNSVDMFVEHSKLVRQMNTVKKQEQTLAVERLAHAAVPRYFGYIQPVL LAIVVVVFWSSPLVVFPPGRLMPVERLVAMPFFPAGSVSAGGWWLICRRVLGKVLK H257_14028 MALLAKDEKQIRRRLQCKMNMRCHRDRRKRSALQLEAAIDQLHR DVQQAQTRLAFYHSLPSPYDGPMAIVVEYKKQFSMYECGDAQVQFLRTCFSPNFTANT LSLDGFITFWQRAAPVYATPDSLLSILPIENDRIEMQVQLHTPLTRDVLGRVMVGYDL DDESPDTIDALVATNTTITHVLTRTFFFTEDEATGRPQVTHSIAVDDRYVQLGQLLEI ARQSHTQSAETS H257_14029 MLGGLGLGLKQKRKTSTDFYMPPPSSSMIDRSRLRYGVLALLVF ITVMSLSTLNTSLRSTPSFRVPPPPSTSQLAIAWEHLPDRFELGFVADLDKASKVSDS KKPLFQSYFQKAILQRHDRPDTSSVADSSAAAQFEYSVTWKDMATFSTTLNEAGRGFE LSELAWFQGQLHSFDDRTGLVFRLDHFSMRDGPNDMRAVPVAIAMEGNGETTKGQKHE WATVKDGELFMGSIGKEFTAGAQVIHENNMWVSILSHNGAVRHENWTDRFKKVREAVG CGYPGYVIHEAIEWSAVHRQWFVLPRRVSTEVYDDVADEKRGSNLLIVASEDFSHLEV RKVGTITPERGFSSFKFVPGTHDSVIVALKSMENDATDAQAAYVTVFTVDGAVLMPET ALPGTFKYEGVAFLHDY H257_14030 MGCLLSVCPSAWGWNHRASSFELGFVADLDKASKVADSTTPLFQ SYLQKAVLHRKLVDDKVEYTVSWKDVAPFTTSLNEAGRGFELSELAWCRGDLHTFDDR TGLVFRLEKFQLSDQPHDIRAVPVTIVTEGDGSTTKGKKHEWATAKDGELYMGSTGKE FITGNDVDNTHNMWVSILSKDGVLRFEDWTDNFAKVREFVGCGYPGYLIHEAIEWSAV HRKWFILPRRVSKDAYDETADEQRGSNVMIVASEDFSRLEVRTVGPITPERGFSSFKF VPSTQDSVIVALKSVEIEATKSQSSYVTVFTVDGDVLLPETPLPGTFKYEGVAFLREY H257_14031 MSEGPGYDDSLKVAAGGVSTVVMFDASKKEEFYPTSGYKKLARK LKTTCKVEVNKDDLSLDRLKQAHLVVFSGVRERFSSSEFQALKDYMREGGSVLFCMGE GGEVDTNLNSFLKEYGIVVNPDSVIRTVYHKYHHPKEVYITSGIVNREIARVANVLSG KGKDIFARDPAADKAHGIEKAQKGLNFVYPYGATLNVKKPAAPILSSGFISFPMNRPI GAVWAHDGVCAPAKEKKTGRLAVLGSMHLFSDDWLDKDENSRLQDILFRWLLKDKEIQ FDPVDAEDPDLSDYNRLPDTQALSERLRSCMQEGDELPKDFTKLFDNTLFKFDTSLIP DAVAQFKELGVKHEPLSLIPPQFETPLPPLKPAVFPPILREMPPPALDQFDLDEHFAS ESLRLAQLTNKCSDDDLEYYVKEAAGILGILPKLDPDRADAKHVLEFLLSKVVNFKKL NQDLLAMVVIKDKAAAFQDLNEDDKDLDLDDMADAKSRK H257_14032 MEVSPNVFVSGYDHLVTKEVMWKHFEDCGTIYKVDMMESAGSGR FSFVEFTDAAAGRAAVANKDRSILGGRSLRVRYANRKTNTPHSSPPPLPPLPPTIPIA LSPPPPLATSHPPTKTTTPMPTEPLGPSDPTSHWLFASTTPLLTPSYLAGISFDVETQ LRRTTSWYIIDLQRKLDLPRVASLSAMTYMNRFFMLHSFSSHDRFLVASAAIFLSAKV NERTVKLTHVADASLKLATDAENASSTITLPADMDFIKSRIRQYEVILLNSLSYDVVV PQPHLALAALADRAIAQVDMARENVLAVADVFLTDAIAGTLALQLTSDELAAGALYLS CRFHRMDDWVHTTDVFSHVHIHIVASHFLAMYDVRRLPVSLQAVALIFK H257_14033 MHARARHVMRRGFHGYSNSTFMGVVPMVLEQSARGERVYDIYSR LLKERIVFLNGPVNDHMASLTTAQLLFLESEHPDKDIYLYINSPGGAVTAGLAIYDTM QYIQPRVQTLCIGQAASMGALLLAGGAPGCRSALPNSRIMVHQPSGGAQGMASDIAIQ AEEILQLRHRLNRLFAKHTGKDLAVIENAMDRDKFMDAEGAIAFGVIDSILMRRPKQE LDEKVT H257_14033 MHARARHVMRRGFHGYSNSTFMGVVPMVLEQSARGERVYDIYSR LLKERIVFLNGPVNDHMASLTTAQLLFLESEHPDKDIYLYINSPGGAVTAGLAIYDTM QYIQPRVQTLCIGQAASMGALLLAGGAPGCRSALPNSRIMVHQPSGGAQGMASDIAIQ AEEILQLRHRLNRLFAKHTGKDLAVIENAMDRDKVRPSDIMS H257_14034 MASPPSADLFVPYLYVPSEDSQHVEIVSPWGSLKVPIPLTQFYG VAIAVAAVLLSILVRFCGRSNELVDDDDDETPESVIEQTYAKKKAKKSAKKASSTPDA SDDDSKAKSALEDNILRNGTNSYYYAHKPREISTEPTHVRQVISTYGWSDATKTVTIY VNHPDAGSLSKDKIHMKWTPTSLSLDITFEGEDVRSLVIPTLYAEIGDVKYKAKKDAI AFVLLKKDPQITWKSLNGAAKNIDDHIQYDDSLYD H257_14035 MTVGVLVVTFFLHAAAIFLFTSGFFLTRYEATDVSPCSEDAAFP TAMHNTHHLRRHEGPRTGCWSNVKFPRVVYIVIDALRFDFMHEASQEEQQQKAADGGQ YFLNHLPHVHALLRDEPAQSLLFRFIADAPTMTMQRLKGLTTGTLPTFLDIKDNMHSE EIVEDSWIKQLTAMNKSIVFMGDDTWGKLYPSTFLRNYSYDSFNVKDLHTVDNGVLAH FFPELVNQTDWDVLIGHFLGVDHVGHTFGPNHPSMVSKLHQMNDFLERLTESLPPDVL AVILGDHGMSSDGNHGGATDDETGAALFLYSKALPLHVLDGTRSLYDVLFYPDPDTST VRSVAQVDLVPTLSLLMGLPIPFGNLGAVIPQLFFEPSSNQTSPSASISSNQTSFSAA LAHLNDALWVNVHQLRHQFTTSQTIRMDLPAMLDLEATFAEAALQDGDAKGTHDLLQK YLADALALSRALYTQFDLVCMVHGVVLQLSTFLALHLTSFRPHRVPISILVGILVGFM WPAAARVLPPLLPGAPMPRYAATIGLSTVGLAFEWQPPSLSPKLSPKLSPIVSWRRSV GVTMLVVMHCLSLFSNSYLVVQDRVVLFISASNLVLVGMDLLAPPACSGPVTRGTGQV TRFVGLCVLHRVYAAWPVPNIVLSSMSMEWTYIPMLVVGVWIARVDPASLVSYVCVWM HWWDIWPLFVPWVVYAWGGLNILTTHPPSVLLVLLLLHGPTSPGPFGLFVAMSFLYQS GPSTTSSSSTSMWGYCFLIHSLYFQSGHGNSFASLQNAAGFVGVPSFYWPVAGTLLAV NTFGAFWLGLSLLLTTIRRPIVVAYFTLSAICTSVFVALSRRHLMVWAIFAPKFVFDA LVSLVVHLLVLVQSCCNRVIL H257_14036 MSKAMFTLHPGGTSNCAVAGGRSRIHTTFADGVEMVEEYTADSR PQILVRRWKAPTTLGGDGKWEFEVGEPTVDTVVEKDIGIIPSGTNPIFLCRESTEVWE WRVRNLPYPKDTYLITIDESSQELIIRTTNKKYFKRFRIPAMARANLPLDRSALSHSH SNNTLVIQYDKPDAVLEAEQTSLAERLQGTKRDGGDPQCRQQ H257_14037 MHADGVKKAALEALSSRVLDGRGLRIGICTTEWNKEIIDALRDG AIRTLTAAGVATDDIIVFKAPGAYELPFTASRLILSENVDAVICVGCLIKGETMHFEY ICEAVTQGIMKLNLDTGVPVMFGVLAVLNEKQARARAGLEEGGHNHGVEWAQTAIEMA QLRARTAKKSAAKCPYHVIPACPFVWTTALAVLGYGLHLVVCRKHS H257_14038 MSLYVSFVTRSLRRVKKAPPRVRDRPVTVLSVDPCFCMDNVSVN TLRANRSPISATTRTTRWIFRSPSTCAISLPTRCAWLCFFLCPFLCRGCCAFVPGSGM LRNSQF H257_14039 MGTTLSRKVGRYWTRKDRRVLLVGLDSAGKTTILYHLRLHKAIP TLPTLGFNTEALSFHGLTLNLWDVGGQDTLRPYWRHHFTGTQGIVFVIDSSDHERVDL AKAELHGVLHDDQLRDACLLVLMNKQDLAKGDVDVVKELALEEVCQSRKYLVQPTVAT TGAGLEQGFMWLCEHMVPL H257_14040 MTHCGTTVAAAATNSSSPWAKEVVPVLGKTTTTTCTCQSCQLPI NQGHIRVGLIFHHMNGYIGLDWHHLECCETPDRLAQVEGYDLLSVQDKAIIQALAASS H H257_14041 MASPAPKAAFDDNSPFVVDSSISDQVLRNENGGVLVTKDQIRAA FDFFDVEHNGVVTMDNLKSRLGVFYKDMSTRDYRVLLNNESELTEQTLCDLLLENDIA DYDPVAEAFRAYDPEGTGFVNLEVLSRLFESLGYGKLSDDDLRVLVATADSDKDGQLN LADFRKLLT H257_14041 MQENGGVLVTKDQIRAAFDFFDVEHNGVVTMDNLKSRLGVFYKD MSTRDYRVLLNNESELTEQTLCDLLLENDIADYDPVAEAFRAYDPEGTGFVNLEVLSR LFESLGYGKLSDDDLRVLVATADSDKDGQLNLADFRKLLT H257_14042 MVMHDRFHFSMAPGHVATGGLLYGVFTPQCFDAYFTRFDFLQVE CGKAVVSKLLGYLIIVGSFILKLPQILKIVAAGNVAGLNPSSFYLEVITFQASVVYNV LRGYPISSWGESAVILIQNVILVLLLWYYSGAAKSTQLVGVVAFVALGAGMFYLPSEF DWVLPSAGIPLSVMARIPQVLSHPFSFIHSSSIHLTICEMQILSNFKQGHTGQLAFLT LFLNFGGSAARLFTTLQETGDQVVLLGFAISMLLNGTLLAQIGLYWSATDAAVAKAIQ TKKKTQ H257_14042 MVMHDRFHFSMAPGHVATGGLLYGVFTPQCFDAYFTRFDFLQVE CGKAVVSKLLGYLIIVGSFILKLPQILKIVAAGNVAGLNPSSFYLEVITFQASVVYNV LRGYPISSWGESAVILIQNVILVLLLWYYSGAAKSTQLVGVVAFVALGAGMFYLPSEF DWVLPSAGIPLSVMARIPQILSNFKQGHTGQLAFLTLFLNFGGSAARLFTTLQETGDQ VVLLGFAISMLLNGTLLAQIGLYWSATDAAVAKAIQTKKKTQ H257_14042 MVMHDRFHFSMAPGHVATGGLLYGVFTPQCFDAYFTRFDFLQVE CGKAVVSKLLGYLIIVGSFILKLPQILKIVAAGNVAGLNPSSFYLEVITFQASVVYNV LRGYPISSWGESAVILIQNVILVLLLWYYSGAAKSTQLVGVVAFVALGAGMFYLPSEF DWVLPSAGIPLSVMARIPQILSNFKQGHTGQLAFLTLFLNFGGSAARLFTTLQVRPSF SIAS H257_14043 MASLPSRANRGSRINKLIGEEAEADETFWSHEVWNESEDEDYSS EAEEEDVVDSDFDEDEAPDEAVHDGEVELKRREKASRPPKQLHLHRPPPRTIPRTVTR SPATSNAPVAPIEPMAVRSSTVQKRFLSHELQQKYTEEARNMHEKATKVVVRMTQEQL LKEAVLTEVQNTASLNRLERLEEEKRLVDEIMPKTKYTGPMVRYHSAIGKPKLITFLN VDEFPALFKRPKNDHHSLSR H257_14044 MHAVPQVRPLRQTWIDALRVTSPYYQCCRLHDLQRRMDAAEVRH TALIYVQRMVSPVGLRACGTAMSWLQLVVGLVVLWKLLGRGRFSELHHAIRTT H257_14045 MKILVALVACALAMCHANVAANDASPKMVAIDTASTDVGFARVL MAASSEAAASKKDDDDDDDDDDDDDDDDDDDVIDPMVEEDDYEDIDIGGERRLASAEV EAVGVVQTAESVQAEASMKDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD DDDDDDGDGIESDAFNKSERETFRLNSGDEWMGKDAEALAKQTGEDIQADGDF H257_14046 MKVLVALVACALAMCYANVAVNDASPKMVAIDTASTDVGFARVL MAASSEAAASKKDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD DDDDVIEADVPVVEHDHIPASGVAPVRTADTAVAIDADYVNAIS H257_14047 MASAEPIGSVVELHQPLHVLEHKVPFRNLLMGLFVFYAVSFAIT WYRVWWNSLAGILVAAVGLLWFTFPSAKDGIWLELYYYSSFGVILLHIATVGFLAYDW VMSDIQAALGISEDASRSLWGMYTALILVVSAQAIFSGVTVNTCHRLRMELQRNGLVA SVHPGYTELT H257_14048 MRWTAFLLLLAAYLVLVLGTPPVKDDDPLLAEIRILRNDALKLK DKGSYGESATKLDKAIRALQDLHESRTDAKKRAADASLLAQTLSELGNVLYADKQYVP AQRVLVQAVDLSKRIFGPSHPAYSLALRNLGEVYLALDQHEKAIESYKLLKSHAEKGL GKTHETVIESARRIGESYETLGKFKKAIKVYKNLLAELGLGETIPRKRAAAAHEAGVA EVYQGLASGLMKANQLDQALTYATVATKIFKRRDGANSITYAFSLNLLAGVNTYLGQD DAALSLLQDAQKIAVLVHGESHPLVSQGAHNIEKLQARMAKKKTVETKDEL H257_14049 MSGYEAEETIKRIKSHKGVQAVLIVNNDGVPIYSSTNDDEFAID HAALLSQLAAKAKSTIRTLDPSNDMTFLRIRSKKHEIMIAPDKEYALIVIQNPNAGTD HEVTDLA H257_14050 MGNEAEESRVEKEFYVVFVLEPDGFRHRIAATANSTLKQIKEQV ISDLKLTQNTLLIPELDEACAANGCHPADFTLSHAGLVLNSEQEMILNASVVAKKIGS SEYVMPSEIEVTVASDNGAPLTTFTVHILKFTGHKSYLGGYRHKITDQVFHHASAQTV VTKQKFRRGPARFHRETQTHKTVTKTAQTVREHGTQMTRCDLLVDTKGDREVMPKTYF NSAQLECLRMDSCLLLQCHWRAYRARCFVYSIRQKKLEVYSNDERFAVETKAMLAVKQ RKEIDRRMHPNSQHDFEVLYNELDQWRLYETRKVEEVGMTDKERTAAMAAILAKETKL LQTIDRLKSSATSKNRNARIEAMLDVLSRPKQWQMSDGIIKPVDTPFTVRAKELSELY HGLKLPLRNVEERLEVLLHVKWTVKEFECALTRELMELIDREADMLNRGRPAQSVEGL RKRISNLFLQFIENPTFNPEAASLRNILGNS H257_14051 MFQTTLRSFSRASSRFASTLVVAEHNGEALTGGTLSAITAASKI GGDITVLVSGSNTANIAKEAAAVQGVKSVLHADAAQFDHAIAEELSSLVVTIQKGSNF SHIVAPSSNISKNFFPRVGAALDVAPFTDVLTVVDENTFQRPLYAGNAIATVTSSDSV KLLTVRPTGFEKAAVTGGSGVVSAAPTAPAANLTRFVSEETSSSERPDLTAARVVVSG GRGLKSGENFALLNTLADKLKGAVGASRAAVDAGFVPNELQIGQTGKVVAPELYIAVG ISGAIQHLAGMKDSKTIVAINKDAEAPIFQVADYGLVEDLFKALPELTEKI H257_14052 MMRAPVVVAIGLSVAVSSAVVALHVYRLMKQLQACQDALEKKES ARMEERVGRTAVEKELRAILEAKLDVSAGYYVQPIATVQSCFAQCLGTPRQGLLAPLT RGRVLCHRNISPDTLDGLSDFSHVWITFVFHANTNGKNARAHDGLLRRPSSKTSHTFR AKISPPMLKRRMGIFATRTPHRPNPIGITLAKIEQVDMAAKSIWVSGLDLVEGTPVLD LKPYVPMYDSLPYSKVPTWIQQTVHSQSVVRFDEGKQDAMRQCCAAHSVHYQHEPDVM MAAIAQVLAVDVRSQMQTTKMQGKMNLLVFDNVHVKYTVEADNSLCVHDIHAALTPSS SFTSGVGPL H257_14052 MMRAPVVVAIGLSVAVSSAVVALHVYRLMKQLQACQDALEKKES ARMEERVGRTAVEKELRAILEAKLDVSAGYYVQPIATVQSCFAQCLGTPRQGLLAPLT RGRVLCHRNISPDTLDGLSDFSHVWITFVFHANTNGKNARAHDGLLRRPSSKTSHTFR AKISPPMLKRRMGIFATRTPHRPNPIGITLAKIEQVDMAAKSIWVSGLDLVEGTPVLD LKPYVPMYDSLPYSKVPTWIQQTVHSQSVVRFDEGKQDAMRQCCAAHSVHYQHEPDVM MAGTLVAMVVVAI H257_14052 MMRAPVVVAIGLSVAVSSAVVALHVYRLMKQLQACQDALEKKES ARMEERVGRTAVEKELRAILEAKLDVSAGYYVQPIATVQSCFAQCLGTPRQGLLAPLT RGRVLCHRNISPDTLDGLSDFSHVWITFVFHANTNGKNARAHDGLLRRPSSKTSHTFR AKISPPMLKRRMGIFATRTPHRPNPIGITLAKIEQVDMAAKSIWVSGLDLVEGTPVLD LKPYVPMYDSLPYSKVPTWIQQTVHSQRSTHR H257_14052 MMRAPVVVAIGLSVAVSSAVVALHVYRLMKQLQACQDALEKKES ARMEERVGRTAVEKELRAILEAKLDVSAGYYVQPIATVQSCFAQCLGTPRQGLLAPLT RGRVLCHRNISPDTLDGLSDFSHVWITFVFHANTNGKNARAHDGLLRRPSSKTSHTFR AKISPPMLKRRMGIFATRTPHRPNPIGITLAKIEQVDMAAKSIWVSGLDLVEGTPVLD LKPYVPMYDSLPYSKVPTWIQQTVHSQRSTHR H257_14053 MCAQCPMAVGSFAQARSASCSTFDTMCAKGQIGLDTALAMGLLV MALVLWNSYDFQYCHKCSCGRFVAAMALMMAAAFFHAMCAGLTLLDLTDNHPWLKTTV ESK H257_14054 MTKSCLAFVRFLQSAVLLMAIVSLFGIFKPVPTVGKPSLVLGSS YAIIAAMLLTSGAAIYSGVMCALEYRSANMSIKMQMMADCALTMTMIALALVFLTSDD LWRCTESINVRCECIWAAVIFFIVSFCLYSASVGVGAENLD H257_14055 MRKLWVSFLRLLQSVTSLLAMLYLCGIFQPVSVASQSFLETFYA IIAAVVLSCAAVIYSSVMCVLEIRSVILSTTSQVTGDIALAIMLFVVAEVLILSDDLL HCRDRINVSCGHLRTSIAFMIIATSLYVISAGLALLWDWEPRW H257_14056 MTKSCVSFVRLLQSVVSVLAMMSLCGMFKPLLMADQHFPSLETS YAVNASVLLTCCAAIYSGVMCVLVSRSVAKMSASSQMTSDIALAIMLLVLALVLTDSD ELQHCHDTINVRCDRFVSAVAFMLVATLLYALSTGLALLDLTDDLPSVPVTPLKDVRI QLNTTAVV H257_14057 MTRALLERIVVAHYRQFHVGLRGLQTMLMFVAFITATALTGLSS GDYALVLSYTLLIFGFVWTYVAVKKGGNGGLLPHGTQVTLDWVILIALLVAAIVLSAS KWGRLCDLAVNCSAYQACITVLYIGVVVQIFMLLVLHFGRSMEGTEYATDPSTVQTPR TDYQHTADAAT H257_14058 MPDLNTITQHFSNKVVLARGGVLVSSLIAFFTSTGVTGISQGDY AFLISFITLSYVLLHFIFVTVQKTVSILPQTQLIIDGVLSILLLAGGIALAAFRWGLP GSGIAAVIFLFIATLFQAGVVALQVLEKNANNNSEPVAFVDTPADNYLKATTPVGEAP VVKDPEHSAV H257_14058 MPDLNTITQHFSNKVVLARGGVLVSSLIAFFTSTGVTGISQGDY AFLISFITLSYVLLHFIFVTVQKTVSILPQTQLIIDGVLSILLLAGGIALAAFRWGLP GSGIAAVIFLFIATLFQAGVVALQVLEKNANNNSEPVAFVDTPADNYLKATTPVGEAP VVKDPEHSAV H257_14059 MVQSRQYIYMTTIQFQPYTNSHSMAYSKHNLGFLLHASDAADDA AATPDRTLTTSMRSLSLHRRQLVSPRTDQAKTHRIRTMRRRTLATPLSSTTSRVPWDV IVATLETYKRLHGNLQVAQAFEVPVNDERWPAGAWGLRLGCRVHNLRIAKNTLHEAKR QALDAVGFEWQGPRQSISFELKLAALTAFKAAYGHVRVPQKFTLPHADVLWTGDELKL GCVVNKLRQSQRLRRGKKGAMPKGHVDALNDLGFEWSATMDT H257_14060 MPSFPTIRGGGMKKVAWNSMIVTALRSTQVLMTGMTTVFMLISY RDVSIKEDGSDWRFAQLPHFFAVMVSAVGFVYSLLYCILVLAMDYWSHDVLLERIADA VLAVCLATCGVTVGSNGGCKLPSTLQNCTNLRGTIGCLYVSAVVYLVSFVHSMLTQEV FNPDAHENLVPRGNYGPSIILSPEPTAAAPNYDNTIELKPRGNFGAYSSPRSLPYNDN TLDLKPRGNFGSVQTDMHPKIARDDSGGLI H257_14061 MMLRMMAALVRRRRVSHCHGSVRTALFSMKKTSSSLDNTLHEEW RQMQSSLPSVDEALRMWERSCTEDVDLLPQVWRTLEAHYPEGIPQVLLASTLAALWSK RKFTDIIAMAPLATASTLSSDVVDFVVRAHCAQNDIQGAETFLAASSHASNMTLQSRL VLAYASQRDISRVKSIILQHIVEQPTHMWNAQSCRNVMSALGQLRDVPSMFEFLRRMT DRGVEADCFIVASLLEACVATANAADATRVLANVPALNLAPHPTLCHAVLRAHVLLGH FQAIPSVLDELHMLPPHPSTPRILRHVCSAAIEAQHYDVACASLASPDQFPHVVQHAS PRDVPAIVQAVSSWKSLTTAQWTSLLHDASQAGHFDLALQLFLLCPAPPDASMLAAVD YACQHVHASSPVAAAVASKCANHLKTSSSLLTSTRVALVTIICIRLNLPHLIAQVLSS PSTGKLELLVTGLQVAHKRRDKRALRTFYLAAPPSVRVDPRVHQIFHASSADMDMPIL PPSVNKVLGLVQAKDYRKAIAHLTRHPIHHPATWDAFLSHLVPPLDPDPRCAATTPAE WSFAIDACLLHNRNVQAIDLFVGRMLSTPTHRSVFVPKPTALLQLETACRRLSVAEQR HLHTTKLLQVLMDQFAACPRAFPVSARVGLMRVGLLLRSPQLTLLVLPEKCHQWDFTM LKMVITAASETHQVEEMFRKAPASLQIKLLREYRRRGQWKEAPVNMDVLPRLLDAGAF GDVLRLRTMLPVHVHHLQSHDRWNEFLDTLAPQIP H257_14062 MSADGTKAREVKVVLLGDTGVGKSSLVLRFVTNNFRPYSESTIG ASFMSKMLLVGDQAIKYQIWDTAGQEKYHSLAPMYYRGAAAAIVVYDITRKQSLVTLK NWVKELKQLGPDNIVIAIAGNKSDLDDKREVSSATAKAYADEIGAVFIETSAKEDTNV LDLFKTISQQLPSPAGDNHALPEIVDPYGSGKAKGGCC H257_14063 MSNESQPLNAAVETSVDHSTLDMKAETEMTPCVRKEISRMFHLA WPVFLAYQLETLPGPICVALVGHLQGDDVSVLVDAAYMSATVTNVTALAIGFGLASAM DTLCSQAYGAGKMDKLGIYFQSGLIVLGVALVPISLLSWHAESVLLFFGQDPAISKYA GQFSRVTIFGIPFLFVYELIKKLQQSQNIVLPMMYVACVGVVVNVVTGFCLTYYTSWG FLGAAVGRVCGSVALPLTIVAYFHYDHATTSTWWRGFQWRDACSHVGLFLSLGVPSMT MLAVSWWAFCALGFLAGILPNSVHAVSVNAVLGQLLTLNFMIYLGISVASNVLIGNAL GANQPQRARLIARLGLTAGGISAAIMASLFLVGRHAIPYLFVSDPLTIEHVATAMFVM VPLGVFDGMNGICEGIFKGMGLQTRAAVINILSYYAFGLPMAYYIGITCGYDLEGVWL GFSLGTTACFGIFIAMIQSTDWPVLAKLAQDRVQL H257_14063 MSNESQPLNAAVETSVDHSTLDMKAETEMTPCVRKEISRMFHLA WPVFLAYQLETLPGPICVALVGHLQGDDVSVLVDAAYMSATVTNVTALAIGFGLASAM DTLCSQAYGAGKMDKLGIYFQSGLIVLGVALVPISLLSWHAESVLLFFGQDPAISKYA GQFSRVTIFGIPFLFVYELIKKLQQSQNIVLPMMYVACVGVVVNVVTGFCLTYYTSWG FLGAAVGRVCGSVALPLTIVAYFHYDHATTSTWWRGFQWRDACSHVGLFLSLGVPSMT MLAVSWWAFCALGFLAGILPNSVHAVSVNAVLGQLLTLNFMIYLGISVASNVLIGNAL GANQPQRARLIARLGLTAGGISAAIMASLFLVGRHAIPYLFVSDPLTIEYGRLLGGLS G H257_14063 MSATVTNVTALAIGFGLASAMDTLCSQAYGAGKMDKLGIYFQSG LIVLGVALVPISLLSWHAESVLLFFGQDPAISKYAGQFSRVTIFGIPFLFVYELIKKL QQSQNIVLPMMYVACVGVVVNVVTGFCLTYYTSWGFLGAAVGRVCGSVALPLTIVAYF HYDHATTSTWWRGFQWRDACSHVGLFLSLGVPSMTMLAVSWWAFCALGFLAGILPNSV HAVSVNAVLGQLLTLNFMIYLGISVASNVLIGNALGANQPQRARLIARLGLTAGGISA AIMASLFLVGRHAIPYLFVSDPLTIEHVATAMFVMVPLGVFDGMNGICEGIFKGMGLQ TRAAVINILSYYAFGLPMAYYIGITCGYDLEGVWLGFSLGTTACFGIFIAMIQSTDWP VLAKLAQDRVQL H257_14063 MDKLGIYFQSGLIVLGVALVPISLLSWHAESVLLFFGQDPAISK YAGQFSRVTIFGIPFLFVYELIKKLQQSQNIVLPMMYVACVGVVVNVVTGFCLTYYTS WGFLGAAVGRVCGSVALPLTIVAYFHYDHATTSTWWRGFQWRDACSHVGLFLSLGVPS MTMLAVSWWAFCALGFLAGILPNSVHAVSVNAVLGQLLTLNFMIYLGISVASNVLIGN ALGANQPQRARLIARLGLTAGGISAAIMASLFLVGRHAIPYLFVSDPLTIEHVATAMF VMVPLGVFDGMNGICEGIFKGMGLQTRAAVINILSYYAFGLPMAYYIGITCGYDLEGV WLGFSLGTTACFGIFIAMIQSTDWPVLAKLAQDRVQL H257_14064 MTEDCASQQRRPRSEGHHLVSQPATKPPTSPVRRPSTTPKLRIQ RVLSQSSASPPRSTTSSSSSSSAELFRMTKLSDSPPKPPAILMDDEVSETSIDNNDEL KATSESDNDDVPPVIQMVPTTLTPQVPTEWADQDDSPYENPVDGNTESRAALSVAQTS PPARLAHVQPSTRQEENVCKAESSTVQSHLSTSGDGDGMYVQWRKQMDMAEAARHKVQ ADLTEYLASLATKQDDSGSSLVTFPDNYHTVLKLMTKADLGPTAPTWSKALLEDGLAK KQAHGVLQHDDDVTAPTALNVKIAHGIAQIRTFDAKLDALDLKLKNQPSTKTKAFMTP GIAPTLKTSSAQRIKDKVEAAKTMGETTDFITKNKQMKEAGSSLTKAEATRVETLLDA PVGGEVAHEDGNLLLNPFDCMLDDRRVDEIDLSLQAMQAKRAATPMTDEAALPSIVSS MAHLNTTQRLAAIDCALQSFRDDADNLVVGGMDDDDSKSVRSDASSVWSTASSRTVTK RDLRLVTAQAKLEVPETETAPREAINHLLATLAGIAIEIADDDDEQQQPQVDASIIQP QKPIDGPDISTPIRTRRTRPTKPPPSSFSHLFTLPFEASQLGRKHIAHSTFR H257_14065 MKLLVAAALVLLLQPADAYCPNGCNAQGTCGANDKCTCYLRNDD SIANNIEAMYTGADCSLRTCPRGLAFVDVPTANNVAHAPSECSNRGLCDYSTGLCQCF PGYEGKACERTACPNQCSRRGICLTLKTIISYGPSPPTYAAWDADKQLGCICDLGYRG PDCSIKECPSGADVLLGHGANEGRDCGGRGKCDTQTGTCVCFPGYYGTYCAYQSTVH H257_14066 MDDEHDYDGGMDDGDNNEEELQEEEIENFDEEGNGEHEGGVELL NEGEKQANANRITTRYMTKYERARVLGTRALQISMNAPVMVDIEGETDPLKIAMKELA ERKIPIIIRRYLPDGSYEDWSIDELIIE H257_14067 MAKGKENAATKQGIILYDPDEYTRTTGHQVARRVQPAQALKGPV PMPMLTGQDLKPRGIRNRRGSLVSFRRIQAVPASLEPPATLALHNNLQINAVRDHQRI SLANTMLVHEDIPMLEETPDEEMPSRKSWRLRKLWRKYVSRRPPVGLLPPTGFALTIN LIFFTID H257_14068 MRRKYKVLRNVRKPTGDPSCPHDVVRAKRINSMIESRMSVADLE SDNNNESDTSSHPSDPTSRSEPSTPHAAICAAPAVHSATVFATPRTGLSPTELVALSS AAPATVLSQTAQRRRRIDEILTDSAENEAIKRRLIFEQRDARQAMFEAMVAMEAAREE RERTEYNSRAAQESGWIPRDVDSMRRKYKVLRNVRKPTGDPSCPHDVVRAKRINSMIE SRMSVADLESDNNNESDTSSHPSDPTSRSEPSTPHAAICAAPAVHSATVFATPRTGLS PTELVALSSAAPATVLSQTAQRRRRIDEILTDSAENEAIKRRLIFEQRDARQAMFEAM VAMEAAREERERVRTEERVQREEAIDAARQERQNKMDQVLLAILAKLVDK H257_14069 MAKKQPKQEKRKREEVEEEGEEEDRHYDLDESVQDAAPAVTKIE PLIIQGRELKEGEPGTLTGRPLRLFADGIFDLFHFGHARALQQCKQAYPNVSLLVGVC SDEVTHRLKGQTVMTEDERYESVRHCKWVDEVIEDSPWVLTEAFLDEHNIDFVCHDAI PYTDTSGTAGDSGDVYGHIKAIGKFHETTRTEGISTSDLIIRIISEYDTFIRRNLKRG YSGKAMNVPFLKEKAIQIDMAMDKVKHDIDVVVHNFLGKAEDAQHSFLNMFSKDGALR TGLRKRRKILQESLKDMAREGLC H257_14070 MGKDVCRPLECPRCDNAWALCTGSTWRCETCKKTIAAEDLKAAM ALETALERNLDNAKEQMLVAGKSPSTIRAMGMARDAIAEFLSPLHYLQFESLKVVATT AAFLAREMDAKSIFATEVNPKVAYKRAAEAWRDLVRRREHASAVIEGRLTFDDACRRL HELNPPWDVAVPSLQCSSNEAFYAGVDFLKAGCPREAADIFRRHLDGILFSLSPIDPD TDFVRAVVSKHSS H257_14071 MEPPSYPCDHCGHDTRRLLLCGTCENVSYCGRACQRAEWPKHKA YCRTRGQQHLRLARNAMNQTSPSWRLHRVGEYLDGVEVTDTHTPLGKVLRATRPFSVG DLVLAEMPLLVCPNKTDYCFLAAYVHADAATRAAVLELYHPPLDSPEALTFVNLAETM CTRARVWGGEMSPSLAAHLLLISQVNAHAFRAADPGIGDTVMSALHPNQSDDLPPSAV FRVASKAQNSCSPNVHYSSTSGRLE H257_14072 MSSKRRNEHAQLRREEYESIMDDEGSAESGGLARASDEAISKRR IVTARGSKRSATSAARTTSVPSPAKPFAAALSTPASTSSSSTTNPFAVFGGLTTPVPP AASANPFAAFKGLTSTTPAPSATSSFTAPSSGFQGILPPSTTAAAPSTTAAAPSTVSS KKKGLTFPWIKAKVAQKSRDEARATCLELLNKEFYAFVQQQVVENPMALWTTAIHEYI HHVESVETDLDVLYGPAPPSAGKPLSSSDAGFTFGVQTPSATTLPTPPSHKAVSGFTF GANPPAGFTFGATNDTPIGIPASAATQPPVVVEAATKSSALNFGQPSATIIASSEPTK PTASGFHFGAPAASLSSPSPFEFGKSPSSVTGFTFSQSATSEPSKPPASGFSFNLAPS TTSSSTTTSTTITPSTQTNDDDDDDENVGREEATVILKSDSEADEVVLFEEALVRLRQ FKAADKAWADLGSHPLKLLHNKSTGATRIVIRNSIGKIMLNAGLFAGMTVQTKPKSVL LPLMHEGKIANFLFGILPARIPEFKAQLDQHVPK H257_14072 MSSKRRNEHAQLRREEYESIMDDEGSAESGGLARASDEAISKRR IVTARGSKRSATSAARTTSVPSPAKPFAAALSTPASTSSSSTTNPFAVFGGLTTPVPP AASANPFAAFKGLTSTTPAPSATSSFTAPSSGFQGILPPSTTAAAPSTTAAAPSTVSS KKKGLTFPWIKAKVAQKSRDEARATCLELLNKEFYAFVQQQVVENPMALWTTAIHEYI HHVESVETDLDVLYGPAPPSAGKPLSSSDAGFTFGVQTPSATTLPTPPSHKAVSGFTF GANPPAGFTFGATNDTPIGIPASAATQPPVVVEAATKSSALNFGQPSATIIASSEPTK PTASGFHFGAPAASLSSPSPFEFGKSPSSVTGFTFSQSATSEPSKPPASGFSFNLAPS TTSSSTTTSTTITPSTQTNDDDDDDENVGREEATVILKVRSTS H257_14073 MSTSSSPTSSGQDNIDELWRAIERENSGGMLHPSSTDELLRAMK DAMERNGVPDSGAKSLVLAFIINVYARLFSDL H257_14074 MNQIKTIVDDCIADMWMALDPNGKGVLEKHTAQYFVSLLSCAIE AHGGQVEPFDFDNDFDEFDTDGDGKLSRSEMRSFVEQLMGIPLISYFRFGGPMVNAAD HRQCIESL H257_14075 MGETIRKAGLRAVKQCRAMTWADAERFISSRLLVNGFRVCHVSC THWSYEESRVESTVAVVVTPTIDAFTSPGCILLVYADSRVRERHGLYEFAMTERTEMY GPASNDVGLVVHPLADGDAVVA H257_14076 MSCDGDDGAAIPTDAPSEHVLSMEMLLLLAPDAHTMDMVLAAVL ESKAYLVDVLARNSSLTSVKECLDKPQHVAASRRRMAPGDIATWFLPKPSHGIEGSES TLPEWKPDVDKYKKKVAKMEKRIKAFQAEVERLQHELHASNDRCATLHMEFEQFNLDV HELQAQYVKVLEANQRILWEYLPQHDSSLSLIPPLAHDVMESVTIVGDYTIHGTLGEG QYAAVYACSRNAVASSASTTSTTSTGLQLPHATPSSSSPTVDNVVVTLALKAINKSKL NDITALVRVHSEISALSDPALRHPSLLSVKHVIHTSKFIYLVTERGGKDLFDFFGPHD KGVSEATALRIAFKIVEAVKHMHMHGYCHRDLKPENILFTHDNYMVKIVDFGLCAKVG TTPLTDFCGSPGFFAPEMLLHDQYDGQKADVWSIGCILLELLLGNTFFLKTWMTAYHL DVLGDRTHFDTLLHENVAAMHRAVADAAYSAHAKALLQAMLTEDAENRPTIYDVAEHP WFDGHRRRHSARHKDRLVKAASSKGDMAAAVHSPTRPTSAEHVSVTLPSIKGPDKPHR H257_14076 MSCDGDDGAAIPTDAPSEHVLSMEMLLLLAPDAHTMDMVLAAVL ESKAYLVDVLARNSSLTSVKECLDKPQHVAASRRRMAPGDIATWFLPKPSHGIEGSES TLPEWKPDVDKYKKKVAKMEKRIKAFQAEVERLQHELHASNDRCATLHMEFEQFNLDV HELQAQYVKVLEANQRILWEYLPQHDSSLSLIPPLAHDVMESVTIVGDYTIHGTLGEG QYAAVYACSRNAVASSASTTSTTSTGLQLPHATPSSSSPTVDNVVVTLALKAINKSKL NDITALVRVHSEISALSDPALRHPSLLSVKHVIHTSKFIYLVTERGGKDLFDFFGPHD KGVSEATALRIAFKIVEAVKHMHMHGYCHRDLKPENILFTHDNYMVKIVDFGLCAKVG TTPLTDFCGSPGFFAPEMLLHDQYDGQKADVWSIGCILLEVGHERTSSY H257_14077 MADIPSTENNLLFAVPKKGRLHDHIVKFLNGAGLDYTRPHRLDI AHCSSLPVTIVFLPASDIAAYVGEGNVDLGITGQDIIAESQTTVNELMNLGFGKCSLS VQAPVASGITDPSVLAGKRIVTSFPNVAREYFKQFEVPGKPTHIKYVGGSVEAACGLG LADGIVDLVETGTTMKAAGLEIVSNIMKTEAVLISNPNTKHGKLVTKIHQRLLGFLFA QKYRMISYNIARDKLQKAITITPGRKAPTINPLLNEDYCAVSAMVPRHDVADIMDDLH ELGATDIFVLDIENCRA H257_14078 MEVNDEDAAVAAGAAPSRKVIAEIKEYEAHVSAMKNFNESLRQL SDNIEVMTQTVEQTNKLAAGWLRLWHK H257_14079 MFTSVRSFVVGFAAPLRVASNRQINRKLKHPATLRKIARKEAEN PVELVLPPLKFQPTYVPEKVSFNGWSPAREEGPLEGIPFTVKRTATGLQLPVYRDYRN GRTRIITIVRRYHGDEAELQNELSIVCQGKTVVSRPGRLEVVGDYSAEIKQYFTGLGF H257_14080 MSAAMTMLGGLLRRQGVRSMSSWKKAPFSVKDQELLLQVVQSVH ETQGAGANLTSVLSTFVVPAEAAFPKELHGVKFPLEDLRSQYCLERLDGWVAQELDTY GIVWVPEVVQPKKWTKKARKAAAGNKETVLQE H257_14081 MHDYESAQAHFLYEHREPCSQIAVVQIAVFFVSFVFTYLTWWAG LFGLLVAAVGYYGTLTPVVQSKVSFIQFYYFGNCFILFLQVLSSIVLVVVVSEWPVIT SWGWVVIVLGTVSYIFQIYLTYIAIQRSFSYRAELMRSPPPAEIMVYSQQSVYTAVPQ VVGGYRAPMDTKSI H257_14082 MMQPARPAGGGSKQWVELQLLCRGNMGKTRILQSLLAYYQKVSP HLQQQALPTVPDLTLNIPRSIDLVQLYEEVVERGGYDAVSEHSRWTSISLSMKLKIAP SKLQVLYSTWLRGFESHQIGPRKEGGRHDDMAEPVATHAELQLATSIRQTPLPVVTKR LKTHRNQLCDLGVMHRLVLALDSNLPDHVEWALNQLTVLSFGAPKDPDCDLLLSHVPG LLDALVRQLSSPSHAPILASTNNHHDGVFGHPIMHADRTCRVLHILRNLSMIPDNQPH LASHAAFLALVPRMLRYSPPSSLQQLDRYNREAVDLLWDVAVQLAGSTSTTTSSSTTL NVSDAWIDAVVGTLLESSQKRSSVLSAAQVLSHWLQSPSCADRVMRHGAFRALLARVV EGCGRNREDGWLQEDDDPDPATAVYNDDDDDETCHPHGDDSDDAWPVPWEERGQVSYP SSLEVGADMGMVFASKGWDGEPESRKMDMQVRDRMVHLLYLLTDRHDVVRVAVASLPD AMRRLVGVVTSCVGRAESARLAVGVLANLSLAPATLPYFLPIEKDLALVAMSDPSLAG MLANIMADVYGMNSL H257_14082 MMQPARPAGGGSKQWVELQLLCRGNMGKTRILQSLLAYYQKVSP HLQQQALPTVPDLTLNIPRSIDLVQLYEEVVERGGYDAVSEHSRWTSISLSMKLKIAP SKLQVLYSTWLRGFESHQIGPRKEGGRHDDMAEPVATHAELQLATSIRQTPLPVVTKR LKTHRNQLCDLGVMHRLVLALDSNLPDHVEWALNQLTVLSFGAPKDPDCDLLLSHVPG LLDALVRQLSSPSHAPILASTNNHHDGVFGHPIMHADRTCRVLHILRNLSMIPDNQPH LASHAAFLALVPRMLRYSPPSSLQQLDRYNREAVDLLWDVAVQLAGSTSTTTSSSTTL NVSDAWIDAVVGTLLESSQKRSSVLSAAQVLSHWLQSPSCADRVMRHGAFRALLARVV EGCGRNREVVRIHTSGIV H257_14083 MADELARLEQLRTSSRDLVVYLEQVCEEFDMIQGENEKALRIME NWAAVFQTAKGVARIQLAPDGLSGSFRRDATSSSASTDG H257_14084 MTRVTRIQGFQRPFSRDQVTSWVLQPVLIAAFVALTTSYLPGLL ALWVLIPYGVFVAVFGVCWFLCEVRNPASPTAVAKWCVPVPSKPTRYCTVCNKNSPGL DHHCTWLNTCIGDNNYEPFFILIVSGTVLTSYQAVVGILMASTWHADIAALHSPTGNT SGGALAALWIHNAVCIILAIAYGALTSFHVYLLVLRMGTYDYILKHGANNRFLWLLRC QCHKKAHAAKKKNTPKNHPPFNDQDSISTVTTTTSDRKASKSKVGHTSSVQHSSKESC SAPSSSDISAWKAEWLKKHGGDGDENDDDGCVRSTEPSSENDMARTRKVSANTILVEV DGALPSSPSSVAPMAANGNESFHDVDLCE H257_14085 MGIHAFVTGPPGSGKTSLCKKVCGLLQLEHLSTGDLLREHIRLR TEYGKVAKKCIAAKSLVPDSVVIDMIADCIAKLKDSEGGWILDGFPRTVDQAKALQVK GVTPNVVLVLELPSSEVRGRLVGRRFDPKTGDIYHAATNMPRDLDVAARLVHRDDDTP EKIPSRVDAYATYGHDTNREFLAVAFPIDADASLGDVVGDAVQILQSHKMKKPASSNQ SHNRVNINNNNNQRNQDIETKPAATSLAEVSAKKLEPEETEFSPPKSTTAFRRTIPST TLATPTANKIEHEIQRVVLHENQPTTPPKTAMDVVTKQVDTERFKTMLISGFDVIKHG RRGAPHTRTLFCDVELKRLFWQKPDKKELKAKLDQSIAIADVIQVVQGIKTDVFKRSG DTAKTDRYLSLIADDRTLDIEVASDELCSLLLHGLNAMLVST H257_14086 MAFRGRGRGRGGGGGGFGSRGISVADIVGSTMDELGMSHTQLVN LSGEGNALFPPVKLPAPVKLSDSDMYLVHKMRVVSDRMNHLYPVAKTADSDLEVVHVT VPEVSLDDPHMCYVPKEINENIRHDGGRSVGGGAVTPILRPRAFEHTLKSLEQREQKS KGGVDDAVVAAADDLDMDDDDMEDDEDVDYGVDHYASENDEDNYDEEAF H257_14087 MKQQQHDDLVPRKKRKLPKLEDDGTTASGDEESHGEDDEQSEIA SATHHLEEDNDKKPTDKADDAVAADKKELPKKDTAATAPVIPMTAMMFPMTMMMPMML PFPQGSGVGLVDEIPKKKKRGRPSKKSLAQQSTPSINSADQPLSMPMWPFGMPLMPMG FKFPMNPIMVAPQVKNGKDHDGSATASVMKAGSVEDHSSTANVSREVLVDDLKKKVRS TSGKPRGRPRTRPRPGDVISRPKLMNLAPAVLYDHINGGGPLDKDPKEEEEDEDDDPN KGGGSQALLPGERVV H257_14088 MRVPFAVVVCVVGAFCSVVLWYSVTMIPADESILSTDFRDGMNV IADERAMLRQVVERPYDAQNPTGFPLSSTTTSRPATTTNTPTTSMEVANATISTS H257_14089 MAKTAALSLANSSWQSATVSAAPQQQLQPQLVNGTSTASGYPNN NLDVAGPTSVSDEDMLQKMERMVSNRTGSRRKQPPSPSDHIDTSTATSSSSRLHSRLL ARGPSSRATVRESPRATVYPLGNQPNATSSTDSKRPADETNDASMNYDDDGNAKVLLP PTNTVTYPGVQSSSNKEPRRDTMPSDKLESITIGTRSFSNSSLQPSIVVAGGSSLSCK GAVGLQNLGNTCFMNSCLQCLNNVHSVMKYFRSNAHLHELNGSSPTAGKLACVFGDLS QALWSGAEFSSTRPVELKRVVGKLASRFIGYDQHDAQEFLRFLLDGLHEDLNRILKKP AYYEIPDRPHAPERDLSDEYWQYYIQRNASALSEQFCGQLRSEVTCQTCSHRSICFDV FWDLSVRDTPLVPTSIV H257_14090 MTGADPFKIHMHEPKKAAAGDDASQYVPVGRSKSQAIGAFIEWR ETQAVMIALVVLDVAAAVASLVLDLLAQTGVVVPPILGQLLQSFGGFTLILFMIELAV LIWVFQLAFFTHIGYGIDFGVVVSSFSWELSRQSKGLRLLGVFRLWRIFRLVNTFLND ERSQHAATANLLEIEKQAVDAAALKLQRLEDALEKEYQAKTTLSLALQEYKDEVDTLK EALTIAAMSVTTSHAEEAEGDDEDGEEEGGLRQARAVDADDIFEDAQS H257_14091 MAREDSNVPVLEAAREDDPTNNRGNFTRNLNKEEDSSVAKKMFF GGLALLPWLWAVNVFHYRAQFLDGTIDPKTTIWVRRSFAGFVFVTLIFVAWVVTFQLS WKANGWQKLLLVVPPEDLDQGW H257_14092 MAGTRLHVLLSLLIPILLIAPYAYHLLLVPRSPLPFDDIQAIDI HASTEALRSHVVNSETITFLQVHATPPSFPVLPRTSRVSSLEYPSLSPSIPALHDSPH AQDRALKSWLHAANLTDRAVIVLLCQDSKQDADSSVTMGVHRHGWSTGCYLSIDQQRL LVDTLFPPPSSANTSTKLALKYRFSFTVLNEKPHEESALADAWNTALSSALAPLFEPF ADAVASTLAAVTIDTQRVEFGKLAQVYRVDPTTHATYVTTADLQHFKSANDFATTSVL ADREHVVHFVAAIPAAASFHIRSSHSADPTPEYAFVIPGYGSVAILHTPDDVPRIMRT FLFHARHLLGLGAFPSSATHSITFLPSSSGVADWELDILVNRYLLRHYHTTVRTLQSL ASLVASMPQMAVLPRTSALVESSLAALHDVATSVPKAQTFRTKLVAVRHALLAVEEAY YDPTMVSQLYFPEDQIYFVFCPLLLPLLVPLLGGVVREVKRMRKPVRVVD H257_14092 MAGTRLHVLLSLLIPILLIAPYAYHLLLVPRSPLPFDDIQAIDI HASTEALRSHVVNSETITFLQVHATPPSFPVLPRTSRVSSLEYPSLSPSIPALHDSPH AQDRALKSWLHAANLTDRAVIVLLCQDSKQDADSSVTMGVHRHGWSTGCYLSIDQQRL LVDTLFPPPSSANTSTKLALKYRFSFTVLNEKPHEESALADAWNTALSSALAPLFEPF ADAVASTLAAVTIDTQRVEFGKLAQVYRVDPTTHATYVTTADLQHFKSANDFATTSVL ADREHVVHFAAIPAAASFHIRSSHSADPTPEYAFVIPGYGSVAILHTPDDVPRIMRTF LFHARHLLGLGAFPSSATHSITFLPSSSGVADWELDILVNRYLLRHYHTTVRTLQSLA SLVASMPQMAVLPRTSALVESSLAALHDVATSVPKAQTFRTKLVAVRHALLAVEEAYY DPTMVSQLYFPEDQIYFVFCPLLLPLLVPLLGGVVREVKRMRKPVRVVD H257_14093 MKDSATDAAAGGDGKRGGHDVSFCVDMDIDRDIDGLWFPARILV DHGDDTYDVEYDEDHKVEEHIPASELRRRDEDRENQGPPPRCYTSHGRTDDLLLRDSS LLMQSDYDPNKAPTVVVHNKGEATAANGYIINGIETNVAAGNGLRGIRWLRVNT H257_14094 MISLFWGLWQYLFSKAEVHLLIIGLDYAGKTTLLEQLKTMFGKK AGIPLDKIPPTVGLNIAKVDISRTNVIFWDLGGQERLRAIWSKYYSESHGVVFVVDSS DPARFDEARAALASMLAHPELSDVPLLVLANKSDLDTAQTSAELTARLELDRLAESHQ WTQRPISALTTSGIADAVTWFVSAVKQTDRFQAKQQQATNSRV H257_14094 MISLFWGLWQYLFSKAEVHLLIIGLDYAGKTTLLEQLKTMFGKK AGIPLDKIPPTVGLNIAKVDISRTNVIFWDLGGQERLRAIWSKYYSESHGVVFVVDSS DPARFDEARAALASMLAHPELSDVPLLVLANKSDLDTAQTSAELTARLELDRLAESHQ WTQRPISALTTGIADAVTWFVSAVKQTDRFQAKQQQATNSRV H257_14095 MRFVLVLASFVAMGILGREVPWQPNVSSLCFKMFASPESLDLGQ DLVVSWTNVHSHPADYLTLSCGPTVDKDDYIERIIVTTSSSSVRFEDLHMLRCVYVVS YYHFLNASFVLLGQVNVPMRMSIDSPQHGHLAFNDHIDQMVIMYNSASNRTIPSVKYS RRDPSGSTNVFVRSGTSSTYSASDMCHMPATIVGQQWFRHPGYMHTVVMDSLDLNATY SYQFGNDIDGWSATYSFQSRPPAHVAPDATVKWIAYGDMGVDSSPAAASTALHVSQDI LRGYNSFLLHFGDISYARGRGLQWDKYFYLIQDVATRVPYMVSMGNHEYDYIGGDPGR DPSHPDTPNGFHPIWGNYGVDSLGECGVPTVHRFTGPSNGNTLFWYSFAVGPIHVVQM SSEHDFEPGSKQYDWLKKDLASVDRQSTPWVVLTAHRMMYTTQANEDADFTVSLHFRA AIEPLLRQFRVNLVLVGHQHSYERSCPVFNGMCVDDGTVHIVAGSAGAELETSGFSPS LGKWSVAHVNAWGYLRAEVSKDKLHVAFVRNDNGNVYDEVTLAPWTTT H257_14095 MRFVLVLASFVAMGILGREVPWQPNVSSLCFKMFASPESLDLGQ DLVVSWTNVHSHPADYLTLSCGPTVDKDDYIERIIVTTSSSSVRFEDLHMLRCVYVVS YYHFLNASFVLLGQVNVPMRMSIDSPQHGHLAFNDHIDQMVIMYNSASNRTIPSVKYS RRDPSGSTNVFVRSGTSSTYSASDMCHMPATIVGQQWFRHPGYMHTVVMDSLDLNATY SYQFGNDIDGWSATYSFQSRPPAHVAPDATVKWIAYGDMGVDSSPAAASTALHVSQDI LRGYNSFLLHFGDISYARGRGLQWDKYFYLIQDVATRVPYMVSMGNHEYDYIGGDPGR DPSHPDTPNGFHPIWGNYGVDSLGECGVPTVHRFTGPSNGNTLFWYSFAVGPIHVVQM SSEHDFEPGSKQYDWLKKDLASVDRQSTPWVVLTAHRMMYTTQANEDADFTVSLHFRA AIEPLLRQFRVNLVLVNYNLRYTLYGMGKSGDDDFPLSVTSNTSRVHCRWAISTRTSA AAPYSMGCVWTTVQCTS H257_14095 MRFVLVLASFVAMGILGREVPWQPNVSSLCFKMFASPESLDLGQ DLVVSWTNVHSHPADYLTLSCGPTVDKDDYIERIIVTTSSSSVRFEDLHMLRCVYVVS YYHFLNASFVLLGQVNVPMRMSIDSPQHGHLAFNDHIDQMVIMYNSASNRTIPSVKYS RRDPSGSTNVFVRSGTSSTYSASDMCHMPATIVGQQWFRHPGYMHTVVMDSLDLNATY SYQFGNDIDGWSATYSFQSRPPAHVAPDATVKWIAYGDMGVDSSPAAASTALHVSQDI LRGYNSFLLHFGDISYARGRGLQWDKYFYLIQDVATRVPYMVSMGNHEYDYIGGDPGR DPSHPDTPNGFHPIWGNYGVDSLGECGVPTVHRFTGIHSSSVFDRYDVAAHQGRPTAT PYFGTRLRLAQFTWFKCPLSTTLSLDRSNMIG H257_14095 MRFVLVLASFVAMGILGREVPWQPNVSSLCFKMFASPESLDLGQ DLVVSWTNVHSHPADYLTLSCGPTVDKDDYIERIIVTTSSSSVRFEDLHMLRCVYVVS YYHFLNASFVLLGQVNVPMRMSIDSPQHGHLAFNDHIDQMVIMYNSASNRTIPSVKYS RRDPSGSTNVFVRSGTSSTYSASDMCHMPATIVGQQWFRHPGYMHTVVMDSLDLNATY SYQFGNDIDGWSATYSFQSRPPAHVAPDATVKWIAYGDMGVDSSPAAASTALHVSQDI LRGYNSFLLHFGDISYARGRGLQWDKYFYLIQDVATRVPYMVSMGNHEYDYIGGDPGR DPSHPDTPNGFHPIWGNYGVDSLGECGVPTVHRFTGIHSSSVFDRYDVAAHQGRPTAT PYFGTRLRLAQFTWFKCPLSTTLSLDRSNMIG H257_14096 MHTPVVFYMRPLLWDDLRRRVNQAEIHELHQVLGHHLIDDNEAL HAELKAFVDILDDYQKENDRIRDAVLSRPALPEPPSRMLLLDQLKLLASNLHERQLAT PQDRALLGYVLSATPDSDVQNNGGNLTPRLKDCASFSSFNGNGVILRPGTADGSRRRS GTSSRPPSSRGLSSRGSVSSTASAPAMLEDLGCVSIRQIDSMKARLREALLDEKQQLL DDIEFIQGCVDMEQDLIEEDTKKVNVLVPPLKDLQEFRRTLEQVCLDKDKYDHVQERI TKAEEMVAAQQRRKSISIDPLDVRSAYSPTPPRRSSTKAHKVRELVQSARDEHFFT H257_14096 MHTPVVFYMRPLLWDDLRRRVNQAEIHELHQVLGHHLIDDNEAL HAELKAFVDILDDYQKENDRIRDAVLSRPALPEPPSRMLLLDQLKLLASNLHERQLAT PQDRALLGYVLSATPDSDVQNNGGNLTPRLKDCASFSSFNGNGVILRPGTADGSRRRS GTSSRPPSSRGLSSRGSVSSTASAPAMLEDLGCVSIRQIDSMKARLREALLDEKQQLL DDIEFIQGCVDMEQDLIEEDTKKVNVLVPPLKDLQEFRRTLEQVCLDKVAVRVYQSML NTDHEAPMQDKYDHVQERITKAEEMVAAQQRRKSISIDPLDVRSAYSPTPPRRSSTKA HKVRELVQSARDEHFFT H257_14096 MHTPVVFYMRPLLWDDLRRRVNQAEIHELHQVLGHHLIDDNEAL HAELKAFVDILDDYQKENDRIRDAVLSRPALPEPPSRMLLLDQLKLLASNLHERQLAT PQDRALLGYVLSATPDSDVQNNGGNLTPRLKDCASFSSFNGNGVILRPGTADGSRRRS GTSSRPPSSRGLSSRGSVSSTASAPAMLEDLGCVSIRQIDSMKARLREALLDEKQQLL DDIEFIQVCRRAMARS H257_14096 MHTPVVFYMRPLLWDDLRRRVNQAEIHELHQVLGHHLIDDNEAL HAELKAFVDILDDYQKENDRIRDAVLSRPALPEPPSRMLLLDQLKLLASNLHERQLAT PQDRALLGYVLSATPDSDVQNNGGNLTPRLKDCASFSSFNGNGVILRPGTADGSRRRS GTSSRPPSSRGLSSRGSVSSTASAPAMLEDLGCVSIRQIDSMKARLREALLDEKQQLL DDIEFIQVCRRAMARS H257_14097 MDSSLVLLGLLILLVLVSLGLDSYRCTLLSKSGLALLVGGIAGV VLAALHTDATAPFQLHHSIFFDVLLPPIIYEAGFSVERTTFFRNFGAVLATAIWGTLI SACATGLTLYFASSCGWIPSIDWVEAFLFGALLSAVDPVATLAVFQKLNVPPVLFNVV FGESVLNDAVAIALYKALSLTTSGMTFPVLALVVCQTFGILVGSICVSMLVTLLGSAI FVHIPALRAYPAYEILLCVCVSWTTYFAAECCAFSGIVALFFSGILTSHYHYHVMSPV SQLVVHHTLETASFVSETLVYVFVGVATALVLCSNTVTSLPLSNHHHPSDSEGGGISW SFLGWTTLSLFVGRALNVFPLLSVTNYLRCNQDTLTVPMMVVMWLTGLRGAVAVALVT DWSYVQHQDDVIIDHKQLMVTTTLFLVIGTTWVVGGLTGPLLNVCGLLEHSRSIDNVI VPTASDDDPLDIPSSSPSATPSRPEPDQNVTPVGRRMSSLDESTTDGTRTCATIRVAT YQMWHAFDDTYMKPLFGGRCKPMRNHRDDDDDEDKGVVMHPAGAILSATISRKKSKLD TTSSDAATRPLVVDSDGLSSSSS H257_14098 MQADTAPVVNQGPTQRVKRQKSKRLSRPKSTSPMPTDGDSGIRA AEGTVDSDDEFQEFFDADHVKDMERHLGSDDGSYFDAIHADVAAESSDDDDDDSDLLL VHDISLESHTAIASAVVGHAGSTNDDVVEAVVSSLNDVIEPSWDEPDEKEMARKVDGG IYEELQLDEDELMAAMAEQDLTEEPTQDNEEEDNESLVDSDNDQVFAEREEVTDADGP RGVQDGSAASGGNEDESSGAELVQVVDADDAFERVESLGEKASVLALQTHVVDDGAGD APPLMDNEGQPETVASSSNVQPSLSFAVDKEQRDEETTLQRDHVEKHDDSELVVDVVV DVMQVAAQVAIVDDHGQDRDQTTHERTTAAQNMETYEMSDDDVNIPCDTVPPPSQRDT IIPTLDQVPNSTLDDHVIDDRPITLSVDDVDKDDDKVDDVIMKTPSDDVSPDAIDDTV LPTTTIQQFVPPTGAVGRDDDVITLPPAPPVSVSINRADSAGSDGRCTLLEPSTDEED ASGLATPFEPSASPTTAARRLSTNPFDDHPPGTLADESEAGAAAVITLPPSFSTPLQD SNGDEAASTLCVTSPVDTPPPVVFNPSDWHDDDDDSDSDEEVAVPPPLGLQTKGRRRG DEADWSDDDELEVDSALALTISAPDVVAYAAVAPSSNPLADALSAAMAESVTSSSVLP VPRHDSLQLDQMSFGISYQKTKTKPPQIMSTVNSVADDDMLLSIHSARDSRDDDDEFG DVMRTDDVELDKLTNARLAKEAANETAMLAQVQLATRLERLALEQAATSSRTTEDMVG IDHLTTDKTVLAELHDMYKRGLGDQEVVALASNESATTTTLPVNVHITQAIAEEDEAD ENTKSIYPDQPTPPLPSEDEAAAAWKSVEAFQHEKAAARKPHEPFRWIHFKEAHVHFR DADYVRRHEDAIVVEDADAAAPSGCLSCFRPPTLSFPSALEQRDLVFCIAMCTFDPAV SVHYRTLQTIYQKMTSTRGECPLSGGHWEEIGFQGNDPSTDLRGGGMLSLLQMLYLLD TYAELAGQLFALSRHHEFHFPLCCVLINLSVQTLGSLRQGRLTTLCNKEKDVLAAMNK LYAVMAVRLVAEWKAKRGVVAFPIVLKQVVDEAMGMPLRAVAESEAALALSRGCDTGE MGDQDFTDLSDK H257_14098 MQADTAPVNQGPTQRVKRQKSKRLSRPKSTSPMPTDGDSGIRAA EGTVDSDDEFQEFFDADHVKDMERHLGSDDGSYFDAIHADVAAESSDDDDDDSDLLLV HDISLESHTAIASAVVGHAGSTNDDVVEAVVSSLNDVIEPSWDEPDEKEMARKVDGGI YEELQLDEDELMAAMAEQDLTEEPTQDNEEEDNESLVDSDNDQVFAEREEVTDADGPR GVQDGSAASGGNEDESSGAELVQVVDADDAFERVESLGEKASVLALQTHVVDDGAGDA PPLMDNEGQPETVASSSNVQPSLSFAVDKEQRDEETTLQRDHVEKHDDSELVVDVVVD VMQVAAQVAIVDDHGQDRDQTTHERTTAAQNMETYEMSDDDVNIPCDTVPPPSQRDTI IPTLDQVPNSTLDDHVIDDRPITLSVDDVDKDDDKVDDVIMKTPSDDVSPDAIDDTVL PTTTIQQFVPPTGAVGRDDDVITLPPAPPVSVSINRADSAGSDGRCTLLEPSTDEEDA SGLATPFEPSASPTTAARRLSTNPFDDHPPGTLADESEAGAAAVITLPPSFSTPLQDS NGDEAASTLCVTSPVDTPPPVVFNPSDWHDDDDDSDSDEEVAVPPPLGLQTKGRRRGD EADWSDDDELEVDSALALTISAPDVVAYAAVAPSSNPLADALSAAMAESVTSSSVLPV PRHDSLQLDQMSFGISYQKTKTKPPQIMSTVNSVADDDMLLSIHSARDSRDDDDEFGD VMRTDDVELDKLTNARLAKEAANETAMLAQVQLATRLERLALEQAATSSRTTEDMVGI DHLTTDKTVLAELHDMYKRGLGDQEVVALASNESATTTTLPVNVHITQAIAEEDEADE NTKSIYPDQPTPPLPSEDEAAAAWKSVEAFQHEKAAARKPHEPFRWIHFKEAHVHFRD ADYVRRHEDAIVVEDADAAAPSGCLSCFRPPTLSFPSALEQRDLVFCIAMCTFDPAVS VHYRTLQTIYQKMTSTRGECPLSGGHWEEIGFQGNDPSTDLRGGGMLSLLQMLYLLDT YAELAGQLFALSRHHEFHFPLCCVLINLSVQTLGSLRQGRLTTLCNKEKDVLAAMNKL YAVMAVRLVAEWKAKRGVVAFPIVLKQVVDEAMGMPLRAVAESEAALALSRGCDTGEM GDQDFTDLSDK H257_14098 MQADTAPVVNQGPTQRVKRQKSKRLSRPKSTSPMPTDGDSGIRA AEGTVDSDDEFQEFFDADHVKDMERHLGSDDGSYFDAIHADVAAESSDDDDDDSDLLL VHDISLESHTAIASAVVGHAGSTNDDVVEAVVSSLNDVIEPSWDEPDEKEMARKVDGG IYEELQLDEDELMAAMAEQDLTEEPTQDNEEEDNESLVDSDNDQVFAEREEVTDADGP RGVQDGSAASGGNEDESSGAELVQVVDADDAFERVESLGEKASVLALQTHVVDDGAGD APPLMDNEGQPETVASSSNVQPSLSFAVDKEQRDEETTLQRDHVEKHDDSELVVDVVV DVMQVAAQVAIVDDHGQDRDQTTHERTTAAQNMETYEMSDDDVNIPCDTVPPPSQRDT IIPTLDQVPNSTLDDHVIDDRPITLSVDDVDKDDDKVDDVIMKTPSDDVSPDAIDDTV LPTTTIQQFVPPTGAVGRDDDVITLPPAPPVSVSINRADSAGSDGRCTLLEPSTDEED ASGLATPFEPSASPTTAARRLSTNPFDDHPPGTLADESEAGAAAVITLPPSFSTPLQD SNGDEAASTLCVTSPVDTPPPVVFNPSDWHDDDDDSDSDEEVAVPPPLGLQTKGRRRG DEADWSDDDELEVDSALALTISAPDVVAYAAVAPSSNPLADALSAAMAESVTSSSVLP VPRHDSLQLDQMSFGISYQKTKTKPPQIMSTVNSVADDDMLLSIHSARDSRDDDDEFG DVMRTDDVELDKLTNARLAKEAANETAMLAQVQLATRLERLALEQAATSSRTTEDMVG IDHLTTDKTVLAELHDMYKRGLGDQEVVALASNESATTTTLPVNVHITQAIAEEDEAD ENTKSIYPDQPTPPLPSEDEAAAAWKSVEAFQHEKAAARKPHEPFRWIHFKEAHVHFR DADYVRRHEDAIVVEDADAAAPSGCLSCFRPPTLSFPSALEQRDLVRVAIYGENIYLY ENIYMKN H257_14098 MQADTAPVNQGPTQRVKRQKSKRLSRPKSTSPMPTDGDSGIRAA EGTVDSDDEFQEFFDADHVKDMERHLGSDDGSYFDAIHADVAAESSDDDDDDSDLLLV HDISLESHTAIASAVVGHAGSTNDDVVEAVVSSLNDVIEPSWDEPDEKEMARKVDGGI YEELQLDEDELMAAMAEQDLTEEPTQDNEEEDNESLVDSDNDQVFAEREEVTDADGPR GVQDGSAASGGNEDESSGAELVQVVDADDAFERVESLGEKASVLALQTHVVDDGAGDA PPLMDNEGQPETVASSSNVQPSLSFAVDKEQRDEETTLQRDHVEKHDDSELVVDVVVD VMQVAAQVAIVDDHGQDRDQTTHERTTAAQNMETYEMSDDDVNIPCDTVPPPSQRDTI IPTLDQVPNSTLDDHVIDDRPITLSVDDVDKDDDKVDDVIMKTPSDDVSPDAIDDTVL PTTTIQQFVPPTGAVGRDDDVITLPPAPPVSVSINRADSAGSDGRCTLLEPSTDEEDA SGLATPFEPSASPTTAARRLSTNPFDDHPPGTLADESEAGAAAVITLPPSFSTPLQDS NGDEAASTLCVTSPVDTPPPVVFNPSDWHDDDDDSDSDEEVAVPPPLGLQTKGRRRGD EADWSDDDELEVDSALALTISAPDVVAYAAVAPSSNPLADALSAAMAESVTSSSVLPV PRHDSLQLDQMSFGISYQKTKTKPPQIMSTVNSVADDDMLLSIHSARDSRDDDDEFGD VMRTDDVELDKLTNARLAKEAANETAMLAQVQLATRLERLALEQAATSSRTTEDMVGI DHLTTDKTVLAELHDMYKRGLGDQEVVALASNESATTTTLPVNVHITQAIAEEDEADE NTKSIYPDQPTPPLPSEDEAAAAWKSVEAFQHEKAAARKPHEPFRWIHFKEAHVHFRD ADYVRRHEDAIVVEDADAAAPSGCLSCFRPPTLSFPSALEQRDLVRVAIYGENIYLYE NIYMKN H257_14098 MQADTAPVNQGPTQRVKRQKSKRLSRPKSTSPMPTDGDSGIRAA EGTVDSDDEFQEFFDADLGSDDGSYFDAIHADVAAESSDDDDDDSDLLLVHDISLESH TAIASAVVGHAGSTNDDVVEAVVSSLNDVIEPSWDEPDEKEMARKVDGGIYEELQLDE DELMAAMAEQDLTEEPTQDNEEEDNESLVDSDNDQVFAEREEVTDADGPRGVQDGSAA SGGNEDESSGAELVQVVDADDAFERVESLGEKASVLALQTHVVDDGAGDAPPLMDNEG QPETVASSSNVQPSLSFAVDKEQRDEETTLQRDHVEKHDDSELVVDVVVDVMQVAAQV AIVDDHGQDRDQTTHERTTAAQNMETYEMSDDDVNIPCDTVPPPSQRDTIIPTLDQVP NSTLDDHVIDDRPITLSVDDVDKDDDKVDDVIMKTPSDDVSPDAIDDTVLPTTTIQQF VPPTGAVGRDDDVITLPPAPPVSVSINRADSAGSDGRCTLLEPSTDEEDASGLATPFE PSASPTTAARRLSTNPFDDHPPGTLADESEAGAAAVITLPPSFSTPLQDSNGDEAAST LCVTSPVDTPPPVVFNPSDWHDDDDDSDSDEEVAVPPPLGLQTKGRRRGDEADWSDDD ELEVDSALALTISAPDVVAYAAVAPSSNPLADALSAAMAESVTSSSVLPVPRHDSLQL DQMSFGISYQKTKTKPPQIMSTVNSVADDDMLLSIHSARDSRDDDDEFGDVMRTDDVE LDKLTNARLAKEAANETAMLAQVQLATRLERLALEQAATSSRTTEDMVGIDHLTTDKT VLAELHDMYKRGLGDQEVVALASNESATTTTLPVNVHITQAIAEEDEADENTKSIYPD QPTPPLPSEDEAAAAWKSVEAFQHEKAAARKPHEPFRWIHFKEAHVHFRDADYVRRHE DAIVVEDADAAAPSGCLSCFRPPTLSFPSALEQRDLVRVAIYGENIYLYENIYMKN H257_14098 MQADTAPVVNQGPTQRVKRQKSKRLSRPKSTSPMPTDGDSGIRA AEGTVDSDDEFQEFFDADHVKDMERHLGSDDGSYFDAIHADVAAESSDDDDDDSDLLL VHDISLESHTAIASAVVGHAGSTNDDVVEAVVSSLNDVIEPSWDEPDEKEMARKVDGG IYEELQLDEDELMAAMAEQDLTEEPTQDNEEEDNESLVDSDNDQVFAEREEVTDADGP RGVQDGSAASGGNEDESSGAELVQVVDADDAFERVESLGEKASVLALQTHVVDDGAGD APPLMDNEGQPETVASSSNVQPSLSFAVDKEQRDEETTLQRDHVEKHDDSELVVDVVV DVMQVAAQVAIVDDHGQDRDQTTHERTTAAQNMETYEMSDDDVNIPCDTVPPPSQRDT IIPTLDQVPNSTLDDHVIDDRPITLSVDDVDKDDDKVDDVIMKTPSDDVSPDAIDDTV LPTTTIQQFVPPTGAVGRDDDVITLPPAPPVSVSINRADSAGSDGRCTLLEPSTDEED ASGLATPFEPSASPTTAARRLSTNPFDDHPPGTLADESEAGAAAVITLPPSFSTPLQD SNGDEAASTLCVTSPVDTPPPVVFNPSDWHDDDDDSDSDEEVAVPPPLGLQTKGRRRG DEADWSDDDELEVDSALALTISAPDVVAYAAVAPSSNPLADALSAAMAESVTSSSVLP VPRHDSLQLDQMSFGISYQKTKTKPPQIMSTVNSVADDDMLLSIHSARDSRDDDDEFG DVMRTDDVELDKLTNARLAKEAANETAMLAQVQLATRLERLALEQAATSSRTTEDMVG IDHLTTDKTVLAEVDHDQQYEIQ H257_14099 MSDERFDGLLMQMAQQQNGIEPMLSSVFGFLRRKTDFFSGATQD AIEQMVLSVLRKESAIAERERYDRKVQDEKEKKKRLEAKRKKEQEEVASRKTQSRFEE ITDDDDVVPPVPVKTPAPAAAPSPVVATPTSTDANAEDEDKDDGTPPLEGNGGKTEHY VWTQTLQEAQVTIPVPQGTTSKQVLVDLRSTTLKAGLKGQPLLVDGTLHKKIKVEDSF WTLEDNNRICLYLQKENQMEWWKSIIVGHDEIDTKKVQPENSKLSDLDGETRQTVEKM MFDQRQKQMGLPTSEEMGKQDILNKFMKAHPEMDFSKAKIN H257_14100 MSNCWCQTQTSQLSGGIMQRFLQLSAEEASLALRPTLVKGRWKN PMISLRQQATVKKVAIQNGTVGSWTPGQGGWLEAWDTQKKHTVMRPPKGHANERREED RVKKIQAAMATMPKKIEEHRAALIKAKPVKGLEKWLNETSAY H257_14101 MPPSTTPLYTGLPLDTMNQTADPREDFKEFAWGHWIEKNPIPDE YPSWGTFLQLRDSVLANLRSLCDELADKKSSLEPGTPSAQIAQFWGTALDEEAVEASG TVALNTLFARVDAADSVDSFIEAVTYLQSKGVGSLFDLTVVPDFKQSDILRVLVSQGG LGLPDRDYYTEADKAPLLQAYRDHITRTWNLLHGDDSGAAVAETVVALETKLALVSRT RTAMRDLASLYNNRTEVELRASAFPWVAAFRGLGASVPEFVIEATPEFFSHVVSDLTA ESLPQYKTYAKWHVLHQLLPYFPSQYVDANFAFSQKLSGTKELAPRWKRVVEVLNTSC GDLLGAVYCDRYFTSSAKEAMLELVGYLKLALREKIDALDWMTPATKLKSIEKLDSFR AKVGYPDQWIDLSSIELAGTYADMVLELHRFGFADLFGRANKPPEPWRWEMPPQVVNA YYHPMYNEIVFPAAILQSPAFNLDRDVAMNFGAIGAVIGHEMTHGFDDQGRLFDAQGN MIEWWTPEDVEAFNARTKVVVDQFNGYEILGKSVNGQMTLGENIADIGGLKIAFRAME LYFGDHPKPGLIDGFSPEQRFFLSWSQFWASHARDEQALKLLSVDVHSPGQLRSVAPL KNLPEFYKAFNVGEGHGMYLPKEQRAAVW H257_14101 MPPSTTPLYTGLPLDTMNQTADPREDFKEFAWGHWIEKNPIPDE YPSWGTFLQLRDSVLANLRSLCDELADKKSSLEPGTPSAQIAQFWGTALDEEAVEASG TVALNTLFARVDAADSVDSFIEAVTYLQSKGVGSLFDLTVVPDFKQSDILRVLVSQGG LGLPDRDYYTEADKAPLLQAYRDHITRTWNLLHGDDSGAAVAETVVALETKLALVSRT RTAMRDLASLYNNRTEVELRASAFPWVAAFRGLGASVPEFVIEATPEFFSHVVSDLTA ESLPQYKTYAKWHVLHQLLPYFPSQYVDANFAFSQKLSGTKELAPRWKRVVEVLNTSC GDLLGAVYCDRYFTSSAKEAMLELVGYLKLALREKIDALDWMTPATKLKSIEKLDSFR AKVGYPDQWIDLSSIELAGTYADMVLELHRFGFADLFGRANKPPEPWRWEMPPQVVNA YYHPMYNEIVFPAAILQSPAFNLDRDVAMNFGAIGAVIGHEMTHGFDDQGRLFDAQGN MIEWWTPVRRCDYIYVYGGN H257_14101 MPPSTTPLYTGLPLDTMNQTADPREDFKEFAWGHWIEKNPIPDE YPSWGTFLQLRDSVLANLRSLCDELADKKSSLEPGTPSAQIAQFWGTALDEEAVEASG TVALNTLFARVDAADSVDSFIEAVTYLQSKGVGSLFDLTVVPDFKQSDILRVLVSQGG LGLPDRDYYTEADKAPLLQAYRDHITRTWNLLHGDDSGAAVAETVVALETKLALVSRT RTAMRDLASLYNNRTEVELRASAFPWVAAFRGLGASVPEFVIEATPEFFSHVVSDLTA ESLPQYKTYAKWHVLHQLLPYFPSQYVDANFAFSQKLSGTKELAPRWKRVVEVLNTSC GDLLGAVYCDRYFTSSAKEAMLELVGYLKLALREKIDALDWMTPATKLKSIEKLDSFR AKVGYPDQWIDLSSIELAGTYADMVLELHRFGFADLFGRANKPPEPWRWEMPPQVVNA YYHPMYNEIVFPAAILQSPAFNVRCG H257_14102 MLGRSWRLFGGSLRSFSSVVASSADLIALIKEGQADVALDFLED DSSPRPDDWDTTDAYGSTALTLASRGGHLALCRAILPHVPPSVLNQANMFGSTALMCA SASGHGEICRTLLAAGADVNVKTRYGSTALSKAAEAGHASIVDQLLARGADASPNVMG KTPWDLAAEKGHAILPPSPQLDSTPIDRVEVDDEVVEVLLARVTRILSATHVECQLPD GEAVVVTKPDTVMVPVNGQVELGRMEEEGGATYHFNGVVSRHRQPKQRCLHPRRSYDK KCVDCPER H257_14103 MMLATGTTVQLKTAAQLVKERRIDFRRAQKASNAGMSANTKSSS RGVQASTSKKASSLVAVDDIHALVRWAHPRKIGPGLMNLGNTCYLNSVLQCLVYSPAF AQYLVRRAAVNQAASAPSSKHKMHGGGGSSFHAEKAMARFLSLMHAPNAPRVMQPRDI VVNLKQIAKGFRIGRQEDSHEFFRHLMDSLHNASLKQAGLTDKSPVANSTLVHSVFGG TLRSHVQCAKCKFVSAHVEPFLDLSLEVSSGISSIEAALAHFTAVETLDAANAWKCSG CSQRSHASKGLNIHDIPNALVLHLKRFDAFHGKLKKHIAFAETLHLNPRVLSTPPQEH ATYALTAVLVHAGASPDCGHYYAFVKSPAGTWHEMNDETVRVVNVHTVLQQRAYMLFY SRQVPVKPIVTLKKPIVTLKTPTLKTPIVTTNVVAGGPSDDGETSQESLSGDDDVSRG EEIPAVVGTCPSPIKGGRPTTRKAAAAVVYGPAFGGRLNRHIRFAAPWKRYLVPTSVN AKAVVVNDVATHKHAVVKAGFRPHAKFKNSLLSADVPQWRDDDEDVSAELQMEHNQHV RKLNAQLYQAKRAKQPDFWDQTLDQGKLKKVKKRKDFVANEGKANQFQRALDAKKPKR L H257_14104 MKHCGSWDVMSVAFKEKSPTFSNRVNTFLAAIHPTLRAKYIDTV LDKYSMQHLQTSGHRFNNFPSALYAVDVTFQWTNAPAGSFNEKKRFYSKKHGQYGLKV EASVLPNGLAIKVTTAVPGSVADITICESNLDFHQDKLKKIGEEDDMLDDGPMQEEYP RSWALLADKGYQGLHRQLRAITPMKRPAGDLLSAADMAVNDKIASDRVIVENFFGRIK TLWSVVDDTYTWKRENYDLYFQTCVAFTNLHNCFLPLREVDGDDLRRHVNGLLSSGQK KKAKRAGSVMKSREKRKRRLSSLFSTGESAQFTSEVDFYDSADESSIFD H257_14105 MLPGQVVTRNHVQVSSLVYDEPPSKHTRYKVGGVLYLLLTATGS VLYLVVVSPSMSNDYWWPRFNTTSTQTFIADLYNFLLTTPTTGPFDLFATTSMIRKDY SSSSTFIGMHSSAARAILLRPLALDAVVPILRSVDLFENMRTMPPPCWLDFNRTFEMA HTARHQILCNDRHQSNAALYLETLLRNVDSTDLSSSLYLDPLQSTIFHVVEAISVDGV RWMARTVNHTWLPVAQEVALWQAHGLSYFQNQLQNLFHEGLRNTVTIVSALGMRGYVT IHNIPFENRPKGAWSTGYAYCGFWNDLEAGAWTATSLIRSAPNAFEVMGNDWDEYYCG TSGNAATALIRSNLGPLTTIDIYLVSLPPVLTALYATFLNQLHNAVMLQHQAYMQLTE PTLEVLPASWKHQDAVYYGGNPLCCYGNPMPYVQPSFGYYDDCGTQDRHVINMARDSV LFAMFATVITSSDQLTSVCALTTGPAMFTSCMQSLLPASAVFTTLLKAPLEALRPQLT QTSQTIAGLNVSFIQWATIAGVDQVLHQPMITSSSTSSSWSFIGWMTMFDWANGQREV YSFQGDLNTYVLMSRPNPPLQLTANAGEFPHSACAYFWVVCSYISVVLLGVIGLVLVY SAWSGFHIDGRNLFRVNRVVGGCWVGRPFLCLRGLTAILVLSTSNVDFTSTGGGLSHF SFSRRPLWQTLVLAGEVSWITYVLNDILLPWTRPFSSQYSYLSSLLTWVSAIYIESAS PYMAQATVSTNCSIVSFMRGLECTSGDIRIGSLQRTGVLLLIVGTSTVVSYVGVALAS KLGAARHTYQVPPNVLLASTSEAFLAHPVNNFSSLDAAACVMSGILPYGNSLFDIKIW VTFQAKLIGPLTYCLLPASLDIRPLEPGEAKRRRRAFHTPTQPKSPFNIRTVGLLGLF YMVGAVGLSFIFLSISRTTLENDFVWVGFKQAKVQVFLSNWFNLNLQMASPTLNFQVN SGGYGDYATTNNSTKLNVLSSALYAIAIQDEVNTLANVVRGLRQMDSCLLPWIATAYC FADLGRVYEMAHSATRQVRCHQKQVSNGAVYLETVFGNAHWVPLNECWGAALDVGVFS SLRMTNDGATWVQSIKSNGRSESDEVQWWQRHNITRFTTQWQNYKHLGMTESFFVSNA IGLQYPLTLKKTKTSFHIPAATAFKMNWSFANDLTGVLMANGSSILAGKSLLRQSATY AFVNSTMESAMVEQETLPSPLDPALTQFERDIGPFGVVDMARVACPQLLLDYYRSLYR TLLGKVSSGDDAIQSAFWTMYTYSMYSASPARWDTKRLWGGDINCGVNYGGWATAPFQ YFSSNGICGNFLTDYQGVATANSIMATMSMASLAMEFPDLHRIGNRDSVNSDTIVQAL NASLVFAMMYYTPAELAQQRSNAQVVQVHIRDVVQLELVQYMSDDDDAAPMELSRVNV FDPTEPNFEYFAWLYLFDWVEGKREVVTFQGDVGQVTTISTVQNYIERPVDAQEVPVN ASLYFMLLIQYITVVLCGVGCLVCVYIVTNRGYIEGVNMMSFSLVAGHVWIGRPFMLL RGLTAICFLSTAKLNLVRPHDGLVSFFDSPDRSWLMTLLSSGEMAWLVNVIHDTFSVL TKQYTAGCFSKSALIVCVSAAMWSFAAPTKHSVSISRNCHVPAVDFEVECVSGVVQIG DFGRFCGLIGLAFGTCLGTYAVERHRLSKLQQPTSHWLSFFLYSAAKHKFERTIQRNW EHDGVYYLDKASAALTGVLSVEYRGALYILDIKTWRVYVISPDQLAARGVNLPPHLQH AIPLVE H257_14106 MAPKGSWSTSFCGFWNDLDACAQTAEYASIHPPNAFDAMGNSYY RSPAGTPGTAAVANV H257_14107 MCACFRNAFDGNAEEGSVVAVSLALYRSPRNVCTLVALKCCHQS SLEVLGDNVAKYSTEQLLLRSQLGPLSVIGIF H257_14108 MPYVQPSFGYYDDCGAQDRHEINIARDSVLFAMFATAITSSDQL TSVCALTTGPAMFTSCMQYLLPASDVFTTLLKAPLEALRPQLTQTSQAIAGLNVSFVQ WATIAGVDQVLHQPMITSSSTSSSWSFIGWMTMFDWVNDQREVYSFQGDLNTNVLMSR PHPSEVMAINPVELPYNACPYFWVVCITGTVKQHFRDCPTALTQKKAPQLPTTCLS H257_14109 MAAPGVVQLKSQLIMASCIAGGGLPLLELRTHPVKGRSVHVAAA GGIPPGTTLFAEIPFASVVVTKVLCTVCYAVADPDLCCDDCSEVSFCSEACQQRLKVV HDLECSTLEDIHLIAKKSRADRHLLCLITRILCTRAAATLPHKVADSAPMITTTYSDV VDMVHATKELSPAWLASVQAGAELILKSLPSECAVSVPEVVGLAARINENSYSLDANT ADATGQVASVGLFPLAGLINHSCQPNCIWSTDPSKGAMVVRTTAFIPHEDEITVTYID VHQPRQARQRQLKETKHFDCRCDRCNEVGPAAADTLVDGVCCRGCGGHELLVPCLNYR GGSYYSTCPKCNGEVSNDVVDAVKAQADAAIQSAQAKMDKKEFKQAQSILDTFWNDKS AVITLHPCHWMATTAVRLLSDCAFKAGMYLRCYDLRKRLVMQLEATLPPNSLALGTAY LELATAGTLCLKHKVWTGADQDERIHREQVKAHYDQCLGIRKVCYADHHPRLMQVQSQ ALSV H257_14110 MLSRAARSVGAQLKRSQQVPASARLFSAKKVSGIVKDDDDEDSP RKDKKGDDDDLAEIEGFEAGKQKIKRANDLAEYDEDEPTDPAPLYGKYKDEGHEFRQL APAAARKHRYQAIAPNNMAEARRRLLDEYGSRGMSFDDPQFVYDDGLRPDMGLLKEKD ELDEEANAVEGWNLDDENFLDLDALGLVSQVVFVDTVQKPTTLGNILTFRALVVVGNQ DGCAGYAVGRGGKIDQAIDRATLRAIETFTYVDRFDDRTLYHEVNGKFNSCELFIRPS KVGQGTTVSDTVGCALHCFGITDVVSKCRGQRNPYTVIKATFDALSKHETAEEIALKT GHSVVEITNLAKYRKL H257_14111 MSAAKAAATAVLDRASFLQTLQVMGVAAEGKQIGHIIKTFSQHL LNRPKLRNVVTSAKPNVSKLVLLSEKIQGNDLTGCPQELHDYIRAENLQVQPHEISLD YSFWSVEHVLRRILPDTITEIPASFETIGHIAHLNLRDFHLPYKHVIGQVILDKNTPR IKTVVNKTDVIDSVFRTFPMEVLAGDDNMDVVLHETDCTFHFNFAHVYWNSRLQQEHL RLVRSIDPTDVVCDMMCGIGPFAIPLAKRGCIVYANDLNPHSFAALNVNRQKNKVDGR VRSFNMDGRAFVAHLLASKIQFTQVIMNLPAIALEFLDAFPGQFDHWQGDLPTVHTYC FTTNMDSPDKDVQERAEAVLGAPLTEFRVHHVRDVAPKKLMMCISFKLPAASAYSADK FKDLHSKKQKVAAE H257_14112 MTSQIGGALPIEFGPITTQNVGVLRVLNQVIFPVRYTDSFYTDI VSTPRELSKFGYVNGMIVSSICCRVEATPDGLDRVYIMTLGVLEPYRKLKLGGALLDS VLAHCERTHMDHIYLHVQTSNTDAIRFYTTHGFRITQTIYNYYRNISPPDCYILARSF VCRTTTNASPCP H257_14113 MKVQDDPLTTTKRHKQQWNASTKLTHAKKPKSPSSLRKEEPPVE SDMPTVTEAARSLVEKAVECAVRQDAVDRTETTDIFNHQQTMHARTTEWAVMQEDLSR QLKQDQLATDQRDNDERYAILDVDLHLERVRLEKSRRKAAIQAFQRHLERQIQQLTAM AEAVNQREVVMDAAFNQYETWVQSHHTRTTT H257_14114 MGRAELPIWQEFTDKYRASHRRTPLVRCKTCDDEICASTTSLYQ HKNNVCGKKLPRRSSSSSMDPNLVGHHSPSSPGDKETIVVSTLVSTPVTTPAEVADLV PAAALTTATQREEHEMQVPRTAGAVPDVAPTVRKRKEIWEDFGDKYKVDGRKTLVVDC KMCGKDVAAATTSLYQHQAICANIQVPKQQKRPKASSHDVKPTPHPPPPSYLPVEELT KKFLTIQDTILQLRAQLDAAHADDAPALQSALDLYQAMRANVLDKLNAVAQNHCIV H257_14115 MADEQQMVSQDEVVDDAAEPSTPREPSDNNIRIFLRLKPSKKVS GFFTWEEEQGALEYNIPKDVAAGLINNSRTQYKFKFDSLIGMEAKQEEVFDRVGRPCV QNALEGFNSTIFAYGQTGSGKTFTITGGAERYEDRGIIPRSLSMIFGEMKKYPDRQTN AYISYLEIYNNQGYDLLNEDHQNTKDIEDLPKVSMLEDEDGNCHLRNLSMHRVASEED ALNLLFLGDTNRAVSETSMNLASSRSHCIFTVSLESRRGGGSEVILRSKLHMVDLAGS ERAHKTGAKGKVLREATYINTSLHYLEMVIVALHEKNTKGRSHIPYRNSMMTSVLRDS LGGNCKTVMVATASAEKEQTDESLSTCRFAQRVARVRNDAHLNEEVDPVILIRQLKAQ IVALQEELTVLKGDVKEGDDLKEYEKDKLRQKVVEYANNPDADAHLNMGEISYTKMRL CFGFLKAMITGDSATVNTTSSTTTSSNQSGHSTNQDGGGSLYSSSDPELHGRLMELEH TIQQRDNEIAILVNMLKQGQGGNNNNISMLPSTSSKSLDGGRSAQSSVAKPPVVLVAG FNVQIDTASLNDPAKAFDVFRDQYPKNDAIRENKALLKKKYDTAKALAATVNEARNSI KELTAKMEKLRTDKAVASVITTNLSTTTESGLVSDDEMAIVAAIDAHKRAYKVGFNQL NDLKKEIQHVQKMLEMGRLKLQKDFDGWYQAQGRGHLVTETLVNKQDVAKPPPPVKAA WPDIAPGGANSTSTTSTTSTAKATTPRQKDEIPKTGDAAVDKDVTGFYEALDILKRRN SRKL H257_14116 MSLSSSTPSTSTSSSEWTPPRKIEELYAAAAGNKFASINSPEAG ARVQEALPVGSASLQLYSLGTPNGIKVSILLEELGVDYDAHFINIGAGDQFKSGFVDV NPNSKIPALLDQDGPDSAPIHVWESASIALYLAEKHRRFLPTSPRLKVEVMNWLFWQM AGQGPMTGNFGHFFVYAPADKGAARDYGVARYGMETQRLCSVLDKHLAGKTFLVGEEY SLADIIVFPWAHHLDTGYIHTPSNVSAKEFLSFDKYTNIHAWLARIRSRPAVQRGLTV CTQGVGKPWLQ H257_14117 MVKPTIIAAFAALATAKIAPSVHRHLESNEDVDVVIEFKGGNQR ALEVARLERASFNDRGSSIAHVRSLLESNMETSQRAAVDLLSSQRKALTTRVESFYIN GNMHVYGANRDVLDELAKLDNVARIRQPVTAQLSTVTFDDDDGSDVGIPQGWADNSTT SGRAANERGVDLIGAPAVWANGNRGEGVVIGIIDTGAIHTHDDLKGNWRSTYGWFDPI DKSPTPIDIHGHGTHVTGSAVGQNGIGVAPGATWIACLGCPTLDCSEAALIACAQWML CPTDVTGKNPKCELAPDVINNSWGNVGNSNTFQAVVDAWRAADIIPVFCNGNDGPKCS STWHPADYKNVIAVGNLGTDDKLFTESSRGPTADGRIKPDVSAPGTEIRSAWNTGNSA YQTMTGTSMASPLVAGAIALYLNANKGAKYDEVYNAFTTTADTKMLPPNNQNCGGVSD SKYPNNNYGFGRINVASAIGGGVDPPSNTTSAPSPSKPRTSGPSTSDPATPFVVEAQH IKSFDHRHQYPPSNP H257_14118 MSFYPPNQYGAKPPKSGPFGPPLPTATNSPGFVFGAPPSANTSA ARSVATLAFNGQAKAWAKACGLDIVNVSWEDCARSKNSCYGPCISDMTLVSDNSWMSV LRSPNFADPIMRIPSSQIQVKVGNESRHDGVALSTVSLADYLTNIAKYTELEHSLFEP SKDNQVVVSTQACFLPLSASGDVDFHVGLFNYQSTATNPAVLVLISTDAGTSAQVVQG NQRGDILYFNDHGIKRTFLATRLSTDRTRRGVANTGAPMDQGEEARNYIMVVQIPLIG RPSVSTGMPFGASTTSTTTSIPFGYPPDTSAKPSFAFGSTVSSTSSFMSTRSEPSANV EPAMLSLGQTHGAFAKLSQHSSMRRDPAYPIRVTWQFYQATSNGVVTQPVIQALANQM TSIQTYATWTGSLVTTTEEVDTHNLHTGVFCDTCRLSIQGPIRYKCLVCPDFDRCETC VDVVGHPPTHPFLRLSHAQYGASSYVTQNRSELSHSTVVCAGCHVSPIVGILYRCTTC PLVNLCERCELTTGHANFDHPLLKLFRSTK H257_14119 MSLSQSDFRRLLETPRVAPQGGGGGILRRDAAQSKFGGKRAAES SGKGLKDREKYKKFKKPAAGDGDEDDPLKKPRSKMIDPTYQGKYRDRAAERRAGVNQD YEGLEDINTDEMDIETSKFLGGDMKHTHLVKGLDLALLAQLKREKEMLQEQHASLVRK DPSSTSSHGPTSTSKSTPPLTALGAFLVKFMTKLTVDPSVKHMSDLFLPGRLHYEFNI HHVDVDTLPRFVQVSPDDCPVVDAAGVRGFLSPQLLEDIQECFQQPKHPQRRQRQVLP PPPTPPLVGIKDAHKGLATIAEDGDDESEDEDIFADVGEYVPPGEEDTVEVVSVPKGS IFQNLSAAQVARDVREKQHEALEASRLAATLAKAKAMYDKGQEMAKADRLREKVAAPD DYDECFPDYEEDEQDGEKDEKTSSSTERFKRGKSKQHMDKGQDPVQQPTNRRDRRQLR AKE H257_14119 MSLSQSDFRRLLETPRVAPQGGGGGILRRDAAQSKFGGKRAAES SGKGLKDREKYKKFKKPAAGDGDEDDPLKKPRSKMIDPTYQGKYRDRAAERRAGVNQD YEGLEDINTDEMDIETSKFLGGDMKHTHLVKGLDLALLAQLKREKEMLQEQHASLVRK DPSSTSSHGPTSTSKSTPPLTALGAFLVKFMTKLTVDPSVKHMSDLFLPGRLHYEFNI HHVDVDTLPRFVQVSPDDCPVVDAAGVRGFLSPQLLEDIQECFQQPKHPQRRQRQVLP PPPTPPLVGIKDAHKGLATIAEDGDDESEDEDIFADVGEYVPPGTTTISVELNL H257_14120 MQKRKRDNDDDTAAAFGGSRFSFNFAGAASDTPAEVATSAAMAF PGHPTSASIKQQCSIGESTIPAGTLVAIDWARSSWLQLFVRVTSDASGIEGLVHPQFV ALPHRDQPSSNSFTSHDTSWTFDAVFDPSFTGCQLPLHFSTTPLIVDSNLQSLVWPLH VSTFQRQVYNQKAFVVHGSTHRLETLRQDLHQFDVSALIQNASRTIAWLKQTRPPYRM QYLDVSSPDIAAACYAAGHSLYFNPSPEVQDRYIRAICADLGLNFTSGLLDGGIGGDI ELFAVQSKHHTPWHFDAQHNFTVQLTGTKEWSYAKGPLTDPMSNLHLSSSNTASVLED TLAHAMAGATDLTPPTSSFETVTLRPGSVLYLPAGYWHSVTSFDEGSLSMNFSIDGAR WMDVAWNRLMPALASHPAWRERPDFSQGPDHARAQWKARLGALATTIQAMADEVDAVF PDALFQEPDVDGDDAAAVRTLEPSEDSTSEMLSPLTVLTRSPVHVSQLVTTGHNPLEY TIAMGGYRKFADGCKAETQTTVRVPSGLKPVVEVLRVAAAGTTWTLGALEEQVPDAAT TLLLSPLRCLVDLLVQSGYLQVTDDPKLR H257_14120 MQKRKRDNDDDTAAAFGGSRFSFNFAGAASDTPAEVATSAAMAF PGHPTSASIKQQCSIGESTIPAGTLVAIDWARSSWLQLFVRVTSDASGIEGLVHPQFV ALPHRDQPSSNSFTSHDTSWTFDAVFDPSFTGCQLPLHFSTTPLIVDSNLQSLVWPLH VSTFQRQVYNQKAFVVHGSTHRLETLRQDLHQFDVSALIQNASRTIAWLKQTRPPYRM QYLDVSSPDIAAACYAAGHSLYFNPSPEVQDRYIRAICADLGLNFTSGLLDGGIGGDI ELFAVQSKHHTPWHFDAQHNFTVQLTGTKEWSYAKGPLTDPMSNLHLSSSNTASVLED TLAHAMAGATDLTPPTSSFETVTLRPGSVLYLPAGYWHSVTSFDEGSLSMNFSIDGAR WMDVAWNRLMPALASHPAWRERPDFSQGPDHARAQWKARLGALATTIQAMADEVDAVF PDALFQEPDVDGDDAAAVRTLEPSEDSTSEVRRTIRCDEV H257_14121 MPRQSYATYLSQHATSDEWQAACVVMLRCHQEQLVSLAVLGSKW ANVPGEQGVQVMYSSQLADLIRYRSIIAGSFDELQHHLDTSNVLALLALPPSPTYDMS FLTTNDVAQEPLLLQDHNDSKIWMQWHEERMQLEGCPLGVATLTLANHCWLEDNGNIE LIPDLHDAFTVVGLLYSPGPIDNQRRNATTQVILCAQVSSTFSAVVASAWRGVGDTLT RHLLQLRLMAAWLELPLSAGRTSTGFGCVCYHCGQLCVGMSMICLGCLADLCSCCCLR FPVQFKHRQGWTLEDVEDSSSCRDCWARVHDSNFELHWSSTVEEKKMVNTDDHNECMQ TNDIVEASDPGVQVDQADVTFLLSLATAKAVQTKKCATERSQPRVASCPATLCRCQTT EVVPHFEVIL H257_14122 MTRPSKTKKQVDVHLRIRPLVKEELERQDEHLVLTTQSGADGTI NLSLTTPKAESDDVEFVEVLGFQVPKSKPKRDKTFRRFVGIHDDVSNAYFFQATVAPL VQDALAGRTACCFAYGHTGSGKTHTILGYGAERGMYHLASQQLFAAIGDISAQNSSLD AIDLPKLQVRVNEIYNGEVYDLLNDSAKCFVREDAHGKVQIRGETVVDADTGLVTTTA STSVLAGSHDELLEIVSRGIAARSTGNSNVHRASSRSHAIVEIEFVSDRILQLRASVD DLDSEYTRLRHERDSLEMDIFTRQHYKVEGKWVKKENAQGSTDDECTQLLDLRKAYLA VEAQKRGAQRNVEVAQAQGLPCVGGALVFVDLAGSEHASKITDGIQKTDEEQQECREI NKSLSALKACFRAQCQGLTGTSCYRNSKLTLVLRDHLKSEASHTAMIAAVSPSSFHVD KTIHTLQYAQLVAEK H257_14123 MTSNKSRASGVTKQVKKSVDVHVRIRPLIDGEVERQDATLSFQT STTRGTSLSFSLPKTDNDDVEFVEVLGGFQVPKSKPKRDKRFSHFTSVHRDVTNRSFF DATVAPLVDEALAGRTGCCFAYGHTGSGKTHTILGYGAERGMYHLAATQLFAALDRVN MDVHDDQDRVKIQVRFNEVYNGDVYDLLHDGAKCFVREDGHGKVQIRSDTTTDAVTGL VTTAFSSSHLATSETELLAIVTKGTLSRATGNSNVHSASSRSHALLQMELVSDRVLAL RDVVARKEAELGRCGYERDSLDMDIFVRQHDKFEGKWVKKADALASTPAECAQLLQFR RLYARLEAEIADAQADVANAPGLPCVGGAVVFVDLAGSEHASKITDGIQKTDDEQQEC REINQSLSALRACFLATARGHRSVSCYRESKLTLALRDHLRAHGGSRTVMIAAISPSS FHVDKTIHTLQYAQMVAQP H257_14124 MLTTPSPKHEPRVKRARVTAFQHTKTPLEVSASGVAKQVKKSVD VHVRIRPLIDGEVERQDATLSFQTSTTRGTSLSFSLPKTDNDDVEFVEVLGGFQVPKS KPKRDKRFSHFTSVHRDVTNRSFFDATVAPLVDEALAGRTGCCFAYGHTGSGKTHTIL GYGAERGMYHLAATQLFAALDRVNMDVHDDQDRVKIQVRFNEVYNGDVYDLLHDGAKC FVREDGHGKVQIRSDTTTDAVTGLVTTAFSSSHLATSETELLAIVTKGTLTGRTPSSR WSS H257_14125 MSNQTLGASPAASVDVAQPPNGHKHLSPTERQAVYEMLLGAAIG EVLPRGVIVKAAKQFGCHERTVSRLWVRAQLSLRHGCISADVRTKLRVYDDEEVAARS VKSKHYITKVMFLAAVARPRYDHHSKMFWDGKVGVWPFVQVSPALRGSKNRPKGTLVT VPQAVDSTVYFDAVLNKDVHAIMAKFPGSVRHGNVFLQQDNASPHHCVTTELLQAKGV RGIVVANQPPNSRDFNVLDLGFLILFKVFSTKRQLVQSRNLLVL H257_14126 MESKCRTSWEAFAVVKSCKQLVYIYIRPAGFRLFTDYKSLQYNF NPAGQSPSMARYQTHKLERWALDLSSSPYTIKCLPGEDNLWCDLLSRWGAAQALVPAQ SSRCLLAIVPPLQQPDFDWPSPASTVKTQEVAGKRGQTPPTGVAWNEDKNLSSTRKTA SRSHQHTAKGVRDVFAWSTLEADVKTFVQACIHCLSVDGPVFPRPIGLVLHVRISMPT ATHGWQKILVIKDDMSGFVRLWPSETSDAAATANGPLDWFTAFGGSHFKSEVIDMIRK AAGAHHRITTAYCAWATEPFK H257_14127 MPIDINLLRTERGGNPELVRESQRKRYADVDLVDRVIALDEAWR KKQGDLETLKMNMNRLQATIKDLYKAKKKDEAQELVDQRKRLEESEPALKLEADALKE VVDRELYKIGNLVDPSVPISQNEDDNEVTATWGTCRAHDDSLHYHHEVLHRIDGYEPE KGVQVAGHRGYFLKGYGVMLNQALIMYGQQFLMKRKYTLLQPPYFMNKDVMAGVAQLS EFDEALYKVTGADANDEKYLIATSEQPMCGFHKGEWITESALPIRYAGSSTCFRKEAG SHGKDTWGIFRVHQFEKVEQFVLCEPEHSPAMLDEMLATAEAFYQSLGLPYRVINIVS GELNNAAIKKFDLEAWFPAYAEFRELVSASNCTDYQSRAMEIRCGIKKMNQTEKKYVH LLNATLCATTRTISCILENFQTPEGVRVPEVLVPFMGGVTFLPFVNEPKANTNALKME KAASKKA H257_14128 MAHLENEYLTKKYQKWDHFKDDEEDGTALPASAKPTQYDHAGDA DITIVDQMLLTPAQLQKYKRMDQTKSEIWQIVVRKLRVWSASSEGAAEGDEAIPCRPY AILVNNIYPLGQVVSKKICDPPQIFPSAHEILALSLDAMADPPSSIPQHRPDKIVFAD KKLVGELRVSYAALGIECTYLTESEGIDACISELSGHLVRKDMASIGAVSEKPGLLSL GLTNDQVVEFYEACAKYAELQPWRRLVERQAIQIDATTSLALNSKPQSSVQGGSVYVS VLGHVDDPSTEDSLKGRYFTAACLISLDAIGLALFFTRTDLQRRVLPPGQKLALLEDA KLRRCATCDKKAGVDKELKRCTRCQCVFYCDAQCQRNHWKDHKINCVDPSKQAEQKAQ VWGARELSVFYGNITAVPFDDLDAIDKLNCRIAKDPDGSGLYPTPILFRHGEATLPTG ADLLWLVRGLQAVIHMTTTYPNFVNATMPELLGLTDDESKLTLPISTMTGEEETVVVR NSSVLSLQDVELLRGAIERREAEKHATPPPAAPTTTSTSSAKDDETSSSCTVM H257_14128 MAHLENEYLTKKYQKWDHFKDDEEDGTALPASAKPTQYDHAGDA DITIVDQMLLTPAQLQKYKRMDQTKSEIWQIVVRKLRVWSASSEGAAEGDEAIPCRPY AILVNNIYPLGQVVSKKICDPPQIFPSAHEILALSLDAMADPPSSIPQHRPDKIVFAD KKLVGELRVSYAALGIECTYLTESEGIDACISELSGHLVRKDMASIGAVSEKPGLLSL GLTNDQVVEFYEACAKYAELQPWRRLVERQAIQIDATTSLALNSKPQSSVQGGSVYVS VLGHVDDPSTEDSLKGLALFFTRTDLQRRVLPPGQKLALLEDAKLRRCATCDKKAGVD KELKRCTRCQCVFYCDAQCQRNHWKDHKINCVDPSKQAEQKAQVWGARELSVFYGNIT AVPFDDLDAIDKLNCRIAKDPDGSGLYPTPILFRHGEATLPTGADLLWLVRGLQAVIH MTTTYPNFVNATMPELLGLTDDESKLTLPISTMTGEEETVVVRNSSVLSLQDVELLRG AIERREAEKHATPPPAAPTTTSTSSAKDDETSSSCTVM H257_14128 MAHLENEYLTKKYQKWDHFKDDEEDGTALPASAKPTQYDHAGDA DITIVDQMLLTPAQLQKYKRMDQTKSEIWQIVVRKLRVWSASSEGAAEGDEAIPCRPY AILVNNIYPLGQVVSKKICDPPQIFPSAHEILALSLDAMADPPSSIPQHRPDKIVFAD KKLVGELRVSYAALGIECTYLTESEGIDACISELSGHLVRKDMASIGAVSEKPGLLSL GLTNDQVVEFYEACAKYAELQPWRRLVERQAIQIDATTSLALNSKPQSSVQGGSVYVS VLGHVDDPSTEDSLKGLALFFTRTDLQRRVLPPGQKLALLEDAKLRRCATCDKKAGVD KELKRCTRCQCVFYCDAQCQRNHWKDHKINCVDPSKQAEQKAQVWGARELSVFYGNIT AVPFDDLDAIDK H257_14128 MADPPSSIPQHRPDKIVFADKKLVGELRVSYAALGIECTYLTES EGIDACISELSGHLVRKDMASIGAVSEKPGLLSLGLTNDQVVEFYEACAKYAELQPWR RLVERQAIQIDATTSLALNSKPQSSVQGGSVYVSVLGHVDDPSTEDSLKGRYFTAACL ISLDAIGLALFFTRTDLQRRVLPPGQKLALLEDAKLRRCATCDKKAGVDKELKRCTRC QCVFYCDAQCQRNHWKDHKINCVDPSKQAEQKAQVWGARELSVFYGNITAVPFDDLDA IDKLNCRIAKDPDGSGLYPTPILFRHGEATLPTGADLLWLVRGLQAVIHMTTTYPNFV NATMPELLGLTDDESKLTLPISTMTGEEETVVVRNSSVLSLQDVELLRGAIERREAEK HATPPPAAPTTTSTSSAKDDETSSSCTVM H257_14128 MADPPSSIPQHRPDKIVFADKKLVGELRVSYAALGIECTYLTES EGIDACISELSGHLVRKDMASIGAVSEKPGLLSLGLTNDQVVEFYEACAKYAELQPWR RLVERQAIQIDATTSLALNSKPQSSVQGGSVYVSVLGHVDDPSTEDSLKGLALFFTRT DLQRRVLPPGQKLALLEDAKLRRCATCDKKAGVDKELKRCTRCQCVFYCDAQCQRNHW KDHKINCVDPSKQAEQKAQVWGARELSVFYGNITAVPFDDLDAIDKLNCRIAKDPDGS GLYPTPILFRHGEATLPTGADLLWLVRGLQAVIHMTTTYPNFVNATMPELLGLTDDES KLTLPISTMTGEEETVVVRNSSVLSLQDVELLRGAIERREAEKHATPPPAAPTTTSTS SAKDDETSSSCTVM H257_14129 MVWVYAASFARNEAYWASWASRASSHSLHAHVLCDSSPRSVSIS CSNISRSTEGGGSPRSLDVVLLKRDNLVEDNDEVLTHVGKNDVVDDLRSCGLMYSYDA WRSA H257_14130 MAESLEDELARFQAEIASLETEVISESAPTVPIDGQEVAASSSK RPLDGDLDLDEVARKRQKAMEVANKILQAAASAPAPTANQPPVRPVQTVIVRAPSKRV DKQVPEEPPAMFVPPQPNVLRMDQISSTPNVSIMHGQSLPTGAAVQLELMIDHVTGLP LSTKEQLIFNQQQSVYRYKPQHNFTATHQAPSGKKFLRAAAGKVWEDSTLAEWPENDY RLFCGDLGNEVTDELLSQAFAAYASFAMARVVRDKITHVSKGFGFVSFMDGLDAMKAM REMNGRYIGNRPVKITKGKWQDRALDVVKKHKKGGRKNKHLF H257_14131 MLRRWSTLLRGSPALPWRQFSSTSDGHVDYIEGVKLLQLQDVDS AISRWTSAADAGHAKASSALGHLYLLGKGGVVEQNLPRAAQYLKQAADQGHANACHQL GRMHLLGLHFAVDGAAALQWWERAAEQGHTAAQYDLGYMYDKGVHVPADKSKAFKLFS HAAKEGMPEAIRAVGNAYLVGDGVEANPAKAFKTFLKAADTGNVQARFDVGACYALGR GTDVDLAKAAASFFLAAEGGVPEAQLCLAQQYEFGRGVEADLAKAKEYYTMAAAKNVP EAIARLKDWPN H257_14132 MRGWIGLFATVLSTSVVTSSSGVKGDMPTMFLRDPLDKALLLGN GRRHRINLDEAAQGAFFLAPKPCGGFMPIARCPARCKPSDTKTGEEDCTKCKGYKLVP QSSKSQCTSGKINKCRGFKLMPPAQCTGFDLFGNPIPRTTTVQPTTTTTRPTTTTARP TTTSQAPTTTTTTARPTTTSQPPATTTTTARPTTTTVAPLTGVESLTGAPTSIPTPAP TTPAPIARPTTTEVPTPAPTTFAPPPATTTTEPLPTPAPSIPNSSSEGSGTSGGSDSN GGSSGLSGSGDAVGSPSTATPAAETTAGTAGGSSGSTTSGQSAGTTGAANGTTATKTG LSGGAIAGIVVGSLAAAGAVGFFIWKKQKDQHREAEIFSDPPHDVESQGGDYAAM H257_14132 MRGWIGLFATVLSTSVVTSSSGVKGDMPTMFLRDPLDKALLLGN GRRHRINLDEAAQGAFFLAPKPCGGFMPIARCPARCKPSDTKTGEEDCTKCKGYKLVP QSSKSQCTSGKINKCRGFKLMPPAQCTGFDLFGNPIPRTTTVQPTTTTTRPTTTTARP TTTSQAPTTTTSQAPTTTTTTARPTTTSQPPATTTTTARPTTTTVAPLTGVESLTGAP TSIPTPAPTTPAPIARPTTTEVPTPAPTTFAPPPATTTTEPLPTPAPSIPNSSSEGSG TSGGSDSNGGSSGLSGSGDAVGSPSTATPAAETTAGTAGGSSGSTTSGQSAGTTGAAN GTTATKTGLSGGAIAGIVVGSLAAAGAVGFFIWKKQKDQHREAEIFSDPPHDVESQGG DYAAM H257_14133 MVSIQFTSDVGLGKVAIAGFGLGFVMALHVCLFIWAYFLTDGDT WVYRNAVIQWSLYVVCLTFFHFSEFISTAAFKPGFVSYESFLLNHSDAYHMALAAGCV EFWLESHFFPELKYIHDVSSVGLFLIVLGASFRVGAMWTAKSNFSHRIEVAKRKDHTL VTHGVYKYIRHPSYFGWFYWSIGSQVFLCNPLCTLAYAAASWSFFKDRIPYEEELLLE FFPAEYPAYKARTFSGIPFV H257_14134 MVDTSAHYGGAPGDDKALVEVYYWDNTDPAAAADMTTKAWDWTR WTSAKVFTGLEFGGEVVATFLGLTRSKYDWILETKERDDQERAMRQLEKRQRKQLRLM ELLAEEKRKLRELENGASTTTQPSDSILL H257_14135 MSSSRHDGGGIANLRATCAAWLRSRLLHIVFLCLVVVDFLCVVG ELSLTLIAHSTCPDEGAIGGRTDSTEAAIEVLGHVSLGIVAVFVVETVAKLMFLGWTY YAHNWLHAFDAVVVVSTFTMSIVLHGQEEREVVGLLIVFRVGYILRIIDSVVMTSEMH YEDTIHRLEHELAACRLQLQAATTATPTPSVFTTDYVKPACSPYDS H257_14137 MATPNFGPAVQDLPPKGGFRPLRFARGVPEVRGPPGWAIFAGCF AVTSWGFYLVGQQNQETRALRREVRERRIAMLPFLQAEEDIEFLQNEAYYFEQEKARM KNVPGWKVGESVYHSKKWQIPLYAK H257_14136 MGRLVCPTCQCTEIDTHEASGESVCVSCGTVVESNVIVSSVEFS ESGGSHSVIGQIVSGNATKMSTNIGMGGKHYDSESRAATLATGRRRIKQVAGMLRLGE HYVESADRLFGLALQRNFTHGRRWQTIVAACLYVVCRREKSPHLLIDFSDKLQISVFQ LGAVFLKFCRLLQLSIPLIDPSLYIHRFASQLSLGSQMHVVAITALRLVSSMKRDWMD TGRRPSGICGAALLIAARSHNVHCTLSDVAEIVSIGENTLKKRLSEFAVTPMGQLTFD QMGKVEIQLLECDPPCYSKNREREAMQTLLLDMDDEEPVDSAAWTLRIENQWQHASTS GKVAAAIPSSTTQVPLLQEEEEEYGFQDENAALLAHASRTSAALLVESVQKRGRRWLH KQRADAALFATLEQELTDSIEQEEPTTSVVVASSTATPPPPTRPEKRPHDDNSTIEPD VDIDDHEIDAMILTESEAASKTHLWETIHADYLKAKAEKDRYLETQPAKRKKPKRIKV PTSVDGDAADVPPPPEMTAQHAIYALQTKQRSKSKNINYDVLAELFAHDG H257_14139 MTEVRRSKRIAGSEPAAPVKDAPAKRTKKETAPPAKKGAKAPTA AAKNAEKAVDAPASDAEDKHESNEEAPAVDADTTTAAIVEDDATEEDAAPAAPKVLKL GDVVPNVELLNESDEVVHVLDLVKEKGAVFFMFPKADTPGCTKQACGFRDEYEKFKSA GYNVFALSGDSPKALSKWKAKKELPYKLLSDPKHVLIAAFGSSKPGKKVQRSHVIVAA GGAVVDIQAQVSPLDSVDKSVAFVSKP H257_14138 MSTFRALPSFSVHPPGLGDLDVLPNGIAVTCGEDGYVCFSDVKE LKEIKSMRIVTDDGTVPSISVSATTSSDASQRDVFVASSDNYLLNRYSLGAKATFEGC YLRCTEEIKYISSSKSYVAVVSEDLRSRIVVRANMERVLVLEGHKETVKSIAIDPLET YVATSAADGTVKLFNISDVDGDTGEVSASSSIPIQYQQSMSNDEVLARIAWQPTTGAL LATPMRMNTVALYSRDTWTLSSKLVFPSFDGVFNSDVNVIAFSPNGQYVAAASMAKQI FVWDVATQSVVASFECDDNVMALSWLVDANSFVVLMSSGSVGHAFNVVPSAHAPPANV IISATVAAPLVTPPVPPLVPSTRATMPSSPSLVEASPAPPPSPPTRPTNPAIILSIDD PAVDDEELQVNAIKRSFGFDPDMAVLHEATIADDQDDDVAAAMDAPLLQSSPSTVPLP LSVQPSFQPGAVLQGPVILLAWNLLGDIEALTSADHTPLIVLRFHDKSKRGFKFHDTY NLTMAAFDDVGAIFAAPVHDDMPAVITYRPIESWNSNSSWHVSLPDGEDVVSVTTAGA ICVVASSAHFVRVYSMGGVVLAVFALPGRIVSMASHRSGKLAILYATRSGLQCAVYAV QPALPRVAKLTDGPMPSSSVVEWVGFNDMGVLFFVQASSGLVFVQSDLVGGQWMPLVH PSHSHGPIFCVGVRDGTVYFVPKVNDQPLHLPRLHRPVLSTWTYEKVEDDALWPAHNA ATAAPAKSDQAVPLLAAADKAILLRVKAMCASDDVQKAYDLATCLSLEKSHAIAQQIA THFGLRELHSRLQRLQDAAFPMDIISPRVDEVNAVDRRHMTTSVRPPPSRIPTMAGRT STSIPSSSPTSSHVEPAKAIKPPSKPSAATFVNPFKKREVVVSDDVASRKRQK H257_14140 MSDAGSGSDSEEEFVLPPGFESVKGGSISKASLEDKELWFFRVP KNVDASVLHGVTIKLPKDQNAFVQVPVDVEGNKKQFTLKSNDISLYSQVVNLVPDKAN SQTFVPGKPFARSFSLVESVVVPNVPAESVAAAAPNDGGSPAKKKSKKSKKHKTTE H257_14141 MSNDKNNIMREIKVEKLVINCCVGESGDKLTRAARVLEQLTGQK PLYSKARYTVRTFGIRRNEKISCHVTVRGEKALEILDRGLKVKEYELKKRNFSDTGNF GFGIEEHIDLGIKYDPSTGIYGMDFFVVLTRAGMRVARRKLRQTRVGAPHRLRKQDAM NWFTTKYEGLIM H257_14142 MRRGSHGGGRLGGDVRRVSAMCRGGRGGGRLGGDVGTVSSGAVW GKMDPLDVGMGRDAVDFFLGQVFAVKKCHKRRGGAFGKVDQDIGVVQRAQDANDDKHS NRGRLL H257_14143 MIKPTFIAAFAALATAKIAPSVHRHLESNEDVDVVVEFKGGNQR ALETARLERANFNDRSSGIAHVRSLLESNMKTSQRAAIELLSLQPKSFTNRIESFYIN GNMHVYGANRLVLDELAKLDNVVHIRQPIAAQVSPVTFDDDDTDVGIAQGCADNNATS TRAANEWGVDLIKAPGVWANGNRGEGVVVGIIDTGAIHTHDDLKGNWRSTYGWFDPTD KTPAPMDRSGHGTHVTGSAVGQNGIGVAPGATWIACRGCVITTHCPEAALIGCAQWML CPTDVTGKNPKCELAPHVINNSWGGKFHNKLQAVVDAWRAADIIPVLCNGNSGRTCST TWTPADYKNVIAVGNVGTDDKLFTQSSRGPTADGRIKPDVSAPGNRVRSAWHTGNSAY QIMTGTSMASPHVAGAIALYLSANKGAKYDQVYKAFTTTADTATLTPNNENCGGVSDS KYPNNNYGFGRINVASAIGGGVAPPSSTTSAPPPSKPSSSDPWTPATSTRRPFPSFPM TSSPSPSKPSTSYPSSPATTRQIRRPPPPVPAVRSLLSL H257_14144 MESAYTELASKRASRDRRRAQKQLSLSAKQNERAGAGMLDVVMK NKFLLAKEDMKSIHVSMSLLFAAPGPLEHRKHGTFSLTQPLRQTNGVKGEVGNGGGVT GDDVIGKEGNGRRGKKGTDGGYWWRGSTDLMCWVSTAMGTTS H257_14145 MTSSPSPSTPSTSDPWTPATSTRRPFPSFPMTSSPSPSKPSTSD PWTPATSTRRPFPSFPMTSSPSPSKPSTSYPSSPATSTRRPFPSFPMTSSPSPSKPST SDPSSPATSTRRPFPSFPLTSSPSSPPATPRPFPTSPLTPCPPSTCNGCTGCYSSLIN FCLPPELSQAECTMFTVLQGTWCGKE H257_14146 MIKPTFIAAFAALATAKIAPSVHHHLESNEDVDVVVEFKGGNQR ALETARLERASFKDRGSGIDHVRSLLESNMETSQRAAVELLSLQPKSFTTRVESFYIN GNMHVYGANRLVLDELAKLDNVAHIRQPLTAQLSLVGFDDDDTDVGVAQGWADNNATS TRAANEWGVNLINAPGVWANGNRGEGVVVGIIDTGVLHTHNDLKGNWRSTYGWFDPTE KSPTPFDRDGHGTHVAGSAVGQNGIGVAPGATWIACRGCSTTSYCPEAALLSCAQWML CPTDATGKNPKCELAPHVINNSWGYKLSTRAFQAAVDAWRAADIIPVFANGNSGRTCS TTGTPADYKNVIGVGNLGTDDKLAPASSRGPTVDGRIKPDVSAPGNRVRSAWHTGNSA YNTISGTSMASPHVAGAIALYLSTNKGAKYDQVFKAFTTTVDTKTLTPYNENCGGVSD SKYPNNNYGFGRINVASAIGGGVAPPSSSTSAPSPSKPSTSDPWTPATINEKSTNKIL SILQQLRDNPSRFDRHQRQLAMVLAFLQDNQSKQNR H257_14147 MDHGKPCDRQQPLHASVPTTDLRRELSTYVDHRIVQATAPLEQE VDTLRADKEALTALVSATSAAFTTSMRDSSKNAASGRLLNTNNRGPTQVDGGAKPPAN HHHTTGHLPSGNGRTPPHHRSSLRTHMQAMQSVSSQLAGLAALGTPATRLPATYPTQP TLTPSSPAPDDGAAAAAPGGSSVSPPDMHTARIQRDNVLLRETLEGEAFIGDAGSTPT LDIQVGSLRIAATNINKNTYGKLSAELATWFRANALDFLIIADSDLPAHKATQLWTHP HAYSTTFIAGTPASTPAVPHTPPPGDNPAAHKEATDSEWQWLAQATTRATDPHHFVVM EGDFNTYGPNPLDQASDLSPPSVTATPASNGTQEAFPIPLRRVFTLPWSQIGDETFAS HLFGSDVSPSHHVSVPRNAPDLSFPSHIQRHTYARNNTAVALHTIHSSDHPGTPYMAL DLGPGDHTPSRLTGVKPIRVVNTRTLAKADIASFGVDSGLETDRQNVPHFFRDWLLQD MDRPDKVAQGFQSPAGTTWDTHHYDEDMQARCDRSLRTRISPGYGGVSQELWIAACIR ARERVIINPMLRTGLVPLILGRKQMIYLAKSDTAHGVVNLDPGLSPWRPITVQSAFSS RIFTVIRDYITPCIPNHEMQHGFQRDRTVQDAA H257_14148 VSYATFVWGEKLYDDIQRLTFSLTNFHASLLPLRLEDHDSYRAL NVATCSAERKDLLPVQHVLIA H257_14149 MKGRVGRKKVFTAEQVKAKLLQVPLAQPTTLRSISERTDANKYG RMKHAVEFVGSTLELNDMLQFVHLDEKWFYITKKEPKRECKSKQYITKVMFLCTVARP RYNHTTDSWWDGKIGIWPFVEPVAAQRDSVNRKAGTLETKSITVTKDVYRTFLLDKVL PAIVAKWPYADHTIKLQHDNAGAHATPEDAKLKAALDTYKALGWYMSLAPQPPNSPDT NVLDLGFFAAIQSLQHRKSARTIDELVGHVESAFVEYPLARLNHTLTLQSCLVETLKL FGDNAYKVPHMSKEKEERKGMLPQNVSCPRDVFEAAKVRLDGVSYAKLDCFLAAELEE ARCIDELAQALETIALDDDEPDDIMSALCDAGIDPISVEDDE H257_14150 MGKPNQAQLKWTDDLDLALLREVVRVEPYDGEHAASLSLYSNQG IPRRSARDHYDGLVQGFKATDKSQRQWGTGSDEDVPEKVQLLQDLVDQRDATDTLKTA VKSKDKKGKESLESTGS H257_14151 MAGMDDSIVLMSAMALLEESQEHTRAIHISRGRFNLMAQSYSTC AFHFCFKINEIQQLKVLLEIPDPIITPQRYNASAEQLLALIQTPSDEAKNSCGHTLLK SDSQRRPKHLKDASDYETRDPTRFSADYAQGNHSNSSIDLPPRRAMSSAQFSETHLVT IRHMCSALGKQEAWSLIFVLAPLAQLHLVDSFARHGENARQDIAAQAQSLASERDAAL QELADLNARGRALEDTLHHTTTRMSAQTASKPKQRAVKLEVPKYGGLPSHQLLRSIKQ VGRAADALNIDDDEICVSFAMSHLLVAAFLPANSDFRYRAEYLSAREGKRSICEYVHD LRFLASCVTQMSSLSEETKVTIFINGLNDSAAGTQLFRIYPSTFEDAVRTALAEEFSV LQSRTTTKTRDPHDMEVSAMTSPTTDRRCFNCNRPGHISRECRQPRRAPTSARGTASS PAHRFAHPVVDRARGGCEFPRGRGNGTPQ H257_14152 MLHAHLQLHEATSHVTKTLRLFTNALQHIPLILLQLLQSKFHLH NPTMKVSNSRLCCASNHLRPHTPHLQMLWLQEPFQRRISRRQAMQVLCLRPQCRRGHL HQALDSCQSFKHGIVFQSRHTMSLHLPLNRSQASGHGVMLRRARLKSNQTPRHVMGDV RVSISHTTSSW H257_14153 MSFVSPLDAELAATLVTQLRDMRELVDDTKEFWAFWTGWRRILK AVPCDYTMYSMGRFHGKKKYKVNYLPLHCADNALGIHSYIAELATWMKKSKLQTTFHE MRQKWLQFAKNKSQAITSDLQRHTTCMEVPRHVLEYQVRDLKRRLETAEAILEAQVSK QRRLAAKVYDEFPARPHD H257_14154 MRSKESPVQHALRLQQLKDKRSIESSEEHRARLDNLKARRLLEP PDEHAMRLRKLQETLVVALLIVLQTKSQHKRKLGEEYINGYSDGILRAFKCNHDIQIM IGGAEMAERIYYTCKYTTKNQQKVECRTALALAAFDTLPSSHMFNTTRKQEVVGPLCA LYLLRESCAYTSHFYKKMSIRHVLKFLHHHSDTPFQLEVTTNIKNSNGSCSQLEESNA SDSESSNDGSESDSSDLESDDEEDTSSFSGPSCPEESSDISWFEFMSKYFLANRTERT SPEKLFMERHSLHESKCLGVHRLARIPVLTGGVRVPFFGDCLKPEERNFHAQVALVLF KPFRVLADLCPHGSTWNEAWEAFQPTMSTGCAEVYHFMQDYHVGRKMAAKTRTSREEQ DIQKENDDGRTEPYDFDDFDFDDFDFENALLVSNATLIEEDIAALVGGKSLDEASTIT SSKYMSILGAWDQRLHCREQFASDVNGLKTWTSKKRVERVVTFENPSRVEEISDTSMA WSDELPGEIPTLVQVNVPGALDPSTMLYTGNLVERGKVPQALPDYAHISVVSNAFSLR MRQHLSFACIARALLQRWQLEDNGDLDPQSVATATSAIQLRMVLHGEDGTGKSHVIAA VQAFCNSWKRPFSIAKTAMTGKAAVSINGVTLHSWIGMHNLTPSTVHEAKEADE H257_14155 MMSVDEEEHAGGGGSGGKGLDEPNMEATSLDALEKDFQEVLTEL VGDKSLERFRLEYEKLHRALKKSNMQEKKLIKKCRELNGEIVNNAAKVQTALKLSQED QTTIASLKKEMEKAWKMVDASHEKEIRAKETINQLKDEITNLSRLVEQGAGLSVGQEN AMKELVKVKEELSRNNDEHETNSRKDHARMQELHAKIAEMEEGKRVQAIEVQALKDKL QLKATEQERENRRKERLDKEIKDVKVKLERKSVENIALSTDVGRATTQVQTLEKQLAD AQATMEKYVRDYETLYNRSQKLTELLNDQNDKNMQLEVERREFELEIKAKTDDITKLK LEKNMAERRIDKEKRNTQKVEGKLEDEWTNKLVLQTQLKSMQKDLDADKRVEEAQKAE LNALERERSIQIKATQKAEERVRQICDDVKTNERVAKNLEAELNGYKQEAAKQRKLIY QLEKEREKYGIEASEQRNLFVQAQEEIKLKDMRMYEMQKKVTEGDGKLKQQQQLYEAV RSDRNLYSKNLIESQDEIAEMKRKFKIINHQIEQLKEEVSAKDHALVKEHFDHQKVEK QREQHKNELARLRTLLATNEETINNQDAEIRKLTTMIRRMDDEALEQKKEYDQVINER DILGTQLIRRNDELALLYEKLKIQQSTLSKGETQYQERMADIRVLKLKITDMKRELHI AKHQVGQLDDLKREVYHLQRELLQEKTKVKALSEELENPMNVHRWRKLEGSDPATYEM IQKIQTLQKRLIQKTEEVVEKDLIVNEKDKLYVELKNILARQPGPEVAEQLSVYQQTL RDKDKQLKSLLSEQNMFQSKENELKFEIERLARELHDVKRKYYKMKLEEKLADTPQLP TLLKMPDKAKALVDAQKQLAITSSKRYIGGGFSLNQ H257_14156 MLTAAAAAYMGAGSIVEWRDEEESDISVQAYLVYPDAHVGRIMT GVVIVPDCAGFRTPYVCTFADKLAEQGYKVIVLDLPVTTATSDEWTNSGTFRKWKDTA AAVEASTVRWALRCRDLLKQTYEVQRVGVLGLGYGAEVAVRIASSFDAVALLSPTALP PPSSSTTPTLLVTGDRNEYIDSSKIKSFVESFPSTSNSVRVRVVPGQRHGFALSRIAD EDAATLAIADILDWFIIHLHRFRTALCTSDGDPWWPQGKNGPFYNVGLGQWQAQRAAW RAVTRPRPPKPAPVSPAVLFEDLSSMKRTYDLPHPMTLADLVEIYVDIWDINQ H257_14157 MSTAGMRFCQECNNMLYPSQDREMKQLTFTCRNCSHNERVPEHC IYVNKLVKDARNQMDVLPEDIIDDPTLQRDFDVVCPMCGQQGAAFIRSHDGVKQSTLA LIWICLNRDCQTDDNGNRLPTHRWMDDAFR H257_14158 MAAESASILYGSLPLDDNFGGSTNDEGNMNVHVDPLTIHLADDV MHVKAWEFPGWGSATSESADPAELKDLDATLVAATHAHRLGEWPATAICGNDILSSCL YTAGIVAVRAGKLAPVAMAVVAAILYLYRYIYGEVVNAIPLNGGSYNALLNTTTKRIA SVAAALGILSYVATGVVSGTSACTYLQSLVPSLPIVHASVGLLFFFALLSIIGIRESA GVALVIFVVHTTTLIVLCGMSVVFLIQDKAAILRANFHADYPDVSVAGATVPGSLSTA LFFGTCTAMLGISGFETSAQFVEDQAPGVFPKTLRNMWWGVAIFNPSISFLSLAVLPL PILTTHKDTVLSAMAKAVGGRGLETWVAVDAFVVLSGAVLTAYVGITGLVRRLALDRI LPSGLLTTNRWRGTNHWIVLAYFGISASLVVALRGQVDTLSGVYTFAFLALMILFGVG CMLLKFKRSDLLKQHAEGRENAPWGVVVVGVGCMVLALGGNLVSDPAVLSIACVYFSI VMGIVMVMFERVFVLRLLLALVTAMWPKSKGEKKRTAAGDGNGAELLNGHRNNSSGDQ STTGDDIGNDGGGGYVDESSSAGASPFHALSSPGMSIARAIHAINGPPILFFCKRPHL PTLNKAILYVRQNEQTAQLYIVHVTEKREDMDAFASVVSLFDRIYPKLKIDFVQVVTH GYVGFGPAVVEWVSRKYHTPKNLMFIKQPSHDCAHTIASLGGVRVITG H257_14158 MAAESASILYGSLPLDDNFGGSTNDEGNMNVHVDPLTIHLADDV MHVKAWEFPGWGSATSESADPAELKDLDATLVAATHAHRLGEWPATAICGNDILSSCL YTAGIVAVRAGKLAPVAMAVVAAILYLYRYIYGEVVNAIPLNGGSYNALLNTTTKRIA SVAAALGILSYVATGVVSGTSACTYLQSLVPSLPIVHASVGLLFFFALLSIIGIRESA GVALVIFVVHTTTLIVLCGMSVVFLIQDKAAILRANFHADYPDVSVAGATVPGSLSTA LFFGTCTAMLGISGFETSAQFVEDQAPGVFPKTLRNMWWGVAIFNPSISFLSLAVLPL PILTTHKDTVLSAMAKAVGGRGLETWVAVDAFVVLSGAVLTAYVGITGLVRRLALDRI LPSGLLTTNRWRGTNHWIVLAYFGISASLVVALRGQVDTLSGVYTFAFLALMILFGVG CMLLKFKRSDLLKQHAEGRENAPWGVVVVGVGCMVLALGGNLVSDPAVLSIACVYFSI VMGIVMVMFERVFVLRLLLALVTAMWPKSKGEKKRTAAGDGNGAELLNGHRNNSSGDQ STTGDDIGNDGGGGYVDESSSAGASPFHALSSPGYDR H257_14159 MSTSEHDGLTADKMAASRSTYGSDEENSRSMQHMKPWEFPGWGQ VSNVTIPDDKLAEINATLKSREHPKLAEWPATAICGNDILSSCLYVSGLVASKAGKLA PVSLALVAGILYLYRFIYGEVVNAIPMNGGSYNVLLNTTSKRVASVAASLAILSYIAT GVVSGTSACTYLASTFPGIPIVGSTVGLLFFFAALTCFGISESAVVALGIFVLHTLTL VTLCGLSVWFIFQNRGQQLYANLFETEYPPINLAGNWIDGDVFTALFFGTSTAMLGIS GFESSSQFVQEQAPGVFPKTLRNMWWGVAVFNPLLSFLSLGVMPLSTINMFKNTVLSK MALVVGGHTFETLVTLDAFIVLSGAVLTAYVGINGLIRRLASDRVVPPFLLHENTWRG TNHWILWGYFAVATSLVLVLRGDVETLSGVYTYAFLGLMTLFGSGCMLLKYKRAALPR DVIAPWSACLTGVSLVIVAFFGNLMGDPTVLTYFALYFSLVLAVVFVMLERLFLLRLV MYALQRMCPSRRSKAGESATTQDKGTGAMGGRTIVRAMREIADTSPTVFFAKHCDLPL LNKAILYARANEHTSHLLVVHVSTESGVQAMADEEDGKNIETLAESVAILDRIYPKLK IDFVHVAMHSGEFGPAAIEWVSSAYGIPKNMMFMKQPSASFPHSIATLGGVRIVTG H257_14159 MSTSEHDGLTADKMAASRSTYGSDEENSRSMQHMKPWEFPGWGQ VSNVTIPDDKLAEINATLKSREHPKLAEWPATAICGNDILSSCLYVSGLVASKAGKLA PVSLALVAGILYLYRFIYGEVVNAIPMNGGSYNVLLNTTSKRVASVAASLAILSYIAT GVVSGTSACTYLASTFPGIPIVGSTVGLLFFFAALTCFGISESAVVALGIFVLHTLTL VTLCGLSVWFIFQNRGQQLYANLFETEYPPINLAGNWIDGDVFTALFFGTSTAMLGIS GFESSSQFVQEQAPGVFPKTLRNMWWGVAVFNPLLSFLSLGVMPLSTINMFKNTVLSK MALVVGGHTFETLVTLDAFIVLSGAVLTAYVGINGLIRRLASDRVVPPFLLHENTWRG TNHWILWGYFAVATSLVLVLRGDVETLSGVYTYAFLGLMTLFGSGCMLLKYKRAALPR DVIAPWSACLTGVSLVIVAFFGNLMGDPTVLTYFALYFSLVLAVVFVMLERLFLLRLV MYALQRMCPSRRSKAGESATTQDKGTGAMGGIYIYIYGLQTLPLVKMRTGLVADWLHV F H257_14160 METSDAVATAKHSVVRSGLVFTKGQHEDGLFGRGTWKLRYVELT TDNVLQYYAAKGGSFTGQVDLAQCYGMEVMPLDCPQSGNRLTSVYRIAIKTTTKRRIV IAALSEQGMNAWVAALHSAVGPSLKAKSPKTSGSRFSIFRRVLRTLSLNVRMMRCNLP DLFFVN H257_14162 MAVLTLRLSPLANKFSSAGRRYPPMHSAPIRWECPPTSSYAVLG TGPLYRPPRGQSNQHKLDLPNSWQIHPTFYVATANDPLGPAVTLLPLPPPVTSAPPLA AAQQPPAPPPGNNPRLLAAPPLSMDHHVVANCTPQTDSPARTHVEKLAVSEAHTLDQA LAAHATAIVRSRWTATGRQFAVRVGFAHIYVGVDPRDRPSFQTPMLLSPHPGETRQRV GQPPRCQRQLPIPPAALSSQRPHKRYKVLKQCLIIAKLDPPHVHNPALQALPFMQLTH GAFELIELVKTHPRTLHAFQGQQHPQNLQLKRLGACKQAQVSFHAGSIGRLVPPVITS FVKLAHHCQTARNVAQVPQVHATYPAGVKIRQRFQWNPNSRSKTSSRAMLVRSRPPNS PFTLASVSTATPLTVTFPSFPPTPTVLGCPSPHDGAPVTPQLAALLTASAASLAAFAA AAAIALASSTRFAFLATSSIWLLPYQILLQQLQHTFGANCLHGAFHQVCNILLLQFTK PFTLHGHLGLPMSLALRHFGHILGRRHSVVVVRLGCGAGASASSKLSSASSAISLALR FLPSSVIYSLYCHVIVPSHI H257_14164 MEPEPATPRALVPPTTYDPQHGSLSDRTLDDGFYTASAAAANPQ PEAATEPMASLPCEQALPRIPETSGQKQGYPPGAAYGGLPAQSYRPLVRQSSRVGSGS SMAMSIVAPNYHGVPRTTTRQPGQVPAYHGRQSLMGIIHGGQRIAPSQHTSFHHHVYA APVQAQGQGWADHVCARENALQQHEMQLAAHRERIEADRRVAHFEWEQRQMHQAAAQY KYAVEQDATAHTAQFKANLEREASGYNAHLDLEQQRAFNNANLNWQQMQQQQQQQWQQ QQEAQTTMDQRLAAMRQEERAQYNAQLRAAGVDVSAEGDAGVNIPTEETAENTQLPGS PITSGVGQAPPGARSSSLPPYFDSAWHRTSSELPPGGFEDGSTFYTKGSRPMSAPVRH PTPTSPLPQSTAAPLISGYTPTKPPVYGKDGFKERDQQKFAKRFIVYARGQDTISVSS GVRIGTVSMSSCMTAEALAHHARFQFDRPIKDIRETEWEAMFEASMLIPTSSKAVVVP PQTAVDGQHPPSHERPHDGLAGEALMHGIKPDGAKALVRNSYDFDDKEIKFNISKFWS HVRGVLSNVLPAMAAEADALPPRALATRNVPQQSQLRQQCWPPRSNDSKTTTH H257_14165 MACWKNSINYPDSRYPNVREGSSPSTTKPVTTKPTTTKATTTTA QPVTTKATPSLTATPLTSSPMNSMATLSHTTSSATPITSTPNPPNPTTTKATIPVTST KGPVTVVSAGPELVVTPLELDAPGSKVKED H257_14166 MTAMAQLSDAAGFTSVVYFMERAMNDPNSPIFEIDWERTTHVNY AFGKPAPDGSVGLYDPYAAVEITYPQFGVNNSFGLDFVRIDWEYPTEGGNNQSVVPHR PNDIANYLKVLQLFRQELAKLPWKAELSVASPAGSDNYRHWDFTVNCGLQDHINIMTY DLAGDWSAYTDHQAKLYKDPNHPAGKDCPSDKIVLGIPAYGRSFEGTSGLRAGDVGIQ GNAVGSEYFDEKLGSAYSYDPESKLFITYESPMAAKLDFIKKYNLGGTGRAMLTLGLA RRDR H257_14167 MQQRRYTNAERKALLKKFHVSVLNDNQCCQQHANHSRDVERIAS ERSEDSGQPPPWPLGNTGRPGAEVIVPLQGRPAGKELLVSMAEHAARQSSLVVN H257_14168 MQQRRYTNAERKALLKKFHVSVLNDNQCCQQHANHSRDVERIAS ERSEDSGQPPPWPLGNTGRPGAEVIVPLQGRPAGKELLVSMAEHAARQSSLVVN H257_14169 MLAHHTDNVQSVKWNLDMTIILTSASFDGSLVVLDGRAASKELL VSMAEHAARQSSLVVN H257_14170 MAASSCWTAALQAFSNFSLSTDVKELLVSMAEHAARQSSLVTSS RWCGMAPPQHQHPRLISKEVMTVIVYDVRKGYSALFHRRQHRPQANAAGCLFGRSEPD PAVVGGVCLVPVEVAWLAALARVLRQTHAIDYNSTGPA H257_14171 MPEAIYALDTAENEEYATTKYRYTYSSLTTPKQTVEYDLLSNKT VILKETPVPHYDRSLYHSERVKATASDGTTIPISVVYRKDKKKAEGQPQALHLYGYGA YETSIEPNFQATILPLLDHVQVSWYVTALLQI H257_14172 MQANSGLLVRGLLLLAFVALDMATTASLMPPVLEKRPKNVPFGK VPGENRGEQPMEPTIYLEDPYYYVRDDNRSNTEILDHLRAENAYTKAALSHLDGLQDE LYKELLSHEPETDQTAPSPRGDFLYYSGTEEGKAYRIYYRKPRYDDGAEEILLDVNKL AEGHTQFRVEKVKVSPDHKLLAYSVDLTGFEAYDVFIKDLTTNTTTKAVEGCDGTIEW GRDAETLFYVTPDATRRRHKVWSHLVGAPQSADTTLFTEDDGLFQVSFLKSSSGRFLV IDTFSAETSEGHVLDLHDPQSSLAVVAPRVQLGQTAELKYDGR H257_14173 MSDPTLPLTTMEWAEWGNPNELEYFEYMLQYSPYDNVKAQAYPN LLVTSGLFDPRVAYWEATKWVAKLRDLKTDNNQVLLKMNLDSGHFSASNRYQSLKEKA VELSFLLDKLKYHHKC H257_14174 MKFTAFVLASVAAMEQSNLTLTTEEKAVSGDALHTKLRSNPQSP PRSISSSQSSPSTASSQSVPSIPSMRDVPIVQPLLMEALGIFVPMITSIMSSVSSQSP PPSTSSSQSSPSTSSSQSSPSTASSESVPSTPCLRDVPIVQPLLMEALGFFVPMITSI MSSVRSAAEPITSMSKSGCSDCDACYYPDGNSCLRGLSQEDCNYYSDTYGTKWCVN H257_14175 MVLVTSPQPMHSGEVHHLADERWFRGKHQFLVHWARPELLGKST SWVPASYLSTCTEALGSYDRWKANATNTSLIAAFRIAATLLNVPQNVSDDDMGEFMAN NKIDANDGIPPAALRSFIRFLGTKGFRFCHQTFAEN H257_14177 MKERLLGLISYDPQRKPAALYRFIEAMSQAGRFDQADHQPSPTL RQVTNAVKRLRSSTLGHVSTDQALRQELTKWLQPGGVADASEGHPFVFGVPLFTDGFP QVGDGSDLDPLRIEWDEASKDIYRMHTAFDRVYLDFLARSFKAKWNGMSQGVRKFRLK FFGWWMPPTMMANVPLNDTSCRFWKWQVYHTALGAATTKNHNEQFNAEIKRALGHQRL RMVHLVQVLLRLLRSESDKAIPFWTTPRVGERLLQHFKHLQRPNALDVNEAIEDGPNI WNYITRHVQRLEYNDQPTNGCRVKLTNVQCNCGYWYQSGIFFHLLAVAFKFGRRLPAF GEDAPSVVAAVVVKFVVVVVVPALAQSSLLTSFIKM H257_14178 MNERVVGVCSEEELSELLVTLPRFIRAHKEVTSCNHAYDADPAA WHCHQMTRYDTKCSSRRCHNMDPNDARFSFCKAQYLFRECHFTQETL H257_14182 MHQTALLLVASVALLATSIEAQTSTISMGRNDRIVGGGAAGVHY SSLLAKKGFTNIQVLEASDRVGGKSTTALDDRSQPQEMGTVFALDTYTPIFDLGREYD PTNTRFSFALESPNYMYTMGESAGAADADPDTVLDFPHYLLRSIKENAPASFPKNATT AQLQALFEEQSAWYMALHRRIFGSYAYGLPPHPKDWSAIDMMAMAFIKANNLTALTGM FRFSQQQQGYGVLETIPAFYFLWWSHPQAVSKILRAQVAHVPCAFQFRNGFQSIWKTM SQAHRNVVKTVFDATVTRVSRGLDGVSKPFVTYKTQASGQTIRVDCDHVVMAVDLSAF ATLVTDLTADERAILTGSYTASTFVTTLFDSLPSPVETAAQIWYYRMTQGGRLSALRN SKLTLKYRGSTEWGDLIKGHQTRVAYQYYDTPLSQVVRGGVTPLLRKDLALAGMNQVA VWTQRPFNYFPRFTQEGLKKGLPRKIWNMQGQRRTTWIGSSVCFESALDVVTYNNNLI KRVQMTPA H257_14183 MPKIPRLVLRSMCHATCNNYSRKAWLLDRNTRRVLDAGARSRGR DIACALGAGITLQVEVGPRAVPHELSTLNDVPSNPMAMTAWYDCAKHAHDIPHWRVAP F H257_14184 MADEDYSFDSSDAGASETYPMEAGQVKKGGFIMIKGHPCKVVSI ATSKTGKHGHAKCNFTALDIFTNKKYEDIVPSSHNCSVPFVTRAEYTLLDITDEDFVS LMDDNGDTREDIKLPLIPEGFGEEIRADFDAGKQLALSVLMACGIEQIVAKKEDLTEK H257_14185 MTAWRLGFVCACALLGALCIMSGFFGLDMDMLEINLTTAAPSSS SSDSNDDRGPSLPKGAFDRLVFVVIDALRADMVLGNAAINHHGEDLSLFMPYTAHLAT SDLTVAYVAQAGVPTVTMPRLKALTTGKQPAFIDVLRNFNSKALDDDNIMRTLHQAGY RMVLYGDETWLDLFPHLFHRHDATSGFFTTDTIFVDSNVTRHLHEELDPTMAHSNSRD WDVLVLHYLGVDHVGHMDGPHSSSMRHKLGEMDAVVRSIHNAIRAQDIVRQTSNNQQQ PDGVLPTLFVLCSDHGMTNTGNHGGASIEESSALLLFVMPPTNATDHDEARTFTKSSR KESFRRALQVDLVPTLAALFGVAIPTTNTGKMLHHVLHAAGLASPLEAMQRNLRQLIA SWTPAERAKVGATAHEKEVQAALTWAQEVVLQSDGSEYNTFAITLGVACTAAGMAVSF GASGVASAWSRRSNKVSYVHVVLGVGAVLQVASYGSSSAIENEHATWNFILTTLWMYL GFQHVAAKEFRQVPLVGMLAVTTRILRSRNQVINFGRLNDLPSLADAKNTTVGLEYEQ DDSLSVLTTRSLLDDLNLPLGYETTWVVVCIGIYGIWKLEHAVAATAVIRRRLIELVF LTGLVAVSAYSFHPAVGMYAHATYACATVLFVSGWCLSKDGGKSCSRFVPLELSAWLL GLLLQREVNLTVLAVLNLQQACFFSWIRRRREDDTSTVAAVATLWMSKCAFYALGNSH LMTTIEIGKAYTGLTTYSQGIVGFFTFFIVMTGPTVVILAAFTIIPAGKALPTLWSLE LLSFLVYSVIVYAMRFHLFIWSVFAPKMMYHMACLVWDVVLTVVAVALSAGSL H257_14186 MNATDLSAFSVHGVNPQHLVEKILRNRIYDSMYWKEQCFGLTAE TLVDKAIELTHIGGHFGGNQQPTPFLCLLLKMLQIQPDMEIVVEFIKNGDYKYVTMLG AFYLRLVGKPTDVYPILEELLADYRKIRKRNTLGWEMLHVDEVADILLKEEYFCDIAL PHLVDRYQLEASNALKKYVSPLEADFASDDSSDDDSD H257_14186 MNATDLSAFSVHGVNPQHLVEKILRNRIYDSMYWKEQCFGLTAE TLVDKAIELTHIGGHFGGNQQPTPFLCLLLKMLQIQPDMEIVVEFIKNGDYKYVTMLG AFYLRLVGKPTDVYPILEELLADYRKIRKRNTLGPSLVHLPC H257_14187 MGLVLGVLVHGGALGAVDVSGEQARRVVARVGGRLQRDNAAPVD AHRVERGRAVVVLVQVGRFVWVLGVRHVLGQRRVDVQVREGLVRVGVKVEKVERCVKR RLLVVRQVGFHALEDQERPDCLIDQTQEVGQHKRRLCGREVALLALRVHERSVLNVFV VGAHGRDVLGVVALGHGLVRFILLVLLRLLDAGKRSAAPRVLAEPRQVARAVGRVVPA HLVPRDARMFLRVNREPPHLGADQRIVGVGLVLRRRGHVVQHGDRRVGNRVQEPKRER AERRVARSLVRVCRQLLEAEVLHFTGFDKLDRVLVDGEAVVARVHPGKVRNQTERVKV FARVANALGGRDRVIWRAFRKLPRAAGAIRLGLPG H257_14188 MANRLLNLGQVRDERLVARDLEPPESVGVVERVEVDGCVALDDV VAVVQVLVGSNQRSVHRVRVRVAVASVVKVNWHFDHDVGPLDKVLVRQHTRVPDVGPV PDQVKVGRAGNVPLG H257_14188 MANRLLNLGQVRDERLVARDLEPPESVGVVERVEVDGCVALDDV VAVVQVLVGSNQRSVHRVRVRVAVASVVKVNWHFDHDVGPLDKVLVRQHTRVPDVGPV PDQVKVGRAGNVPLG H257_14188 MANRLLNLGQVRDERLVARDLEPPESVGVVERVEVDGCVALDDV VAVVQVLVGSNQRSVHRVRVRVAVASVVKVNWHFDHDVGPLDKVLVRQHTRVPDVGPV PDQVKVGRAGNVPLG H257_14189 MVAHVEHGDGVVVDVAVIGGGVVGTAVFRELVLRGYNVTLLEKN ANVVHGASCGNSGIACTGYDAPEGSLERRCIRRAMELNPAVYRELGLPSAAVGSLVIA WTAQDLAALPHTVAANHAIGDTQVKTLSAAELYALEPHLAAGALGAVFVPGEIVIEPW LIPIAYLHHGLRNGGTLRVHHHVVRGAYANNMWTLHCESADEVRARWVVNCAGLYGDI VEQIHTNTMPFHIHPRKGQYVVLDAPSSVITRVLQPVPTDRTKGVFVFRNLHGLVVVG PTAEDQHSREDMTNTPDVVATLRAAASQIVPALAACPVVGTYAGLRPATEHRDYHIAA DGAHQWVVVGGIRSTGVTASLGIAEYVGQLIGAWFRPRLAGRHVIVPYVVPTFQELAM QFDGTSNSVTIEGLVHQVTHPLTRWGLQKLLKQQQDTSRL H257_14189 MELNPAVYRELGLPSAAVGSLVIAWTAQDLAALPHTVAANHAIG DTQVKTLSAAELYALEPHLAAGALGAVFVPGEIVIEPWLIPIAYLHHGLRNGGTLRVH HHVVRGAYANNMWTLHCESADEVRARWVVNCAGLYGDIVEQIHTNTMPFHIHPRKGQY VVLDAPSSVITRVLQPVPTDRTKGVFVFRNLHGLVVVGPTAEDQHSREDMTNTPDVVA TLRAAASQIVPALAACPVVGTYAGLRPATEHRDYHIAADGAHQWVVVGGIRSTGVTAS LGIAEYVGQLIGAWFRPRLAGRHVIVPYVVPTFQELAMQFDGTSNSVTIEGLVHQVTH PLTRWGLQKLLKQQQDTSRL H257_14189 MVAHVEHGDGVVVDVAVIGGGVVGTAVFRELVLRGYNVTLLEKN ANVVHGASCGNSGIACTGYDAPEGSLERRCIRRAMELNPAVYRELGLPSAAVGSLVIA WTAQDLAALPHTVAANHAIGDTQVKTLSAAELYALEPHLAAGALGAVFVPGEIVIEPW LIPIAYLHHGLRNGGTLRVHHHVVRGAYANNMWTLHCESADEVRARWVVNCAGLYGDI VEQIHTNTMPFHIHPRKGQYVVLDAPSSVITRVLQPVPTDRTKGVFVFRNLHGLVVVG PTAEDQHSREDMTNTPDVVATLRAAASQIVPALAACPVVGTYAGR H257_14190 MQRRRYLRVVVGIMVLLLVARPAHASFTFDVSPVKANPFELDFL ERALKPGGQVVYSRTEYKNQRCSEVIQSSSSSTVDGDHDDTIIASANGFVQGAVRAYN QHHHLILRPDDVWLAIMTQFGLFVNGHAEAVRDKLVKHKEGTQGLVVKTVGSLRTVDH GFLATQLVQQMTDHLVDPALSEWVLPSFSTTTDHDRVVGSVVMLAAAKKYFTYKSVLS CGIPSVTLHGSVSDWQEIRRRVTTLSRFDHILTAKWQRMLEPVLDQFVAAANNTPDVG FWRRICHAVGGGSGPSYLSGWITLFSVFNDQGEWMGDPRTESVTMWRTSNVQGGAPAI EAHVETIGGDFPVVEMADVAPGYLTVDVTVIDHSVRFTTVLFAGHLAYKVEGKNAIQP TLAWAMALKPRERKNKAAACDQDSQVCVAP H257_14191 MDPDDILDDMSLSSDDEMMEDMINEMKAEVKAPPPPAPRRQPRA TTVVRAAPSQPAMPDLSQMMSQMMPMMSQMFGNAPSSSSGSNRITRAMEDIVADHVPA AEVASWVETIRRDEARQKHVPRSNHSRAYRPTVAATPTAHLDASTLLQELLLPAVRAA KCGPSPRWEKEHLTIHRQLQAQGVGDIYAQDLRANLRQRAVHSSDFTATPTRFPNLTS IVSS H257_14192 MSATFGGGGGIAGFQYKHLQANESIQAFLRSFLHEKHRWNELIE AMLLYGIHCMAQNYSLQTLNVDQVQHITRTLLRKPHHYFVHQQKTNAATTSTTSAFKP PSAWRNGTSSVDDGAVRSGGIDDSSDPLPPPPAPFSHEKQNPPSPVVRDGRRDMDEWT HVLGKPWVDAAWRAYVAATGYPCPSITTSSDSILHSYADLIAATSSIVVTNNHIHIPS SSHLSFLDYLRGFVVHCINSPARPSPTPPSIAAASKPSPQPRHAPPLLSSSSKAVPPQ SKVKHELDRHKQHVLRVRKTNTQRMHEALARSRIAAYDPPVRRAAMPPASTPSLAATG PGAKALEIAHVFATSQFMHDLPPSTENDDPTINDTPAQRMLRTELYGHHDLNPSTLQA TGCVAPPDRRQSNRRNVQPNRIHDFKGWLGDYGPAHTKTVAPPDWTDLEDEGSAWLQD AARRRGYSWDLERIQLEGQSKEGRPFDEGRNLDGHGEASGGEAEEDDPAFEWLVHDSS NADGIASQHLHDEG H257_14192 MLTSLGPVGTLLRKPHHYFVHQQKTNAATTSTTSAFKPPSAWRN GTSSVDDGAVRSGGIDDSSDPLPPPPAPFSHEKQNPPSPVVRDGRRDMDEWTHVLGKP WVDAAWRAYVAATGYPCPSITTSSDSILHSYADLIAATSSIVVTNNHIHIPSSSHLSF LDYLRGFVVHCINSPARPSPTPPSIAAASKPSPQPRHAPPLLSSSSKAVPPQSKVKHE LDRHKQHVLRVRKTNTQRMHEALARSRIAAYDPPVRRAAMPPASTPSLAATGPGAKAL EIAHVFATSQFMHDLPPSTENDDPTINDTPAQRMLRTELYGHHDLNPSTLQATGCVAP PDRRQSNRRNVQPNRIHDFKGWLGDYGPAHTKTVAPPDWTDLEDEGSAWLQDAARRRG YSWDLERIQLEGQSKEGRPFDEGRNLDGHGEASGGEAEEDDPAFEWLVHDSSNADGIA SQHLHDEG H257_14192 MSATFGGGGGIAGFQYKHLQANESIQAFLRSFLHEKHRWNELIE AMLLYGIHCMAQNYSLQTLNVDQVQHITRTLLRKPHHYFVHQQKTNAATTSTTSAFKP PSAWRNGTSSVDDGAVRSGGIDDSSDPLPPPPAPFSHEKQNPPSPVVRDGRRDMDEWT HVLGKPWVDAAWRAYVAATGYPCPSITTSSDSILHSYADLIAATSSIVVTNNHIHIPS SSHLSFLDYLRGFVVHCINSPARPSPTPPSIAAASKPSPQPRHAPPLLSSSSKAVPPQ SKVKHELDRHKQHVLRVRKTNTQRMHEALARSRIAAYDPPVRRAAMPPASTPSLAATG PGAKALEIAHVFATSQFMHDLPPSTENDDPTINDTPAQRMLRTELYGHHDLNPSTLQA TGCVAPPDRRQSNRRNVQPNRIHDFKGWLGDYGPAHTKTVAPPDWTDLEDEGSAWLQD AARRRGYSWDLERYY H257_14193 MSRHQRSRSRSPQARRSRRSRSPDRQRRSRTPPSSSRRESWRRS RSPDSRYGSQRRDDFGRDRGSTTTPSSYAPRRPPNSFGGDDDNAFLAMRVADRKALKY NIWATFPSPPSPEPVVAKKKASAAARPRTPSPAPSSSSSSSEDRRRRKRRRRNKRKAS SSKSSKSKKRQRSPSTSSSSSSSSSESDAKAADSPEPLPPQVQQSTEELDRFRVAVQG SKARQGNDDHDDDVVGPMPLPDTAASAASSVTYGRDLLPGEGAAIAQFVQKNMRIPRR GEVGWSGNEIEQLETAGYVMSGSRHQRMNAIRIRKENQVYSAEEKRALALINMEEKQQ RENNVMAEFRDMLTEKLTKKHGALVVQDRSDINAMPKDA H257_14194 MADVVGSSSTRTEATPPTVPPEKRLSLFRETSIPRKRLMRPNRQ QNPVVTSIVDDIVEKAIEFDDVPCTNSAIQKRVCVPDEDLCINEGWCSVCNGGESTPG DLIVYCDMCGIPVHQSCYGVPVVPKGSWNCQRCDALLVTNQSPSSMVCAICHRYGGAM KPLRRIQRVTTLPEWVHVLCVWWDHDVVVPNMQLMEPLQMIHPPMNNRQRTCMICHRN EGYLIQCHWNQCNAWFHAICARFGDANPIHRHRDQPQRHLGGGFLQVEIEAGHRAAFH GYCPRHAMHEFCLDDVVTKLVASNLIADAKLVREIRKLPSTTPDPDDHLAALGKHLVK YAETSMASLPSLQLLQILVDHLPQIYKTYPSTTIQLHDLLGGGWIDKLHVTFGRHADD PFAYCAVCQEPLTHLDHGFFCSHAQRPHVQHWTCQANPPVQPSASSRKKKARASKASP LWTAEGRALLPPSTQAWPQAVSLLCGVCHLPMDHRAVAVSKSTRFSIQPYVQATTAVY SHQGQFVNDERPPPVVVGSGGTSSGATSSKPTPANVLPSSDRFRVERGLKLVQEVQAL LEKAKSVDTNGGDNADMCAHLSTLIDWIKPLDAYAGDKLSQVLTMLVSKRGPGVAVLK QLVRDYTKLLYAKHVKAVEKAAADLKKREMESAVESKRVARERIESEAERTLKAQLQA AKKRDRARERKRQKMASSTIIPTPPPPSVAAPAKR H257_14195 MPRDTTGRELTDDQRSAVYHRLLQLKSNGRVGHGEMKNLMQSFN ISQQTISRFWRRGCETAASLGVAKVGSQNKGRCGRPRKYKDEDVRSAVTSAPAHLRDN YRTMAKATGVPKTALWRLVKAKKLNRRTSRLRPMLTPQNRVARYNFAKSFICDGYQGC RQWHDVLDCVHIDEKWFYITQVNRRFYLWHDEPTPQRKAQSKRHITKVMFRCAVARPR HYSAHRKMWDGKVGLWPFVETELAKRRSKNRDHGTPVTVPMTVLPLCRTHYE H257_14196 MLDLTVKSCSGDMAEPHAKTAGIPLQYANSGASSAPVNPTEESL AAFKDIKMKRKFRFVFFRIDGLAIVVDNAGAPSATHVELLASLPHADCRYVIYDHDVQ LPDGRRSSKLYFLLWSPPSASPNHKMGYAHGKSAFRAHCDGCLDINASDIVDVQAALG LATDDDDDDDEF H257_14197 MDQLRRHLHAAHGLNVWYATAMGLCGCLGLLLLGFISYWCFKLR QDSRRRRSVVLLSNRNSYESSHLLQRASLDWPSSMTTSSMMTLPLSSSSTSLYLSPIP EELRSMCSEDDEFDDFNRDKEFVQDFCDQSEDYVWLDKPALYMGKNRLKRMYLLGRAT SSLAQLTSRGRLGGGGESKHVLCSLFPTTAAAMQAEDLSALRAFFHYVQEQCPHVLPV LGIHLMPSADKVMVLTPYVPQGSLKDYIYHRCKTAVVQIPYHHKYRRHSTGKPVGHST LARYGRDILVGMLELQHAGLAYPQLQSGNVLLHGDQATLSGYEASFFQPESPQDVSPV TLFGRVFFEMVFGCEWTASRLNPDGTVAYGTLQPPTDHVHDVFGAIFQSTASTGPPPT IESLLSLPLFRKHALQRGNRPTFARRDQMLKTVDGVVGKQLPRDAVARSRSQDGALPI VLQVA H257_14197 MDQLRRHLHAAHGLNVWYATAMGLCGCLGLLLLGFISYWCFKLR QDSRRRRSVVLLSNRNSYESSHLLQRASLDWPSSMTTSSMMTLPLSSSSTSLYLSPIP EELRSMCSEDDEFDDFNRDKEFVQDFCDQSEDYVWLDKPALYMGKNRLKRMYLLGRAT SSLAQLTSRGRLGGGGESKHVLCSLFPTTAAAMQAEDLSALRAFFHYVQEQCPHVLPV LGIHLMPSADKVMVLTPYVPQGSLKDYIYHRCKTAVVQIPYHHKYRRHSTGKPVGHST LARYGRDILVGMLELQHAGLAYPQLQSGNVLLHGDQATLSGYEASFFQPESPQDVSPV TLFGRVFFEMVFGCEWTASRLNPDGTVAYGTLQPPTDHVLLLLCCVF H257_14198 MQEHPDDAAEIRDELKFWNEVMDHQVPMTKERAEATSLKWGPLF TNTSPIMFCPPGLDPLDVRCSFHTAEPSFHLHAARKADEHRPGQPANLVRPDPNPAFS LTQPCPPLNSLVFPIRHWLPAPTVTAWAPPIKPSPTPTDDDANKSIPMCPTTAPKLNP LVARHNRFTNRNTADMTAHAAIQPNPHLFRISHWLPPPNDTAWTPRPNMTSPKPLPTP TDVHTDYSKPLCPTISHLPGARVPVPYSIYTIPNIDRGHGMAKKPGIYNHVVDLMLKP PTAHRNHHSLSTSRSPTPSGLLQLGDITAVSAHNKLRPIHLVGPRRPPCKPIYHIRRQ H257_14199 MDALKACDEFNARAKACYGVWFDRLLAGHFEQADCVQESDDYKQ CILEAIERGKSSSSPTSAPSTPSHPKAP H257_14200 MFRCGVYKTRSDRFLAIATSSSVTSEVHVLDLHQPPAADGFICV APRQEGVLYDVNHWHDSFLISTNRDDARNFKLVSVPVDVVFDKQATAEWTPVFPYDPS VKVDGVSCFDSYFALFGRQHGLTQLWICSYDTDGKTVVKKQLDMPEDMYTLGGSVNME YNSVVHRFTYSSMTTPVQTVEYDTVTHTTTILKETPVPNYDRSLYQCERVDATASDGT IIPISLVYRKDKKKPDGQPQALHLYGYGSYEIPIDPDFRISNLPLLDRGVVYAIAHIR GGGENGRTWYESAKYLTKMTTFTDFIACAEHLVATKVTSPSHMTCEGRSAGGLLMGAV LNLRPDLFTAAVAGVPFVDVMNSMCDATIPLTTGEWAEWGNPNELEYFSYMLQYSPYE NVKAQAYPNLLVTGGLFDPRVAYWEPTKWVAKLRDLKTDNNQVLLKMDLDAGHFSASD RYHYLKEKAVDLSFILDQLKCLEK H257_14201 MPPRRRTDPYKGKAYHIHCRQPRHDDGAEEILLDVNKLAEGHAH CGVRSVEVSPDHKLLAYSVDLMAYETYDIYIKDLATNTITKAVEGCDGRIVWGRDAET LFYVTQDAAHRSHKVWSHLLGAPQSDDTSLFTEDDEMFYADVFKTSSGRFLVIQTLSL VTTEVRVLDLHDPQSSLAVVAPRVQGVKYTVEHWQNELLISTNRDGDVNFKLMSVPLH IVLDKQKAAHNSQWTSVFAYDPTVKVASVICFESFFVLNGRQDGLTQSWICGKDTNNS TWHKTRLPMPEAMYSLGTAKNVEYATTKYRYTYSSLTTPLQTVEYDFISNTTAILKET PVPHYDRSLYHCERVEATASDGTAIPMSVIYRKDKKKAEGQPQALHLYGYGSYEWPTE PNFQATILPLLDRGVVYVIAHIRGGGENGRTW H257_14202 MPEAMYSLGTAKNVEYATTKYRYTYSSLTTPLQTVEYDFISNTT AILKETPVPHYDRSLYHCERVEATASDGTAIPMSVIYRKDKKKAEGQPQALHLYGYGS YEWPTEPNFQATILPLLDRGVVYVIAHIRGGGENGRTWYEAAKYLTKMTTFTDFIACA EHLVATKVTSPSHMTCEGGSAGGLLVGAVLNMRPDLFTAVVAGVPFVDVMNSMSDATI PLTTIEWAEWGNPNELDYFAYMLQYSPYDNVKAQAYPNLLVTGGLFDPRVAYWEPTKW VAKLRDLKTDNNQVLLKMNLDAGHFSASDRYHVLKEKAVRLSFVLDQLKCLEK H257_14203 MSTFGASNWGTADGGHGGGARNRWGRSHERATSMFSVAEATMRR CDDDRGRPYRRSMPDFEVTSIWEMRTTRWTGFPERELWLEARKSMLSWRSVGLHEASC CGPEVPSAERTYV H257_14204 MPEAMYSLGTAKNVEYATTKYRYTYSSLTTPLQTVEYDFISNTT AILKETPVPHYDRSLYHCERVEATASDGTAIPMSVIYRKDKKKAEGQPQALHLYGYGS YEWPTEPNFQATILPLLDRGVVYVIAHIRGGGENGRTWYEAAKYLTKMTTFTDFIACA EHLVATKVTSPSHMTCEGGSAGGLLVGAVLNMRPDLFTAAVAGVPFVDVMNSMCDSTI PLTTMEWAEWGNPNELKYFAYMLQYSPYDNVKAQAYPNLLVTSGLFDPRVAYWEPTKW VAKLRDLKTDNNQVLLKMNLDAGHFSASDRYHYLKEKAVRLSFVLDQLKCLEK H257_14205 MTRDVKSKPAVSLVRQKLFRDTAGMTLHEQSQLASTLETTGNNV LEAIQKMKKATKAQQKRTKHTSVKFEWLHDLAHLRKLEDTATKELDALLIKYLNDATT TCSSGQSRAPAPHHNNDPTTPHSGHTFVDGLDDYGSALQSFVDHMQHHRLDWIKQMHA HRDTRHSMHQLVARVHADKAVQGPTWMARVKPDLQNVMIEAIVGHHVVWEQLATEASA TIQTLTATTVALQPCLRSMGHTNTGNQEMTETDDHKSILDRLGGVECPDVALKASLQV QLDAIHQRHSHELQQLHQTFLAQCGEPNPTVAVSKTGGWSDHDHDHYIKLFKDCDPKG IRNDPFLSRVAVQLTNQNVDAIRHHDTWYRCVRRVATLKQDRLNEHARRIQSFLDEAS AAMAAATAAAVSATTKDEEWAQRMADQALMHAKVERFKGKRDAKADMAAHQAEIARLE ADAIQVAADRKRLKEHELKKKLLQDHRSWQQVDMLAQDEATALKRAIEAEELKERDAV NAERVAFRVEEYEQKCDDAKRRAIQRADDEAERLRVLEAIKQETPYAEKLNQIEMDPE RTRQPTVAFTANVDAIQDGLGVHETGLFPSHGYDTDKLFKDARFKLGLALRDAGLGST DYARKAMSTITVRNTGAYRHTPQASTQLW H257_14206 MDPSIDACFAFVKTARHRTVTREERLDILRLHAWFRSQYTKAAS KQVAHALGRNLVQDVWREYQASQTVTAATPSGNRTTHITKGPRTKLVTQMVQQFVRDR RATRTRTTAVEVMMYLKEICVLDIDVDDKKQFAASYRAVQRFLKGQGYKRGHRKGSST YHLSKANALARDTYVKLMHQHSTAATRPNYIHHHYNSHHQDLYDPSDEHDVQSKEKHK GRRYCFVAGILDSPTMASKVMALDIFTGGKSRGKEPKDYHGMFDHAYYVKWFGRLLDE MHASGVTKALIVLDNAKYHKGLRESTPTSGRRKSIVLDAYHLCGIQTTGKEFKSELWD MLASHIKAHIHPVIVEMAKRCGHCVVYTPPHHSDLQPIETV H257_14207 MARDVKSKPAVSLVRQKLFRATAGMTLHEQSQLASTLETTGNNV LEAIQKMKKATKAQQKHTKHTSVKFEWLHDLAHLRKLEDTATKELDALLIKYLNDATT TCSSGQSCAPAPHHNNDPTTPHSDGLDDYGSALQSFVDHMQHHRLDWIKQMHAHRDTR HSMHQLVARVHADKAVQGPTWMARVKADLQNVMIDAIVGHHVVWEQLATEASATIQTL TATTVTLQPCLRSMGHTNTGNQDMTETDDHKSILDKLGGVECPDVALKASLQVQLDAI HQRHSHELQQLHQTFLAQCGEPNATVAMSKTGGWSDHDHDRYIKLFKDCDPKGIRNDP FLSRVAAQLTNHNVDAIRHHDTWYRCVRRVATLKQDRLNEHARRIQSFLDEASAAMAA ATAAAVSATAKDEEWAQRMADQALMHAKVERFKGKRDAKADMAAHQAEIARLEADAIQ VAADRKRLKEHELKKKLLQDHRSWQRVDMLAQDEATALKRAIEVEELKERDAVNAERV AFRVEEYEQKCDDAKRRAIQRADDEAERLRVLEAIKQETPYAEKLNQIEMDPERTRQP TVAFTANVDAIQDGLGVHETGLFPSHGYDTDKLFKDARFKLGLALRDAGLGSTDYARK AMSTITVRNTGAYRHTPQAPTQLW H257_14207 MSSPSLKLFRATAGMTLHEQSQLASTLETTGNNVLEAIQKMKKA TKAQQKHTKHTSVKFEWLHDLAHLRKLEDTATKELDALLIKYLNDATTTCSSGQSCAP APHHNNDPTTPHSDGLDDYGSALQSFVDHMQHHRLDWIKQMHAHRDTRHSMHQLVARV HADKAVQGPTWMARVKADLQNVMIDAIVGHHVVWEQLATEASATIQTLTATTVTLQPC LRSMGHTNTGNQDMTETDDHKSILDKLGGVECPDVALKASLQVQLDAIHQRHSHELQQ LHQTFLAQCGEPNATVAMSKTGGWSDHDHDRYIKLFKDCDPKGIRNDPFLSRVAAQLT NHNVDAIRHHDTWYRCVRRVATLKQDRLNEHARRIQSFLDEASAAMAAATAAAVSATA KDEEWAQRMADQALMHAKVERFKGKRDAKADMAAHQAEIARLEADAIQVAADRKRLKE HELKKKLLQDHRSWQRVDMLAQDEATALKRAIEVEELKERDAVNAERVAFRVEEYEQK CDDAKRRAIQRADDEAERLRVLEAIKQETPYAEKLNQIEMDPERTRQPTVAFTANVDA IQDGLGVHETGLFPSHGYDTDKLFKDARFKLGLALRDAGLGSTDYARKAMSTITVRNT GAYRHTPQAPTQLW H257_14208 MQGERKGQEKKMKHGREKTLPKKPPLKKRKAMATDIKSKAVQRR SDKQPAGRPVPRTKKTEERSDLCNNENAFYLKLLMVSPTRTRPQLKTRKVPDRTNMRV PNKTRSRKHVVLGDECRVLSYDDDDSVQVATLLPLHQPSPEKSHMKVRSLLASRRCLS FSIATTSTLNNSMDMDISDDSIELSVDALEESCDFGAPAASVHNFDDPFFESLGLIVV PPPERSNTPKASPCHSISSFSSPEGRLSPTAIPSTPPLPLRRLSFDPPKLSVPAASID YIHHVHRQNKILTTSKTKGRPRTSSSTKQNRAHTIESSSTNGPGTAKKHKKKRPSVNS DRRKRQNKSPLLLPRYATSALNKQSVTKHVVLGDPASAATGSRRLSGRSSSRHGVRVN QNALCSLQQLFMI H257_14209 MIDFMLLVSRQGKTRLSKWYSAVPLKDKARIIREVTTLVLGRPQ KQCNFIEWKDQKIVYKRYASLYFVACISKEENELITLEVIHLFVEVLDRYFGNVCELD IIFNFHKAYYILDELLIGGNLQEPSKKEILRVCQQQEDFMEESKEDLFVRPRTTTR H257_14209 MLLVSRQGKTRLSKWYSAVPLKDKARIIREVTTLVLGRPQKQCN FIEWKDQKIVYKRYASLYFVACISKEENELITLEVIHLFVEVLDRYFGNVCELDIIFN FHKAYYILDELLIGGNLQEPSKKEILRVCQQQEDFMEESKEDLFVRPRTTTR H257_14210 MVCPGVSCSAHRGAMDVSMMVAPSSFSRLAGVCGVRGAMVAYDH VPFRPPASTPCAPHPARVANASHGVGKLVLDGLGVG H257_14211 MVKIWCGLAATFLWAAVAGLAGEDSVHARSAVWQPMLPLLSHLH GGMHPSLPSPSTHVPMTKKTTKHSHNRTSMMSLDDKASHVIEFVRKQMQANGFPGMGI SVVYQHKTAIVQGLGTTAVNSSLPVLPSTQFAIGGLSHTLLALAVAKLTESHELEWND PISMYLPWFSLMDEYAASHTTVGDLLSHNSVINMMDGAMAVTFAVHATGRPLVEALRH LHTTHDFRSGYSPTWLNSIILGQVVEAVTNQTWHAYILDAVATPLHMDDTKGRPADAP PSQLTSGHLFCNGTVIGPFSLANSTMMSLGPQGAYVAGSSMVASLNDVTKLSLALLPR HKHDRSKQAVNQTGDSGGLLFQSPKTLAEMTTGQSFNSLMTNVGPWLGLSYSPSGNSL AAGYGFDIVGDVMHGEHFFGVLGVAGYVPSHGLGVVLMSNAEAAVGGLFSAQMVIPLV HSYILGVYLDIPIATLENEFANAIAAIDHRAPVLPCDPHYFENKPWDVPGVVIPDKTK RQLVGTYNSTESVFYGGVAVYIDHEAKDRDLVLQYGEYARRLIATGNDGVYMWALEAR GATFVVQFVDKDARAVQLHFFGKSFVRK H257_14212 MSLGPQGAYVAGSSMVASLNDVTKLSLALLPRHKHDRSKQAVNQ TGDSGGLLFQSPKTLAEMTTGQSFNSLMTNVGPWLGLSYSPSGNSLAAGYGFDIVGDV MHGEHFFGVLGVAGYVPSHGLGVVLMSNAEAAVGGLFSAQMVIPLVHSYILGVYLDIP IATLENEFANAIAAIDHRAPVLPCDPHYFENKPWDVPGVVIPDKTKRQLVGTYNSTES VFYGGVAVYIDHEAKDRDLVLQYGEYARRLIATGNDGVYMWALEARGMTFVVQFVDKD ASAVQLHFFGKSFFRRPPTNLGYAVPALMFFHIPIPEYNQGPVLQVGDVKASFWGHNH LDAFYQEERDSACASAEVLATAG H257_14213 MRVKFFVSAGISAIALLAHPTSAECGVIQSNTDFKGNDLATALA NSAEACCPICDANPSCTGFAFAGGVCYLKYGPLVRIPKGGISAGVVQPSQCAPAEQNV DYDGNDLECIRTIFTPDECCAKCASNSQCQLYVVSQFGCCIKSKPGPRFDNLDPAWNA FAAFRNQPASSSNNGAWTTVAVVVDASTSPDSRVTPISYSYVAGAQWFPTTPLAMTSF LATLNATIARHEHGAMPERVTLTMQDGFNNVMPFTSVTSLGECVALVGSHGEAFFTYM SDSGICLGHQFPGTTKTLLRRGAALASTAAVVSVAKTIPVDFVLSPSVSGSDDRACVA ACQASLTPLVCAAATRSSSTTCMLFGPLVARTPSTIAGWLTSAFVATVKPNLPVFSSP TKVHIYTTAHQDDHELFMSNAYHYSIADAATKVVFVYTTAGDDKDALNTWRIARERGT LAASTAWVDNLGKFNSNPKTETVTILNRKLAKVTVGNVVHYFLRIPELGPDGQSGFMA LVNNQRPIAPMDDPWKPYANRDAFKDVLAAIFTAEASGIKTVTFNAQDPQSEQPDHVM HWASGQLVWDIVNADPKWKTCAPQNYYFDYQHWFDTVNVDKPVVLNLQRYAWLRMSQA IYNTNSSVLFWSMHSVNLGRTYIRRTINTNAGRPMLILRQCANYTVSPIHV H257_14214 MLLGAVRNCPSHYKKGRGYFSGKGVPSAMKMFLATIIACVAMTS AADDGFDWRQSLKEQLERLKEHQLQNQPKDAL H257_14215 MDLAWLDDVLPTPTSYDCPIMGDMLGYCDGIELFDSGDTFLSEL SMNMDTPVNPSLPPAHAIAIPLNPPPFHIAFDAPIPALYSIQSAIEIDLTDEEMRFFR DLHMPPPVPPSAFQSRSALKKVCSVDKCRRLARTKGVCSRHGAQKNACRTAPSSGGLC MNSHTAMVGPVQPYATHQGDRCSAVGCTKGVVSNGSCRKHGGLCRA H257_14216 MHSTGAHVRPKAAAVSNSKANTDGDIRVGQKKKVHWLTHYFEHN ADLHDDDLILFTDAWDVVIQRDLRELTAVFLAQTNHQRGVIFIGEPGCADSFTLPGMY GDQLRHGAFTVQLDSTYPERTIQGDNMCNIIAAKTSMNSLSKGPTWSLGSGGILGDVQ SVRAFLRRVNQVHSEQQQKFDRLESPFFFYGDQIAFQLAYAR H257_14217 MLRTNSLALVIAISTLAVQYVSAASCGVIENNTDFQGNDLANAP ASSAEDCCPICNANPSCTGFAFGWGTCFLKYGPLVRISKVGVSAGAMAPTPSCGVIEN NTDFQGNDLANAPASSAEDCCPICNANPSCTGFAFGWGTCFLKYGPLVRISKVGVSAG AMAPTPSCGVIENNTDFQGNDLANAPASSAEDCCPICNANPSCTGFAFGWGTCFLKYG PLVRISKVGVSAGVAQASTSQKQL H257_14218 MPSDRLSGMAPVTAFTGLSATTPLSAFVNTVTKEVANIDWLNCT RKKHMDELLEAMEQPCIAKWLRQAPRSDAKPSPRPQVSRQDNKLSLHLRGPSKIVRVV TYYVMETQQLVQPNNLSLHHGCRLKMYCKGGHEVTEDLADHIPFGNEGFHVAKLGEVP QENGEYQALVYWLGLDEDQASCEPVRSLYEDIPIGFRCWVHQHEDEEEVKKMVAELQP TDTPFRGEVFCMALQKDL H257_14219 MWLGSRPSTVNETEYGDSLIAPNVVLTAAHCLSGKLRSVVVGTH YLTGFADGELANVTEEIKHPNDIDVGIVILDRNITTIQPVAVSFRGTCSLGGPKSRVL KELNFTTWSNTAASDALFPIPVTDTMLGAGGVKGEDACTGDSGGSLTIEENDTVRLVG VSIWGCGCGRRGKPGVYARVSAVRDFIEPYLPK H257_14220 MNLLLACLREGKLPHGSFQFVAGNFGVTRFTIRLIWLRAQVDLN NDQRICVSVASQKVKCGRKLKHDDISSRLKELSKTSRTTMRAVAAGLGIPTTALHAYY KRGAIVKYSSYVKPALTDANKVARLKWALDHVIGGSSLHFKNLMDTRTLYLAPGEKPP HRQCKSKRFITKVMFLSAVARPRWNNNTGEWFDGKLGTWHFTEMAPVMRSSRNRPAGT MELKTKNVDKTAYRQMLVYNAIPAIRAKLPAGETKCVKIQQDNARPHVSAKDPTVAAA YKADAWDMEIVCQPPNSPDMNVLDLGFFRAIQTLQERHNCLTVQDVVAATEAACNEVS METLDSNFMTLQSCLQEVIKATGDNNYKIPHMGKKKLALAGKLPETIACDPTLLNRDF H257_14221 MTYARPGISRRFSEFRSLHPRQVPTAPFLPPTSGSTFTCTRLRS LQDVLDVINLSPPM H257_14222 MVQMEEPEGGRMKMSGHGVRAFSNLLVMVPRSGAAAKKKRSTLL SLTRRNAEIQSEAAVLRQTDRQADEANRAAAQANDAAHQRRLALANKIVAETQQDEFD RWVPETPTDYTVGEYLQWYTAQPGLSMKSKAHQEASVAAFRAERKMKASVQGLNSDED EGKTIDEKEEEEGGSRSASDDDDTESEVELLVTSVVDSSSSKQLSRLKRPSPLTI H257_14223 MEARAFSTSAMNMTSLCENVGPFDGYALTSLDDLPGISMLDFPC EDPFPLLNSSHVLPNNTRPPPTRKLCAKQGCVKFARCQGMCTQHGGRRYCGIHGCPRV AQFAGECTTHGGTKPCDVPGCLKFMQSTGKCKAHGGGGRCQASDCAKGSISNGFCRGH GGGLRCVVTGCTKWAQRERMCVRHHHDYSTADNWAVQMMEM H257_14224 MANSRFEYVRNFELPDQVLLNTWIVVRVDGRGFHKFTDTHGYAK PNDARGLHLMNRCAKAVMQEFGDIFLAYGQSDEYSLVFTKTTNVYNRRSTKLASTVGS LFTSAFVFHWPEYFKSTPLTYPPSFDARVVSYPSIKNMRDYLSWRHVDCHINNLYNTC FWSLVHTGESTPQDAEALLRHTDAKAKHELLFSQFQVNYNDISPMFKRGSTLFRTPDK SIAIAHVDLIKDETFWITHIPLLTPRQDDH H257_14225 MPSDGKRAESSRSPSRYVLPMVAVGVMGMCFFVVGVVNLRGPTP PSMAFRPHVIPSEAFTPRKVHYLTLADLPRQDICLTASSIFTLSNGELEVLAWNHSTT FFDGTSPTKVEVPPREGRDTFRAGQAKKIDWIAHYIAHHPNLHEDDIVMYTDAWDVTI QSNMSSVGSVLHRLTNGRRGLLFNSEPCCGDSFGLPGPYGDYLRSTSFDVQLSPHEPT QRVPGPHICRQMLIKSSMYSEMGGPNWSLGSGGIVGDVKTFREFMLKVVHITNEQVAR AVANPLVPLYEGDQISFQLAYLRYPEINVIVDTRSEVFMVSSYLTTNGTVEHYDNARG CDPDYFKDGVPSKLVWYGQQHVPMILHFPGGYKKLWASCADPMAEYLKPRSPGKHMWD VDRNIRIPIHSVCDYYA H257_14225 MPSDGKRAESSRSPSRYVLPMVAVGVMGMCFFVVGVVNLRGPTP PSMAFRPHVIPSEAFTPRKVHYLTLADLPRQDICLTASSIFTLSNGELEVLAWNHSTT FFDGTSPTKVEVPPREGRDTFRAGQAKKIDWIAHYIAHHPNLHEDDIVMYTDAWDVTI QSNMSSVGSVLHRLTNGRRGLLFNSEPCCGDSFGLPGPYGDYLRSTSFDVQLSPHEPT QRVPGPHICRQMLIKSSMYSEMGGPNWSLGSGGIVGDVKTFREFMLKVVHITNEQVAR AVANPLVPLYEGDQISFQLAYLRFKRLPRHRLDVCIGTQKST H257_14226 MPVVMPTKYQWPLLALLATGALLGATDVLTYRKMNALMHSTGAH VRPKAAAVSNSLRDASSLSQPSPTNASFSADVLRDDDDDPVELTPPIVVEPPFSAPEA PTGFIPRPLRVLTLADNPRAEICLTASSVYQSGMVLQVLAWDHSTEFFDHSSCGGPCS KANTDGDFRVGQQKKVHWLTHYFEHNADLHDDDLILFTDAWDVVIQRDLRELTAVFLA QTNHQRGVIFNGEPGCGDSFTLPGMYGDQLRHGAFTVQLDSTYPERTIQGDNMCNMIA AKTSMNSLSKGPNWSLGSGGILGDVQSVRAFLRRVNQVHAEQQQKFDRRESPFFFYGD QIAFQLAYVRYPEINVKVDTSGEIFFVTSFFVTPGDLDHFTLHGGCDASYFEAHAPSK LAWHGGIPFILHFPGGYKHLNATCAPKMELAVRAAAPGKYMFDVDRQKNVLVSSVCPT YS H257_14227 MVDGRICGFGRYVSSTGVVEEGEFLDGMLHGEGLREEPNGHVEE GTFAFGVLDGYGTQRNKFHDEYDGSFDMGTKSGRGVLHLHDCHATLRGFWADDLPSGR GDLTYFTKSNALRTRKLSTPAPQPTTSVQVEEPDASDSVEFWYEGSFSQGKVKGRHRH VNVRHQSAPGHVPFTTYGKSITHMSFPIQMAAAMVKRSTRHVINRHRRRVREAAYLAE TETTNLRLYYDLLDEFYEAWANHVQAAGHATQSEAEEEDHRNKKKTPKLSRRLADFPS LVRRIPLETKDKMALALAAVDDSTK H257_14228 MEQPASATYVQGQTAVSVTTASRQEANFLVQRFGCVDGIDESRR WLTLEEAFYLASDRRIHIAGLSTHDAIWTAFTSADDAFASRYVAYSRYRSRGWIVQSG MLYGVTYVLYRMSPDVVHSEYMVYVHDNTSSSSALSWQLLQMLTRLAEDVKKTVLVCE VQLVAKDHSADPAASITIAGRRFHCREMAFRHWPAQFTEGASFAMAESTAIPKRTRS H257_14228 MEQPASATYVQGQTAVSVTTASRQEANFLVQRFGCVDGIDESRR WLTLEEAFYLASDRRIHIAGLSTHDAIWTAFTSADDAFASRYVAYSRYRSRGWIVQSG MLYGVTYVLYRMSPDVVHSEYMVYVHDNTSSSSALSWQLLQVVYASCSVVCSCPIDAN AARRRRQEDGTCVRSSISREGSFRGPCSLHHHRGSSLPL H257_14229 METRAFSTSVMNVTSLCDDVGPFDGYALTSLDDLPGISMLDFPC EDPFPLLNSSHVLPNNTRPPPIRKLCAKQGCVKFARCQGMCTQHGGRRYCGIHGCPRV AQFAGKCTTHGGTKPCNVPGCLKSMQSRGKCKAHGGGVRCQAPDCAKGSISNGFCRGH GGGLRCVVTGCTKWAQRERMCVRHHHDYSTADNWAVQMMQM H257_14230 MKFAPLLAFTVAVAALAQEQIVPAKVACGDKAPDDGFEILGGRE AKFGKYRYVVGLKASPVNETECGGSLIAPNVVLTAAHCLDDHPPYVVVGTHYLTGFAD GELATVTEEIKHPNDIDVGIVILDRNITTIHPVAVSFEFVEADVLTWVRGWGYVTSRY SGPKSQVLKELNVTTWSNTAASDALFPIPVTDTMLGAGGVKGEDACTGDSGGPLTIEE NDTVRLVGVSIWGRGCGRRGKPGVYARVSSVRDFIEPYLPK H257_14231 MKFALLLAFTVAVGALTQDQIVPPKVACGDEVPDDGFEILGGQE APEESSTVMLLGPRSHPMVRPIMGTHYSTGFADGELTTVTQEIKHPNGIDVRIIILDR NITTIQPVVRVLFSVVRADVLTWVRGWGYVRRGGPQSRVLKVLSVTTWSYARASAALF PRRVTDMMLGVGGEKGEDSCGGDLGGPLTIEINGVVRLVGVASWGGECGLLDKPGVHA RVSTARAFIAPYSTCRR H257_14232 MARDALEMPTGVTVSLSVKIGVPLCNSRSYAKQPPTSLMYDTVE DSFASLRCRISDRVQAIVVQYDELQTTKKVKSTLKIEPDFLVLVKPSLHTKQSNFTVV HDGNFIDTVKLAWTNHCMKATRGDFALEVFEYLEKIYRNPQQLHRATTSRRAEMSARI LAADRERQSGPATLEYLSSTLARQVAEPDVVNLPSNATIRQLEHIDDSNADIQCERRQ RAAASMQEYREVRFQINDDIVHIRVNVSDFSTLLGLPGYDLYAPFRAPIPTTVPLDNI SDCDHKVDSD H257_14234 MKFALLLAFTVAVAALTQDQVVPAKVACGDEAPYDGFEILGGKE AKRGEHRYVTGFKKSPDGDTVCGGSLIAPNVVLTAAHCLKGFLPYAVVGTHFLTGYKD GELATVIEEIKHPNPSVDVGIAILNRNITNIEPVKLLFEKVPSGVYTWARGWGQVRHY GPQSSVLMEVILETWSNDKASDAFGTTGKTVTDTMLAAGGVEGEDMCDNDSGGPLTTE VSAGVRLVGVASWGRGCGRHGKPGVYERVSASRDFIEPYLPK H257_14235 MTKAQLLEQVQLLQQQQSHQPPQLQGDNDVAATTTPKKRISTKP STSSIASSMATSLVSVDSLKNKLRKLRSEFVAIQRSLTPTDNDELSTPPKPSYYSEIL VAFANLHGLGDIEFGMERTPSASPVAEDDVEVEIDLEMQRQRQMRKNQIPDLAAGLNN LGEALASRLIEEAKVKNSRSSDVDMSEQMTKLLDLMEETKASIDKTNDVNDKMLQFLQ GKF H257_14236 MPQDEAHYNTIHSHMVVERAFGLWKNKFRVSKTELLQHRPSDMV RLIEVSLVFHNWFIEFNEELDNFEPEFFPEWMHIGGDTVFDEDLNHVDGAPAKRARDM IKLP H257_14237 MKFALLLAFPVTVAALTQDQVVPAKVACGEEAPYDGFEIVGGQE SGKQESKAHQHRYVAGLKASPNGNTECGGSLIAPNVVLTAAHCLDEHLPYVVVGTHYL SGSADGELANVTQKIKHPDGTDVGIIILDRDISITEPVAVHNSPPSPVLKVVNVTAWT NLRASAALSIARAEGENSCFGDSGGPLTIEENGAMRLVGVVSSGVDCVVWPARQYGRK KKPKQHKNTVVAYTTLDAFYDHLTSNAGETARKKVTTHTRRDEEQLLRWVLDMRKDGV PVTHSMLRIMALEAAIDLVLEDHEFLARLHWVDSFKRRRFEVLESFAQRVQTMMRENN IDYCVYNADQTGVNYEYLPTKTLNPTGDKTIWVKWGGKTKERVTAMFLTDSTGEKYPL FLALRSTKSKVNSIVQESLTERQGFGKAVWKSVVPI H257_14238 MNFALLLAFPVTVAALAQGQVVPAKVAWGDEAPDDGFEILGGQE AQFGKHRYVAGLKKSPDGETVCGGSLIAPNVVLTAAHCLKGYLPSVVVGTHYLTGYHD GELATVIKEINHPDPRVDVGIAILDRNITNIEPVKLLFDFVPPGVDTWARGWGFIRIG GPKSIVLKEVILNTWSNDKVSDALKSFGKPVTDTMLAAGGLEGEDTCGTDSGGPLTTE VSAGVRLVGVASWGDGCGLLNKPGVYERVSASHDFIKPYLPK H257_14239 MNFALLLAFPVTVAALAQGQVVPAKVAWGDEAPDDGFEILGGQE AQFGKHRYVAGLKKSPDGETVCGGSLIAPNVVLTAAHCLKGYLPSVVVGTHYLTGYHD GELATVIKEINHPDPRVDVGIAILDRNITNIEPVKLLFDFVPPGVDTWARGWGFIRIG GPKSIVLKEVILNTWSNDKVSDALKSFGKPVTDTMLAAGGLEGEDTCGTDSGGPLTTE VSAGVRLVGVASWGDGCGLLNKPGVYERVSASHDFIKPYLPK H257_14240 MASPTPTACRPPRPIPPYVADLVDSSFAEFKRLSKSIVAKAAAF ERLKTTPPKSLASKGDIQMSKALQAADPAACLEVKTRWADQKAANEAAQHAIILAVCE AELKTLKTAIDQVVPSLGIAIDEYLAIVNPDAAVGGSKKYCNFIAILHDHEYEI H257_14241 MKFALLLAFPVTVAALAQDQVVPAKVAWGDEAPYDGFEIVGGQE AQLGKHRYVAGLKESPNGNSVCGGSLIAPNVVLTAAHCLDEHLRHVVVGTHYLSGSAD GELANVTQKIKHPDGTDVGIIILDHDISITQPVAVSFRYVWGGDKAWVRGWGKVRHNG PPSQVLKEVNVTAWTNLRASAALYPFRVTVTDTMLIAGTEEENSCVGDSGGPLTIEEN GAVRLVGVVRSGVDCGLAGTPGIYERTSDAQDFIKPYLRN H257_14242 MDNRGRQHHRYSNGQRKELLAEFHASDATSERQFCRAKHLPYST WQGWRSKEDKIMANKRHNRCATMGGQGAKEIIPFKDDLLAFMRGRRDEERYVRVFHLM QWVKQYQKDWLVHDIDFATQRVLDEVWLGYAASFWSKYAQYDRSQLLNVDETGVYFDM PRGRHWPKLASQAR H257_14243 MKDHSFSNFHHEVRTPSLFPVTVAALAQDQVVPAKVAWGDEAPD DGFEIVGGQEAQFGKHRYVAGLKESPNVFHNCCTVAVIMGSAFNFAFSFVDLFLAIPL ADTLVCSIVTPSGDDLEEGGDALTEQSHCSTLRIKASMVQMPEAKDAVEAALQDLINE AINNFNLSDPPAVKPLKVTPKDGCVPYRCKGQKHNLLEESFLYLFAKELYDAGVIKRN QQSPWCSPVNPPLKIADQWTDDDVLKNYRLTNDYRVVNSMTKPKAGTMPFQATIFQNL REMKAMGVFDLPKYFW H257_14244 MHKEVLNRNEQHTCKAAKATDTFDVCNVAEGDNVLWSRVDERYY PQLLVTWIDPYCVKSVGEISVVLDHLVTHEEREAHSSHVMMYEEASFEVTEEILEHVR SIAGHKFVPDVSDFMLEVFWEGFEDTESS H257_14245 MRQSSTAASSFGGNLSRSSTSFSRHILRVQLHKATDLAAGDFSL LGRRSSDPYVVFTVGKEKYKSAVISKTLAPVWSDDAVFEFHVTDGDLFTKVLDVQVFD QDNRSDDLLGTLALPLAQFATPLPFSSSASPHAKSYSMQVPAAFAKQKVTSQIFLTIH LMADGDPVDNPRLFIPRQFKVTLHKATDLPAADYALFGKGKSDPYVVFAIGSQRFKSD TISKCLDPVWTSAPTYEFDLTQDDLFTQVLDIQVFDSDHGLSADDLIGTLSIPLAQFD VVDAAPPRMRPYLLNVPDQYHKQNVHAQLFLTLDMAPLPFSVDETIVESVTKGAVPPD LEALMEAMQAEIAALKLSSQGMVQKMEADITPTITVASSSSDAPSQPEPETEGDGKKR ALVNELHGLLKIPPIKTFTKLQVASTSDGAALQWQNEVKHLKTQLEQQKRLNEMLAAQ DSSSRSDKDEVERLKHEVAVHKATLQAVMEEQMLRDEQTQKELKILKSISAVKRTSSG VTSPPSSPRAKSTSDGRKDDDSEDESLWL H257_14246 MECPPITGAHSIPDIKRMAAPTTTATTAVAYESFSKDNLLEILR RYRHDHPSSMDDMDAIARTIHTPSTTSTPIVRGSGEPAKKKHKADKKAHKPFDFSRYR TRHVALKFSYMGEKYAGFARQDHMEETIERYIIDALTTARLVENFSTCGYSRCGRTDA GVSALSQVIGLMLRSNVPADGTLLDGKSIDDIQAGDTFRVQLPDGTIKTLVELDYPTC LNSALPHDIRVYAWAPAPPEWSARFQCQGRTYRYFFHRRTLDLAAMATAARLLEGQHD YRNFCRMDPTVTNFEREILSFRVLHTSQVSSVDPYYDLCYFEVQGRAFLWHQVRCMAA ILFLVGKGHEAPEIVTTLLDIGACPRKPQYEMAPDLPLVLHECAFNTIELRYMPGAVN RVYHDVENQWEAANLRAALLRNQLDALKRLPVHPHRAIVELQRRQTPQTANHMAALLA YDADVPFGEILPRLPAAGKGLKHIPLLDRKCGFSFEEKMHKVKRKAHLKKITAQEAAT AAAHDDDNTTHSSV H257_14246 MECPPITGAHSIPDIKRMAAPTTTATTAVAYESFSKDNLLEILR RYRHDHPSSMDDMDAIARTIHTPSTTSTPIVRGSGEPAKKKHKADKKAHKPFDFSRYR TRHVALKFSYMGEKYAGFARQDHMEETIERYIIDALTTARLVENFSTCGYSRCGRTDA GVSALSQVIGLMLRSNVPADGTLLDGKSIDDIQAGDTFRVQLPDGTIKTLVELDYPTC LNSALPHDIRVYAWAPAPPEWSARFQCQGRTYRYFFHRRTLDLAAMATAARLLEGQHD YRNFCRMDPTVTNFEREILSFRVLHTSQVSSVDPYYDLCYFEVQGRAFLWHQVRCMAA ILFLVGKGHEAPEIVTTLLDIGACPRKPQYEMAPDLPLVLHECAFNTIELRYESYYIY INLDKYIYIYMVGTCLGP H257_14247 MHESPADATWGYYDLHSTPTASPYMSARRHSRHESPSSSFKQHT RGSLCSPLPPPLRTIRRVTCLHQLGGRSPNESLTNLDTSSGSGAPTSSTMMMVPPLPK HSPTIHKWISLPPPPPPPPPPTIHQYTPQVESSVYMRHRPPSAMDGSPTPLLLMAKIG ILRQSHRTVVVSLQHQHLTWHAVDASFRPVHGCVKHDLVLTPGTTSVQAAKKHWAITT KNTCVRFDCPSAGACAAWVRTLFRVLDGHSSASPVDTHASFLGPTSSIMPSTSSSLLA WVTTSTAPIRITTSVITPPRRRPLDDDQHDS H257_14247 MHESPADATWGYYDLHSTPTASPYMSARRHSRHESPSSSFKQHT RGSLCSPLPPPLRTIRRVTCLHQLGGRSPNESLTNLDTSSGSGAPTSSTMMMVPPLPK HSPTIHKWISLPPPPPPPPPPTIHQYTPQVESSVYMRHRPPSAMDGSPTPLLLMAKIG ILRQSHRTVVVSLQHQHLTWHAVDASFRPVHGCVKHDLVLTPGTTSVQAAKKHWAITT SK H257_14248 MSDAEDSPCRVCGKNDQEELLVLCDGCDAPFHTFCHHGCICCQQ KPRNNFGKSFPVPDGDWFCKLCAGHIPELKPKQAPLSSVFAWGDNADGQLGLGTDTEV VAAPTAVPDLAGISVRDIAVGESSTIVLSNEGNVYTSGTGTSGQLGHQDIVHEKLTRF RKVEGLDKRPAAEGRLDRVLSGREFSVLLSQNGHIYTWGNGEAGQLGHQENKLKKTPK KISALREQELPVVLAAAGGDFIVMTSGVAKEDDYFHRALPGVLMTVGANTQGQLGDAS LKNQWVPQLLNAKGPATTSVDGCTEEDPSSCLLGRDIRAVAAGAAHVVAVVTGTAGAW SWGMGEAGQLGHPKPPVPASASKFFRPTFRVLRPRFIQALRDVHVTHVACGSAHTLFL TKELRVFGCGSNSHGQLLGEAPKDADEVAIVEEPKDLEWTPPSGSVRQIGAGEHHSIA LTTDGAVFTWGRNDKGQLGHTSSGDANSARVPTQVPGLPSIETIFTAYNATFAVEFAQ AVALPKVDKAAATKKTKGNAVKAPPAKRSKK H257_14249 MIQRQMARRAAAAARIPRSFISIHHPMTTTMQVSVSSTWATSPV KPPHQYRAYHSDNASSHRRPFHSSSRLDASKRDYYQVLGVKNDASKNDIKKKYYEMAK KFHPDTNKEDPDAAKKFAEATEAWEILGDEEKRQKYDTFGHAGVDPNGMGGGGGDGDF HGFEDIFSSMFGHQGGRGRGGNNRPRPERGADVQVNVNISFMEAVNGTTRDLNVYTDV TCDPCGGSGAKPGTTPKKCKFCNGSGVEILQQGFFAVEQPCRRCRGEGFTIDNPCGTC RGRGKVKKSRTVEVKIPEGVDNGMNLRLANQGEAGSKGGPAGHLYVAVSVAPDPFFKR DKSDVRCEVPISIAQAVLGGSVVVPTLTGQVEMTIPKGTQPGTTLKMRGKGIKELNSS RRGSQLVTLNVHVPDTLSDRQKELMEEFATEEQRLGETGQSSCKSHTFADTVRSTVDR IKQFIKPSTDQ H257_14249 MIQRQMARRAAAAARIPRSFISIHHPMTTTMQVSVSSTWATSPV KPPHQYRAYHSDNASSHRRPFHSSSRLDASKRDYYQVLGVKNDASKNDIKKKYYEMAK KFHPDTNKEDPDAAKKFAEATEAWEILGDEEKRQKYDTFGHAGVDPNGMGGGGGDGDF HGFEDIFSSMFGHQGGRGRGGNNRPRPERGADVQVNVNISFMEAVNGTTRDLNVYTDV TCDPCGGSGAKPGTTPKKCKFCNGSGVEILQQGFFAVEQPCRRCRGEGFTIDNPCGTC RGRGKVKKSRTVEVKIPEGVDNGMNLRLANQGEAGSKGGPAGHLYVAVSVAPDPFFKR DKSDVRCEVPISIAQAVLGGSVVVPTLTGQVEMTVR H257_14250 MTVLTGPVRVLTERVMSRGFEPTVSRMMERVKNTVRRQPGLLSV QTLADVEDHHKYIVLSEWKTRQDYENWEKSDDFKTCTAKVNECLDMPGKKTRIFQTPK DDIFLL H257_14251 MAPSMPRSMQATLDVALTVGAAFTFATALAFMAWCMFVARNAHR IHPEGGIIGKTMPLSPRSCKDVAGDASLSHGHHQPTSVHVKYTRDTLPIARSYVVYTI ELMYPSHSKVVLEKRYSEFDHLAMEVKKHARALHVDVHVSLPPKTLLYNSSESFLEHR RHGLQEFLRYLTRHPVLSHLVCVREFCRLD H257_14252 MSVANMPTTMHAKSVTSLRGDSTIWGTSSPALRPDEYFSSNQYQ AGDSGFPLGMRMLTPYRLPYSSASGNDAFNTGHASLRVVCEHGNGILKGRWSSLSCLP VFIRRPSDVKTVCNWILACCILHNVVNRLRNGEDTVPLFVEEVRPNTECKSDVVDGCL IWRENIKSEL H257_14253 MERGKRASTSRPERLAMLEFLRIPDNFNLLTGQATKGNDMRGGQ KLTRAHGHARLAEYVNEVLRDTVGPWTTQDARSRYNAYVKSYRRAVHWGGPNKSGRGL TDIDFKKNIFTIESKLDAICPLQNEMDALFGERQNFRPSYILETSSDQEEESRHADYS SVDLGSDDEDANHDPHDAADDPDTETYWARNDADDPGRAPADDNDPGRAPADDDDPGH AQAQPSKSRSKAVLPRKFPTAEKRLTPRKDFSAIYMDVQSQATQLEREKFEYMKANDK DARSTAAALNIRQARASLVEKLVASGVVDPETIRELVSIAFEQD H257_14253 MDMRGWRSTSTKSFETLWALGQLRTLDPDIFTIESKLDAICPLQ NEMDALFGERQNFRPSYILETSSDQEEESRHADYSSVDLGSDDEDANHDPHDAADDPD TETYWARNDADDPGRAPADDNDPGRAPADDDDPGHAQAQPSKSRSKAVLPRKFPTAEK RLTPRKDFSAIYMDVQSQATQLEREKFEYMKANDKDARSTAAALNIRQARASLVEKLV ASGVVDPETIRELVSIAFEQD H257_14253 MYSAYVFVDIFTIESKLDAICPLQNEMDALFGERQNFRPSYILE TSSDQEEESRHADYSSVDLGSDDEDANHDPHDAADDPDTETYWARNDADDPGRAPADD NDPGRAPADDDDPGHAQAQPSKSRSKAVLPRKFPTAEKRLTPRKDFSAIYMDVQSQAT QLEREKFEYMKANDKDARSTAAALNIRQARASLVEKLVASGVVDPETIRELVSIAFEQ D H257_14254 MEATPMEPNGMAQSGATMERIKVSAKGKAKSTTTASTKGKAKLK APPRITATVQHLPATAAEGEQFLPDAWSETQRELLQRIQLAYPSDLEDETKDDPDVAP SNIGKCKKGFPQPLQATTTEGVDGYAKYRRDTAADQYCVPHNPYLVHSHINVERPDLL DEAREYVEGRYVTSLEAITRIRCYDLQRMSHAVEALTVHEKDQQHCTYEETHDAESVV ASNQKTKLISFFLACAQGLTGINGVPAKNCLYLDFPQYFPFRKHCFLKDELLPKCIPN VCTDKIGKCKKGFPQPLQATTTEGVDGYAKYRRDTAADQYCVPHNPYLVHSHINVEVC TSIKAVKYLYKYVYKGSDRTCLTRHGNTLKDAT H257_14255 MVQVCSAVCGANRSATSMETLSRQHSCVTRT H257_14257 MKFALLFALTVAVAALAQDQIVPAKVAWGDEVLDDGFEIVGGQE AQFGKHLYVTGVKAASVNETVCGGSLIAPNIVLTAAHCLSYPLVSVVVGTHYLTGFAD GEQATIIQKFKHPKSSVDLGILILDHDISITQPVAVSFDFVEADVLTWVRGWGYVKGV GPQSQVLKEVSLKTWNNTRTSAALLPVPVTDTMLGAGGVEGEDTCTGDSGGPLTTEEN GDVRLVGVASWGIKCGELDIPAGLPLHLLKTSKRVSILSGALWVAEMLQENEDAFEET FRMSRDAYGGLLQRGGLKRTRRTSSQEQLYLFLYFCGHMASSTNMQQRFQHSRETISR HLLSALAALRRLLPFYIQLSRDDAPLHDFIASNPKLFPFFNNCRMALDGTRVPVCVSA AVSAPFHGRKSLTTNALAACDLDLVFTYVLADDLGDTGYALTNKCLTPYRGTRYHLKE WGIGRSKPRKKEELFNLRHAQLRNCIDRDSAS H257_14258 MLQQLKTRHDTIKGTYSVIAKIVNSSGMGWESTTCQVECRSTTS EVFLDGKQRQWGAWRHKRVPQFELCEALFRGTLARGFHDVASTDPPPSNASVDDEGRM DDVALDNDQASEQDDVQSKEDGTGRRSSYGESGKPPNKLQRHTMASQLLQSFRGMGAS PERELELMAQMIKPIPVSPTSSAVKLLQEELGGVLSVEDTMLALDVLENKTHAISMQP ALRETTILRQVQKLRN H257_14259 MLPTVTISPRGLVYHDESVIHHHYCRHRGSLYDPTDDVTKPKHK GRQYCFIAGILDDGSDVSHLLGPDIFVGGKNNGKVVKYYHCMHNHVYFVDWFGKLLDE VEELRWSSAVFVMDNAKYHKGKPQSTPKGTRKKSDLYQACVDNMLTDVAPTDLKSTIW KTLKKHLDEHVPPVAVAMARARAHHVVYAVPVSRSFNLSKWCGQTSREQLDCALNWLG ISE H257_14260 MKFALLAFTVAVVAFDQDQIVPPTVDGFEILGGQEAQFGKHRYV TGLKASPNGTTVCGGSLIAPNVVLTAAHCLRGKLLSAVVGTHYLTGFDDGELAKVAEE IKHPNRHVDVGVALLNRNILNIQPVAVSFEFVEADVLTWVRGWGFVKNDGPQSNVLKE LSLRTWNNTRASAALFSDKLTDEMLGAGGVKGEDSCRSDSGGPMTIEENGTVRLVGVN SWGIECGALDKPGIYARVSAARDFIERFLEN H257_14261 MPPTFTVWDTTPLPFVLTVAPMSRPNHDVDSYPSSGTYGQQLGV GYTQPSTRDTGSGPGADTRVVTQVERILPHLGDHDMVDPSGAEVTLRDLLVVEDPDME DTEGTKVVLLALREADPEETALVPDSPTHPWSPVRFHVVNARKNAANGIATMLPGAAC NAKARDFLVIEGSYYFLRTP H257_14262 MDKTLSNIMELPDQTPDVLDLCLQRKRGRRKEICRQKNPRLQRC ANLAVWRDTSPEFGLLDSERLLRKKLLAAGLVPMQDDDGARVSTPGQKRETDGSPDVS PPTDRPETRSPFRRPILVSAADLALVPTTPLARTGTNGPTLTLARTGTDGRDADAETL ERYSIPVPAPGFTSLVVEGYRATITVATGGIQDIQKAYEEFTWGIKEQQRTASLLFFQ QANEDRASLLGVQESQLVEVAEGFLRATKTHESRGHDEFQKALTYLRDCYTRELDVAW VQVTNEANEVLHREAEKNALSWEDQTRELERQLALKWEQREALLVRDKDPDLLVLEVR RREDHLRHDGLLCSLRKELRTHKRNHQEEILLVREATRDEDISRACGEDPSPWTREQL ERERHANKEVLRQDLTLSHTYEEVERQRAQLQVE H257_14263 MVRLALVAGLIGCFRISEVLGLRWNDIQLVEDNKGRYLSVRLRC HKKANVEEDSLVYHLVDKTTSACLRIPRRLHLQVALSRGGSFYRVFESRERRFNFREL MTWCRWADAKTCCEYLLTQKLAKRVQAFASAAVPAPKVTKQLSMDNFVAQKAIPTARS DREAWLQWFVADSGNGLTCALKDYSKEMIQFDRKKYSERHTLAMAFIKYQSFDQFEAS YTGFTNSYARILKELMALAPPTRKQV H257_14264 MQLLLLKIMWTVVDVADVAATPWKNGGGVTRQLVAWPSAATEWI VRVSIADVEHDGPFSLFEGIRRWFGVLEGVGVRLFESIDVVVGDALYEFDGALAPSCS LLDGRRVRDFNIMLREGPGRKLYVVDAKASPALTVGASTQWVGLFTVDGGLLRQQGPS DVTTVELPKMGLAWLDNVHDLSLYAMVGAGSGVAYWLVLQLP H257_14265 MGCCQSTSSVSTPATTVEASVDSHTPTSSIFRPTPLSPCYDSSD VIPRPRRLSRSPHVPARIQVTMDDPSMTSFYRNLKTTRGEVTTSTSAALSSCEHLLNL PHISSSLKGLKRPAPARSKHRDVMSIFGEKGYILESSHVASDVNTPTSSISSQQPLSP TLPVTSTSSSHIHIPSLSISYGAASIAGRRATNEDRVACYLHEDNACQIGYFAVYDGH GGPNVADFLSTQLHQHVFAHVEAHPSESLDAALSVSMLATDALIYNQAINHGSTAIAM LVDGTTMAFASLGDSQAILSSNDGRDVVDLCRIHRPSDTAERDRIIAAKGSVVDGRIF GVLGVSRAFGDNDLKTSKGAFKDKFNGDIVGGTPDVRLHRMRPDDDFVVLACDGVFDV MAPSELAAFVHTRLNAHGDVQVTCDEVVAHALRLGSTDNLSVVLVRFNQVVG H257_14266 MSTATPCVGPALSTSAAIHLRAYLARGHMHSSSSVSIPALFPRP GVHTTSSALPPPRTPLQQALMTWRHHKLATRVLTWVELQHVQDLVHESATLLPAEKVV ANVVVMHLSQGTKDNLPPPHLDELDLIEVTLNQPLLSFDQFTALRPQLPPAAATYCTA DVFMQLQPHDAHGRIYGLAWLAVVEQASAQVATYALLRNADICDRGYLIESDVTGMVT TWLDTVPWTQSIDPAFIEYYIRIAARLVWLPLVPYRTGKLSIDKAMKSTVVQSLIPLL CREYHNSPQYSRNPFATDNIQRFHRQYVQLDSNKNGMLSPYEVLQYGRKKAFVGIDQR PTHALTRRFVQRVFDELVTFDGEMDYNTFLDLNMYLRDTTSKHALQFFWRILDVHRCG TLNSDAIGYFLEDIAEAVFEATNEPLATADLRSELFDMIQPKLPWVLTFDDVWSSGRG HSFVRILTDHEAYLQYEHANAK H257_14266 MSTATPCVGPALSTSAAIHLRAYLARGHMHSSSSVSIPALFPRP GVHTTSSALPPPRTPLQQALMTWRHHKLATRVLTWVELQHVQDLVHESATLLPAEKVV ANVVVMHLSQGTKDNLPPPHLDELDLIEVTLNQPLLSFDQFTALRPQLPPAAATYCTA DVFMQLQPHDAHGRIYGLAWLAVVEQASAQVATYALLRNADICDRGYLIESDVTGMVT TWLDTVPWTQSIDPAFIEYYIRIAARLVWLPLVPYRTGKLSIDKAMKSTVVQSLIPLL CREYHNSPQYSRNPFATDNIQRFHRQYVQLDSNKNGMLSPYEVLQYGRKKAFVGIDQR PTHALTRRFVQRVFDELVTFDGEMDYNTFLDLNMYLRDTTSKHALQFFWRILDVHRCG TLNSDAIGYFLEDIAEAVFEATNEPLATADLRVRPSQASCCVD H257_14267 MIPHTSLVLGLVAAFVRMADGSGGAAATSFLYRTYQEMVQTMKA LNTSYPDVVDLFVAQDVYGLPYPPELQCEEDAEGVAVPCKQYVLRITNESTLDADRPE VFISGALHGNERVGPQATIELALLLVEYATTFTSSSVTSDTDHVRRSKAWLHRLVNTR AIYMMPMTNAHGYFHNKREEGKIDPNRDYNYKTDGNCMQAMTSRAVNELWRDHLFQLA VTFHGGMRCVTYEWGAPNHMQVGAPQVRSERSPDDTSQVQLGSALSTFAGKFADGSNY PTGTMNDVVYGVYGGMEDWAYAASWENSFGSDSDSIFTPCTPTQYGPYPAHKTTYNNK THRAFNILVETSDLKRPAQTALGLRSSLYDVDLQALADDPVGHVPQNVRLALLLIDMV QPYVVLLPHDDSVVVVNDPLTGCPASSARHVIDCDDQHSCTVTNTTTHVRVRWEVLGS FTVDETFVQVSPARSFQSVLDATLEMQRGWTRRQHDVAPTLFAACVPISSTHASLFIR VVAKVDQNWASQQAPASPAVPPQSHLVNARTNAQYNMDWNGHRIQGTLYFYSPVITVS RLNDVSDISNNSNRSGRSIHTGGEHNNSSMDLSGPVIVASASLVTVGGVLGMLLLALR RRRSRYTRTATSDEEPTSPDKPTHDDDPWEDVTHPNLQSTLRDKS H257_14267 MAASVCRSSSLVPNRMYRCARLVNTRAIYMMPMTNAHGYFHNKR EEGKIDPNRDYNYKTDGNCMQAMTSRAVNELWRDHLFQLAVTFHGGMRCVTYEWGAPN HMQVGAPQVRSERSPDDTSQVQLGSALSTFAGKFADGSNYPTGTMNDVVYGVYGGMED WAYAASWENSFGSDSDSIFTPCTPTQYGPYPAHKTTYNNKTHRAFNILVETSDLKRPA QTALGLRSSLYDVDLQALADDPVGHVPQNVRLALLLIDMVQPYVVLLPHDDSVVVVND PLTGCPASSARHVIDCDDQHSCTVTNTTTHVRVRWEVLGSFTVDETFVQVSPARSFQS VLDATLEMQRGWTRRQHDVAPTLFAACVPISSTHASLFIRVVAKVDQNWASQQAPASP AVPPQSHLVNARTNAQYNMDWNGHRIQGTLYFYSPVITVSRLNDVSDISNNSNRSGRS IHTGGEHNNSSMDLSGPVIVASASLVTVGGVLGMLLLALRRRRSRYTRTATSDEEPTS PDKPTHDDDPWEDVTHPNLQSTLRDKS H257_14267 MIPHTSLVLGLVAAFVRMADGSGGAAATSFLYRTYQEMVQTMKA LNTSYPDVVDLFVAQDVYGLPYPPELQCEEDAEGVAVPCKQYVLRITNESTLDADRPE VFISGALHGNERVGPQATIELALLLVEYATTFTSSSVTSDTDHVRRSKAWLHRLVNTR AIYMMPMTNAHGYFHNKREEGKIDPNRDYNYKTDGNCMQAMTSRAVNELWRDHLFQLA VTFHGGMRCVTYEWGAPNHMQVGAPQVRSERSPDDTSQVQLGSALSTFAGKFADGSNY PTGTMNDVVYGVYGGMEDWAYAASWENSFGSDSDSIFTPCTPTQYGPYPAHKTTYNNK THRAFNILVETSDLKRPAQTALGLRSSLYDVDLQALADDPVGHVPQNVRLALLLIDMV QPYVVLLPHDDSVVVVNDPLTGCPASSARHVIDCDDQHSCTVTNTTTHVRVRWEVLGS FTVDETFVQVSPARSFQSVLDATLEMQRGWTRRQHDVAPTLFAACVPISSTHASLFIR VVAKVDQNWASQQAPASPAVPPQSHLVNARTNAQYNMDWNGHRIQGTLYFYSPVITVS RLNDVSDISNNSNRSGRSIHTGM H257_14267 MIPHTSLVLGLVAAFVRMADGSGGAAATSFLYRTYQEMVQTMKA LNTSYPDVVDLFVAQDVYGLPYPPELQCEEDAEGVAVPCKQYVLRITNESTLDADRPE VFISGALHGNERVGPQATIELALLLVEYATTFTSSSVTSDTDHVRRSKAWLHRLVNTR AIYMMPMTNAHGYFHNKREEGKIDPNRDYNYKTDGNCMQAMTSRAVNELWRDHLFQLA VTFHGGMRCVTYEWGAPNHMQVGAPQVRSERSPDDTSQVQLGSALSTFAGKFADGSNY PTGTMNDVVYGVYGGMEDWAYAASWENSFGSDSDSIFTPCTPTQYGPYPAHKTTYNNK THRAFNILVETSDLKRPAQTALGLRSSLYDVDLQALADDPVGHVPQNVRLALLLIDMV QPYVVLLPHDDSVVVVNDPLTGCPASSARHVIDCDDQHSCTVTNTTTHVRVRWEVLGS FTVDETFVQVSPARSFQSVLDATLEMQRGWTRRQHDVAPTLFAACVPISSTHASLFIR VVAKVDQNWASQQAPASPAVPPQSHLVNARTNAQYNMDWNGHRIQGTLYFYSPVRPLL LFVRLQKFPLARPSKLHIKPS H257_14268 MRVFALAVAFVAAVDAFKPDSNSCVTEEAGLGLGGEYMHHKQAK YVIGEPYAAGSYYRYCFKGKLTCHRDAGIADLPMPKAENCSEVLQKRKLGYFVDPQHT ALWPHQTLCYGIKRDDFSDSENKIIDDGLTYLRTTGLTFLTLDECKAAPNADKLCGGC VDYVHVNKKDPNRCSARVGWAKIGPQPLNLGTPCFVVGKGTVVHEFLHSLGVFHEHTN PSANLIVHDMQRGAQNYLPKAQALYTDYDSHSIMHYPQVRGVCIPLARNDGKRWCGPH ESKSLGCVEPRAKDCDVEASKPFGQRKKMSQGDFATITNMYGCAKTGKNATIEFLRNK LAKKGAIHNAALETGAAKRFDKSLAKVHKARADAKAAKKAAKRAARKKRGKKKAKKGG KKKAK H257_14269 MSTKVAQRLERAQQASLDAATVESTQVCRVGDMYSAVTFYKMDI SFPRTQNKWSISKRYSDFFKLRRALGLMLKQTNRMHDTAWLPLKLVSEATTAAFPRRH LRNDTVAIIQERRIALKWFVHVLVKIMCSFPPDMKLHGTLMALHALLKEFLRFPDEQL HKDAKRTLAILALEDVVVASSSTTEIRTDGSSSDCCSICLGEWNEVEYADMRVVKLPC SHVFHEECVLDWLGVSSECPLCRTDGATPIC H257_14270 MSLSPQQWWRRWCSTSSPELAEVHVDLYLTPQPFHDDSPVVGGG GLGRVRPPHRHAQCRGRVRLEKQLGCVTVVWSLLLPVDHVVTIDRHCTMRKRTCVVCL VIERVRGNAPMLPRPSTVLHSTALYISV H257_14271 MENPLGFQQGIQNSDLIVRDLRFCTALVRTSSGPRGRDCCRRDA KHLRLAYAVTSFDDVASSSAAVQASRVPPSDRGSDFIIMEEAPFETTLPFVQETFGFD DSEPAAVGRAAALQFHPIMMLE H257_14272 MCTYKVCPDPFARGRLGPLHQAIDCLSGAVVAIEDVVFPDADTA FAWLPTLAYDMQLVQRISHPNLLRYVGLTVSDGHRLHVVSEHVAGGTLQRLLTDFGPL HRAIVPQYIHGLLCGLHQLHTHDVPHGDLSSARIFLGQHGRLIIGCYFPTMATMDALH HHRVLQGLATTTRVVSSTSSNTTSSSTNVMAEAMARDFRGVLWLMTEMLVGGRVRGID IDPPRLCQLIADYPLEQSFLAHVLQLVPDLCRMPVDSAYEIVLNHPYLDAQVTWPQLV LQETPQQARATKAALELEHCVGVRAELSIAIDSWLGGFETAHGRPPKKKEWPPDIVEL HTRLSALKVHMQDLHDAATHDKASIFGHNRHLPSQGAVVEAQRSTSGGGDTQRQTRRS TAQESFLLQASTSHHVATSHVS H257_14272 MQLVQRISHPNLLRYVGLTVSDGHRLHVVSEHVAGGTLQRLLTD FGPLHRAIVPQYIHGLLCGLHQLHTHDVPHGDLSSARIFLGQHGRLIIGCYFPTMATM DALHHHRVLQGLATTTRVVSSTSSNTTSSSTNVMAEAMARDFRGVLWLMTEMLVGGRV RGIDIDPPRLCQLIADYPLEQSFLAHVLQLVPDLCRMPVDSAYEIVLNHPYLDAQVTW PQLVLQETPQQARATKAALELEHCVGVRAELSIAIDSWLGGFETAHGRPPKKKEWPPD IVELHTRLSALKVHMQDLHDAATHDKASIFGHNRHLPSQGAVVEAQRSTSGGGDTQRQ TRRSTAQESFLLQASTSHHVATSHVS H257_14273 MPPRYILTVRGERYKNSSGEKPSLRMTAVISRVPGGRIDSGELS TSLPAMTTRFRSIPG H257_14274 MKTIAILALASTAAVFAVGDATASVQGPDRKLSTDAQAQADANL NRKCHTTNDGYIQTLKAGMYTASKFHNCFRTSKQIFEYVNALEPNFAEKRSDFDHRQR QDYLRVQTDQRRVQAQFNLYFVPIANIDGYDISWKNGKRLQRTNANEVDLNRNWPTPF KNSKTILPSAQDYPGTGPFSEPETKGIDEWLKAKNTELAGWVDVHSFGGLILYPYGDK TQRIGNGEDEKFERLGRNIAVATGGDYTGQTAASPPIGALFGAFDDYLYRTYQKPVLT IEVAGPHFVAPASTIRTRGKEIFKALTQFAEEVENFDVNNTDC H257_14275 MVTTRATANAPLLAATVAAGTNSMVQTMDALSPPTTGTGETNSD DMEVEIHDLTSPVAEIRDFGLNSFSATRDAASTDPASPPMVREYATSPGPMVPVGVPN GTSNDATGAKLGSSTTLSHHPAMASRPVTTIASAATALAPMLRDRSASPPSTGPTRVA SAVMDVDDHDSGSPVAANDDSGRLGLSTANTVSPGDAPSDVMSQATLPARVGDAGSSE NVNQRSLPRTRGSAASATTVASPPSTDPWTAFAAKRVEATKASRAKDVGTYRPSMADL EPLLAKHAAGTLSFHDTLPIQKHDKREVVGWLHMATGNHTKAINEDAAMASLLHDNQS LVKGDTLVDVIKCEKDPQNHMLRWGIASETALRQLQGAMLKLRITTTSGKVKSTTFMT FQLTLPHALDGFFMDIPAGLHGLLEERLLFETLHRLEPRFLWGMYTSVSTTTGMAGSR YRLHFLGSEIPSTMLLDGRMVEEFIFRGRCLRVYGQGWFFRDKRLARLDLDAAAAANI TPATPSTPPAPSTTSPPASSAKPAKRQKTTTKDSNEWTDVRRKQPAVSTVPHAVHTHG RPWASPNAFAALHERWTFGHAVHRATHSGVSFETIIPEPTPLASAPVHSTTGEYVTCP KPLKGKISHVEVPLDDLIAELHSLETKSSAAVLNHAPVVEAAVVGSDVSLATLVNSGR VDSICTFMGRHPEDFGIQLHRLFADDRPTFELLIRQRLLHRWLRATWGGSASFDQLYT KSFGHKMTRDSVVELFRALQHSDTLEPIVTATDDGDELSLSRVDLELVVALAEVLVAA HSPLYFSSDAAVVLTTGTATEAIPTHRAVAHHGHHV H257_14276 MLLHAALTPVMSATEIPMLMVGFVSSVVALCNWAGHLHQGADLV GKVEQGIPKDDSRNPSVIADLVGTWSSSASGWMVVVCVGMQR H257_14277 MKFSLLSAIALFAAAATAQTNNAVADIDDRARSLKEDAARVDDS VLNRECHKQNDNYIPSLKAGQYSTSAFHNCFRTSDQIYEFIDTLVIQNPKLLSKFAIS KSYKNATIYGKGHSQSLYFQALQHAREWIAGSSILFSFASILDDIANNKPTAADEYDL YFVPIVNIDSYALTWNGTRFQRKNANEVDLNRNWPTPFKNPKTRTKKHGDYPGLKTFS EPETEGINEWLQSKRSEIQGFLDIHAYGAFVLYAFADTKEPIGGGIDEKFDVLGRGLQ SAMGEAYIQKSAHKFYLAYGVFPDYAFREFKKPALTIEIFGKGFTANVSTIQTRGLEV YKGINQFAKEVTVFNSGDVTPTKPSCGD H257_14279 MAVVALADDDDDANDEALSNLRLFRARMSLKERHYLTSKVLVPP NASPWIVLYGRPPKLPHKHQALAPVLHYCTAAFEHKTMCEIFGVPPSTFATAFRKAQV AFGIALESIDQARVHYPSKRTQMEGTASGQTGPLVSGQHIMEYVGEVIGKDEFFWHFR SMSFSQVPDYYFMQISNYFQLQVLFSKVLRSDVNVNSDKSS H257_14281 MKFSLLSAIALFAAAATAQTNNAVADIDDRARSLKEDAARVDDS VLNRECHKQNDNYIPSLKAGEYSTSAFHNCFRTVDQIYEFTDALVAQNPKLLSKFAIS KSYKNATIYGYKLTKGHSQSLYFQALQHAREWIAGSSILYSLASILDDIANNKPTAAD EYDLYFVPIVNIDSYALTWNGKRFQRKNANEVDLNRNWPTPFENPKPPAKKHEIYPGL KPFSEPETAGINEWLLSKRGEIQGFIDIHAYGALILYAYADTREPLGGGFDEKFDVLG RGLKSVMGAYTSKPAHGFYLAYGVFPDYAFREFKKPALTIEIIGDDFTANVTTIPTRG LEVYKGINQFAKEVTVFNGGDVKPSCGK H257_14282 MKFSLLSAIALFAAAATAQTNNAVADIDDRARSLKEDAARVDDS VLNRECHKQNDNYIPSLKAGEYSTSAFHNCFRTVDQIYEFTDALVAQNPKLLSKFAIS KSYKNATIYGYKLTKGHSQSLYFQALQHAREWIAGSSILYSLASILDDIANNKPTAAD EYDLYFVPIVNIDSYALTWNGKRFQRKNANEVDLNRNWPTPFENPKPPAKKHEIYPGL KPFSEPETAGINEWLLSKRGEIQGFIDIHAYGALILYAYADTREPLGGGFDEKFDVLG RGLKSVMGAYTSKPAHGFYLAYGVFPDYAFREFKKPALTIEIIGDDFTANVTTIPTRG LEVYKGINQFAKEVTVFNGGDVKPSCGK H257_14283 MACSKAQTRDMRIRRKQGISTTLARVAEEIIAQWTLFAWKAMEQ GLAVNQFKARPSWMKGFMKRLGLAIRAKTRSGQANLADGEKALAELKTSTRKVIKDNR IVEVFNADQTGINYEYLPKQTMDK H257_14284 MEENAAHRSYGEALLNFFRGGEFSAVVDITLFVAGVSIQHVLLN ASFTPVMSATEIPMLRLVGHGAVHAIGRVIYTKAADVGAGLVGKVEQGIPEDDSLTGT FNIFMMTLVT H257_14285 MPRHDTQGLSMKSLGANLVNRRAQCGKQHTTSMESANQAITAIQ GPLAVAILSSPATAREWISGSSILFSLASILDDIANKKSTTADEYDLYFVPIVNIDGF EMTWNGTRLQRKSANEVDLNRNWPTPFGTPTVTIEIGGRVFTVDVLTIPIRGLQVYNG IKQYAKAVTVFNGRDVTPTKPSCGD H257_14286 MEEQCKKLRVDPFGDVVSRVVSFMERVNNIIETTGWKSQLKTPN MLKTFIKVVASCITPFDIRDRVEEQMKRVQGSTLVEFSKILAEQLERTYQAELIMKSR GGDRKRGRDWDEKGQRTGKTRVQLKNEQYQREAYYQNGNAPRPKSGYTKPAPVERGRD GPPRAQGATGGPSTNKYGSPATARRTFDDSEQPTKRAKYGPGQDDRGALCFVCQQPGH RARECPNKKEDSARADHLRKGKNAVKRIKYKQLKAELKAKRAVKAKIAEDGCEQRWIR LNGVFEVPYCPDTGADQNVMPSGCWKS H257_14287 MTRSRSTSPKDKRTAPKGRRSERLKPNTVTEQEAAETDEEVPTA ELAMVPTSGTSTMQLVEDETRKNNNHPKGDYIELDNYDDEKTDLVQQQERQQAAKAEG KRAKAAKAYQPDGTPDSSYDDESKDERRKANAERRRRNREAKPKNKKVDYVAEAKAEH ARRLTAAFDSPVWQPAAQQ H257_14288 MDLKAVDIVGCANGEGTRSCTSHEVCGNSLSVDDVVVFRCEVVW GHGDQLQEAIKVYKIVGVEQLCHIGFLPRRLLTCKTKFANKLAVVVEDYRVALSVSKR NRSDRCHGIVKAVLMEHVEEYNRS H257_14289 MKTIAILALASTAAAFAAGDTAASIQADANLNRKCHTTNDGYIP TLKAGTYTASKFHSCFRTSSNLRVQAISTTVQGKIIYAYKLTSGASKPRSLYYQSLLH ALEWIAGSFNLFALSSILDDIANKKYTAADKFNLYFVPIANIDGQAVAAQE H257_14290 MPATWQAQHLNAPPDDDDEVAFGQGRDPEAPSNQQEPALHREIS ATPTMLESDQIQQQNYVRLYILEATPHLSTAPFVMDGAKHLTAILNFYLAGTLVVVTV STKEH H257_14291 MGTLCANQGFDKDSAVKHSFFRTADKGFGLRLDVAVHAGQHKDE FFRRFRNMSHAQVPDYYFMQLLYLQVRKWTPRCTETTRVSSTTPVSRTVRKQLAFHQP HQ H257_14292 MCGVSTIYKWIAERAAIEQHVKHGFGVKVRVVVKSYARKQKVVC ATPSRYALRAPRKPIDEVRRVLEWLAGSPSGSVSLKELVNQFSIVPQFPDKSIQAQVA FVRRMVRAHALHGHVSFKIKRHTGNPTNSGSSPPVDPQEQSRYEMPSLSLAPPMHQAP ARPLAPSDDNDDNLSDIGVDSVDLLDVLLQDYDCMSDSELSEAYAVPKCASEVDRLNN LFHTNGEESFEVVFIKVAQLCQGDPLGVEWSLAEPKTRVVTLQDDAEFEGLDGAKRPK LNGPGTYP H257_14293 MPPPPPDPGQPPPSATYRHNRAPLPSSSSDPDSDPDTTHPPATT PLTIRQLRSKIAADKFLARQDRIRATRAATQPLTHQTRPSRLNNTHSHQTSTPAQYLS ENDKLNYTHSPTDKPSVHDSEQQPTRLGPALDQPMGTMGQATYRATSPTSSVIHLSTK LPHSEVDPDLDLAHPSFTLPPSKRYHTMLYPPTPSYQPGPLNPAQPPQDSTDPPSHEE ELDEYDANSALQFPGQRNLCDEGQLILYRTMTMYCWEREAHLIQGFTPAEIEDIEQHF SETMVRIQFTINPSLQQPDNQLSIVNFRKEIETICEERFGLTFHGGLSEHGQQLLGTP IQRTALAWAAPRRGYIFLRDISVVMLYQYAGVLSNGLSYHQLEYRNRSKLAPADILCA LRALGATDAIIQSYSRMSGAHGPRDHWAAIGCVNWPTEGNHRFRLVFPSQTMAETVYA NYRLHAAGTNRLDQVPPSMKLHPLRDLSWDNPSSTFCHPLSLSATKLVATKVRIGPLP TLTTPADILEALHGSQLPSPDVDIIDDYATITFDSPCPAAFLWNASGPHGTTRLYVRN IAVQLHVLMGRPRTTAVAMQCSDCGRLDHQSKPCDRFTYLDPRDRRSVSQHSTTVSHT PQSWQYPPQQQPLHTSGQTTDLVPYLRRELSTYVDHRIVQATGPLQQEVDTLRADKEA FTALASATSAACTTLDVRLIEERRLRHPPSSNGRTPPIIESSLRTLMQAMQSVSSQLA GLTALGTPATGLQAAYPTQTTLTPSPPAPDDSVPLNQPTGPDDSMGTDPNDTMGFLLW STYSIPMVAATHPDPPLLPWTPQPAHYEHPLLSTYHIDHLRDPPSSDDSNNSEHLDHR IADDLHQTTPNVPPTTTTEPRQRRRRRSWRIQRLPLPTPDMNTARIQLDNVLRRETLE SEAYIGDVGTPPTPDPRVDSLRIATTKINKNTCGKLRAELATWFRANELDVLIIADAD LPAHKATQLWTPSPGGAHTSSLMAISNHRVRVYSMKYNAGTPASTPAPPHTPHQDVV H257_14294 MGGDFNTYGPNPLDRSAPTLRTGANYDIGIAFQQWTQRLGLIST FRHLHPTLQRHTYARNNTAVTLDDIYISARTAHKVGASGIWLHTINSSDHTGTPYMAL DLCPGDYTPSRLTGVRPIRVVNTRTLAKAEIDSFGGHTSQLLLAGQLPQLTPVPPSTA ATTWPPPRNRGLA H257_14295 MDAIIVTRIHSTAKTAVSNWCRQWKPKQSPPSRLCDLKFYRHFV ATSTESDSSVDYFDHNAINADKMVVSEEKSLPATPRAYLCRWLMLRIIACHSWR H257_14296 MTLVAFGRINRVSADRVNKHIAPRASILQRQSKTPVDPRQLQLH AWMATARSPINLELMRVKVSELTPEWDDIRHSTNDATDSDDTVSGSIPNGVDTFDATE TVEQGGGPSGEDTEIDDELSTRDPALDRTYGTSKKAPCRPFRKSVVSEDADLFDNDDS LTDSDFATLLEDSSHPFSCPISPVRSDPRAALRPRDHTNPRDITPAPAPPSPAYPKDT ATRRAATELLAEPAPVHPKDPATRRAATQLSAAPTPATRRGSNEASATRVSTTQRTPP YPSNAGRRSPLQRSSPPKRLGI H257_14297 MVNVQSATIQDHTSPSKKLLQRFRRLVKFALLEVVPPTPTLEFG VAPLNSAYTSESNDPRIRGIVPHLAISVAIAFEDEAARNALWVELQDRGITTVPAQAV ALANSYYYNDRANNVRHESMCRGNPQPANGWRVITTPGHLSCECNFFFKKNSARTCEA YRCPLGALVETLPSEARLLRDSLADAEVEEPLEEMAEETFAVDEVLDHH H257_14299 MDDTPINFDTPIPEGQPENSSSGLLQCHHYSGQQTMHGEQSSSN KTMRAPQDGDWDIQIQNQPAQSPDLNVLDLGFFNSIQALQQALECQTMEELIGAVKEA FSKLSHLKLDKTFKTLQRVMQVIIEAKGDNRYKMPRSC H257_14300 MDVTPPARPPGRPRLKEGPKKPPKKFRNVHVSFKKKQAVIHSFD EMGMAATLLKHFPHLRGPPLDTTRKKVYA H257_14301 MKSQLRKMWIDSIRRQVKNCKSQKSTFKLQAPKRPTLVQWITYA WFGLSEAIITNGFAKCKIVHQDKALDETVETTTDRPVNEDPRNRTADVASARLTDLCR QTHNTTGAA H257_14303 MKAIAILALASSAAAFAAGDIDLNETTAGLLRGPDRKLLTNAQV QSIHNDADINRHCHWANGGYLQTLKRGKYAASKFHNCFRTSAQIFDYVDELVAQNPTL LKREEISTTVRGKPIYAYKLTSGRSKPKSLYFQSLAHAREWIAGSSNLYALSSILDDI ANGKKTAADKFNLYFVPIVNIDGYDISWTNGKRLQRKNANEVDLNRNWLHHGRNFPWP ASGERARNSGDCEVAPREEL H257_14304 MKFPLFSTIALFAAAATDQTNNTITGINGRARTLKEEAAEVDDA ELNRECHQQNGNYIPSLKAGQYSTSAFHNCFRTSDQIYEFTDALAAQNPTLLSKFVIS QTYKGATIYGYKLTKGHSQSLYFQALQHAREWVAGSSILFSFASILDDIANKKPTAAD EYDLYFVPIVNIDGYALTWNGTRFQRKNANEVDLNRNWPSLPNPRPPPKKSNSYPGFN AFSEPETAGINSWLQTKRGEIQGFIDFHAYGGLVLYAYADNNKTIGGGFDEKFEVLGR GLESVMGAYTYGPANSLYLAYGVFPDYAFRAFRKPALTIEIVGTNFTANVSTIPKRGL EVYKGINRFAKEVTVFNGGGVTPIKPSCGN H257_14305 MKTITILALASSAAAFAAGDVDLNDTTAGLLRGPDSKLRTNAQI QAIQDDADVNRKCHTTNGGYIPTLKAGTYTASKFHNCFRTSAQIFEYVDALVAQNPTL LTREAIASTVRGKTIYAYKLTSGASKPQSLYYQSLLHAREWIAGSSNLFTLSSILDDI ANKKPTAADTFNLYFVPIANIDGYDISWNGNRYQRKNANQVDLNRNWPSFYKNPNPPS PSAEDYPGTSPFSEPETKGIGAWLKAKNSELAGWVDVHSVAGLILYPYGDTTQPIGNG QDEKFQRLGRNVAAAAGRNYKGQTSAALYPAYGCFDDYHYRTYKKPILTIEVAGSDFV APASTIRTRGTEIFKALTQFVKEVVVFNGSPRLRGEENAVAIPYLVNQQESDVVERDA DESSVVSDVIVD H257_14306 MLITCNIQLLRTLSWRPGLLKSLPLYPHHQRQQLDVLLNNTTLS YRSDAKDRKNDRVVALPAVEQHLLEWVLREHVSAMQLNSQDAP H257_14307 MLVGGGGAGQTRQVPQASRHDALAARAASHVAVLGEKDVRIGRH ERGRRMQLVVVIFAVLDDLARLVVGDGTSMRVVQRWLLGRRKLPHFHGKHHAKEHAPE PNRRPEVKLGCVGPVMISWLHHLRSGYRFSDRWECRGRIGPD H257_14308 MHTVCTYSHHKPLNQVGQRHIYACISFSFSLCPGHMRIPLVVAL LASVVAAALNDAQVDNSRVNAAVVDDDVFQVDNSRVNATTEATVRGIDGRLRTVDQEA TIQDDADTNRACHNQNSGYLATLQKGKYAASTFHNCFRTTDQIYEFLDKIVTQNSNVL TKFAVSRTFKGATIYGYKLSKSATATKSLYFQSLQHAREWIAGSSHLFTIASLLDDVA NNKPSPADTYNLIFVPIVNIDGYALTWNGNRYQRKNANQVDLNRNWPSLPNPRPPPPS DETYPGPRPFSEPESKGIDGWLKARRTELDGFVDIHSYGGLLLYPYGDTKSPIGSGYD AKFKTLGANLQRVMGAYKPQPVWNLYLAYGGFSDYAFREFKKPSFTIEVVGNDFAAPA STIRTRGKEVYNGVVQFSKEAVTFNRAAVAADGADIVDPAN H257_14309 MGKVLPSRTYQYTPEWSDEPPATDKGVLWACACEILANNHCIGT AIDAAKKKFEFAAECATSEDPNNVKQLGCKGVTVATSSEFVYTSKANDFTLVKLNAGA AALSPYSYLQARASGAVLGEQIYIPQHPAARASRRILVCGRRSRSGTPSTRTGSPVLS AKDNVVVALPKCGGCLNGGLKYTPY H257_14310 MKVSFVALFAVLATSAVTASLTLTNEEINDGKIRTPEQLQAIQD DGDVNRKCHESNGGYLPLLKPGNYQASKFHGCFRTTSQIYEYLDVLVQQNAKIFTKFQ IAESVRKQPIYGYKLSPKEQTHSLYFESLIHAREWTTGASTLWAFSRYLDDIANGKPG PTDLYNLYYVPVVNVDGYDISWTPGKRYQRKNANQVDLNRNFISKFVNPKPPKPVDQN YPGPFPFSEPEAKGIDIFGKSKQGEILGFVDVHSYAGLIMYPYGDTHDPIGGGEDEKF AVLGNAMAVVMNNVTGKTLYVAEPSGKLYLAYGAFDDYHYRTYGKPTLTIEMTAKDFV VPFSEIPQRGDEIYYGLWQFAKQVAVFNGTAPTTATPTTAIPTTAIPTTTPALTTAPT PTTTKPQC H257_14314 MGKVKAAVAPIKGPSFVQSATSVSPIAPASAGPEAPKNGCQIVD VKQVVVDPSDKATAIHVWALGVISVIGGQFYGWNESFKFGFLPYFITQLLMGVAYIIY VSCISEVGGKVPGGSYGLARAVLGFYPGYILSCLEVLEYTSYASVAVLYVTDFVTTHL KMNSQYQPLVWLLFYAICISFVESRGKYMWRCMLVLLAASLLPGILFIFGSLPYVDFK KNAVLHNFYNDDTTWATGTISTAFFGILPSTTVGFAGVESLTVVTGFVKDPAVAVPKG TVAAVWTLFVSNVAMVLVLASLPPGLEIIATDEFCLNRGLYLGMGFTSRVAEWIMIPA QIGTAVGFSIPYARLTQAMADSNLLPAWLGLRGQQTTRRAMIVASAFGYCLCFVSFYS PMFKMTLQNISIVAGMMSYMGQTMGFVMLRTSYNIETKGYKSPYGLVGAYYVFLVFFC VIVSIAGGFQMDKGIAIVSTIGFILILTAYYVLVCQKVQTVSKEEYQSIFKFSVMKFN KSRSKKSKKRTSNTSQTSRASLVTTARLLFPKKSKVGSSIMSGK H257_14315 MGILTLSRTSITPTVSIKSFTTRPATSLGPTAPADGCKVVEINH ALVDPTDKATTIHVWALGIVAVIGGQFYGWNVSFGAGFLPFFLSFVMMGAAYIIYVAC VSEVGGKVPGGSYGLARAVLGYYPGFLLSSLELLEYTSFASVSVLYVTEFATTFFNWN EDYQPILWLLFYAVFIFILESRGKYVWWFMLVFVVLCLAPTVLFVCGSLSYVNFQANA ILVDDATNETTWATGDISSAFFGILPSTTVGFAGVESLTVVTGFVKDPAVAVPKGTVA AVWTLFVSNIALILVLASLPPGLATTSTDEYFLDRGLSLGLGMSSGLSEWLMMPAQMG MAFGFFIPYARLTQAMADSNLLPSCLRLKGQPNTGRAMIVASGFGYLICLVSFYSPNP YGLVGAYYVWVVFLCLFVSIAGGFQGDSCIAVASTFGFVVVLTLYYVLGCQKSQTVSK EEYTSIFKFSVMKFNKNRSKKTKRRSSGTSQVSRTSMIHAAKIVIAHRSKFESSTKSG GR H257_14316 MDSQAIQFGMMNSMRTNNVVVDTLICLLIPVFFKFLYESTAHFG WLWAYLCSRHTKSTHEVIRRIEIKQHFNSWGKVRDHDQHNHILQKAISIYLSEHLDMT SQSGRYELLENVKPTADAATDDEASQPHSHDDSSERDQLQTLGIGALPPLNAWVKIEE GVEFMHEIKSGQEKIQDNNEGGGNAVTESTVTFLLRSSLADGTTRIDDFVHRAYKSYQ AAVMAKHQKDKSRYMYVASSSVSAYGAAAVQKYKRYALGEDKTFDSLFFEDKAPLLAL LGNFLHKSGKFGIPGFPYKLGLLLHGPPGTGKTSLIKAIAQHTKRHIVNISLSKIKTN QELMDMMFDLKFGLAGEDLPIKLGFNNIVFVMEDVDCASNVVLARSSDPASPEKDDLD LMDLVSTSKVEGNNKAIGPVVNTSKWGGSKDTLNLSGLLNVLDGVVDSPGRILIMTTN HPEKLDPALVRPGRVNKKLMLGHISSRQTQLMVEHFFATTLDPKQRKAIDDVFANTTN NVTPAQVEQLCAEYDQVVEMLDALAKLGD H257_14317 MTMLPPGHALSAYRVVDEVQEVPEASLARLPRDQLHREAAKTSA TLRDNERARRNKKPNVKQFFFNLGDYVLVGQVSEAFAKKLQVQWLGPRQIVTIVRDWV YRIEDLRNGAITTHHASRLKFFAARDLLVTQALTDHVAYVEGGHLVEFFLDCRFDRPT HSWMLRVKWLGIDFLEASWEPAADMATDVPVIVAAYLRKVSRGNGNVAKLKTALAISH PDVV H257_14318 MRPKLPRSMSRMHDVFNVDRLKHYQPKSQVCLPAYPENYFCCST RIHLLFYTNPPGEEMYIIEKLLKKCQFNRKLEYLIKWHGQRESEATWGLMKDFNHVVD FKQLVQDLKSRRFKV H257_14319 MRLPRFQGYKFDRALPAEMHETWAWDYFSGQMRSKQGGDAQQSL PLRAIPFQRLRTTGLGGETESNLQGEYVADLGQNKVERLSSGQPVDNTDVLGRPSAGV HSTKKH H257_14320 MDTQPLSHSQPAEQTAQMGNDTDQQTAQTGQDPDQQMASMGQTM DQSMSSTSSITHPPWAPSAKHPHSDVEPDLNLAAHLSNYSTVPPPKRHHAMLYPPTPS YHPDPYEPAQPTQGGAGPPTQEEDLDEYDANTALQFPGLRNLCDDGQPILYRIMTMYW KREAHLFQGFTPEDFADIERHFAETIVRIQFSINPSLQRPDNLLSIVN H257_14321 MALPPPDPGRPPPPPPTSSMPEPTPMVTVVITHNRIATAILVPA TGTISTPLPFSSSDPDSDSNTALNTVATPLTARHLRSKLAANKLLARHYRTRAKRVIT WPHEQHPRSPLPTDADSSPLSLRG H257_14322 MKVAFGLSALFTSVVADLKIVGGKEAAVGQHLYVTGLRSSASGM TACGGSLIAPNVVLTAAHCIGQGDDYVAIGSHYNSGTKDGEQIKVKKEIKHPKYKAKI YSYDFAVLILERDSKFPAVEVSFDTVAADTPTVVRGWGTTSSNGSPSKVLLEVGVDTV NQEQCNKWMSGENNIDASMLCSGGKGGEDSCQGDSGGPLTMETNGSAKLVGVVSWGVE CAVKNKPGVYSRISMARDFIEPYLKKSPTSAPGTTTAPGPTKPTTMPNATTMRPTTAP PKPGCTTCDVCYYAGADYCLDDFSKEDCEHYIPEHGTLWCGN H257_14323 MRAKEDLTDNDRTAILQQLLARVQTSPSPLVLNEARFAESGTEL QSTCPTSFARASLSAEGKKFVLAGTSSRNLKLDSVAAHLKLVPKARRTTFRSIATAMS KPQSALHDYYRRGIFVKYSSTIKPALTDSNKAVQLNMMDCVHVDEKWFFATRVHKSYY LAPDEAPPHRTVKSKTFITKVMFLSAVA H257_14324 MVMKPVSVTREVYMTMLLDNVIPAIKAKWPQGETKGVIIQQDNA KPHVPPSDPRIVAACTGGGCSVFQFGVTILDRGSNSK H257_14325 MTACGGSLIAPNVVLTAAHCIGQGDDYVAIGSHYNNGTKDGEQI KVKQAIKHPKNNAKTNAYDIGVLILECDSKFPVVEVSFDTVAADTPTVVRGWGTTGSE GSMSKVLLEVGLDTVNQEQCTKWMANGSDVAVDASMLCSGGKEGEDACQGDSGGPLTV ETSGSVKLVGVVSWGIGCAEQNKPGVYSRISMARDFIEPYLKKSPTSAPGTTTVPGPT KPTTMPNATTMRPTTASPKPGCATCGVCYYPGADYCLDDFCKDDCEYYSHKHGTLWCG N H257_14326 MSKVLLEVGLDTVNQEQCTKWMANGSDVAVDASMLCSGGKEGED ACQGDSGGPLTVETSGSVKLVGVVSWGIGCAEQNKPGVYSRISMARDFIEPYLKKSPT SAPGTTTVPGPTKPTTMPNATTMRPTTASPKPGCATCGVCYYPGADYCLDDFCKDDCE YYSHKHGTLWCGN H257_14327 MSATTRHDTSLQDIRGMWVPKSTIAGYRSGLNQIKKWILAHGTP DMLTSIGSIDLTVFTYDHFLLFIQWAFQNTSNKPGTLARYRLGIHAAAQSLVAMEVMM NQFERVCYETGLVMPTHPTEDDVSQHFEPVALYI H257_14328 MRTAMTAPVQCPLRDNAGELPVTNRPMLEKTFFSVQNPQQSKNY SRRVEAFDLWNKSLPGLLDAYLGWSDGYLEAGDYCNSFKTTEAVYSCITCQSNGDSFK FCASCDDGFHGQSIHRACHKRVDNGDACCTGKFNATCCDKHASATKTTVWCHTVVGSV LASIKLSDSCVTYHGSMTQFLARVYGFLGATPLMDSKNGQRHAFSFQMLDSGLRLRDK GLSFQAISSYFFDGDVFGCANEDTIRRKQVYKPMMDTIRAYSTMKWLQYHGRVIPSIM QLHGAGKTECPCLGNPGRHIGPLIMSVDGFASASRIGSSFGGGGNHGTPIISSEVFLQ PGPDHVKARREHGSLPLSMCGHHHTASMEIEGKDPRKDQIGFVASHCRHQCILACIVY ASTCCTWKFATTSLVLVHVLARFLFPADANHV H257_14329 MKVAFALSALFTSVAAHLRIVGGKEAAVGEHLYVTGHRLNASGI TFCAGSLIAPNVVLTAAHCMGDGLEYVAIGSHYNSGTEDGEQIKIKEEIKHPKNNAET NEYDVGVYILERDSKFPAVEVSFDTVEDDTPTVVRGWGTTASEGAPSKVLLEVGVDVV NQEQCAEWLKDGSDFVVKASMLCAGGKEGESPCQGDSGGPMTVESNGSAKLVGVVSWG VECAVKNKPGVYSRISTARDFIEPYLKNSHTSPPGPTKPTTMPNATTKRPTTASPGPA KPTTMPNATTMRPTTAARKLGCARCGVCYFPGDDNCLIDFSKEDCEYYSPQHGMLWCG N H257_14330 MQAPERTASMVPHADHISPGDSTIGDQVVLLRYGSKTRYQYERT LMRHKAWLLREHPGCMTNGEVYLPLDPIACKGFLAYECVKRGPSGAEVEPQQFKSYST ANACKSAIKLMHKESNVRVSDELETLLAGTICTLHAGTSVRLLNSRILDGIIDEALGV DENASAVDNEQAAVNGFSGDPDEGASEHECAYVERSVLFIQTASDMAPVNEKAVLESI KSNIHLRTLRADPAVPDFNVHTSNKISNYFEAGIDARMFPHLFPFGRGYTNERGRRVP VSKLQCCRLYCSLSSRRFAQDRYFVMVSFDRFGLDRGFINSNFSTKVRPSMHTPVAKI SHDDMRKGLENQDSRRFGRTPKNKFVNKAVGALLRSVECSSSFVWGSNAERRMHRREA FATAYRFGQPSLFVTITPNVDGTITLAYLAGGIQVKSLFDVQYLKHMPDKATMQQLAM NDNMASATLFDRSIEAFTKVVVGFDKTTGRPRKSGGLFGHVKAYFGMVETQGRGTLHL HLLAWVYGAPRSTSEFEDRLQADLNYEAMVLKYSEGIVSNSLPIDLLQTPCKACEHVD TKYEALDPPLTAFEKPRRSEGVLPKELIMANCGHCNTMVSSQHLVRQALLHSRPKVWP VELPKLSQSAIDKYLDEETSLLKSTAGKKNDPERAHDHMRSSFQAYLEGTVHMESNVD YQELLADVCKGSAEKKGKMSTEEPTMTVVRQSRRLAGDAPEFGLLDSERLLRK H257_14331 MSTPPAPVPPAGSSSPAPDASHSFLVPPGSSSAAATGPSTALAS SPPGLSSASAPAVESLCASLTRSASECALLSASLDSARAAVQSTLVTLRAVHEFVSAK EAAEKLLARVQADLVERETDLVVERNSRVAAEAYVAALRDQLDSETRRAAETIGQLRG ELSTRRRPPFGHSSRL H257_14332 MWKLHILIPRFKLFRMRQTQINLKDANQFDDEAVVESADLDAHV WFYDPLLQRIRNKANGRSGLGWVERKVKGLVQGRVCDHTASQSWSYNDFKGQIQHLGT IGLCLNVD H257_14333 MKVAFALSALFTSVDANLKIVGGKEAAVGQHLYVTGIRSSASGI DSCGGSLIAPNVVLTAAHCMGKRLEYVAIGSHYNNGTKDGEQIKVKQEIKHPKNNAKT NAYDFGVMILERESKFPAVEVSFDTVEADTPTVVRGWGTTSTGGSLSKVLLEVGVDTV NQEQCTKWLSGHSVDASMLCSGGKEGEDACQGDSGGPLTVETNGSAKLVGVVSWGLEC AEKNKPGVYARISMAREFIEPYLKNPPTSVPGPTNPTTMPNITTKKPTTASPCTTSAP CQTKPTTSAPCPTKPTTSAPCPTKPTTMPNMTTMRPKTANPKPGCATCSFCYYPGADY CLDDFCRDDCEYYSLEHGTLWCGN H257_14334 MVVDISDVNIARWFDGLLNRKVGNQMNKSRHLRKPFKITVEGKS VQAAVIHDAIVDLEGPRSLKLAFTRQLLHTWRWISTSQFSRTADFNGRIHATSAGFPL H257_14335 MVQFVSWSCFTTGIILQADFLLSIVVVEVELFVGVMGVAGVLCV ASQVGMIVSLFVYQPTTTTTTTTTHRWHFLALYHMEGLFPTLMINLPPLAFTPYALPW MFVPSFTWTDVGIYFVVHKTSSGATSPPLYVALPLVFSTLPLVSVVVHAYVDHPAAAA TVGFAIPWYVYTYKTMNGMPAQTGSRQDKSLQKAYSAVIEATARYFSLHLVRTEPLSP SHTYIFGFHPHGIIPMTVMWLQFTDQWRALFPNVFACPLSASVVHYFPGIRDVIQSIF AVPGGQAELVASQSRQRQVHVFTGHKGLVRMALEHGESLVPVLSFKDYVRWPVAHRTR SCRWWLRTPFRDWSGLDPPPTRNVSKICI H257_14336 MTAEATKDPRSPWEGDGIEMRPLLHKSKSLGCTGRTSSSRSLRD HLRRSSEGSESSIESPGGHDEDVSRQRLLEKARNALLFSVFLVVLGVGVLVTAWALCT ATDQFMAAGAAVSCLFSFAILVSYMECARLRKYPNQLIVHKSAIDLVLSVVNMLQFAC ASSPIVPSQTTSFALGLLFAGEFWFCAMSIDLIQSITNPFSSFSYNLHLYRVCSVLFG VVGSLTLSTSSTALLASLPVVHHQMQLPWMIYYSSVACSMLVSIGCFLYVQRRLATGL EETFDTRKQVLVHGLLASVTYISWSVVVLAVVAAAVLVPSIGSPSPSFHESIVHLHAF MHAARGLVNLFVWGLTNGRDLSAVLCFTPHISDELDNPDELLKPQLNLALRRQLIQMA TKGIVDAVEHYLLLHQSIRTNQSFALDWDPKQRSTSVSGVDFGLRRRQRMDEMHFEDF QPRIFATVRALSDIDDHEYLRSFRATANERLSEGRSGAFVFSTSNRRYLAKSMTKAEK TFLISIMPAYVQYLKWNPSTLLPRFYGVHAMKLYGKMFYVVVMANIFATTEAIHRRYD IKGSWVDRNAPVCVIRDLVRCANCNKQFTFGVNDHDIPCHSTVGEHYPDITLRDNDLK KRIKLPRGTSHALLKQIARDSDFLCGLGVMDYSLLIGMHYSQFKITSGHPPEDETNVF TRSGSPKLTHRTRLESSSATREEDDWSGENTFGEVTHRYSAHFVSGPSAYYIGIIDVL QQWTLTKQVERLYKVHVLQKDGRGISAINPNQYAKRFQMKMCQLLYQPDDEDD H257_14336 MTAEATKDPRSPWEGDGIEMRPLLHKSKSLGCTGRTSSSRSLRD HLRRSSEGSESSIESPGGHDEDVSRQRLLEKARNALLFSVFLVVLGVGVLVTAWALCT ATDQFMAAGAAVSCLFSFAILVSYMECARLRKYPNQLIVHKSAIDLVLSVVNMLQFAC ASSPIVPSQTTSFALGLLFAGEFWFCAMSIDLIQSITNPFSSFSYNLHLYRVCSVLFG VVGSLTLSTSSTALLASLPVVHHQMQLPWMIYYSSVACSMLVSIGCFLYVQRRLATGL EETFDTRKQVLVHGLLASVTYISWSVVVLAVVAAAVLVPSIGSPSPSFHESIVHLHAF MHAARGLVNLFVWGLTNGRDLSAVLCFTPHISDELDNPDELLKPQLNLALRRQLIQMA TKGIVDAVEHYLLLHQSIRTNQSFALDWDPKQRSTSVSGVDFGLRRRQRMDEMHFEDF QPRIFATVRALSDIDDHEYLRSFRATANERLSEGRSGAFVFSTSNRRYLAKSMTKAEK TFLISIMPAYVQYLKWNPSTLLPRFYGVHAMKLYGKMFYVVVMANIFATTEAIHRRYD IKGSWVDRNAPVCVIRDLVRCANCNKQFTFGVNDHDIPCHSTVGEHYPDITLRDNDLK KRIKLPRGTSHALLKQIARDSDFLCGLGVMDYSLLIGMHYSQFKITSGHPPEDETNVF TRSGSPKLTHRTRLESSSATREEDDWSGENTFGEVTHRYSAHFVSGPSAYYIGIIDVL QQWTLTKQVERLYKVHVLQKDGRGISAINPNQYAKRFQMKMCQLLYQPDDEDD H257_14336 MSIDLIQSITNPFSSFSYNLHLYRVCSVLFGVVGSLTLSTSSTA LLASLPVVHHQMQLPWMIYYSSVACSMLVSIGCFLYVQRRLATGLEETFDTRKQVLVH GLLASVTYISWSVVVLAVVAAAVLVPSIGSPSPSFHESIVHLHAFMHAARGLVNLFVW GLTNGRDLSAVLCFTPHISDELDNPDELLKPQLNLALRRQLIQMATKGIVDAVEHYLL LHQSIRTNQSFALDWDPKQRSTSVSGVDFGLRRRQRMDEMHFEDFQPRIFATVRALSD IDDHEYLRSFRATANERLSEGRSGAFVFSTSNRRYLAKSMTKAEKTFLISIMPAYVQY LKWNPSTLLPRFYGVHAMKLYGKMFYVVVMANIFATTEAIHRRYDIKGSWVDRNAPVC VIRDLVRCANCNKQFTFGVNDHDIPCHSTVGEHYPDITLRDNDLKKRIKLPRGTSHAL LKQIARDSDFLCGLGVMDYSLLIGMHYSQFKITSGHPPEDETNVFTRSGSPKLTHRTR LESSSATREEDDWSGENTFGEVTHRYSAHFVSGPSAYYIGIIDVLQQWTLTKQVERLY KVHVLQKDGRGISAINPNQYAKRFQMKMCQLLYQPDDEDD H257_14336 MSIDLIQSITNPFSSFSYNLHLYRVCSVLFGVVGSLTLSTSSTA LLASLPVVHHQMQLPWMIYYSSVACSMLVSIGCFLYVQRRLATGLEETFDTRKQVLVH GLLASVTYISWSVVVLAVVAAAVLVPSIGSPSPSFHESIVHLHAFMHAARGLVNLFVW GLTNGRDLSAVLCFTPHISDELDNPDELLKPQLNLALRRQLIQMATKGIVDAVEHYLL LHQSIRTNQSFALDWDPKQRSTSVSGVDFGLRRRQRMDEMHFEDFQPRIFATVRALSD IDDHEYLRSFRATANERLSEGRSGAFVFSTSNRRYLAKSMTKAEKTFLISIMPAYVQY LKWNPSTLLPRFYGVHAMKLYGKMFYVVVMANIFATTEAIHRRYDIKGSWVDRNAPVC VIRDLVRCANCNKQFTFGVNDHDIPCHSTVGEHYPDITLRDNDLKKRIKLPRGTSHAL LKQIARDSDFLCGLGVMDYSLLIGMHYSQFKITSGHPPEDETNVFTRSGSPKLTHRTR LESSSATREEDDWSGENTFGEVTHRYSAHFVSGPSAYYIGIIDVLQQWTLTKQVERLY KVHVLQKDGRGISAINPNQYAKRFQMKMCQLLYQPDDEDD H257_14337 MLPRLEMPSPARAKGGGFGKDRHRRHRTTRLDYRMEQAGLLASE GIPNPEDDSTHHHSLIPREFYVVERSILPKGPSNQYASTFNGNMWETVVFPSLEPHTR AEVLHLKDALAKMEASLKQRASSHSDDDDEELTDPSVTYSAAYCSMEWEIYSLCFSEL IRQLTFVCRDQSTLLRTIQGRLHDVFQRVLASMRAMEVTIQERHSAAAASSSSTQPQQ HRESVAVKSNPTANLLPAEDNAAIDLDDDESDTEEFICSFCYEMCRDPKKETTRRSTF LGNMHLKRLSVDIGSDAKKLKAVAKIQAIYRGHRCRKQQMHATRILQRNTAATRIQRM FRGYMDCKKASNRRRVLAVWKKRAHQLSAITNLQRSARRFLKRNQTFRETKLADVVGQ FKLVDSEVAERVQEKTAEVARLMANVQTYHRQVRGIEYLLHADEMEGKQAASNDTDGE GKDGAKDTNNTSAAAAEDNGATKHMHLESLCASVSMGLRLIHERTANLRAREAKLQEE LEEARRQMEDLEAQQAAQAAKQPSDDDDDADDWHLPGDVDSNQPDNDQGTIRTARDLI DSIKSIRATRHRRGGDVTSASIYEAEILHGAGSGTMPSTRSPSTVLSLPPSTADSNTH ATAALLSRIRVDVAVQPRIPRPLLWLKQLMGDIYDTLGSTEDEVQPTFLHYCTWLTPA EFLHVRDTVSCPTANVCDTVYQHFQCQFGLPSLVDQAISDLATSVQSHASTDDDVLLF QCFLNGARPKQDLRFFCHLRTMCPPSIDSSRFHRHVLDLPHALKVAHTLFRVDESAHA AAAYASFALQLQLRVLRPPSFARRHNVPTSSTALTPTKSGPTIVPTVPPPPPTVPTLV LFTDFFDLLCVHHADARLTCLRHLWVMDRFQEMDRDGDGAISLDEFVRHLAPLPHAPT SRELKQLFQHATSTTEKLTRMTFGTFEETMLRLLRNKQLQASPDDVGRHPALRRQVAE SRKLLHTIARHWHMKKDVVDATVDAMFHRTGTQRNLAAYLLVLRGELEGVLMGSVVGV ESCLEAWHKYAAIVCVLLALKAKNDGFERVTEAHVVDLERAWHLQDDVEAKVYRGTTT TTETIPGSLTGQGRKGSVASSVASLSEVVPPPSLGGTEKESMVQEATLSSLPRTVSQA ASTRQLAPHQLIQTSSTRWTGML H257_14338 MRWKCASWMSLLAIMAVDVVATTIGIIVPSGSSYGAMNDRIHGS ITSNATVPTDVLIGLRFVGDKTVPEVSPNPVVLQAGTSMANFSIVGRSPGRFHVDWEL LSMGSPSPFNESSPMYALSSSSGAFVVFILNNQWPSVFYQVGLNVFVLVLGLGYFAWR RTSHVSIPFWGAHVEGLFRPVPFRTDEDTAASSSISEEDPQDPLDAASLRDRFLRFWR LPIDDKLVVDRCGMETALCLHFLRDAGHVFVGLSVLSTVILVPINYVSGGAVTQSYQE ATISNVPMGSHWFWGHVGMCYLSSAAVFWFVYRQHERLSKLHRDDPTLVGPRSVFIQA GLPPYISSRTLFQHLSQLYPSSSHDVESVRVVDDLSHVYQILGQRMALRNEARRLMSI YGSASIPMFVRWCPGTSCMPSPTDLCYDYAAGLPCRRRRRPPKDDDEGEVPLGDAEHG SSTSSNPGDFVSIQDDNDVLPPRIQRRLQFIYHELERYPHELLTKFKARKGTGAAFVV FRSTAAKKAFLEHAVATQSPATKLRAHVAASLFRVQQHLRRHPLRHRFRHPSSPSLVE SLHSSPLSMYLPQLILQPAPEPNDIRWPNLISRPRSLKRTLVLVFYQGLTMTILLLFS TPASVLLYVNLDPSSPLYTKLLEDTSIVTGFLRSYLPTLLLVTVNALLLVVLFYLSIF EPWITETKRMRSLLIKAYIYLVLSSILFPSIGVTAVYATSRQSKVNPLDFQQSTQASY VNSFLFNVCSNFFILYLSQLICVGTVVQILRTAERVIYQPWLRARAVTSSEIVEACRP APFYFGVEYALYLSAFLVTLLGTTLSPALVPCGATYFYTRLLSTKYNVLFVHPKAPGR GHVTRSVLSLVLASVLLFQIAMALILSQLGRREHWIAVSVLACATLGVIVWWHATVHA ALEDEDRQAGDDEEEGRGGERMRRMSSSLCLSMLLDAASHDAFLRGVPPPPAYQNPYD TGLKLFAALHRIFQVPTSSHELVASAWNRWKQTALHVSTIKDGKTC H257_14339 MRRNRAGQGVRCCWWVVVAVLGMMMLPAACALVVERPCCSVMDH IPALFGANVTSEDFVGREIVKVEPFDACVGDIQNDLRGKMALIVRGDCNFAWKVLQAQ RAHAVAVVVMDLQPRSPHELWEVQMVGDGNASDIHIPSVYVSHESGNTILQGLEQNQS VLVTLNATGHRTSLQRRHHTVLEGVVLYVLSTSVMFLVLSGACLAFSNAASWYQRTER TRAAKRLPVVAYEMKGDDDDSMCAICLEPFERHVLVKMLPCRHEFHHPCIDPWLEKQS SQCPLCKQDALSLGGSYKLPSPLLQDVLPSLFSLHNRTHLNFPSASSPFQMLMYACMV LPIVMLAIVLGILF H257_14339 MRRNRAGQGVRCCWWVVVAVLGMMMLPAACALVVERPCCSVMDH IPALFGANVTSEDFVGREIVKVEPFDACVGDIQNDLRGKMALIVRGDCNFAWKVLQAQ RAHAVAVVVMDLQPRSPHELWEVQMVGDGNASDIHIPSVYVSHESGNTILQGLEQNQS VLVTLNATGHRTSLQRRHHTVLEGVVLYVLSTSVMFLVLSGACLAFSNAASWYQRTER TRAAKRLPVVAYEMKGDDDDSMCAICLEPFERHVLVKMLPCRHEFHHPCIDPWLEKQS SQCPLCKQDALSLERTSTFRLRRRLSKCSCTRAWCYPL H257_14340 MRAMATTTALLLVLTTPTTALVVTRPVTYDIPHIAADFSARTDL PEIPLVMAIPADGCSPIVNDVAHKVVLVLRGHCRFVTKARVVHQANASGMIVMDDIHR GDNPFDDGRWEVRMSSEDNVNQEHVKHHHDMHLLPSVFISHTSGRRLQRHLDRFTSSK SVLSTPLRPLLTSHDNDNHHEVLVALNATAEGSQHIDQGVDWLDVGHLPVLSSLSDLV CAMLPYMGYAYASSFCFILLSTFYARAVETSAWYIKQYARRSIWTRLSVVPYRGPLHH GADTTCSICLDDFVLGHVVKVLPCPHVYHQHCIDRWFEKGSNACPLCKRLAFS H257_14341 MLRQFQAAYDAGVEDEESLGEHVAMYLQSSALVVPAEDLTWVWE LLVASRQSSSSSETTASDTSDPLLMDTPVRRLSSAVRAMLQPLPLSSVHANMQHFHGL PSVCNVLLSVCFSAHTAHKVLSVLLETLLPDYHVASMSGFRVDWKIVDLTLARLDPAL SRHLAEHNVSIEVLCSQWFFSMFADAFPSVVTTQLYTWVLATSSKVPPSAPSNWLVAT ALALLLFVGDVLHGAADATTVMTLLHHFSHVVLATDEDIRRIFQSWIHAFHLHFHDAL HACRVDISLEEEALPRRIFVGWRHPPTSPSTPSSVPPEHARQEQWQRVLLERGAVVGT TRTASTTSGTTVVGTEVEAAVDADIPRTSQAPHAAQWPALRHVLVAFACRHPHIGYCQ GMNELAAVLLREVGDDVAVALEALAYLVDEVFPHYHSPSLLGLHVDCAVIETLVHQND PHLVHHMQSLGLNMEILCTNWLMACFITTAPHSFHMSLLDMLFAAESADAASAVVVMT SVAIFLHLSSSLIHQHDTGGVLHVIKRFLASQSSSPPEFLSVVRTLLRQLPLHELRMM REVHGAAVHDKQAAFEAKKAAIKAMSTAGTRLSSPPPPPISSSSSSRFKRFLGGHALK KAPPLPSLFSMRRQDEGEKSRWGDEVYEDEDADREWDLLQNQLQHTNNLLEDDAIDAV ECAHIKERIIHTWSAARHTPAHAQTRVDLLKAALFVSPQQSQPLLSRSKTASALVSRH TARARASLQALGVKWRSRSPSKPSDISIISDSGRKKTPLSPTALQLSEIADSYYGGDL THDQRMRRKADLITKSLFPDDDRYPDNDES H257_14342 MPTPFEAKMARMLLALDAVDISKGEHDHDMGGATFMGGHRYFLQ SQSPEDVTDEDIQDDEHLDDGLCPAGTPTVNANSVPEEEGEEGEVELYGDSMDDDDDA YVSKNLRGDIDPDANAALACPCCFMTVSYASQRHEKYDTQYRASKAVNCRINADRTFV YDSHKKLSDAPSSSHGGEVYVAVECSDCSTVVGVKLQASPSSQLSTIHFFHVIPSHI H257_14343 MDLVVDGKRTSGKDVREQNVTAALAIANIVKSSLGPVGLDKMLV DDIGDVTITNDGATILKLLEVEHPAGKVLVDLAGLQDQEVGDGTTSVVIIAAELLKRA NELVQNKVHPTSIISGYRLAMREAVKYIKEHLSVPVDSLGRASLVNAAKTSMSSKIIG PESDFFANLVVDAVTSVKTTEDGAKGKTITKYPVSAINVLKAHGKSALESQLVDGFAL NCTRASQAMPSSVRPAKIALLDFDLQRHKMQMGVQMVVNDPKELDQIRQREIDITKER IQKILDAGANVILTTKGIDDLCMKYFVEAGCMGVRRCKKEDLRRIAKATGGQVVLTLA DMEGGETFDAAALGTAAEVSEERVGDGELIYIKGCANRQATTVVLRGANEMLLDEMDR SLHDSLMVVKRLLESNQLVAGGGAVEAALSIYLETYATTLGSREQLAIAEFADALLVI PKTLAVNAAKDASELVARLRAHHNTSQTDAAQTDLRFSGLDLLQGKVRNNLVAGVVEP AISKIKSLRFATEAAITILRIDDMIRLNPKENQDQ H257_14344 MSDAATPSATTASSPAITTTSSTSSVPFSDGLGRIDRTADNSGF AFTAIDLVDKSLDSLEPIRSFPHVMFVNVSKNSLTDVSDALAALEFLVSVNLSENQLT TVPKFAHAFLKDVDLSKNQLTSLQDAEGKSIVALKLNGNQLTSIDGLANLTALKSVEL ARNHIDAISLATDMPNVDHVVLSENKLTTLAGLEAFPNLASLSIDLNQLATFDVLGPL SQFQFLTDIDLTGNSVTELDSYRLDLLLLLPRIKKLDGIPVTDDERVKAIALKQQRDA AAKEAADL H257_14345 MAIVELWSAYTDDAATAGRPPPSQEGLRDVGLLLATQDLSPDIH AKRIVDAVTHLYDTVKQQQTNGTSLVLFLDLVRYAFEGLKVTKQFAALDTTCHQLLPV LLSLIDALFRAKPPVSDATLPAAVLTFVMDVLVGYQHSSALYVAGGNRLLAHFETIQQ WLHSPDHVVDLSGIGRTLVLTVCCLARLHPGCRDLNAAFSTNLLANFGVTVPAATDLD AALRHTSWLPPSEAIRSDSIPTVEGLWTLDENVLADATPRSGNRNAGSVVLRHVSACH GVAFSATMHEPYDYDKILIDLSGDVVTELVESSSSSHDLPHQISLQGQWQHVLDATAP NSMQTLSQEWTCPVCTVANAAGARVCSTCAAPAPTVDTTHVSAHRSNPAPFAATIGPA AVTDAFMDVRWSRGDQHGRWLARRQRQPTAFELTATTDVRAAVYTPRGRAADCLVVET LSNVASNCSTSTVEFWSTQWRRDPSQSQVLVANGEFSVSINQHGHLVWQVGASTELVV PPDCLPPSAASSFVHVALVWDVTVLSLVLNGRVVCQAPRPTEEQTGQRKVLVLGGAPT DLALLPLGLLDLPRGVYGVDRCFDGSLVEVRLWSVAVSVDVVAHRSRHTLHGDEEGLV AYFPLVGGVLYRDASRHGHHHATWFNEYHRIACPSWSCPVSQVELGRLPVVGHRWQSQ HGLSFFGHISKNDHHVGLLRLASGSAVWTDESVNLSQHVGFYTEMELAMPEPSSVCVA WSDVSFWDLSPLIHEASALDATAAHDGRSPRRALFVQVTTNAPNDDASVSVFVWADQT AHCLSVVHTTASSFSATTLGIRYDGAVLSVYVGNRLLSVLSLDLGAALASALQRVRVG VVSPLRLNSSVQLAKWTFETTPAAVDIPNNVPAVRRVYGLDAVPPTTSSRPDAAAAAD DGGVSCTKHRTDGSAIVQELYMCQTCDSNLVFCAPCATVCHHGHELVWMGKVSGACGC HTRGVESCMCFGQPAIQANETPQFSLWRCVQCTVVNAITVSQCAVCASKSPLPSAAPA TPVLSTGLFEVPAAEWSCPACTMLNDALSAKCTICDTGRPDSNTSSTTQNDGILSLYH AAAEVTGPWWVCNACTMQNDSSDVKCGICGTLKPLPLAMPVATPVPDTATPLLSVPEH APTLSQWLAKKHSILEQKRIQHESTLPALVTALAHQDEVWETTQGLLTVKYADTFVGD IVHGEYTDTKNSDGGLCGVLKTSLDIHHPRLELRAKYKPNAAAQDNSCLLIWPSRQLF DGYWYRGDGSGAWKCTYSPLTTEVRGLEAAADLSSSALVPFYSGLANMTQGLTNVCYQ NSFVQILFMTQALRDAILACPSPNPTLATVQHLFARMLQSRAPCLATHDLQAVLPPTF QAGRQQDVCDFAHFLMESISTGFEDAIHELVGGTTATIVACKSSDCGHVSVTREYFWE LLLNMVDLRYTPITSIRAVHGTTLRIPTPARYDRLNYDLNKDRTGAPYVFLCVQRDAS NALPITDILIKVCDAGEPKPTLSGYNRVELDLNMGTSTSTSSTTSPSHRTDGGSLKKA STSTGVATTESCLSGNGNGKKQIYLFYGSDPHGSPVTDLTVIYNQDAVPDGFKVIRVD LNQGEGAKVFLCYRCDMPVTDIKLVSQGLPRYKLIDRPLQLGRPEGQGGVPQQYIAHT DGGSGPCITGLRLVPADQVASLEAAAWEDLHLATNDHPPPSSNHPPLDHLMVQRGHGN PLYAIEVFRSPRMVPKYSDYETISVVTPPPVEELTPDLLLGDWFGGDDIDRSFRAVQF THTAPNLSPTWTVSGVFGKHNGRLTGVLQSFHTLSHVLWGSWTDSTTKWPQLVHIVFR FDASAVPPIVVVDGVVGDGKAKLVNFRATQATNVVVPALSPITELLVARGSDLPLATG AGTSVLCGLHGDLFLLVRRDPHEAPVKEVCVVYGGIDPIPDGYVCVDTTVSGATANLN TTSTTDVSIFICFKRDSLPTTLGVTDVVVVTSNVPVGYTKLQHTPLGMDASLVQGQSI YIAIKRVEADAAALTSVVVEHALNGQYDTSLWGRLQLTVLESVQSSELMGSFSVTSSL SSSSSPPGILRGIAYPKSTNNKNTIKCVGLWEPAGGQTLASYNASIGVSSGVGPFEFE FALQDDKNICIPVADGWWSRGGNGDGGGPWRLVQDCYVQLAYKKDYGSEWAHGHVTSS ERVSRHSVASMLHRFGSVKTLGGDFTCSGCHRRTESRVHSVVVTPPAHLILTFKRMYY DWKAQKTCKSLHDVSFPAVLTLPALSPADAATLAVDDDTTPEGRRTYGLYGVLVHSGL SANSGHYYSFGRSSASNQLHLEDDPTAPWIQFNDSHVRPTTWNDLHATIESSVSDSVY LLFYKRLEHVVMLHDEYHHLSASSHEDKEEDKLDEEAMLLAKAMALSMSTQDTSPSSM TSSTSSPPHAPYAPQTMALLDEVEREHATFLLDTATRRRGPVTLSDWHLWLQSHHRID DPLWAKLSPLLQKA H257_14346 MFDVVTGYVPTTPPLRVRAKPTKSKKKLSRLTKEQRELLAQKYA NNNNQSGEHRPEASNEDNNLSHDTTGETLSYDDAATKIQNAWRCHFACQLKARLQAQI GRPAQLHVHKIHVSAKWLRLGAYCIVTVLRRPYGPLMYQYKTDLTKQSALTSQAPFFV PIMSAKYDVIVTVVAEPSKLQYPVFLGQAVLAVDDSWADDHQVQRNVPFQGYRFPVDV QLQNSDRHVEGHVVLSLVSLNASVTSFSGPLAVRPSGVTKTLASMRHRVGKLYMKNGM SYESALSWCQKAPDILQWGVLTDTFLSIYHQRASLPHKSFDLRRIQLIHHHHIARSDD GHNKDMHQLKIYHEGIIHTFGVESSYEYRQWVYRIDANRRKLLVP H257_14347 MATRGVWQLQKLTVRYCQYGGSSKNVRELLKDPRFLSFVTENPQ VSFATEVQGNKHPVLIGEYITNETKVCDVKNQDIPFVLKQMQRLRDTSGRKMTKINAP VISKRPTIQGIWQPETQDQFDQVTFQVEHRSA H257_14348 MATIPNFQNFNAFEDAADNSGMGSGKVHVRVQQRNGRKCISTVQ GLADDLDIKRILKAFKKNFSCNGAIVKDEEAGEVIQLSGDQRTNVKSFLVDQEICTEA QIQLHGF H257_14349 MADPSLMLWEKRKRVKPTLFQVEVSVKKAKVAAPQQAAARKSTR QTPSRGKKPTPEQPSSDDDDDEQSSPSPAKEAPAARVKQVSKAAAPSSVPRDASRVSE GVLSLIGQPFYDEDMSDWHGTNVHLDELAELRGMWQLPAACHILWLLQQPLKLKVYNS LREYEAALLNPSTSAVLEDVFTKLLLGKKERERLNCGLGLAYDWWSQRLAEYYTERYK KWTALKEKAAKARQQPTADDDDDDDDDDDVTIGLSEDDAALLNAITTSLKPLGAQNPL EASGFKDVAPSTRCKLLLNLCETVLQQPGNLDYIREMQDDDLRITPIGVDRCGNQYFF YPQFYLERRIYRLSEGDKVWQLWAKGLESVQAMHDAFVAVQKGRKWAGEALLLEHTDA MLELMRQDKLEAQKNEDKALKRAILEAMPRKRSARIQVKALEKFEDEKLKSDRAMADE ATRAEQKRVELLASMERERELELKKLAALREQRVAMNVDRETRRQKRLELEEAQLEKE RLDRESLEKLAQQQLEQREQRALQRQQPPNSPTEALPGTDHHEDETNALNQSSAD H257_14349 MADPSLMLWEKRKRVKPTLFQVEVSVKKAKVAAPQQAAARKSTR QTPSRGKKPTPEQPSSDDDDDEQSSPSPAKEAPAARVKQVSKAAAPSSVPRDASRVSE GVLSLIGQPFYDEDMSDWHGTNVHLDELAELRGMWQLPAACHILWLLQQPLKLKVYNS LREYEAALLNPSTSAVLEDVFTKLLLGKKERERLNCGLGLAYDWWSQRLAEYYTERYK KWTALKEKAAKARQQPTADDDDDDDDDDDVTIGLSEDDAALLNAITTSLKPLGAQNPL EASGFKDVAPSTRCKLLLNLCETVLQQPGNLDYIREMQDDDLRITPIGVDRCGNQYFF YPQFYLERRIYRLSEGDKVWQLWAKGLESVQAMHDAFVAVQKGRKWAGEALLLEHTDA MLELMRQDKLEAQKNEDKALKRAILEAMPRKRSARIQVKALEKFEDEKLKSDRAMADE ATRAGTFIFQSER H257_14350 MATTSAFARSRAALRKKAKPVKKALDDEQMEEIKEAFSLFDTDG SGSIDARELKAAMRALGFQVKKAEVRKMIADIDKDENGTIEFENFVEMMTSRMSSRDT KEEVAKIFQLFDDDNTGKISFKNLKRVCTELGENLTDEEMQEMIDEADRDGDGLINED EFFRVMKKRSGNPLDDLDSDDD H257_14350 MATTSAFARSRAALRKKAKPVKKALDDEQMEEIKEAFSLFDTDG SGSIDARELKAAMRALGFQVKKAEVRKMIADIDKDENGTIEFENFVEMMTSRMSSRDT KEEVAKIFQLFDDDNTGKISFKNLKRVCTELGENLTDEEMQVQQVSASWRFDADA H257_14351 MTSKGHANLTLRHMKGHAKSAYTLTDAVSSALRLTVIGMVVAVF AAFLLLVGAEVATLSSPGVAAAHPMLDDTTRLCTSIMLCAAPFTAVLMLATSSHVWAA KNLALGHFKTVAAYQVAGWLCYGAVLFAHTSSCTNVGGLNLPILCTPVSKATMGFMAE LFIVSSLLALETLRHSPILSPRTAANKAHLFTHNYMNLLAVVGALVLALSAERVEFAD NFSTNAAVGSVCLCIAAVTSTYGLGGLLVSDSWKFWQPFSGGVVFVMVQFVSWSCFTT GIILQAVFFLSIVVVEVELFVGVMGVAGVLCVASQVGMIVSLFVYQPTTTTTTTTHRW HFLALYHMEGLFPTLMVNLPPLAFTPYALPWMFVPSFTWTDVGIYFVVHVSVQTIISI SLSHLLQVYYKKTSSGATSPPLYVALPLVFSTLPLVSVVVHAYVDHPAAAATVGFAIP WYVYTYKTMNGMPAQTGSRQDKSLQKAYSAMIEATARYFSLHLVRTEPLSPSHTYIFG FHPHGIIPMTVMWLQFTDQWRELFPNVFACPLSASVVHYFPGIRDVIQVLGAREVTRT TFSDALKSHQSIFVVPGGQAELVASQSRQRQVRVFTGHKGFVRMALEHGVSLVPVLSF KEGEILDNVRWPALQRWFIKHFAFPCPYFPHGWSGLPIPNRVPLMIAIGAPIAVEKVI KPTTDQVDALHTIYFDRLKDLFTQHKDAAGCADYDLVYIAN H257_14352 MAMTQLHDDSVTNATSMNKQRTIRIMVLGEEKVGKSSLISALVS QQASERVPSVLHDIVIPTEDTRENVAISLHDTSSSSNDVMEVIKTMNRSDAAIVVFDV SRSISSQRLGYWLDLLKVTKVMPVVLVGNKCDLLPGGSEISRVQSVLRNYKFIVHSLE CSARTLINVKKTFGLAQKAVLYPMAPLYDAEKKQLQPKFITALKRIFRLFDVDRDGVI SRDELHEYQNVCFKTRMKPEDMDALLELVALVKADGVATDTRGLRFDGFAYLSELAIE KNKPEHCWQVLRTIGYSDTLELELPHDLMDVPRDSNAAQYEMCELSAAAIQFLTAVFT QFAPLTQASIDGIFAIVPPPKRPTWTSLPLEEPHASLDVSAWLALWSLEMAIRPLRAL EQLYYLGLVDTKALTAVDVRRSRHSRASAKVIRCLLFGPPGCGKTLLTNAFTSYSFLS PEPLAVSYPERDAKPADAYAHLLHNVHTVVDKETGAQRTLIISEVSEGVVEYEMVEAI ATSIHVDMVCYLFDGTEPDSFEYIFKLQAVVSDAVPCVYAYSTPTPVEEETTSLLALD KCMRHCASLKLHAPLRICLSSQSGFDLLYQALVHRTLHPHDGAVPFTMQKAAAKKRND RLFYGGLVAVAAVAAGVGYAYADELKELSVVQSLVRLWDQSKLHLVPKLKQ H257_14352 MAMTQLHDDSVTNATSMNKQRTIRIMVLGEEKVGKSSLISALVS QQASERVPSVLHDIVIPTEDTRENVAISLHDTSSSSNDVMEVIKTMNRSDAAIVVFDV SRSISSQRLGYWLDLLKVTKVMPVVLVGNKCDLLPGGSEISRVQSVLRNYKFIVHSLE CSARTLINVKKTFGLAQKAVLYPMAPLYDAEKKQLQPKFITALKRIFRLFDVDRDGVI SRDELHEYQNVCFKTRMKPEDMDALLELVALVKADGVATDTRGLRFDGFAYLSELAIE KNKPEHCWQVLRTIGYSDTLELELPHDLMDVPRDSNAAQYEMCELSAAAIQFLTAVFT QFAPLTQASIDGIFAIVPPPKRPTWTSLPLEEPHASLDVSAWLALWSLEMAIRPLRAL EQLYYLGLVDTKALTAVDVRRSRHSRASAKVIRCLLFGPPGCGKTLLTNAFTSYSFLS PEPLAVSYPERDAKPADAYAHLLHNVHTVVDKETGAQRTLIVRRFEISW H257_14353 MMMHRLGWSSPRRLLPWAAWDTARYLSTAPKRLSWDTTLAALKA FSEQHGHVQVPQAFVVDADHSNVWPAETKGVKLGRIVNRLRIAWKKNALTEAQQMDLT ALGFDRGVRMTWNDKLAALKRYRDLHGHTNVPTSFEVPTNDPQWPAPFAGLALGSAVK GLRFHQAALPTSRRRALDELGFVWSAWDQSWQKRVLALKTYRDLYGNLDVSPDFNVPV DDNRWPREVWGMKLHDAVRNIRSRSHRLNFEQLDELESLGFLWDKVGQTFACRADAWK VYRTVYKSKDVPAEFVVPTNHKVWPIRMWGLPLGRVVHSIRSKPSRLTLQQLAYLHNL GLLPKDLINVIG H257_14354 MHHHRNSQYKTPFSTMIGCCLRTLIGCFYRNHRSDANFMAVHLT GLAIPRSRTAMEPSMKRGADSMHVDHEIKFASDDDDDDNWVNEMERELDLQVEKKPKT ARVAIDFPHDVLLTLFTFVTVSGRVCKAWSVAAVEVARGKVEKRLEHVFHLYASDDYA AGTCRWLAIAIESQLHAHHNVWKYSLTKRYREQARMLLFNLRDCKNDMLRLRVLRGDV SPSALARLTSKDMANPHLVEQRKEWIKDRTAQVTRHVRHLQGMLETDMFTCPSCGSNK TQHCQGRRKARADRVCIVVMCSRCPHRWQV H257_14355 MKVILVAYLVASLLLSCVAVKRHTNNWAVVVDASRFWFNYRHIT NALSLYHSVKRLGIPDSQIILMLADQMPCNARNCFPGKVFDSNTHTTNLYGDDVEVDY RGAEVTVANFIKVLTGRHEPGTPASRRLDSDEDSNVFVFMTGHGGDGFLKFQDAEELS SHDIAQAVQEMHVKGRYNELFYMVDTCQAGSLATQLYSPNVVTIGSARTGENSYAYHT DFEVGLSLIDRFTYATLDYMQSMQGHKDKPTTLGHLFDSYDPQMLYSNPDSRTELLGR SIYEIPITDFLGSVLQIQLSRDEYPQPNDVFTTTGKHATTLYAHLYRLERPTVRTDDA AASVSSPQGIPKADPVEVAACVAGLGVVLYAITKLPFAQSI H257_14355 MLADQMPCNARNCFPGKVFDSNTHTTNLYGDDVEVDYRGAEVTV ANFIKVLTGRHEPGTPASRRLDSDEDSNVFVFMTGHGGDGFLKFQDAEELSSHDIAQA VQEMHVKGRYNELFYMVDTCQAGSLATQLYSPNVVTIGSARTGENSYAYHTDFEVGLS LIDRFTYATLDYMQSMQGHKDKPTTLGHLFDSYDPQMLYSNPDSRTELLGRSIYEIPI TDFLGSVLQIQLSRDEYPQPNDVFTTTGKHATTLYAHLYRLERPTVRTDDAAASVSSP QGIPKADPVEVAACVAGLGVVLYAITKLPFAQSI H257_14356 MPQQTNISPKVASAVAPARVRGKKHLALAQHHRIYELLLEICVQ GELTRGAMLYIAQQFQCESRSISRIWSLGRASSRNSRGVADIASKIPGRELAARFCKS KRFITKVMFLAAVARPRYDFHWKQMFDGKIGVWPIVESVPAKRNSKNRSKGTIVVSPQ SVDAKVYSTMGIEQVIPAIKSKFPSAALESAVLIQQDNASPHKCLTTDRLIAYGIGGI GIVNQPPNSPDFNVLDLGFFNSIQSLQYQKCTRSIEELIDAVKTSFVELPTETLAKTF VTLQKVMEKSIEINGSNEYKLPHMKKDASIANLSSFHVQWDATSYESALIHLNNTLAE EVYFEAMVNSQEQVI H257_14357 MQCPYHDCDAVETIQHALMACPRIRPLWDVISEPWLQFGLRFEW TYILDVTKVNPAPEWIHVAPMLVVLWTMLTAGVMRRLWIYRNKVKYEGTAGPYVPVML ELVLLQWSMQVRRHLQLPTTLDDERTQIQTVLGHFGQHPSYHGNNNGTGGVIKRSKLG RHPAAVSGKTAAKWSDDSVTCMFRLRFVKLAHKFENVKNNQMRRDAYELLAAELSVEV DQVLSAEQVQNKLHELKKKWLNPKVKATGNGSAVRTKPQYFDIMFEYWGTKLGYSHSS LLSSDPDVDVDSEVSTLSLDVGSDSDSVMGRQNLTRINRPTLQPCFKAIKQLAMGLKA LGRRLENNLPTVA H257_14358 MVKIIVAALSAAVASAFGTISELPREISSLMDETVDPCTDFYSY SCGTWQNNTLLYDNQIINVHTVLATAADKLVEKLLDAKLPKITEFYDACMDTDTLDTL GLAPIEDHLKAIRSANSTVEAIFRGAAIAKATGMPLFMKLTVSGDNADATRYALYAEH PGLPLEEIFFKEPVLWAAIEKPYREYIATTFTLAGRADAEAAADVVMAFVRASTGVQE SKRRLQEGVTSSNNRLSLSAANALYPLGVGLQLQGFGFDVHKECNTNTILLENLYYVD VIEKLLRSLSVDELKTIIEYNVLNFNAPFLSAPFSNAHSEFYRKVLYGHEPLPRATIC RSEVSTTFGELVGTHYLKEVWTADTAKHIESFVQTLEASFETGLDSAGWLDDTTRANA KAKLSKLTHLFGGPKNPKTYPTLTFDPKAYIANRNKVSADNTAFKLAQIGTAVDKQIW ETTAQTANAFNQRETNVLMLPAAILQPPSFDAKADPAENFGVIGANIGHEITHGFDDV GRLFDGDGNVTQWWSAAVTKTFDEKSNCFVEQYGSMDVHSELTGELVGNLDGELILPE IIADNGGLNIAYRAYRDYVHAVADATKYTKEAGEKIFWIKYGQFWCAKNSDAYLLDLL RGEHPPNRHRLIGSVQNSVDFAKVFNCPVDSPMNPTKKCVLWE H257_14359 MKLTFPSPLQFFRRLRGKSSAEEGTKKLSPVPLAGASRAFSGSF TLCNSHAPGTDASDNDGCKTCSSCQRKYVPYSSTFNDFCSLDCKSTGYAQITKAVY H257_14360 MHFSTIAKAVEAELLPKIKGSRFIGFIAPVTSRQDALNVVSQRR AMYPQANHHCFAYSLAVANESYCSDDGEPHSTAGRPIQQVLSQHRVQDACLVVSRIFG GTKLGTGGLVRAYAGAAELVMAEATIVETQLSTSRTVQVPIRYAEMVKKSIVNFDGRV TSLDFGVDSAALTIQIPLVHSTAFHTYVNELTGGRAAFSSS H257_14361 MVQYRFVALAAATTVATAKISVQVHRNLEVAKQSNVVVKFHCDE AHDTHRRRLKAGASRTETIESLVDSLKEHTTTSQASVKSFLANQLESTAVEVATTWID CSMYIDNASDDLVQKIAALPEVKSIYEPVAMALEETKSDDQPASAVDVVNQWGIEKIQ APALWAKGIKGDGIVVASIDTGVRHTHEALKSNWRKEYGWFDPYDETKLPNDPRGHGT HIMGIMVGNTQGIGVAPNATWIACKGWTTTMFNRRELVKCAQFLLCPHDKDGNNDCSK APHVINNSWGEYDTHFDMEDTIKAWRGAGIIPVFSNGNDGAKEVCAYSSYPGASPQVI AVGSTDNMDFLDTHSSLGPSVKNRTKPDISAPGVSIPSAVHTRDVGLSLRSGSSMAAP HVSGAIALYLSANKGASYDKVYTVLTNNVDTDTLTPPNKSCGGIPNTQYPNNLIGYGR LNIFKAVTATTCGTLEDDTHYIGGNLGSTKQAAAESCCADCENTPGCKLFVWTDHNGG TCRLKHIKGQRVTVVGARAGLLPAPALAGPPLF H257_14362 MPWAKQSPSSWWSSKTTTSGSGPRQHADLVIFIGLWYVMSLLAL WANKFLVSTIAIDTSLLSMMQLVMSVACGALVEVRAVGAAKFAVDLRGAFMWTTSFPP HNAPSKAAHAYTASPPPIPCRITDMVTLGGVRILNLVCDLVALKYISVSLSQTIKSSA PFFTVVLSYIVLGTPTTWRVSGTLVPIVLGLAWCCCCNVSTSLGGPAFSFLSCLGLLA ALSANCTDCFQNVLSKKLLTSSSHAFSVTQLQLYSSLVAMGLQAIYVVVDQATSSRRV SPDGGQLFVALCLMLNGMAYYVQSALAYRVMSLLSPVSHSVVSTLKRALLIVLSIYRY GEPATLGNYVGMMFVLGGVYLFHRASRHRSKVDHVAAKMGQDDDANDLVALPTTAASR HLHVIAIV H257_14362 MVVWYVMSLLALWANKFLVSTIAIDTSLLSMMQLVMSVACGALV EVRAVGAAKFAVDLRGAFMWTTSFPPHNAPSKAAHAYTASPPPIPCRITDMVTLGGVR ILNLVCDLVALKYISVSLSQTIKSSAPFFTVVLSYIVLGTPTTWRVSGTLVPIVLGLA WCCCCNVSTSLGGPAFSFLSCLGLLAALSANCTDCFQNVLSKKLLTSSSHAFSVTQLQ LYSSLVAMGLQAIYVVVDQATSSRRVSPDGGQLFVALCLMLNGMAYYVQSALAYRVMS LLSPVSHSVVSTLKRALLIVLSIYRYGEPATLGNYVGMMFVLGGVYLFHRASRHRSKV DHVAAKMGQDDDANDLVALPTTAASRHLHVIAIV H257_14363 MGFSKSIRLDNSKSKLLSPSPRKLQDDAMSSDEEFEKFLQGDSE DEQTAFAKTKKKVAKKKKHPPSSSKSKANDAKPAAATTTLDDSADPYNFDMAPPAFSR SSDEEELERQKAKKKKQSEKAPLVKKVSLEDRMADILKRHGSSMAESFAKPVEVVTPS DVPSLKADNEDESKNDAASEHAQSSSSSDSLGMESADFEVGGYAKKSSVPVKSAPPPS AILTKDQDDTTFRVNQPSVPPSSQTDRFRYAAASTEEHSDLDDHNEEVDYHHSVGTPA SASPPELFSRPVEAEYATDEFEPSTAPTTQDNTLANTDLDKYDDDEFEDSEPPSPPPS PRHPDRLSPGPPPPPPPPPLTPTSIPVVAMPAFSLAALPDDLEPPPTLSFKYDLRSKV ETIGSVDGVEDVSSPPLDRDQPAMEPASTDPNPPTLCTTQGKLEPAPSIVPPPPPPPC STAAPFSMMMAAVPGSVVMATASNVVPQPETPCIAQNELERPSPPDHHQPTQSNTANE IAAKFHSLQTTLNQSNASVHTTDTHASIDKYHLRTPYSTKENQIKLQLQAAQREILAL RHQLATSDQDVHTLSQARSHVVTSTSGGVHMTSQAWDALRKDMDSQEALIQGYQVENE RLMHQLRDVRRDLQYDVHVTNQELQATIKDLRHQLDTQPPPPSGAHPLTAQLRAEGRV LELQDELHHVRKDHSRRERELKMELEQVKKAKVDVECRVGGVHMATLQQQNEAFEAFK RQSDINLHDAHAQITSLQSKLEWYVQNQRFIDDQDELVKQLQHSNEQLKEQLHHLTST NKAKSHRPSSSTTDKRRIQTLEAQLKDMHVAMQKRHPDSLVNLILASKPDDVVAQLER QIQQLHDQRLEIEAQHEVKLTRFRQQHERVVQQLRQQLESKPNMDDESTESVATVRRF YLAKIKELERRLEQAKGQPPRQLDKASEPLTNTVVPSATLEQLREQHMERELALQRQL DDSENARRQLIHAFNLGNTTHPQGSNTTHPQDISAQVREKEAKDDQAHVAKAAMDALK DAHNAQMQQAKDIWHEELLHLSDKLSASQADCRKYADVAAQVPILEAAVQSLESRLSV PNTPSMLQYHALEMQIHTLTQKHAIRETELHVLLQNATQSSKMEVMQLQQRHEQAMAV KRAEIASFQAQLDEMLAELKRLHHQHP H257_14364 MLLHLPSRAAFHGPLVAAVLLALTSPSQGHHPVLNAFVEHPATW TKGHRALHDEQVDVTIGIAAATPRALEDLFWSVSDPTNAAYGRHLSADEADALTSPRP DAVPSITRWLAEHDIADVAFSPATNRLKVRGSVRSLEAVFATEIHAYNGVAGHPVGRG NRRILRASQPLAMPPSMLSDVSYISLNAYPLGRLLATQGHGKQHGNESKNNTSSTVDS VRDGGGITPAFLREWYGVPRQTSANETNAQGIPEFYEEAWTDKDLSTFFNKYMDGDAI PTLVTHQVPSRDDTEGQASAEASLDLQYITALAPRTTTYVWSQSGSNPFSAADEPFVE WAEDILTMKQPPYVVSLSYADDEEHIFAASEAYARSFDPLLMKLGVRGVSVFVASGDD GVAGQRPGLRKTNIDNKSEWCKQHGPQWPTSSPYVTSVGATMLSKLTDSSGFFNTLDE VVCTSSLGSAITSGGGFSTQYARPAYQDAAVQGYLATRNIPPPSFFNVSGRAYPDVTA FGHDYIVVLNGDKALISGTSASTPAFAAMITLVNDLRLNAGKPALGFINPALYRLQAA YPLAFHDITTGTNAAGMGPGMPVCDLSFHAESGWDAVSGLGSPNFPVLSQLLLNVEDV LSDTKVLANVPPLSNQQMQEDASGSVKTLVGASVAALVAAVAVAAAAVVYVKRHARKA EYCEVDVNKGDTPKYPSQDKTAASIFTIDDEDEEVELTEVTLDR H257_14365 MSKTISLKSTWTTQATGILELIVGPARLMAAWEVHVDDDNLCIG FMRLAWGFQQGVPCKATLVQVHQPTYIPIRIQPAYTSVPFYCMYPPTVRSAWHVSAPR WPTC H257_14366 MHRSVHTVAPSLRVDASVVSAVHTIQPSMLSGDLHACLCGPLML HRRSPVRRNARQQKSMRILLLLSSDSTRHTAIYTGLPQSVGDSSLLYIPIAWIHDALT WRTKLILQYLAKIGERLPHREQSMTNVTQASMCRIVIACICAPT H257_14367 MSEAPPSARGGAQSQSMFIASNVGFKASGHGMLACDFDSVATQF QPKYYMKQQQHKPTHTNNTTGLAPSDAMPVTADDDDEDDDTRREVEFPDPEYSINRVS GFLCDCATGARIMDTSILNSEAMLSNVIAYVHARMSVELDFVQHSIPYAGAPASCQFF ASKNYNVTKKLLVFVCSSRGSTCGIWSRSLLLRSGVNVGSMLPYFRKAIDKGFGVVVL NPNVNSVLRGATKLAIPCSSTPEEHVHHVWEHFIFPSAAHEIHFLAYGYGGVLVSNLI FNHAVMANLQPRLGNLGFLECTTSTKCPPQLQHSIGPRWVSWETSNEIGFGKQIPNQS VNSVGITMSAGPTGSSDGGGGSSSSITQTVLDPVFRFFDAPNAYEFLRTEARWHNVNV VDQFLSKTQRRNAPIPVKKTSMTVDDFDLLKVLGKGAFGKVMLVRRKSSGATYAMKVL KKQHVVAKAQVEHTRTERKLLQDIDHPFIVRLRYAFQNGGNLYLVMDYYVGGTLFHML RQQRRFKEHQARLYGAQLVLAFTHLHSCDVVFRDLKLENILMDGDGFIALADFGLSKE HVKLDHDAVTFCGTPEYLAPEVLRRKAYGKAVDWWSFGIVMYEMLSGSTPFYNTNRQK NFYNILHEPLDVSSKYFSDDARSLLRGLLTRKPHLRLGFHGGTEVMAHPFFADVPWDK VYMRQVRPSFVPNITADAPRPSDQDDIPASVRNAGDFDMPEAHVDAFANFTYRCTEQI DNWSELQHYPHLSVQNPTTTHDV H257_14368 MKLDAGAVAAPFCDAMKEYVNKELHGVGPKLVGFLANDDASARK YAEWTGKACIRDGIRYELREVQKEFLQEAVEDANRDPEVHGILVYYPCFGTFPSFYGG TMDDFVRDSISIKKDVEGLCHFYRSNLYRNIRYVDDERTQKCVLPCTPLAIIKILEHL NIYNFGQPEGEHLLGRRITIINRSDIVGRPLAAMLANDGADVFSVDINSLYLFRRGKL IETDETPESACRKSDVIITGVPVKSYKLPLDWVSEGTVVINVASYKNVDEQALLQIPN VTYVPLVGKVTVAMLERNLMRLYENFHMKPRKLWQ H257_14369 MSNDTLTWLLTKNNNAFLVKGVQPNSDFSRAKFNLLNRHSRKYD ALSANKAVGISLNDKKVVLTTKIAKNAHFPVKSIHSVPLNKGFRANAHTIRAEISRNF YRGDLKRAALARWTQLNRVAKIEKGVLKKSVAKTKRNQVKA H257_14369 MSNDTLTWLLTKNNNAFLVKGVQPNSDFSRAKFNLLNRHSRKYD ALSANKAVGISLNDKKVVLTTKIAKNAHFPVKSIHSVPLNKGFRANAHTIRAEISRNF YRGDLKRAALARWTQLNRVAKIEKGVLKKSVAKTKRNQVKA H257_14370 MLVLQCRHDIYELKPGFNIVGTDDTTCHLVLEPANHVEPMHAII NVSTDMQSAVLRPIGVVAINNTAVTTITSLRMGDVVSFGCPTDAEGVLLLFKFDAYVT HSVGDSIVARNRKKPMLTKLNHVLGHIPYMNDMFVSAQLLDSAKLKNQSFDIDSDDDD SDNDDDVTDKNNAAAAFDVNEADKSTLSLKVKRSKRTTVVAAQVRPTRVQPVDDISGA IATLQSRHVAERTQWQDDLCAAKKQVQRLQQELASKQPATCESSSQCNLPETVPGADA SHEQESSIQKRATPVAKPASWLSVIVLGREWPVHTKHVLVVASVVYFTCKGACRAINK A H257_14370 MILHRFDAYVTHSVGDSIVARNRKKPMLTKLNHVLGHIPYMNDM FVSAQLLDSAKLKNQSFDIDSDDDDSDNDDDVTDKNNAAAAFDVNEADKSTLSLKVKR SKRTTVVAAQVRPTRVQPVDDISGAIATLQSRHVAERTQWQDDLCAAKKQVQRLQQEL ASKQPATCESSSQCNLPETVPGADASHEQESSIQKRATPVAKPASWLSVIVLGREWPV HTKHVLVVASVVYFTCKGACRAINKA H257_14371 MPVLVCNGEEHELKEGRNVIGKIKAACDVILEGSSIADTHAALE LIQEGAVLTDLGSATGTFFNGVRMTQPQRVVHGDLIKFGDTGREYKFDMTSTPSVMRS IASSFRDSLVPPMFSSSLVGGVAASLQKAQSPLRKSNVFASYQDQRSTDTALRDIVER QLLRRSGANIKAIPTKVVAAAATVLPLSPSQRDFVERQKLRLSQKIRDVNNIMLGYAA IDDTYLAYYTKNNRVILPDDDNHGDNNNSDDNSDDDDDLPEMSDKVPMASSSIAPNPS FPPPQSSSVVMTKSKPIISTPNDNTSDNTSDDDDNELPVTMEHHQSPPPPRKSPKIPS IQPPNQRRPRVAHELSSHLHTLMQTHRVKACARAWSDWRRAIDLRKRTDAAATTLQRF VQTVSCRDRVARRIKQRLQQRERQVHVRRVVHLCARRQTRAALAQWRTYSVECVVVNV LQTHIALSFQRKHVVQTTFDRWRNVWSASVLRKRTLHRLLRHVTSAATRKALVTWHAW RLEREVLAAFTKAQDEQTKHKLLVQAMTAQSQAEATAWSDQAKESSEHVQREAALTAR IATLTTQLHEWTSKSKADAAVQTEAGASASAARTALLSHTLLKTVVGEVKEKNLRAVI DSLETELQATAEREAKLQDEYKHVLESLVRHASQLADAQKALKRYQVVVDEQATEMAK MEASFADDKKTAIDTESTLRKQIHDLEMSMADVKRRDDVKKSVESTATQDQTMGLMED LSKREATLLQTKIDALERDVREREAAQLKMVLAHDVAVSQWTAKCQALEATVTTLEAR CAMLKTSSTPPPLLSSPEASPPPMSSPPPMSSPPPMSSPPPMSTPPPMSSSPPMSSSP PEASAPPILSPPPMSSSPMSSPPPMSSPPPEASPRRETPSQRVDSIAREQLEVHKKML ELAEMKLLAATAQLHDKDVLERRRIEAEIQVHGQFNTHVESFLEALVTKYDLQLLRVG GELELLTIQCEKDPKGAQFRAASNLVGYVQEERLAQYKDLSDLHAELLHLRQLAFQIS SPATSLVGLTDLPPLTPNSQFLYDMLLQRLNRLHQLNQTMAHQAAALGPNMQDTKKLD YAKFLAQTMYARQVDFITQATTVLVHIARLQTHLEPYRV H257_14371 MPVLVCNGEEHELKEGRNVIGKIKAACDVILEGSSIADTHAALE LIQEGAVLTDLGSATGTFFNGVRMTQPQRVVHGDLIKFGDTGREYKFDMTSTPSVMRS IASSFRDSLVPPMFSSSLVGGVAASLQKAQSPLRKSNVFASYQDQRSTDTALRDIVER QLLRRSGANIKAIPTKVVAAAATVLPLSPSQRDFVERQKLRLSQKIRDVNNIMLGYAA IDDTYLAYYTKNNRVILPDDDNHGDNNNSDDNSDDDDDLPEMSDKVPMASSSIAPNPS FPPPQSSSVVMTKSKPIISTPNDNTSDNTSDDDDNELPVTMEHHQSPPPPRKSPKIPS IQPPNQRRPRVAHELSSHLHTLMQTHRVKACARAWSDWRRAIDLRKRTDAAATTLQRF VQTVSCRDRVARRIKQRLQQRERQVHVRRVVHLCARRQTRAALAQWRTYSVECVVVNV LQTHIALSFQRKHVVQTTFDRWRNVWSASVLRKRTLHRLLRHVTSAATRKALVTWHAW RLEREVLAAFTKAQDEQTKHKLLVQAMTAQSQAEATAWSDQAKESSEHVQREAALTAR IATLTTQLHEWTSKSKADAAVQTEAGASASAARTALLSHTLLKTVVGEVKEKNLRAVI DSLETELQATAEREAKLQDEYKHVLESLVRHASQLADAQKALKRYQVVVDEQATEMAK MEASFADDKKTAIDTESTLRKQIHDLEMSMADVKRRDDVKKSVESTATQDQTMGLMED LSKREATLLQTKIDALERDVREREAAQLKMVLAHDVAVSQWTAKCQALEATVTTLEAR CAMLKTSSTPPPLLSSPEASPPPMSSPPPMSSPPPMSSPPPMSTPPPMSSSPPMSSSP PEASAPPILSPPPMSSSPMSSPPPMSSPPPEASPRRETPSQRVDSIAEQLEVHKKMLE LAEMKLLAATAQLHDKDVLERRRIEAEIQVHGQFNTHVESFLEALVTKYDLQLLRVGG ELELLTIQCEKDPKGAQFRAASNLVGYVQEERLAQYKDLSDLHAELLHLRQLAFQISS PATSLVGLTDLPPLTPNSQFLYDMLLQRLNRLHQLNQTMAHQAAALGPNMQDTKKLDY AKFLAQTMYARQVDFITQATTVLVHIARLQTHLEPYRV H257_14371 MPVLVCNGEEHELKEGRNVIGKIKAACDVILEGSSIADTHAALE LIQEGAVLTDLGSATGTFFNGVRMTQPQRVVHGDLIKFGDTGREYKFDMTSTPSVMRS IASSFRDSLVPPMFSSSLVGGVAASLQKAQSPLRKSNVFASYQDQRSTDTALRDIVER QLLRRSGANIKAIPTKVVAAAATVLPLSPSQRDFVERQKLRLSQKIRDVNNIMLGYAA IDDTYLAYYTKNNRVILPDDDNHGDNNNSDDNSDDDDDLPEMSDKVPMASSSIAPNPS FPPPQSSSVVMTKSKPIISTPNDNTSDNTSDDDDNELPVTMEHHQSPPPPRKSPKIPS IQPPNQRRPRVAHELSSHLHTLMQTHRVKACARAWSDWRRAIDLRKRTDAAATTLQRF VQTVSCRDRVARRIKQRLQQRERQVHVRRVVHLCARRQTRAALAQWRTYSVECVVVNV LQTHIALSFQRKHVVQTTFDRWRNVWSASVLRKRTLHRLLRHVTSAATRKALVTWHAW RLEREVLAAFTKAQDEQTKHKLLVQAMTAQSQAEATAWSDQAKESSEHVQREAALTAR IATLTTQLHEWTSKSKADAAVQTEAGASASAARTALLSHTLLKTVVGEVKEKNLRAVI DSLETELQATAEREAKLQDEYKHVLESLVRHASQLADAQKALKRYQVVVDEQATEMAK MEASFADDKKTAIDTESTLRKQIHDLEMSMADVKRRDDVKKSVESTATQDQTMGLMED LSKREATLLQTKIDALERDVREREAAQLKMVLAHDVAVSQWTAKCQALEATVTTLEAR CAMLKTSSTPPPLLSSPEASPPPMSSPPPMSSPPPMSSPPPMSTPPPMSSSPPMSSSP PEASAPPILSPPPMSSSPMSSPPPMSSPPPEASPRRETPSQRVDSIAEQLEVHKKMLE LAEMKLLAATAQLHDKDVLERRRIEAEIQVHGQFNTHVESFLEALVTKYDLQLLRVGG ELELLTIQCEKDPKGAQFRAASNLVGYVQEERLAQYKDLSDLHAELLHLRQLAFQISS PATSLVGLTDLPPLTPNSQFLYDMLLQRLNRLHQLNQTMAHQAAALGPNMQDTKKLDY AKVGGGIQ H257_14371 MTQPQRVVHGDLIKFGDTGREYKFDMTSTPSVMRSIASSFRDSL VPPMFSSSLVGGVAASLQKAQSPLRKSNVFASYQDQRSTDTALRDIVERQLLRRSGAN IKAIPTKVVAAAATVLPLSPSQRDFVERQKLRLSQKIRDVNNIMLGYAAIDDTYLAYY TKNNRVILPDDDNHGDNNNSDDNSDDDDDLPEMSDKVPMASSSIAPNPSFPPPQSSSV VMTKSKPIISTPNDNTSDNTSDDDDNELPVTMEHHQSPPPPRKSPKIPSIQPPNQRRP RVAHELSSHLHTLMQTHRVKACARAWSDWRRAIDLRKRTDAAATTLQRFVQTVSCRDR VARRIKQRLQQRERQVHVRRVVHLCARRQTRAALAQWRTYSVECVVVNVLQTHIALSF QRKHVVQTTFDRWRNVWSASVLRKRTLHRLLRHVTSAATRKALVTWHAWRLEREVLAA FTKAQDEQTKHKLLVQAMTAQSQAEATAWSDQAKESSEHVQREAALTARIATLTTQLH EWTSKSKADAAVQTEAGASASAARTALLSHTLLKTVVGEVKEKNLRAVIDSLETELQA TAEREAKLQDEYKHVLESLVRHASQLADAQKALKRYQVVVDEQATEMAKMEASFADDK KTAIDTESTLRKQIHDLEMSMADVKRRDDVKKSVESTATQDQTMGLMEDLSKREATLL QTKIDALERDVREREAAQLKMVLAHDVAVSQWTAKCQALEATVTTLEARCAMLKTSST PPPLLSSPEASPPPMSSPPPMSSPPPMSSPPPMSTPPPMSSSPPMSSSPPEASAPPIL SPPPMSSSPMSSPPPMSSPPPEASPRRETPSQRVDSIAEQLEVHKKMLELAEMKLLAA TAQLHDKDVLERRRIEAEIQVHGQFNTHVESFLEALVTKYDLQLLRVGGELELLTIQC EKDPKGAQFRAASNLVGYVQEERLAQYKDLSDLHAELLHLRQLAFQISSPATSLVGLT DLPPLTPNSQFLYDMLLQRLNRLHQLNQTMAHQAAALGPNMQDTKKLDYAKFLAQTMY ARQVDFITQATTVLVHIARLQTHLEPYRV H257_14371 MLNPCRFDMTSTPSVMRSIASSFRDSLVPPMFSSSLVGGVAASL QKAQSPLRKSNVFASYQDQRSTDTALRDIVERQLLRRSGANIKAIPTKVVAAAATVLP LSPSQRDFVERQKLRLSQKIRDVNNIMLGYAAIDDTYLAYYTKNNRVILPDDDNHGDN NNSDDNSDDDDDLPEMSDKVPMASSSIAPNPSFPPPQSSSVVMTKSKPIISTPNDNTS DNTSDDDDNELPVTMEHHQSPPPPRKSPKIPSIQPPNQRRPRVAHELSSHLHTLMQTH RVKACARAWSDWRRAIDLRKRTDAAATTLQRFVQTVSCRDRVARRIKQRLQQRERQVH VRRVVHLCARRQTRAALAQWRTYSVECVVVNVLQTHIALSFQRKHVVQTTFDRWRNVW SASVLRKRTLHRLLRHVTSAATRKALVTWHAWRLEREVLAAFTKAQDEQTKHKLLVQA MTAQSQAEATAWSDQAKESSEHVQREAALTARIATLTTQLHEWTSKSKADAAVQTEAG ASASAARTALLSHTLLKTVVGEVKEKNLRAVIDSLETELQATAEREAKLQDEYKHVLE SLVRHASQLADAQKALKRYQVVVDEQATEMAKMEASFADDKKTAIDTESTLRKQIHDL EMSMADVKRRDDVKKSVESTATQDQTMGLMEDLSKREATLLQTKIDALERDVREREAA QLKMVLAHDVAVSQWTAKCQALEATVTTLEARCAMLKTSSTPPPLLSSPEASPPPMSS PPPMSSPPPMSSPPPMSTPPPMSSSPPMSSSPPEASAPPILSPPPMSSSPMSSPPPMS SPPPEASPRRETPSQRVDSIAEQLEVHKKMLELAEMKLLAATAQLHDKDVLERRRIEA EIQVHGQFNTHVESFLEALVTKYDLQLLRVGGELELLTIQCEKDPKGAQFRAASNLVG YVQEERLAQYKDLSDLHAELLHLRQLAFQISSPATSLVGLTDLPPLTPNSQFLYDMLL QRLNRLHQLNQTMAHQAAALGPNMQDTKKLDYAKFLAQTMYARQVDFITQATTVLVHI ARLQTHLEPYRV H257_14372 MPVTQQSITKDASTALDLLFVSTVGLSLRRSAKLLPPPLSTLAG SDLDSRECQRQLAAFDVRLAAAEIAAASSTQHLQPNDTASASVPVTATPLPAVASTAS LASSASKASVGGSNNNNNADGKQQLGGAASSKGSLLPHSKSDASVADSSKGSSSSPSD ADDNDSPHVYLRCTLPDRHSDIDACSLDWCAELHDIVVATESTTTPSIDRAFVCKMVH NLRLTKLAINHIDAAFARDCMHLERLDICDNVLRSLDHLPPSLLEVDAYRNQLQTVHL SSSSPTPHLVHVGLGLNMLTSLPTLSHPHTLLSLDLSYNHVTDFAHVLSGLNVFSGLR HVFFTGNPVVLCRGYRHALLAGHPNLYVLDDITVSDKEKDVLLQSPAILADNGADLTV YVTAVGFPLRKPQSDATAPSITYEATLDVLPGQWSVTMKEEGSMKQPDQPSVAAVPAP GGALNFEATRVPLPVSLTLRDSVKFHPASVRIYEVLQPPPSAPPPAGAATTTDAEPRP PLQELRVSVNVDLGGFLKPCSLEHRLGELTVVKSFQCIALKSQTITVVPDVMPPPSTK GGSDKGGPPPEPPVTQDVEITVTVKFVLNESERVRPSPSREQVGSKSKGRH H257_14372 MPVTQQSITKDASTALDLLFVSTVGLSLRRSAKLLPPPLSTLAG SDLDSRECQRQLAAFDVRLAAAEIAAASSTQHLQPNDTASASVPVTATPLPAVASTAS LASSASKASVGGSNNNNNADGKQQLGGAASSKGSLLPHSKSDASVADSSKGSSSSPSD ADDNDSPHVYLRCTLPDRHSDIDACSLDWCAELHDIVVATESTTTPSIDRAFVCKMVH NLRLTKLAINHIDAAFARDCMHLERLDICDNVLRSLDHLPPSLLEVDAYRNQLQTVHL SSSSPTPHLVHVGLGLNMLTSLPTLSHPHTLLSLDLSYNHVTDFAHVLSGLNVFSGLR HVFFTGNPVVLCRGYRHALLAGHPNLYVLDDITVSDKEKDVLLQSPAILADNGADLTV YVTAVGFPLRKPQSDATAPSITYEATLDVLPGQWSVTMKEEGSMKQPDQPSVAAVPAP GGALNFEATRVPLPVSLTLRDSVKFHPASVRIYEVLQPPPSAPPPAGAATTTDAEPRP P H257_14373 MDERKRGIGESSGVQPSGESKRIRRDEGNTSSHDAAGDSDPHHV KDDDDDDDAASTDKQLRERNMALRDALLEKNRRIQFLETKCGDLFVHRHAIDARLQAV LNQWTILLKTLHTLLPNASSKDIVNDIKTSLGFIAPPTSSTSLPPGIQCEIDAWFLTG TEPAAPPSSPDNDAIHGALTSELDLVTSWVHTLLDNTIAPDDLTKAAIDAKLAAERQV LAYQDKLQTYKLQVHELKSDLNKKELERHSACRRLDRAATHNKMTISSSKKALDNESK EPTTAADVEGNDASKWKQKADQADQFAKEVADKLDKALTDTRKAKASEYAIKQSMDAL EKQHAETLDHLQEELASVKGEYQKLKYKAKDIAAHMSDKWGKKLVKLSADNAKLKTKL DDAALKNAELRQRVTTYAAYKDQVSEMKSLVSSLETELTGTKERLDMANAKQQQRKLE TTDAQELVEAHESEINALVAEVEAVAKETDGLRAQLAKSMAKMTAKDATISKLHAAVA KAEQANALSFDELAGVRLQVAALTTLQRNQKTLEASLQEALKLKEDELTSVRDHVKAV ETLKTDADKDKIKFAREVALAKQTLQMQKQVEQSVEPTKPCEQCQVHHRQEKLRQDKL REARASLANNGDGGGEVSELERYELVELRKKVKCSVCQDAPKEVMISKCSHMFCKECM ESNLKARNRKCPTCKKMFGQDDVKGVYWT H257_14374 MFVARQTSLRRWTPSRPAAAFSSIYMPGHKTFLKTESYAPPTWA SALANPPTERVVLGNFPTPIFPFRPPGLPDGVQMFIKRDDYSGLEMSGNKARKLEFLL AEALRQGADCVVTCGGVQSNHCRATAVAARMMGLDSYLLLRTSDTETDPGLVGNLLID RLVGAELILVPRREYAKQGGGDYFIAKTVEKLRKQGRKPYGIPVGGSNGLGTWGYLES IEEMEHQIEELELPITDIAFACGSGGSAAGIGVGAYLYAQAHQDSSLQFTRSELPPVH AYIVCDSPTYFYDHIDQVILPGMGLGTAPSFSSRDCLQMTNAQGLGYAKNTKEELAYI SLVARTTGVLLDPVYSGKALYMLVKDLQDAPHKFTGKSILFVHTGGQFGLFDKVAELN DDVVASNHVSVFQEN H257_14375 MNAPKRSVISKSWAPVRTHAGIYTGGKVQLSPQRPGVEAGSTFA CMLQDDVAVVDATTGALLFTLQADKLDEEKEAILSFALRPKHNHIVTASRNSLLRLWD LETRTCIRTIKTTDVPILCMDFDPTGTLVATGASDRCVKVYDIEKGFVTHNFKKHSGI VTLVKFHPDAKRLQLVSASDDSTVRVWDLVAQKEVGCIKDHMSPATTVAFSNDGYTLL SSGRDKVINFWDLRKQVLVKTVLAHESIEGLVVLPSPPSDGIVFATAGEKGLVKQWKL SSGAICSVVASQSSDNSLTHDQDDSPSPHVAYTDLLVNAARNELVAVTSEHNFLLLDQ ATLLRTRQIIGFNDDILSLKFVPSADGNGLSDRIVAATNSNQIRVMNRHTLSCDLLAG HADIVMALAVSPDGKWLVSASKDGTARVWDLVTLRCVAVGAGHAESLGSIAVSQKLHS YASGSAFFVTGSSDKTMKLWHLARASASPSSSLTSTTTLSAAAATKAHDKDVNALAVA PNDRFIASGSQDKLIKIWNASTLAVVGVCRGHKRGIWALEFSPVDQCLASASSDKTVK LWNVKDFSCVKTFEGHTASVLNVQFVCAGMQLASAGADGLVKLWTIKTSECEATLDHH VDKIWALAVAKDSSEMISGGADSTIHFWEDLTQEQMAADKIESDKKVLKEQELANCLR SHDYLHAIELAFEIQHPFRLMQILRDVKEGPKDQSVMAKPREEDGLVYDKVVAQLGND HLRQLLEYIRDWNTNSKHSEVTQLLLASLLRVVPPSRWAKMDGAGKLLEGLIAYTERH FQRMERMLQKSYLVDFTIVSMQKLMPSSSGLENEVDDVKEVDDVKEDEEVPAVEVVPA VEVVVKTKQPKKRPVDKAVAPSKHTKQRKLKA H257_14376 MADEAMPLYAMAVVHIQQQVDELECLASMFPSDDEMHVDPYVKV LFESAAMSATEASATIDLPLIHVTLYLTTCPVDNHIAELKLSFPKDYPTEPLDVELVC PTLPRAIRTSIADALEEIATSCAGDVSTLQIYQEAVARIEQASHSFGDAALDLPTICR PPPRPAINTSEIGRRAIYFHHIIAPGKRQVVKDWAKELHLGGFSKIGWPGVIVVEGVE AFVSEYVKRLQHLRWKQMVVRGEQVDMERKLPSGLVELTDMSDLATRCAAAGLTALFL TSMKIYR H257_14377 MSSKKHRDENYGFKRSSGVDYTQVKDRVIDDDRFERQRAIRAGQ MDHPPVSAEAALVGAKSATMDAFVRMLTGQEEKMSMADKLAGPSNRPTWEEFKKENGD KLALSASSQEKEMLEYRRQLDLEREKVLKKRSKKGKKRRKHGHSSDDDDDASSSENDK HKKKKHKKKHKSSKSSKKRSTSPMRLSSFFEETS H257_14378 MKPWKAAILTLVAVVLAAVAQGDQCSAIEEDIDYYGNDIRSTNQ FRADDCCNDCSLTPNCVMFVWSNGTCWLKYSLQGTRSRSFGKRAGFVHRATQCSVIME NKDYIGGSWYDLAKTLQSRAEACCSDCAATYGCAAWVWYNDTVWTKNGVTGSGTCYLK SKGYVHDNSTMSRPGRRAAEYRNYVPTLGPPPSSSYDSSSSYSSSSNSTINAILIVVG AIGVFAGCAILCIKVCSEVSANYPNAPLTTDTPRQLQPEYQNLGRRRQDIDNKSEHLW RCGICWFDSPSDKGACMLCESDRGTSFESPSDLNAVQHSAWARNQWVRTFDHVDDVAM WKQRPTHATTCADYFFVIASSNLVTDNDDDACHCLTWQPLTRETSAAATLSGETLLSS WFLNDADDTGVPSVVPFQEKFATSLIHWTHTVTSVTKIKIHRDTVWPESVAALVEIRA ASKTKVVFLGEEGVDAGGVQREWYSLLSQAFLDNGLFIEHDNRSLGLNPQYDADPMHF VVLGRFLGRAIIDGQVLPFSLTVPLFKTLLGYPVSIEDIRYIDQTTYTSLVYVRDTAD VGALALDFTWTLPDGTSVELMQGGSDVAVTEANQAEYVAALVRFWLLDAVQGPLALVV RGFYDVLSPDVMFRFDYKELELILCGTSEINIVEWQFETVATPDLTASPALGWFWDVV ERDMQPHDRAKLLQFTTGSSRVPLQGFRALTGNDGQLCPFNLKGVPYTKGALPKVHTC FNRIVLPLYPSRYLMHEALFVLVNMEVKEFTMK H257_14379 MSAPAPPPSKGNPSYFSDKKKGEVNELKNLLRDSTVERDSKKKR EIIKKVIAYMTLGIDVSRIFSEIVMCVDTKDIITKKMVYYYLTNYANKNADLAILCIN TLLTDCRNEDPLVRGLALRSLCSLRLETMLEYIHEPLQHSLTDTSAYVRKTGVIGILK VFSLNKELIKDSEMVDTLYNMIRDRDPQVVSNCLVALNEIMADEGGIAVNQQIVLHLL TRISEFNEWGQCNILHVVSTYRPSSEEEIFNIMNILEPQLRVSNSAVVLGTAKCFFNL TDKMPQVHTQVFERMRQPMLTLMAGGSHELNYCVLHHILLMVGKYPRVFSPDYRQFYI RYNEPSHVKYVKLDILSGIAENHSVADIIMELSEYVTDVDQELSRRAVRAISQIAIGN SFGHEGLDAMHDQIVDTMVEFLEMNLDYVRDETLVVMKDLLRKFPHKVDDVLDVLPRI IPKVDHAPAKCAVIWMLGEFGQDLRRAPYVLERLIDSFADEIAPSVLLELLSASLKLF FKRPPEMQSMLGRLLQAAMDESQRNIDVKDRALFYYRLLSQDVNTAAQIVRQFEPDIV DVFAEMVETDLQDKLFREFNTLAVVYTKPSETFVSAAHLITRLNVESNAGGGDNDEEE DEDEDEEDEPHVQPPLPYGNHGGPRPPAAAVDLLGMLDFSHPTTTAPPPAAFQLTPNP SMDAATFQNLWGSLRVVSQIQLKMPAIPAQGDIERAFGAHGILTMAAGDVGPQYKFFF YAQDSQRNYYLAETVVEKAQLVLYATIKGQDEVGGTQFGDHFKRVLFG H257_14380 MALRAAVRSFAVVALRPKPAAEVFHAQTFQVRKMSVFSSIKDNI SHRLETRKNDKNAQAYVDQINFLANSKTYTLQDHFEQMKKQAEAGGVTGWKSMMPGVS SMPQIQQMKASLQIMEAMEQTHRENPALINGKVKRIVSEKVGQSLEEINNTLRSYEQL NSLRLWLRKRVERGLPLPDSLDNTTEMIREDPTGFPTKNLRPKRRR H257_14381 MDTVVECMMEDQVMKNRHCVESSSRVVTSSMQWKSSAPRFDDGH EQADDMIRLAPSVQVRSTSNHNFPSTKVTSRRNIKHPRLAHLGTYHILREWAAKVIQT RYSHFRQKRMLLTRHVEAIKVSQRAIAFDIMERLVGDFIQLEFIPDLLIDIFTTGIDE YTPHPVEVQAMHQFYHALVQEVVLDNVRDIATATVDRLVAAYFTAATNDAIMQATTTQ QLQHPWLRLVTLFVHDWIAPFLREIVVEGVDDLVNQYLTAKQHYAFLDTWMHQIIAET AASIHHDIQLDAFFDTLLHDVMQSQLVDVVASEVALAQTAINQGIQRRERALISDTAS ASLLDHAMLRGLLELLALKADRLAFKDSCDQLLQMMMLKQMLSLQKRQAEQEAVVRSS AVLVACHNQCLQAGLGQLISGLVQRGWDDWENRIHMDELNETAAAAAAIALRNSTKQP S H257_14381 MLLTRHVEAIKVSQRAIAFDIMERLVGDFIQLEFIPDLLIDIFT TGIDEYTPHPVEVQAMHQFYHALVQEVVLDNVRDIATATVDRLVAAYFTAATNDAIMQ ATTTQQLQHPWLRLVTLFVHDWIAPFLREIVVEGVDDLVNQYLTAKQHYAFLDTWMHQ IIAETAASIHHDIQLDAFFDTLLHDVMQSQLVDVVASEVALAQTAINQGIQRRERALI SDTASASLLDHAMLRGLLELLALKADRLAFKDSCDQLLQMMMLKQMLSLQKRQAEQEA VVRSSAVLVACHNQCLQAGLGQLISGLVQRGWDDWENRIHMDELNETAAAAAAIALRN STKQPS H257_14381 MDTVVECMMEDQVMKNRHCVESSSRVVTSSMQWKSSAPRFDDGH EQADDMIRLAPSVQVRSTSNHNFPSTKVTSRRNIKHPRLAHLGTYHILREWAAKVIQT RYSHFRQKRMLLTRHVEAIKVSQRAIAFDIMERLVGDFIQLEFIPDLLIDIFTTGIDE YTPHPVEVQAMHQFYHALVQEVVLDNVRDIATATVDRLVAAYFTAATNDAIMQATTTQ QLQHPWLRLVTLFVHDWIAPFLREIVVEGVDDLVNQYLTAKQHYAFLDTWMHQIIAET AASIHHDIQLDAFFDTLLHDVLSLRLF H257_14382 MYGPTSILSSAGMGPSIMPSTPLRALTYNVPSTVILLDWDDTLF PNAYLAKQRYTLDDVHEPLSTQDQILMELLMQHVTTFLKACVDANRTVMIVTNGEADW VERSCKRFMPAIYPLVASFRIMSARAKYENTYPIEEWKVACFTSELTKHFVGDMTGRQ RHIVSIGDSHYERQAVQMMPSCLPLTKSKSVKFVDYPSIPDMVRQLKLVSTYLSHLCT HPDHLDLILSREILRGVVI H257_14383 MDAPTLHSILLHTFSTDADARKAAEEAIKNLHTVRGSIVLLVQL LSSDDVQREIRQAAAISLKNIVHRHWGVLDGGSDDDHDNGRGDGAVDPNPSAFPDADK DEYRSFILEGLFAAQDNSIQALLVESVSIVARQDFPHKWPLLVDNICAAMQSGHATRI INALLALRKLVKIFEYKPSHQRDTLNTIVAMTFPLLRTMLQQLVGNPSDDAGHMVHLT VKVFWSSVQCALPPHMTLEEIGAWLELLKAVLTKSMPDPPVHGGDEDDDGARRNPWWK AKKWTLQVICRFYNVFGNPKHSNSTPELSAFFRGQVAPHLLVAVLETLSLRPSGRYCP DRIVQLSLMYVQEAILSATAYKQLQPHLDFVLFKVIHPLFCLTRSDLDLFQSDPHEYI RRCSDVLGEYLNPVFAAEGLLVELCTKRGKACVVKVLSFYNDLLVPAPTDEAQWIQKE AALHALCALDSFLTLSPPHQAQMESIMLMHVLPAFENPRGYMRLRAVKMLSRNYMTKL VFADPTMSHIIHSLLRCLQDQELPVRIEAAKSFRHVVVYAHSTVVLDTLRPLLPQVLD QFFVIMDDMGFGDEVVLALEQLIDSFCDEVGPYAIQLVVRLTLRFKQCLDKEDDDEDA CFTAASCLDTINTILMSIYNQAELFEPLIDALLPTLHLLLSSDAYLDFVESALDIVKS IAYYSAAIHPKVWALFPTLFRGADLWGSEYMHQLVVVLYSLIGRDAAGFVSAVFQVNL ANGTTKRIRYIELVYNLVRKLLHKEHVDDEEVWGASAIVECVLHNCDGVEVFIPAVLQ LLCFRISRTAVDDPRPLTQLLSALLAALRYNPTLTIAALDKMKVLEPILNALDKNADM RTSVSEQKIYVLGVVALLGRPAADWPVAVQGALKMLVWKAIQSLHRIIARTQQQLARE EAAAHGGSAANLPPADKSLQALIHKGGYDSDEDADLMLHNDDDYAHVLHDLKGGDDLD DEEDKDYYSRIDSIDEVAVFLQAMHGIKDSQPATFEALGLANNQEFFHSCEVFAAELR RRQEVLGRASVPDALEG H257_14383 MDAPTLHSILLHTFSTDADARKAAEEAIKNLHTVRGSIVLLVQL LSSDDVQREIRQAAAISLKNIVHRHWGVLDGGSDDDHDNGRGDGAVDPNPSAFPDADK DEYRSFILEGLFAAQDNSIQALLVESVSIVARQDFPHKWPLLVDNICAAMQSGHATRI INALLALRKLVKIFEYKPSHQRDTLNTIVAMTFPLLRTMLQQLVGYVQHPCRHCEMSR RNPSDDAGHMVHLTVKVFWSSVQCALPPHMTLEEIGAWLELLKAVLTKSMPDPPVHGG DEDDDGARRNPWWKAKKWTLQVICRFYNVFGNPKHSNSTPELSAFFRGQVAPHLLVAV LETLSLRPSGRYCPDRIVQLSLMYVQEAILSATAYKQLQPHLDFVLFKVIHPLFCLTR SDLDLFQSDPHEYIRRCSDVLGEYLNPVFAAEGLLVELCTKRGKACVVKVLSFYNDLL VPAPTDEAQWIQKEAALHALCALDSFLTLSPPHQAQMESIMLMHVLPAFENPRGYMRL RAVKMLSRNYMTKLVFADPTMSHIIHSLLRCLQDQELPVRIEAAKSFRHVVVYAHSTV VLDTLRPLLPQVLDQFFVIMDDMGFGDEVVLALEQLIDSFCDEVGPYAIQLVVRLTLR FKQCLDKEDDDEDACFTAASCLDTINTILMSIYNQAELFEPLIDALLPTLHLLLSSDA YLDFVESALDIVKSIAYYSAAIHPKVWALFPTLFRGADLWGSEYMHQLVVVLYSLIGR DAAGFVSAVFQVNLANGTTKRIRYIELVYNLVRKLLHKEHVDDEEVWGASAIVECVLH NCDGVEVFIPAVLQLLCFRISRTAVDDPRPLTQLLSALLAALRYNPTLTIAALDKMKV LEPILNALDKNADMRTSVSEQKIYVLGVVALLGRPAADWPVAVQGALKMLVWKAIQSL HRIIARTQQQLAREEAAAHGGSAANLPPADKSLQALIHKGGYDSDEDADLMLHNDDDY AHVLHDLKGGDDLDDEEDKDYYSRIDSIDEVAVFLQAMHGIKDSQPATFEALGLANNQ EFFHSCEVFAAELRRRQEVLGRASVPDALEG H257_14383 MQSGHATRIINALLALRKLVKIFEYKPSHQRDTLNTIVAMTFPL LRTMLQQLVGNPSDDAGHMVHLTVKVFWSSVQCALPPHMTLEEIGAWLELLKAVLTKS MPDPPVHGGDEDDDGARRNPWWKAKKWTLQVICRFYNVFGNPKHSNSTPELSAFFRGQ VAPHLLVAVLETLSLRPSGRYCPDRIVQLSLMYVQEAILSATAYKQLQPHLDFVLFKV IHPLFCLTRSDLDLFQSDPHEYIRRCSDVLGEYLNPVFAAEGLLVELCTKRGKACVVK VLSFYNDLLVPAPTDEAQWIQKEAALHALCALDSFLTLSPPHQAQMESIMLMHVLPAF ENPRGYMRLRAVKMLSRNYMTKLVFADPTMSHIIHSLLRCLQDQELPVRIEAAKSFRH VVVYAHSTVVLDTLRPLLPQVLDQFFVIMDDMGFGDEVVLALEQLIDSFCDEVGPYAI QLVVRLTLRFKQCLDKEDDDEDACFTAASCLDTINTILMSIYNQAELFEPLIDALLPT LHLLLSSDAYLDFVESALDIVKSIAYYSAAIHPKVWALFPTLFRGADLWGSEYMHQLV VVLYSLIGRDAAGFVSAVFQVNLANGTTKRIRYIELVYNLVRKLLHKEHVDDEEVWGA SAIVECVLHNCDGVEVFIPAVLQLLCFRISRTAVDDPRPLTQLLSALLAALRYNPTLT IAALDKMKVLEPILNALDKNADMRTSVSEQKIYVLGVVALLGRPAADWPVAVQGALKM LVWKAIQSLHRIIARTQQQLAREEAAAHGGSAANLPPADKSLQALIHKGGYDSDEDAD LMLHNDDDYAHVLHDLKGGDDLDDEEDKDYYSRIDSIDEVAVFLQAMHGIKDSQPATF EALGLANNQEFFHSCEVFAAELRRRQEVLGRASVPDALEG H257_14383 MQSGHATRIINALLALRKLVKIFEYKPSHQRDTLNTIVAMTFPL LRTMLQQLVGYVQHPCRHCEMSRRNPSDDAGHMVHLTVKVFWSSVQCALPPHMTLEEI GAWLELLKAVLTKSMPDPPVHGGDEDDDGARRNPWWKAKKWTLQVICRFYNVFGNPKH SNSTPELSAFFRGQVAPHLLVAVLETLSLRPSGRYCPDRIVQLSLMYVQEAILSATAY KQLQPHLDFVLFKVIHPLFCLTRSDLDLFQSDPHEYIRRCSDVLGEYLNPVFAAEGLL VELCTKRGKACVVKVLSFYNDLLVPAPTDEAQWIQKEAALHALCALDSFLTLSPPHQA QMESIMLMHVLPAFENPRGYMRLRAVKMLSRNYMTKLVFADPTMSHIIHSLLRCLQDQ ELPVRIEAAKSFRHVVVYAHSTVVLDTLRPLLPQVLDQFFVIMDDMGFGDEVVLALEQ LIDSFCDEVGPYAIQLVVRLTLRFKQCLDKEDDDEDACFTAASCLDTINTILMSIYNQ AELFEPLIDALLPTLHLLLSSDAYLDFVESALDIVKSIAYYSAAIHPKVWALFPTLFR GADLWGSEYMHQLVVVLYSLIGRDAAGFVSAVFQVNLANGTTKRIRYIELVYNLVRKL LHKEHVDDEEVWGASAIVECVLHNCDGVEVFIPAVLQLLCFRISRTAVDDPRPLTQLL SALLAALRYNPTLTIAALDKMKVLEPILNALDKNADMRTSVSEQKIYVLGVVALLGRP AADWPVAVQGALKMLVWKAIQSLHRIIARTQQQLAREEAAAHGGSAANLPPADKSLQA LIHKGGYDSDEDADLMLHNDDDYAHVLHDLKGGDDLDDEEDKDYYSRIDSIDEVAVFL QAMHGIKDSQPATFEALGLANNQEFFHSCEVFAAELRRRQEVLGRASVPDALEG H257_14383 MTFPLLRTMLQQLVGNPSDDAGHMVHLTVKVFWSSVQCALPPHM TLEEIGAWLELLKAVLTKSMPDPPVHGGDEDDDGARRNPWWKAKKWTLQVICRFYNVF GNPKHSNSTPELSAFFRGQVAPHLLVAVLETLSLRPSGRYCPDRIVQLSLMYVQEAIL SATAYKQLQPHLDFVLFKVIHPLFCLTRSDLDLFQSDPHEYIRRCSDVLGEYLNPVFA AEGLLVELCTKRGKACVVKVLSFYNDLLVPAPTDEAQWIQKEAALHALCALDSFLTLS PPHQAQMESIMLMHVLPAFENPRGYMRLRAVKMLSRNYMTKLVFADPTMSHIIHSLLR CLQDQELPVRIEAAKSFRHVVVYAHSTVVLDTLRPLLPQVLDQFFVIMDDMGFGDEVV LALEQLIDSFCDEVGPYAIQLVVRLTLRFKQCLDKEDDDEDACFTAASCLDTINTILM SIYNQAELFEPLIDALLPTLHLLLSSDAYLDFVESALDIVKSIAYYSAAIHPKVWALF PTLFRGADLWGSEYMHQLVVVLYSLIGRDAAGFVSAVFQVNLANGTTKRIRYIELVYN LVRKLLHKEHVDDEEVWGASAIVECVLHNCDGVEVFIPAVLQLLCFRISRTAVDDPRP LTQLLSALLAALRYNPTLTIAALDKMKVLEPILNALDKNADMRTSVSEQKIYVLGVVA LLGRPAADWPVAVQGALKMLVWKAIQSLHRIIARTQQQLAREEAAAHGGSAANLPPAD KSLQALIHKGGYDSDEDADLMLHNDDDYAHVLHDLKGGDDLDDEEDKDYYSRIDSIDE VAVFLQAMHGIKDSQPATFEALGLANNQEFFHSCEVFAAELRRRQEVLGRASVPDALE G H257_14383 MTFPLLRTMLQQLVGNPSDDAGHMVHLTVKVFWSSVQCALPPHM TLEEIGAWLELLKAVLTKSMPDPPVHGGDEDDDGARRNPWWKAKKWTLQVICRFYNVF GNPKHSNSTPELSAFFRGQVAPHLLVAVLETLSLRPSGRYCPDRIVQLSLMYVQEAIL SATAYKQLQPHLDFVLFKVIHPLFCLTRSDLDLFQSDPHEYIRRCSDVLGEYLNPVFA AEGLLVELCTKRGKACVVKVLSFYNDLLVPAPTDEAQWIQKEAALHALCALDSFLTLS PPHQAQMESIMLMHVLPAFENPRGYMRLRAVKMLSRNYMTKLVFADPTMSHIIHSLLR CLQDQELPVRIEAAKSFRHVVVYAHSTVVLDTLRPLLPQVLDQFFVIMDDMGFGDEVV LALEQLIDSFCDEVGPYAIQLVVRLTLRFKQCLDKEDDDEDACFTAASCLDTINTILM SIYNQAELFEPLIDALLPTLHLLLSSDAYLDFVESALDIVKSIAYYSAAIHPKVWALF PTLFRGADLWGSEYMHQLVVVLYSLIGRDAAGFVSAVFQVNLANGTTKRIRYIELVYN LVRKLLHKEHVDDEEVWGASAIVECVLHNCDGVEVFIPAVLQLLCFRISRTAVDDPRP LTQLLSALLAALRYNPTLTIAALDKMKVLEPILNALDKNADMRTSVSEQKIYVLGVVA LLGRPAADWPVAVQGALKMLVWKAIQSLHRIIARTQQQLAREEAAAHGGSAANLPPAD KSLQALIHKGGYDSDEDADLMLHNDDDYAHVLHDLKGGDDLDDEEDKDYYSRIDSIDE VAVFLQAMHGIKDSQPATFEALGLANNQEFFHSCEVFAAELRRRQEVLGRASVPDALE G H257_14383 MTFPLLRTMLQQLVGYVQHPCRHCEMSRRNPSDDAGHMVHLTVK VFWSSVQCALPPHMTLEEIGAWLELLKAVLTKSMPDPPVHGGDEDDDGARRNPWWKAK KWTLQVICRFYNVFGNPKHSNSTPELSAFFRGQVAPHLLVAVLETLSLRPSGRYCPDR IVQLSLMYVQEAILSATAYKQLQPHLDFVLFKVIHPLFCLTRSDLDLFQSDPHEYIRR CSDVLGEYLNPVFAAEGLLVELCTKRGKACVVKVLSFYNDLLVPAPTDEAQWIQKEAA LHALCALDSFLTLSPPHQAQMESIMLMHVLPAFENPRGYMRLRAVKMLSRNYMTKLVF ADPTMSHIIHSLLRCLQDQELPVRIEAAKSFRHVVVYAHSTVVLDTLRPLLPQVLDQF FVIMDDMGFGDEVVLALEQLIDSFCDEVGPYAIQLVVRLTLRFKQCLDKEDDDEDACF TAASCLDTINTILMSIYNQAELFEPLIDALLPTLHLLLSSDAYLDFVESALDIVKSIA YYSAAIHPKVWALFPTLFRGADLWGSEYMHQLVVVLYSLIGRDAAGFVSAVFQVNLAN GTTKRIRYIELVYNLVRKLLHKEHVDDEEVWGASAIVECVLHNCDGVEVFIPAVLQLL CFRISRTAVDDPRPLTQLLSALLAALRYNPTLTIAALDKMKVLEPILNALDKNADMRT SVSEQKIYVLGVVALLGRPAADWPVAVQGALKMLVWKAIQSLHRIIARTQQQLAREEA AAHGGSAANLPPADKSLQALIHKGGYDSDEDADLMLHNDDDYAHVLHDLKGGDDLDDE EDKDYYSRIDSIDEVAVFLQAMHGIKDSQPATFEALGLANNQEFFHSCEVFAAELRRR QEVLGRASVPDALEG H257_14384 MTSVPDTNDDGQLSLRRCRSGSIGEKVDVREVLNGSVSFRLQFM EDTKARELKWVLFADGRRGAVGKLIFTLQAHNSAHIKVIDIHPNYRRLGLSKVLFLAC MATLKQRNIHELRLEAEEDTRRHHKLVALYQDWGFSVKQNAKILFLYNDTESFRKVPM ALSVSAAPFVPLEPTCNQSFCMISLRTVDGMFVVATEDGMIDTTKGASRDVFWQSLLL DDDDTSSSSILPPTLTRSGSGGGGKGSTICLRSAYGKFLCVEPVGTVLADRFVNSTWE TFDVLPHPTGGGVSLRSFHGNFLGLDPSTGGLAISPDPAAWDGDAINLMCNKSNPTPL HVKITRKHQTTAFALSQRRRFVDPLHHASLTLVEACQTLMQLNGESAGKVGPSGVLAH MLHDANVVRDHGHPDWLQLAIFLRGLGMLFLLWTDEDAMMLRGISYDQWLHHTDTWVL GRMIPGSIAHANLNELNPDININTTATTTEGQGRGMAHVDMPWTPDEYLYRVLAGNPN TWPHEALDVIRYFSCRVWYLHDAYPDVETTADVASKELLYALHMERQVPTAERLAALD VDGSLAYYLSLGAKYLPAVLQW H257_14385 MYRNISVSRSTKVHSVIGSANLRPTTIMLPSPRDTATSLSPLNV DFGSRALLRRHTVTFQDPTLVPAFVLGNRDRVRALRAKLDVNSASSFPNLLVLNRAMI DQASRTDGGILQLSGGESLVMEFLSADDLVSGSMVCRSWRTLCRMDHLWTKFLYTPVE RYPLRQLLHLEDDRMYPAIQVYMHFRSSGLVQPRSSCVHIGTNVALKQSRGTVPFRQW LDSQPSPLPSSTLRAVCRQLLVAATAVVSTESREVSEFPFDAMYMHYDEACGAVASSH EGRVPLLQVATHAGDLYRSDHDWHHRHRRHRNDDDHFFTGPALNDGDGQLPSSPRHHH QPSIPRLVLSFLHSALDITVGREVAHENLVRRCLTGHASMDPALKSMLEYGMYLLQCP RHPDLFSTLLVHPFFHDDSTTTSWPSVDLTLHTMTPATYLANVVTWFHATPALEQRIL FPFTFTPSTWVCHDVIHMTDVDTSALLRYRYTSLRAPTTADSNWMAVAAVHQASTLHS LDLSLVQLPTSTILNALGPLRNLTDLILPQTWMPNNHMEPLVAAFQSHLSKLERVDIA FLTALQTLDDSYSQQLRIVDFAYPPDKAAVIKAA H257_14387 MLHNVTTHVKGWFTKRASYDPRSCSSVPPVPEGVHVDHIQPLFP SLIADPSTVLSPASSSATALLPKAKARLVHRVDDHTIVCSRVAVEDLNPTHHFALSHR WEERTMALGCESFTVRCDNEPPYAVPLTPTEASQLATYLSTLGPDQSKIGIWVDYVSM NPTSGSDKAAQMNVLGAVFATATTVPTGDGLHAVVPTSDEYFAVHQERHFGHVRFIWT DMESVELRLLMRLATDAAGRVPGLTDFLPLIDMPYTLYESWRLIALEKLVAVDYPATA TLCRHMLELAQQPRGDANQRELAITALRIRELVPCMHQVDPATWQLHLFATAQATDHL FHTWAVPMHLHGLDVFFDRPDRTWDSIASHFPDADYGFFAPHDAPQSPYGGSTSFANI GFAPVNELVRHMGHNTVAAAAPPVGSASFVAASWTYRLAWDTGHVAVAWDAKLPHQTY HFVASRACLLRGVKKPPPPSSAKGGTFKRHNDTLSNATTTTTRGSTSHDKSSTSHLDL AVVDYRDNDAIDDDVDEANNGRTDGRMRKFVLLVARLKRLGAAIAPDVPDMDIAIEIK TQMERIAASSSYLGR H257_14386 MRRCQNDQTAAALGGLTSGRQLEPSYITVLADLGFMAPDDFESG SLVAGDNLVLSQGSNGHGESEKECELRVNPTKDMGTYARVPFASFTPMAASRHPVRDF DDFIAHYLHHHPRQSEAGKLRAQILKRLLGQLQISTMGKRHKQSAVGGRPRENKRGSS VISLKGQDKVAPGAASKWNGLAIHHVDHEATLEERKKALIANVPILSVVIANGVPESD LMDGLVPLSFAVDEPIITKGETDTRLFFVESGRVVISKGVRMKKYDNGATSYREEYEY FGEYPFAMRGEAQRTANAVAAGDVRCFSMDLAACNVLLASVQMLLHYRFLMREHGVLD NLNVFSALNPKQRGRMLDLTTLKEYTDGDFICKQGELDDQYFVIVEGTAKICIKTNGV DVELVRKTPFQGFGEMGLFGKARTAAVIAVGTVTCIVMDRDSFVKAQTFVLDGDAESI AATNVTNEWELMRQIETLHENPHVVAYLTRFIRRFKRSHNAKFMGKTLYTDLFRRVFH NPKLALEFPSISHKIDWFDATSAMKFIRYEAKRLMTSRDPSKPDAMAVLHAEDLAFLG RLTDTSSLLDKFRVSDAPNTPEEKYAMATQLAKIMEFYSVKAGKYIFKQNVVEGKAYV VLSGVVNIVLEDVTNNKGTAPANNNIIASLSAGDSLGEMSLVSNMARSASAIAGTDAE LILIERRNFAKYFASRPGFKIRHYIIDRADFLSKLSFFAQYDHKSCIRLSYDMGEVTF SGRHVFVSEGHHASTFVIIKEGQVGVYKAPRSTGFDKNACPADGCMLVGFLGPRECFG MSSLQLAAVEGATYVAMTPVVALELVDWKARRLESSVQDLIRKILGSRGQYEARTAAD MVAKGTAILCKPAAPWCSLGDDKNRLQHHIDDREARHSGSSCTRVMKNLDDVESKIQR WREGGDDRSRDGLAADEEQMRSALPTHELPQPTTSRRSVLLGSFLSKTMQNFHKTTGK TLAVAVAPAPTVKSNEIHLLEASHALKHALPHKGIGKQHHPPTGKPSRDPNDHVNKNQ QSPWRDEQSKSGAEDDDCQDIDQDKGFEVDDDAASRMWQLDRIITDNLYL H257_14386 MRGEAQRTANAVAAGDVRCFSMDLAACNVLLASVQMLLHYRFLM REHGVLDNLNVFSALNPKQRGRMLDLTTLKEYTDGDFICKQGELDDQYFVIVEGTAKI CIKTNGVDVELVRKTPFQGFGEMGLFGKARTAAVIAVGTVTCIVMDRDSFVKAQTFVL DGDAESIAATNVTNEWELMRQIETLHENPHVVAYLTRFIRRFKRSHNAKFMGKTLYTD LFRRVFHNPKLALEFPSISHKIDWFDATSAMKFIRYEAKRLMTSRDPSKPDAMAVLHA EDLAFLGRLTDTSSLLDKFRVSDAPNTPEEKYAMATQLAKIMEFYSVKAGKYIFKQNV VEGKAYVVLSGVVNIVLEDVTNNKGTAPANNNIIASLSAGDSLGEMSLVSNMARSASA IAGTDAELILIERRNFAKYFASRPGFKIRHYIIDRADFLSKLSFFAQYDHKSCIRLSY DMGEVTFSGRHVFVSEGHHASTFVIIKEGQVGVYKAPRSTGFDKNACPADGCMLVGFL GPRECFGMSSLQLAAVEGATYVAMTPVVALELVDWKARRLESSVQDLIRKILGSRGQY EARTAADMVAKGTAILCKPAAPWCSLGDDKNRLQHHIDDREARHSGSSCTRVMKNLDD VESKIQRWREGGDDRSRDGLAADEEQMRSALPTHELPQPTTSRRSVLLGSFLSKTMQN FHKTTGKTLAVAVAPAPTVKSNEIHLLEASHALKHALPHKGIGKQHHPPTGKPSRDPN DHVNKNQQSPWRDEQSKSGAEDDDCQDIDQDKGFEVDDDAASRMWQLDRIITDNLYL H257_14388 MTRLEITTWEYSKNNVNAVHNIHVLFCIDLHQTISGQKRLKPGK AGMAYARPGISRRFSEFRSLHDALTRDGFQLPPLPPANPWVDFWIRYFPVPTLATRLR SLQDVLDVINLSPPMQATPAFKTFIGPTPDTRLGYTTLREYNDAYSNYRWRGAVSFDG HRSSPRATTLTRVFSSTQLIGAQFGDGQRSRCSPGVVQPRTQVSTSAGTNSNDTATGW MVVMLRAKITIDWGQWQFPVGKTG H257_14389 MTRRPRLDSSVSLEMPPPEMLQRSVTSVSDDKPPASPTAHRRNS RQYSLPVLNLGFSTFKLTKEDHKRSPSPSKPVASPSKDKPSPSRHRRTPTKTAATRAF QRIAEDVEAGHVTDPGPHSSTDSDDEYSRSRRQMFPQSPKRHVTSYSLYLPDSCSSLK DQFLRIKERFTKPVVEQPGASSRSDVTATTTGPATSSPTASTSPEKPKTNSWRGMDPH DRRRVTADSGGWIDPRDLLDPTRNEEIAMNPMELMHELTKLNASAQKLFADDPWSHGA ERWVLEESHLRGYMTCWTIERGGELVVMIAQTMKSLNASVHPDISLQWIGRQLYGLTI QLPLARKELSMEHITVASGIDVALAKMLSLPDVAPWPPSLQASGMTTSTTPLLPSAEA SAMSSGGAVLPPRTTESFASNQPLPATPRSSTSPGHHVRSLSSDAAPTTMASPGSSMS SATKATLLVRSMSTDLGAVMAGTMQLPPSMLNDTKWKQQQRKLLVSGMAERRAAAVGP MPPSTASSSTKTYHALAAPTTTTNLFEDDPFQRCTPDKTGWLKKRSSGLNAWQLRWFE LKGNRLYYFKSEKDGIPRGAIVLDKVHCVKDDDYSDMPSSLTIAPSCGDFICLVKFSS KMTCHSYSRRSCTLRVGDGESDVELNGWINAICRASFHCYLALPPSPQGKVNQMQAKK SDNEMYKYSSFQYLRETDPLLWEINPLDQTAIRKKAATAASASDYAVLFTKYAHVLKH IVLPRPRPISIEQTLRDIIPELFLINNILYGGGETESIDDIFEVLEGYVRRFAASHDE CVHIVSAILQACARTIAGGDSYFVVRTLLGSSSTVIRPADNHGMPIVIDISSAKPTMF TITLQSAFMFHTVDEIERMDEADEVRPTCRVQTLHVQEMDFETNKSTRHLKIRQVLPD EGEPLDRNSHSSRSVNHDYGALLDGLG H257_14389 MTRRPRLDSSVSLEMPPPEMLQRSVTSVSDDKPPASPTAHRRNS RQYSLPVLNLGFSTFKLTKEDHKRSPSPSKPVASPSKDKPSPSRHRRTPTKTAATRAF QRIAEDVEAGHVTDPGPHSSTDSDDEYSRSRRQMFPQSPKRHVTSYSLYLPDSCSSLK DQFLRIKERFTKPVVEQPGASSRSDVTATTTGPATSSPTASTSPEKPKTNSWRGMDPH DRRRVTADSGGWIDPRDLLDPTRNEEIAMNPMELMHELTKLNASAQKLFADDPWSHGA ERWVLEESHLRGYMTCWTIERGGELVVMIAQTMKSLNASVHPDISLQWIGRQLYGLTI QLPLARKELSMEHITVASGIDVALAKMLSLPDVAPWPPSLQASGMTTSTTPLLPSAEA SAMSSGGAVLPPRTTESFASNQPLPATPRSSTSPGHHVRSLSSDAAPTTMASPGSSMS SATKATLLVRSMSTDLGAVMAGTMQLPPSMLNDTKWKQQQRKLLVSGMAERRAAAVGP MPPSTASSSTKTYHALAAPTTTTNLFEDDPFQRCTPDKTGWLKKRSSGLNAWQLRWFE LKGNRLYYFKSEKDGIPRGAIVLDKVHCVKDDDYSDMPSSLTIAPSCGDFICLVKFSS KMTCHSYSRRSCTLRVGDGESDVELNGWINAICRASFHCYLALPPSPQGKVNQMQAKK SDNEMYKYSSFQYLRETDPLLWEINPLDQTAIRKKAATAASASDYAVLFTKYAHVLKH IVLPRPRPISIEQTLRDIIPELFLINNILYGGGETESIDDIFEVLEGYVRRFAASHDE CVHIVSAILQACARTIAGGDSYFVVRTLLGSSSTVIRPADNHGMPIVIDISSAKPTMF TITLQSAFMFHTVDEIERMDEADEVRPTCRVQTLHVQEMDFETNKSTRHLKIRQVLPD EGEPLDRNSHSSRSVNHDYGALLDGLG H257_14390 MATSRQESQLQIVDAHQALQVGDEWSSERLVLHVKNIVGTIDVK TPLDLKTIALHARNAEYNPKKFAAVIMRLRDPKTTALMFSSGKIVITGASTEANCRLA ARKYCRVLQKLNFPAQYDMFKICNIMGTSDVRFPIRLEGLLNDHSRFCTYEPELFSGL IFKLVDPKLTFLIFVSGKLVICGAKATHDMHTALEKMYPLLLGYRKMAPAPSLEPVDF QEDADTDHQH H257_14390 MATSRQESQLQIVDAHQALQVGDEWSSERLVLHVKNIVGTIDVK TPLDLKTIALHARNAEYNPKKFAAVIMRLRDPKTTALMFSSGKIVITGASTEANCRLA ARKYCRVLQKLNFPAQYDMFKICNIMGTSDVRFPIRLEGLLNDHSRFCTYEPELFSGL IFKLVDPKLTFLIFVSGKLVICGAKVRVVGIILVGPH H257_14391 MMSTTSGVPVVASDRVSLEEAIKGLQVAIEKYQVLYKLSKLYLH FKDVNPVEVRLHEAACFVSMASIKRLLAEATTPPQSGKQVAYIAEADHHLNSAKAIYS DLTLHEPSQLECKRGLANILQEGGSLRYVQEKLGETQSMWAEACAVYEDIGDAPAVAA LRKKMDALRLAHEVEAYTQTLLERKGENRERDAILKAFMKFDKDNSVFFTGEMDACEF AALSMELGTFPALSVDEIQEAFVQLDSSADNKISFAEFWQWWSTDEIQAFAAKQKAR H257_14391 MMSTTSGVPVVASDRVSLEEAIKGLQVAIEKYQVLYKLSKLYLH FKDVNPVEVRLHEAACFVSMASIKRLLAEATTPPQSGKQVAYIAEADHHLNSAKAIYS DLTLHEPSQLECKRGLANILQEGGSLRYVQEKLGETQSMWAEACAVYEDIGDAPAVAA LRKKMDALRLAHEVEAYTQTLLERKGENRERDAILKAFMKFDKDNSGEMDACEFAALS MELGTFPALSVDEIQEAFVQLDSSADNKISFAEFWQWWSTDEIQAFAAKQKAR H257_14391 MMSTTSGVPVVASDRVSLEEAIKGLQVAIEKYQVLYKLSKLYLH FKDVNPVEVRLHEAESGKQVAYIAEADHHLNSAKAIYSDLTLHEPSQLECKRGLANIL QEGGSLRYVQEKLGETQSMWAEACAVYEDIGDAPAVAALRKKMDALRLAHEVEAYTQT LLERKGENRERDAILKAFMKFDKDNSVFFTGEMDACEFAALSMELGTFPALSVDEIQE AFVQLDSSADNKISFAEFWQWWSTDEIQAFAAKQKAR H257_14391 MMSTTSGVPVVASDRVSLEEAIKGLQVAIEKYQVLYKLSKLYLH FKDVNPVEVRLHEAESGKQVAYIAEADHHLNSAKAIYSDLTLHEPSQLECKRGLANIL QEGGSLRYVQEKLGETQSMWAEACAVYEDIGDAPAVAALRKKMDALRLAHEVEAYTQT LLERKGENRERDAILKAFMKFDKDNSGEMDACEFAALSMELGTFPALSVDEIQEAFVQ LDSSADNKISFAEFWQWWSTDEIQAFAAKQKAR H257_14392 MLFEIPNPSHEIYHTRRVVLAVPMDVQPPCFHPRPPVHRPFPFS SIIVILIMTSPGNILLPFRQLHICVVGHHLNVRQLLTGRPQEWMPRHVLHGQAPSRVD MCHGVQ H257_14393 MGGSAGEETSGRWQRGVATAAASSSSPCKTRASDRKLVVYGKPT CVSSCPAICDPSLPFPESLAGFGLALAYMCLCLDGREVATGVSGGMKAVAAAACPSWI RLHTATRSGLPPIKRPEVTTTPSYICCCHGRVNRAYTNANSSDVACFTTKSTVAAAIA SSIVSRPLVTTRRIPSSQLSPTITCTGLHPSRSTTSTHFFGTGLELLLLVAL H257_14394 MLHPWNLLGALRRPARLLNRLTSAHHKYLDMVCASLSHSPLFFP QGFFSDGWGDVHVPYMLEKRLATNDKYAIQPIDAMTLTLLHPTPYSSRLKRRAKGHTR VAGRFPTTLRDGDLLLPPESQHACFELVLSDGTMLVKDASQNPRIRVRGRGEALVVLL PGTGEHGCAHRRRAIAAPLAHAGVSTLVLEGAFYGQRKPALQKGSKLRRVSDLPILGM ATIEETKSLLWWAIHECGFEHVVVAGGSMGGLHAAMAASLSPFDVGVAAWIAPPSAIP PFIHGLLSHSCNWESLRRERDDLALIDMLLANHDQRSYRGHVAPLPSHDVKARLAAFL SLTNIENFPPPRRSDAVIFAHATEDQYVGENAHQWELVRRRWPGARFQHVKAGHVSGI LFETQEFLATISEVLQQLKQPPYITSP H257_14394 MLHPWNLLGALRRPARLLNRLTSAHHKYLDMVCASLSHSPLFFP QGFFSDGWGDVHVPYMLEKRLATNDKYAIQPIDAMTLTLLHPTPYSSRLKRRAKGHTR VAGRFPTTLRDGDLLLPPESQHACFELVLSDGTMLVKDASQNPRIRVRGRGEALVVLL PGTGEHGCAHRRRAIAAPLAHAGVSTLVLEGAFYGQRKPALQKGSKLRRVSDLPILGM ATIEETKSLLWWAIHECGFEHVVVAGGSMGGLHAAMAASLSPFDVGVAAWIAPPSAIP PFIHGLLSHSCNWESLRRERDDLALIDMLLANHDQRSYRGHVAPLPSHVRIQCITSLW RFMFLG H257_14395 MAGIHAGLYEELRKLERIHLKKQVVTVWYVKNQIQLLEQRTAQL DPTPTEAEDAAAFFLQYAPLLVKLILAKRQVQIAMLRWIANLNSVLGMYPLRALSSAI VAGVLQSSHSLRRQFVMQTLIHATRFDTQTILKEMDRRDMTDRAVRVEMHRYMSTILK DWSHYDMQYQAPPPCLLPPTSPFPSLPSESTTCCPSPP H257_14396 MSMSGLSAFLTATGALPPAGPATNLIAERKTIMSAFSTLDLELQ KGPNGEVVVNTKSAARDLLDARKAEKQQESLYEQNAQFHAKQVLENKPPVVVTALTQA LDSFAADTDDRFLTKASVLPGSKKGRNVSRRARARNDSNKEKMENYSSKIKTKSTMQV KRAERKEKYKHIY H257_14397 MFGTLSKRLLSKQRHAVLAPHGAMVALMFAAATLSGETRNEEIK ESSAEKKKKEKKKTHWHTKQRHEESDQQSILVKDSLKKYKEDLTAFDSVASRFDTFAT AMAKEKDANGVRRKAMTFTDFLHSLVLPRFRLSHPPRTAQYTCPFVGDADGLISYEEC HLLYHLLEIPVEHFDVAFHMFDLDGNGTVDKAEFLEVLSSVLNNIKDLRTSTSEKSTK DDDDAVHVEASYHLLLHFFGKSGKKKITGREFVAVVQALKEALLRAEFDMYATSPSAT TKESTISVHDFAVTMISCFDPKHLPRMLDRLHLLRATDERVSWTEFREFHSVIQNHLA DIRLAFELQRGGDEITEDDFIKAAYIVSGVRLPAHIVSMAFRVFDLDGNGSLDHEEVL RVLSARNNQAAFHKSHQSTWSKFWACVTTTSD H257_14397 MFGTLSKRLLSKQRHAVLAPHGAMVALMFAAATLSGETRNEEIK ESSAEKKKKEKKKTHWHTKQRHEESDQQSILVKDSLKKYKEDLTAFDSVASRFDTFAT AMAKEKDANGVRRKAMTFTDFLHSLVLPRFRLSHPPRTAQYTCPFVGDADGLISYEEC HLLYHLLEIPVEHFDVAFHMFDLDGNGTVDKAEFLEVLSSVLNNIKDLRTSTSEKSTK DDDDAVHVEASYHLLLHFFGKSGKKKITGREFVAVVQALKEALLRAEFDMYATSPSAT TKESTISVHDFAVTMISCFDPKHLPRMLDRLHLLRATDVLYPPSSRHRLHVSCMDWHW LVVLRLIYIYMSKTMWWLYMSWTM H257_14398 MVALSKVVLVLCAATQAAAAWTNWDTRQACYPTKDVSPASVVEL QAAVKAATSLRVAGAGHSFSPIVLTNATLITLNNYASVVSFDTTTITVQAGMPLYAIN SYLETRRRALPNLGAVAVQTAAGATQTGTHGTGKTGSISSGIVGMDLIAANGTILTLK SGSPLLDAARVGMGALGVVSTITFQHVPIWRMEQITFTLSLASFQQNLRLLQAVHSSY MSGHRLSLSCRPTTQSVTVILRVNTTRAVTAAGGCWGGRSAAPTSPPPLGWSRWPAGA YACIDVSYKTLGVDGRNNMIGGLFTETELMIGADNDLQALTELLAVHKTLSHSTLVTL FLGMRYVEPDNGWLSPFYNRRTVVVSSIVYHSTTSSQFAAEIAPLHQAMHRALAKYDA RPHPGKNNYFNATDMRRVYPKFQAFVQLQTSLDPTSKFVNPYISKLLHGPSNS H257_14399 MADATLKPRRGNNPSSNKGRQPKGGRGGDRHAPSSGLSSRAAAV TPAAPTIHRPPHVSTTIFTPDMPRQQLLFASSTAPQKLYEPSSSPASPLAPMFPRPHA TSMNRGKASPTVPSFDTTPSSRTTGNTSLYLAPDTHEATASSLRRWKLVSPQLQFIVP DAVPGPWSDMTQFHAIACVGLEGVGKSTILSMLCGHDRRNAAPTNTPWFPIQSNDSVL HSTHETNGIDMCITRDHVVFLDCQPLLSTSMMAELAQHHETPKYGALALDQQIQLTSL HLLVYLLSVCHYVVVVYDRLDDPELWQLIQLAQLIKARYDAAGDATTITPTYSNPTSK FIFVANKMAPLTPSQLERHRQHLGHVIGIPTCHDDNDHTSAAAHADGDDVDAVPIFCL PPFSATASDAHAAEALRAHVALLTARLPAAASTEPVMTFQDWLAHGSRLFESIRKSTP MVDYARLLQNIASSKG H257_14400 MVMRFPFLDVVALSALPVMLHSSRSPSPTCCVDASEHLKVLVEL TDCKEKYYRLGADLRQLKLRALETAAKTSHKNSMGEDNQQQQQQQDLGDALGRHETLR HEFAQFRRDSERRERLAQARMDALVQDLEMAKAYVDKIEQAKISDDTVQLLRRQLRDK SNDMDVVVEENQVLREDLDRERARRKEIERDCDKCRTRVQELQIELAAHKHRHRRIND DGDNDDEEATTGVLSQLIADNKRLSALLMDTAEFARFRMHETLVGASYVERPPQDNLD AWGRLVSELLPRYLAPKNAVTHPTTLKSRSEPTSSGMDEELRWVPSHVLHLVRSFQRR HCPTVSPHLFDTWVLQLHKGWHDRCTTKLRHVTERHRKREAELRRQLQHTTPYDAVVH TREIERLRQDVLDLTQKLLRGGKGGGRASRPNH H257_14400 MVMRFPFLDVVALSALPVMLHSSRSPSPTCCVDASEHLKVLVEL TDCKEKYYRLGADLRQLKLRALETAAKTSHKNSMGEDNQQQQQQQDLGDALGRHETLR HEFAQFRRDSERRERLAQARMDALVQDLEMAKAYVDKIEQAKISDDTVQLLRRQLRDK SNDMDVVVEENQVLREDLDRERARRKEIERDCDKCRTRVQELQIELAAHKHRHRRIND DGDNDDEEATTGVLSQLIADNKRLSALLMDTAEFARFRMHETLVGASYVERPPQDNLD AWGRLVSELLPRYLAPKNAVTHPTTLKSRSEPTSSGMDEELRWVPSHVLHLVRSFQRR HCPTVSPHLFVSWGRYMGAPVAQRVARPMYHQTPPRHRTASQTRSRIASPVAAHDALR RGSSHP H257_14400 MVMRFPFLDVVALSALPVMLHSSRSPSPTCCVDASEHLKVLVEL TDCKEKYYRLGADLRQLKLRALETAAKTSHKNSMGEDNQQQQQQQDLGDALGRHETLR HEFAQFRRDSERRERLAQARMDALVQDLEMAKAYVDKIEQAKISDDTVQLLRRQLRDK SNDMDVVVEENQVLREDLDRERARRKEIERDCDKCRTRVQELQIELAAHKHRHRRIND DGDNDDEEATTGVLSQLIADNKRLSALLMDTAEFARFRMHETLVGASYVERPPQDNLD AWGRLVSELLPRYLAPKNAVTHPTTLKSRSEPTSSGMDEELRWVPSHVLHLVRSFQRR HCPTVSPHLFVSWGRYMGAPVAQRVARPMYHQTPPRHRTASQTRSRIASPVAAHDALR YNIVNVCCLRMLVCCGCSNHNVSNIFSQV H257_14400 MAKAYVDKIEQAKISDDTVQLLRRQLRDKSNDMDVVVEENQVLR EDLDRERARRKEIERDCDKCRTRVQELQIELAAHKHRHRRINDDGDNDDEEATTGVLS QLIADNKRLSALLMDTAEFARFRMHETLVGASYVERPPQDNLDAWGRLVSELLPRYLA PKNAVTHPTTLKSRSEPTSSGMDEELRWVPSHVLHLVRSFQRRHCPTVSPHLFVSWGR YMGAPVAQRVARPMYHQTPPRHRTASQTRSRIASPVAAHDALRYNIVNVCCLRMLVCC GCSNHNVSNIFSQV H257_14400 MVMRFPFLDVVALSALPVMLHSSRSPSPTCCVDASEHLKVLVEL TDCKEKYYRLGADLRQLKLRALETAAKTSHKNSMGEDNQQQQQQQDLGDALGRHETLR HEFAQFRRDSERRERLAQARMDALVQDLEMAKAYVDKIEQAKISDDTVQLLRRQLRDK SNDMDVVVEENQVLREDLDRERARRKEIERDCDKCRTRVQELQIELAAHKHRHRRIND DGDNDDEEATTGVLSQLIADNKRLSALLMDTAEFARFRMHETLVGASYVERPPQDNLD AWGRLVSELLPRYLAPKNAVTHPTTLKSRSEPTSSGMDEELRWVPSHVLHLVRSFQRR HCPTVSPHLFDTWVLQLHKGWHDRCTTKLRHVTERHRKREAELRRQLQHTTPYGIIL H257_14401 MSIAFSGECLVLLLFTGYMLHYYKDAHVGYLVYSFVFVSWYAGF LGLVLLPVDISATVAASSSTHASLLTGWKLLYWLTFILSWVILPVLIEYSQSGAFTPQ QKLRASVQYLLRHYAVLLATGVALLTYLVVVDHFTLSGLVGLAMTLSNTYGLLWLIGL LGFGLVNVPRSVWRSASPHDQLRRIYFRAIQIHDDRVEAMFTYEDVVRDVQDTVRRFH AVEQSTIILTPDVQYIKQCLRHVTDTLGLDNNDEETGGRMKRVHKPKPLRPSSSAPSV TSYVQLDPALPSESDVILLHGRVKRIKADLRRCEQAWQEVCWSAQRLLQWANQEDQHL SGSVTSSPTSSMLAALHVMWPYIVGAATACCVFGSVVVLWSEVFMGVNPRLSPLGQLL TTSSATSTETTAKSGSLTVQLVLGAVLTYMGTCVYQSLFSIRGFGRVALHGAHNSTEL SLLTAAVQQCRLQFSLGYNFCLLLNRHGVTDRAAFHTLFTDMRMIHFFGTDFNVYLPM CMIVVAAGTMWQGYARLVKSMGLEQYEELIPGHVEHEARVHQGEALVMKGIDKYTKRK AKLDKMAAADDQRRGRQRHATTQGETGLAQALLDE H257_14402 MPLQAAKKLLRLDEAVGSFAVQHDITKVLDGLYVGGRGIADDLL AMQSLAITHVVNCTQDIPCYYDDSLEYLRVPLTDDPDTAIEAHFEIVVKFIHDAREMG QTCLVHCSRGMSRSATFVLVYLVERHDMSVLEALQYTRALRPVISPNVGFMGKLLDLE QRLHQRCSVDLHKYRKDRYAAIEDLVVPSPANDVDEAAIYLNHVARH H257_14403 MVRGTGLQDIDEHDEGGETSSATSFSSIMSPLPVVINPRQVNGG SDEAQRLRHAREHNSLADSIAPVMESPSISPTTPRIYSAGHSSSEGILLVTDQGVRIQ HGSISSSAHHDAINTNELSFEEENINHNSTRSSWSYQDHQHRMHRDDPSHLTPVHSEH LSASHVERRRSVKARHAPDGSFASLLRNSFFAFRLISSSVVLVVVASACVVLHEVTSS MHHWCTNLTDATGYARIVALSTLANAILVVPALLPSYCGLYRVSKARKVIRRPFLQIC EIIVVGQLVVYIIQVLLWAFHMIQEPTCPAEVYASTNSVTRAIIYVKLWSILPCGLLT WWQVTIFCLFRTHLKLQIGSANDSRHSANLKGWLKRLFTLPSFGRRNRIIRQLRTDLF KAALTGDLALAESLLTRAEQLLGREFAVRKLYREPRLWLWSFATSRKNPLHVAVARGD CAMINLFVKFRFDVNALDKVARVNFNFGLFFKWTRLLVKTQDYLQGANEWVFLSVLVP PLHVAVQQGQIDAVRTLLKHHANVDTLPRASFYWPAAVKPAIFFADHVQVMKLLLAHQ TNHLYVVTHGATVMTPLQRNILTCRTAQSTLLEENGSDVALTPLHAAAAANDAVALDA LLRLRHVDPDTLGEVVAGVHKRTALHWAAISGSAACAIRLLASRANPEASDRDGRTPL HWAARNNHVQVVQVLLNQAKVNPNVQDNDGAPVLFFAAGAEGVGADVVSALVEAGADL AYTDMDGNTALHIALINENRTTAVSLLRNGADITATNRDGRRAVDCTTSTELQFAVKK EAGARDVMISYTHAHAPVAKGVRDFLVEHARMTCWMDTMDPSGIGGGAVWREEIARGI FHAKVVVAVVCDGYSRSEWCLKELAFARLARTPAVVLVVDPHGMSSDVERYVASEYIL PFESFDPTNPVLPDHVLAVIRQAIQTKHIPPPPLLSSSLPPLSPPPNSTTTSTRSFPN NQQQQHRILSSPDASNTPNDDIPMVLVCYTTTSDSSMQQQLLLRVTESLRRRGFVARL ASTSLATLDFQDDVDAAWMDAASSVVVLLGRQWHQDMAGLKRLVTRASRCKTAVVPVV EGGQFLDFSRLYSLSRTSWFPFVDGIGFHASFSHLVNQLSLELPHLALAVQDLPRSRG GHGHTFLRVYNDDDAETNSPSDRPIFNVDKLAMI H257_14403 MVRGTGLQDIDEHDEGGETSSATSFSSIMSPLPVVINPRQVNGG SDEAQRLRHAREHNSLADSIAPVMESPSISPTTPRIYSAGHSSSEGILLVTDQGVRIQ HGSISSSAHHDAINTNELSFEEENINHNSTRSSWSYQDHQHRMHRDDPSHLTPVHSEH LSASHVERRRSVKARHAPDGSFASLLRNSFFAFRLISSSVVLVVVASACVVLHEVTSS MHHWCTNLTDATGYARIVALSTLANAILVVPALLPSYCGLYRVSKARKVIRRPFLQIC EIIVVGQLVVYIIQVLLWAFHMIQEPTCPAEVYASTNSVTRAIIYVKLWSILPCGLLT WWQVTIFCLFRTHLKLQIGSANDSRHSANLKGWLKRLFTLPSFGRRNRIIRQLRTDLF KAALTGDLALAESLLTRAEQLLGREFAVRKLYREPRLWLWSFATSRKNPLHVAVARGD CAMINLFVKFRFDVNALDKVARVNFNFGLFFKWTRLLVKTQDYLQGANEWVFLSVLVP PLHVAVQQGQIDAVRTLLKHHANVDTLPRASFYWPAAVKPAIFFADHVQVMKLLLAHQ TNHLYVVTHGATVMTPLQRNILTCRTAQSTLLEENGSDVALTPLHAAAAANDAVALDA LLRLRHVDPDTLGEVVAGVHKRTALHWAAISGSAACAIRLLASRANPEASDRDGRTPL HWAARNNHVQVVQVLLNQAKVNPNVQDNDGAPVLFFAAGAEGVGADVVSALVEAGADL AYTDMDGNTALHIALINENRTTAVSLLRNGADITATNRDGRRAVDCTTSTELQFAVKK EAGARDVMISYTHAHAPVAKGVRDFLVEHARMTCWMDTMDPSGIGGGAVWREEIARGI FHAKVVVAVVCDGYSRSEWCLKELAFARLARTPGTRPQYCRFMPFYSKCG H257_14404 MAPSVHVCLGQHRSGGEALNRSDFLKITKYDVFATCAMAFLDKF NLDRPPIHLYILSNLTDWINIIMEYNVADYAPHAHKIHEKALQPREEVFVAYTVITKG LWLHESYTIDYELLQLHGLTLQY H257_14405 MEGAAEDREIEMTLKEDLASEQAAKQARLKEEMEQARLSALNHG TEKNYTRVWISEQPVGTQQFPPSTKWAYDSPHPF H257_14406 MNASTKFLQPEFGTWSDGIKRASLDAIAPLPIDPFKEMTLDECR ICLSRLENVFRLLNIKIREAEDDEVYTWYLESYLVYSQTCFRESRRQEAALWIHENTD PSAPPLEVRSMSSHSHRVDATLPKPPLVRETPCKVRTRCYYRPQLAS H257_14407 MERQILLAREDSDYDSPAVTNTPKEQLHHEIYALGGKKEWCSSC SKEHWRVNGECWMEQFCSLCKRNGHPTDKCIKACQFCKPTHNKYERCETREQIISVRT YLEALAKSGKLDDLPNMDRLNL H257_14408 MSRVSQPPYMLGERAPPSHGGDGNMSFRQVPYVSVHARVEKGVA VRKHLRKYLESSAASHTAMSHHLLNDAHIVSMQPDEISKTCMDEVFRMLHKYNHTVGT KSVEVSRSLSELAAPLDNSGKLKKWNKDTYNLIQKCVQDVAAADKKMERAIARRNKAM EDHAQWRRVVEANKATLATQPTNQECMRAVNTSQTRAIAAAEDERAAGQEYEDAKSAL HSAIEHRDDMVEGATEASQMAEDDRLETMTLILHQFVQTKQAALVAEMEALKELAKVV GSLDRNAALQQYIVDHMQPDITHRHSKAMFLVEWHWKWHLERVELAKSEPEDYLNLTS DSIRCLQEHNITVFDVEVMKEFVASCFVTPDLSRMIGSERKVPTKHRHRFVDPTAGRA LYRLDVVRQVILAALNHQRAFGVELTVVGYEMLVAALTLLLHGCLESGDTRCAKSVMN MAQTFYCTHKHKQHYLLPNLVLHPLWQTAHFWGDAVLLGIGEELSRHSFDTPWQCLSA SARAALVVTVHNVVFGQLSTFVYNMASFRLSRHQIRQFVQTVALSFELSEDQRMALLA AVAALSIENEEDGRAILGGDAALFTTAIFPEWRKTAPPKDTAMQALVGHGLSRIKGIF DRDMDKASSQVLLANAQKAKNDDQWADLFGDVAPPTRLHGDAAMMPPPPLVEPPEMME STGRRGDEGTKSLRRRPKSNNAISDFIPPMPMGPPPPALMSPNEASTKKQRPRSSNSV ILAEAAFEMKPSSSTSSSRTGQPSTSTSRNRTSADSSDDDVSTPARQRRPRARYGDAT GVAALRSRFERMQTM H257_14408 MSRVSQPPYMLGERAPPSHGGDGNMSFRQVPYVSVHARVEKGVA VRKHLRKYLESSAASHTAMSHHLLNDAHIVSMQPDEISKTCMDEVFRMLHKYNHTVGT KSVEVSRSLSELAAPLDNSGKLKKWNKDTYNLIQKCVQDVAAADKKMERAIARRNKAM EDHAQWRRVVEANKATLATQPTNQECMRAVNTSQTRAIAAAEDERAAGQEYEDAKSAL HSAIEHRDDMVEGATEASQMAEDDRLETMTLILHQFVQTKQAALVAEMEALKELAKVV GSLDRNAALQQYIVDHMQPDITHRHSKAMFLVEWHWKWHLERVELAKSEPEDYLNLTS DSIRCLQEHNITVFDVEVMKEFVASCFVTPDLSRMIGSERKVPTKHRHRFVDPTAGRA LYRLDVVRQVILAALNHQRAFGVELTVVGYEMLVAALTLLLHGCLESGDTRCAKSVMN MAQTFYCTHKHKQHYLLPNLVLHPLWQTAHFWGDAVLLGIGEELSRHSFDTPWQCLSA SARAALVVTVHNVVFGQLSTFVYNMASFRLSRHQIRQFVQTVALSFELSEDQRMALLA AVAALSIENEEDGRAILGGDAALFTTAIFPEWRKTAPPKDTAMQALVGHGLSRIKGIF DRDMDKASSQVLLANAQVRSQNVTNNWSP H257_14408 MERAIARRNKAMEDHAQWRRVVEANKATLATQPTNQECMRAVNT SQTRAIAAAEDERAAGQEYEDAKSALHSAIEHRDDMVEGATEASQMAEDDRLETMTLI LHQFVQTKQAALVAEMEALKELAKVVGSLDRNAALQQYIVDHMQPDITHRHSKAMFLV EWHWKWHLERVELAKSEPEDYLNLTSDSIRCLQEHNITVFDVEVMKEFVASCFVTPDL SRMIGSERKVPTKHRHRFVDPTAGRALYRLDVVRQVILAALNHQRAFGVELTVVGYEM LVAALTLLLHGCLESGDTRCAKSVMNMAQTFYCTHKHKQHYLLPNLVLHPLWQTAHFW GDAVLLGIGEELSRHSFDTPWQCLSASARAALVVTVHNVVFGQLSTFVYNMASFRLSR HQIRQFVQTVALSFELSEDQRMALLAAVAALSIENEEDGRAILGGDAALFTTAIFPEW RKTAPPKDTAMQALVGHGLSRIKGIFDRDMDKASSQVLLANAQKAKNDDQWADLFGDV APPTRLHGDAAMMPPPPLVEPPEMMESTGRRGDEGTKSLRRRPKSNNAISDFIPPMPM GPPPPALMSPNEASTKKQRPRSSNSVILAEAAFEMKPSSSTSSSRTGQPSTSTSRNRT SADSSDDDVSTPARQRRPRARYGDATGVAALRSRFERMQTM H257_14409 MHTFWTRANAVFMAAFTAMYTMCALTTLTTFLHTPSPLVHTLAL NQVQSLRNYRDKTDRAVLTFDLDADLSSVFNWNTKQLFVYVVAEYTSASNVVNQVVVW DAIVPTRADARLQFADENVKYFLADETNQLRDADVTLKLQWDVMPVCGQLFQYGAGEA KFRMPSAYFGSSATKPKN H257_14410 MPRVWKDFIQCMAPMVFDYAGTSHDDDAASGILIQILEAERSIA NSAPCFPQDDDMTVVITLLDTFFSREHPQLFHRHNSTLGHSKKELSRPNISTLLLLPL TVGTSFGPRIVPAILQHGVFAVVAMLDVYFCIYRKSLLQEAKKTNLAMWHHALKSTES WLDTIEQESFWRQCNKRWAPTSSFRRRYMSLYASCRLQAAMRRQCHTLNEVDWLGFDV DGTLVEYNHSCLAQLSFDKAVDVLISMFPTLNTTPRPTYLYSTHITQRCVTVDTFRGN FLYVTASGVIHHAVHGHARAVDIASTYPSCRWVHREPPATETHRQNIPPSPEHATSCV DMWTLGDTVFAPLYAWLIDMHDANKIALVTNIDKTQTTYEHLAQLAKQAIGTFYRTQF DDILATSPQALITYNRRTIQTLQRLRRRHIRLFVLTNGTFEHCDNVMRYAVGVSWQRH FDIIITSATKATFFHNHTRPFLTCPGRKGGQAVVATQLVPGQVYSRGNANALHAFFTT THPNVRVCFVGDHSMEDMALPYTTMHWDTIGIVPELQHDILKRPSLFQTCCRHLGQCG TKSRQVAVSPLNETTQDDAARTTMDRSCRHVFYFGVGGPMSSMGKRIVQSTVIVVKKA H257_14411 MPAYHSAFNEVTCADACGFSLLPLKSRSRGPAPPCPDDQEDVAD EILTLFRANVLFTNYEIKGNADRVLVYGTLFTHMCLKRLEKCATKADAQRALAQVASD SFAVPGEPSFPLGGLVKAAANASETETARGYLKQLREAISTRLIDQVFADGTTKSKWW MFFAKRKFMNKEMLK H257_14412 MKRMRHFREFESDTMLRVSWRHVKKSRASMRRASTLQVCDTIES YRKVRGSIAPGATVGFVPTMGGLHAGHLSLIQEARKRCDVVVASVFVNPAQFGPNEDF EKYPRTLEADLALLRQENVDIVFTPARDSMYSSSFRTYVDPEGFNDVPEGQCRPGFFR GVATIVAKLFNIVAPTHAFFGQKDAVQCVVIKRVIADLNFPIELHVLDTCREPSGLAM STRNQYLTPQERQASAVIFAGLKQAADVFAAATAATVPASVLRATIEKVYKSEPRLQS IQYISIASKETMDELDDVSKDQGAIISVAVKVGQCRLIDNIVL H257_14413 MLLVPKLRSLGPGIGDLCKTSTVVLTSIYAQYYYSVALDRRWDI VHSLGRARHCLMNSKDKAANYFELTLRDTDWANFTKSWDVAIAQALETSPEDLQWLSV RP H257_14414 MPMPSLCSFVRLGAARAYTLHTQHLLPYQLRELVCLRCFFAQRF PAHSPLRLYRYDFYDEFLGTLVLDKSTAEIEEMFLILHHLTKHQQFEYLQETMERIMH H257_14415 MTATAAPTTATPTTVSTASAAVTLPTTADDIRRFMSAIDLHDVA RHFDNKDDDVNPYFVCDGVSVDAFNAYVRGQERLRVGLRFLELSGDGRVLITELPNSR VHESTAWEFGKEFNRATGNDREVASGGATTVSSDALPDKEADASFGPQRTTPHRNAPP QGRTIADWLTLVVEVGVSQTWPQLIAAATWWCGYAGIEYILLLKVSADGTRFEYRFYD IVTPGVLPDVPTRDFQRSIRPDPRAINIGFNMRRILSIPPNQPLPPGVNQVAVVNLRD IMDSVIRSI H257_14416 MSPKQALVSANTKVPRLVVQGVAATLEYLSCPLWIRWFVDAIAR GMRQMRQWKAVLRPLSAGEAALFRQYVGNAPSSKLSRDYLFQRYEHVTNHESIHVNPI ALIETLRWHNKTHDDQAARVFLHDCEHKGHVDTVKYLWEWLDSTITNMFFRDRMMRNG LSLAKRKQNLPEVSYLLTIVPNMSAMHVERLPVVAMKTGDEDYALAVWTPPPFATFHA SLNEMTYGQRSYFNKNSVKGALIDSCNYDMRRVAVFLDEWWPHSVQKAGFIHFHAHPQ PKHAMWWELSQRYKWDVAWPNVKVAYLVQSRLLPGLDHLGSHIATYLYVMDMQLEYDS AVESR H257_14417 MTKKRNKCRNNCQAHYQALLEALKGEMRRQLQPPNPTALLDALR RIPYWGGRVTRNTLLHACEHGATPSTVEYIVKWFCQDKAVSRFDSRKGLVLATQSRNF PLVEYLLATFKNFEDYDELLLMATNTNDEEFVLRCLSLQAVQTFVTSVEASFKPLYIA PTSVYEGNVQVGDLKQDVGVATTLRYLSHRPGIRWIAHAITHGMRQVVKWMAVHCPLA AGEATLCCGCTGQELGTTVGWELYQRHEGVNVQIRKFYDAIEVGDADLVCCQLAAEPA LIRSKLDLDISAAMTSSVSPNPIALVEALRQHVQNHDLQPAQVFLHACEHGGGVETVV YIWEWVDSTTTSRQSLLSKGLYGAIQSQNLPVVRFVLTVAPQLSTTTDAEQLALAAMG TENKNFVLALLKLPQMTEFYKTMNSQGYGQRRNWFKDSCFVNDADYDEVHSHFQVPIA SRWLQRAILYDMPRVAEYLAEWWPNVVRKDVFVHCYRHTWQNLGIWRELSQRYKWDVT WQNVKVAHLVQRRLLPGLDHVGWYIATALYLFNLPVEYNHATCKSRDQVESSSNYAND IYDNDDDSGKN H257_14418 MLGNYTKVSLIQTLRPGDHLCVWDESRWPIRYQHHGIVWTQGDS EDTIQVCHVWSPLVGYQEAQADSCVRVSPLRDFLSKRKISSLRVVEYNTSSLRELLSK WGEVHYSKADLPEVVLARCKFLMGLGKGEFNIFSQNCEHYAHWCMTGEQWCKQVMTKP KSRVPFEHQIAPDQVQAMEEEIEAIKDVSRRVVAKVLALNGHKVKLRVNGYHNRFVVI LPSGQVSVADEDEGDATTNWTKPAIFELQATAKVYNCIKVSLRTAGTNTYMYSRSTLS CFRDIRMKNFNCWRGRPGLTWELSSNGYMKSLNQHRRYIGIRSDSRLVDVSMRGDAAR FELVPVDTSQEKPPEYDAAIRKLPSSRSFELRLSSRELDEQRLPKFNELDEDNKVATP YAQVNSM H257_14419 MMLGDGFPSRVCDYNMLLQPIQFVVSTLLQPTARHFCKLDDVAD CHEFMQSIEADDAFLVLRAALEYIKLTRSLLRVCDDLYQNDDAPSVEEALGEMRQVAL ESPLSSTALGQASHASPMKRHEYVHPFRQHNDSSADTCLHTALEGSPEKQRADGRPAR HWLQRLQDVFALSLPSPFARDDDPEVDEAAAPLVNSDEFAPLPELATQNADNGGTSPS SLPGSATKGKLDGEPPPLVVNTMQSMVEFPSNLKLQFHGLVTVADFAKARENHRAAVV VLTQLNGFDVIVRATDALATSHKAQIITLSLLGNPDIVSSCGQLVPRGECRRLVRSAM LQFPTSGRIQGLGCLALANLATHHLDSPSSVDHDTSTPMEAWDVDSSGVDLVVAAMKR FQDVDANVQAAGAWALASICRHHEDLVYAALDVGGLSAVERCKVTFPDDPRVQTNAEL AIAQLLHRPVPLLQNDGCVVQ H257_14419 MMLGDGFPSRVCDYNMLLQPIQFVVSTLLQPTARHFCKLDDVAD CHEFMQSIEADDAFLVLRAALEYIKLTRSLLRVCDDLYQNDDAPSVEEALGEMRQVAL ESPLSSTALGQASHASPMKRHEYVHPFRQHNDSSADTCLHTALEGSPEKQHGRPARHW LQRLQDVFALSLPSPFARDDDPEVDEAAAPLVNSDEFAPLPELATQNADNGGTSPSSL PGSATKGKLDGEPPPLVVNTMQSMVEFPSNLKLQFHGLVTVADFAKARENHRAAVVVL TQLNGFDVIVRATDALATSHKAQIITLSLLGNPDIVSSCGQLVPRGECRRLVRSAMLQ FPTSGRIQGLGCLALANLATHHLDSPSSVDHDTSTPMEAWDVDSSGVDLVVAAMKRFQ DVDANVQAAGAWALASICRHHEDLVYAALDVGGLSAVERCKVTFPDDPRVQTNAELAI AQLLHRPVPLLQNDGCVVQ H257_14419 MMLGDGFPSRVCDYNMLLQPIQFVVSTLLQPTARHFCKLDDVAD CHEFMQSIEADDAFLVLRAALEYIKLTRSLLRVCDDLYQNDDAPSVEEALGEMRQVAL ESPLSSTALGQASHASPMKRHEYVHPFRQHNDSSADTCLHTALEGSPEKQHGRPARHW LQRLQDVFALSLPSPFARDDDPEVDEAAAPLVNSDEFAPLPELATQNADNGGTSPSSL PGSATKGKLDGEPPPLVVNTMQSMVEFPSNLKLQFHGLVTVADFAKARENHRAAVVVL TQLNGFDVIVRATDALATSHKAQIITLSLLGNPDIVSSCGQLVPRGECRRLVRSAMLQ FPTSGRIQGLGCLALANLATHHLDSPSSVDHDTSTPMEAWDVDSSGVDLVVAAMKRFQ DVDANVQAAGAWALASICRHHGSMDTLTPPYVFPLTSSYVGQKKL H257_14419 MYTHDNDAEGADGRPARHWLQRLQDVFALSLPSPFARDDDPEVD EAAAPLVNSDEFAPLPELATQNADNGGTSPSSLPGSATKGKLDGEPPPLVVNTMQSMV EFPSNLKLQFHGLVTVADFAKARENHRAAVVVLTQLNGFDVIVRATDALATSHKAQII TLSLLGNPDIVSSCGQLVPRGECRRLVRSAMLQFPTSGRIQGLGCLALANLATHHLDS PSSVDHDTSTPMEAWDVDSSGVDLVVAAMKRFQDVDANVQAAGAWALASICRHHEDLV YAALDVGGLSAVERCKVTFPDDPRVQTNAELAIAQLLHRPVPLLQNDGCVVQ H257_14420 MQRTIERAVQVAGIGLHLGEKARLVLRPAPVNTGVVFRDDATPG RAVSAIYSNVAVDTMGFCTRLHDRASGYSIATVEHVLAAISAAGVTNVHVDVTGPEVP ILDGSSAGFVDALVRAGVHDQDAPQSILTVLRPVQVLKADKAASLLPRPSQELTVSVE VDFPGKLPRQWTHLSLADFATVASARTFTFHQDIDRLHAMGLAKGGSLDNAIVFNDHG TPLNPDGLRFPDEWSRHKALDVVGDLALAGMPIHGHYVGIRPGHALTHELLHALFQDP RNYNISTPKRDLP H257_14421 MAQNNVGKWLVGGFVTVTAATIGYLHVYLPNFTDLGQQVHERAA SSQASGTESPVAGSMWKNLNRQVKSGINPQDVAK H257_14422 MGTRHQPRASVTDDLVAWHGMSVKNFTVMVANGIPLSVQRRQVW MDIISTEMKYRSKSKLSLSFVAYMGTMWEQGSGVLCALTDKLDNSYKSTRTSPKSGKS GKSVRSQHGQSKNIDDQYVRDIVFQLNESNFMVVDSDTTPFVDAIIQVVGPEVRNRAD VLKILGFLLHREQQPRNPKPCLPFGDIGAVCLDTVESTIQMLLPGVHNTIVQLHLPRS VYWKLMVVPLTDAFPSELRLRMIDHIMVNGCAAMVSVMLVYLQRRQRDILLCTSADDF ERIHEDDYRTWLTLPQFDVFWKECIDVHKSNFNQVFVARNIFMRAKHFTFNMTSSHGR PLTTHNDTHRLHFDDYGWVGFDVDHTLVEFKLDALLKLSFEKAYKHIQANYMNLRTAQ LPVWLPHLAFRGIAVDVIKGNLLHITSTNEVIRGFHGTYELPQNVLGLHYPVQQQRAG TTTYNYLYTNAEIIFGPLYAWLVDQYEAGTITEVEIGYVPLPSGDIDHQMRHLHPESV YSVLCSIALEATTAYYGTDYWRTLSTAPDVLIQVNSGVRRMLELLHKSWKKNVFLLTN GSWEHTDTVMKCAVGTDWRSFFDLVLTKAAKEVFFESYHGCRFREVLTTAQNARSIAA ATTLERGKVYEGGNLIELMQALVQPSWKCKNTKVLYMGDHPIHDILNPSQSAAAWDTV AVIHESSLLFKHLERHHLRTKQVQTAIQMLFDSVCPCLSARSRGPQIDTKPMPSTSCF YFDCGGPYTSMGKLINRHAVLCVDSVSKLVHAENTINTLLQARLERRRESVRASDPMT STTGRVTSKVQHQWSNIFRTSVTTHTTHDYPPLHVPRMATTTTMSAQTSTDQKRSDRF ISGISRGRTTKE H257_14422 MGTRHQPRASVTDDLVAWHGMSVKNFTVMVANGIPLSVQRRQVW MDIISTEMKYRSKSKLSLSFVAYMGTMWEQGSGVLCALTDKLDNSYKSTRTSPKSGKS GKSVRSQHGQSKNIDDQYVRDIVFQLNESNFMVVDSDTTPFVDAIIQVVGPEVRNRAD VLKILGFLLHREQQPRNPKPCLPFGDIGAVCLDTVESTIQMLLPGVHNTIVQLHLPRS VYWKLMVVPLTDAFPSELRLRMIDHIMVNGCAAMVSVMLVYLQRRQRDILLCTSADDF ERIHEDDYRTWLTLPQFDVFWKECIDVHKSNFNQVFVARNIFMRAKHFTFNMTSSHGR PLTTHNDTHRLHFDDYGWVGFDVDHTLVEFKLDALLKLSFEKAYKHIQANYMNLRTAQ LPVWLPHLAFRGIAVDVIKGNLLHITSTNEVIRGFHGTYELPQNVLGLHYPVQQQRAG TTTYNYLYTNAEIIFGPLYAWLVDQYEAGTITEVEIGYVPLPSGDIDHQMRHLHPESV YSVLCSIALEATTAYYGTDYWRTLSTAPDVLIQVNSGVRRMLELLHKSWKKNVFLLTN GSWEHTDTVMKCAVGTDWRSFFDLVLTKAAKEVFFESYHGCRFREVLTTAQNARSIAA ATTLERGKVYEGGNLIELMQALVQPSWKCKNTKVLYMGDHPIHDILNPSQSAAAWDTV AVIHESSLLFKHLERHHLRTKQVQTAIQMLFDSVCPCLSARSRGPQIDTKPMPSTSCF YFDCGGPYTSMGKLINRHAVLCVDSVSKLVHAENTINTLLQARLERYFVVT H257_14423 MEVPQVTTATEDSMCRNFVKRLVAGATLATAIVLVFVLCPRTAT SQLMATLLALWLRDLPCPTNQQLSYPSHRIRSSWNNFRKMHMSLRLWRCDGSSLSQAS PNDVYMSMLLDQLGIVYLLGLVQILSNFIDLSVVSAGRKNVLVLLFTVSATPVSPVSQ TFAPEQSRRRDTGGVMCALIAMVIVTNVLGITMSTVSVTKILVVTTAVTVDLFESLIK RAANVKDSSRLIHGHAGLLGRVDTLLFASILFALYNIHAQLLD H257_14424 MGATSSQYVHSSNDYELVIKCAKELEYILEAEFGAAGKGLHEKI SAVNGQLPPQLVKQMRFLATIRNKLIHERGFDRIPDRDHFILQFEAAAGDLNERVRER GGASSNCILM H257_14425 MAFFVVEPKDDVRRTASSKPSPTPHPLEQVHSVAVGAKSANVDE TVGLLHGEQPLTRVRLGVIGRNNIIETPHPLEQVVHSVAEKSTDLDETVGLHLRGEQL LTRIRSGVIGRNSVIDTPFGSRPLVYADYTASGRSLKCIEDFIQKDVMPQYANTHTTT SITGLQSTCFREEARQIIAQCVNADDDEDLVLFTGSGSTSAIHKLVQALGLHVRRPKS RQMKPVVFVGPFEHLSNILPWRESDADVVQIAETADGAVDLAMLELELVKHKNRPMRI GSFSAASNLTGVLTDVDAITCILHQHGALSFWDYAAAAPYVPLDMNPKLNVDEALQPF VYKDAIFLSGHKFLGGPGTPGVLVVKKRLIGDVPTVPGGGTVFYVTTEDHRYVSSAEA REEGGTPDTLGSIRLGLAFEIKQRIGHETILDIEHRHVQRVISRLQNHSHIVLLGHAT VTRLPIFSFLIRAGSRFLHYNFVCALLNDVFGIQSRGGCQCAGPYGSRLLGLTSSDHE ALKAALKDESKLLRPGMSRVSFPYAMDDTEVDYVLAAMDFVATEGWKFLPQYEFNHAS GEWKHRTRVTTFPTRKWLIKAAFFTEKNAGPDVPASPLATYNEYLDQAHILADQALAD ACCGPEFQMEATKEALRWFVYPSEVQVANNFQHQSFPLKVLVGPIQPHKYGSDAATPP PSDTGRRRALFSRAIQGYRAIQGYRSKFVLVSSYYSGPK H257_14426 MVIVTNVLGITMSTVSVTKILVVTTAVTVDLFESLIKRPANVKD SSRLIHGHAGLLGRVDTLLFASILFALYSIHAQLLD H257_14427 MKCLSIFAAITTTYVAAQDVECDVGAISKAFGPIVGELNECMTK SGYAFLPTPTKLPTKEQLKLFCSHPECDKAATSYAGISATLPVCQFVLDNQAVPTTAL FSTLCPNYAGRTGKPSTAAPITPATTKNQTSAAQSSSATTPAPLAQSSAASSQVSTVA ALVMAVASAVVVL H257_14428 MQAPERTASVVPHADHISPGDSTTGDQVMLLRYGSKTRYQYERT LMRLKAWLLREHPGCITNGEVDLPLDPVACKGFLAYECVKRGPSGAEVEPQQFKSYST VNACKSAIKFMHKESNVRVSDELETLLADFACGYKRKVAQLKDSGDMAIGEGKRPMTF DGYCFLAAKALGSEAEHNLSTMVHPFLLLCWNLIARSASVAAIRYDHLAWEGDALVVQ YAFTKNDQVGKNCTPRHIFANPGNPAICPILSLAVLIFTRGAQRGRSANLVFGENAGE RFSAWLSKTCELHSVEMSSFGVLVKDIGTHSFRKGVASELSNTPGGPEAVNVWLRAGW TLGSVQGRYIFAGSGGDQFVGRAAAGHNVNDVEFSCLPPHFKDVGLSNEQWEAALPGY STFYPSSFRQITPFLVASLSHHYIWLQHNLGKHHPLFLAPVWTSGLLPKLNVNVEMGR MHNSTTNLRSSGIPPFVAITNRLATVENELKIVNEQINYLPCKISDQLRASKDSSATT THLESFRDSVLSEIRTLIGASKSPEPPASVNGVVTEPWWTTTNPQEQRRPSLPPGKVF DLWNAWWYGLPGDGIVPLRLVRPQDLARRCDRVNYSKLKQVIASLMKFSTVPETEVQR MAVVDRSALFASCLERLGHSMLVVGMENDVSKRRLDEMTYPTMYDLIKKYKL H257_14428 MAIGEGKRPMTFDGYCFLAAKALGSEAEHNLSTMVHPFLLLCWN LIARSASVAAIRYDHLAWEGDALVVQYAFTKNDQVGKNCTPRHIFANPGNPAICPILS LAVLIFTRGAQRGRSANLVFGENAGERFSAWLSKTCELHSVEMSSFGVLVKDIGTHSF RKGVASELSNTPGGPEAVNVWLRAGWTLGSVQGRYIFAGSGGDQFVGRAAAGHNVNDV EFSCLPPHFKDVGLSNEQWEAALPGYSTFYPSSFRQITPFLVASLSHHYIWLQHNLGK HHPLFLAPVWTSGLLPKLNVNVEMGRMHNSTTNLRSSGIPPFVAITNRLATVENELKI VNEQINYLPCKISDQLRASKDSSATTTHLESFRDSVLSEIRTLIGASKSPEPPASVNG VVTEPWWTTTNPQEQRRPSLPPGKVFDLWNAWWYGLPGDGIVPLRLVRPQDLARRCDR VNYSKLKQVIASLMKFSTVPETEVQRMAVVDRSALFASCLERLGHSMLVVGMENDVSK RRLDEMTYPTMYDLIKKYKL H257_14429 MEGRFRMAPSYAKVSSDEGKDGITLSSAMPIAPLPPVPKEPKEA KPDSKDTKVAPPKDKFKFNKVDNVTGSTAGAGSGEFHMYRAARRREMERVSAMEKSHK SIEDERKFQEQRKRAQEEVALKAQQKAAKRRRKLENAKMRKMLGDNASDNGANDDKTP ALDGVMPGGVPEIANDGTFLEKLLAQQKQNPK H257_14430 MDRDSLVFLAKLAEQAERYDEMVDHMKAVANNHNVELSVEERNL LSVAYKNVIGSRRASWRVISSIENKGDSDRAEHIKNYRLKIETELVEICNDILHIIEQ NLIPNSSSEEGKVFYYKMKGDYHRYLAEFQTEDERKESSDKALESYKQASTIAMAELP PTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDDAIAELDTLSEESYKDSTLIMQ LLRDNLTLWTSDQEPDSADANQGDMNVQDVE H257_14431 MTPPPHHDVRGVLNANGTPMLWGLHSLWKASSPKCIVGIVDTVV VVDGKLDTWLFTAKTGEVLRKKAFQPSDIADRFSRISLANAANVHSHAAILRRSNGSC IVWDVATLAQGVETPLDKHVVALQPFVCSRGSLGSVYRHEVKTVLSTDQHARLVQSTC KLMQHQMSGPGSSIVVDAPLPDQTLKSNATAINTRLHAITMLVRQHLEELHSIQVQEI AVDFIIDASEQIWLSWIPTVIAKTNHNSGAVPLRTPSPTHPPSLSHHKNGWLATAATG LPSMEQLVFDAAEATLLEPPTLDKDTSSSTSHVRVDDVHAAAQRRASATHFTLKPTPA APSSSKPLHHPTTGSGFPTPFKCCGDFCGFDLSPPSSLVASTDDALTRRRRRGIHALL SPEDSAALGAANLLQTLTNHSVDTLQNYNDQRLHYTITYRSIALAKANKAHLVDTQHS DSNEADVVSNVPNAKKPAYMASTVSKDWQRSLGKTYGELDGGAANYYRHVKVCRSCYA VYSTLDTARRMLDEVAAKVSQQLVKENARQPPGMDKWNQGVDASVAAKERGLMGRRSS STTTLEVKLPRLGTPPEGPPSMRMLSAATSPTTQDDVGEVEGRGMPDDATHPPNEALA DGVAKGVVMPLIHQPSSPTLSQPQHSYATSKAKSTAMLTVSNTRAKGWKKQLDHKATH AASDEVAKTVEEFVGLDGYLRGKVRRRKTKQLTENALFPGGMPLPVTKSHQGHGDAYL PLVLVVETDQSMINSIVRVLQDEYSVESVCDGAVALKCAQETHYDAIVCGRDVPTLGA IEMTKLLRQHEIQQSIALHLPVRRTPVLCFTNCTSPEDLRVYMEVGMDGCVGHPLDLD ALRRMLEAAVTTTGGGGVVASLQAIVAKANQPIATSSSLPKPASRPRKKKPAAATATG TSSNLANALLGHTPDDPSCTMGMFQVDTDTSLPFAVLNPPTPPTTINTFFNLVVVHDI FDTMERMQIFLQPMLARCSGAQALVWNYPGQAGTTWRKGLLLNNAYLSTCLSGLLSHV TSKGLWRHEVPFYLVGYGNGGPVCLHYALHAPSSSLRALVSVNGFAYVDSTLATFLHD AIKVFSCSPPSRPDLPVYYHTRFLFSGAYLATVSTPLALNLYTAVSNPITLDGRVALC LGALSHVDVRHDLSSMNVPLVVIASAQDGLVQPLHVDAIVAARGGGSVNSIHKVLKHH RKSKTCVVWVQAGHEVFQEVKPTIAALLEQFLTGFHETHDSSLTTGTGGAAPRHEKEG SLVAAAAARTSYEDHFINKVMMTLTDVKNRPDRQQPPLNPHVHPRAPDDGETNSNAWA MYQQKRMESTAATHSAHNKKKSGQHPPPPVLDPLNPSFERDTNDVYRAGDGSKIYPDP TTRGDIKEYMQWRVQRNATRLQRMHKLASKIQKAYRAYRARTLADRLRRHKAALTMQR VYRGFKGRLVFDKRRREDAAIRLVQRSWRGKMGRMQFKSTKSQRLAAIEMQRMVRGHV GRLRVRRIRTTRYTSACIIQALFRRVHAVQEAWRRRRQTQGAVLIQRVYRGHVGRARF RAERDRYLFSKAQTQNIDFGKQMLLEYKLYGTRLQSEVALLVNEKTKAEASVESLLVE IAEFEAGVRVLETEMHALSQIETEATGVLDEQAKWQLRDQKMRLDQEFAMMLKKIADR REKLLVLGTTLQALDKSRHAKEEDLRGLERKLVLLLDEQQRQLQGIKAKQEKRSQVLV DVAGGVIPPGPLGGVDHGQPGGSVSCGTTVSPEQRQEANSLMESTETMMKFGFMSMSM TYFSSMNMIKAMRQIGAHHTFLDSANAIHNHATGAAGMMMGGGSASPTGKHMGGGVGG SSAFHAEPAPGNFPGQEPLLASAWSVRDVGRWLDTLSLGQYKQAFSDGTVDGSLLYDL NDHDLRFSLGIEYDLHRKKILQAVERLKRAEGAVNKLYSSPLPTNGAAIIPAESSAAS VQQNQSNPSSSGSMALSTTSPLPSSSTTNALSTSANQIAATEPPPLVIRFDEVCSLVR NGKLKQVKEAFEKWPDKAYDDLSTKVQNAPGTIYEDSLEHQAFHMNKADEHGNSLLLL AAQNNLLKVCQFLVSKGANPNHQNKQGQSAGHYAMAYSFFDLGAWLLDPDKGGGRDDL VNIHGLTAYDGLSPAS H257_14431 MQHQMSGPGSSIVVDAPLPDQTLKSNATAINTRLHAITMLVRQH LEELHSIQVQEIAVDFIIDASEQIWLSWIPTVIAKTNHNSGAVPLRTPSPTHPPSLSH HKNGWLATAATGLPSMEQLVFDAAEATLLEPPTLDKDTSSSTSHVRVDDVHAAAQRRA SATHFTLKPTPAAPSSSKPLHHPTTGSGFPTPFKCCGDFCGFDLSPPSSLVASTDDAL TRRRRRGIHALLSPEDSAALGAANLLQTLTNHSVDTLQNYNDQRLHYTITYRSIALAK ANKAHLVDTQHSDSNEADVVSNVPNAKKPAYMASTVSKDWQRSLGKTYGELDGGAANY YRHVKVCRSCYAVYSTLDTARRMLDEVAAKVSQQLVKENARQPPGMDKWNQGVDASVA AKERGLMGRRSSSTTTLEVKLPRLGTPPEGPPSMRMLSAATSPTTQDDVGEVEGRGMP DDATHPPNEALADGVAKGVVMPLIHQPSSPTLSQPQHSYATSKAKSTAMLTVSNTRAK GWKKQLDHKATHAASDEVAKTVEEFVGLDGYLRGKVRRRKTKQLTENALFPGGMPLPV TKSHQGHGDAYLPLVLVVETDQSMINSIVRVLQDEYSVESVCDGAVALKCAQETHYDA IVCGRDVPTLGAIEMTKLLRQHEIQQSIALHLPVRRTPVLCFTNCTSPEDLRVYMEVG MDGCVGHPLDLDALRRMLEAAVTTTGGGGVVASLQAIVAKANQPIATSSSLPKPASRP RKKKPAAATATGTSSNLANALLGHTPDDPSCTMGMFQVDTDTSLPFAVLNPPTPPTTI NTFFNLVVVHDIFDTMERMQIFLQPMLARCSGAQALVWNYPGQAGTTWRKGLLLNNAY LSTCLSGLLSHVTSKGLWRHEVPFYLVGYGNGGPVCLHYALHAPSSSLRALVSVNGFA YVDSTLATFLHDAIKVFSCSPPSRPDLPVYYHTRFLFSGAYLATVSTPLALNLYTAVS NPITLDGRVALCLGALSHVDVRHDLSSMNVPLVVIASAQDGLVQPLHVDAIVAARGGG SVNSIHKVLKHHRKSKTCVVWVQAGHEVFQEVKPTIAALLEQFLTGFHETHDSSLTTG TGGAAPRHEKEGSLVAAAAARTSYEDHFINKVMMTLTDVKNRPDRQQPPLNPHVHPRA PDDGETNSNAWAMYQQKRMESTAATHSAHNKKKSGQHPPPPVLDPLNPSFERDTNDVY RAGDGSKIYPDPTTRGDIKEYMQWRVQRNATRLQRMHKLASKIQKAYRAYRARTLADR LRRHKAALTMQRVYRGFKGRLVFDKRRREDAAIRLVQRSWRGKMGRMQFKSTKSQRLA AIEMQRMVRGHVGRLRVRRIRTTRYTSACIIQALFRRVHAVQEAWRRRRQTQGAVLIQ RVYRGHVGRARFRAERDRYLFSKAQTQNIDFGKQMLLEYKLYGTRLQSEVALLVNEKT KAEASVESLLVEIAEFEAGVRVLETEMHALSQIETEATGVLDEQAKWQLRDQKMRLDQ EFAMMLKKIADRREKLLVLGTTLQALDKSRHAKEEDLRGLERKLVLLLDEQQRQLQGI KAKQEKRSQVLVDVAGGVIPPGPLGGVDHGQPGGSVSCGTTVSPEQRQEANSLMESTE TMMKFGFMSMSMTYFSSMNMIKAMRQIGAHHTFLDSANAIHNHATGAAGMMMGGGSAS PTGKHMGGGVGGSSAFHAEPAPGNFPGQEPLLASAWSVRDVGRWLDTLSLGQYKQAFS DGTVDGSLLYDLNDHDLRFSLGIEYDLHRKKILQAVERLKRAEGAVNKLYSSPLPTNG AAIIPAESSAASVQQNQSNPSSSGSMALSTTSPLPSSSTTNALSTSANQIAATEPPPL VIRFDEVCSLVRNGKLKQVKEAFEKWPDKAYDDLSTKVQNAPGTIYEDSLEHQAFHMN KADEHGNSLLLLAAQNNLLKVCQFLVSKGANPNHQNKQGQSAGHYAMAYSFFDLGAWL LDPDKGGGRDDLVNIHGLTAYDGLSPAS H257_14432 MDIQTLHNILLHTFAVETDARKAAEAALLNLHTVRGSIVLLMQL ISNLEVQKEIRQAAGIQLKNIVSKHWEGQAQADDSYLSPFTFEDKLEYRKYIMEGLLT TGVDDRSIRSLLAEAVNQIARIDFPMKWPGLVEEITTNIQSGDPTRICNALLVLRRLC KNYEYRNDENRADLNTIVGVTFPLLLTMLESLVHNHSVEGARMIHLICKIFWSCVQVS LPPYVTNLQRMSAWMDLFRQILAKRLPEASEGLEPLHQPTDPDAREKWPWWKVKKWIL QVVTRFYMRWGNPKSADPENVDMAKHYRSHVNPPMLATIMETLALRKQGLFCTDRVMQ LSLTYVNEAVKSSQAYKQLKPHLHFLLFEVVHPTLCLTPVDMQLWADDPHEFVRKTHD FMEGYLSPVNAAQEVLNSLCTLRGKDCLSNVLMFYNQILSTYVASPVESRDLIQKEAA LHGLSALQGLLVKSKAHKPQIEALLQAHVLPEFHNPHGFLRLRACKMFTGDFMTDIVF ADATITELANSMMKSMADVELPVRIEAAKALRHLVMYEHSTAVLDAMRPVLPQIMEQY FKLMDEIGNDEVVIALEHIIDQFADEIGPYAVQLVGKLVDCFNQFAKEGDEDDDACMT AASCLDTVNTILYSIHNQPEYYPLFLDSLVPAITLILSSDDYTEYLESALDVLVTLTF YSKSIAPSLWSLFPLLFTAYQGWAEDYITNFVSVIDNYIGMDVTNFLAGGVVSPTDGS RVSYLEMVFQLAANIFKQSDDEQDDRSMELVAACKLLYSLLHNCLRHDINVCVPLIVQ ITCLKLARSLKQKVVTSLFGVIASALHYNPALTLSTMESFQATDPLFKAWLSHLPKLT KFMDQKMFVLGITAVFKLPLAELPLSLQPHTHALLVGVVDKLRDIQTGENDEDEGSDG GDGDDNGLDEDEVEQLVERGGYGSDEDAEALQDADTAAFLREMTRRTDDDDDDGYYFG MGEEEEFTSELDNIDEFAVFLETVQHMLTTNPQACHALQMDTDEFKVSCEHFAAEVQR RQQEALDEAQDAAAAAASGP H257_14433 MMNLLLHCGCKGTSESPRHADGRRHQSFLSSEATTIHGSQQRSV LAAKLGYERFNINSNHSGESPMSSSSQTIRRHVAAAASASSSHSIPSTSGINNVAALP LPPPSSSKSLVDPFKNRRVAPLWLEGEETTMGLPDGDVPNFQTFCSYERWSAAFASPG DFTIKAAHVKRSSGTVVYHLALVGLSIPPSTSSLSGTVSPHHSLSRSRTDGVNYSTNS LSSTYSDHRYAQFPRPRKDKAQSVSIITKSDTDIAAFVHAMALRFPGHKIASSFARKM NKAGRSLDDRAAAVVDVLSFLLSMTHVGVHGLIPVKEPIPQDLRVRLFLKLHCWNAHM GAVPTGSNSCDGRLESQSHSEKVPTPTRKSIPSEWFVSSKLASSSSSSMRPSVSSSNL PRMRRRSLPQAAAPTPYCTTFVPPNAWKKKAKDTPGAFTVVLSGIHVLDDGVVEYVLT VLFVDSVTPSLAPRTRTVGHRYQEFDDLAVHIRHKTKLNVSMPPKTMFRCVDPAFLET RSVDLQRFIDSLLSLHFTGMLDQKIDMAAEPRVRAFLQLPTVQWSVVAELPTKQDLDR FRALSNSSTASSRSVSQPKDSDDDDDDDDEDDEDGGDERIFADFETRVDRPFLSHRRS ISSQYYPISRGIA H257_14434 MMDIVTLCLNDPERGRWVAAARDIPVGALVLESKPYSYVLSPSL WTERCQVCFQSTSKLSRCGRCRLVYYCSKACQLQDWQTNHKLECSRLLAMTQQATHPA ALSDALLVARVLRKEAAQHHSSDAPLRSESLLPSDLVWFDEDRSEMQSLASWLTTHHA KLFPDTTTSKEVEEMLCRFRSNNFTITDELLLDVGAGCFPWGAMVNHSCANNCVITYA PSSQTLQLRAIQPILQGAEITQPYVDVGLPLAKRRDALRRHYHFDCACARCTSQQHDE IDQDAESSNSDHKVLLQQAAAWCEAAAVATSPESAIELYRRGLDAREKGLSNPWNVLI LEVHSQMLTLHIEMGNGHDAVQTARAIYAFYKRLYHPNHPLTGLHLYTLGDLESQCHD DGGAVQHLNEALRILTITHGANHAMVQTLKARMNEFRPSVPPLPPRS H257_14435 MYSQQRSSSLPTMLPQSMEFEPEPEYIDERRWERIETLGDGYSP RTGHTVVSHNATLYVFGGTDRRRRQQDLFQFDIESCAWSQVDVHGTLPPRRSGALGVV HENHMYIFGGYDGRDGNYFNDLFYFNFGTAEVFPLHDGLASQTCPLTLRGSLLDTRRW SEIPSSSSVVRPESRTDHIMVLHDANIYIFGGYNGSSRFNNMYRYEIPAKSWRKVDAV GSLPSGRFGHTGAVHESSHRLIVFGGWDGRDTLDDLHQYEFATNTWSPMVTSGRAPPH RYRHTAVIFDTSMFVFGGVDKAHSRFNDLQRLDMTTNTWTEVHTTGFVPSSRTFHRAV VVLNRMYLLGGYDGTDRLHDLYSIHVGPLSPPSLLALCASYARRNVDDILAYTSFKGV PQLVLDDVIFQRDGDNVLRGKCAQCRDGRCSVYKLSRAASSTDAANPTPAGPPPLHQG RAAMAHANSAVTNHHMTLQTQGDKPGRAACVCGHGTAHHERIDERKLIGEPCNARMMV GGAMGGPGGATSSTSKGKVYLLYSLYKRIFDGGPADDSPDHEIADA H257_14435 MYSQQRSSSLPTMLPQSMEFEPEPEYIDERRWERIETLGDGYSP RTGHTVVSHNATLYVFGGTDRRRRQQDLFQFDIESCAWSQVDVHGTLPPRRSGALGVV HENHMYIFGGYDGRDGNYFNDLFYFNFDTRRWSEIPSSSSVVRPESRTDHIMVLHDAN IYIFGGYNGSSRFNNMYRYEIPAKSWRKVDAVGSLPSGRFGHTGAVHESSHRLIVFGG WDGRDTLDDLHQYEFATNTWSPMVTSGRAPPHRYRHTAVIFDTSMFVFGGVDKAHSRF NDLQRLDMTTNTWTEVHTTGFVPSSRTFHRAVVVLNRMYLLGGYDGTDRLHDLYSIHV GPLSPPSLLALCASYARRNVDDILAYTSFKGVPQCGTKKMVMMSCPFIVVVLDDVIFQ RDGDNVLRGKCAQCRDGRCSVYKLSRAASSTDAANPTPAGPPPLHQGRAAMAHANSAV TNHHMTLQTQGDKPGRAACVCGHGTAHHERIDERKLIGEPCNARMMVGGAMGGPGGAT SSTSKGKVYLLYSLYKRIFDGGPADDSPDHEIADA H257_14435 MYSQQRSSSLPTMLPQSMEFEPEPEYIDERRWERIETLGDGYSP RTGHTVVSHNATLYVFGGTDRRRRQQDLFQFDIESCAWSQVDVHGTLPPRRSGALGVV HENHMYIFGGYDGRDGNYFNDLFYFNFDTRRWSEIPSSSSVVRPESRTDHIMVLHDAN IYIFGGYNGSSRFNNMYRYEIPAKSWRKVDAVGSLPSGRFGHTGAVHESSHRLIVFGG WDGRDTLDDLHQYEFATNTWSPMVTSGRAPPHRYRHTAVIFDTSMFVFGGVDKAHSRF NDLQRLDMTTNTWTEVHTTGFVPSSRTFHRAVVVLNRMYLLGGYDGTDRLHDLYSIHV GPLSPPSLLALCASYARRNVDDILAYTSFKGVPQLVLDDVIFQRDGDNVLRGKCAQCR DGRCSVYKLSRAASSTDAANPTPAGPPPLHQGRAAMAHANSAVTNHHMTLQTQGDKPG RAACVCGHGTAHHERIDERKLIGEPCNARMMVGGAMGGPGGATSSTSKGKVYLLYSLY KRIFDGGPADDSPDHEIADA H257_14435 MYSQQRSSSLPTMLPQSMEFEPEPEYIDERRWERIETLGDGYSP RTGHTVVSHNATLYVFGGTDRRRRQQDLFQFDIESCAWSQVDVHGTLPPRRSGALGVV HENHMYIFGGYDGRDGNYFNDLFYFNFDTRRWSEIPSSSSVVRPESRTDHIMVLHDAN IYIFGGYNGSSRFNNMYRYEIPAKSWRKVDAVGSLPSGRFGHTGAVHESSHRLIVFGG WDGRDTLDDLHQYEFATNTWSPMVTSGRAPPHRYRHTAVIFDTSMFVFGGVDKAHSRF NDLQRLDMTTNTWTEVHTTGFVPSSRTFHRAVVVLNRMYLLGGYDGTDRLHDLYSIHV GPLSPPSLLALCASYARRNVDDILAYTSFKGVPQCRCIFDDCMYFENRCFENINCGRC REVLLAECCLFCGRCS H257_14436 MDTVNGGGKSRPVVRGGSGGRVKLRPVPVSSLSTNRPKTPPTTK SSTAASILLAKAQATAAADDRQLGGYTKLKKLGVGGCGTVWQVATTETATSPERDLFA LKQIPKGDGAVVSGVVEARVGLKLFPTAAVVRDKLLQGLRHHDVATPPLAATVSLSQL NATIVDGNINKEQTIILDYRPDENPPLAPSPPPSAPVNIPMYIVPLLAVVETKQDLWL VFEQGGETLHNALFDIRGEFLHGSRSYRICHRPLYEAMRDNVCLLKSLLRQLLLAVQT LGAHHIVHADIKPDNILVRHSYSTPPTLPPHDDNYSSRPPSSHHIDPQVGRVTVQLID FGSAFTSTSPRLPSAHTPEYVPPDILELLRTKHQTNHVKAYLDAHSRPHSFDMWSLGC VFLEIACGVPLWLPFKARVDSKYRDDKKPLVTGGLLAATGRNADKIATRQLHVVANLR KCIRECGGMNVDSRRWVHGVDLMQRMLEIDPAQRISPTQALAHPFLASGTVVSPPPHG LTTGQGQNAPHPVNTDVATFSLR H257_14437 MRCVYAYYFEVTLHNLPVLQHYYVLVLILLLANNTNPPTTLDHR SLSSQRKRGHVSVDRMRSVLSLSCGQPVWWWRHDGTTRQERMGQRLRGRNALGRVDLE HALHEVHAMDPPATVDVHAAAFPDAFPKIRDDVELPGGDFVSVAPGGGEEAAHDEWLL VVSY H257_14438 MGGLLAATGRNADKIATRQLHVVANLRKCIRECGGMNVDSRRWV HGVDLMQRMLEIDPAQRISPTQALAHPFLASGTVVSPPPHGLTTGQGQNAPHPVNTDV ATFSLR H257_14439 MDVNEAKCLLERHAAAQQSAMVQEDDGSIPNSVKEGTVRRAKQT VTGLVLLNVAMWVGTICISTTYPVILSPALVAYSLGLRHAVDADHIAAIDNVTRSLLQ RGRQSVTVGLFFSLGHSSVVVLLSVVVVCSASAVNLDTSKSIGAIVGASVSATFLCLI GLVNAVSFVRLLQQWNAISPSSSQGGDLHDDDVLTPSGVGGGILASCCPSLLKVIDQP WKMYPLGFLFGLGFDTASEVALLAISALASQSGVPSWVVLVLPGLFACGMSLIDSLDG IFMLWAYGWAYIHPAKKLFYNMFLTGLSSFVALFIGAVEAVGILVARGLVTGWVADIV LGINDNFELLGCVVIGLFVVSFGISYFVYEVFFAAKAAAVPCGQFNRANKMHVHPTAF SNIMIVMVLSPAKTLDMSEVADDVESTQPMHLDDASELIHGLRKLSLAKVKTLLGVSD ALAKLNYDRYKHFITDIPTTTSTSAFKQAVFSFDGPAYKGLQSASWTPEDLTFAQAHL RILCGLYGTLRPLDLIQAYRLEMGSKVQHGRGPKDGLYAFWGRAIADDINAVFALPST AVSSSSSSINILLNVASVEYFKSVDMPSLDPSIVVVDCIFKDDGQIKSVFAKRARGLM VNYVVTSRAATMDHLRAFQADGYVYSRHESTDTQLVFNRSKAAAAAALKRAREVAAIA KLHTKRPRNDLEMDTSVDEKPHKPSQRTM H257_14439 MDVNEAKCLLERHAAAQQSAMVQEDDGSIPNSVKEGTVRRAKQT VTGLVLLNVAMWVGTICISTTYPVILSPALVAYSLGLRHAVDADHIAAIDNVTRSLLQ RGRQSVTVGLFFSLGHSSVVVLLSVVVVCSASAVNLDTSKSIGAIVGASVSATFLCLI GLVNAVSFVRLLQQWNAISPSSSQGGDLHDDDVLTPSGVGGGILASCCPSLLKVIDQP WKMYPLGFLFGLGFDTASEVALLAISALASQSGVPSWVVLVLPGLFACGMSLIDSLDG IFMLWAYGWAYIHPAKKLFYNMFLTGLSSFVALFIGAVEAVGILVARGLVTGWVADIV LGINDNFELLGCVVIGLFVVSFGISYFVYEVFFAAKAAAVPCGQFNRANKMHVHPTAF SNIMIVMVLSPAKTLDMSEVADDVESTQPMHLDDASELIHGLRKLSLAKVKTLLGVSD ALAKLNYDRYKHFITDIPTTTSTSAFKQVTPHAVSIHYVMTLYQAVFSFDGPAYKGLQ SASWTPEDLTFAQAHLRILCGLYGTLRPLDLIQAYRLEMGSKVQHGRGPKDGLYAFWG RAIADDINAVFALPSTAVSSSSSSINILLNVASVEYFKSVDMPSLDPSIVVVDCIFKD DGQIKSVFAKRARGLMVNYVVTSRAATMDHLRAFQADGYVYSRHESTDTQLVFNRSKA AAAAALKRAREVAAIAKLHTKRPRNDLEMDTSVDEKPHKPSQRTM H257_14440 MDQILQGVLLSDKSDDEKKLCIDHILSCSLSREQHLSISGICWS LWPEGSTPALACVLVHALGQLPNQFIVCARRYLNNPATSEDDACFRWMQMETRHAEWI PVIKVLFLFLSMRPAQTLGRVVAVFQHCPCVPFSSFLVVKDLYLNTEKLANILIKCGR LPMVGHTCAWLKQVLLLLVHGEQWPVLLTGGNDVILSVAEQLQSADTVHGSLVVLETI FLGFQENADVFLAFFPHFYDRVAPWVTTPPSALPHSTLVYLHEFLQGLLFAFPGHPFV QAKLRHLCTLLPPLSTFDVEAFVEDLRWTNCKQGHESPFATIDSQISPSDYSPSATRR HADDIPGFVGLRNVGNTCYMNSVLQGLYHTLAVRSLAVASLTTTSTKLKRRLGADTTT TTSTSTTSSNRSSSSVATEFFHLVRQMHAQGSGCVDVKHVTRFRATLAAEFQTSRQQD ASEFLHYVWDHVTARRDPAPAWKDSVFTGKYARHITCSRCHAVSTTTEEFLDIPVPVP TAAAIGPPLPLLTLLRAQFATESLTGANAYFCDGCHDRVDATKRTVVADAPSHLLVTM SRFQYNLQRGVREKVCTPVACTTSLHLPVHNNVDVGLPSNLGGDHVTYDLYAATIHAG SRADHGHYYTFARHEERWVLLNDSRVTSVDEGLVHHTLMQSTTDTPYILWYRRRNA H257_14441 MSTAATRDIITSNSATLGLQAGAVATVVAGAGATLAHYTWPFFR NRLGVSGKVGLVASAGMATFIIVAERDLLRGSRNPDEYINDLQAKNNTASPSSPATAG KSHSLPLHHHFANYLLDYPFRTLAMTATPLVGAIYLHQSRNNNIQFSQKIMHTRIYGQ GTCVVLLLSTMAMYDFMSRRGRYE H257_14442 FSTPINTMSSTATRDIITSNSTMLGFQAGAVATAVAAAGATLAH YNSTFFRTRLGVSGKVGLVASAGLAAFSIAAERDLLRGSRNPDEYLSELQAKNNATAD TVTAHHDKLPLHQQAANYVLDYPFRTLAVTATPLVGAIYLHQSHNANIQFSQKIMHTR IYGQGSCVVLLLSTMAFYDYMSRRGRFE H257_14443 MIAGAWDGATSTASSYTPTKRQKLSQPSGATMTATAAPTTATPT TVSTASAAVTLPTTADDIRHFMSAIDLHDVARHFDNKDDDVNPYFVCDGVSVDAFNAY VRGQERLRVGLRFLELSGDGRVLITELPNSRVHDTTREHSQGIRTRIQQSDRK H257_14444 EFRSTLWAKLKTHIAANIVPVVVQLAKDRGHEVVFTPPYHSDLQ PIEMVWAYVKGAVGWQYNTSTKFPDVRQRLDREFAGLPSSVVFDCINHTDRKVVEMAA YLNAVDDADDAAGAAFSSESESESDADSCDDCDFADYDGDV H257_14445 MKLEPGGRYGAFLDPPELVEFINHIRDNERALTTTHPILCIKAN QREWLNNYLATKQQLTSYDSLLRLLQRFCDHHSFSRQRPTKNKVKQADLAEVQCHFNA EFHREYIAYARSACSTLMKLAYIMICRRATFGQYEAEAPKSCHSLCMTAVLMVRADST KLLILFIMKGVPGVRIDSGELSMFPPCHHYADKRVRATYLRDVLGEAVEEPSVVLLDN FESGESYNINEEAPFKRNRLKLWLLEDIIVGDDDDSFSLTSRQKHMALVKRSIAAWDL VSSQEIRRSFEKALPH H257_14446 MAEKYLSDSTTRLKLDGSNYREWCVKTRAKINQQKLGKYLKKVR FADGTYSNGSNEEDDLVALSYIQLGIHADHLQFVKDTATTHETWESLKAIYESASEVN LVTLQLQMSKLEWIERTGLEAFADQFQEFMRKLSAAGDTTADKAHLTRFLCLLPPRFA NTTTSVKSYTTLILAKRANTMDDALNVGPDDCHYCGKTGHYKADCRRRSADREKGIDR QNVRQPPRTNGGRGGGRGRGRSGWGRHDDSNYVKDDDDEDLFMVQEISIAQADGDMKT YRHETDGDKSGGPMELEDEIDEVTADLANYATMLSDDDDECNNVLSSKAEVIVDSARR PTRGRPPDLCHYDGGRENEIEGHGTYSCLSQRAARPEPDQDAHLHQPDHRQCRCASIP FKKDYCNIRSRTHLSIAGKWNAARLYAVHGDVFKPRPAADQANSAEVAEPMLWHTRCG HVPWNSMSAMIKATKGGPTNLRLPDSLSCEDCIRGKKTHTSPPKEGDCPRHQLGMCDA TNYVWVKFLRKKSDTFAAMKEYLPWLERQSGAKLKTMRSDNGSEFIGDEVEGYLTERG IDYDETYAPVVRGDSLRLTLAIVTERGMKCRQGDTTNAYIHADSDRMLHMDMPDGFGD DSGRVWVIEKALYGMKQSALMWYVHFKGILEDDGSARHAGRRLCPHAPDKRCSSDEEV DAIFNHMQAHIRLNDMGSVAKLLGMEIYRNEDEMTMDVLQVTYIERMAAKYGLASAKA VDTPIPPGTNLSEDVGTVLNDDKPYRQIVGSLLYCAMATRPFIVHAVTQLSRHLTQPH QLHMHMERRVVAYLLHTKTVGLTFTGGRRGSDKLVDFSDSSWVDDRATGRYTCGYLWM MAGGAISWRLKLQAIVTLSTAEAEYVGACLGAQHERDRDRLEPSQHPEDQAPSTTLLL SAGLVKSGKFTLAHLPTNVTPADVFTKHVSKDKLKTAMAFMGMGGCCGFCP H257_14447 MAIPDMDELPDSGPDKWSCLTSIAHSLADQTTRMEEEVSRLAPY LLKRSNTIDTSDWVLDSGCGMHMTPLSGVMSG H257_14448 MRATKRKQKRYSNGQRKQLLSEFRASSATNERQFCREKKIPRAT WQDWRSREPRIMASKRHSRHATMGGQGHREILPFKEELLAYMCAKRGAEEHLRVFHLM RWVNSNQKAWLVQYLGSKLNEAVAYQSFRSLMLRFAARHRFRHRVPSVSKVTQKVLDD VWLGNAVHFWSTYGHYPRSQILNVDETGVFFDITTGGFLR H257_14449 MGGIALTTAFTGLSATPLLSGLVRAEGAKVDTIDSIQSEAKRHV VDLANALSVMHKQKGPYHVVKVASDHLMEVQQLVPPDAISLHHASRLRMYFEGDIEVD KDVQAHIVFGDEGFYFEAIKDLRMDDTV H257_14450 MQPSSFLLASFLVVLSVTSGTSAQACSAQGKNVTATGFVMDNYC IDLGKLMDNPTVKTLEGPEVHSIHCLVDLKPCVDSLYTLLAPPENGSKLYTGQYFN H257_14451 MRSSIPSYSELIGPLRSLLDVAAKAGWVEEDGVGVNQVVCRRVG FRTRCVFCSGQGHVAANDKIICLYIDASDTHWGAACTQIPPEDLELPVEQQRHEPLAF LSGGFDGASARWPTVEKEAFAIVESCKPLAANGWKYVLVVKDGMSGFCRLFPSATADA ESTANALMDWFATHGIVSTWVSDGGTHFKNVVVGKIKR H257_14452 MQPSTFLLASFLVVLSMANGASAQTCSAQGKNVTATGFVMDNYC IDLGKLMDNPTVKTLEGPEVHSIHCLVDLKPCVDSLYTLLAPPENGSKLYTVKYQLGA AGSALAKNYAENARLLGGKKGFTATVTGVDDGTPELKCVELSKTVEVDGKPLTLSSVS PVASTAAPDATTVATPTTTAQPTPSSVNHLVLSGVAAAVGIVALTV H257_14453 MSQQTQIWGWDIHIRPVRNADKSKCSADNLGPAADVNAAAAMCF VADIASAVNAGDSRSDAAVDAGMSKQRDRRAFCSHDSWTRFTSTGILGGRYKHRLQCG IEGIVQHQVIYIISLVLQPPSLSKGAKNARKKSANQDAMTASK H257_14454 MLLRCRHQVLSVRGFASAAKTTPPSHQQRIYKSAYPPIPATPVT TWQLVQQAAKIFADAPALICGISHKHVTYREFEDTVLQVASSLSERGVAKGDVVLTNM INCIEYPVLYHALTSLGAILSPAPPSFSGAELAQQLKASNAKFVVTHDSVENAATDAA KSESIPTDHIFSVGSSPSGLQSFSELQQPTTSSSTLRPEVIIHAHKDVNYLPFSSGTT GPPKGVRLSFWNLAVNALQWQTIDKFTSPALAMLPYNHIYGTTLMNGVLLSGQPQVIL PKFDPVTFLQALQQYKIQKAHIVPPLAAFLAKHPLVDEYDLSATTTLVSGAAPMGHAL EQAVKDRLGISIKQAYGMTELSPVATYSHDSSIKSSSSGNLVPNTELRVVCPSSGADL PPHALGELWYRGPQVMLGYLNNHSATEATMTSCGFMKTGDLGYIDDDGHVYVVDRLKE LIKYKGHQVAPAELEDVILKHPSVLDVACIRGYDDNNDEVPKACVVLKPHATLSAKDL MAYVAASVAPYKKVRQVEFVDAIPKSPSGKILRRELQAAHE H257_14455 MRLLIRPGKAWRILAHGRPYSTAPPTSVASQRIYVSPHEHLDLT PRTAWDIVSAASALHADKVALICGVTHKQVTHREFVVSVKAIAASLAQRGVTKGTVVL TNIVNCVEYPQLYHALTLLGATMSPASPQFSAAELVRQLRASNASFIVTHESVEGPAQ QAAQLAAIPLDNCFCIGPSPSSFQSFSVLTTPCTSWHDVAAPSVDIMNDTNYLPFSSG TTGVPKGVKLSFWNMAINLVQMGHSESFNSPAMMVLPYYHIYASTLMNMVLVSGYAQV ILPKFDHRQFLHCLQRYRITKAHIVPPIATFLAKHPIVDEYDLSALRMLVSAAAPLGA GLQDAVHLRLGIQVKQCYGLTELSPIVNYSKDGHSKPASTGTLVPHTELRVVCPSSGA DLPPDQVGELWYRGPQVMLGYLDNDQATEDTTTTCGFLKTGDLGYIDDDGHVFVVDRL KELIKYKGHQVAPAELEDVIMTHPSVMDVACIRGYTEDGDEVPKACVVLKPSHDVSAA ELMEFVAARVAPFKKVRQVEFVGTIPKNGSGKILRRQLQAAAPPPSS H257_14456 METHPATSRLVTSFAAKECVHAHISVEWTYDTKLAQCMTLLRAH LPGDIAPSATLSKLSVSDLVLSIEAAELQHPLVVVLCHLHPSDATACIQNMFQYHQSC TPPYDPARATTLEALVYASSLFIAAYTPAAKGALKHQLESLASSSSCLMLQRAIATLS SDAIIQPASPTAVPQSSVPPHHPHVVERLLTAMQHVTATDANSVADIATACVACCDHR QCLPSSYVVYPTHEALLQSIACAPYISTTPPPPTHDTAMLHVHDGGAQDDWHAEPILQ HDQALQTFSRLLTASHWSRVDMQWLRQYLETIDDVHVLRMHVGWVIHQHRHLVSISAT AASLACNSSAIQSLMNFVTCATPSHALLRCAAFDPIAVIETLLQHGLHTRENQPVCMD VLYMLAPFVPRGQLIDAVATTFLTAFATSATEWRSATDWARRLIDPQQHDDGVLITLP EFCAACIVPLVVQEPERRNGWLVAHALVVDSTTSAIAFSQAADQLTAMLELAVLELRR TWAMPSRDAVLASYDHIQVVQAILQALVKLTKSFQLADDNPPTWSHLVDVCDDVRLYL MLANDVDFPWLKCALATKQVEWAAHIEPIIWGAILDHPECVAALPVLHRCSIGGLPRH EQSASQLWALTATTFAMHVVAPHTNLVQFQRLVAVVLPQLVPELPRGSSSTTTTLVLP SSVVNEQQKQQHDELEFEWTMALWAVRSASVACHVTHPVSCVRPLIWAVELVLGEATS TMASLLVCIGAVLVVLNDDAFIHDTMREQVAVLCRQLIVAVLSTDEANVSKFAAKYVD FCIDQVTENEQRRALHRFVSAQQQQKARS H257_14457 MHEITAWSQCKDAVMQVAHTSTTTCQACEGKIASGQLRLGVMYL HVDGFMLVEWIHLRCQPWRVTAFDSISFVDRGCLSVDQALHIRRWLVSCQTQLTESTA SDIIALEAWHVVMPLTTL H257_14458 MPSAPAAAAPAASTLTTTSDSGYAVGAILVALWMGETERTCVVI DRKATTAGKFKYYVHWHDFNRRMDEWINADEIVRRGTDEEIKHLQKKEHKEKEKEKEH DKTGGTVGTGDHSDSKDSGRGTRGHKRKTESDFAEPDEHDEHEGMDAASIREHEEVTK VKNVRFVEIGKFRMAAWYFSPFPKEYFPDGSIDCLYFCEFCMTFFRFKSELRHHQERV ACSRYPPGNEIYRHEGISVFEVDGAISKPYCQNLCYFAKLFLDHKTLHYDVDPFLFYV MCEVDTRGFHPVGYFSKEKYSELGYNLACILTFPCHQRKGYGNFIIQFSYELSKKEEK VGSPEKPLSDLGLVSYRSYWTKVLLGILKEPQHKELSVMDLTKLTSIKNEDIVTTLQN LNIIKYCNGQYVFVLSDDVVDDQLNKVTKKGPHVVPEKLHWAPLHIEIKRDKWSLRAK AHDAEE H257_14458 MPSAPAAAAPAASTLTTTSDSGYAVGAILVALWMGETERTCVVI DRKATTAGKFKYYVHWHDFNRRMDEWINADEIVRRGTDEEIKHLQKKEHKEKEKEKEH DKTGGTVGTGDHSDSKDSGRGTRGHKRKTESDFAEPDEHDEHEGMDAASIREHEEVTK VKNVRFVEIGKFRMAAWYFSPFPKEYFPDGSIDCLYFCEFCMTFFRFKSELRHHQERV ACSRYPPGNEIYRHEGISVFEVDGAISKPYCQNLCYFAKLFLDHKTLHYDVDPFLFYV MCEVDTRGFHPVGYFSKEKYSELGYNLACILTFPCHQRKGYGNFIIQFSYELSKKEEK VGSPEKPLSDLGLVSYRSYWTKVLLGILKEPQHKELSVMDLTKLTSIKNEDIVTTLQN LNIIKYGSVTRNIISQVTLGDWSPIVKYMSDRYCNGQYVFVLSDDVVDDQLNKVTKKG PHVVPEKLHWAPLHIEIKRDKWSLRAKAHDAEE H257_14458 MPSAPAAAAPAASTLTTTSDSGYAVGAILVALWMGETERTCVVI DRKATTAGKFKYYVHWHDFNRRMDEWINADEIVRRGTDEEIKHLQKKEHKEKEKEKEH DKTGGTVGTGDHSDSKDSGRGTRGHKRKTESDFAEPDEHDEHEGMDAASIREHEEVTK VKNVRFVEIGKFRMAAWYFSPFPKEYFPDGSIDCLYFCEFCMTFFRFKSELRHHQERV ACSRYPPGNEIYRHEGISVFEVDGAISKPYCQNLCYFAKLFLDHKTLHYDVDPFLFYV MCEVDTRGFHPVGYFSKEKYSELGYNLACILTFPCHQRKGYGNFIIQFSYELSKKEEK VGSPEKPLSDLGLHKELSVMDLTKLTSIKNEDIVTTLQNLNIIKYCNGQYVFVLSDDV VDDQLNKVTKKGPHVVPEKLHWAPLHIEIKRDKWSLRAKAHDAEE H257_14458 MPSAPAAAAPAASTLTTTSDSGYAVGAILVALWMGETERTCVVI DRKATTAGKFKYYVHWHDFNRRMDEWINADEIVRRGTDEEIKHLQKKEHKEKEKEKEH DKTGGTVGTGDHSDSKDSGRGTRGHKRKTESDFAEPDEHDEHEGMDAASIREHEEVTK VKNVRFVEIGKFRMAAWYFSPFPKEYFPDGSIDCLYFCEFCMTFFRFKSELRHHQERV ACSRYPPGNEIYRHEGISVFEVDGAISKPYCQNLCYFAKLFLDHKTLHYDVDPFLFYV MCEVDTRGFHPVGYFSKEKYSELGYNLACILTFPCHQRKGYGNFIIQFSYELSKKEEK VGSPEKPLSDLGLHKELSVMDLTKLTSIKNEDIVTTLQNLNIIKYGSVTRNIISQVTL GDWSPIVKYMSDRYCNGQYVFVLSDDVVDDQLNKVTKKGPHVVPEKLHWAPLHIEIKR DKWSLRAKAHDAEE H257_14458 MPSAPAAAAPAASTLTTTSDSGYAVGAILVALWMGETERTCVVI DRKATTAGKFKYYVHWHDFNRRMDEWINADEIVRRGTDEEIKHLQKKEHKEKEKEKEH DKTGGTVGTGDHSDSKDSGRGTRGHKRKTESDFAEPDEHDEHEGMDAASIREHEEVTK VKNVRFVEIGKFRMAAWYFSPFPKEYFPDGSIDCLYFCEFCMTFFRFKSELRHHQERV ACSRYPPGNEIYRHEGISVFEVDGAISKPYCQNLCYFAKLFLDHKTLHYDVDPFLFYV MCEVDTRGFHPVGYFSKEKYSELGYNLACILTFPCHQRKGYGNFIIQFSYELSKKEEK VGSPEKPLSDLGLVSYRSYWTKNEDIVTTLQNLNIIKYCNGQYVFVLSDDVVDDQLNK VTKKGPHVVPEKLHWAPLHIEIKRDKWSLRAKAHDAEE H257_14458 MPSAPAAAAPAASTLTTTSDSGYAVGAILVALWMGETERTCVVI DRKATTAGKFKYYVHWHDFNRRMDEWINADEIVRRGTDEEIKHLQKKEHKEKEKEKEH DKTGGTVGTGDHSDSKDSGRGTRGHKRKTESDFAEPDEHDEHEGMDAASIREHEEVTK VKNVRFVEIGKFRMAAWYFSPFPKEYFPDGSIDCLYFCEFCMTFFRFKSELRHHQERV ACSRYPPGNEIYRHEGISVFEVDGAISKPYCQNLCYFAKLFLDHKTLHYDVDPFLFYV MCEVDTRGFHPVGYFSKEKYSELGYNLACILTFPCHQRKGYGNFIIQFSYELSKKEEK VGSPEKPLSDLGLVSYRSYWTKVLLGILKEPQHKELSVMDLTKLTSIKNEDIVTTLQN LNIIKYCNGQYVFVLSDDVVDDQLNKVTKKGPHVVPEKLHWAPLHIEIKRDKWSLRAK AHDAEE H257_14458 MPSAPAAAAPAASTLTTTSDSGYAVGAILVALWMGETERTCVVI DRKATTAGKFKYYVHWHDFNRRMDEWINADEIVRRGTDEEIKHLQKKEHKEKEKEKEH DKTGGTVGTGDHSDSKDSGRGTRGHKRKTESDFAEPDEHDEHEGMDAASIREHEEVTK VKNVRFVEIGKFRMAAWYFSPFPKEYFPDGSIDCLYFCEFCMTFFRFKSELRHHQERV ACSRYPPGNEIYRHEGISVFEVDGAISKPYCQNLCYFAKLFLDHKTLHYDVDPFLFYV MCEVDTRGFHPVGYFSKEKYSELGYNLACILTFPCHQRKGYGNFIIQFSYELSKKEEK VGSPEKPLSDLGLVSYRSYWTKVLLGILKEPQHKELSVMDLTKLTSIKNEDIVTTLQN LNIIKYGSVTRNIISQVTLGDWSPIVKYMSDRYCNGQYVFVLSDDVVDDQLNKVTKKG PHVVPEKLHWAPLHIEIKRDKWSLRAKAHDAEE H257_14458 MPSAPAAAAPAASTLTTTSDSGYAVGAILVALWMGETERTCVVI DRKATTAGKFKYYVHWHDFNRRMDEWINADEIVRRGTDEEIKHLQKKEHKEKEKEKEH DKTGGTVGTGDHSDSKDSGRGTRGHKRKTESDFAEPDEHDEHEGMDAASIREHEEVTK VKNVRFVEIGKFRMAAWYFSPFPKEYFPDGSIDCLYFCEFCMTFFRFKSELRHHQERV ACSRYPPGNEIYRHEGISVFEVDGAISKPYCQNLCYFAKLFLDHKTLHYDVDPFLFYV MCEVDTRGFHPVGYFSKEKYSELGYNLACILTFPCHQRKGYGNFIIQFSYELSKKEEK VGSPEKPLSDLGLVSYRSYWTKNEDIVTTLQNLNIIKYCNGQYVFVLSDDVVDDQLNK VVRVGK H257_14458 MPSAPAAAAPAASTLTTTSDSGYAVGAILVALWMGETERTCVVI DRKATTAGKFKYYVHWHDFNRRMDEWINADEIVRRGTDEEIKHLQKKEHKEKEKEKEH DKTGGTVGTGDHSDSKDSGRGTRGHKRKTESDFAEPDEHDEHEGMDAASIREHEEVTK VKNVRFVEIGKFRMAAWYFSPFPKEYFPDGSIDCLYFCEFCMTFFRFKSELRHHQERV ACSRYPPGNEIYRHEGISVFEVDGAISKPYCQNLCYFAKLFLDHKTLHYDVDPFLFYV MCEVDTRGFHPVGYFSKEKYSELGYNLACILTFPCHQRKGYGNFIIQFSYELSKKEEK VGSPEKPLSDLGLVSYRSYWTKVLLGILKEPQHKELSVMDLTKLTSIKNEDIVTTLQN LNIIKYCNGQYVFVLSDDVVDDQLNKVVRVGK H257_14459 MATSKLTSYQPFTYTVNEKHSPQQRSSLMWVKRAVTSYRYRCRS VEQPPHSAPSPSNSTSSLSSPRQVEVREAEAEDHQASADQQGVQEFEAEEAVESSLIE ISVTEEDASVEIARPWPTVPAA H257_14460 MNADTPILQLCMMFTVLLLSLVVLFAVGRWVWKKKPTRGTAVSG SGELLPLVSTPRSPQPASKDGIAMITTSGNGPATAMEWVRAIGTTIAFSVSLVVMWFW H257_14461 MSRLVRWMLMLALLVLVMTSSAEQLQEKKQKKVTSFVDDKNLEA NYPHLIGRIEGGHHLPPAWKQNVASFIGYIQMAGFALLLFGEHILSALGLPVDFYLFT QMRENKFVAFGVLMVLGSVSQSMLTTGAFEVYFNDELVFSKLKAGRWPSMQEINQLLT ANGLARH H257_14462 MAKSLETIFPHLAGRIEGKKYAPSGWISSVASSLSLLRWIGLAF VLFGEFFFVSLSIPMNPSLVDAMKEHRFTATMVLMVIGVVSQNLSASGAFEIYLNDKR IFSKLESHRVPTTEEVAALLAAQGLKTREG H257_14463 MNSFIAVAFSPMQVKIASIKLRYRDRNASGGAMRFIDVVPNGPN MALVNASPWFNGNASVPFRANSPSTLDEFENANWGLDRTKLPALPDDTLWNIAPQSSL ASGHCIRNDVAVDND H257_14464 MSRRKQYPISTKLEAIGLLETMSCRELSRVMGIARRTIRSWQHQ RFELLAYDGNKKCNKLVPGGRYEEFPDPP H257_14465 MTSCGRLKCMVDGCGVVVWYTYFKVHMTKDHPEIPQHRNIRKQY GRQVDANNKVLEDEGVADLHPDDSGSDPKVLLQPMINQHEADVDYAFSPPTTPVSLPS STPVPPLTATVATIPASSIPSTPVVAPIPPSKATDPKEFRRLSRLTKMLL H257_14466 MPCFPHPTKLVLTLHSASLLPGPAMRNVHAVVTSGDKTFQSNSF HPKTTSPIWEQAAFAFNVRAKTHLCIHVRVVGTKNLRKRGLGHFYLNFSNRRLSKQGT LALTCPLVGGCGGIVNMSIQILYEAHPIRDWAIREVTKDISTRRPCHSLLQCVAKGSI REDIFKNACGACFLALVLAHNERDDSFTAKELVRPASPCDPSPMSTLASDSTEDDNPH QRPSPRSTGSSSSPLIDDSSDSPSCPTIQAWMQAVYPQVNSASSDQYNNLVTSFSMCY QLVRQQLPVLVVVLQVCLLVNLVQFNRICQ H257_14467 MMHLSPEHQHPTKLVLTLLSAALLPGPAMRNVHAVVTGSDKTFK CDPCHRKTTKPTWEDATFAFDVRDKTHLHVRVQLVGVKNLLKHKIGHFDLYLTEHRLA TEGEHSLACPLVGGAGGIVNVTMSLFREPQHTRDWARRQVAVSSRRRRCHSLLQCVPT WSTREELFKNACGRCFLALVETHSMCDDTFTAKELLSHDASSSSTTASHDSSHEDHHN HHDSIQERTLMNMLPPRASPNNTDDTTAPTIQEWMQARHPNGVFPCHPNDHHLDQVKL FPLLLQLMWLLPLSAIVLQLCIAHISR H257_14468 MGHGDLAVSASCELTFWASLTPTAHANFACPCDHCLGLMRPRHP PGAPWQESSDPPVMTLLRHSWDSSSCALWHSCYPPKKAGVVVMYPPLDMPRAPPSRLV EYSSPCGHLEVTSVSPSK H257_14469 MAGTPCTNTSCFIKTPHSHDDRWREPKILNTILDHVGNTPLVRI NKIAEKAGLKCDLLAKCEFFNAGGSVKDRIGKRMIEDAIASGRIKPGDTLIEPTSGNT GIGLALAAAIYGFRMIITLPEKMSQEKVDVLKALGAEIVRTPTEAAWDSPESHIGVAN RLNKEIKNSHILDQYTNPSNPLAHYDQTAEEILESCGGVVDMLVISAGTGGTITGTAK KIKEKCPSVVVVGVDPQGSILALPDTLNDHNRLKSYEVEGIGYDFIPEVLHRDVVDKW IKTNDQDSFVMARRMIREEGLLCGGSCGSAMAAAVIAAKDLLPGQKCVVMLPDSTRNY MTKFLSDDWMYDHGYVQNLDKKPANQAWWAKKFVSELPLNVPYTITASLPCKEAVDIL KAEGFDNLPVVDEHNAIVGVISEGNLTAQLLPGRILPSDPVSKAMYKQFKKVSTHTTL SELSRIFDKDHFALVVTEQRRYSAGGVVETKSVIFGVVTRIDLLTFITAKE H257_14470 MWTRVNLKKETVVVDSRQAKAYRKACNDFSDAFMEGKYTLQDLL NETMSEGLLVFIADHMHPSMRSALHGTERINECAALALGLSQDVSKPVSKETQDFALG LTLLLNTKSTVVQCCTTYQPGHADRCTGFWAWCFEAMVDAVTTHTKFHLPPTDDALGR MCAAFSTLIRHVVMNWNKGTCVLFHLSEHPPHVRLLLDLVEFDDMRDAIVRLIYCDHS YAAMELVKRTKIISLLLHRLTALDWSADKDNVESVLCSLVFAPYISPRGDLIFIKSIP KDKLTGEPDYVQLCGTSRDMAYTPMRLFTSRVR H257_14471 MIRYISLCLTDFLSFYILAQHTVTRAPKGGSSRECVTCHAAFHV FRRPHPCLRCGKTMCSKCSKTFTKPIPEMAIHTPVCHCQECFEATGGAMSELFPTLIH GGGPPPHTPNGGGGVGGFTDVDRVGPDLEGINTSRQSGGSKRPVLSIEVDDDQGDDEV SDVTYPTTPLEVEDDPFLFAPTVTPLDIITTPAK H257_14472 MMKKLVLHFDLNRTILMSDVAGGRSMENTLNYLLAECTYGQVQG NEWICVSKEPSLTPPSPSLVTYKKFVDTLYPYQSMHGASDALNDVKAFNKAQKKKRTA LQSAFTSGPGRPISASYDHVLSCLFFPQGPLRDAAKAAAATMADSGLKEAWSEGRYYI LPSFLHLLFHVDHHPTVDVNVVFRTFGDDIVEVAKEIEFLVHGRHPLFPGKALSASMR LEPPYATFYRDGFEASGTALALNTLQKVPFQSTNSAATPVEFYASIDPAVSVVRGFEA VQQCIQSMLSTRSVIALRDYWEWWSTHAEHAEYGKLLLIDGAVPAVFFDDHVEECEAH IVDVRDAVTGLVVPFQVAKLKYLRRVEPYYAITDVAYYTKHVDALVVE H257_14472 MCSRASSFPRDRSATPPRQPRLRWPIPGSKKHGARAATTSSRRS CTCYSTWTITVRFEFTFDDHVLTLGVATVDVNVVFRTFGDDIVEVAKEIEFLVHGRHP LFPGKALSASMRLEPPYATFYRDGFEASGTALALNTLQKVPFQSTNSAATPVEFYASI DPAVSVVRGFEAVQQCIQSMLSTRSVIALRDYWEWWSTHAEHAEYGKLLLIDGAVPAV FFDDHVEECEAHIVDVRDAVTGLVVPFQVAKLKYLRRVEPYYAITDVAYYTKHVDALV VE H257_14473 MSSTHTTSHDHLHGGDSSSSNSGISVNNDKRHPSSMIEARRARR RHRSKINQRKYRAWQRTANNQLQHDVNELQIHTKRLESHVLALYNGERFHAEESSVQE YFRLFSAGFDRSERQLTFLRYFFAPNVWCLGQHGDVDKVIDLWERITATFASLRVEYQ HITGMFSLADEVSIQAKVLAHLKMAPSAMDVVFPNVVMRRPDLARKLKGQVLVLPLHV TFIFDATKRVVRVDVDADVFSALLAHLESVHDVAVALDGANFRLDAMHHKKEHGVP H257_14474 MPARAAATDEVRLERRRQRCKVNQRRYRANLRMTNSQRRVDMEE MDRVNQRLEGHIAAIERSGLWYHAEEQSLLEYLRLFEHGYTQSPRQDTFLQNFIEPDS WCNGHRGLDALLLHWTNYTTTFSSFHIKCVQLNPVSHSRDEVIVDMRCMAELGLSLQS IRTVFPQVLHRQDLVEKMLTAPLRLHVHATYMFDDNKQVTWQASDSNLVDALFRQFGN LDDVVVAASNSGILPNGMIRSDPARPTV H257_14475 MTTAVATTARPPMDFDKYNAFLEEGKSRYEIDACLRQDALSPDD ITSFWQHVGARALDNAAAHAPADDIAAVWRRIQPYQYFQRGFSLPQVPARKEPGDLRV VFISDTHSLHDDLPPIPHGDVLVHGGDFTDTGDRDEVLAFNAFLGTLPHRYKIVIGGN HECTFDKAYYKTHWKRYGHPVEYDSDDVRSLLTNALYLEDSLVTVEGYRIYGSPWQPA FCDWAFNLPRGSAKLKEKWAAIPSDVDVLVTHSPPMGRGDDVGLHRVGDVHLLHQVQG RIKPAFHLFGHVHEGYGTSFDGTTIFVNGSNCTDEYKAINPVIVFDLPPRMASVVDDS THYHTKMALQLQQTLRVRPVVSATIRAMKYCFRGQKAAAASALSSSSPGAHSQLTIPN YSIATAGTALSRRITMSVLPQEGLFPGTVPHALIGG H257_14476 MRRRFPLPLSTLVKHMLLNVTWTTPEYINAPEDKAAYVSWVLTS EKGMYIIAVWNGWHDVVKRVRDEHNAKTSTLFSFRHLFKWLRLGTGTAHVVPERREVI QSEDIPSHARIDVEREPPCFTRMALYLATGTELRESSCRTSSQA H257_14477 MFAGMGQSRQLNALQFHGFVGQLCRIFARALEEVEAVAAGIHHH TSRAVDSDSLCDGFNGRPSQADTGHAIAWDGPSSYPDAECKFSDHGELDALGKGSFAL EAATSTESVYVWCWHYRYDHAEFQVTYEDGG H257_14478 MPVKIGLILLYHPHIEIKSGLTLGAIVCTPSIARVLLTSWSFHA RCLFNDAIAFPQQRHMQHQAQPTPCQEGCAIRYSDNSDVGWSSCVVPSDQVPGVGLMG PPVARSKAAEGVGCVMKYAVQNRLLLLKRQGLNQVQGSRRISVMEMV H257_14479 MADDGDVEWEECADEFGRSFFMHKTTGETTWELPPSSDKTVDES GESVAPPSGAGSVEVSIDAQELPPPDKTTQTLERRTHSFVLDASTVSRLDRIRSQLLL LDDEMVKRMTAANGDGGEEDEEWIHSFDPVLRRAYYYECHHGSITTHPPRVFRDEDPL FTVLLVIQCAFRCALARMRVRRTRQHGGTDGISTSEEGGVVEPEVAEGDVQDAAVDGE AGDDEPVAAEGPLLQENDEPRALQPPPQQPTRLTASTPVVSRLVETKKPVTKEVEAQR EADERRGMLLVEKKQCSKSDRFWGIDQTTREIQRQGVEEQSMRDAEDLRRNLHEAARK REEIQRRAMEELERRRRSEDSALESRERSAMQLQELLQCKTDTFWGVQKQENDETWCQ QHMVLEDNASRQYMQDVCASQLHATWAAEAARAVKEANLHRIQQETRDRKNYLRLFRR ATTSEDILLYQWPTTQTTYQDDVMATTTTVPLTTQRPPPSSNDLKPNSGTTSIRTSPS SVQYMLDVVLDPHRRANPKHIFHTASSAHTVGRNGKLDVSQPESMYHLDKPRVDHVSL AAQLIHQPSRRAPLRSPVASPVVRDPNARASANGLAFTVRHPTTVLHGTKGKVKYGSL KPLHKHGPVQRHPAATTSSSPDQGTRDEDDDDRAHAADAPSAQEDDPRFHDEQRILAQ LFDLIDTDRGGTLDKNEMLWALTKDAYVRSVAMTSVVLKHALKKRSVDGVFADMDKDN TNSISWAAFRDYGRRMFAAIMADRKDGGQSKDDIIRQKNEAYAARKAILEQEAAIAKI VFALVDTDHSGKIDQHEMMHALDNNDRVREFVGRSRGLRPLLENEAFGKAFVGMATDE ADGMSLDEFLAFCTEIASVAMLNDLAAP H257_14480 MCGIVAIYASMLNNDDLRKAILDAGKKIRHRGPDWNGVRILPKG IAIEHERLAIIDPESGAQPLVSNDGTITLAVNGEVYNYKELMATLQTPYTFKTKSDCE VIIPLYKQHGTAFLRHLRGMFSFVLYDSAKDVLIAARDHMGITPLYYGYGADGSVWFA SEMKALEHGCVRFDLFPPGHVFTSTTGEFTRWYTPGWMEPGHIGTVPLNLATLREAFE TAVTKRMMSDVPWGVLLSGGLDSSLVASIASRHQKKLFAAGADTEWSPRLHSFTIGLD NSPDLAAAKEVAKSLGTIHHSYTYTIQEGIDAVSDVIYHLETYDVTTIRASTPMFLMS RKIKAMGIKMVLSGEGADEVFGGYLYFHKAPHAQALHDETVNKLKGLHQFDCLRANKS TSAWGVEARVPFLDADFLDVAMNLDSTEKMCNRESGRMEKYVLRKAFDTPEHPYLPNN ILWRQKEQFSDGVGYGWIDALKDWADREISDRQMKHAELLFPYNTPQTKEAYLYRSIF SKHFEKEVAAQTVPGGPSIACSTAAAIEWDASFKNAADPSGRAIAGVHVDAYDQ H257_14481 MPHLRHQHHRPPPPPLPSRPSSHVIHPLAWTSDMLHFFSKRSSI DSNPSSDDGTSSVDDASSTSKLQQKQQYMFKSVAVRRLSQHRLLLAAEPHHKSRLPPS HVVDDDDTGLPTLTSSSSLRSTFLLDDEFQPTSVDWNPHYPWFRVLTEIVDTETSFVE TLHTLHQVFNHVFHDTHRYTHGSLLALRSATAALLTLHTDLCTDLRRPADMLHLRQDT LHLANAFQSHLPYFKLYAHYCLAYTDVSSLLLRLHQRKQHNTTLKRQQHTTQVDEFLA AITAAAHVLQVDLQSEMIKPVQRLCRYPLLMSELHHHAMSHAGCLTDTLAILVRDTKV VAAYVNDRVQADTNNAKFLRLRRKLTLGFGCPEVMVPARQYVTEAAVHVASLVTVVPW NMWTAKPQTLVLLSDVLLITKRRRQTRLQVTKLLPLVMVSVEEVDVIEFPKWSRAKCF VLRYKTESGYASGGTIMMMQGHVKTYVVVCDCEKKKIELLSLFRETLAQVVDAMVMPP PPSVQQPFTLRHTRLPSSVSAV H257_14481 MPHLRHQHHRPPPPPLPSRPSSHVIHPLAWTSDMLHFFSKRSSI DSNPSSDDGTSSVDDASSTSKLQQKQQYMFKSVAVRRLSQHRLLLAAEPHHKSRLPPS HVVDDDDTGLPTLTSSSSLRSTFLLDDEFQPTSVDWNPHYPWFRVLTEIVDTETSFVE TLHTLHQVFNHVFHDTHRYTHGSLLALRSATAALLTLHTDLCTDLRRPADMLHLRQDT LHLANAFQSHLPYFKLYAHYCLAYTDVSSLLLRLHQRKQHNTTLKRQQHTTQVDEFLA AITAAAHVLQVDLQSEMIKPVQRLCRYPLLMSELHHHAMSHAGCLTDTLAILVRDTKV VAAYVNDRVQADTNNAKFLRLRRKLTLGFGCPEVMVPARQYVTEAAVHVASLVTVVPW NMWTAKPQTLVLLSDVLLITKRRRQTRLQVTKLLPLVMVSVEEVDVIEFPKWSRAKCF VLRYK H257_14481 MPHLRHQHHRPPPPPLPSRPSSHVIHPLAWTSDMLHFFSKRSSI DSNPSSDDGTSSVDDASSTSKLQQKQQYMFKSVAVRRLSQHRLLLAAEPHHKSRLPPS HVVDDDDTGLPTLTSSSSLRSTFLLDDEFQPTSVDWNPHYPWFRVLTEIVDTETSFVE TLHTLHQVFNHVFHDTHRYTHGSLLALRSATAALLTLHTDLCTDLRRPADMLHLRQDT LHLANAFQSHLPYFKLYAHYCLAYTDVSSLLLRLHQRKQHNTTLKRQQHTTQVDEFLA AITAAAHVLQVDLQSEMIKPVQRLCRYPLLMSELHHHAMSHAGCLTDTLAILVRDTKV VAAYVNDRVQADTNNAKFLRLRRKLTLGFGCPEVMVPARQYVTEAAVHVASLVTVVPW NMWTAKPQTLVLLSDVLLITKRRRQTRLQVGMLVVMMVVLQKVGMSS H257_14482 MGKGGNAPKLATHVEPRTDFYWEKTDEPHATRRKLITAKYPQIK SLFGHCPRTKYYVVVAVTVQTLMAYVTKDKSWAVWLAVAYIVGGTFNHMMMMAMHELS HNLGFKKPVYNRILSLIANMPMGVPAAISFKRYHMEHHRYQGEDGVDVDIPTVLEGKI FNNKITKLIFVFTQAFFYSLRPLFVNPKTPGFWEFVNYASCIAYNYAIYHFCGASGLG YCLASTVLGAGPHPCAGHFISEHYVFVKGAETYSYYGLLNLVTFNVGYHNEHHDFPFV PGSRLPAVKAMAPEFYDTLPQTSSWVGVLFDYVLDDSISAYSRVKRKTLTDAEKNKLK SE H257_14483 MARTQRDPTSFFDAAPLSPSECQMLSDIGKASFSKLLETTRFIR RLAAQEMGADKHAYGSTINIAGSLEEIGHFCVRLVSSGDSAAHQKIAAELYCLESTAR LHAIEMPTALSPFHYTGLRWSHIKSPMRMLVRDRDFCTIEYLDEYIDENGKRGFAMCS HSVPHASCPPLTHTNNFVRATVHHSGYVVTETDIPSILRVTIYFDYGAPGRMAQSKLL QSLTKRRVRRMEEIHVLIGNGASVNHQAILQDNDTCAICSRGFKGGIALFQRKITCRM CEQTVCKRCSNEDKPGGFTRGSDRVCHSCLRDIHPAFGGGRFAPYEANSAISGVHSVS NQSHSNYDGMDSQRHHHHIFQIEHAAAPPSSTKTTLNFNRFHFPAATPVKSSTTHTSS YVSDEYGRISRTMSVERLDGRVRTPSTEHRSIFHPPERKMSTDNIFNQKTTTPRRLTT DGSLSTPRRMDIVPHGVFTPKASLSSTATASATFSGLQSMRRAPAIVSTEDLANRNHK SDSLCDLSYLTDVMHRGPGVSSSPLNTASSAKSRKSSKSNRSSNQSGHSTVSAKSNLS ATPSDVTQPHRQMSDLSYLSNYKQ H257_14483 MARTQRDPTSFFDAAPLSPSECQMLSDIGKASFSKLLETTRFIR RLAAQEMGADKHAYGSTINIAGSLEEIGHFCVRLVSSGDSAAHQKIAAELYCLESTAR LHAIEMPTALSPFHYTGLRWSHIKSPMRMLVRDRDFCTIEYLDEYIDENGKRGFAMCS HSVPHASCPPLTHTNNFVRATVHHSGYVLDILVGSCSRVVSCRYVVTETDIPSILRVT IYFDYGAPGRMAQSKLLQSLTKRRVRRMEEIHVLIGNGASVNHQAILQDNDTCAICSR GFKGGIALFQRKITCRMCEQTVCKRCSNEDKPGGFTRGSDRVCHSCLRDIHPAFGGGR FAPYEANSAISGVHSVSNQSHSNYDGMDSQRHHHHIFQIEHAAAPPSSTKTTLNFNRF HFPAATPVKSSTTHTSSYVSDEYGRISRTMSVERLDGRVRTPSTEHRSIFHPPERKMS TDNIFNQKTTTPRRLTTDGSLSTPRRMDIVPHGVFTPKASLSSTATASATFSGLQSMR RAPAIVSTEDLANRNHKSDSLCDLSYLTDVMHRGPGVSSSPLNTASSAKSRKSSKSNR SSNQSGHSTVSAKSNLSATPSDVTQPHRQMSDLSYLSNYKQ H257_14483 MGADKHAYGSTINIAGSLEEIGHFCVRLVSSGDSAAHQKIAAEL YCLESTARLHAIEMPTALSPFHYTGLRWSHIKSPMRMLVRDRDFCTIEYLDEYIDENG KRGFAMCSHSVPHASCPPLTHTNNFVRATVHHSGYVVTETDIPSILRVTIYFDYGAPG RMAQSKLLQSLTKRRVRRMEEIHVLIGNGASVNHQAILQDNDTCAICSRGFKGGIALF QRKITCRMCEQTVCKRCSNEDKPGGFTRGSDRVCHSCLRDIHPAFGGGRFAPYEANSA ISGVHSVSNQSHSNYDGMDSQRHHHHIFQIEHAAAPPSSTKTTLNFNRFHFPAATPVK SSTTHTSSYVSDEYGRISRTMSVERLDGRVRTPSTEHRSIFHPPERKMSTDNIFNQKT TTPRRLTTDGSLSTPRRMDIVPHGVFTPKASLSSTATASATFSGLQSMRRAPAIVSTE DLANRNHKSDSLCDLSYLTDVMHRGPGVSSSPLNTASSAKSRKSSKSNRSSNQSGHST VSAKSNLSATPSDVTQPHRQMSDLSYLSNYKQ H257_14483 MCSHSVPHASCPPLTHTNNFVRATVHHSGYVVTETDIPSILRVT IYFDYGAPGRMAQSKLLQSLTKRRVRRMEEIHVLIGNGASVNHQAILQDNDTCAICSR GFKGGIALFQRKITCRMCEQTVCKRCSNEDKPGGFTRGSDRVCHSCLRDIHPAFGGGR FAPYEANSAISGVHSVSNQSHSNYDGMDSQRHHHHIFQIEHAAAPPSSTKTTLNFNRF HFPAATPVKSSTTHTSSYVSDEYGRISRTMSVERLDGRVRTPSTEHRSIFHPPERKMS TDNIFNQKTTTPRRLTTDGSLSTPRRMDIVPHGVFTPKASLSSTATASATFSGLQSMR RAPAIVSTEDLANRNHKSDSLCDLSYLTDVMHRGPGVSSSPLNTASSAKSRKSSKSNR SSNQSGHSTVSAKSNLSATPSDVTQPHRQMSDLSYLSNYKQ H257_14484 MGKRLCVKPPDAFGTTQGDGHDLRGKRTTLPPHEFHTWNYIQDP RNDLHPELELGLQSTRTTKFTSGFYRRSRTAIAPDRDRLARQAEKDRASTSNATRRHE RLTSLNSSYDYNIVTGSAGAIPKVLKLPPCRKFLGGDTSQFLQHEGVIQLRESANRFY SQWPNNPGRTDNLTREGLYGQKQSSAIGIGRHEIKSYGAADALNKSLYKQCVEHSNTA IQLEYTHVKPPPPVVHKVAPERPHHASPHRPKPTPPSWLPPVHSSLQHQHDADSVRAL R H257_14485 MSNTNVFTALSKKAAKKATLLPAHAAPVLPKQMFSGQATASWGD DEEVGAVTSLSMPLTPAEALSAQQGEAVADDDESESESEDEEEEEVTTKPAAVVVAKP VAVAAPILSKKEQKQKELDDLDSALAELGLTTETPAQTADASTDKKAKKKKKAKAAAG THVAPVAPQVEAAVEAPAAPAVVVDIKAVLAKKAKGKKKEESLGVKKAREEEAKKKAA AKTKRDRSTFNEFS H257_14486 MPLSARHVVVFSELSPEQKQNYTKFIIEHNVKFGRNKDGLYVAS VSLGSAAFAHAAEEAKVQPPLDKTTLSADERSSDMPASMKRYASLYCLIEAPPPVAAS KMFLSDVHLAKTIHDIYDARWEDARRDTPHPTSRDEATPLFALFVLHHFQTRFGLPKL VAQNAVDLMQALHANKNRLDTEIFSCFLDGTYPDDALEFYLFARHQVVVLLTKDTLGG KFNLSKDSTWISKAQCLVAANAVFGSRLEPSYLTFLRKLKRHLTAQPASRANTHIIEM NEFLLLALETFQATHGVVVDTAASTTTPVTKALETVVTSNPNQHDGDEQKRLEALLHR VRARQLEHNGQSSSEAALSPKVAAMVWPTCTQ H257_14486 MPLSARHVVVFSELSPEQKQNYTKFIIEHNVKFGRNKDGLYVAS VSLGSAAFAHAAEEAKVQPPLDKTTLSADERSSDMPASMKRYASLYCLIEAPPPVAAS KMFLSDVHLAKTIHDIYDARWEDARRDTPHPTSRDEATPLFALFVLHHFQTRFGLPKL VAQNAVDLMQALHANKNRLDTEIFSCFLDGTYPDDALEFYLFARHQVVVLLTKDTLGG KFNLSKDSTWISKAQCLVAANAVFGSRLEPSYLTFLRKLKRHLTAQPASRANTHIIEM NEFLLLALETFQATHGVVVDTAASTTTPVTKALETVVTSNPNQHDGDEQKRLEALLHR VRARQLEHVRE H257_14486 MPLSARHVVVFSELSPEQKQNYTKFIIEHNVKFGRNKDGLYVAS VSLGSAAFAHAAEEAKVQPPLDKTTLSADERSSDMPASMKRYASLYCLIEAPPPVAAS KMFLSDVHLAKTIHDIYDARWEDARRDTPHPTSRDEATPLFALFVLHHFQTRFGLPKL VAQNAVDLMQALHANKNRLDTEIFSCFLDGTYPDDALEFYLFARHQVVVLLTKDTLGG KFNLSKDSTWISKAQCLVAANAVFGSRLEPSYLTFLRKLKRHLTAQPASRANTHIIEV ITGPVTGLSEGVIQNM H257_14487 MMMLGVIVLLSTTLGLVSSSSHRAAVPQTSKQRCFPDDFLLGTA TAAYQVEGGWNLTGRTPSIWDDFCRSRDNVQCANVADDMIHRYVSDIAIMQAMGLSSF RFSISWSRVMTWHDATQRMVRNDAGIAFYHDLLDAMRAAQLTSVVTLYHWDLPSALHT HMNGWLNASIVGHFNQYAELMYDEYGAKVDFWTTFNEPWSFCVGGYGGGWHAPGISDS DTATYVAAHHVLLAHATAVQTHRSKHLTSKIGITLNSDMALPLDPTDDRDVEAAERKL QFSLGWFLNPIVHGDYPAVMKRRAGHRLPRFTAADSALLTQSYDVFMLNHYSTNVVTD CASTTSTVDCASLAQGWNRDLGIDGVRIPVGARPGNVNTKGEPLCSWFNGYPQGYLPL IRWMHAFNKSAPILLTENGWCGHNVVDNPDQLWYFESYLAQVWLAVAEGVPVIGYTAW SFVDNYEWGSFDPRFGLFHIEFPPETGTVDGFEPKSTDLKRTARPAATWYGQVASTKC FPLDEQAQGPTPSTDPLTSSTTSHWVQGAVTVGFVGPMLVAVMALAALVYRKQQQHRS SEQAGENSPLVPKH H257_14488 MAPTGDRLNESDLQLHHLAETSNQLETSSSSSAATWMGNISSAS SSAVASSTKDPTDRIKIWKKQIHDYIQASGSTYDRSDLARRIVPLARPVAAILVHVHP LAVQEGFEFITSVVTEVGLPHIRPLLVAVITSLIHDTKCSQSQCIASVARFAIFDVTC IAECFVPHIALDGRMRQMYYHVLRTVVDGPSALLLMEMDQYDMLLHVILECLEHFRDP LRQQMAVVMQVALDHEHLLVLWRSHWDVATKRRYVAAMPDSRRLAKLWARDTYRLPPP TSSSRQQLAKKRRAQERLPLRRHFSEIGKKALDESDLLSSIHHDDDDGNDVRHSTNED TIDQINSTKHMTTIQNDLYDEVHRVSRPRTSSNPLPSQPRKPKQQQHSPLQRATASFT SAPPPPSSPSPSSSPPVVPRPSCPPYSWSVQRTWTQFYNMGGLMWMLVMASVLFGICG VLHAVLVYAQDFEAWKVRIALSDYQVSLDRCEREIQRVTWQLETMARDPVDQSHEWTQ DHAAAKAISTAWQAIHDQVDIQPK H257_14488 MRQMYYHVLRTVVDGPSALLLMEMDQYDMLLHVILECLEHFRDP LRQQMAVVMQVALDHEHLLVLWRSHWDVATKRRYVAAMPDSRRLAKLWARDTYRLPPP TSSSRQQLAKKRRAQERLPLRRHFSEIGKKALDESDLLSSIHHDDDDGNDVRHSTNED TIDQINSTKHMTTIQNDLYDEVHRVSRPRTSSNPLPSQPRKPKQQQHSPLQRATASFT SAPPPPSSPSPSSSPPVVPRPSCPPYSWSVQRTWTQFYNMGGLMWMLVMASVLFGICG VLHAVLVYAQDFEAWKVRIALSDYQVSLDRCEREIQRVTWQLETMARDPVDQSHEWTQ DHAAAKAISTAWQAIHDQVDIQPK H257_14489 MGLTGKEDDLLHVKDVLHDMIESTKQLDAFMQRLCRENLVDASS IPAAFDRNAMMTSTDLEQRRDNNSTLDISCPIAYFERMSKDLQGRPDGFKLPLHLLVE KPVNLNAICASDIRGSDKVSYRINDMAISPRASLNQLMETLVARLCAVNCTLSTVDAD KLAHCLVFVSQDADKHMVLAGQALFPSMLTKCEWKTGSIIAHIGVNVGLQEDSTWGVG VTMELDAILRCRPIDNEVATNMSPPIRARYTCAFHRDTSQERFCITHHYVELSEMMPT TPRMPLASVVRMHQPREKADTVDVLELVHSCDSIPTPLTFPSPFGRTRIIAIASSSVH TLFLSDLGHIYAKGASLDGALGLGTQRSATEIPQLVEFAEVQTMVIQVAAAGDDASGA HSIAVTSDGQVYTWGARVACGTSGPPQCDRPEQVIFPMNAVQGIQVAAGTSFSLVLSQ EGHVFAWGKFLHGRLGLGNIPPNRMASSSRGIRHDQQLQKLPAKIPNLSGVTQIACGA AHSACVTRGGQLWMWGKNSHGQLGLGHLTDLFTPTLLGFFPPADNMTSSAATPAMQQV ACGPEFTVALDQDGGVWSWGAGLSGSGLPAFTAPPSSLNDLPRWSWLRPARVLALGHG IQSISAGGSHAGAVTWQGDAFVWGTLSAGALFQDVPLLVCPTQVVQTMACHDTNTYVI GGTTFLGKAMFDLLSHRTLCDVVLLASGKRLAAHQMVLSHRSSVLRNLMAAETYSNTT MLQVILPPSIRHDICVLVLEYIYTDTLFTPVDPTSCVPMDLHRAAIELDLPGLAALCD QYITMDLASYTSSSSASSSEPSSILTPQLTFVNALGSNVYADVTLLAESHAIPAHKCM LVARSDYFRALFDTNMRDANLSVLPVDVSYATMQRVLAFMYSDVWTPPSSDEALLDEL VAADKYGVARLKVLCEANAVVTLENCMEVLVLADMVHAMLLYENAITFVLNHLHVLAA APSFMQVAEEYPKLMHEVIHRPSRNKERMMWRAWERDYDKATADQPQSNPAKLTLMVP FVFLAASAVSFAHVSAQLPQLGSYIPAINAVVFVALCAYCFRDLLHW H257_14489 MGLTGKEDDLLHVKDVLHDMIESTKQLDAFMQRLCRENLVDASS IPAAFDRNAMMTSTDLEQRRDNNSTLDISCPIAYFERMSKDLQGRPDGFKLPLHLLVE KPVNLNAICASDIRGSDKVSYRINDMAISPRASLNQLMETLVARLCAVNCTLSTVDAD KLAHCLVFVSQDADKHMVLAGQALFPSMLTKCEWKTGSIIAHIGVNVGLQEDSTWGVG VTMELDAILRCRPIDNEVATNMSPPIRARYTCAFHRDTSQGKEERFCITHHYVELSEM MPTTPRMPLASVVRMHQPREKADTVDVLELVHSCDSIPTPLTFPSPFGRTRIIAIASS SVHTLFLSDLGHIYAKGASLDGALGLGTQRSATEIPQLVEFAEVQTMVIQVAAAGDDA SGAHSIAVTSDGQVYTWGARVACGTSGPPQCDRPEQVIFPMNAVQGIQVAAGTSFSLV LSQEGHVFAWGKFLHGRLGLGNIPPNRMASSSRGIRHDQQLQKLPAKIPNLSGVTQIA CGAAHSACVTRGGQLWMWGKNSHGQLGLGHLTDLFTPTLLGFFPPADNMTSSAATPAM QQVACGPEFTVALDQDGGVWSWGAGLSGSGLPAFTAPPSSLNDLPRWSWLRPARVLAL GHGIQSISAGGSHAGAVTWQGDAFVWGTLSAGALFQDVPLLVCPTQVVQTMACHDTNT YVIGGTTFLGKAMFDLLSHRTLCDVVLLASGKRLAAHQMVLSHRSSVLRNLMAAETYS NTTMLQVILPPSIRHDICVLVLEYIYTDTLFTPVDPTSCVPMDLHRAAIELDLPGLAA LCDQYITMDLASYTSSSSASSSEPSSILTPQLTFVNALGSNVYADVTLLAESHAIPAH KCMLVARSDYFRALFDTNMRDANLSVLPVDVSYATMQRVLAFMYSDVWTPPSSDEALL DELVAADKYGVARLKVLCEANAVVTLENCMEVLVLADMVHAMLLYENAITFVLNHLHV LAAAPSFMQVAEEYPKLMHEVIHRPSRNKERMMWRAWERDYDKATADQPQSNPAKLTL MVPFVFLAASAVSFAHVSAQLPQLGSYIPAINAVVFVALCAYCFRDLLHW H257_14489 MMPTTPRMPLASVVRMHQPREKADTVDVLELVHSCDSIPTPLTF PSPFGRTRIIAIASSSVHTLFLSDLGHIYAKGASLDGALGLGTQRSATEIPQLVEFAE VQTMVIQVAAAGDDASGAHSIAVTSDGQVYTWGARVACGTSGPPQCDRPEQVIFPMNA VQGIQVAAGTSFSLVLSQEGHVFAWGKFLHGRLGLGNIPPNRMASSSRGIRHDQQLQK LPAKIPNLSGVTQIACGAAHSACVTRGGQLWMWGKNSHGQLGLGHLTDLFTPTLLGFF PPADNMTSSAATPAMQQVACGPEFTVALDQDGGVWSWGAGLSGSGLPAFTAPPSSLND LPRWSWLRPARVLALGHGIQSISAGGSHAGAVTWQGDAFVWGTLSAGALFQDVPLLVC PTQVVQTMACHDTNTYVIGGTTFLGKAMFDLLSHRTLCDVVLLASGKRLAAHQMVLSH RSSVLRNLMAAETYSNTTMLQVILPPSIRHDICVLVLEYIYTDTLFTPVDPTSCVPMD LHRAAIELDLPGLAALCDQYITMDLASYTSSSSASSSEPSSILTPQLTFVNALGSNVY ADVTLLAESHAIPAHKCMLVARSDYFRALFDTNMRDANLSVLPVDVSYATMQRVLAFM YSDVWTPPSSDEALLDELVAADKYGVARLKVLCEANAVVTLENCMEVLVLADMVHAML LYENAITFVLNHLHVLAAAPSFMQVAEEYPKLMHEVIHRPSRNKERMMWRAWERDYDK ATADQPQSNPAKLTLMVPFVFLAASAVSFAHVSAQLPQLGSYIPAINAVVFVALCAYC FRDLLHW H257_14489 MHQPREKADTVDVLELVHSCDSIPTPLTFPSPFGRTRIIAIASS SVHTLFLSDLGHIYAKGASLDGALGLGTQRSATEIPQLVEFAEVQTMVIQVAAAGDDA SGAHSIAVTSDGQVYTWGARVACGTSGPPQCDRPEQVIFPMNAVQGIQVAAGTSFSLV LSQEGHVFAWGKFLHGRLGLGNIPPNRMASSSRGIRHDQQLQKLPAKIPNLSGVTQIA CGAAHSACVTRGGQLWMWGKNSHGQLGLGHLTDLFTPTLLGFFPPADNMTSSAATPAM QQVACGPEFTVALDQDGGVWSWGAGLSGSGLPAFTAPPSSLNDLPRWSWLRPARVLAL GHGIQSISAGGSHAGAVTWQGDAFVWGTLSAGALFQDVPLLVCPTQVVQTMACHDTNT YVIGGTTFLGKAMFDLLSHRTLCDVVLLASGKRLAAHQMVLSHRSSVLRNLMAAETYS NTTMLQVILPPSIRHDICVLVLEYIYTDTLFTPVDPTSCVPMDLHRAAIELDLPGLAA LCDQYITMDLASYTSSSSASSSEPSSILTPQLTFVNALGSNVYADVTLLAESHAIPAH KCMLVARSDYFRALFDTNMRDANLSVLPVDVSYATMQRVLAFMYSDVWTPPSSDEALL DELVAADKYGVARLKVLCEANAVVTLENCMEVLVLADMVHAMLLYENAITFVLNHLHV LAAAPSFMQVAEEYPKLMHEVIHRPSRNKERMMWRAWERDYDKATADQPQSNPAKLTL MVPFVFLAASAVSFAHVSAQLPQLGSYIPAINAVVFVALCAYCFRDLLHW H257_14489 MGLTGKEDDLLHVKDVLHDMIESTKQLDAFMQRLCRENLVDASS IPAAFDRNAMMTSTDLEQRRDNNSTLDISCPIAYFERMSKDLQGRPDGFKLPLHLLVE KPVNLNAICASDIRGSDKVSYRINDMAISPRASLNQLMETLVARLCAVNCTLSTVDAD KLAHCLVFVSQDADKHMVLAGQALFPSMLTKCEWKTGSIIAHIGVNVGLQEDSTWGVG VTMELDAILRCRPIDNEVATNMSPPIRARYTCAFHRDTSQERFCITHHYVELSEMMPT TPRMPLASVVRMHQPREKADTVDVLELVHSCDSIPTPLTFPSPFGRTRIIAIASSSVH TLFLSDLGHIYAKGASLDGALGLGTQRSATEIPQLVEFAEVQTMVIQVAAAGDDASGA HSIAVTSDGQVYTWGARVACGTSGPPQCDRPEQVIFPMNAVQGIQVAAGTSFSLVLSQ EGHVFAWGKFLHGRLGLGNIPPNRMASSSRGIRHDQQLQKLPAKIPNLSGVTQIACGA AHSACVTRGGQLWMWGKNSHGQLGLGHLTDLFTPTLLGFFPPADNMTSSAATPAMQQV ACGPEFTVALDQDGGVWSWGAGLSGSGLPAFTAPPSSLNDLPRWSWLRPARVLALGHG IQSISAGGSHAGAVTWQGDAFVWGTLSAGALFQDVPLLVCPTQVVQTMACHDTNTYVI GGTTFLGKAMFDLLSHRTLCDVVLLASGKRLAAHQMVLSHRSSVLRNLMAAETYSNTT MLQVILPPSIRHDICVLVLEYIYTDTLFTPVDPTSCVPMDLHRAAIELDLPGLAALCD QYITMDLASYTSSSSASSSEPSSILTPQLTFVNALGSNVYADVTLLAESHAIPAHKCM LVARSDYFRALFDTNMRDANLSVLPVDVSYATMQRVLAFMYSDVWTPPSSDEALLDEL VAADKYGVARLKVLCEANAVVTLENCMEVLVLADMVHAMLLYEV H257_14489 MGLTGKEDDLLHVKDVLHDMIESTKQLDAFMQRLCRENLVDASS IPAAFDRNAMMTSTDLEQRRDNNSTLDISCPIAYFERMSKDLQGRPDGFKLPLHLLVE KPVNLNAICASDIRGSDKVSYRINDMAISPRASLNQLMETLVARLCAVNCTLSTVDAD KLAHCLVFVSQDADKHMVLAGQALFPSMLTKCEWKTGSIIAHIGVNVGLQEDSTWGVG VTMELDAILRCRPIDNEVATNMSPPIRARYTCAFHRDTSQGKEERFCITHHYVELSEM MPTTPRMPLASVVRMHQPREKADTVDVLELVHSCDSIPTPLTFPSPFGRTRIIAIASS SVHTLFLSDLGHIYAKGASLDGALGLGTQRSATEIPQLVEFAEVQTMVIQVAAAGDDA SGAHSIAVTSDGQVYTWGARVACGTSGPPQCDRPEQVIFPMNAVQGIQVAAGTSFSLV LSQEGHVFAWGKFLHGRLGLGNIPPNRMASSSRGIRHDQQLQKLPAKIPNLSGVTQIA CGAAHSACVTRGGQLWMWGKNSHGQLGLGHLTDLFTPTLLGFFPPADNMTSSAATPAM QQVACGPEFTVALDQDGGVWSWGAGLSGSGLPAFTAPPSSLNDLPRWSWLRPARVLAL GHGIQSISAGGSHAGAVTWQGDAFVWGTLSAGALFQDVPLLVCPTQVVQTMACHDTNT YVIGGTTFLGKAMFDLLSHRTLCDVVLLASGKRLAAHQMVLSHRSSVLRNLMAAETYS NTTMLQVILPPSIRHDICVLVLEYIYTDTLFTPVDPTSCVPMDLHRAAIELDLPGLAA LCDQYITMDLASYTSSSSASSSEPSSILTPQLTFVNALGSNVYADVTLLAESHAIPAH KCMLVARSDYFRALFDTNMRDANLSVLPVDVSYATMQRVLAFMYSDVWTPPSSDEALL DELVAADKYGVARLKVLCEANAVVTLENCMEVLVLADMVHAMLLYEV H257_14490 MADEYKAFPGRRQDNMCTTMAALPASVTSRLLSCLSWRNGASSS IAMQSGRRVVIDTDLAEGGFSFVYVVHDVDTMKQFAMKKIPCQSSEQRQLVSHELGVH NKCRHKHLMPLVDYAVVHTAAPDTSTYFLVFPLVENGSLRQYIDSFRSRQAFMPERAV VDIFVKVSQAVAFLHAQEPCLVHRDIKPENILLDHDLDPILTDFGSVIQGDVAILTRS DALKAQEVAAIHSSMAYRAPELYDVPTSSTLTCGTDVWSMGCLLYAMMFGYSPFECSI NDSGHVKLTDCTYLAVLGTVKFPSQCPYDPFVFDLVRWMLTVDTTLRPTMADVLCRLE RRP H257_14490 MEHQAALPCKAVGVIDTDLAEGGFSFVYVVHDVDTMKQFAMKKI PCQSSEQRQLVSHELGVHNKCRHKHLMPLVDYAVVHTAAPDTSTYFLVFPLVENGSLR QYIDSFRSRQAFMPERAVVDIFVKVSQAVAFLHAQEPCLVHRDIKPENILLDHDLDPI LTDFGSVIQGDVAILTRSDALKAQEVAAIHSSMAYRAPELYDVPTSSTLTCGTDVWSM GCLLYAMMFGYSPFECSINDSGHVKLTDCTYLAVLGTVKFPSQCPYDPFVFDLVRWML TVDTTLRPTMADVLCRLERRP H257_14491 MTSTDDAVDLDALGVNADQYGALQRAFSKYDKMKTGTIRVSDFD LLSKELGETFDEDEMLVAKRSLEDPDGDYIQFSVFLKWWVDDTA H257_14492 MAGRPNQAKDAQDDSVATRLEKCESLSTGHDLNLADLNLLAVPA QTLHLSSLRNLNLRHNNLTSLPVDFVECFPLLEVLNVAQNHLSHLPPDLGSLQKLRKL FVQSNQLRSLPLSLTGCTKLDQLFAQHNHLQDIPDEFALLSSLQILSVAHNQLTTLPK GLSALVKLEVVDLSGNAALTDVPENLRRLHDRHAVLHSKQARRDLITRALKVKGAVSQ SLKTQARDMAIHVSK H257_14493 MNLDELRSFLDATNVSEKECMKRLQEARAWMTSPGHDKLQTTDV IDLYNASRKCAMHDTNKQVAYQIRSLACMLLKRLVGPSISESLDLLRCFARTGHVLRG ASVSSHVIASPEVCFSEAIAIYRSMGLNHLSKTKSGVELEEICEDIWDAFEGHLSCIT SVADMVQDIHDLRMFMPYLPQNTTKFVKLVMNLAESHRLRDARDAEATLLGIALELIE TLDNIKKKSSLRRTALVCLVDVYIDMEMLDRAETCWTLLMSPETPQGLQSGVKLHLKS RAFPRALSLVEQLQEHDNYELACEATRMYSATVGYHDGTVFPLWETLKVNFPANAIQI DMELATELAFSEHQHLREKSCSITARLAEQWPQFAPDQLSQLKKVIHDASCNATNYDL SEELFRWTEVALVISRTPAERVVCKRIMSLAKLRLGDFAMALQLATEALNEEMSKKSI FACFRVLVSCDDASSSATSVEETLVRLIECDDFDIYDMVAFGREAHQAKNHASVLQVC ETLAKLLSERICATNELPQLEVGVLYQNMAQLNNSLCEGDERQPISKFMTYLDDLLAL AERIEPSQVEKSFGPPEVLEWFFGVCHNIGVNTQNWRCFKQAAQVAATATKLFPTTKL QGRDEKCWVAAICLRMKAIDTLSNEDLVEVESLLARQLSQDKTHTSKIQDYLATSMFI VKIKTDDFRTTDFLECYTAKLEQTSMKYRELGDYVFTTSTNSTTKASTIALRSISSHL YKFSLQLELQQKHVESRAVLYALKKLVALAQSKEEGCEWLEHVVQISSTIDIVLLDDD IEWFMAKAWNFGVSCFRNQELKSAQGFMSMAFKLLGMSTSRTLGKAYHDTLQSQYAQL LTLMQEQHQHE H257_14494 MLARTLKAFRHGATSNVPSYRGMATTVLDKIAYTAHVETTGGRE GHAKSTDGGNVDIKLGAAGVNPEQLFAAGYSACFLGALKHVAGKEKVALPDGSKINAS IDLGPIPTGFGIGAKLEIHLPGLDKAVADRLVKAADIVCPYYRTRSRTTLSRNSSSRS NQPPPPHFFTPPSTSVYSTTSVKLFLPV H257_14495 MLRSVAPSAMMLAACCRRSTMLPMPKSQAFASWNSSALSRDVKR QRDTTLLIDGNNLLYDVYDARCTLSWNDQAIGAAVRFVQRVREIVHAKQANRLAIMFD TPQTSQRQLANDMYKPVHRKRTMAQHLRSQFPIAIDTLKALGVAVIQVPTVEADDLIA SYAKACVADGFDVVIVTNDTDMYQLVQTSSLSDKVHHSVTVYRPMARQSIREARVKKL LCGGRPAQQPEIRALCGDLRGKTPGIPGGLDIREAISLLNKHGGLVRLLRTLDDVDDK ALAQRLKNSISMLELSYKESKLNDAVPLPVAPARLAIAQPLVFNRQVLERVFGADGTA VVFTAQARVRGQRPCHLQEIQKDAPPEEDCDPATEVALDQLFDSIKAK H257_14495 MTRNDQAIGAAVRFVQRVREIVHAKQANRLAIMFDTPQTSQRQL ANDMYKPVHRKRTMAQHLRSQFPIAIDTLKALGVAVIQVPTVEADDLIASYAKACVAD GFDVVIVTNDTDMYQLVQTSSLSDKVHHSVTVYRPMARQSIREARVKKLLCGGRPAQQ PEIRALCGDLRGKTPGIPGGLDIREAISLLNKHGGLVRLLRTLDDVDDKALAQRLKNS ISMLELSYKESKLNDAVPLPVAPARLAIAQPLVFNRQVLERVFGADGTAVVFTAQARV RGQRPCHLQEIQKDAPPEEDCDPATEVALDQLFDSIKAK H257_14496 MPPTEKRRNWTCEEDLVLLIQAAADQLFAAEKGGVTKAWQALAD TLIGCEHFVRVVDGRRVQNRFTALVEEHRRFDKASALLSGVCEEEKEKHVIAAAKKND TASQDKDKVEQDALIVRDVAMRTLKRRKDCELDELKRKSPTENRRNSLAASIEVEGER ELLVREKELEFQRFKFEAELKERERLRGLDREEMKAERDHQVLLARIESEKMLTMFKA VAEAKK H257_14497 MPSCNRKLALNWLKWAKRKRLSPTQTIAPLEYVLGIAIERPLIP DVRLDLNMRDVDARLSFRFDMRDVLQLTTLLGVPNVVVTSSFDRLLGVEAMCVMLRRL RYPTTYYDKVATFGRSREQLCRVFNFMVTFVHAEWKEIIYCNTRIVRHRIGQYAAAIH AKGSPLTSVWAFPDGTKIETCRISVTAHGAVGLNLQKRIYSGHKRKHCLNFQGLTTPD GLCIHLFGPLEG H257_14498 MAPRRKQIRKLLLKPYRQTLLRKHSDLGLCCSDRQFARQEHIPI ATLRGWLKQKHEYLESVKRGSNTTLDGHGQLESVSSFGADLVKFMDSVRDIEKFLTTA HVVTWLKTHQQPWLDAYLDSKPDQVRAYKCLLGWCQAFAHGHGFSQRVPCVPKKTQAE LRATRVEYAKSFWPKYTAYDPACLHGAHELGLASHRKLPPFVIVHGQPGGLVEQTELP TYPRGAIYAVQENAWVDERVWDIYLRELLRYEIEAPSVVVVDNQRLASEVPY H257_14499 MATPTKRRNFTEGEDIMLLRQVTLEMLFEARRDQVMERWAEVAS GLNTADEFRRTDIDAKKACNHFILLLDAHRKANNQSQQASGVAEDVGEKVVLLDDLLA AYDDVKGTEARRAEANRHAAEQMEAMESQIRAEALESVGKRKRDKDGDDTVTCGASFW QNNFASSRNQ H257_14500 MSITQRNLMQLVPFAKTLRQRATLLALMAAYVVERPLIPDIRFS LETSTDATAILDYRFDIAVHRDEAMCVLLGRLAFPVRFHTMTKTFARSRSDLCDIFMH VINVLYAQWGSLLYFNEKLVAKNIDRYYSAVASKGTPLSNVFGFIDGTKIQTCRITAT GDGANLQKQIFSGHKLIHCLNYQGVTAPDGICVHFFGPVEGRRHDATMLRESGLIKYL GGRRNVFWGKAMYGDPAYGIVPYLISGFKGINFSNKKMQFNKWMSRVRQSVE H257_14501 MLENHVEHISSHNDVVRTERNLKPTERRAVFEQLLERSIGGKLP YGAIRDVARVYCCHSRTIVRIWKQGRLSQSRNHGLADVAAKMKGNSGRKKTRTAEEIK AAVQGVPQYHRQTLRALAANCHVPTTTLVRHMKEHGKLKARSSYVKPMLTEENIGTRL AFVKSFLRPLSGGTIGFSNMHDLVHIDEKWFYLTRVKKKFYVYDDEEVAARFVKSKSF ITKVMFLGRGFFNSIQSLQHQKCTRTIEELIDAVKTAFFELPMDTVSKTFITLQKVMQ MSMEMLGSNNYKLPRMKKDATIANFAAYNVQCDASSLEGALLHLDLRLGDESQLEDLV NSHE H257_14502 MALGDRDHLAMTNRALDCAHGVSLTALCGHIRLEHEQPKQGSTH HWLRVHIDETNVSPVFVDVCVAPGVNASPTVFSIVGGADLEAMVVHPTAYARADPGHA SHLDHGGLLQPIFSKLPAAGINGNHEVIQSCTGYSHENYLDYLNRAATPITQANPTMS ACTTRSTLGSCTLSFSTTTRMRQAQVD H257_14503 MASIVYKKGERLPLVRKGPTTDHELGGKSPAELGGCMSNVFFSW LTPLLDLGNKRPLEFDDLYQLNVDDRAAQISLTFKKNWEHELTKPKPRLWWALAGSFG GPFAAAGFLKLLNDLLQFVGPMVIKYIIEFLSDPAAELSTGLQYALAIFVSGVVQSFS FRQYLFLCFETGLRFRSAVVTAVYQKSLVLATSARAKKSTGQITNLMSVDAQRVQDIA IYLHATWFALFQIIISSYLLYLQLGVAFLAGVIVMLLIIPATAVISKYMRTQQGALMA VKDERVKVVYEVLSGIKVIKLQAWENAFTNRVMQFRSNELDRLRTYMYARAGSSMVLN GVPSLVTVASFFAYIYMGNSLDVGTALTSLALFNILRLPLFMLPSVIHFLVEAQVSFS RLEEFFAMEEREPVTAGPLKETAILLQHADFEWDAAQDTNAVKNAEVGPILHNLNLKV ADGSLVAVVGAVGSGKSTLLSGILGDARCAKGQVHRSGSVAYVSQQPFIQNATLRDNI TFGLAFDHARYQTAIRVSSLLEDLKILPGGDLTEIGEKGINLSGGQRTRVAIARAVYQ DADIYLLDDPLAAVDAHVGSDIFKQCIKTALNGKLVVLVTNGLNFLKDCDSVVVLEQG RIVEQGAYQSLVDKVDGVLAKMMESVQEAPNKEEDTDSPRENDQEEDEREHDTRQRTE SNRSDVDTDKVANAALISDEDRSTGDVPWSTYKVWIDACGGLGMGFIVIFFYVLTNCV NLLSTFWLSYWSESAADTAHSQFYYLYIFMGLNVGVITLMFVQSLVLYVTGLRGSTLM FNQLLTQVLRAPMSFFDTTPLGRIVNRMSKDVYAIDETIPGVWGMLFGTVFSVITTIC TVIYVTPWFSVILIPLGILYYASQRYFIKTSRELQRLDSISRSPVYALLTETLEGLPT IRAFGVEPQFAARNEDMLDRNQRAYFLNFSANCWLSLRLEFAGTVVATAAALFAVLGH EANAGVAFAGLAGVSLSYAFNVTQNLNSSVRMLSMVQSKMVSVERIHAYTTMEAEAEL KAEPIKQLELENAKWPSQGKLTFKDVDLRYRRGLPRVLRQLTFTINANEKIGIVGRTG AGKSSLVVALMRLVELDGGVITLDDVDISTIGLHDLRDKVSIIPQDPVLFSGTIRSNL DPFDRCGDDAIWTAIKRANLHQAVTALDDKVGERGQNFSVGERQLICIARALLKKSKV ILMDEATASIDANTDRLIQESIHDSFKDCTCLTIAHRINTILDSDRILVMDKGSAAEF DTPAQLLKNPNGIFTNLVEHWRDDKKSA H257_14504 MAMIRIRGALRERNAIESVALQLDQTDTAWYTMYRSRHIPSFLT TVSLTPDAFDDLLHVFATEYTVLSGPGRRGRPPRIPHKHAVLAMVLHYYTAAVEHKTL QELFGVPPSTFARVLAKAEEALERSLSRIPQASVQWPSIPVQQEWSRLTNEREPLVEG VFAFVDGKNYRVQAPSSADLQNAHYNGGHNCPGSWNDGEVSRQLQARLADERFVGPGM KWVLRWKDYHATEGGRPGPATGGMSFGTPNDE H257_14505 MMTLFLLMDERAAKREESRIERQEKYDREREVREARREEMHLLL MSKMLGHNQ H257_14506 MSTMKMLQCRKCSQPNKRKGKSPSSAVKRSVRWDDESAPALQVA FHGKTLARFFRSSGGLSLS H257_14507 MIFGTVNSCIVCCRFATGGALVVRLIPRHHCGSSEVRRELLSKI LALQATWNVWPFLQQETRFINGIAQSPRDKKQPPRRMLCNEIPTVAQWHRVLIKTVSD ELQRLTCLADQQALRSTTANKIWSKVLTEQIIAAYPTIPQHSDV H257_14508 MPGPSTKCNDAKPMFDPPGTRSLINQADHDIAMQLPKNNGVGVR TLRLLLFVSRKLGSNPNDLLQPFLGYRDDDSGDFDDGEQPSGDDYGVDGDDNEQGELV PPMTFETWSPQSKKSSKRFGAYSAASRKQRSYEAGHILSIQAGFPRSVTRGWYQKFRT RNPILLSRMAHLLSKGRNIMNKEGIISYFNLLIRACIRFECDASDVYNINETSFKTKN QSKKFVAIRGSRSVWVEEKTGAYHLTIVITAAAKENSSRRPLSYLG H257_14509 MLYPAQTIDGLVSLAGGRQELQKLLANIDGAIKCPTGSAVFTKA PVHTATPFYLAHDWESKLVSYYNQSLYVTTASGPFSMIAVSLLGSGCKGIPVADAVRL ACIACRAWDADATPSIPSLHVIFGIQDPSIETQFQAEFARNQRNKPAVQ H257_14510 MADSRYNQRGVSAGKEDVHNAIKNVDKGLFPQAFCKVVPDYLSG DEDYCIVMHADGAGTKSALAYMYWKKTGDLSVWKGIAQDALIMNIDDLLCVGATDKIL LSSTIGRNKQLVPGDVISAIINGTEELLTTLRGQGIGIHSTGGETADVGDLVRTIIVD STVVCRLKRSDVISNHTIQAGDVIVGLSSFGQATYETEYNGGMGSNGLTSARHDVFTH ALATEFPESFDPNVPEALVYSGSKTLTDDVPGAPLNAGKLVLSPTRTYAPIIKAILDQ VAREHIHGMVHCSGGAQTKVLHFVKDVHVIKDNLFETPPLFALIQAESKTSWKEMYQV FNMGHRMELYVSPATAAEIIAISHSFGVDAQIVGRVEAHVGKKVTIASRHGTFDYE H257_14511 MEPDTSTDSSSSISTATLPKSSSTTPSLNESDKRRRLLQDQPPS THMEATGPPLNPQQSGLLRIVYEDLRKQATVGWHNAVNAFEIAHAHLHEAEVQEFLQL CFAQIVNIMLDQMTSKMAVTEKNCVIRTLTKGTVLCLAQLQNPHTSPPRRLAYFSHMA LILNKQKHFYKEFRGSNHHMMGQYWNKSPAGCPEVRLQCLALFHEHHGFSMLTRTLDQ HVTDADKAIAFIQTVSMDDLKLLLQGLYDLRVQVDSTLIRRLLQSLLQLVLVLPSAEL KKEPTDSIGHMLHLIQRLLDQDKDLQDALLLVIGRFIESTSLPQRLFGFEQLGSVVQV ARRSMPLPLSYRVAGAGSELVNGVYQLVPPTTSSAGTYIKQPTSNSSTTISKSRHAPP STPPPPGGPHHPMTLFCCTMKNGSKMWFLSEADQAQPGTDQDIDYYHHQSVGDDVVPP LSHWVPTGQGVAPSPLLIPHRPRPTAVVDSTRLDQRVLSFVWTHKLLDEIFGDRIHRE IVVRSASLLRFLAEAGQLSDEHVQHVYASATGKEESLVAEIHTLLVTSVLVYLSDDQV VSFLSFLLAQPVHLLPDVALFMDKVAGTYRSILHRVEAGVTSKCLRLLWRLQNASDPQ LHSAADVLELFHTALQSPAGEKERALFVNECIHMLRVSAQTTTTWSADQEAATTRSLE LLKVLLESCSGNDDDDDDDDLVDHVNATFNLVQLLFDELAAFVQRHTLESALRHRLDL IHYVHGKSNALEMTQAQVRSLWTVLSKQRVYRDLCWQFLTESSVFASDNVAPFNVAVC EYIFNDLLCNPHETDFSALSEAGFACFHMYFVGVNAHHHHLIRSTTTTTSPVMSTNAI RRIVSFESLIGLDALWQVAIYGLPAVSKAAIVELLNVYVQVTDSIPATQHFLQHVFDV LQRASSSAEVVQHCMHLLTGYMHQSPIGAGAIVHGKRSRGAPLSLQCTVQRLPTSVSA EKPPAFTLHVSANETLGRVRQLVEAQLGHPLTQTKLLVQGTPLVGDAKTWRELALTDH KQTSTEVTVVLFQTNVAKDLDGIDDSNINNQQHQQPLLHLGTLLSQSEAYFDVLFGLL DRYQGQNDTHAIVLDVVANVPTQTTLLDMVSQPLDRPDSLQRWLATSSYHKAVYVLEI IDGCLMPVHGAASQAYVTSFIQHGLDHVVHFLFETPRVDEQGMAVAMRLVKYCVLHSN HHHPPLSQQRTNHLSTAQMASLMTQLSALVWRSSLAPRVVIDALQIMQAISVYVPFEF PDPWPMPSTLLHPEEPIRVQWLATLQSQPHNVTKQLVAPALHTLQNALPVTSDRGTQL FALVAFLVPQFSPDQVEALEAALVALFQPTMSTSVLLGSLAILHTLCAQPSLSSRARQ QILDVLYDKSLFASGAKCLCASADTRKAAYGVVAQLAAASAANSTTDDLHAKLTSLID ATSTSSTSSWGQEVNVGARGQGDHVGLKNQGCSCYMNSFLQQLFMYPPIRHGLLAAAI PVDRFPEIPESQLNLPHLVHTDPTSLIGRRIMNEANNGRSFEAVITGYDHATKMHLIK YDEGSTDVRLKLWGKEAMNRVTLLPPTLQGDEATVEVLRQVQRTFWYLQESEMRYFNP KALVEACKCLNLEFSVYQQNDASEFCDKLLDRLEIGLAKTPQGTACLQSHLGGKLISQ KLPKGCGHRFEREEAFIRLELQIRGKESIDESLAAFVEGELMDGDNKVECELCGEKKA AIRRTCFGALPQLLVLHLKRFDLDYATFETVKLNNRCAFPLKLDMKPYTKRGLDEKAA EDVDDDIGGMDDDDNDDEYMYELRGVLVHAGVAQGGHYYSFIKDQSVGKWFKYDDEDV SAFDPANIEAECFGGMQKRTSSWNGMTNTMEMEVFSNALMLFYEKVVRRPESPTNSTT TVSMVHTNPMAQQVWAANDLFLRHSYVFDTAFDEFMKQVVATHQDQLKWLQLGMQFVL SIVLRFREKKGFGRWVDLFHGAFATTPAFAAWFLEVPDLSVFFTECPDPMARPTVVGL LTRAAAAVVATSPPDDPAALVHLSARVADWCVPPLMDEYFTLIFHIASLSPVLREQFQ RHQMVSRLIHVLLGTRSYARLVHAYGPLAGEAPLECQALFDAIGALLGLTRNAPEPLL AEQEAHASSSTQPRDHIVLSARAQSALTSLFHEYCTDDHVMGVKELQKYFRVCGTTVA TAKVTAKKIKTMLSKWPQLDLSAWLEYYTELGATSSKQVLSDLKAHGFRDNLQRPPSV HDLEPASSLLDNLPALCREAIVHEVFIEAALEEDAEAVADLLVRVSLGSPSTSSLVIK SVLTALFHAELGWKGLPIVDAAVQILTQLLTFEANNENVALIELTMVQTSYSLLVAAA ERKKVYAQYGTAPALFIYRYVTILMDLHKVPRVANWLQAHVGDWVWMYEWLRLESLKP SLGGRVTVLYRDPGKLETLLALGELLHVPFTPEEKSYAVSGAGCAAVNGVYHMVQHQR HDGCPVFRMTNHDIEYTLFRCEMPSKTHRWYISHAENRQTLGTVTDVDFYYCLCTIHE ETPPEDGWKVWTKNPDASGPTPTVTLQACSVSADELDMTVHAPPHSHGGSSFVVPEVD MDDDTVEYEDSEEEIRVSNERFQAVHLESPSEGSSGRL H257_14511 MRLRSLMPTFTKQRSKSSCSCALRKSSTSCSIKCTFSSYTLKMT KTHVRYNLSPRTSKMAVTEKNCVIRTLTKGTVLCLAQLQNPHTSPPRRLAYFSHMALI LNKQKHFYKEFRGSNHHMMGQYWNKSPAGCPEVRLQCLALFHEHHGFSMLTRTLDQHV TDADKAIAFIQTVSMDDLKLLLQGLYDLRVQVDSTLIRRLLQSLLQLVLVLPSAELKK EPTDSIGHMLHLIQRLLDQDKDLQDALLLVIGRFIESTSLPQRLFGFEQLGSVVQVAR RSMPLPLSYRVAGAGSELVNGVYQLVPPTTSSAGTYIKQPTSNSSTTISKSRHAPPST PPPPGGPHHPMTLFCCTMKNGSKMWFLSEADQAQPGTDQDIDYYHHQSVGDDVVPPLS HWVPTGQGVAPSPLLIPHRPRPTAVVDSTRLDQRVLSFVWTHKLLDEIFGDRIHREIV VRSASLLRFLAEAGQLSDEHVQHVYASATGKEESLVAEIHTLLVTSVLVYLSDDQVVS FLSFLLAQPVHLLPDVALFMDKVAGTYRSILHRVEAGVTSKCLRLLWRLQNASDPQLH SAADVLELFHTALQSPAGEKERALFVNECIHMLRVSAQTTTTWSADQEAATTRSLELL KVLLESCSGNDDDDDDDDLVDHVNATFNLVQLLFDELAAFVQRHTLESALRHRLDLIH YVHGKSNALEMTQAQVRSLWTVLSKQRVYRDLCWQFLTESSVFASDNVAPFNVAVCEY IFNDLLCNPHETDFSALSEAGFACFHMYFVGVNAHHHHLIRSTTTTTSPVMSTNAIRR IVSFESLIGLDALWQVAIYGLPAVSKAAIVELLNVYVQVTDSIPATQHFLQHVFDVLQ RASSSAEVVQHCMHLLTGYMHQSPIGAGAIVHGKRSRGAPLSLQCTVQRLPTSVSAEK PPAFTLHVSANETLGRVRQLVEAQLGHPLTQTKLLVQGTPLVGDAKTWRELALTDHKQ TSTEVTVVLFQTNVAKDLDGIDDSNINNQQHQQPLLHLGTLLSQSEAYFDVLFGLLDR YQGQNDTHAIVLDVVANVPTQTTLLDMVSQPLDRPDSLQRWLATSSYHKAVYVLEIID GCLMPVHGAASQAYVTSFIQHGLDHVVHFLFETPRVDEQGMAVAMRLVKYCVLHSNHH HPPLSQQRTNHLSTAQMASLMTQLSALVWRSSLAPRVVIDALQIMQAISVYVPFEFPD PWPMPSTLLHPEEPIRVQWLATLQSQPHNVTKQLVAPALHTLQNALPVTSDRGTQLFA LVAFLVPQFSPDQVEALEAALVALFQPTMSTSVLLGSLAILHTLCAQPSLSSRARQQI LDVLYDKSLFASGAKCLCASADTRKAAYGVVAQLAAASAANSTTDDLHAKLTSLIDAT STSSTSSWGQEVNVGARGQGDHVGLKNQGCSCYMNSFLQQLFMYPPIRHGLLAAAIPV DRFPEIPESQLNLPHLVHTDPTSLIGRRIMNEANNGRSFEAVITGYDHATKMHLIKYD EGSTDVRLKLWGKEAMNRVTLLPPTLQGDEATVEVLRQVQRTFWYLQESEMRYFNPKA LVEACKCLNLEFSVYQQNDASEFCDKLLDRLEIGLAKTPQGTACLQSHLGGKLISQKL PKGCGHRFEREEAFIRLELQIRGKESIDESLAAFVEGELMDGDNKVECELCGEKKAAI RRTCFGALPQLLVLHLKRFDLDYATFETVKLNNRCAFPLKLDMKPYTKRGLDEKAAED VDDDIGGMDDDDNDDEYMYELRGVLVHAGVAQGGHYYSFIKDQSVGKWFKYDDEDVSA FDPANIEAECFGGMQKRTSSWNGMTNTMEMEVFSNALMLFYEKVVRRPESPTNSTTTV SMVHTNPMAQQVWAANDLFLRHSYVFDTAFDEFMKQVVATHQDQLKWLQLGMQFVLSI VLRFREKKGFGRWVDLFHGAFATTPAFAAWFLEVPDLSVFFTECPDPMARPTVVGLLT RAAAAVVATSPPDDPAALVHLSARVADWCVPPLMDEYFTLIFHIASLSPVLREQFQRH QMVSRLIHVLLGTRSYARLVHAYGPLAGEAPLECQALFDAIGALLGLTRNAPEPLLAE QEAHASSSTQPRDHIVLSARAQSALTSLFHEYCTDDHVMGVKELQKYFRVCGTTVATA KVTAKKIKTMLSKWPQLDLSAWLEYYTELGATSSKQVLSDLKAHGFRDNLQRPPSVHD LEPASSLLDNLPALCREAIVHEVFIEAALEEDAEAVADLLVRVSLGSPSTSSLVIKSV LTALFHAELGWKGLPIVDAAVQILTQLLTFEANNENVALIELTMVQTSYSLLVAAAER KKVYAQYGTAPALFIYRYVTILMDLHKVPRVANWLQAHVGDWVWMYEWLRLESLKPSL GGRVTVLYRDPGKLETLLALGELLHVPFTPEEKSYAVSGAGCAAVNGVYHMVQHQRHD GCPVFRMTNHDIEYTLFRCEMPSKTHRWYISHAENRQTLGTVTDVDFYYCLCTIHEET PPEDGWKVWTKNPDASGPTPTVTLQACSVSADELDMTVHAPPHSHGGSSFVVPEVDMD DDTVEYEDSEEEIRVSNERFQAVHLESPSEGSSGRL H257_14512 MDSSSTNRVDIAGTPTDADDSHLYGSTPDSSDRMSRMHPSSTNL SPTKAAIDFDRFYGDGSFLKLLQETLQKNASVLKQGVVKLALSPIAIAYLNDRLHATL CPKRATDNSGRVDGWTIRESAHTQSFLRVVSPRKRDIFNDDALVPVGHLDVLKLSTLL TETTCVKVTGTHEQPSLSMAVVYFNIFPSLKRIELNRLPVTALDQLACFVAQLEVLQL TECVMQSPLVVLRHSTAWTSLTNLKLVHCDLREWFPDEMRQVPVLEQLDCSHNRLSAL HDLPAPSTLQLVTLSHNRLKCVTLSQSFSKLTWLKLDHNAFDTLQTLPWAYLPHLQHL DMSFNALDDLAEVSGLSQVRELQTLKLRGNPLAGFPDYRRQVLFYVGHAIELDDLPWT WTELDSMRFSRHNKRTADPLAYPRLPPKTNQLQARVVQILDPRPPYLPMIIRRTRTQS AAFSEASAASSVDRRSVASDSEGPMSPASSKVRAASYHVDEFLRDLAAEESPDTPHDD CLVPSDTFDHVMPPTTPGMVVTIFLSMEQADNLDLPLSRQGLTGLVHITPTKLMEYLP GGGVIQRHRAHLLCMAVLPPLHDASTTIQLGFRHRPTVAYKMADMATASTLARTLHDT LAEQHNVVALKCKACSALVVSKEKQAKLKPDQPMVLTIRQCWICKSANGREYSAENLP QCYKDLGLQIELPSTRPPQPPKPPSYNQNHQERGFYLDTSDDDDSGGGGDGLMERDEI IWVVTDTYMKQVRVTPAEMADGDEDQTLDKMVLYRRLCGI H257_14513 MSRSIEVAKWVNGTMVEEPTRSTVALTIAQQVHHRIATLLADHH VPRKPAHSIVPPPPRRSAHQILQSLHRRRTRQHVGQINRVMAQMQAHINRDEAIKHSF VPACQECAICLEDMALTSRITVRRLPCGHTFHTHCIKAWSVYQCTCPYDRRPFVRLAS H257_14514 MNQQPMTLSRRCVVCKGMDAIILLEGCGHAFHSRCVFEWPLRTC EVCQTPCDSIALVGRCRRMPTSPTSPTMSCRRNWDEVEREYCMLLLDLFKEGSLPLKP GMHLRQTLAMLLNCNPMRITKKFKRQNTLGKQRYAYHATPTGATYKRHVQRQKQLSTL RDAFYWQLKLQSGRAMDSMREAETEFWLTHLVAFASSVGQVISSTIVVVPSTPPTPLP REQKHSVTMKVESPMAIECAVEQYMPCMSQMEWEIMEDLKSQCGIDPLPWSGHSLLHD ELGSNDSLGSFLLDKVTVDDTIWHF H257_14515 MPSIDRESKCGDDDMDVGNSSDHTSDDGSDSDSASRGPRGSRLT SRRHTVMATPLRLERGWVPPQYPKSASDRADIRRAIRHNCLFSNLDEQAMTVMVDAMQ RFHFEHGEVLIHQGDEGDQFFVLASGAADVFIHGQRVGGVEADTTANFCGELALLYDS PRAATVKVTTPDVVAWGVDRVTFKKVLMDTTIKQRKLYEEFVDEVPILSELTKYERLT LVDALRPVFYEQGQPILEEGSQSNDFYIVSDGEVTCTKHGEEVSRRLGSGDYFGEIAL LSDEVRQATVTAARDTTVLVVDRNTFKRLLGPLESRLATNVALYDQYVRQRK H257_14515 MPSIDRESKCGDDDMDVGNSSDHTSDDGSDSDSASRGPRGSRLT SRRHTVMATPLRLERGWVPPQYPKSASDRADIRRAIRHNCLFSNLDEQAMTVMVDAMQ RFHFEHGEVLIHQGDEGDQFFVLASGAADVFIHGQRVGGVEADTTANFCGELALLYDS PRAATVKVTTPDVVAWGVDRVTFKKVLMDTTIKQRKLYEEFVDEVPILSELTKYERCF TNKASRFWKKAAKATTFTLFPTARSRAPSMAKRCRDDWAAAITLERLRS H257_14516 MHGTSNGALGSFAAALKDIHDAQAHEQRYMRDQHARYLEQVREA QQVELKSLADKHASQLAAFFEDVQRSTAQIDVEAGALMEKKRQWEMVEQRVHEAVEKL SHSVIKLNVGGRIFAIPKETLLKFEGSYFHAMLSQNNWKPDLDNDAYFIDADPTLFEY VMAYLREGDLSCEGLPPLKKQRLMKTLDYLNLEVLEWDASASVGITDGTILISEDKRT VSFALSIADESGFIQANRPVDRVSIQLVSRGNTLNDMPLTYIGLEAFQRDGDVDSVVS YNICSGEVSRGHLCEDIPRGQTFVDGDILTIVYNRQDKTIHFAKNGLDMGICLEDVPE KKYYPYVDTNCDGICLSLAS H257_14517 MSGLLVDKKVYVSGLEDKCRSDMDGCWSNVFFSWLTPLLESHQQ ASARMWKTLARSLGGPFVVAELLKLLHDTVQFYFFLRFETGMRFRSAVVTAVCDKSLV LSAYYRAKTFTGEITILMSIDGERLIGALNARSVAPLK H257_14518 MIRTLSFVCLVIAATFARARYLIGIGKADITGPTAEVVFAGFAD SNEKGSGLLNRQFARAFVVQDDSTNSRILLVNCDAFAVFQLVHTEVLTRLQTKYGSMY TEQNVLLHATHTHATPGGSSAYFLYDVSILGYIDESFRAIVDGILAAIDAAHRSLAPG TIRFNQGHLPDGGRNRSPLAYDANPAAERAQYTDDRDHTMQVLKFKDAKTGRLRGVWA TYPVHPTSLTVKNTLVSGDNKGYAMFLAESHYKNKVVVGLGMSNAGDVSPNRVDNGNG TFRGEGRTPIESAEIIGTRQANKLLELLASPSVKLVGSVVGKLSYVDFSNVTLTDVEP SVDAPYAHRTCPAVLGQNFGAGSEDGRGLDQFMEGNLKANPFFQLVSFAIRPTPKWVK TCQHRNKVPLLATGLMSPVPWSPEVLPVQVVKIGQIALASVPFEVTTMAGRRIRQSIM SALGGSVSQVAIAAVSNGYAQYLTTKEEYLVQHYEGASTLFGPNQLVAVQQELARVAK SVANPSIPLASGPRPRSFDRSKLVTLQTGVVLDTHPIGWPFGSIRSNVRQATYHISNT SVKASFVGAHPKNNFQHVASFCDVEKLDPVTKGFATYLTDAHWDVRFRWARVGISEST SECEWVLRSARPGVYRFRHRGFAKSWFGVLVPYEGVSASFVVVV H257_14519 MQAYRLLQAQQVKSPVSWRLQLQELASVQSVHGTAFNSTHGGVS TTSVASCLFKMIAHVAELIAAKLSEVAQKA H257_14520 MENPNLWCAAYNGKIEDVRKYLALGADVNKKDAGVLCVAASAGF LEVVKELIQCGADVDLADNNAYSPLYAATLTGHLHVVQELVDNGANIDAPSTQVRCTP LHQAVEKNHLDIIQALIDNGADVNAKDAIYQTPLIIASSDGNLVAVQMLLLAGADTTI GDGGNRTARSIAVDKKHGDVVKALDESAKNPHIQLKPVTDDVKLERAAAKLRYRSVCG F H257_14521 MASLQSSLTGNEVAANKTEVASLPLHPPATTVLPPSPPPATSSS PFGADMFLKLAAHPSTAHLVTLPDLVLVLLDLQHNPSQLFMHVGDIRVTQALQVLGTP LPEETVSNAFGTTMIQTLATNAATCGLVCDAKGLQNLIAIQQNPLTVNQYMTDPRIAQ ALTVLFQTSSTPLQNSSAPTEPAVASSNVVVEPSNDNLPAITTLSQLTAQLRSDPLKQ WPLTGKYLVCGQVLGESNHCVFKAINKKRPSDDLVVKLTQQDKEDVVKLSQRVVVCEE WGAVDVLGFQCQALIMEKGQYSVGDQLRHLQGHQYPRYRCLEDILDAVVTLHQLQYVH CDLKPENVVFFGDSDGYKLVDFDNARPARTPLTKNCTEQYCPPEMAHFMLGHTTDPPV ATTKYDVWCTAVLVLKLFSKPGASLIEFNDGVPLLDTIASPMFSFAESVSLTQLSSDR QKSLLKCLHIDPKQRGSLQDLVDLLPDTSTKKGGMTRMCNKLEELTVKVENNLSISTE TLHVSKATYAKVVDMKRDLMKGMFEATEVTVPTSFIILPFNIQTQPKLTSQPKDLAEQ ATGFFHRLQTVGSTILKAIQDNNPLSATKAALDAFSKGQPMYFYLLDDVTGLPVEDET GVYPIQITTTTDQYTKFMATNMPLFQRGLKLLQMANSAAGFFKALGIPSLGEATMANI EGLLEVPGSSVSDFNVVQRALDDKTEVKAPRGPALRELERFFHDKDEAKSFAGLSRVP TDSGLATWTRL H257_14522 MDKIVWPSITKDHYSSVCLASFTERKSKRVVLQRVDATIQRCSR TVAACKRFQAIRQYLPQNTSTATQHME H257_14523 MHTKDESRPLLDKGIASHGGLGDTSPSEMGGAFSSVFFAWLTPL MDLGSKRPLEFDDLYQLNANNRAAYISTTFKKNWAIELTKPKPRLWLALARSFGGPFI AAGFLKLLHDSLQFVGPMAIKYIIAFLSDPTAELTTGLTYVLAIFAAGVVQSFSLRQY FFLCFETGMRVRSSIVTAVYDKSLVLASSSKKSTGEITNLMSVDAQRLQEITNYLHAI WFALFQMAVTSTLLYMQLGVAYFAAFAIMVLLVPVTTAVSNLMQTLQQALMQVKDERV NVVYEVLRGIKVIKLQAWEHSFTNRVMQFRSNELSKLRAYVYARGAATMVFNGVPTLV TVASFFGYVYLGNTLDVGTALTSLALLNILRYPLFMLPYVINSLAEAQVSFSRLEELL LMDEREPVTAGPLKDTAILLQHADFEWDAAQETTDVAHVVAEDGPILHNVNLKLTDGS LVAVVGAVGSGKSTLLSGILGDARCAKVGQVHRFGSVAYVSQQPFIQNATLRDNITFG LAFDHARYQTAIRVSSLLEDLKILPGGDLTEIGEKGVNLSGGQRTRVAIARAVYQDAD IYLLDDPLAAVDAHVGSDIFKQCIKTALNGKLVVLVTNGLNFLKDCDSVVVLEQGRIV EQGAYQSLVDKVDGVLAKMMESVQEAPDKEKDDTDVPRDNDQEEDEREHDARQRTESN RSDVDTDKVSNAALISDEDRSTGDVPWGMYKRTRVAIARAVYQDADIYLLDDPLAAVD AHVGSDIFKQCIKTALNGKLVVLVTNGLNFLKDCDSVVVLEQGRIIEQGAYQSLVDKV DGVLAKMMESVQEAPDKEKDDTDVPRDNDQEEDEREHDARQRTESNRSDVDTDKVSNA ALISDEDRSTGDVPWGMYKVWIDACGGVGVGLAVGLLYLVTSCVNLLASLWLSIWGER NSATSSQYYYLYMYIGLNGVGIGLLFVQTLALFLAGLRGSSTMFNQLLTQVLRAPLSF FDTTPLGRIVNRMGKDVYAIDETIPANWGMLLGATFSFITTIGMVVYATPWFTVLLPP LAGFYYVSQRYYIRTSRELKRLDSISRSPVVALMTETLEGIPTIRAFSAEPQFTTRNH YLLDQNQRAYFLSVSTNSWLSLRLELAGAVVTASAALFAVLNHDTAQAGVAFAGLAGV ALSCTLNVTQNLNWTVQLISTIQTQMVSVERIHAYTTMQVEADLDSDPIKTLELEHAK WPSQGKLTFKDVDLRYRAGLPRVLRKLTFTINAHEKIGIVGRTGAGKSSLVVALMRLV ELDGGVITLDDVDISTIATASIDANTDRLIQESIRDSFKDCTCLTIAHRINTILDSDR ILVMDKGSAAEFDTPAQLLKNPNGIFNNLVEHWRDDNKSA H257_14524 MASSIAYKKEERLPLLGKEPTTDHGLGDKSPAELGGCMSNVFFS WLTPLLDLGNKRPLEFDDLYQLNVDDRATQISLTFKKNWEHELTKPKPRLWWALARSF GGQFVAAGFLKLLHDSLQFVGPMVIKYIIEFLSDPTAELSTGLQYALAIFVSGVVQSF SLRQYFFLCFETGLRFRSAIVTAVYQKSLVLAASARAKKSTGEITNLMSVDAQRLQDI TNYLHAIWYALFQIIISSYLLYLQLGVAFLAGVIVMLLIIPTTAAISQYMRTLQRALM AVKDERVKVVYEVLSGIKVIKLQAWENSFTNRVMEFRSNELDRLRTYIYARAGSSMVF NGVPSLVTVTSFFAYIYMGNSLDVGTALTSLALFNILRFPLFMLPNVINSLVEAHVSF SRLEEFFTMEEREPVTAGPLKETAILLQHADFEWDAAQDTDAVENAEVGPILHNVNLK LTDGSLVAVVGAVGSGKSTLLSGILGDARCAKVGQVHRFGSVAYVSQQPFIQNATLRD NITFGLAFDHARLVDKVDGVLAKMMESVQEAPDKEKDDTDVPRDNDQEEDEREHDARQ RTESNRSDVDTDKVANAALISDEDRSTGDVPWSTYKVWIDACGGLGMGFMVIFFYILT NCANLSSTFWLSYWSESAADTTHSQFYYLYIFMGLNVGVITLMFVQSLALYVTGLRGS TLMFNQLLTQVLRAPMSFFDTTPLGRIVNRMSKDVYAIDETIPANWGMLFGTVFSVIT TICTVVYVTPWFSVILIPLGILYYASQRYFIKTSRELQRLDSISRSPVYALLTETLEG LPTIRAFGVEPQFAARNEYMLDRNQRAYFLNFSANCWLALRLEFAGTMVATAAALFAV LGHEANAGVAFAGLAGVSLSYAFNVTQSLNWSVRMLSTIQTQMVSVERIHAYTTMEVE AELKAEPIKQLELENAKWPSQGKLTFKDVDLRYRAGLPRVLRKLTFTINANEKIGIVG RTGAGKSSLVVALMRLVELDGGVITLDDVDISTIGLHDLRDKVSIIPQDPVLFSGTIR SNLDPFDRYADDAIWTAIKRANLHQAVTALDDKVDERGQNFSVGERQLICIARALLKK SKVILMDEATASIDANTDRLIQESIRDSFKDCTCLTIAHRINTILDSDRILVMDKGSA AEYDTPAQLLKNPKGIFTNLVEHWRDDNAE H257_14525 MSAKSLYDFTIDPERIAQRPRAFGSQKLLVYHKQRDEIEHTTFE LLPQLLQPGDLLVLNDTQVVPAQVRLVEPIVGDCTSFLFLDPLCAVTSTMEVLLNTRV DVGSVLSLPGGLTFRVDELVGGDPEVHRGNLFGLSGPLHEYLAGIGEMPLPPYVARVP DKEDEKAYQTSVAQVPGAIAAPTAGLHFYPALLDRLHDAGIHHVCVTLHVGYGTFRSF STEFVEHHQMDRERYHVSVAAGAAIHQALQEKRRVIAVGTTATRVLETCADSFRAPTP PADLSGEAGIFIYPPYEFKVLSGLFTNFHYPKTSVLTLTAAMVGSRDLLIDRIYKEAL DRDYLWYSYGDGMLVL H257_14526 MSDAKRQKVHHDADDGQSERDNAAQNPFSFPFPPYSIQEDLMKQ IYDTISQSKIGIFESPTGTGKSLSLICGVLTWLRDHTDANGVLLPDKADEVATTDSAS IEPSWMRNFHAPAQSTSERALNDVCAKLAEIRANPTHSRIRDTRLMHGAGRTPHGPKP STTPTGATSGTKHDDGDEVHIVPAYESGNDAAKDAGSSDDDDDDDDSTNRFNSEPTDY NVVQIFYCSRTHSQLSQFMQEIKKTSFAKSIRTLTLGARKSLCIHPDVRKLTSDTAMT DKCLDLIQSTKTKAPGCPYNKRTTQVHFRHHALAQVQDIEELHDLGQQMSSCSYYGTR SAIPLAQVIAMPYSMLLSKSTRESLGIHIKGSIVILDEAHNIADAVNNTYSVTVSGQH LIKTRRQVWSYFQRYEKRLQGRNVYYIKQLLAVLQHCHKFLTSKISSSNAMFTITDFL FEAKMDNINLFKIQQYLERSKLAQKLLGFVATEDGGDGVTTHVSPLRTIGAFFMALTT ATANGRILVMQQEKEDGGKENCLKFMLLNPALHFADIVAEAKSVILAGGTMQPVSQVL HSLLLGVDRERIDLFSCGHIIPPANLTAVALGVGPTNTELDFTFSKRNTRPIMDEVGR IVLNLVRVVPGGVVVFFPSYSYENQVILHWKSTGVLAAIEAKKMAFREPKSTAEVDAV LTSYAAACQEFGAILFSVVGGKMSEGINFSNELARCVVMVGLPYPNPHDPELMQQMEY TTKSVSGVSAHDFYSNLCMKAVNQSIGRSIRHRNDYASIMLLDRRYNTNVIRSRLPKW INDRTVTYPTFGPMIPHLVQFYKQHRPANTTI H257_14527 MSHSEVRTSAPREAALALFTGSFYGGVHTVVGHPLDTIKSRLQM DPTMRNASALDVAKSLWKTDGLRGFFRGCVPPLWGSMVYRGIMYSGYEFSFTFIEKGF PDDHFLKNELSPSFPVRPMVVASTVFAASCRGIIESPIEYAKVMGQMKQPWVLTHVYR GVLWQLLRTTALLIPIFSILDVARRKTDLTKTLPGNFVVMSGACGVSYLCCWPLETLK NLAQTGIGGNSLAERIKYLGGWRGVYRGVWPGTIAGSTRNGAGMVAMVFAQKWATDLG LRD H257_14528 MQKGFLDGVLAYIIFGLYPLYWKLLADVPSMQVLAHRIVWSIPL VVVVIAVTGQSAALLEGARHWRHLGIYTVSGLLMGTNLFVSVWAVNAGFIVEMSLGYF INPVVSVLLGVIFLHEKLRLFQWLSVALAVIGVGVVTFGYGKFPYIAFTIAFAFGFYG LVQKKAPLSPVQGVTIEMSILAIPSLIYLFVCEAQGDGAFGRSRAALNWLMVGCGAMT VVPQLLFCSAVQSIPLSFFGILQFIGPTMNIIIGVWVYGEDFSGATMIGFILVWVALV VFTAESFIVKKPTEVTCNYSDDDDDSVIIWLA H257_14529 MATVLHIGVLNALLAYAIWGVFPIYWKQLQHIPAIQLAMHRIVW SLFMLLLYVFGSQQWTEFRAAAFIWRNLLTYTVSGVFIAANWLIFVWAVNEGYVVESS LGYFINPLLTVVLGVVFFKERLRRGQLVAIAVATGGVLILAISYGKFPWISLSLALSF AGYGFVKKRAPLTSMQGLTMETAILFLPALVYLVVVEGCGDGAFLHVDTTSNVLMVGG GIVTVIPLLLFSSAAKEIPLTTLGLLQYTTPILQFLCGVVLYKEAFSTSKLIGFIVVW VALTIFTVDMVHDLRKKTSDEDVVVVDAVDLQGIESSSFQLVEHSPSKNEPSSVGADL SKD H257_14530 MSALPKFTCPTPSHPAVKFSGRTDAKTTRNSTRQQQNSHQLPTT QPLAQPTTKAWTTTPNSNPSISHQNEQRTIQPSAILDGLQSHDQPERHKHQHAVVRDA LHNFTEALLCRRPDDAFHEFEQHKRDLFGTCEAQCPAATWDSIRVSLEAQVAQVRDIV EANFAMFENIRTKQRRGIVHVKASQMLQIHQRQLQTAQLEQENLAYADLVEQERLIQN RRMAAKHASKLKTRKQHQEALLVQIQANPHHQADQVKVIERTAVAASGKDLFKLRPPW WVDDDASACASRRNDYDVDSRTAKFAMPAERFGRKGAPWFDE H257_14530 MSALPKFTCPTPSHPAVKFSGRTDAKTTRNSTRQQQNSHQLPTT QPLAQPTTKAWTTTPNSNPSISHQNEQRTIQPSAILDGLQSHDQPERHKHQHAVVRDA LHNFTEALLCRRPDDAFHEFEQHKRDLFGTCEAQCPAATWDSIRVSLEAQVAQVRDIV EANFAMFENIRTKQRRGIVHVKASQMLQIHQRQLQTAQLEQENERLIQNRRMAAKHAS KLKTRKQHQEALLVQIQANPHHQADQVKVIERTAVAASGKDLFKLRPPWWVDDDASAC ASRRNDYDVDSRTAKFAMPAERFGRKGAPWFDE H257_14530 MSALPKFTCPTPSHPAVKFSGRTDAKTTRNSTRQQQNSHQLPTT QPLAQPTTKAWTTTPNSNPSISHQNEQRTIQPSAILDGLQSHDQPERHKHQHAVVRDA LHNFTEALLCRRPDDAFHEFEQHKRDLFGTCEAQCPAATWDSIRVSLEAQVAQVRDIV EANFAMFENIRTKQRRGIVHVKASQMLQIHQRQLQTAQLEQENLAYADLVEQERLIQN RRMAAKHASKLKTRKQHQEALLVQIQANPHHQADQVKVIERTAVAASGKDLVLPLSQD LDFSAARLFVLNFVSNSVANTNIVDEWMI H257_14531 MDFLRQEEQKYPALAARYARLSELFGRKLYHELTVDILAFVKDE TTAIGTNWYDLYAQFLSTFQEKINQLSLVTICGHIAQRFADPQQAVTFLTSILTYLQT KKTKITQHTTPNPHAIESVLVCRMYTASFQVKLKQLAEVKAILADNKEAVEGLVGADP VVHAAYYRVACEYYSAVGPADKFYKSALMFLAYSLYDDIQPAERFALAVNISIAALTG ENVFNFGEVLATPILSALKGTDKEWLSELLHAFNRGDIDQFNIIVGNHRAEYNAQPAL VNKADYVKEKVALLALMVLIFHRPSQERNIPFHDIATATKLPLNQVEWLTMRALSLGL IKGTIDQVDQIVSVHWVQPRVLERQQLQELQERLGGWSNKVKDTLLYVEDQTPELFQ H257_14532 MTASPMSGANSSILTHRYMYGLKGTVKNNIAFCEENVVVYPCGH CMVIHSIETKEQQFLHGMESGTSGGFTAMAVSANRKYLAMAEKGDAGVVNMYDLTTFR RKKPLVYSDLGSESYVSLAFSGDGKYLVGQGGAPEWNLMLWSWEKAKVVTTFKAAAQY GSSIGQVDFCPTDSNSLCVSGNGTIKFFKVTDGQLRQQVNSLKREPGNFLCHTWLSDD RVIAATITGELWLFESMEFRTVLSSSPTDGQYCSSLLAYSKGFVCGGSGGVVRIYEKS DDSREHFKRTKVFSIDGNPQCIQHLAISPSEDTLICSLENNQLYMLTLSSTDILKEDA MNFELVSTAFHRPGQNGAHITGLDTCIRKPLIVTCGVDKSVRVWNYSDKSTDILKFFK EEALAVALHPSGLHVVVAFTDKLRMLNILMDDIRPYREFGVKACREVRFSHGGQYFAV ANNNTIQVYGTYSGELMAVLRGHTNQVNSLLWKADDRKLMSCGSDGSIFQWDLRSAIK VGEGHTHPRCNYHDLSLSSDSSMLFATGTDGTLKEIDIAAGTPQVEHNCGVLLGPLAI TTSQQFLFAGTAEPHRPGCIRAYKLPLEPESSYSEFQCHDMAVARLRLSHDNQYLFSV GDDGSLCIFETKELSSSKLKGRSERENAMAFAEEILVTKSDLEEKNQTMQELKAKVDE LTLHNEYQLRLKDMNYKEKIQEVSDKFTSELTQDKQRCTDLQEDKREMEAEYDAKLSE LEATHTHELDGIRDTYEAKIKAEVERYDALIQERDEETARWDEENQLLVESHTQFLAE MTSEYDRKVEGEQTKQTQLGGEKDEIVTEFEDNKTQIEEDADLEIEEVKAKYDAKFLD EREATLRLKGENGIMKKKFTALQKDIEDQKEEIRSLQEKGKELYENIKGLEKDIQGHK KEIREREETIQDKEKRIYDLKKKNQELEKFKFVLDYKIKELKRQIEPRENEIADMKLQ IEEMDQELEHYHKSNAALDLMIGELTLKMDGMQKDINHQSLEIKTMRQFIRQFQSDLH DSAQLLEKKKALKASVIALYKKYETGKIVTEVASDVDAQQEYNRQREYLEKEVESMKC KLVKGLKINHSEMMRLKRENAILTVQVNDLRREFHAVKSSQSEVNDLKNKHRDKRSMD EREMELRRESELQKAQIQQLKHQQASMLKALGGSSASGPPPRRAPSVATAKPKLLPMM GSHIDA H257_14533 MHGHDDDGTALQTAAKKIQGMYRVRMARRRLRQLLKAVVERFYD EDSGMYYYYNAKTGESSWFKPTLLGSEDANLAAMYHTTPEVVEQESTSVDSIVSPQQD QSLEVDKAESPETTVVSIEQPANELVIQPDSRIETPQLPLSHVDEAERPQPNDTPEPF SPEELALIHEQFHKFDKDGSGCITADEMMAIMHAFGDTSTLETIQALIHLVDGDGNGE VSVDEFVAILKMQRDKDSHCPSLQLAIMFGPDEILNLKRQFQQLDTDNSGEIDDHELA TLIKSLGQEVTPTQLKEIIDEVDRNKNGTIDFNEFCHIVYNMRNPKQSRFATLLHLGV AKGLLKDLGSVMNATGARVSAWWNADKIAEEQRLKAKRERALERERLLREQEEREKQI FQEELARLAAVEKARWAVVPGLQHEVLFEGDKENYPNKGQYARVHYTAMFENGHVFEA SRTRGGALEFKVGAGHVIQGWDVAIPRMSVGETAKITCAPNLAYGVRGRPPKIPPNAT LVFKVELIAIHEKVRLDQGGDDDDDDERM H257_14533 MHGHDDDGTALQTAAKKIQGMYRVRMARRRLRQLLKAVVERFYD EDSGMYYYYNAKTGESSWFKPTLLGSEDANLAAMYHTTPEVVEQESTSVDSIVSPQQD QSLEVDKAESPETTVVSIEQPANELVIQPDSRIETPQLPLSHVDEAERPQPNDTPEPF SPEELALIHEQFHKFDKDGSGCITADEMMAIMHAFGDTSTLETIQALIHLVDGDGNGE VSVDEFVAILKMQRDKDSHCPSLQLAIMFGPDEILNLKRQFQQLDTDNSGEIDDHELA TLIKSLGQEVTPTQLKEIIDEVDRNKNGTIDFNEFCHIVYNMRNPKQSRFATLLHLGV AKGLLKDLGSVMNATGARVSAWWNADKIAEEQRLKAKRERALERERLLREQEEREKQI FQEELARLAAVEKARWAVVPGLQHEVLFEGDKENYPNKGQYARVHYTAMFENGHVFEA SRTRGGALEFKVGAGHVIQGETAKITCAPNLAYGVRGRPPKIPPNATLVFKVELIAIH EKVRLDQGGDDDDDDERM H257_14533 MHGHDDDGTALQTAAKKIQGMYRVRMARRRLRQLLKAVVERFYD EDSGMYYYYNAKTGESSWFKPTLLGSEDANLAAMYHTTPESTSVDSIVSPQQDQSLEV DKAESPETTVVSIEQPANELVIQPDSRIETPQLPLSHVDEAERPQPNDTPEPFSPEEL ALIHEQFHKFDKDGSGCITADEMMAIMHAFGDTSTLETIQALIHLVDGDGNGEVSVDE FVAILKMQRDKDSHCPSLQLAIMFGPDEILNLKRQFQQLDTDNSGEIDDHELATLIKS LGQEVTPTQLKEIIDEVDRNKNGTIDFNEFCHIVYNMRNPKQSRFATLLHLGVAKGLL KDLGSVMNATGARVSAWWNADKIAEEQRLKAKRERALERERLLREQEEREKQIFQEEL ARLAAVEKARWAVVPGLQHEVLFEGDKENYPNKGQYARVHYTAMFENGHVFEASRTRG GALEFKVGAGHVIQGWDVAIPRMSVGETAKITCAPNLAYGVRGRPPKIPPNATLVFKV ELIAIHEKVRLDQGGDDDDDDERM H257_14533 MHGHDDDGTALQTAAKKIQGMYRVRMARRRLRQLLKAVVERFYD EDSGMYYYYNAKTGESSWFKPTLLGSEDANLAAMYHTTPESTSVDSIVSPQQDQSLEV DKAESPETTVVSIEQPANELVIQPDSRIETPQLPLSHVDEAERPQPNDTPEPFSPEEL ALIHEQFHKFDKDGSGCITADEMMAIMHAFGDTSTLETIQALIHLVDGDGNGEVSVDE FVAILKMQRDKDSHCPSLQLAIMFGPDEILNLKRQFQQLDTDNSGEIDDHELATLIKS LGQEVTPTQLKEIIDEVDRNKNGTIDFNEFCHIVYNMRNPKQSRFATLLHLGVAKGLL KDLGSVMNATGARVSAWWNADKIAEEQRLKAKRERALERERLLREQEEREKQIFQEEL ARLAAVEKARWAVVPGLQHEVLFEGDKENYPNKGQYARVHYTAMFENGHVFEASRTRG GALEFKVGAGHVIQGETAKITCAPNLAYGVRGRPPKIPPNATLVFKVELIAIHEKVRL DQGGDDDDDDERM H257_14534 MPCIDGDTAPPTTSSRPLSLAVERRLPTHAFPDEFIECTLRLHH GDDLGLPVSFQVALVHADTKLTEESTSQLVVDSSTPLVFVGATCTFRFSLTRVMQKMC LRCSLVDPHPIVSVLTSAISIVREKLVVTQQPPDVWFKDEGGRDKCMTIQVNVEAAPG RTVSPRIIPLELTLLYDSGDVVQASAVATSPSHGILKLFPDLRPNVTNGTVSISFRIE DVSKNHQNHAFVLKIAPESSDVYADIASVRTAPVAIRSKRNKRRLAGLKSPSNNTNLA SEPSPSAASSGSHRPRMLPTPVPHHSATPTTTDKTPMSRRFNSSTATPHNLPRARPST WTDAMQEWKLVGYEIHEGDGSINKQAPIYRCLSCASLTDMSPQNTTRHAPSCMYLQLP QQHQSNFPANTPRQQHHPVTQATTAARMVYGYNTHGNYTPSASQTSMAAAATAGPGYA GTTPTNYSTTPTPHSSLKHSDNNIFLSTKAAATTSSSPAMMTDAMAKPMLMMDMYMSA NHTHNNNWQMMHQQVGTSSSTTPTNEASGNHGTTLGYPNNSNAQPPCVAFILASMATD MRGDKLGLAAFDQYHQLLGFYNEQQNPTPAQHNQPSQPPRSHVVFYPVSDFPLCNTGG ISASFESALHYGSSDVFALSKYMGNLTKLQEEAFLHFWSQNLM H257_14534 MPCIDGDTAPPTTSSRPLSLAVERRLPTHAFPDEFIECTLRLHH GDDLGLPVSFQVALVHADTKLTEESTSQLVVDSSTPLVFVGATCTFRFSLTRVMQKMC LRCSLVDPHPIVSVLTSAISIVREKLVVTQQPPDVWFKDEGGRDKCMTIQVNVEAAPG RTVSPRIIPLELTLLYDSGDVVQASAVATSPSHGILKLFPDLRPNVTNGTVSISFRIE DVSKNHQNHAFVLKIAPESSDVYADIASVRTAPVAIRSKRNKRRLAGLKSPSNNTNLA SEPSPSAASSGSHRPRMLPTPVPHHSATPTTTDKTPMSRRFNSSTATPHNLPRARPST WTDAMQEWKLVGYEIHEGDGSINKQAPIYRCLSCASLTDMSPQNTTRHAPSCMYLQLP QQHQSNFPANTPRQQHHPVTQATTAARMVYGYNTHGNYTPSASQTSMAAAATAGPGYA GTTPTNYSTTPTPHSSLKHSDNNIFLSTKAAATTSSSPAMMTDAMAKPMLMMDMYMSA NHTHNNNWQMMHQQVGTSSSTTPTNEASGNHGTTLGYPNNSNAQPPCVAFILASMATD MRVLHIYIYTRLWIYMYVVLC H257_14534 MPCIDGDTAPPTTSSRPLSLAVERRLPTHAFPDEFIECTLRLHH GDDLGLPVSFQVALVHADTKLTEESTSQLVVDSSTPLVFVGATCTFRFSLTRVMQKMC LRCSLVDPHPIVSVLTSAISIVREKLVVTQQPPDVWFKDEGGRDKCMTIQVNVEAAPG RTVSPRIIPLELTLLYDSGDVVQASAVATSPSHGILKLFPDLRPNVTNGTVSISFRIE DVSKNHQNHAFVLKIAPESSDVYADIASVRTAPVAIRSKRNKRRLAGLKSPSNNTNLA SEPSPSAASSGSHRPRMLPTPVPHHSATPTTTDKTPMSRRFNSSTATPHNLPRARPST WTDAMQEWKLVGYEIHEGDGSINKQAPIYRCLSCASLTDMSPQNTTRHAPSCMYLQLP QQHQSNFPANTPRQQHHPVTQATTAARMVYGYNTHGNYTPSASQTSMAAAATAGPGYA GTTPTNYSTTPTPHSSLKHSDNNIFLSTKAAATTSSSPAMMTDAMAKPMLMMDMYMVR R H257_14535 MSSRDEKLPLLAKYSTSALAKDELTHVIAISLPLIGTAILEYIM NCINGMYTGHLSAEASEIHLLLAANGLSYLFYVLFLYSFAIGVGTALDAMCAQAHGRG AKAEIIVLLQTAVLCSAVLMVPIFFTCYFATDILLLLGQNPDVAALTGRVLWIFMFGL PFCFGYEIFKRVLQAQNIVLPAVYAGVCANVTNLIVAYTLMYHTSLGYFGSAYSFVVV TFVYFVVSLYYVLKATNVEWIFHWHVHDAIVHLPSFLFLSVFGWFMFISEFASVALTS ILAGLLPSANLAIASNSIFMGFRQVFFMVYMGLGVASSVRVGNALGANNPHRAKVAAV QSVGLSCAWALFTSVLMFAVQHVFPHAYTSDPATLALTAQLMAVNAPFQIAFAIWMVM LGVFRGSARPHEGALWNVLAILVVGVPMGWMLASTYEYGIVGIWLGVSFGYVLCAIFG LYWLATVDWVAMASEASARLIVSPSMDDKDLP H257_14535 MSSRDEKLPLLAKYSTSALAKDELTHVIAISLPLIGTAILEYIM NCINGMYTGHLSAEASEIHLLLAANGLSYLFYVLFLYSFAIGVGTALDAMCAQAHGRG AKAEIIVLLQTAVLCSAVLMVPIFFTCYFATDILLLLGQNPDVAALTGRVLWIFMFGL PFCFGYEIFKRVLQAQNIVLPAVYAGVCANVTNLIVAYTLMYHTSLGYFGSAYSFVVV TFVYFVVSLYYVLKATNVEWIFHWHVHDAIVHLPSFLFLSVFGWFMFISEFASVALTS ILAGLLPSANLAIASNSIFMGFRQVFFMVYMGLGVASSVRVGNALGANNPHRAKVAAV QSVGLSCAWALFTSVLMFAVQHVFPHAYTSDPATLAYVLLYFSTC H257_14536 MEAWQRDRIVAFYESHNPENVKNIPEILRVFAGREDVLCAKLHK KYGCSPDIVAKEDNELSAAASYDPNFVPKTAPPSRGGPFDCRSASFNAHLALVRNRLD GASLHHPALDNLYKCRMLLPPRDPQFIQPKVKPATPSVTTDRKSKSTVAPKKPPVLHA IAAKARVVVVLRRISSIRGTCTGYLKGFDKHMNLVLMDVMDKFAPVHAQQQEAPTVTR HIRQLLIRGDNVVLVYPTQPLMPQQNTSSTGS H257_14536 MEAWQRDRIVAFYESHNPENVKNIPEILRVFAGREDVLCAKLHK KYGCSPDIVAKEDNELSAAASYDPNFVPKTAPPSRGGPFDCRSASFNAHLALVRNRLD GASLHHPALDNLYKCRMLLPPRDPQFIQPKVKPATPSVTTDRKSKSTVAPKKPPVLHA IADLHSTGPLSLLHRCLVAKARVVVVLRRISSIRGTCTGYLKGFDKHMNLVLMDVMDK FAPVHAQQQEAPTVTRHIRQLLIRGDNVVLVYPTQPLMPQQNTSSTGS H257_14537 MSAPPDQQYDELYKVVLVGDPGVGKTNLLAYFTASEDEMAIDSS TNASKIFSNVRKPTIGVEFGTKIITHPNGKRIKAQIWDTAGQERYRAITSSHYRRAAG ALIVYDVTSRTSFDNAQGHWLKELRNSADTNSTLLSCLMLVGNKVDLDPAEVTSDDHE SAVLAADGILDIRASAKTGQNVVEAFEKLVIEVYNQDKARNANPDEKPTAAAVDLHAP AEKKKKNGCC H257_14537 MSAPPDQQYDELYKVVLVGDPGVGKTNLLAYFTASEDEMAIDSS TNASKIFSNVRKPTIGVEFGTKIITHPNGKRIKAQIWDTAGQERYRAITSSHYRRAAG ALIVYDVTSRTSFDNAQGHWLKELRNSADTNSTLLSCLMLVGNKVDLDPAEVTSDDHE SAVLAADGILDIRASAKTGQNVVEAFEKLVIGNISTSSGTAC H257_14538 MEDPMAFINEVSNPDVLEQAISMYIRSKRRQSQDDTVVRGGSRP GKRPNINRQREFYARLLHQDYWGPLPIYDTEHFRRNFKLPIDLFDKIMADITNHDEYF QLRLQLSASCGCGTAYLPLPDKKKR H257_14539 MFKLRYKSALASRFDSKNNYGKRVAYVMLATELSVVMQREFTAK QVQDKLANMKTEWSLSKPTLPAPTGNSPRALLPLHYDVMLDYWGEKAGFRRESLISTD DTKSLEAGFTAIKEVLMFLGSAMAQQTPSHQQTPSHQVVAAAPTPATQTSSVATLDDV LSAIKAQSDTMSQLLSHLIAKKD H257_14540 MFKLRYKSALASRFDSKNNYGKRVAYVMLATELSVVMQREFTAK QVQDKLANMKTEWSLSKPTLPAPTGNSPRALLPLHYDVMLDYWGEKAGFRRESLISTD DTKSLEAGFTAIKEVLMFLGSAMAQQTPSHQQTPSHQVVAAAPTPATQTSSVATLDDV LSAIKAQSDTMSQLLSHLIAKKD H257_14541 MLGDRQYEQWFLLNLRCNQATFVEIVAWFRSVQAPTTPRKSVHS MEKKAGGVFGMSKSRCICVVHHMVDILTNRASTWINLPSTLDAWAQVELGFFKKQQIP GIVGAVDGTLIDIQRPADYDGFYNRNGDPSLNVQAVVDADLRFISVDI H257_14542 MSFHAPDEPVPHPMSTLARDNPSSLRSYVQRVRQTFRLPPPIQS DVWLRLLFHMLPVNSRFFYLQTTRPDAIYCTYGCGIIETQSHTFHSCHRVHPVWLFHA SAWRRFGVTFSCESIFDVDSFAVNPCSVPRKDAIQLLWTHLVATILHLIWTQHNLIQY EDQPPLPPDAWHQLTFIGWMTSVRRWLRLQLPHCPLRTTVLQVLYSLRGHPNYRVLWV KYPHCLHLLPSPPST H257_14543 MSFHAPDEPVPHPMSTLARDNPSSLRSYVQRVRQTFRLPPPIQS DVWLRLLFHMLPVNSRFFYLQTTRPDAIYCTYGCGIIETQSHTFHSCHRVHPVWLFHA SAWRRFGVTFSCESIFDVDSFAVNPCSVPRKDAIQLLWTHLVATILHLIWTQHNLIQY EDQPPLPPDAWHQLTFIGWMTSVRRWLRLQLPHCPLRTTVLQVLYSLRGHPNYRVLWV KYPHCLQLQPTPSST H257_14544 MGVVEKEVKWMGDTVEPAHRPCELATHQRFPWEGFRFRVLHEAL QVKESLASELGCDMRDAEAHTGIILPCLAQEGS H257_14545 DVSPKGTFAMRATMGWEGMTGHLYLSGTHEQSNGECKKYVFTQG RKIKAVYGNYSSLLALCDGWDFEWLSTEIRGLTKSLNDVKIHQLAFGKSHTIALSLDG HLFVWGDAQYGQLGLGGTITTKDHPSRLSQLDHIVFSSVACGGYHTAALSNSGGLYTW GRNFEGQLGHSSVIAPVCVNEKLNGVFHRPKHVAAFLNEKCKQVACGDKFTVVLTVPG EIYSFGEGQSGQLGTGRCTKVFQPKLTLASDAPDDIFVEIACGWAHTLAITRAGRLFS WGFNQYGQLGVGDTKTRFLPQQVTPCMPVMHVSAGGNYSAAITREGRLLTWGNACHGK LAHAANADTSPVPAPTLVESLKDMYVQSVACSWNNILIFAPTWVSGVYPRCGSLSGGG KLHVFGSGFWESDDVTVRFAPLTEGRLPRAALGTFDPETGVVSCVLPKFSVTGEFAVE VAMNGKHFTTNGTPFEVFVAPTITHISHQEIRFDQTPPVHLTLTGDKPKAHDRPLIKW IPLNPQFAPIQVAGAYGSVEVTPSKENQEVDPSDDHIAKFSIVFAPPAFNPTPSELVP CHLQVSFNGQDFDLVQLQGAPSPQIVYFHNATITRCTPNSMPLNDKALTVAIHVNQMF DIGALVCKATYREPHTENAPWHRVATANLSILSVKLDDQIIVCTVPACSEWAVHTIVP EGDQSDSEDNTCQKMADANSSSTPSTFPFDLESFQAQVLVSVNGGATFLPPIGGSNVL HGYSHGTLTDVSPSSGPITGGTVVSMGASHLGFDTDDALVSVEYDGDLQTVPSFVRQA VESAHSIVTFQVPSFVPVDHDDNIATAVQPAMAFNPATIRLALSGTSFGESTVPFEFY RNPHIRSIEPQLAAPGTMLTLTGSYLKSAQWMKCKVEKADGSFSAQVEAEYREDKAGP CYKVELQQLGAIADGELRFYVALNGQQYVTSDFAKFNYVDQPEVPLGKDDKRKQH H257_14546 MLAWNAVADAVSTNHDFARPGFDGKRALNRFTLLMEGHRANNDV SARASGIEEDHDEKTQLLDELLAVYEDSKAQDKERLVAAQQEADCIENMGATIREEAL QSLGKRKAGPTDADGSSAGGGGGGSVVMKMMKIMQDDSAADLKFRQHQYETDRKEREA VRAIEYEERQREREMYRDQMRMQHDTP H257_14547 MVLAQQELVAILRLARTDRQRRTVMAIMASHIVERPIIPNVRFD LASMTDANAILNFRFDVAGVQKLAFLLGLPAAVITASRNRVLRDEALCIVLSRMAFPT RFFDMAQTFGRSRSVLCDVFLHVLNELYDRWNPLLYFNTNLVAKNIYRYCAAINSRGA QTSRVFGFIDGTKLQVCRMGPSGNGDNLQKEIYSGHKRMHCLNYQAVTAPDGLCIHFF GPVEGRRHDTKLLRESGLLEFLSRNSHIFSEKCIYGDPAYGVSLFLVSGFKGNELNNS QKEFNKSMSRTFNIDNCLVF H257_14548 MSSEHTLDDQDGVDEATRPNATTESTVSTFGDLLVMHHNFIDAN EFITSVPAWARAQGFTVSRTGKNFCDKTHHPVHGGRGSIMWCSTLYFTHKKEMYSGRS TCQWRIKFSFDKANLNYSITSIG H257_14549 MQAIGGVYHFNLTSALQLKSIAMQSVKLGLELCQLHASGSTLMT DGGSAYPGVASDLGMVHILCTNHFENVILKGCTGLGALANSFKADCTSLLYTTMSEVE FQTRFDVAEASMV H257_14550 MSWWLGWANAVAQPRDHTGREVYGTDTGWMVECGMTNFIGVQEK ELRRFNLLQLAEHLWSIFQRQEIKACDELVTLLVAKRRWSNYVDDLTTWRANVLKAET LPHVTEVAGIWYVSGSHFDDMADAAHRIQDSMCRNLSENAVASTPHTYRLFMANLSTS VDGLRQSGYSGGLKPFTMPQYTSPSELIDVAEETRQAAANAVRGLAPPKKSSEKSKSC ANKLVLSYRTGHPRKRKRAVSAPSSATQEARPHSESSVSSCGRLQRAKQFDNFVV H257_14551 MVESMTLSCSISNDNGQSGDFIKKRKYSSKKVCFECTETGHSSY DCPRNMLGGHEKPKKSSKRRTKFEHGEAAT H257_14552 MSSFGVLVEDIGTQSFRKRVASELSNTPGGPEAVNVWLRAGWTL GSVQGRCIFAGSDGDQFVGRGAAGHNVNDVEFSCLPPHFKDVGLSKEQWEAAQPTFYP SSFRQITPFLVASLSHHYIWLQHNLGKHHPLFLAPIWTDQLRASTDSSYTTTDLESFR DSVLSEIRYFIGASKCPDPQPVSTAS H257_14553 MAKPLKTRCKQGRPVDHGHALDFGFSSRGRNGERGGEVARVAGA LCHRQVSSATKPSTGQKPSLAAGRA H257_14554 MATQERYYNGETRTCNLCDDSTVSYRPTKKLKHLMHHVAKKQFI DDVKFNENKKIIDPNSHFQFVEGRNFVVYRQKVDPDVITEATEVSDELDFDSNLQALM DLTEPTSTRTIIQILGNLHRKHGIPLPTPPTAPQSQREVTPAIAFPPAPLSIAPAVSS PRTHQPKTKPPRRDLFVEAQLNSSNILTHSRRS H257_14555 MAKYCALYSDFVLCDGTHNVTKYVMKLMPFTIVDALGRNTLVGI ALDYSENSTVVTNGLKTFSLGSSHGTLMTDGGSAYPGAASACGMTHVLCTKHFHADVL QGCSGLAQLSNDFKRDCFTMIYTGYREDDFIKRFAAAEETYAPFPVAASALKKIWNHR HKTLALDELISCVQNKCLWSPYVDRQWKQNYDDMHKYPHVQQQGDVWGVSEHSFVDMA SVEHCVTLGASDEAPSSLERLNLAGHHQIPASTSAATSVLAPAAGYATFTVDMYQAVV IPPKVNVRVMKVRNALARMEQAIVNDDHLDSR H257_14556 MQQPPLDQSPLPAPPTSTPLSLMSPPMTAAKGLTIPSDGLLHAV PVATTASILILKPTRVVTQDQSASYSQATSLAMPLHSPNASKPGRRLVVLRVGILYRA TFYCN H257_14557 MGTRRRFTRCSNPSRTRPTSQTSSGWSCPNVRTDDVYVFSIATI DRVANAWVGLVLRLTSLSFEAVVGLILVLQSMYHPRTPNRLTTAGHAITVTPRLPLAP APTGASEVRAAAAVFMSGVSFGTVQRPTAVQPSAAA H257_14558 MLFGVQRQAGCLLRHRLGLRSIASLRPPTTNALVTGPRPSHGQS SPAGRRLQLVAGLALTMALADERSQAKARGDLPMTRNSIADAVEKAAPGVVNITIYSH HQPTSSGSGFLIDPSGLVVTNAHVVAHVSRHSSITVTLENGQKYEATVHSFDTKADLA LVQLNDPPATKLPTVAIGTSSSVRAGEWVIALGSPLSLQNSVSAGIISATARRGSELG FAPSHRTEFLQTDAAINVGNSGGPLVNLDGQVIGINTMKVAGGISGISFAIPIDGGMQ VINQLRQHRTVTRPYVGMQMVEFGGTILPDIAKLYPSIPQGVVVKSVARGSPAEQAGL LPGDVIIEFAGSKVTSIKDVVATLGFSVGRKMCMKVLRGGRADQSHTVCFVTTDASKL H257_14558 MLFGVQRQAGCLLRHRLGLRSIASLRPPTTNALVTGPRPSHGQS SPAGRRLQLVAGLALTMALADERSQAKARGDLPMTRNSIADAVEKAAPGVVNITIYSH HQPTSSGSGFLIDPSGLVVTNAHVVAHVSRHSSITVTLENGQKYEATVHSFDTKADLA LVQLNDPPATKLPTVAIGTSSSVRAGEWVIALGSPLSLQNSVSAGIISATARRGSELG FAPSHRTEFLQTDAAINVGNSGGPLVNLDGQVIGINTMKVAGGISGISFAIPIDGGMQ VINQLRQHRTVTRPYVGMQMVEFGGTILPDIAK H257_14559 MKVIAVAMVTTMVCAELRQVLVVSRHGVRGPYGPEGLPPTEANM QRYSKDKYPFPVKATDWGTSDDATELVSPKITKHGARVIRNMGEYFAKHLYPSYMAAA AVTTSPASAVCADAFAYADDNERDYLTAQEFLHGFLPHCSELSPVINGTRLLFEQGQD PTATCPVASQAMYQGIVGGPTTDNVARQYAVEISSLNSLLGCCEPVVCGLRNATDQCD LFHVPSAWTGKFYEPWQDSLSEAQFLSEWFLLQSLNNMSLPPAMTLADVVSLGVIHKV HMDLITNEFNSENFGSTLLVHLVASMQQTIQQASPVSVKSGNKSGNHHDDDDDQGPHL LQSLSNKFLFYAGHDINLLFLKNLLRLEWETENWLSNQPNPGSMLVFELHTEQPHSTS EVDFYVQAYFVAASPSQIRHAETLSPHNPPDRVEVTIPHCSQDVVLPNGTAAVRCTFT DFKQAAGLAIRQQCVSPTLAKYAATLLTPPRPSASWTFKIVVVTVLSVALLGVVWKYI ARFNQAKSPEYTKYTALT H257_14559 MKVIAVAMVTTMVCAELRQVLVVSRHGVRGPYGPEGLPPTEANM QRYSKDKYPFPVKATDWGTSDDATELVSPKITKHGARVIRNMGEYFAKHLYPSYMAAA AVTTSPASAVCADAFAYADDNERDYLTAQEFLHGFLPHCSELSPVINGTRLLFEQGQD PTATCPVASQAMYQGIVGGPTTDNVARQYAVEISSLNSLLGCCEPVVCGLRNATDQCD LFHVPSAWTGKFYEPWQDSLSEAQFLSEWFLLQSLNNMSLPPAMTLADVVSLGVIHKV HMDLITNEFNSENFGSTLLVHLVASMQQTIQQASPVSVKSGNKSGNHHDDDDDQGPHL LQSLSNKFLFYAGHDINLLFLKNLLRLEWETENWLSNQPNPGSMLVFELHTEQPHSTS EVDFYVQAYFVAASPSQIRHAETLSPHNPPDRVEVTIPHCSQDVVLPNGTAAVRCTFT DFKQAAGLAIRQQCVSPTLAKYAATLLTPPRPSASWTFKIVVVTVLSVALLGVVWKYI ARFNQAKSPEYTKYTALT H257_14559 MKVIAVAMVTTMVCAELRQVLVVSRHGVRGPYGPEGLPPTEANM QRYSKDKYPFPVKATDWGTSDDATELVSPKITKHGARVIRNMGEYFAKHLYPSYMAAA AVTTSPASAVCADAFAYADDNERDYLTAQEFLHGFLPHCSELSPVINGTRLLFEQGQD PTATCPVASQAMYQGIVGGPTTDNVARQYAVEISSLNSLLGCCEPVVCGLRNATDQCD LFHVPSAWTGKFYEPWQDSLSEAQFLSEWFLLQSLNNMSLPPAMTLADVVSLGVIHKV HMDLITNEFNSENFGSTLLVHLVASMQQTIQQASPVSVKSGNKSGNHHDDDDDQGPHL LQSLSNKFLFYAGHDINLLFLKNLLRLEWETENWLSNQPNPGSMLVFELHTEQPHRSV HS H257_14560 MVLLSKLTLPGNDKHGKPNKTPITQLSASQCIQWLNPRRQAHGL AMYNATASHKSPTVDQLRSEIVDAVQNGTYSHTTIRPYDDEADHRSKGVRWSQSMPIT RHHLAAANSIPFTDLWTASFHGRLTEVQYYVTHGVAADTVEFGYPHQTPLHYATSGGN LAMVEYLLANGGNLLAVDSNGNTPVHLASRWGHRHLLEHFTDLCSDETILQRQA H257_14560 MVLLSKLTLPGNDKHGKPNKTPITQLSASQCIQWLNPRRQAHGL AMYNATASHKSPTVDQLRSEIVDAVQNGTYSHTTIRPYDDEADHRSKGVRWSQSMPIT RHHLAAANSIPFTDLWTASFHGRLTEVQYYVTHGVAADTVEFGYPHQTPLHYATSGGN LAMVEYLLANGGNLLAVDSNGNSMH H257_14560 MVLLSKLTLPGNDKHGKPNKTPITQLSASQCIQWLNPRRQAHGL AMYNATASHKSPTVDQLRSEIVDAVQNGTYSHTTIRPYDDEADHRSKGVRWSQSMPIT RHHLAAANSIPFTDLWTASFHGRLTEVQYYVTHGVAADTVEFGYPHQTPLHYATSGGN LAM H257_14561 MGQALTACCPRTPSRSTLDDLDEDKRPMLYRPPQTAAPVLPVEA SRMKSPNLHTPFDSTRKSLSPLVPSPPSFFKRLDSYIHVNALRAWDVFAAMDMNNNKR ITLEELLDGLHQINFHVSPTDQSDLVEWMHDAVDADGLTFKEFALALKLRSSMASPQR AKPPPSKQRLSKCT H257_14562 MAKAVISSILQSQLGRYVDGLAPESLQVGLWSGELLLTNLKLKP NALAELNLPIQVIQGSIAKVHVLVPWNQLGSASVQITLEGIYGVAVPNNELPTPEEVL VGIRNRLERAELLRQHQRHSTNCEHAGAPKEDETFFTRLTSRIMDNLTITIRDFHIRY EDVTSNPSTPFTFGVLLESFCIETTDEHGTKVFVDRSEAPPDKMHKVATLKNLTVYWD RLSRSTSLQTSNTFAASMRSVIYSDFKQLDRRWLLLPPCSLSVRFTKNESQVFTKESP KYRIQSTVRSFALHLSREQYDEMVFMYLAMRSRLAIEAHFWYNRHRPFLSITNYSIVW WDYLVRFHSKNKKLRFRWSVVRKLARDRKMYMALYKQHHLQHIPLTVEQLAYLQRLED MFPIELTLRLRDVADAQFAKTKSDAVSSSSWFGYFFGEGGESSKDVQQDVLSAEGKAD LKRAFEETAALELAPVPDDCCIVVLDLTLQMGQVGLFAYQNVPLLTSEFTGSCLFQVQ PASRWLAQMQLRRLNVFNWRCADASAAGRLFCTLQVDDGGSDKKAPFEVSVAATDATG MRVRVSAEPIRVVLDAVFLLQLADFFSTHDTLNDVWAYATSSVQSYVFAEHEADMRAA AVVATNKNIVYDVLVDMKAPLVVLPEDPTAAHTSMVVLDLGRFHVRDVPTAAASATVY AWQIDMTNIEVLLQQQHSSKPGVPIVPGFSVGFAVETSRNLQDTRIPYVMAKASMPSV VVNVGQETIVALGTLHARLLNQCQRYIKATSKPDMASFAPEKGDVETATDLSVVRLAP QEQASVKFHLTWVVECVHVNIDESFRIIMHGTWFDYQASSAATTTIRAKLQDLCMEDK CYAPSSPYFYLARTQDSTDLIQLTIATSDAPTRHADVTVDVHFNVLHLQWNPPSIMML YTMISAYGSSMDDGACAGMMVDMSSSSLLSQSTLLLVPTSPPTTTSTIPALKITASLK QFSISFNKDVLDRRLLTLTVTDASVVYVGENSGQYNVKGELGDVSGVDSSVVKAPKYS PFFGMDRTAHGCFKQEGGRSPKLVVFEYAVQGGAMLPRLALVLDSIRIVYFHQQVLEL VDYLFQGILGTLVNQTLLSATQLIMEPATTLILDIRIHRPKILIPMDPLDVEHFLLQS SRLQVHHTPSTLASYCCGSSLLERVTTATTTSHTLPCDFKQVVLEQAGLYSTDGRGGY DNLMATPLTLDISILDIYSTRLKDDDGSTALPRFSIDCVMPHMHVHMSRTHYLMFFRM LSDNIGGASLYQSVAVDDDDDMIMAKSEMAHRPVVVYEYANADLEGATMAVSFRMESF SCCALDIGLTLETTNLTVCLRLLNHINPSLDVSLANAFISDDLLRCESVVTIRYEWSN ASDDAGLLLGTSSTLDVALNHLHGKLIPHVLSDISRFFAMDADATAVHDDVTTRPCSE PAAQSLAKTPTSFTMNVLATNVQLSLPQSLTDKQPTVELVVAANFNVALESFANHADN LDQTLTLQARDLEALLQNANRQGCSDTLVQLIEPTTVGVTYLGFQHACHHQDKVDVTV SPVEVFLSYEDMRVLADVWTTMQHDMAPAFPSSGPTSATTLSSSKTLPLVADSEVQRH VTVDVQRMQLTLINDCDGCDMGLVQLELPTCRVFLNATTTHDATTATGGGDISFASSY YNPDSRVWHPLCPEWKVHASAMTNIPHAPTTTDQFKSMQWNISADTLHVTATHGLLEA LASAGGTLIKSKQLDQTKHDAPCLIQNESGLPIEYWWSSDPSAKTRVQHKAADNIHYV HVKGKGSGVTRTYTSNDKEHGTLCINFVGMDTKPVQGIVVDQLGTRPHALVETCGSLS KLSVTCDTQLVGGHIVLTISSHLRVQSHLSNEIQLLVYDPTWNSPVEVGTLRPLEATY IPIAYSTGSELRVRLNGSDWAYSSPIPIDATSTSTIRVTCTNESKVAVFCVALANGLI QLYEPYVVENKLPVSVMFQVKDAQSLPRGDDVAVGCKSAIWWCRSTPMFAFHVPGCDT TNWLPLLRKRNNDTFSLGLKRLDKQPLTILVVISENKAKATTITLLAEMWIINKTGLD LVYGNDQDDAYTPPNAARSVVDGSTDITLYSTPTTLRIKMMTPASSWTARFKPDPKRM NWQDECLSVTTRQGKLHEFGVSADYATRHFGVLTTLVSITPRYVVVNRTPWTLVVLED DGLMHTIDTVHHVINAGDAYSLWWTQGKRTAIRASVVGLNGTSSWSDSFSVDKPNTFD LIIPNDEYCPFLQVSVKTGGLSQATFVVDIVRLDSEPDVEQSKWDIISYDINVAGLDL TLSDSTKATSPAFELFGGTGVMSEDVARFRISDIRFDSFKDRLSTNVSVKTGGLSQAT FVVDIVRLDSEPDVEQSKWDIISYDINYLL H257_14562 MAKAVISSILQSQLGRYVDGLAPESLQVGLWSGELLLTNLKLKP NALAELNLPIQVIQGSIAKVHVLVPWNQLGSASVQITLEGIYGVAVPNNELPTPEEVL VGIRNRLERAELLRQHQRHSTNCEHAGAPKEDETFFTRLTSRIMDNLTITIRDFHIRY EDVTSNPSTPFTFGVLLESFCIETTDEHGTKVFVDRSEAPPDKMHKVATLKNLTVYWD RLSRSTSLQTSNTFAASMRSVIYSDFKQLDRRWLLLPPCSLSVRFTKNESQVFTKESP KYRIQSTVRSFALHLSREQYDEMVFMYLAMRSRLAIEAHFWYNRHRPFLSITNYSIVW WDYLVRFHSKNKKLRFRWSVVRKLARDRKMYMALYKQHHLQHIPLTVEQLAYLQRLED MFPIELTLRLRDVADAQFAKTKSDAVSSSSWFGYFFGEGGESSKDVQQDVLSAEGKAD LKRAFEETAALELAPVPDDCCIVVLDLTLQMGQVGLFAYQNVPLLTSEFTGSCLFQVQ PASRWLAQMQLRRLNVFNWRCADASAAGRLFCTLQVDDGGSDKKAPFEVSVAATDATG MRVRVSAEPIRVVLDAVFLLQLADFFSTHDTLNDVWAYATSSVQSYVFAEHEADMRAA AVVATNKNIVYDVLVDMKAPLVVLPEDPTAAHTSMVVLDLGRFHVRDVPTAAASATVY AWQIDMTNIEVLLQQQHSSKPGVPIVPGFSVGFAVETSRNLQDTRIPYVMAKASMPSV VVNVGQETIVALGTLHARLLNQCQRYIKATSKPDMASFAPEKGDVETATDLSVVRLAP QEQASVKFHLTWVVECVHVNIDESFRIIMHGTWFDYQASSAATTTIRAKLQDLCMEDK CYAPSSPYFYLARTQDSTDLIQLTIATSDAPTRHADVTVDVHFNVLHLQWNPPSIMML YTMISAYGSSMDDGACAGMMVDMSSSSLLSQSTLLLVPTSPPTTTSTIPALKITASLK QFSISFNKDVLDRRLLTLTVTDASVVYVGENSGQYNVKGELGDVSGVDSSVVKAPKYS PFFGMDRTAHGCFKQEGGRSPKLVVFEYAVQGGAMLPRLALVLDSIRIVYFHQQVLEL VDYLFQGILGTLVNQTLLSATQLIMEPATTLILDIRIHRPKILIPMDPLDVEHFLLQS SRLQVHHTPSTLASYCCGSSLLERVTTATTTSHTLPCDFKQVVLEQAGLYSTDGRGGY DNLMATPLTLDISILDIYSTRLKDDDGSTALPRFSIDCVMPHMHVHMSRTHYLMFFRM LSDNIGGASLYQSVAVDDDDDMIMAKSEMAHRPVVVYEYANADLEGATMAVSFRMESF SCCALDIGLTLETTNLTVCLRLLNHINPSLDVSLANAFISDDLLRCESVVTIRYEWSN ASDDAGLLLGTSSTLDVALNHLHGKLIPHVLSDISRFFAMDADATAVHDDVTTRPCSE PAAQSLAKTPTSFTMNVLATNVQLSLPQSLTDKQPTVELVVAANFNVALESFANHADN LDQTLTLQARDLEALLQNANRQGCSDTLVQLIEPTTVGVTYLGFQHACHHQDKVDVTV SPVEVFLSYEDMRVLADVWTTMQHDMAPAFPSSGPTSATTLSSSKTLPLVADSEVQRH VTVDVQRMQLTLINDCDGCDMGLVQLELPTCRVFLNATTTHDATTATGGGDISFASSY YNPDSRVWHPLCPEWKVHASAMTNIPHAPTTTDQFKSMQWNISADTLHVTATHGLLEA LASAGGTLIKSKQLDQTKHDAPCLIQNESGLPIEYWWSSDPSAKTRVQHKAADNIHYV HVKGKGSGVTRTYTSNDKEHGTLCINFVGMDTKPVQGIVVDQLGTRPHALVETCGSLS KLSVTCDTQLVGGHIVLTISSHLRVQSHLSNEIQLLVYDPTWNSPVEVGTLRPLEATY IPIAYSTGSELRVRLNGSDWAYSSPIPIDATSTSTIRVTCTNESKVAVFCVALANGLI QLYEPYVVENKLPVSVMFQVKDAQSLPRGDDVAVGCKSAIWWCRSTPMFAFHVPGCDT TNWLPLLRKRNNDTFSLGLKRLDKQPLTILVVISENKAKATTITLLAEMWIINKTGLD LVYGNDQDDAYTPPNAARSVVDGSTDITLYSTPTTLRIKMMTPASSWTARFKPDPKRM NWQDECLSVTTRQGKLHEFGVSADYATRHFGVLTTLVSITPRYVVVNRTPWTLVVLED DGLMHTIDTVHHVINAGDAYSLWWTQGKRTAIRASVVGLNGTSSWSDSFSVDKPNTFD LIIPNDEYCPFLQVSVKTGGLSQATFVVDIVRLDSEPDVEQSKWDIISYDINVAGLDL TLSDSTKATSPAFELFGGTGVMSEDVARFRISDIRFDSFKDRLSTNVSVKTGGLSQAT FVVDIVRLDSEPDVEQSKWDIISYDINYLL H257_14562 MAKAVISSILQSQLGRYVDGLAPESLQVGLWSGELLLTNLKLKP NALAELNLPIQVIQGSIAKVHVLVPWNQLGSASVQITLEGIYGVAVPNNELPTPEEVL VGIRNRLERAELLRQHQRHSTNCEHAGAPKEDETFFTRLTSRIMDNLTITIRDFHIRY EDVTSNPSTPFTFGVLLESFCIETTDEHGTKVFVDRSEAPPDKMHKVATLKNLTVYWD RLSRSTSLQTSNTFAASMRSVIYSDFKQLDRRWLLLPPCSLSVRFTKNESQVFTKESP KYRIQSTVRSFALHLSREQYDEMVFMYLAMRSRLAIEAHFWYNRHRPFLSITNYSIVW WDYLVRFHSKNKKLRFRWSVVRKLARDRKMYMALYKQHHLQHIPLTVEQLAYLQRLED MFPIELTLRLRDVADAQFAKTKSDAVSSSSWFGYFFGEGGESSKDVQQDVLSAEGKAD LKRAFEETAALELAPVPDDCCIVVLDLTLQMGQVGLFAYQNVPLLTSEFTGSCLFQVQ PASRWLAQMQLRRLNVFNWRCADASAAGRLFCTLQVDDGGSDKKAPFEVSVAATDATG MRVRVSAEPIRVVLDAVFLLQLADFFSTHDTLNDVWAYATSSVQSYVFAEHEADMRAA AVVATNKNIVYDVLVDMKAPLVVLPEDPTAAHTSMVVLDLGRFHVRDVPTAAASATVY AWQIDMTNIEVLLQQQHSSKPGVPIVPGFSVGFAVETSRNLQDTRIPYVMAKASMPSV VVNVGQETIVALGTLHARLLNQCQRYIKATSKPDMASFAPEKGDVETATDLSVVRLAP QEQASVKFHLTWVVECVHVNIDESFRIIMHGTWFDYQASSAATTTIRAKLQDLCMEDK CYAPSSPYFYLARTQDSTDLIQLTIATSDAPTRHADVTVDVHFNVLHLQWNPPSIMML YTMISAYGSSMDDGACAGMMVDMSSSSLLSQSTLLLVPTSPPTTTSTIPALKITASLK QFSISFNKDVLDRRLLTLTVTDASVVYVGENSGQYNVKGELGDVSGVDSSVVKAPKYS PFFGMDRTAHGCFKQEGGRSPKLVVFEYAVQGGAMLPRLALVLDSIRIVYFHQQVLEL VDYLFQGILGTLVNQTLLSATQLIMEPATTLILDIRIHRPKILIPMDPLDVEHFLLQS SRLQVHHTPSTLASYCCGSSLLERVTTATTTSHTLPCDFKQVVLEQAGLYSTDGRGGY DNLMATPLTLDISILDIYSTRLKDDDGSTALPRFSIDCVMPHMHVHMSRTHYLMFFRM LSDNIGGASLYQSVAVDDDDDMIMAKSEMAHRPVVVYEYANADLEGATMAVSFRMESF SCCALDIGLTLETTNLTVCLRLLNHINPSLDVSLANAFISDDLLRCESVVTIRYEWSN ASDDAGLLLGTSSTLDVALNHLHGKLIPHVLSDISRFFAMDADATAVHDDVTTRPCSE PAAQSLAKTPTSFTMNVLATNVQLSLPQSLTDKQPTVELVVAANFNVALESFANHADN LDQTLTLQARDLEALLQNANRQGCSDTLVQLIEPTTVGVTYLGFQHACHHQDKVDVTV SPVEVFLSYEDMRVLADVWTTMQHDMAPAFPSSGPTSATTLSSSKTLPLVADSEVQRH VTVDVQRMQLTLINDCDGCDMGLVQLELPTCRVFLNATTTHDATTATGGGDISFASSY YNPDSRVWHPLCPEWKVHASAMTNIPHAPTTTDQFKSMQWNISADTLHVTATHGLLEA LASAGGTLIKSKQLDQTKHDAPCLIQNESGLPIEYWWSSDPSAKTRVQHKAADNIHYV HVKGKGSGVTRTYTSNDKEHGTLCINFVGMDTKPVQGIVVDQLGTRPHALVETCGSLS KLRFEDFYFAVSL H257_14563 MSSLGIAARSGDLAEVKKLIASGHNPNAVDEDERTALHWAASSG YIDVVEYLAEITQLDRQDDSGWTALMSATSAGHVDVVSHLLSKGANANLANENGQIAL HYHKGRQEIAELVVDSTSNINHADRSGATPLTKALGGRPSPEIVHLLLDHGASLSTQD VHGNSPLHIALLEGHEGIAAMLVEHGANVHATNKAKQSCLDIAPRAFRLRVLAAPC H257_14564 MQWESNDGGYMEGSGGYMESQNTPQKQGGGNSRENQTLTPLTVR QLVTAVASGDDNPLVDGKELNNVHLVGLLTEVVHNSTTITFVINDGTATFASRYFLQG EDDPYQQQLLETLQDGIYVSAVGNLRSFGGKTSLSCHTVTPVENFNQITHHFLDCIYT HLRNTKGPLGGGGGAAAGTQGFTDTSSHFGGGFNAFGGQAASTGGQQNYNFGASDSGF SDPAQQAILDILGASSLDMGLSVDQIKQNLHGRVTDAQLRDALNYLTNEGHIYSTIDE NHFKRTA H257_14565 MADDDVQALVVDNGSGMCKAGFAGDDAPRAVFPSIVGRPKHPGI MVGMDQKDAYVGDEAQSKRGVLTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEH PVLLTEAPLNPKANRERMTQIMFETFNVPAMYVNIQAVLSLYASGRTTGCVLDSGDGV SHTVPIYEGYALPHAIVRLDLAGRDLTDYMMKILTERGYSFTTTAEREIVRDIKEKLT YIALDFDQEMKTAAESSGLEKSYELPDGNVIVIGNERFRTPEVLFQPSLIGKEAAGIH DCTFSTIMKCDVDIRKDLYCNIVLSGGTTMYPGISERMTKELTALAPSTMKIKVVAPP ERKYSVWIGGSILSSLSTFQQMWISKAEYDESGPSIVHRKCF H257_14566 MLDRIIRNRIANASNLRRRYVYRRSGEGRRVRLVMDYAENLRRS PVFRGSFDPSTSDHGTTMPTSNPRGSPVERHLRPARHDVHEFKLDHIPAQAAATFDRF EPDETTTPDTDSIPVLCEKQVTIIVLLARVCTVYDATPKTFVANVLRLHRLGIIDSIA FLADLGLLPTSPLAASSSPRHDFLEPWDADPRGLHLIPQTLNMSRYARDFDELCLLGR GGFGHVFKARHKLDGLCYAIKQVHFLNKGYQSPLVQSVLREVHCLARCDHPNVNRYYG AWLEPTWIPMGPSASAAAAPDAHVHMSSHGVSLSSIEAKRENRHLIEDIRRFVDLQSN PSDDSLSWRSTTSQTRSFAEYSETSSLEFDHSNVASQQSNFSDLPMYDPNDHRQSCDN VSTSSSQRSARRMSLDHRHMQLKLVPQPPPPMGVQPKANGFDWAFHLKRHWNISHPSL KPKHAHGVGRTTKRQLPRLGMDGGGDGGGDGGGDGGLHTSSGGPAFTYQVTLYIQMFL CEQSTLQDWIDERNRLQRAVNVHENVTLFRQLVDGLLHVHANGIVHRDLKPSNVFLAR DGSLKIGDFGLSKLLLLADDGIMRRPHDEPHPTDKRPTFAEEGADDDDPRHTRGIGTM AYASPEQVAGRDYDVKVDSFSLGIILLELFAPFQTRMERADALTRVRRHPCELPAHVT AVYPEVAVMIKGLVAPIAADRWSMHTTSAYLTATFPLNTDERHEEVHSLRQQLEANQA LVAHQVSTYKPPFYSPMEGPIYVPCVYHVGRHHPRPKAATRGRIALNKAHSN H257_14566 MLDRIIRNRIANASNLRRRYVYRRSGEGRRVRLVMDYAENLRRS PVFRGSFDPSTSDHGTTMPTSNPRGSPVERHLRPARHDVHEFKLDHIPAQAAATFDRF EPDETTTPDTDSIPVLCEKQVTIIVLLARVCTVYDATPKTFVANVLRLHRLGIIDSIA FLADLGLLPTSPLAASSSPRHDFLEPWDADPRGLHLIPQTLNMSRYARDFDELCLLGR GGFGHVFKARHKLDGLCYAIKQVHFLNKGYQSPLVQSVLREVHCLARCDHPNVNRYYG AWLEPTWIPMGPSASAAAAPDAHVHMSSHGVSLSSIEAKRENRHLIEDIRRFVDLQSN PSDDSLSWRSTTSQTRSFAEYSETSSLEFDHSNVASQQSNFSDLPMYDPNDHRQSCDN VSTSSSQRSARRMSLDHRHMQLKLVPQPPPPMGVQPKANGFDWAFHLKRHWNISHPSL KPKHAHGVGRTTKRQLPRLGMDGGGDGGGDGGGDGGLHTSSGGPAFTYQVTLYIQMFL CEQSTLQDWIDERNRLQRAVNVHENVTLFRQLVDGLLHVHANGIVHRDLKPSNVFLAR DGSLKIGDFGLSKLLLLADDGIMRRPHDEPHPTDKRPTFAEEGADDDDPRHTRGIGTM AYASPEQVAGRDYDVKVDSFSLGIILLELFAPFQTRMERADALTRVRRHPCELPAHVT AVYPEVAVMIKGLVAPIAADRWSMHTTSAYLTATFPLNTDERHEEVHSLRQQLEANQA LVAHQDAIIQDLRRQLAAASL H257_14566 MLDRIIRNRIANASNLRRRYVYRRSGEGRRVRLVMDYAENLRRS PVFRGSFDPSTSDHGTTMPTSNPRGSPVERHLRPARHDVHEFKLDHIPAQAAATFDRF EPDETTTPDTDSIPVLCEKQVTIIVLLARVCTVYDATPKTFVANVLRLHRLGIIDSIA FLADLGLLPTSPLAASSSPRHDFLEPWDADPRGLHLIPQTLNMSRYARDFDELCLLGR GGFGHVFKARHKLDGLCYAIKQVHFLNKGYQSPLVQSVLREVHCLARCDHPNVNRYYG AWLEPTWIPMGPSASAAAAPDAHVHMSSHGVSLSSIEAKRENRHLIEDIRRFVDLQSN PSDDSLSWRSTTSQTRSFAEYSETSSLEFDHSNVASQQSNFSDLPMYDPNDHRQSCDN VSTSSSQRSARRMSLDHRHMQLKLVPQPPPPMGVQPKANGFDWAFHLKRHWNISHPSL KPKHAHGVGRTTKRQLPRLGMDGGGDGGGDGGGDGGLHTSSGGPAFTYQVTLYIQMFL CEQSTLQDWIDERNRLQRAVNVHENVTLFRQLVDGLLHVHANGIVHRDLKPSNVFLAR DGSLKIGDFGLSKLLLLADDGIMRRPHDEPHPTDKRPTFAEEGADDDDPRHTRGIGTM AYASPEQVAGRDYDVKVDSFSLGIILLELFAPFQTRMERADALTRT H257_14567 MALFLVLTLAIFPSTVHGERYPSVTAACSSASTRAASECSVPST PLTVWTPPSPSGLLYWATLVGDWTWSLLNHTRGLSDDWYRNALRSLVVAKCVHDLPSS SRCVSVDSDVAANASCVRLLDPGNCYDQALCERVESCRWMPPSASSTASRGMYFTDAE GDAATLWATETYPTSVAPYALLGLVLAAVVLISILVFVVMRFGCDRCYGTTPLRKGYT TVDRWLPISAVVVSTGMLVGLGLLVFLLSPAYLRGINQTLDTIQATATSVLQIQIDLS RPLADLTASVRLALIDSASTSLLAHPDRMLPNVSQAAHTFINQYAPSTVGGFPSYACQ PHNSSAAALPPYASASNMPCIPCPDAVCADAPRQLDAILMPLIAASDEVQSLAQWTFN QVDVADADGADEALAALSALGSAAAMFAASTYPRLQYILGHIQLGGFGAVLAMFVVAM TSSVLALMGIVHGLRSPTSGCLYVLHVSWILAVVFAVVGFAASGVLLAASVVGNDMCM YLTVVLQNPDPFLPRPAAALVRSCSTPLTTTAADDVARALVGLHLDHITRLGCVLHTG LLASNASVHNSLAQTNAAAQRYADQLTTNSQATAFANDGLMRFLVAQAGTAAGVTWNS TTFMEPWKVDGLGNATQPCSSTSSHPALCYMYARCKGDTKCFDKYETAYAYRVAHDEV QAVAVEMQQAYNATTPEEHTLMHQVRVLEAALRVDSTTQLNQLRQGPLGDVARRGRGL QCVSSVSCGFVRTNTAKLQHYFCKDTLRFTVLAAVALFIASGFYLSLALATLMLQKRL QGRVKMMWWKRRSVVARQNKLNKQRRRLGVSTSISSSDPPAAGPPPRPVRPSGLVMMA PPPMDAHVP H257_14568 MKLSKVALIGLLFVAARAQDDAAPAADDSPLVSQYEASTSTEAD ITTAIQSGSSDDQIITSLKEQGLSVSQAEVALAAAKDNYQSRAYDVATSTQDNEATST QADSEGEVAAAAAVDAGATEHQADDIADAIDNEGASAASASIDAGLTNSQAAEVITEV VTVSEDIPSQGEVAADAAADVGATDAQVDEIKDAVNNGASAASAALDVGLSDAQTAEV IDQVTSASENIADPADVAAAAAIESGASSSQALEIATAVDNGDSAAVAATEAGLEPAA VADIVSEVIDSAEKVSDPADVAAAAALDNGATASQAADVAESVDAGSSAAAAAADAGL DTAAVADIVDQVSSSSDNVAAPADVAAAAAIDAGASAEQVADIVTAVDAGESPSDAAA DAGLSSAASAAVEAQVEDSADNHAPAADVAAAAAADAGASPEQVADVAASVDAGASPS DAAADAGLSLSAISKVEEVFDGSPAISHDIKLAGEHNMPDVYTTYTTPPSYNETPAPK STFGRIIDAITSYLTTAPSSPSLRARPQCATSA H257_14569 MADIEVDTSVQVAAAPAAPVKVAVAFVPKPDKAAHEAELAALEV LKKKAQDRTNAIRAELDAIQSGRSGFSEKIAEAKVLYLELKEKKEHAYTSKTQLKVNL DKAIAAKTAHRESQKSVRDQVSYKSVEDVDKRIAELQHDQNTKSMSLTAEKNLLKEIE NLKAAKKVLAKASADKDNGAKFDVSIDDIRAAMKAKSEEITAVTEAFNAQKAILDALR DESNAGGRGDYPKLIEERKALKLEIDDIFTQIKARRQKFKEDNDAYFQGIRAKREAQK AAREAEEAAIKAEFDAKLAAYETELAKIHPFQDEQDLCHSLVVYLEKTYSKDLHAAAT ATSSTSAESKVVSLELDGLQPLKRADEEFFVGKKGKGKKFANAASTTNSKKDTKVVLP LAQLQSFSTVGLTPPAVVSAVAASIAAIKAKKEWFAAQTDRQHAKPAAAAAPTKEQAA ASPAKKNAKFNAADQGAFPSLSGNAATVAPEATWDRLAPSAPAVSYEYNYEDAPVDGE E H257_14570 MESPVPKDTDGIDDDASSDDEDESTLGVSMLTLNDPSDNGGDSE DDPMQRFFDMLYKEYPMQVAKQISRDERRTNGYLSSTLVYGEIDFRHFRTVFTSILKH HDVLTKPGGVFLDIGCGSGRPVFAAALLHDFDECVGVEILDGLAQVAADVATTWHREK KDAKLSALKKRTRIVIHHGDATAMDWPSADFIFCNSTCFDERLMLAVSKHAIATVKKG GVVVTATKPLVLDTQDAVAAVALVSKCKMQESWGPATLYIYKRG H257_14571 MNGGGGGRSMEQMQAEQMRKKENDEMKKQIISSIMTPEAKERLS RIAIVKPDKAAALEDTIIQMAQRGQLPGQIDEAKFIELLSRTGAAEDQQRTKVTIQRR TYFSDEEDADDDDDF H257_14572 MIFPVLASIVPMDISAANVRAQWGGGGSGSSLQGSNLTKSLSDA TSSFSSWGHSLMKNIPTALTPSSSDAETASLTADDDTDLEHGASPSASSVGMLSLWSN IKSKSQTALSPAQLDNVKSYPQRFRSFVLLLLLAGLFFGMASLFLPLLLLRPSKFALS FSFGSISCLSAVAALRGWKSYCTSLLQAEHILLTTLYLISLGATLYSCLVMGSYLYVL VSAGVQLVTLGYFLVSAFPGGVAAFNTLGKVLMKTAKGISKACAKLMQST H257_14573 MAAAGVESQMENLAVSSKPSTQIIEFKGEFVDQHFSVQIWLLAH ACFVWVGLDETPVCMGSMSTAVKTRYDPLPLASSLLGGGADDTEQQMAQRLVLRTGKQ VFVSCNLPEDDMELGAYVERAILQRLRDVQFVP H257_14574 MATSNPVERLPDPNLKVTFSPHPTTSRGSPTVLGVHPKEPKIVY CTGKLVVVRDLVNPVECFLYKGHNDATTVAKFSPNGYWVASADIAGRVRVWSYDNPEH TLKVEVPVFAGEVKDLQWDPDSKRIVAVGDGRTVSSRVFMWDTGNSIGEIVGHTKRIM SVDYKPTRPFRILTASEDSTVRAFEGPPFKFKHSIPAHSNFANCVRYSPDGVHAVSVG SDKGMVLYDAKTSEKLASFPVEHTVSIYSVAWSPDSKQLLTASGDKTVKLWDVASLSV VTTFTFGSGAIEDMQVSVVWVGDFLVSLSLSGDLNYLDLSHPDKPLRVVQGHQVSLQA LAVDTDTGALVTGSYDGAVVSWHASIGRRFTGAAHTAKVTSIDVHRGIVASGGWDDVV RFGSLVDASYSGSVGLNGAQPSDVALATHAPSGTVLCVVATNKGVKLLVNGALAFETS ATAAWTPTSVAITSSGEQVAVGGDEDRRVHLFDVVGNGDEWSLVERDGAAFAHNGSVT SLEYSPDGTLLAAGDAAREVRVWDVAQGIPRVGGQWVHHTTRVTSVAWNPSGTHVASG ASDEHIFVWNVQQPSNRHKFENAHRDGVMAVKFVADDQLVSVGNDAAVHTWQVPSV H257_14575 MSLRAQHARGAKRKDVNYAVLHTGQAVPDMDGDDDEKLGKKQAK KKASGCYLCAAASQTASKLYTCSTCPNRFHMSCAIKLTPTAPSHRCCACIASDAKEAL PRPQLTSLDNAVQALKEAKRIVVVVGAGISVSCGIPDFRSKDGIYAMVKDMALDLPDP ESLFDMDFFRSNPIPFYRFAAAFFNGKSFTPSLTHRFLRRLQDQHQLLRVYSQNVDGL EAAAGVTNVIQCHGTLTTSSCMSCGYALDTTTALQRCKGSKDHIPKCPQCNDGILKPD ITFFGEALHDATRHALPVDRTQADLVLVMGTSLQVSPVADIPVYLNAVPQVLINMESV TPKGKLGFRGFDIECLGPCDVIVEYFMAALDGNASVLSSPMHVHPPNRYCFDGCHLDD VATEEGAPPSIDSFHCDECGSDIAGARFSCGTCFDFDLCLACSNSTLHPHALFKTTCD VSI H257_14575 MSLRAQHARGAKRKDVNYAVLHTGQAVPDMDGDDDEKLGKKQAK KKASGCYLCAAASQTASKLYTCSTCPNRFHMSCAIKLTPTAPSHRCCACIASDAKEAL PRPQLTSLDNAVQALKEAKRIVVVVGAGISVSCGIPDFRSKDGIYAMVKDMALDLPDP ESLFDMDFFRSNPIPFYRFAAAFFNGKSFTPSLTHRFLRRLQDQHQLLRVYSQNVDGL EAAAGVTNVIQCHGTLTTSSCMSCGYALDTTTALQRCKGSKDHIPKCPQCNDGILKPD ITFFGEALHDATRHALPVDRTQADLVLVMGTSLQVSPVADIPVYLNAVPQVLINMESV TPKGKLGFRGFDIECLGPCDVIVEYFMAALDGNASVLSSPMHVHPPNRYCFDGCHLDD VATEEGAPPSIDSFHW H257_14575 MSLRAQHARGAKRKDVNYAVLHTGQAVPDMDGDDDEKLGKKQAK KKASGCYLCAAASQTASKLYTCSTCPNRFHMSCAIKLTPTAPSHRCCACIASDAKEAL PRPQLTSLDNAVQALKEAKRIVVVVGAGISVSCGIPDFRSKDGIYAMVKDMALDLPDP ESLFDMDFFRSNPIPFYRFAAAFFNGKSFTPSLTHRFLRRLQDQHQLLRVYSQNVDGL EAAAGVTNVIQCHGTLTTSSCMSCGYALDTTTALQRCKGSKDHIPKCPQCNDGILKPD ITFFGEALHDATRHALPVDRTQADLVLVMGTSLQVSPVADIPVYLNAVPQVLINMESV TPKGKLGFRGFDIECLGPCDVIVEYFMAALDGNASVLSSPMHVHPPNRYCFDGCHLDD VATEEGAPPSIDSFHW H257_14576 MQVQGKIHSIKYPIFGIDWKRDAQGKPFVAIAGGGGAVKSGIKN CVTLARVPPPKLTSRAPPSLAPYLIIDTVDDLVSGVAIGSKGGLIALTMGSKTAIYRV TSGATPTASFVVAFQTDFAATDSYQNAVKFSPDGGTIATGGEDGVVRLWTLTIPPSKS EVDVDNKYRKSFKVSAPVLLNGHNGCINGLSWHASGTKVVSCAKDGQCIVWTRVESTW KKAATLGLAGELDVQKPDKPTNKGNFIYRGCAFVPRRFPLHASKKDDDDDDEIVTVQT PARGSSYLTKWSCVVDDQGKGTIEELTSIAVKDTIVCSLAVSAKYVATGSSDGQVLIH RVDTLAHLKTFAAHFLPSTGLAFFPFAADATRDPLDFIDDLSLMSASADYSVFVISGL PPSWYMYLLVFVGLFLYLSYFANLGDY H257_14576 MQVQGKIHSIKYPIFGIDWKRDAQGKPFVAIAGGGGAVKSGIKN CVTLARVPPPKLTSRAPPSLAPYLIIDTVDDLVSGVAIGSKGGLIALTMGSKTAIYRV TSGATPTASFVVAFQTDFAATDSYQNAVKFSPDGGTIATGGEDGVVRLWTLTIPPSKS EVDVDNKYRKSFKVSAPVLLNGHNGCINGLSWHASGTKVVSCAKDGQCIVWTRVESTW KKAATLGLAGELDVQKPDKPTNKGNFIYRGCAFVPRRFPLHASKKDDDDDDEIVTVQT PARGSSYLTKWSCVVDDQGKGTIEELTSIAVKDTIVCSLAVSAKYVATGSSDGQVLIH RVDTLAHLKTFAAHFLPSTGLAFFPFAAYYLRFILAG H257_14576 MQVQGKIHSIKYPIFGIDWKRDAQGKPFVAIAGGGGAVKSGIKN CVTLARVPPPKLTSRAPPSLAPYLIIDTVDDLVSGVAIGSKGGLIALTMGSKTAIYRV TSGATPTASFVVAFQTDFAATDSYQNAVKFSPDGGTIATGGEDGVVRLWTLTIPPSKS EVDVDNKYRKSFKVSAPVLLNGHNGCINGLSWHASGTKVVSCAKDGQCIVWTRVESTW KKAATLGLAGELDVQKPDKPTNKGNFIYRGCAFVPRRFPLHASKKDDDDDDEIVTVQT PARGSSYLTKWSCVVDDQGKGTIEELTSIAVKDTIVCSLAVRLVDSSL H257_14576 MQVQGKIHSIKYPIFGIDWKRDAQGKPFVAIAGGGGAVKSGIKN CVTLARVPPPKLTSRAPPSLAPYLIIDTVDDLVSGVAIGSKGGLIALTMGSKTAIYRV TSGATPTASFVVAFQTDFAATDSYQNAVKFSPDGGTIATGGEDGVVRLWTLTIPPSKS EVDVDNKYRKSFKVSAPVLLNGHNGCINGLSWHASGTKVVSCAKDGQCIVWTRVESTW KKAATLGLAGELDVQKPDKPTNKGNFIYRGCAFVPRRFPLHASKKDDDDDDEIVTVQT PARGSSYLTKWSCVVDDQGKGTIEELTSIAVKDTIVCSLAVRLVDSSL H257_14577 MVIKTETCAFSESRIYPGHGIRLVRKDGNAYTLLNSKCKSLFLQ RKKPAKIHWTLSWRRMNKKLRVEESTRRRARKTTKIQRAIVGVSVDELKKKRNQKPQL RAAARDAALKEAKDQAKTKKVAAPAGQKKQVIKNAGPKGGKKTGNRGAL H257_14578 MPENREKKQAAAAAATTTSVEGMTSKDYYFDSYSHFGIHEEMLK DSVRTKTYMNAIMQNAHLFKGKIVLDVGCGTGILSMFAAKAGAAHVYGVDCSGIITQA KQIIADNGFSDRITLIKAKVEEMELPVPHVDIIISEWMGYFLLYESMLDTVLYARDKW LAPGGLLFPDKAVLFLAAIEDGQYKSEKIDFWDNVYGFDMSCIKKIAMLEPLVDTVDG DAIMSNATPILDIDLATVTKEDLAFSSPFSLVVARQDFCHAFVAYFDCAFTHCHKPIS FSTGPRAKYTHWKQTVFYLSHALTCFPGDVIEGTLTCAPNATNPRDLDIDISVHFDGA STLDETLSYRLR H257_14579 MAYVTLTNITVLDNPTAFTNPFQFEVTFECAHPLEDDLEWKITY VGSAEDESRDQVLEEVLVGPVPVGTNKFVFQSEPPDSSIIPEEDKVGVTVVLVTCSYK SREFVRVGYYVNNDYTDPFLLENPPAIVDIHKLQRNILADKPRVTRFPIDWAPGATGA PATAEFDENMNASDLNEMDMLNEEELIKAAAQLDSLHPDIVIEPEVDDDDGMDEGEED IDMDVNEEGDDDDDDVDSGIEFEEDL H257_14580 MVARVLPSRRSSNKEPKEFLQDTMVRTLTALQKKDVFDLFSHPV DTRAVPDYLDKISTPMDFSTIQEKLSRREYASFDEFKIDIVVVFNNAQNYNMEHTVYF RQATTLAQVANVLFLDADMALAAHKRQHRASSSTSAVKSTYQMDHRDHVRHQHDDVDA STTPDTEMEMHPPTFDLGIFVPPPPPAESSEPIHHQDDDDYLADSEDEAEVVEEAFRD VCTQDLDGYHTDDSYVFDAIIHDPHPDTPPPPMILPQQQPADLHDENVSDIDSDDDSS LSDGIEFMHDYHASPAPPTLSTGRVAVHVTTAPPTLPPPSNLDKENVVSTTKETRRQD TSSAWIPRKRLGMDMNHTMSQPAKRPAASSSKKTPYKSIFGLPQPPPRARQALMDTFV VHPAAE H257_14580 MEHTVYFRQATTLAQVANVLFLDADMALAAHKRQHRASSSTSAV KSTYQMDHRDHVRHQHDDVDASTTPDTEMEMHPPTFDLGIFVPPPPPAESSEPIHHQD DDDYLADSEDEAEVVEEAFRDVCTQDLDGYHTDDSYVFDAIIHDPHPDTPPPPMILPQ QQPADLHDENVSDIDSDDDSSLSDGIEFMHDYHASPAPPTLSTGRVAVHVTTAPPTLP PPSNLDKENVVSTTKETRRQDTSSAWIPRKRLGMDMNHTMSQPAKRPAASSSKKTPYK SIFGLPQPPPRARQALMDTFVVHPAAE H257_14581 MHPSSPHAAATQTPPAECVQRRSGAFDCRRRRAEDVLLVVVEHG WVQPHTVLFVVVTKHPRLIKRFRGGIPNSLRRAWWVSMATSTHAGDMMSLLNHHTPSI LPFLGTTGLDGIILRDLDRTFPSEPLFATGQGPALLANVLKAVSVHVPDVGYCQGMNF IAAKLLLLWTCPSINDTPPSSSKSSSSVDQDAFHVLSFVVRRHAALWSPGMAGLRKCI YALHKLISVHLPRLHGHLHRIGMHPGYFATQWLATLFARALPLPTFAHVWDRFLVDGM KMLLRVALLLLSWMEPSLLHASDMHEASMLLSRPPKLAPSSSNGGCVRSAMSFKVTRS TLIQLEDQRQSELVARWISTHPLTMASRRMKHDDDDDDDAVFYPEVDNPWITNKPSLD MDQLCRDVVGLDAAVASDVAVFRRKIEQVHRAADAAVVAYMCAAAMFTEASYDLEEMV DLSLENEQDIDDEDGLPRLPWYRRVFACVDSTIMGARDRSGRSFRHVQLDESNELHQS RWRRTRGMAEYARRRRQLMEAQVDMDELHAFKTKVTEQFLTVLDKSEREKTAVLRRHL GDDTSMM H257_14582 MLAFIRITYQGGIARAPSRSNMRLDMKIQGDLVRLVPYAAHHVL KYHDWMKDPFLLEMTASEPLSLEEEQQMQVTWREDPTKATFIVFATTDTETEDEMAGD VNLFFNDDEDTANCEIDIMVAEARYRGKGVGREAVLLMMSYAVTHLHVHRFYCKINET NEPSLGLFRKLGFVQCNYVAAFQEIELEFHITDANKGSFTARLGRATLMPFVA H257_14583 MPSTSKAASAAKTSEAAGASTEAPDEEAAKAELAAVEAQDEDDD DESDTNEEDTGLTENQNRLLYLISLYTKPAILSTDKEEWIRKPALLVLLYEAIVSQAV DYDYAPASELIENKRKYFNISQEGKSDLDFLREEELLNGLKLASKTYQPVTCYQISEK GQELVAKLGKADKSPIHDMAYAPGTRNLLRVDWDGHEYWLVDADSGYRRVSSVTETET VSYVSSAYVPQCLRRGGRPTLSNAHRAHECGLSDSTIKDQLDEIISLNSVSLIVSEFI PFGANQLVQLNCNLGSTERVQGGFFTSLVDTNSTGTQIAVEPGLTSVNILDFALTNHV NFEADIHYPEAPGVVQVETFGCSLTATGSCFYGMQVEAIMDRIKDNISLDHLSRLLVD VQKDSSQIVDSVLSAYQRSLLGLVFMNQDSNRDKINLIIANEITPHLTAEEYMDKGEY ENELKQVIGDTRAAFDISEHDTLIFGAFGLLIAGPNSRHHEPLLCSFLEYESMNLFTQ NFFARLFIVVDDMKTVRGMIEVAERDPNRLADIRRRLAVLSKEVILLEETLGFLRESL DEAEIPPEPPEQAGRSLYERLQLGSLSSQLKRRVKDLGKKMDGARHELVVLNEMSSIF SEERIYKQQEAIRFQTRSLCELQAINERSAATLQLIQVVLSGSLAFQILHQLTGDWSL LNQNWAKAFLNPLVLDSPGLWFILSLLFWAALAGGLVYVLKTFIYRSQGVVTIRLTRQ VPIDMKNLATYIRTKNISDESHVYDGNVKVAKVMWHELFKKEWGGAVPTVQLEYDEEN AFMLQIVISYRRRQANKQLAFNADELYTRLMQELDAAKIFTTPEAPPPQTKQS H257_14584 MRRTPECRDADPAEGNAERRARRVRDDPVLVCRHTSVLYTVTPS RPTQHAPRRASRPVLFADCGCIKHCRGARAAARRHFEPHAQAVFDRRGVISLCDRVPR RLCPTLTESCRHKPLRQLSAKTPRGTKIIVENVEVRHGLLMLTSVVVLGASGNDALES MGSSTLSTTIPTSSTTHRPEQRSTLPSSGLAVVVARAPTAGAPVIQPPSVPLPITPAA APPQEPWDDASLSDEERATDPTVRHLFYGSPSSPTSSTTISTTRPPTKRTPVVHIGRV DSTIPSAVGGDPSKPFTYLSHTVGHVPSTWPTRRRVIKGFVKSVVSFEFQTGEYHLKV YVEDATRSILVSVESKFVESLMGVSCATFVQAMQADVPRGMHWVATMQHKLSVLEGLF TIEYAHSTTSSTTSTITQAASPARLPTLVTCQDATSTTTRLLLARLTMASS H257_14585 MQESYQKHQRYILRRFPPFLDDAMIGNNEKLRLLFIVLWSMLIA LPTVLAAYTCDYFVKEPLFYFSVLMVLFVFARALHRYCVRWPEGHAKRWSYWAEIELA TAPYKLKILGYYHRKIDHFLGQFPKGTTDAQIHRHYNIRTGVTALLFSAAFVVSTVLL AYTDGQDYSQVLILYIFSVASVCVLFYLGKVHCIELPQVIVLRHRPEFASEVLFSDMH DEKIPFAQPVSDYRTSSR H257_14586 MSTAAIPTPIPEEVGLLLNPQQRNAVQDRVNALLGWNSRELAPM STSMPMLRSNRKQIVELGYLVGSMWTGIRYLALLVTGRCYLISHNYEIRETWLFTPLR QQDRPQSMTNGDNELSQHMWTILDGTLVLNQDKLCFVISDILAMNGASVMSLKLEDRL KTIQNSVISPLLKIPLPKGHPPSQFSLLFPPNRPLNKMTSSIRQLTPTPTNTAVQHSG LVFIPMSLPYAPGHSKGVYYWTFPSTTTAFFQLGVDWRGMPKKPVFKLNVFDKGMSVF YDWITFPPDVYDHFCSDKKVSHRIVECLYDPDTPTFIPSDDKNDGSGHANFHHDLHGV GYRKGGWKLLRVRKDIGRPTERGHLAALEKALVHDPIRGDEIELYFTSDHHPSLKPSS SVAAIAPSSSSGPTLSSQPPPNLAPDEMDKWKKSGGGGGPPGQGVCYDFQNKGVCQRG PKCHFSHCACHNVCQCTPAGHTYGQRPDFRRNDLDAPPPDSSSANTPDGMTNLMEGGV VKDAAVVDDGGKMTGLAVALDGHGESNGSTPGKLPPDDAPTLPGGGALRVTSSSLSGV YAPLTAWDPEAVAAKRQLLTATANRRMWSSLGILDDGNASSAKKKKAGGRNYTSSTSS STTTAKAGWIVTALGDVTYVRSTPTLQAPHDK H257_14587 MSPIAPTTERTMYSVPIYDTGVAAATQHRCHGGPMSAAVSAAIT SERVPRRTNAAATEADEVLNNLRQWFDNVWPFVALCVLAMSTQGVVGLYALGVVYMVA LDHHFRGFVAAETSPVSLVCIALVCAKTSADMSTFNGDATPLHMLFKPIPDQTSHADV VWMVLGKCLVLRLVSFSIEIFLGLVLVWRPATPAVTEELAMLEISPNDRVTVGEMFKV IILCTCVDQRHHSRPECDRRRGPHVDVDTTSNAAAIRINRCDGTSAPPPHNFSTTTPP PSTQV H257_14588 MSGGGQNGSNPSVKAIFVLDVEGTRLCAKYYDRVAYPSLKEQTA LEKKIWSKTKSMNARQEAEIILLDNVVSVFRSHSENTMYVVGAAHENELILLNVLDGA FEAVSNMLKGHLDRHSILDNLELVLLTFDEVLDGGVIFETDTQSITNRVLMRGIDNDV PLTELTFGQAFLSVREQWSKSFRSG H257_14589 MYSLRRFQQVFVMNVSHASDDNAAATMNAASQRETIRAAFRAFD EDGSGRIDANELSDLITSLGGVMSSVELQEALRVLDKDGDGVVDQDEFEKWWTSASDD LDGDGRLGQLETALARLKEHGQKRFHVDIHTACWHGHLDVVTRLVEADREIVHAKDTT EFGDLNTPLHYAAYQGHLDLCQFLLHDARAKVDATNAMGCTPLFYASQQDRHHVVKFL LQGGANARLRESEHHFSAVDVACSLDMLVVFRSHTPNDKPSVPLAPVVAATVPSTSRV QISWRPPASKPSESLPISGYKIKVTLLLSNEPLTTTLQLVGPHPTTLWLDKLVQDASY TVQVAAVTLHGASDYSIGTVISTLPDILVGD H257_14590 MDVTKQVRFDETFAVVGSVVTTPDDGMGNKPGTAGSSSSAREEG VAAIGHHHSHGGHAVPPPIITEISVQPNPHKVSSSLDLKLINALKELKVRKENGVGGH DKHDPFTKILLKGPSLKQAFDSVRSTFDAFDKLKQGSISFNDMEEALNRLGGNFTKDE INDAFTEADMSESGRLTFKEFLVCLAIGFVLHRIPALDDRSEGGQPRLSIFYAPLKGS GKDGQPKALLFGDGNKLRQAFHLAVDVFLWFDMDGDGLIEKNEMLSRLHESMHEHSPT KKTSKQRSLAKGDVEAMINCPANTFITQRRFNEMDWDHDGSITFKEFLMAFESWVGVN DDDDDIGDDRSGGDDTHAVTAK H257_14590 MDVTKQVRFDETFAVVGSVVTTPDDGMGNKPGTAGSSSSAREEG VAAIGHHHSHGGHAVPPPIITEISVQPNPHKVSSSLDLKLINALKELKVRKENGVGGH DKHDPFTKILLKGPSLKQAFDSVRSTFDAFDKLKQGSISFNDMEEALNRLGGNFTKDE INDAFTEADMSESGRLTFKEFLVCLAIGFVLHRIPALDDRSEGGQPRLSIFYAPLKGS GKDGQPKALLFGDGNKLRQAFHLAVDVFLWFDMDGDGLIEKYERVQMSLVYMWPFRSS WPFRIHMCVWLVLDIYIYIIYTHIYIYIYGVDIENTCESRPFGMYTSVCVSKPFG H257_14591 MESPRSGKKATKKPAAEGRGKKRKGDEGARSGTPTSGGQSSPRY PRQDARSGARASPLRRLHSSGSTRDLSGIAAMKPLVSGEMTESIKHMMHGFGDVWEPD TDTVELMEEIAVEYIRSMTKKAMEISAIRGKLDVDCLLFSVRKDEETLDRANQLLEAN ELLKTVLNSGFDPIDEK H257_14592 MNKRVQDAEGFRGSVRYEGPIHSKNATTVYWGVEWDDPSRGKHN GESGGVRYFQAAHPTSGSFVLPEKVSLGTGIVDALHERYMQSEALDTRAAGHVGTASG NSKPIQLVGVHKIQEKQDLGVIGKVSAEGSQVAHIGTTGELFRVAPNIVELNLGFNLL ASWSEVLKLSAELPLLEHLTLSGNILSYDVDVDAPRVVFPTVHTLVMNQTHTSSWVDL LRICRDHFPALRQLHAASNAITNQAMQLDAASPLSCSSAVEVLDLSHNELSDWSSLTA SIGSWPRLHTLSLNGNRLVQVDPPSASSRSFAALSSLSLSDNAIVAWSSVDALNAFPS LEALRLTKNPLLTNVGAAEARMLVVARCAALQVFNSSDIRPKERQDAEQMYLRRILHE QSSLPAGSDKVIQLTHPRLAQLQALYPDIHAAHEAATTGLGTTGSGGGPAALAKSLAK VTFVVMSMNATTMDSMVKSLPLSMTVAQVKAVVEKKYGLRPAEHQLSFRASKKAMPIA LDDDGGELGYFGVQDGGELLINDIW H257_14592 MLQGSQVAHIGTTGELFRVAPNIVELNLGFNLLASWSEVLKLSA ELPLLEHLTLSGNILSYDVDVDAPRVVFPTVHTLVMNQTHTSSWVDLLRICRDHFPAL RQLHAASNAITNQAMQLDAASPLSCSSAVEVLDLSHNELSDWSSLTASIGSWPRLHTL SLNGNRLVQVDPPSASSRSFAALSSLSLSDNAIVAWSSVDALNAFPSLEALRLTKNPL LTNVGAAEARMLVVARCAALQVFNSSDIRPKERQDAEQMYLRRILHEQSSLPAGSDKV IQLTHPRLAQLQALYPDIHAAHEAATTGLGTTGSGGGPAALAKSLAKVTFVVMSMNAT TMDSMVKSLPLSMTVAQVKAVVEKKYGLRPAEHQLSFRASKKAMPIALDDDGGELGYF GVQDGGELLINDIW H257_14593 MRVFVSNLSSALGAEIAKQIKDCEVVGAIEHLKQTQSTKKRLLE NRSPELVETESSAVDPNDAFVENPVVVYSDKHNVGLLLKRCDVAIYSILDDPDGVVEA LKTFDDGNSGDKLFIAISSVLTWAKTPVPAPRPEDWNGHREDTFKTRKPARKYAEYKA VETQVLSARREGLTTLVIAPGLIYGGAQSSLHAILRNAWLHPEEDVVVPSLSNGKGAN VLPMISVYDLARVVAKAAVAAPSTSYLIAVDKSHTSLRDVCSAISKTLGSGGVRDVDA AEAEEMLVLEKSMAHLQLDLRFDTSGGAMDALEIEWTHELGLVANIARVADDYIKCMD LRPLRAVVLGPPQVGKSHLSAFLAKTYYLPHLTPSSVAADLLTTANLDDHLVGLREEV KKFRLNLKDLPEALLTELIRWKLTSPVCRNQGYVLDGLPVSVEQARALYFVAPSAASS DDESKHPDDGNTPDEEGKQRDESDDHQKPPPPSANLNAPNRVVVLDAVRSLLEHRAQA LSQEDADKSGNTDIEFTRRYDLFKRETNPANQSGLVAYFERDQAVEVLELELDSEEMY TSKKHFVDPIAKYMEQGGKPYNFHPTPDEVDAAKKELDAQLAAEAEAELKRQADAMEK DLMDKQSRAMSEKARLEIIQREEMDILEARSKPLRAYLMETVIPVLTEGMLEVVKVQP DDPIDYLADFLFRKGQHYVG H257_14593 MHVIEGGHSACRNAWLHPEEDVVVPSLSNGKGANVLPMISVYDL ARVVAKAAVAAPSTSYLIAVDKSHTSLRDVCSAISKTLGSGGVRDVDAAEAEEMLVLE KSMAHLQLDLRFDTSGGAMDALEIEWTHELGLVANIARVADDYIKCMDLRPLRAVVLG PPQVGKSHLSAFLAKTYYLPHLTPSSVAADLLTTANLDDHLVGLREEVKKFRLNLKDL PEALLTELIRWKLTSPVCRNQGYVLDGLPVSVEQARALYFVAPSAASSDDESKHPDDG NTPDEEGKQRDESDDHQKPPPPSANLNAPNRVVVLDAVRSLLEHRAQALSQEDADKSG NTDIEFTRRYDLFKRETNPANQSGLVAYFERDQAVEVLELELDSEEMYTSKKHFVDPI AKYMEQGGKPYNFHPTPDEVDAAKKELDAQLAAEAEAELKRQADAMEKDLMDKQSRAM SEKARLEIIQREEMDILEARSKPLRAYLMETVIPVLTEGMLEVVKVQPDDPIDYLADF LFRKGQHYVG H257_14593 MRVFVSNLSSALGAEIAKQIKDCEVVGAIEHLKQTQSTKKRLLE NRSPELVETESSAVDPNDAFVENPVVVYSDKHNVGLLLKRCDVAIYSILDDPDGVVEA LKTFDDGNSGDKLFIAISSVLTWAKTPVPAPRPEDWNGHREDTFKTRKPARKYAEYKA VETQVLSARREGLTTLVIAPGLIYGGAQSSLHAILRNAWLHPEEDVVVPSLSNGKGAN VLPMISVYDLARVVAKAAVAAPSTSYLIAVDKSHTSLRDVCSAISKTLGSGGVRDVDA AEAEEMLVLEKSMAHLQLDLRFDTSGGAMDALEIEWTHELGLVANIARVADDYIKCMD LRPLRAVVLGPPQVGKSHLSAFLAKTYYLPHLTPSSVAADLLTTANLDDHLVGLREEV KKFRLNLKDLPEALLTELIRWKLTSPVCRNQGYVLDGLPVSVEQARALYFVAPSAASS DDESKHPDDGNTPDEEGKQRDESDDHQKPPPPSANLNAPNRVVVLDAVRSLLEHRAQA LSQEDADKSGNTDIEFTRRYDLFKRETNPANQSGLVAYFERDQAVEVLELELDSEEMY TSKKHFVDPIAKYMEQGGKPYNFHPTPDEVDAAKKELDAQLVLWSFFLKKYIYLDTFC ESSPPHRSIYIIPKSFCMH H257_14594 MMTDSIMRRAKDERERILQQHQLLLPDKYEPLKSRPACHMCTKT FGTFRRRVHCQLCGHVVCKSCTLEYIADVAGKILDTRVCTLCDAKLDADHRQRTSSRA IAGAVLNLASKPRPSPMMTRSTSEGHTRVSHHRTSPASTRPKQPSTSTDGDVSKMSTP YFYALDFNWSHAWPKPPFIPHDMERVKSLTNLHVRRHDFMLSAVTFACEVFDSPIGGV SFLDEHQQWFACSQGLAQEFIPRMASICAHTIALATPMTVLNLRQDIRFRQNPLVTGP KLGFYAGAPILSPEGHAIGTVFVMDMAPRGACDLTKLAVFAQVVSAKLADAASPDLTL LRTESSSSTTSQIQSPTESTTSLTCQSNHPAGRVLAASEVMRPTDWVPSAHRVFCQVC HNRFSLFLRKRHCRVCGDVVCKNCITHTPVEGLTEPSAAKMREVVVCLGCVHKPRSRS ASRQKGGSRTAPATTMETDDDEECVSGAGSAEVDVPTLGRNQSFDLDECGAAFTTHSL RAPLTAGGDKGGIVGTNATDMQAPPQPKQVDYTPTEVHSMLLRLLHQSNDIQSQLHAT QHAVAAGTLRP H257_14595 MLRASLFRHPGKVLVRAPHASSLSSYSYFRNPPKHGGSVVGKGN KQSLLYQRDHEKDSCGVGILAQLQKKPSRKVVLQANEMLVRMSHRGGCGCDPASGDGA GMLVGMPHIFIQRLVDEGAFGGAHTSVALVPEQYAVGNVFFSKNSEHIDASKKAFDTI AKDLNLTVLGWRPVPTSNHELGQTSLASEPHIEQVLVLPPSNLSNDHFEKELMRLRKV ATTANKESEMYVCSLSSQTLVYKGQLTPGQVMPYYADLQAHDFSSHLALVHSRFSTNT FPSWDRAQPYRVLCHNGEINTLRGNKNWMFARGSKAHSAYFGHATSSLLPVCSDDMSD SGNFDAALELLTKASSCDRSLPEAMMMMIPEAWQSNATMPESKNAMYQYNACMMEPWD GPAMVAFTNGKTVGASLDRNGLRPSRYYITTDDHVMLSSEVGVIEGLVEADVATKHRL EPGKMFFVDFDQGRVISDQEIKATVSGSRPYGDWVQHMVHFQNVRGTSLNDAKPAKNN GAMMPTDMPRRLNLYGFTTETMEMLLVPMGLEYKEALGSMGNDAPLAVLSEQPKLPNE YFKQLFAQVTNPPIDPIREDLVMSLRCPVGPEENVLDVSADHAKRLIVDHPVLSLEDI QTLKYGMSDAKWTAKTLDATFPAHSGQAGLIQALDRLCEQATTAAAQGQAVLVFSDQA AGPDRFPIPSLLVIGAVHQHLLRTQQRTSVALFAECGDAKEVHDFATLLGFGADGVCP YMAYHALAHMNNEGLLEAIAKKSMDTKELWTNYQTAVGKGLLKVMSKMGISTLQSYKG AQVFEAVGLGPDVIDRCFYGTTSRLQGSNMETLYDDIARLHEAGFPTHSLETPLVRNP GQYHARENGEFHFNTPSAIVALQTAARTQSRDAYDQYRDLTNAASKRVTLRGLLEFQT VPEERRPKWEQMESIQDIVKRFNTGAMSLGSISRETHEALAVAMNQLGGRSNTGEGGE DPERYIPQPDGTPNPKRSAIKQVASGRFGVTMHYLSNADQLQIKMAQGAKPGEGGELP GHKVSPYIAARRHTTPGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPSAEISVKLVSE VGVGVVAAGVVKAKAEHITISGHDGGTGASSWTGVKHSGLPWELGLAEAQQTLVLNNL RSRIKLQTDGQLKTGRDVVIAALLGAEEFGFATAPLIALGCVMMRKCHLNTCPVGIAT QDEELRKKFTGMPEHVVNFLWLLAQDIQGIVRSLGATSVDEIIGRADLLQVDESSLHR KTRLLDLSPLLVNAKTLNPTSSIRYNPQDQQNHELDLVLDQTLLAHCVSAIADGSPVQ VDLPISNVDRTVGALLSHKITKVHGEHGLAPHTIQLNLTGHAGQSLGFGLVRGIQLKV TGDANDYVGKALSGGTVIVTPEIERGNDQTIVGNAVLYGATSGLAFFRGKAGERFAVR NSGVHAVVEGVGDHGCEYMTGGRVVILGPTGRNFGAGMSGGIAYVYDPSSEFGAKCNL SMGSLEVLDFEGDEELVVKDLIQQHIQHTKSPLGVSILADWDHAKHSFVKLMPHDYKQ VIAANVHMNTTAEPVHVNAGH H257_14595 MLRASLFRHPGKVLVRAPHASSLSSYSYFRNPPKHGGSVVGKGN KQSLLYQRDHEKDSCGVGILAQLQKKPSRKVVLQANEMLVRMSHRGGCGCDPASGDGA GMLVGMPHIFIQRLVDEGAFGGAHTSVALVPEQYAVGNVFFSKNSEHIDASKKAFDTI AKDLNLTVLGWRPVPTSNHELGQTSLASEPHIEQVLVLPPSNLSNDHFEKELMRLRKV ATTANKESEMYVCSLSSQTLVYKGQLTPGQVMPYYADLQAHDFSSHLALVHSRFSTNT FPSWDRAQPYRVLCHNGEINTLRGNKNWMFARGSKAHSAYFGHATSSLLPVCSDDMSD SGNFDAALELLTKASSCDRSLPEAMMMMIPEAWQSNATMPESKNAMYQYNACMMEPWD GPAMVAFTNGKTVGASLDRNGLRPSRYYITTDDHVMLSSEVGVIEGLVEADVATKHRL EPGKMFFVDFDQGRVISDQEIKATVSGSRPYGDWVQHMVHFQNVRGTSLNDAKPAKNN GAMMPTDMPRRLNLYGFTTETMEMLLVPMGLEYKEALGSMGNDAPLAVLSEQPKLPNE YFKQLFAQVTNPPIDPIREDLVMSLRCPVGPEENVLDVSADHAKRLIVDHPVLSLEDI QTLKYGMSDAKWTAKTLDATFPAHSGQAGLIQALDRLCEQATTAAAQGQAVLVFSDQA AGPDRFPIPSLLVIGAVHQHLLRTQQRTSVALFAECGDAKEVHDFATLLGFGADGVCP YMAYHALAHMNNEGLLEAIAKKSMDTKELWTNYQTAVGKGLLKVMSKMGISTLQSYKG AQVFEAVGLGPDVIDRCFYGTTSRLQGSNMETLYDDIARLHEAGFPTHSLETPLVRNP GQYHARENGEFHFNTPSAIVALQTAARTQSRDAYDQYRDLTNAASKRVTLRGLLEFQT VPEERRPKWEQMESIQDIVKRFNTGAMSLGSISRETHEALAVAMNQLGGRSNTGEGGE DPERYIPQPDGTPNPKRSAIKQVASGRFGVTMHYLSNADQLQIKMAQGAKPGEGGELP GHKVSPYIAARRHTTPGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPSAEISVKLVSE VGVGVVAAGVVKAKAEHITISGHDGGTGASSWTGVKHSGLPWELGLAEAQQTLVLNNL RSRIKLQTDGQLKTGRDVVIAALLGAEEFGFATAPLIALGCVMMRKCHLNTCPVGIAT QDEELRKKFTGMPEHVVNFLWLLAQDIQGIVRSLGATSVDEIIGRADLLQVDESSLHR KTRLLDLSPLLVNAKTLNPTSSIRYNPQDQQNHELDLVLDQTLLAHCVSAIADGSPVQ VDLPISNVDRTVGALLSHKITKVHGEHGLAPHTIQLNLTGHAGQSLGFGLVRGIQLKV TGDANDYVGKALSGGTVIVTPEIERGNDQTIVGNAVLYGATSGLAFFRGKAGERFAVR NSGVHAVVEGVGDHGCEYMTGGRVVILGPTGRNFGAGMSGGIAYVYDPSSEFGAKCNL SMGSLEVLDFEGDEELVVKDLIQQHIQHTKSPLGVSILADWDHAKHSFVKLMPHDYKQ VIAANVHMNTTAEPVHVNAGH H257_14595 MLRASLFRHPGKVLVRAPHASSLSSYSYFRNPPKHGGSVVGKGN KQSLLYQRDHEKDSCGVGILAQLQKKPSRKVVLQANEMLVRMSHRGGCGCDPASGDGA GMLVGMPHIFIQRLVDEGAFGGAHTSVALVPEQYAVGNVFFSKNSEHIDASKKAFDTI AKDLNLTVLGWRPVPTSNHELGQTSLASEPHIEQVLVLPPSNLSNDHFEKELMRLRKV ATTANKESEMYVCSLSSQTLVYKGQLTPGQVMPYYADLQAHDFSSHLALVHSRFSTNT FPSWDRAQPYRVLCHNGEINTLRGNKNWMFARGSKAHSAYFGHATSSLLPVCSDDMSD SGNFDAALELLTKASSCDRSLPEAMMMMIPEAWQSNATMPESKNAMYQYNACMMEPWD GPAMVAFTNGKTVGASLDRNGLRPSRYYITTDDHVMLSSEVGVIEGLVEADVATKHRL EPGKMFFVDFDQGRVISDQEIKATVSGSRPYGDWVQHMVHFQNVRGTSLNDAKPAKNN GAMMPTDMPRRLNLYGFTTETMEMLLVPMGLEYKEALGSMGNDAPLAVLSEQPKLPNE YFKQLFAQVTNPPIDPIREDLVMSLRCPVGPEENVLDVSADHAKRLIVDHPVLSLEDI QTLKYGMSDAKWTAKTLDATFPAHSGQAGLIQALDRLCEQATTAAAQGQAVLVFSDQA AGPDRFPIPSLLVIGAVHQHLLRTQQRTSVALFAECGDAKEVHDFATLLGFGADGVCP YMAYHALAHMNNEGLLEAIAKKSMDTKELWTNYQTAVGKGLLKVMSKMGISTLQSYKG AQVFEAVGLGPDVIDRCFYGTTSRLQGSNMETLYDDIARLHEAGFPTHSLETPLVRNP GQYHARENGEFHFNTPSAIVALQTAARTQSRDAYDQYRDLTNAASKRVTLRGLLEFQT VPEERRPKWEQMESIQDIVKRFNTGAMSLGSISRETHEALAVAMNQLGGRSNTGEGGE DPERYIPQPDGTPNPKRSAIKQVASGRFGVTMHYLSNADQLQIKMAQGAKPGEGGELP GHKVSPYIAARRHTTPGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPSAEISVKLVSE VGVGVVAAGVVKAKAEHITISGHDGGTGASSWTGVKHSGLPWELGLAEAQQTLVLNNL RSRIKLQTDGQLKTGRDVVIAALLGAEEFGFATAPLIALGCVMMRKCHLNTCPVGIAT QDEELRKKFTGMPEHVVNFLWLLAQDIQGIVRSLGATSVDEIIGRADLLQVDESSLHR KTRLLDLSPLLVNAQTLNPTSSIRYNPQDQQNHELDLVLDQTLLAHCVSAIADGSPVQ VDLPISNVDRTVGALLSHKITKVHGEHGLAPHTIQLNLTGHAGQSLGFGLVRGIQLKV TGDANDYVGKALSGGTVIVTPEIERGNDQTIVGNAVLYGATSGLAFFRGKAGERFAVR NSGVHAVVEGVGDHGCEYMTGGRVVILGPTGRNFGAGMSGGIAYVYDPSSEFGAKCNL SMGSLEVLDFEGDEELVVKDLIQQHIQHTKSPLGVSILADWDHAKHSFVKLMPHDYKQ VIAANVHMNTTAEPVHVNAGH H257_14595 MLRASLFRHPGKVLVRAPHASSLSSYSYFRNPPKHGGSVVGKGN KQSLLYQRDHEKDSCGVGILAQLQKKPSRKVVLQANEMLVRMSHRGGCGCDPASGDGA GMLVGMPHIFIQRLVDEGAFGGAHTSVALVPEQYAVGNVFFSKNSEHIDASKKAFDTI AKDLNLTVLGWRPVPTSNHELGQTSLASEPHIEQVLVLPPSNLSNDHFEKELMRLRKV ATTANKESEMYVCSLSSQTLVYKGQLTPGQVMPYYADLQAHDFSSHLALVHSRFSTNT FPSWDRAQPYRVLCHNGEINTLRGNKNWMFARGSKAHSAYFGHATSSLLPVCSDDMSD SGNFDAALELLTKASSCDRSLPEAMMMMIPEAWQSNATMPESKNAMYQYNACMMEPWD GPAMVAFTNGKTVGASLDRNGLRPSRYYITTDDHVMLSSEVGVIEGLVEADVATKHRL EPGKMFFVDFDQGRVISDQEIKATVSGSRPYGDWVQHMVHFQNVRGTSLNDAKPAKNN GAMMPTDMPRRLNLYGFTTETMEMLLVPMGLEYKEALGSMGNDAPLAVLSEQPKLPNE YFKQLFAQVTNPPIDPIREDLVMSLRCPVGPEENVLDVSADHAKRLIVDHPVLSLEDI QTLKYGMSDAKWTAKTLDATFPAHSGQAGLIQALDRLCEQATTAAAQGQAVLVFSDQA AGPDRFPIPSLLVIGAVHQHLLRTQQRTSVALFAECGDAKEVHDFATLLGFGADGVCP YMAYHALAHMNNEGLLEAIAKKSMDTKELWTNYQTAVGKGLLKVMSKMGISTLQSYKG AQVFEAVGLGPDVIDRCFYGTTSRLQGSNMETLYDDIARLHEAGFPTHSLETPLVRNP GQYHARENGEFHFNTPSAIVALQTAARTQSRDAYDQYRDLTNAASKRVTLRGLLEFQT VPEERRPKWEQMESIQDIVKRFNTGAMSLGSISRETHEALAVAMNQLGGRSNTGEGGE DPERYIPQPDGTPNPKRSAIKQVASGRFGVTMHYLSNADQLQIKMAQGAKPGEGGELP GHKVSPYIAARRHTTPGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPSAEISVKLVSE VGVGVVAAGVVKAKAEHITISGHDGGTGASSWTGVKHSGLPWELGLAEAQQTLVLNNL RSRIKLQTDGQLKTGRDVVIAALLGAEEFGFATAPLIALGCVMMRKCHLNTCPVGIAT QDEELRKKFTGMPEHVVNFLWLLAQDIQGIVRSLGATSVDEIIGRADLLQVDESSLHR KTYVCMEIYIVVIFGGCSRLLDLSPLLVNAQTLNPTSSIRYNPQDQQNHELDLVLDQT LLAHCVSAIADGSPVQVDLPISNVDRTVGALLSHKITKVHGEHGLAPHTIQLNLTGHA GQSLGFGLVRGIQLKVTGDANDYVGKALSGGTVIVTPEIERGNDQTIVGNAVLYGATS GLAFFRGKAGERFAVRNSGVHAVVEGVGDHGCEYMTGGRVVILGPTGRNFGAGMSGGI AYVYDPSSEFGAKCNLSMGSLEVLDFEGDEELVVKDLIQQHIQHTKSPLGVSILADWD HAKHSFVKLMPHDYKQVIAANVHMNTTAEPVHVNAGH H257_14595 MLRASLFRHPGKVLVRAPHASSLSSYSYFRNPPKHGGSVVGKGN KQSLLYQRDHEKDSCGVGILAQLQKKPSRKVVLQANEMLVRMSHRGGCGCDPASGDGA GMLVGMPHIFIQRLVDEGAFGGAHTSVALVPEQYAVGNVFFSKNSEHIDASKKAFDTI AKDLNLTVLGWRPVPTSNHELGQTSLASEPHIEQVLVLPPSNLSNDHFEKELMRLRKV ATTANKESEMYVCSLSSQTLVYKGQLTPGQVMPYYADLQAHDFSSHLALVHSRFSTNT FPSWDRAQPYRVLCHNGEINTLRGNKNWMFARGSKAHSAYFGHATSSLLPVCSDDMSD SGNFDAALELLTKASSCDRSLPEAMMMMIPEAWQSNATMPESKNAMYQYNACMMEPWD GPAMVAFTNGKTVGASLDRNGLRPSRYYITTDDHVMLSSEVGVIEGLVEADVATKHRL EPGKMFFVDFDQGRVISDQEIKATVSGSRPYGDWVQHMVHFQNVRGTSLNDAKPAKNN GAMMPTDMPRRLNLYGFTTETMEMLLVPMGLEYKEALGSMGNDAPLAVLSEQPKLPNE YFKQLFAQVTNPPIDPIREDLVMSLRCPVGPEENVLDVSADHAKRLIVDHPVLSLEDI QTLKYGMSDAKWTAKTLDATFPAHSGQAGLIQALDRLCEQATTAAAQGQAVLVFSDQA AGPDRFPIPSLLVIGAVHQHLLRTQQRTSVALFAECGDAKEVHDFATLLGFGADGVCP YMAYHALAHMNNEGLLEAIAKKSMDTKELWTNYQTAVGKGLLKVMSKMGISTLQSYKG AQVFEAVGLGPDVIDRCFYGTTSRLQGSNMETLYDDIARLHEAGFPTHSLETPLVRNP GQYHARENGEFHFNTPSAIVALQTAARTQSRDAYDQYRDLTNAASKRVTLRGLLEFQT VPEERRPKWEQMESIQDIVKRFNTGAMSLGSISRETHEALAVAMNQLGGRSNTGEGGE DPERYIPQPDGTPNPKRSAIKQVASGRFGVTMHYLSNADQLQIKMAQGAKPGEGGELP GHKVSPYIAARRHTTPGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPSAEISVKLVSE VGVGVVAAGVVKAKAEHITISGHDGGTGASSWTGVKHSGLPWELGLAEAQQTLVLNNL RSRIKLQTDGQLKTGRDVVIAALLGAEEFGFATAPLIALGCVMMRKCHLNTCPVGIAT QDEELRKKFTGMPEHVVNFLWLLAQDIQGIVRSLGATSVDEIIGRADLLQVDESSLHR KTRLLDLSPLLVNAKTLNPTSSIRYNPQDQQNHELDLVLDQTLLAHCVSAIADGSPVQ VDLPISNVDRTVGALLSHKITKVHGEHGLAPHTIQLNLTGHAGQSLGFGLVRGIQLKV TGDANDYVGKALSGGTVIVTPEIERGNDQTIVGNAVLYGATSGLAFFRGKAGERFAVR NSGVHAVVEGVGYIHFDTTSVGLC H257_14595 MLRASLFRHPGKVLVRAPHASSLSSYSYFRNPPKHGGSVVGKGN KQSLLYQRDHEKDSCGVGILAQLQKKPSRKVVLQANEMLVRMSHRGGCGCDPASGDGA GMLVGMPHIFIQRLVDEGAFGGAHTSVALVPEQYAVGNVFFSKNSEHIDASKKAFDTI AKDLNLTVLGWRPVPTSNHELGQTSLASEPHIEQVLVLPPSNLSNDHFEKELMRLRKV ATTANKESEMYVCSLSSQTLVYKGQLTPGQVMPYYADLQAHDFSSHLALVHSRFSTNT FPSWDRAQPYRVLCHNGEINTLRGNKNWMFARGSKAHSAYFGHATSSLLPVCSDDMSD SGNFDAALELLTKASSCDRSLPEAMMMMIPEAWQSNATMPESKNAMYQYNACMMEPWD GPAMVAFTNGKTVGASLDRNGLRPSRYYITTDDHVMLSSEVGVIEGLVEADVATKHRL EPGKMFFVDFDQGRVISDQEIKATVSGSRPYGDWVQHMVHFQNVRGTSLNDAKPAKNN GAMMPTDMPRRLNLYGFTTETMEMLLVPMGLEYKEALGSMGNDAPLAVLSEQPKLPNE YFKQLFAQVTNPPIDPIREDLVMSLRCPVGPEENVLDVSADHAKRLIVDHPVLSLEDI QTLKYGMSDAKWTAKTLDATFPAHSGQAGLIQALDRLCEQATTAAAQGQAVLVFSDQA AGPDRFPIPSLLVIGAVHQHLLRTQQRTSVALFAECGDAKEVHDFATLLGFGADGVCP YMAYHALAHMNNEGLLEAIAKKSMDTKELWTNYQTAVGKGLLKVMSKMGISTLQSYKG AQVFEAVGLGPDVIDRCFYGTTSRLQGSNMETLYDDIARLHEAGFPTHSLETPLVRNP GQYHARENGEFHFNTPSAIVALQTAARTQSRDAYDQYRDLTNAASKRVTLRGLLEFQT VPEERRPKWEQMESIQDIVKRFNTGAMSLGSISRETHEALAVAMNQLGGRSNTGEGGE DPERYIPQPDGTPNPKRSAIKQVASGRFGVTMHYLSNADQLQIKMAQGAKPGEGGELP GHKVSPYIAARRHTTPGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPSAEISVKLVSE VGVGVVAAGVVKAKAEHITISGHDGGTGASSWTGVKHSGLPWELGLAEAQQTLVLNNL RSRIKLQTDGQLKTGRDVVIAALLGAEEFGFATAPLIALGCVMMRKCHLNTCPVGIAT QDEELRKKFTGMPEHVVNFLWLLAQDIQGIVRSLGATSVDEIIGRADLLQVDESSLHR KTRLLDLSPLLVNAQTLNPTSSIRYNPQDQQNHELDLVLDQTLLAHCVSAIADGSPVQ VDLPISNVDRTVGALLSHKITKVHGEHGLAPHTIQLNLTGHAGQSLGFGLVRGIQLKV TGDANDYVGKALSGGTVIVTPEIERGNDQTIVGNAVLYGATSGLAFFRGKAGERFAVR NSGVHAVVEGVGYIHFDTTSVGLC H257_14596 MQRISARALSTSTKSHVPKIMSGRVERSFIEVPRAPDAYRPPTE RIQDWHEINTTYRAPTERKAQAGRCMDCGTPFCQSRHLSGCPVANLIPEWNALVYRDE WREAYLRLSATNNFPEFTGRVCPAPCEGACVAGLVEQPVTIKNIEYAIVDRAWDEGWV TPRLPVQRTGLNVAVVGSGPAGLAAADELNQMGHTVTVFEREDRIGGLLMYGIPNMKL EKSTVDRRVQLLHDEGITFRTNVDVGSNVRDVLADMDAVVLCTGSSIPRFADVPGKDL SGVHFAMEFLTSNQKRLLASKEGTLKSRWNKDWINAEGKDVVVIGGGDTGTDCIATAL RHRCRSVVNLEHNTAPPEARSPANPWPQYPKVYGVDYGHAEVRAVFGEDPRQYERLTL RFEGDDAGRLTHVVTARSQTLEDGTKGPIPGTEETFPCDLAILAMGFLHPDQALPQSL QLQTDTRKNIWTDNYATSMPGVFAAGDCRRGQSLVVWAIHEGRDVAQKVQQYFVSEGL ADELTHQ H257_14597 MPSTAPSIMDLPPLVWDAHLAPLLSLSEVMSISTLNRSFHKLMH HAVTLYHPVDINAPVDQLEAITDAWTNLKAITYKPFVRSDEPYNNESIYHLPHRQQHD NIDEPEGEMDIPDINPRMEHVIAQSVPAIPSKDKLSGSVGKLMHRLHSLDLSGTHRLE LSPGIHHLQHLNLSGSSHIRGLEHLHTLRSVDMSYCHDLSDVTPLANVATVDLSYCHA VSDISPLQHATAVFLNMCKSISQVHALANVHTVSLRNCSGIRDVSALGHVHTLILSGC KNVTDVRALTHVHTLHLSGLNIQDVSMLGDCVDLNLRKCHRVSDVSSLGRVKTLDLSG CTSLEHVSALRAVHTLNLSSCKRITDVSALLHVHHLTLSNCEALEHVNVLGREGSSIQ YLDVSNCRGITSISALGRIPVLNISRCHNITTLDGLSHVTNLDISFCRQLSDLSPLYS IQILNAYRCTRITDVSPLANAVKLNLSCCPGIQDVAPLARCRDIDLRFCDALVDVSPL RHVRSLKLAGCQRITDVSALTHVQQLDLSYCHGIQDVGGLALVQYLSLRNCSQVEDVS ALGRIYSLNLSGCDLVTDVSALGTVTKLNLSDCQNIRDVSMLHHVRSLDLRFCHAAVD VDDLRQRSSGIVYTQGYPSSS H257_14597 MPSTAPSIMDLPPLVWDAHLAPLLSLSEVMSISTLNRSFHKLMH HAVTLYHPVDINAPVDQLEAITDAWTNLKAITYKPFVRSDEPYNNESIYHLPHRQQHD NIDEPEGEMDIPDINPRMEHVIAQSVPAIPSKDKLSGSVGKLMHRLHSLDLSGTHRLE LSPGIHHLQHLNLSGSSHIRGLEHLHTLRSVDMSYCHDLSDVTPLANVATVDLSYCHA VSDISPLQHATAVFLNMCKSISQVHALANVHTVSLRNCSGIRDVSALGHVHTLILSGC KNVTDVRALTHVHTLHLSGLNIQDVSMLGDCVDLNLRKCHRVSDVSSLGRVKTLDLSG CTSLEHVSALRAVHTLNLSSCKRITDVSALLHVHHLTLSNCEALEHVNVLGREGSSIQ YLDVSNCRGITSISALGRIPVLNISRCHNITTLDGLSHVTNLDISFCRQLSDLSPLYS IQVYHPLTIRLIYSRIRRS H257_14598 MMRFTTGDILIRKAHRGKLQEVVYLVQDIFVDVNYRNQNGCTPL HAAAASGQLEVVQWLLGVPEMKPAVDDSGQTALHYAAFYGHLEVVLALVEHGIPLNLK DKFGRTPHIAAALNGHLDVVKFLVEEWVQPIDINSIDEYGGTCLHWAASKGRKDVVQY LCMAGIDIHVTSYDNRTAYQLAKDKHKSKCMQFLKSWFDISQKFVHAAEDGNDDEIKR ILADVNHAYPLRFLKDKNGKNAMHWAAGSGHLSTLRILAESFDLWTDVDKFGRNVLHW AALGGHKDCALWLIKHTRDPHALQRPTLTNKTPSRCAFEAGHSALASRLQAWEKGNAE YALPDDDVGAFKPRDQQRSSPNGPLSQNRDIMSVRNWLKQLELGEYTESFEKDGFDTL RGVATIDEGDLIEMNVKKGHRRGILQHIEDLKNQLAAFDDQAQLSGVEPDALPTLSML PQLVKTPSATQLQALMNQSASINIPPPSVSSGPMDYTNSN H257_14598 MMRFTTGDILIRKAHRGKLQEVVYLVQDIFVDVNYRNQNGCTPL HAAAASGQLEVVQWLLGVPEMKPAVDDSGQTALHYAAFYGHLEVVLALVEHGIPLNLK DKFGRTPHIAAALNGHLDVVKFLVEEWVQPIDINSIDEYGGTCLHWAASKGRKDVVQY LCMAGIDIHVTSYDNRTAYQLAKDKHKSKCMQFLKSWFDISQKFVHAAEDGNDDEIKR ILADVNHAYPLRFLKDKNGKNAMHWAAGSGHLSTLRILAESFDLWTDVDKFGRNVLHW AALGGHKDCALWLIKHTRDPHALQRPTLTNKTPSRCAFEAGHSALASRLQAWEKGNAE YALPDDDVGAFKPRDQQRSSPNGPLSQNRDIMSVRNWLKQLELGEYTESFEKDGFDTL RGVATIDEGDLIEMNVKKGAIYLYIYILNT H257_14599 MADKKAAGVVVKCDEVSKNQIWREHLKKELLMEGPSTPFQFNPK TLSSVTPKPTMMKPSDFSRTDPVGSTSNEVAEKVKQNVKKPQEISAVPMTEAQKVGWA HDQAWKNGRGAVSKRWYRGRGSTDVTEFAENYCTMAGCSPFADKSTR H257_14600 MAPSTSYPSKHVCLILVVLSSVLSVDAAAGGVCFDVADVGNIDN HFRQIKTKFSAVRVYETQMGNTNAIAAAAKAGLQIAAGVWIRSGDAKIQADIDAVAAG IKAYPGTVVAVYVGNEDLANGVSEQTVINKVNQAKASLSALGVPIGSVQVDGDFLSAG RLADACDVVGVNIYPYFGSSPDSILKPINDLNARWKQVTAKFGGKAKLTETGWPTSGT YNGHVGSYDNAKAYWQSYSDWSYGNGGGVPFYFQHKDMPNKSPEFEANFGLIDSYGNW KFDVAPATPPPTARPTSPPTAPPTEPPTQPPTTQPPTQPPTTQAPTTQPPTTAAPTTT VTPEPTTTTAAPTIAPTTATPEPSVTPTVTPEPTATPEPNVTTTLEPTTTSATTTLVP LNGTNTTSLETVNSTSGDGVGDGVTFNGINGTFDAINETFVTSISDGASNPTGVLIGS AASGADAGGDAAGGGVASGGATSGGAAAGAGSSSSSSATSIGGTDSTNINVSSADSSS SNPAPTIFLTAGGALAVAAAALFVVRRRAQSLEEDKDAFDPEFGLHTPPTALGGYPTQ VFTTQANATPVATASTAPGPEQRDPGLFNPKDSLDGVAMLEDRPSTMLDNMGDIRGSE DSLHGAGDDTLASDEPAAFDNRTTMDLLDTARSSTEILL H257_14601 MPPLSPATSDDDDCGGSSAVSVEGGVCHVINLSHTTVSGVLKVF SALKQPEFTTLELSHGELDQFALEKLTQTFLRKSDEYEDEAKARLQFAHVAMHTYGIT TLKLSQCPLPEGVVTVLVRCFKETGSLRRLVLDTCRDVSMTALMTLTSLLQPIEHLEL PSCKLPRQAGLILGQALQSCARLRTLILRHNHLGDGGARAIADVFHPKKKSTAYGRHV ATTITNTPSIILDTLDLSDNGISNVGFCCILTISVRHLHVGRNNITSVRDVATTAHPH LTSLDMSYNPISTDGFDSFGGLITLAHANLTSLNIENCGITVAGLGSLKQAIRRHPTT KLREIRLGEDNSIDDAATRVGLADLLACISRVTPQVECIISPTIVPKTSPTTSTRVPH PPSNVQQLPPLLTTGSTNVQTKLAPETAQKHDTSMDESPQHQQEGENEDTFALNSPQS STGTHSVHDDDVPDSPHDDMVRRDDTIASMAVAFDAHMAHQQRRLQHDAVVHQVRVKV ETLATDVVPRLEARLDGVSDRLSTLQADMASQMNLMESNMATPEGRHTRGALSLLGPD VKYVDSCMTWKAEMEARAQDQLDQFQRWQVYMETDRMHLTNRVQELEVKVAGLENVVK AEQQASLLALEAISSAFASKY H257_14601 MPPLSPATSDDDDCGGSSAVSVEGGVCHVINLSHTTVSGVLKVF SALKQPEFTTLELSHGELDQFALEKLTQTFLRKSDEYEDEAKARLQFAHVAMHTYGIT TLKLSQCPLPEGVVTVLVRCFKETGSLRRLVLDTCRDVSMTALMTLTSLLQPIEHLEL PSCKLPRQAGLILGQALQSCARLRTLILRHNHLGDGGARAIADVFHPKKKSTAYGRHV ATTITNTPSIILDTLDLSDNGISNVGFCCILTISVRHLHVGRNNITSVRDVATTAHPH LTSLDMSYNPISTDGFDSFGGLITLAHANLTSLNIENCGITVAGLGSLKQAIRRHPTT KLREIRLGEDNSIDDAATRVGLADLLACISRVTPQVECIISPTIVPKTSPTTSTRVPH PPSNVQQLPPLLTTGSTNAPETAQKHDTSMDESPQHQQEGENEDTFALNSPQSSTGTH SVHDDDVPDSPHDDMVRRDDTIASMAVAFDAHMAHQQRRLQHDAVVHQVRVKVETLAT DVVPRLEARLDGVSDRLSTLQADMASQMNLMESNMATPEGRHTRGALSLLGPDVKYVD SCMTWKAEMEARAQDQLDQFQRWQVYMETDRMHLTNRVQELEVKVAGLENVVKAEQQA SLLALEAISSAFASKY H257_14601 MPPLSPATSDDDDCGGSSAVSVEGGVCHVINLSHTTVSGVLKVF SALKQPEFTTLELSHGELDQFALEKLTQTFLPMHTYGITTLKLSQCPLPEGVVTVLVR CFKETGSLRRLVLDTCRDVSMTALMTLTSLLQPIEHLELPSCKLPRQAGLILGQALQS CARLRTLILRHNHLGDGGARAIADVFHPKKKSTAYGRHVATTMDYSTNTPSIILDTLD LSDNGISNVGFCCILTISVRHLHVGRNNITYVLSYFPSLGCGWLSSHPTRSVRDVATT AHPHLTSLDMSYNPISTDGFDSFGGLITLAHANLTSLNIENCGITVAGLGSLKQAIRR HPTTKLREIRLGEDNSIDDAATRVGLADLLACISRVTPQVECIISPTIVPKTSPTTST RVPHPPSNVQQLPPLLTTGSTNVQTKLAPETAQKHDTSMDESPQHQQEGENEDTFALN SPQSSTGTHSVHDDDVPDSPHDDMVRRDDTIASMAVAFDAHMAHQQRRLQHDAVVHQV RVKVETLATDVVPRLEARLDGVSDRLSTLQADMASQMNLMESNMATPEGRHTRGALSL LGPDVKYVDSCMTWKAEMEARAQDQLDQFQRWQVYMETDRMHLTNRVQELEVKVAGLE NVVKAEQQASLLALEAISSAFASKY H257_14601 MPPLSPATSDDDDCGGSSAVSVEGGVCHVINLSHTTVSGVLKVF SALKQPEFTTLELSHGELDQFALEKLTQTFLPMHTYGITTLKLSQCPLPEGVVTVLVR CFKETGSLRRLVLDTCRDVSMTALMTLTSLLQPIEHLELPSCKLPRQAGLILGQALQS CARLRTLILRHNHLGDGGARAIADVFHPKKKSTAYGRHVATTMDYSTNTPSIILDTLD LSDNGISNVGFCCILTISVRHLHVGRNNITSVRDVATTAHPHLTSLDMSYNPISTDGF DSFGGLITLAHANLTSLNIENCGITVAGLGSLKQAIRRHPTTKLREIRLGEDNSIDDA ATRVGLADLLACISRVTPQVECIISPTIVPKTSPTTSTRVPHPPSNVQQLPPLLTTGS TNVQTKLAPETAQKHDTSMDESPQHQQEGENEDTFALNSPQSSTGTHSVHDDDVPDSP HDDMVRRDDTIASMAVAFDAHMAHQQRRLQHDAVVHQVRVKVETLATDVVPRLEARLD GVSDRLSTLQADMASQMNLMESNMATPEGRHTRGALSLLGPDVKYVDSCMTWKAEMEA RAQDQLDQFQRWQVYMETDRMHLTNRVQELEVKVAGLENVVKAEQQASLLALEAISSA FASKY H257_14601 MPPLSPATSDDDDCGGSSAVSVEGGVCHVINLSHTTVSGVLKVF SALKQPEFTTLELSHGELDQFALEKLTQTFLPMHTYGITTLKLSQCPLPEGVVTVLVR CFKETGSLRRLVLDTCRDVSMTALMTLTSLLQPIEHLELPSCKLPRQAGLILGQALQS CARLRTLILRHNHLGDGGARAIADVFHPKKKSTAYGRHVATTMDYSTNTPSIILDTLD LSDNGISNVGFCCILTISVRHLHVGRNNITSVRDVATTAHPHLTSLDMSYNPISTDGF DSFGGLITLAHANLTSLNIENCGITVAGLGSLKQAIRRHPTTKLREIRLGEDNSIDDA ATRVGLADLLACISRVTPQVECIISPTIVPKTSPTTSTRVPHPPSNVQQLPPLLTTGS TNAPETAQKHDTSMDESPQHQQEGENEDTFALNSPQSSTGTHSVHDDDVPDSPHDDMV RRDDTIASMAVAFDAHMAHQQRRLQHDAVVHQVRVKVETLATDVVPRLEARLDGVSDR LSTLQADMASQMNLMESNMATPEGRHTRGALSLLGPDVKYVDSCMTWKAEMEARAQDQ LDQFQRWQVYMETDRMHLTNRVQELEVKVAGLENVVKAEQQASLLALEAISSAFASKY H257_14601 MPPLSPATSDDDDCGGSSAVSVEGGVCHVINLSHTTVSGVLKVF SALKQPEFTTLELSHGELDQFALEKLTQTFLPMHTYGITTLKLSQCPLPEGVVTVLVR CFKETGSLRRLVLDTCRDVSMTALMTLTSLLQPIEHLELPSCKLPRQAGLILGQALQS CARLRTLILRHNHLGDGGARAIADVFHPKKKSTAYGRHVATTMDYSTNTPSIILDTLD LSDNGISNVGFCCILTISVRHLHVGRNNITSVRDVATTAHPHLTSLDMSYNPISTDGF DSFGGLITLAHANLTSLNIENCGITVAGLGSLKQAIRRHPTTKLREIRLGEDNSIDDA ATRVGLADLLACISRVTPQVECIISPTIVPKTSPTTSTRVPHPPSNAPETAQKHDTSM DESPQHQQEGENEDTFALNSPQSSTGTHSVHDDDVPDSPHDDMVRRDDTIASMAVAFD AHMAHQQRRLQHDAVVHQVRVKVETLATDVVPRLEARLDGVSDRLSTLQADMASQMNL MESNMATPEGRHTRGALSLLGPDVKYVDSCMTWKAEMEARAQDQLDQFQRWQVYMETD RMHLTNRVQELEVKVAGLENVVKAEQQASLLALEAISSAFASKY H257_14601 MPPLSPATSDDDDCGGSSAVSVEGGVCHVINLSHTTVSGVLKVF SALKQPEFTTLELSHGELDQFALEKLTQTFLPMHTYGITTLKLSQCPLPEGVVTVLVR CFKETGSLRRLVLDTCRDVSMTALMTLTSLLQPIEHLELPSCKLPRQAGLILGQALQS CARLRTLILRHNHLGDGGARAIADVFHPKKKSTAYGRHVATTMDYSTNTPSIILDTLD LSDNGISNVGFCCILTISVRHLHVGRNNITSVRDVATTAHPHLTSLDMSYNPISTDGF DSFGGLITLAHANLTSLNIENCGITVAGLGSLKQAIRRHPTTKLREIRLGEDNSIDDA ATRVGLADLLACISRVTPQVECIISPTIVPKTSPTTSTRVPHPPSNVQQLPPLLTTRS TNVQTKLAPETAQKHDTSMDESPQHQQEGENEDTFALNSPQSSTGTHSVHDDDVPDSP HDDMVRRDDTIASMAVAFDAHMAHQQRRLQHDAVVHQVRVKVETLATDVVPRLEARLD GVSDRLSTLQADMASQMNLMESNMATPEGRHTRGALSLLGPDVKYVDSCMTWKAEMEA RAQDQLDQFQRWQVYMETDRMHLTNRVQELEVKVAGLENVVKAEQQASLLALEAISSA FASKY H257_14601 MPPLSPATSDDDDCGGSSAVSVEGGVCHVINLSHTTVSGVLKVF SALKQPEFTTLELSHGELDQFALEKLTQTFLPMHTYGITTLKLSQCPLPEGVVTVLVR CFKETGSLRRLVLDTCRDVSMTALMTLTSLLQPIEHLELPSCKLPRQAGLILGQALQS CARLRTLILRHNHLGDGGARAIADVFHPKKKSTAYGRHVATTMDYSTNTPSIILDTLD LSDNGISNVGFCCILTISVRHLHVGRNNITSVRDVATTAHPHLTSLDMSYNPISTDGF DSFGGLITLAHANLTSLNIENCGITVAGLGSLKQAIRRHPTTKLREIRLGEDNSIDDA ATRVGLADLLACISRVTPQVECIISPTIVPKTSPTTSTRVPHPPSNVQQLPPLLTTRS TNAPETAQKHDTSMDESPQHQQEGENEDTFALNSPQSSTGTHSVHDDDVPDSPHDDMV RRDDTIASMAVAFDAHMAHQQRRLQHDAVVHQVRVKVETLATDVVPRLEARLDGVSDR LSTLQADMASQMNLMESNMATPEGRHTRGALSLLGPDVKYVDSCMTWKAEMEARAQDQ LDQFQRWQVYMETDRMHLTNRVQELEVKVAGLENVVKAEQQASLLALEAISSAFASKY H257_14601 MPPLSPATSDDDDCGGSSAVSVEGGVCHVINLSHTTVSGVLKVF SALKQPEFTTLELSHGELDQFALEKLTQTFLPMHTYGITTLKLSQCPLPEGVVTVLVR CFKETGSLRRLVLDTCRDVSMTALMTLTSLLQPIEHLELPSCKLPRQAGLILGQALQS CARLRTLILRHNHLGDGGARAIADVFHPKKKSTAYGRHVATTITNTPSIILDTLDLSD NGISNVGFCCILTISVRHLHVGRNNITSVRDVATTAHPHLTSLDMSYNPISTDGFDSF GGLITLAHANLTSLNIENCGITVAGLGSLKQAIRRHPTTKLREIRLGEDNSIDDAATR VGLADLLACISRVTPQVECIISPTIVPKTSPTTSTRVPHPPSNVQQLPPLLTTGSTNV QTKLAPETAQKHDTSMDESPQHQQEGENEDTFALNSPQSSTGTHSVHDDDVPDSPHDD MVRRDDTIASMAVAFDAHMAHQQRRLQHDAVVHQVRVKVETLATDVVPRLEARLDGVS DRLSTLQADMASQMNLMESNMATPEGRHTRGALSLLGPDVKYVDSCMTWKAEMEARAQ DQLDQFQRWQVYMETDRMHLTNRVQELEVKVAGLENVVKAEQQASLLALEAISSAFAS KY H257_14601 MPPLSPATSDDDDCGGSSAVSVEGGVCHVINLSHTTVSGVLKVF SALKQPEFTTLELSHGELDQFALEKLTQTFLPMHTYGITTLKLSQCPLPEGVVTVLVR CFKETGSLRRLVLDTCRDVSMTALMTLTSLLQPIEHLELPSCKLPRQAGLILGQALQS CARLRTLILRHNHLGDGGARAIADVFHPKKKSTAYGRHVATTITNTPSIILDTLDLSD NGISNVGFCCILTISVRHLHVGRNNITSVRDVATTAHPHLTSLDMSYNPISTDGFDSF GGLITLAHANLTSLNIENCGITVAGLGSLKQAIRRHPTTKLREIRLGEDNSIDDAATR VGLADLLACISRVTPQVECIISPTIVPKTSPTTSTRVPHPPSNVQQLPPLLTTGSTNA PETAQKHDTSMDESPQHQQEGENEDTFALNSPQSSTGTHSVHDDDVPDSPHDDMVRRD DTIASMAVAFDAHMAHQQRRLQHDAVVHQVRVKVETLATDVVPRLEARLDGVSDRLST LQADMASQMNLMESNMATPEGRHTRGALSLLGPDVKYVDSCMTWKAEMEARAQDQLDQ FQRWQVYMETDRMHLTNRVQELEVKVAGLENVVKAEQQASLLALEAISSAFASKY H257_14601 MPPLSPATSDDDDCGGSSAVSVEGGVCHVINLSHTTVSGVLKVF SALKQPEFTTLELSHGELDQFALEKLTQTFLPMHTYGITTLKLSQCPLPEGVVTVLVR CFKETGSLRRLVLDTCRDVSMTALMTLTSLLQPIEHLELPSCKLPRQAGLILGQALQS CARLRTLILRHNHLGDGGARAIADVFHPKKKSTAYGRHVATTMDYSTNTPSIILDTLD LSDNGISNVGFCCILTISVRHLHVGRNNITSVRDVATTAHPHLTSLDMSYNPISTDGF DSFGGLITLAHANLTSLNIENCGITVAGLGSLKQAIRRHPTTKLREIRLGEDNSIDDA ATRVGLADLLACISRVTPQVECIISPTIVPKTSPTTSTRVPHPPSNVQQLPPLLTTGS TNVQTKLAPETAQKHDTSMDESPQHQQEGENEDTFALNSPQSSTGTHSVHDDDVPDSP HDDMVRRDDTIASMAVAFDAHMAHQQRRLQHDAVVHQVRVKVETLATDVVPRLEARLD GVSDRLSTLQADMASQMNLMESNMATPEGRHTRGALSEIGVILSRVWNPPPVNPRLCR FVGP H257_14601 MPPLSPATSDDDDCGGSSAVSVEGGVCHVINLSHTTVSGVLKVF SALKQPEFTTLELSHGELDQFALEKLTQTFLPMHTYGITTLKLSQCPLPEGVVTVLVR CFKETGSLRRLVLDTCRDVSMTALMTLTSLLQPIEHLELPSCKLPRQAGLILGQALQS CARLRTLILRHNHLGDGGARAIADVFHPKKKSTAYGRHVATTMDYSTNTPSIILDTLD LSDNGISNVGFCCILTISVRHLHVGRNNITSVRDVATTAHPHLTSLDMSYNPISTDGF DSFGGLITLAHANLTSLNIENCGITVAGLGSLKQAIRRHPTTKLREIRLGEDNSIDDA ATRVGLADLLACISRVTPQVECIISPTIVPKTSPTTSTRVPHPPSNVQQLPPLLTTGS TNAPETAQKHDTSMDESPQHQQEGENEDTFALNSPQSSTGTHSVHDDDVPDSPHDDMV RRDDTIASMAVAFDAHMAHQQRRLQHDAVVHQVRVKVETLATDVVPRLEARLDGVSDR LSTLQADMASQMNLMESNMATPEGRHTRGALSEIGVILSRVWNPPPVNPRLCRFVGP H257_14601 MPPLSPATSDDDDCGGSSAVSVEGGVCHVINLSHTTVSGVLKVF SALKQPEFTTLELSHGELDQFALEKLTQTFLPMHTYGITTLKLSQCPLPEGVVTVLVR CFKETGSLRRLVLDTCRDVSMTALMTLTSLLQPIEHLELPSCKLPRQAGLILGQALQS CARLRTLILRHNHLGDGGARAIADVFHPKKKSTAYGRHVATTMDYSTNTPSIILDTLD LSDNGISNVGFCCILTISVRHLHVGRNNITSVRDVATTAHPHLTSLDMSYNPISTDGF DSFGGLITLAHANLTSLNIENCGITVAGLGSLKQAIRRHPTTKLREIRLGEDNSIDDA ATRVGLADLLACISRVTPQVECIISPTIVPKTSPTTSTRVPHPPSNVQQLPPLLTTRS TNAPETAQKHDTSMDESPQHQQEGENEDTFALNSPQSSTGTHSVHDDDVPDSPHDDMV RRDDTIASMAVAFDAHMAHQQRRLQHDAVVHQVRVKVETLATDVVPRLEARLDGVSDR LSTLQADMASQMNLMESNMATPEGRHTRGALSEIGVILSRVWNPPPVNPRLCRFVGP H257_14602 MQIFIDALGGRTLNLTVANDASVASIAAQVEDLEFIQNFRLTAA GVTLNGAQSLSDYNVCDADTLKVTFDLVGGMRAKWRKKRMRRLRRKRRKMRQRAR H257_14603 MHGHFEVLPLHWNGRLMQMWNQLMASSDEKIHVIVSTAAQEAVR QAAAVGIVAVASQTWIDQVPKINYRSAREHHANMLTGTAVVDLGYLVTTATCNQLLPL QQLAVVCCEWFDSCHLTQEGNLQEKAFNNDPFFEMPRLFCVVVGSTGCLFPVTIREDE TVSVLKDKIAIKAMYQFPADEMDLFKAKDGTGFSCEAAAAVTLADLQDVQRFEAMGPA LSIKKAFGGTFPPNEEEVYVFAVAPEQPAASSAASLVEIDEGVCKKHKRDEDEGSLSI SKLTFPKITELGYSMKGWMLPPVDGVPRQNIPDFEWMDGVNETDSRNVQRYKDYVAYM LRDFPQLMITTTVHLGLSTPWANPDADSRGPPTLVMVIELKKHDTLSVANIAQTVGYL LAAHTLFDKQAYRPTPVGMDHQKLTRETAWQYIRRHCEYVNSVL H257_14605 MASALIALDRFSLHPSMIEATLFLKCNRSYWDVLTVHETLEYFH ASHLRTAAPVVTSASSIRSPDATSAPPSTSILQQLTAKLRGDPV H257_14606 MVGTDGSINLAVFGYDDFTEFVLYKYKTAGIRRLCATETQTGVV KTGTLPALATSVGTHSLRKGAATFAIGGTLSSDTSTTTVLATSTWAGLWQDCLMPAAA LPLYHLILPWLQWCS H257_14607 MPRLFCIVVGTGRPFSVTIPEDKSVGMLKDKIAIKAMYQFPADK MDLFMAKDGTGFSCKAAAAVTLADLQDYASQLFCVVVGGTGCPFPVTIGEDETVGVLK DKIAIKAMYQFPADEMDLFMAKDGTGFSCETAAAVTLADLQDVQRFKAMGPTISIKKA FGGTFPSNEEEVYVFAVAPEQPAASSAASLVEIAEGVCKKHKRDEDEGSLSISKLTFP KITELGYSMKGWMLPPVDGVPRQNIPDFEWMDGVNETDSRNVQRYKDCVAYMLRDFPQ LMITTTVHLGLSTPWANPDADSRGPPTLVMVIELKKHDTLSVANIAQTARYLLAAHTL FDKQAYRPTPVGVLTNLQDEWLLCWVDRNGEVCMMTMDRDHQKLTRETAWQYIRKHCE YVNSVLREELAAGGTLVDDLQALKLFPVFGDTNTMGGQVRKFAPLEYEDNMADVLETE EEVLLYQMYKRLQRTTAFDMPYAAMYS H257_14608 MDDQPDNDVEKLDLSSAVTIDGLCVDMCSPKERDEQIRCDDLST FEKGNPPEAFIIKRYQRSAADHKLDIPSEIRPLGVLRMTQLYLEQHVIDLDECGPDPR FNPPRVPDFLDLYNFFWNRARMIRNDFTLQNYRGGGRHHVIAMDVHERIARFFILCEH ELIENPKFIEQQNMEQLGKTMKSLQDFYDDARLHGAESSPFEAEFAGYFILLHLDKAS AVLKFTKRLPRSLLHSTFVQFAMAAFVARHTNDYVAFFRLVRQASLLQACLLHRYFPL ARSDALGCMARVYRHPYPLEPLVRLLCFDSLQHAATVVGLHGLALSADGASVVFGTAD FVADKVPVTCSDVYVRSKQGDWRRRDVCRGVTEYDPDKYPLLPRQIQETEMDERRRLY PTRPLYHDPFSKFTLEDAQPNSDNHQLRRVGTSSASLEAPPPPPVLAEPLSSAPPLPP PRPLTTVFGSKKPPVVDEMGVIPGLSKLTEIEQRQKRLQQDKQRLLDEIAKRQHKSTH VAASSTIGSVTTTSTTSSRTATTMPSAVPRNEPIPASTTPVVQEDATSSNATHNEVEP AKSLSTGTISTKSSDKADDDVAAKQNEADAAAIAAATRKALEAAAAKEAKEAAAKEAA AKAAKEAADKEAAAEKQRVELERKEAAKRESERHEALKAKYEIQALGQTWLRINFDSH DAVVTRSNAILKQEHDEVARAQRLAVQKLRFALWRKMVSRQQRPRVPGNAKFEPSTCG PQATASSVVQFQGPIESLLSNYYPKATPPTAWTSTDKAITDASHAAMLALQHQLHKPV DVAGLLAPRLKRRYPRASSLAYSIAICRLPTDDDEDDKESSSTSSTSTFDAAAWLAAK CGVSSGGHRVYTHESFVLHLSSSVAPRSGPNTAVWFPVAFACLDQVGPWVRRLVNSSV LRGAPTAVHVVALTALHPHEVEATGQIELDRLASNWHLHWHVGPWDAAHAVVTSLVKS MGDTVTLIPVVPFTNVPLRDTIDDVVALTLHQTSLLSRPHISDLVRHVHHALSVLQHL FDASDSSSSDLMGQVLAAVPPSGTSGSTHGWIHSLPTLSSTQRELAVHLVEAAWQIPH DQDEDASPAKSIVSIVYAIVLNGIEGLDTAVVALPTSWVVECRQLMHLVRTVSSIDKH ARVLGKKRPPEDENVSTGALVSMMKKRSTTLTTAMTITPTTRHALQAKWKRDAARMRA MRALDHEKAAHASFRRMLESAFET H257_14609 MHRLQQKVLGKVKLLGLKDSLPATVGKAAQVIFVHKPSNEEALK AALPFPVSNEVLSDFKGNAKETLLLYPKDGQRTLLVGLGDAIDEVSLRDATHEALANL KSRGVRHAFLRAPTVDSLSAQRVAELVAQTSVLSNYEFNRHLSAPEDTSVEEKLPLTD IFVQASTSSLDEDASSVAEKLSVAEETLFARNLGNERSDVVDPAFVEQVAVASAADLP NLHVTVLQDADLRSKGLNMMALVGQAGVCPPRLVILEYRGNPSAPDDRIALVGKGITF DTGGLNLKPTGSMEDMHMDMCGSAAVLGAIRAASKNGLRVNVVAALALAENAIGSKAA KPHTIVKSLKGLSVEVNNTDAEGRLVLADTLTYVQQQYKPHTVIDVATLTGACVVALG EYSAGLFSNADDLAHDLLEAGSVTHERCWRLPIFAEHSAELKGHQSDSRSTGTGRYGG ASTAAAFLKQFIDKDVKWAHLDIAGPAMYSAARSYFPKGATGFGVQVLFEYLKKAQQK HV H257_14610 MMTTNILLLGGVVCDDVADHVEAVPATMCVGGSSDPRNQRMHET SGQVQTTKVTMLADDGGEVEVGCAPGAKVDANTSVGGRAATRVYMEVVTPWWMGWWSL FGLHVAELSCTKGEMKTEKSTSSTVGTPASGEAVAVSTCDAMAARYCTTCMAGCLGSR H257_14611 MQQRVRLLRPFLRRTSTAAAATVPFRRLMHHAAESSSRTLPQAS LVPVLAALAAAATGVALADGKKDDTSNDSTSAYVNWSATHDCHPVRVYEPESVAEVES IVAFHHLQKTKLRPMGSGVSPNGLGFSDESILVLSRLDNILHVDEELQQVTVEGGVIV GDLLARLRTHGLTLQNVASIREQTIAGVTQAGCHGTGASIPPMEEQIVSMDIVTPANG RLTLNDPSDPRFQLAKCGLGALGVVTQLTLQCVRRHYLVENTQVMSISQLRQVHTSLL KSNQHVRYMWLPYTSSVVVVTSNPSTSPDLPADHTEVSSDVDHRLRPLRLLYADLART SPPSSWRFTQLRDALYALDPLNPAHIARITQVEVEYWRRSQGTRVALSDDVVGFDCGG QQLVSEVAFPMSNGSSTDLDFMEALLARIQADHVPAHTPIEQRWTARSRAALSPAHSA EDATLFSWVGIILYLQDADDTRAATQAYFAEYSKLLEDVMAPYGATEHWAKLEVQSKS KEEIEALRTRLSARFPAWKAFKTLRDEWDPNHVLSNEFVDVLVR H257_14612 MSSAATDAAAKEGTEKAANDAPKAVASHHAAEKNPWLHAYHNSV AGIKAFTNCVQLADVYGDGDNKLIVADADRRLKMYKGSTLMSEQALLGVPVALSVFFS ESTRPRTPSIAVASGPSIYIYRNMRPYYKFAIPVLDVDPEEDKLWTSIAKCETDIPAA NHQLNLLRANGIRLTQRSRGFLAIDDIDQQADYVSRFIDEPLVEQTTITCMTTINKNM DEKDAISCLVVGTEACQVFILDQQGTAVLCKTSIPSVPVDMVINGLYDVEYRVIVSCR NGSVYTVKNGELLRSVIELESPACGLLQMDKNIIVACVDRKVISYHLKGKKNWSMSMS QDIVALEAMNLRRTKHTKGILIALRKGEILLYHEKIKVHSFNIESNLTAMLFGQFGRE EASLVLVHKTGALTLKILQRNADLEASATAAGPPPEQDIPLNVPKKTKLYVEQTQRER DHATEMHRHFQRDLCKLRLTTVRSYVKVIRDGQGPVSYATGAAIRLNAKVQGIGPRFK IQLQLQNSGTKFASEVPIALHYDHTLYRVGTSLFVVPLLLPGVPYAYTVDVESVASAA DSIYIFVCGKDSCVPLVSAVVNMPLSELLASTLS H257_14613 MMQESTLPHVGNNYLKGVMVDKYNALCKELLRLDDAVRRLSDEA VRLLSHQSWQDALQLNTRRNELQLDLEVTLGQVDETVAHVIVCDPNLLQSFDEQRPDG VDAHPHKDEQPSSMTAITLHRKLDVHVECARKHKLIVTLTEEWQSIQGQIDDALLSHD IPRMESLHSSLEQVEANMAAHDAARGRLFIHEALACRHVQRCILQCPVKESAPEVGET E H257_14614 MADAAAEKMVLDAMFDIKWDFAKCNYNRCLRLADLRCPKCVGEF YCQEHKKKHLKARHGKKELDDYGAKICENCTNFKIEFYCMSCKRYMCYKCVNGHLHPV YPVSDARGLCNAKLSWNNAFLADVSKFMVQYKNDMPLRSTSQPPKQPAAPKTPTPPPS APIQQPSPSPPATTPAPSSVTPQPPHDPRKQTPSAPPNKPRDPRQPIVKQELVQPPPP ASVPPPVAVQRPVKAETLPSNPLDVLANRGEDKEFITSASLTNLTLPHVGDNYLKGVM LDNYNKSNNEADRLDKAIRKLEKEARENVSSRSMQMALQAVNQRSELQRLLEGVFQHR DEAVAQVIVYDPPVLASYDAAQDPSHPSFKQTVTSALTLRVVSLKHGMCAKVELKIQA QLSQWVHIQNQMDAAVATHDLAAAEALQDKLEPLEAEMCKLDAERAKHFVEIAALTER VRTLVQQYRDNNQG H257_14615 MADTTAIAHPTAHGGNPPSNNNNDDSALSWNEILRVQNLIERCL QQSMSQADIINALQAQANVDPHFTCTVWQKLEEQNPSFFEAYTLHLTLKEQILTFNYL VSQQRQSMASDPSPTEQHLPQASSFPSNNHAQNSSFRGASHMAPLLSPIKTDLDTCAF FT H257_14615 MADTTAIAHPTAHGGNPPSNNNNDDSALSWNEILRVQNLIERCL QQSMSQADIINALQAQANVDPHFTCTVWQKLEEQNPSFFEAYTLHLTLKEQILTFNYL VRGDSCVVYSTDVGDM H257_14616 MSSDDEDAGSDNGGDDKQSSLLKAFFSKYEVDVDDDDDEAVEEI DLSEKQLTKVPSELCVIPQLQESLRTLCLEKNQLKGLPDAIGLLHNLHELYLRENELE SLPAALAKLTHLDSLYLEDNALSESGFPNEIHTLARLKGLCLQRNKLTRVPLPLLSLV ALEELYLDANSINELPSEIGQLVNLKELDIPNNKLRTLPKSFVNLVKLEILHLEGNAL DKLPKDLGALISLRKVYLQDNMLTKLHASLGRCTRLEVINIENNSLTKVAKRIGDLPR LKHLLLAGNHLESLPFNPYEKLGGLRRLTLTGNKLSPELMKLEGGAPSSAAAIAETDE DDDG H257_14617 MKPNMRALGFCGADDSVDPKLLQIISTHYPWVEWGVLFRPDLEG TPRYASSAWVSRLASLQNNATTLPPMKLAAHLCGSRCQDILSGDARFVKDLVKLGFRR VQVNATAANRVVVDPEHTSTYVENILACIRSVPDVEWIFQSNQETKALLAGLLVAAPS NMSILFDASCGLGVPMTEFPKPFDSIPCGYAGGISPANIVQMLARIGDASAGRPVWID MESSLRRFVRGPDGAETDSFSIDVCFACIQASLPTFEEKQSS H257_14618 MTFFVPLLLLQGRLLFLQHNLSLQFVLLLKRRFFVALLAVHHVP PRLRKAVTQSFFPRQVVLENVVLVLVSLGHRVFVQQLMLLDDIVRVQLLGHQRLHLHL FQPFQVVLALDPLFVHGLVLHRLLGLEVRPSLRLRLGHVIPRRRFQVAQVVVQGMPLP HRCHFVGLEGAKSRRVHLLHAAALGV H257_14619 MGQLTHDELDALIWGGGRSQRPPTDAALEGLVRRLQVVWVARVV TWLAQTWVVEAWSAESTKATGILMLLYVLSYFLYVRGRQALVIKLLVLLSLVGLVSDI VKPVIQMAAGQNGAASPWTIGGLLALVSISLSLVMLWCNARIVLRSIAPKKHLHKHQ H257_14620 MGLGTPCVNSGGKSHEKTFDLMEQALQTLQADEVAAMRQGYALD NDLKNMESKMEDEAMDTHAMAWADLEAKQTMEGEAMHKQWRQRQSDYDELKQAEMQAL ITKQQHATNAIHENEVLDQDSMAKRHALEQTILQRNLAHDQEVRRSQAQMARDVLASK QTYEANCLELLHDRQRQNMRHKQQQATADGVAADYM H257_14621 MEELDAVALRALQAQEVATLRQGHALDNHLNNVETATRTNRTDA DAAAWADLEAQHSIEGEAMRLRWTQRKNDFEEQKQGEMQALMAKHHRAHKAIQDSESL DETSMTKRHVFEHDRLHRYLEREQERRCAQAQMTRDVLSCKQCYEDSTLSIKHDRQRE TMRHKQSAAIYVLQQQKCDVSMPTFQHFA H257_14622 MSVVDSSNGVLAYYDTVVGPFFADNGPVVPVVCVLLYLTLSGPG CRVVVNVLGLEMSAEDKKLRKRHPFVTALSVVHNFLLAVYSGWTFYNSASIFYHHYQT NPGYSNFMCDASGKHWSIISWWFTHFYVSKFYEFVDSWIVYLKGDKPILLQTYHHAGI ILCMYCLIQAQATAPALVVTSFNSFIHTIMYTYYLFATLGYRSPYAKYLTMAQLTQFL VGISLTVPSYFVAGCNNTNQTYGIAAIHVYTVILIYLFAMFFAKRYATTKPAPKKVN H257_14623 MSDKFGSYVSTNERHTLANNPALAGMDYSHQWVNHTENFVNPAK GAHTQDAQNDVKVLHVTCSTENQRCHGKNNMLQLDLAHVQCEHCDVMSILLCPYVKDQ LKLHRQFQ H257_14624 MKDPTKRLKMWANGYRMDELHSHLGDVGCRLVLGEKEDAHKFIF HFLSAMPMCLPAFSGAVFNIIEFNTCNTQARQSNGALDAKYLERNRRVSSDRIVVENF FGRVCSLWRISSTTFTWGEKIYLSLQKTTFALTNFHLSLLPLRAEDENYYAMFLAPTS AWRARRSESVLRLSAVID H257_14625 MLWQVLRAAAVVLCGAAVAEGGNLAPIIVRGNRMYNSVTGERFF IRGITYDYDVSDANYDLSKPIIESNLKALIGSFNTFRLYNADPTRTYDKFMKHMDTLG VYVIVAASPANLDYYGKYKYSTITKIWSPDGSTVIEDGVTKVQKDQTKTCYPALLLEY GKRLIKDFAPYDNTLGFVVANEIMQHDLMAAACVKAYTSDLKNWMRGNAKYMRIMPLA YAGADSAGTPVNGGTPQTPEAYTVMKIMGLLCGDTMKNGVMERSIDIYLINEYRWCNV PGTFSPYQQFLDMAKGVPIVIALGEFGCDTYSPRTWSMMPYLFSDSVKSMGFTDVYSG GLAYTFGQASLGADAKYPLFTGGSTKLEELPGKVPTADYTNLLTQFKANPSVVQIGGF TKDTVCTWNPPEPTPGINSVFKVTKTWFPECTHPSLKLDPTDKWMTSSRQGAVCDAKG GQCEVPIDSANPTTEESICGFAVKAPEGGGTCKTNENCGSHGQCVDGPDSKKACMCIG CYSGSDCSVYNVDKCNTLKSDPHAPKLVFTAIGAFLGVMLLVFGGLGIASGKKNAELR KAELAAKSSLVNST H257_14626 MAGKGNGAAATKPDSSQMERELRESNDAIKLCDAEIKEQEMHIL KHKCAMERHTELLKRQQYERQDLQIQRDSLLKRMEVFRQYKRGADLAPLEGTPPPKKH TSSSNAPMTTPTPPKATVDVRGTRSASVAMVVHPPSPPMKPSQAPPTTPRRLRNVVTV VNSPVRPRVPAASSIDPTAGSRRSSTSSSRHPDHFWSTTDKYKVLGQPRCVMIADLSD RKIRCSAFHSTLPDILATTSDEGMLRLWHYHHPRRTLAPLSSIPATAFRKTNGCIESI AWNPSRSKLAMAFRDPVKDQGGICVAEWESDTKLNLPPTNLWQADTALHPKGVSCIGW LDESYFVTGGVKHKLVCWNHATREVQTLHQHHRSEVRSVCPHSSGNAVFSGALDGVVA KFDLHTQTVSVLREWRKPVISKINAILEHPANPHLLMYSCVNPANQVMMFHDLRQRAN DTMPSMVWHKLQNKGMSQYITPRWSSAGMHVSCGSTVGNVFIWDLRACKRLESPHQTV GVHNGKVLHGLWHSTQNAMITVSHDRNLGVVTFQ H257_14626 MAGKGNGAAATKPDSSQMERELRESNDAIKLCDAEIKEQEMHIL KHKCAMERHTELLKRQQYERQDLQIQRDSLLKRMEVFRQYKRGADLAPLEGTPPPKKH TSSSNAPMTTPTPPKATVDVRGTRSASVAMVVHPPSPPMKPSQAPPTTPRRLRNVVTV VNSPVRPRVPAASSIDPTAGSRRSSTSSSRHPDHFWSTTDKYKVLGQPRCVMIADLSD RKIRCSAFHSTLPDILATTSDEGMLRLWHYHHPRRTLAPLSSIPATAFRKTNGCIESI AWNPSRSKLAMAFRDPVKDQGGICVAEWESDTKLNLPPTNLWQADTALHPKGVSCIGW LDESYFVTGGVKHKLVCWNHATREVQTLHQHHRSEVRSVCPHSSGNAVFSGALDGVVA KFDLHTQTVSVLREWRKPVISKINAILEHPANPHLLMYSCVNPANQVMMFHDLRQRAN DTMPSMVWHKLQNKGMSQYITPRWSSAGSSHFVCQSKPV H257_14627 MSSLPTIPSAPTNKVIVAGVAYLLGSLAISALYLTILAHSAAND FWWRHFNTTGDQTYLADVFNSRALFQTTSSSFMSPAEPLDLVGEAMLKDYSGANTFVD TRPTSVRRWMLKALPLDVAVTTLRKNSLFESFYTVIPYCWVDFTRRFELAHTAGRQRR CDREYQTNAAVHLESLLRNVQTVDLLQSSVGSKFNQSIFASLYTLVGGADWMAAVTTH TWLSIPDEVQLWHAAGLTEYTIEYQNRFQYGVEDSVEVVNALGTPQTLSISSSHYVAR GLSSWSTVRMNVGIWNDMNWCMYYGCSLVRQANRTLENIGLDWDLAYNGAVSSPGMMV VRAAIGPLMNWDSRWIRPPVVLLELVQSFQRTLRGQLKQKSNDASSFLGLGGVVAVDV EPPAWCTPSTAYLGGNPLCPIFPPKSFVQAPFSYDDSCQVQAPFVIPLDKVNAVFAMV MMMWQARELLPTPDQTVNATAAVANPTAICTQSPSNAGICTSALQNATALALALLDHT PEWLATPTQSTELVQLIQTIRAFNISFVQMAIQQGAFVLLTQSILDPPSSITSWSFFG WATLLDWVDGTREVLQIEGDRGRLNLLSDRMPTEPFAANPLELPRKACYYFWYIAVYI TVLSGVVSVVCFAYTKQGFQGGYDGRHLFQYHRVFGSVWVGRPLLFVRGISALFMLAT ATPRLMSLPSGITFYQDDPRSFLERVVLSGEALWVEYVLVDLFLPWTTGHSAKISSPI ASAVAFIGTLCLESIAPVQVQTTLDRNCVVISFRQGITCTSGVVQVGSFPRVCWLLGI QAGAFALAVVVTLLIRRSSSSVFPRQNSGKTTYHHALIPAAADAFLLPPATAIFSSEY WHLDPVMCILSGMVPFNQTYLFDLKLWIVTTRDPAIPSGQSFGSTLFAPTPQQITPGH RPPPMTKQKGVEFTSRHAWLGTLSLVYMCGCIGGSYAFIQLTASAMDNDFFWAGFDIN TLTHMTNLYSRGLQEEIDADTIDTSIVLTDPQYGALTGTINTTATTTLIPTLYATSIQ DEANTLVNVITSLRHMENGCNVPWIMTSYCYVDFERRWEMGRTSNQQARCLSDETGNA AVYVEAFLRNVPWNTWTQCWGDAFATTLLAFLDTSIDGRQWVASTTAHATSPGSVLDE LSVWTTKHGLNRYTTQWQNYKSLGIIESFSVQNAFGWRYPLTLKSSNGSLQLAVQTTF KMLWPFAVDLLMSSNNSGSLVRQAATYVYANTTVESFLVAAGIVPRPISSALALLQTT LGPLGTIHLKRLPCPKVLRVLHRDLSHRLTSLLAATRESQQRFWPIYSSFTWQVRPTA WDGFVLGGGSLMCDIWTSLTVDDRPAMWFTSKGSCNAGLQEVLISDTLRVMMATVAVM GPTLDVFAVARREVTAPVANIALLLNQSVAFLRTFESTWQLDDWLRRTQVVQTTIRDE IHLDLVQFVRPHNPPTSAFALSQVRLFDGSDPHFNLFAWLYLFDWVQGIREVVTFQGD VGAITTLSTINSATEMHVNPMEVPLNVAFYMQWLLQYITFVMLGVAVVVCVYIVALKG QVEAANMLSFSRITSLVWIGRPLILLRAFCAICLLSTSTLQLVRPLDGLVAYFESIPT PWFTVVLTAGELNWMTFIVNDLFSVLTLHHTATYSGHSFIVVWISSVVWALASPIAPI ATISRTCDVDALDFQLVCHGGLVEIGLVSRFGGLIGLVGGSCVVCYLVERIRQPLKRT TRTRSLVMEPLTDSSFFLYATAKHHFHTDKWEYDDVKYVDRASAVLTGILTVHWNGVL FAFDIKTWRMFGIQDRPRRDDDMPRHIAIAMRLVG H257_14628 MWAAATLLKRSALAARPTLTSSRAFHASPLLLKRKDGASASVVK IPRQFSKTLTKQEKKKKDISRGHKDVAGRMRDVKRKQKQLIKHEAAYAEFEQDEALLK EYDLAFEETINSGRHREYVYNDIMDLTLRDLNPDEVAESFYRLKSKDQTIDLLHDLLR VYAIHKRVDETEAILHQLEQHTDGPIPPPPSSPSSSDDDISTSEDALQVVPKTRKLSP RLKPNERTYGYHIAALAETKQAAKAVRVMGHMKEVGVPVTLQTYNAVMQACTRSGRPD WAYNIFEKMQANGFQPSVVSFTILMNASIAVGDMDRAFETFHIMRAHVAQPSLITFNS LIHGYAKIGRVERCINLLEDMLSLQISPNNVTYASLIHACSKSFHYAHKSWEFFYEMQ DNYDIIPDTVVYSYMLNAAARHGDLRSADKLVYLMDKHQVPQTKAILISLLNVYARAQ IKSVVRRAKCNIPPPDSLEPIIPTTRHSELEWDDQGQLIDLDRPGKQNVYSNHNMGYD EGEESDSDDEDDYDDEDSYDSMAGDDDDDSLSRVKTKGLSPADQEILKKYLVDRKPIP VPKYLSGPPPPPEDEDPADVDALAWNPLPLEEFNRFQNANRDQALTVYNKCLELHGPS VALLNNMLSVHANALRLQTARQFVAEEFAKHNLKPDVFTYRSLMRMYTRAKRPQMAID CVAELHANGIKPDATFYGYLVDYFACQRKLRSAMEVLEEMDRHGLSLPEANAFVLRKL VKKYGIYTELLSEDPNAIHSMSHADLKDMRRTRAAAIADNVKYNRKFYIPRRSQAEV H257_14629 MAAAAAYVLLVIRGLEHVALTEINSKLQVVSIEILTLQADPAQP RLDVERGEAAVGKLLLYTTSSLADVKSLCSIQACLAYLGHTADIATATSAGLNQIGML VERASTWSAALALWKDVHHDPPPPSSKEDAPSSALRFRGSCVRDGKHAYSSEAIAGAV GTAVLNLHPKWTVSLSDFDVEVVALVMHSHVVCGISLAENSKTINYRGGRLAPEARHL SSLQYISTLRPSTAYLMLQLAKCQPGDVVLDCMCGVGTLPACAVRWGRHVFGLGGDVT RDAVDQASANCTGQYASICQWSAENLPLRAACVDRILVDMPFGIRCGNSVNNSKLYPK AIAEMARVLRLYGIAVLLVMSKKLLMHSVRNTPSLVVVDALQVNIGGLGVGVFVLQKT SPHMAPRHKPATSTASKRKLQQEHVPSTTV H257_14630 MVAQSKLAGGVALSVIALALAIREKGQKDAESACSLNSQYTPEK KKGQDKKVAINNDFLGRFMRLFRIIVPGLWTPEVAYALLVAGLLTARTTFDITILHMM TSIERAIISGSRKDFVHHLSRFLLVMLPVSVVNCLLKYGQTELSLRFRTRLSTYLYTK YLHEYTYYKVSNLDTRITNPDQLLTVDVERFATSVADLYSNVTKPLLDISIYAYKLAG TIGLSGPTTMLSYLVGSGVFLTWLRQPTGRFTIAEQRLEGNYRFVNARLITHSEEIAF YHGNKREHAILQSSYDALVHLIRQAQQFRFSISVVDNIIAKYFATVVGFWLVSRPFLN PADTRHATSSHAERMEDYFRSGKMLMKLAEAMGRLVLSGRELTRLAGFTLRVTDMIQV LNDLHAGKYTRTMIQSDSPTTNHTGTIEYKDHVIEFQNVPLMTPNGDVLVPSLSFKVE SGMNVVVCGPNGCGKSSLFRLLGELWPLFGGKLVKPARSKLFYIPQRPYLTLGSLRDQ ILYPNTADDSTDDADLLELLQLVQLEYLADDGWDAVKDWADVLSGGEKQRLAMARLFY HAPQFAILDECTSAVSVDVEGLMYSYCKKQNITLFTVSHRQSLWKYHDYVLQFDGRGA YSFREIQQSDMALGS H257_14630 MVAQSKLAGGVALSVIALALAIREKGQKDAESACSLNSQYTPEK KKGQDKKVAINNDFLGRFMRLFRIIVPGLWTPEVAYALLVAGLLTARTTFDITILHMM TSIERAIISGSRKDFVHHLSRFLLVMLPVSVVNCLLKYGQTELSLRFRTRLSTYLYTK YLHEYTYYKVSNLDTRITNPDQLLTVDVERFATSVADLYSNVTKPLLDISIYAYKLAG TIGLSGPTTMLSYLVGSGVFLTWLRQPTGRFTIAEQRLEGNYRFVNARLITHSEEIAF YHGNKREHAILQSSYDALVHLIRQAQQFRFSISVVDNIIAKYFATVVGFWLVSRPFLN PADTRHATSSHAERMEDYFRSGKMLMKLAEAMGRLVLSGRELTRLAGFTLRVTDMIQV LNDLHAGKYTRTMIQSDSPTTNHTGTIEYKDHVIEFQNVPLMTPNGDVLVPSLSFKVE SGMNVVVCGPNGCGKSSLFRLLGELWPLFGGKLVKPARSKLFYIPQRPYLTLGSLRDQ ILYPNTADDSTDDADLLELLQLVQLEYLADGTSEILLFYDIATYGIYIYIYIYIYIFL DGWDAVKDWADVLSGGEKQRLAMARLFYHAPQFAILDECTSAVSVDVEGLMYSYCKKQ NITLFTVSHRQSLWKYHDYVLQFDGRGAYSFREIQQSDMALGS H257_14631 MIQGTRHPSMFRSPRMTFDSEFASSCTAIAYSGDTPTTDAVRLV VDARAPWPAERIWTLAVELAVTCSIVLNQLHTYTWVCASNDAHQKSLVMADLVSSRNV H257_14632 MAKLHREETREDGGRLVQVLRAVKLPLGRNKLVLGTRVQEHGRR LGRGCHVGKPPPHKCKAPGAVPKYESNQRRKPADAADFNRPTSTHHLKVHLVNSAHPV HNHRVGHRSCKLPHSRRRPHHHKARPRVLLRVLVRKHRAHVVDDVRHPVELARGENDH IPGLGITLQERHKTVQRT H257_14633 MSDVKNVGVIGLGVMGQRMLRLLHNQPVLRATYVWDANPSAVQS TLEAYPELKAAASAADLIQQQGLHSLYIATPPAAHIDLTNQALDRGLAVLCEKPLSTD GAAAKATVERIAASGARTGVNFPLATAQGLSLAESLFGENKPLGVLQNVSVDAAWLQW PRQWQQGAGAWLAERHEGGFTREVLSHFVFAIQRVVGPLTLLQSAATYPEASNLAETD LSARLTATRDNATVSVSIEGHVRGDIPCYNQVRLEGANGTLVLGRWFSEVQVLPRDGS DFPVDKTDRDDLDLASHWAALVDGQPHTLSSYAEALGVQQTIEAMLQGKK H257_14634 MQVLNSALDSTCAFDMSSVEVKEKVEVTSSPVDTVPLVSQAKSL FQALGGDVKGAAQTQDNFTKGCPVVSQARSLVESTILRDPAAAAKTQKHFMSFSLDHC IGLSQAKSLVQVICGDTAGALQTQDNFTRGCAVISQARSLVESTILRNPEEASKTQQY FFGKKSKAAEAPPDCPLPSLECVVCLTGTKTVLLLPCKHLCLCQTCSANVTDLCPLCK APIECKTSVFL H257_14635 MNVSTLTITSINTPLPCLGSVLTPQGFETCCQKSLLCSAAVDQT TLYVQGIPQPPELDVTPTRLSSSIQGTSWVFIKRVALTDPSQKLDVYVTCPVGVLRKV TQTRDQWQVSLPRANVFTTAFDGYQVQLSGPVNSMGIQLVWQPMPPTVNFSCSIQAVV TDPLEGANFTALMSVAVQLAQTLPLVQLPQTYFELDEGTPFVLPIIADKANVASVVLD CPPKLCANISWSNGSITRSAESTVILAGDSLRNGSFVVTLVKYTTGILPFTVTAIPLS RASSNQSIPIQVVVRSLPTLPLVDIAPKVYLSVASYVAFQVKITPLDPNDQLVVTISV PSQSVESLWSPGWMLQSTNDTVNQYTVNASSANQSTWQLQGLLVQNLTRPFMIDLFVS STEPATKLATIRHIALTIVPNMSIANVLSIQSEEDALIPIPLASASQLWDMDGDILSQ VWISGQSRRPQPLVNTTVLSYNMSKDVTTVYIQNKFHFSGLQTVYVVGDDGLTQVNIL VKPKTFTAQLSLPSRSTMAISIAAQTSVQLSLPRVIPVSGTVGLKYLGPQGPLRLYLV LQSAIQLRVSSAYVGVFHLSLQSGGGYQVAFDVRIFPLAAVPWISNASSTVVVNATKT SVVLAPQSFDDIMVVDAKTSAKYASPLTLQWPQYETSNKSYFAVATSTEVASSSSRLT VSTATRIVGTTVLVVSQPVAPTMQLEPLRNISVFFPAWYVYWNESIACRFQVQSPDTT GNQYLRFFATMNASHIQLVRYNQSSVNVSVDSGGSALAVVEILAKPSTFFLPWTQLEV VPRAGFVGDLRFALVVRSIVRESQNSVDTVYSWSVTVIPTATAVSLQLFASKSKFLET ESVVLNSTVGVTSAMENLSMAVFSSMPNNIATVQPVNLVPNQPFSLQTVPFWFGVFDV VVNATVQHKTASPRDKAITSRSIRVEIVPVAYPPVLLAPSVVPSAPNTWSNLSITQFV PFVQNRTVPLETMKLYLRVLQLGLSLKLNNTVLKVTPNVLLEIPPTALVMGSGGIYNV TFSAIHRIPSSNTSATTSVDQTLYVAGISVDFNASSIVEGQGILLSVALKSPSQAPVT LLLTCSDFWQRVELSATNTTVVDTNPWNVALATTRDYVDHGDLTVNCSVQIQTSDPFF SQVDPARTIPLVIQDPDVSGVDIAGPQTNKAIQLVVAEGVFGDSYSIRLTTIPFALVT ISLSCDQVRVGVFPSTLTFTPDDWNIRQAITVNATDDFIKQGTVDTWITHTVASDDPL YAKLAMFNVALRTIETADRTPAPMVQQIYFGDTGADLLVMFNRAVDQTNFTGATNFSC GLVFNVTVAGVFGDSPLCSWPNTKTIRVVLGKSPLVLPKDFVGLNGNVLKSTPDAVLS MASIWIQVDLPRKPLVPRISVSGALNLGSCDGLALNAKASSGSGGRQMTWQWTIDPPG LLDDMGLSNQSIAIPSDLLAYGGVYTVTLTLTNFFNMNATSDNLVVTKASMPLPSVYI EGPSQVSLLRSQTLQLSSVAMVSTCGDATTNPSMGFLWSLNNVPVVSESRNPRNLKLS KLDRGSYATTVLVFMADTPEVNNTASVLVQVNPSPLVAVIVGGNRTIGNVDDLLLNGT TSFDPDNSTTELSYTWTCTDFSTGRTSCNGLDIDNGDVTTVPRDYLPPKATLLITLTV SDPSTGRQSNAIVSLTVVLGNPPITKILSLATTKFNPDAKIVLAGSVTSVLDTQPAAQ WSIDGDSDGSLAASTFGLPVTSLRMVLVPNTLRPGRSYSFVLTGKDKFDQVSTATMSI TMNEAPTSGTVEVSPAAGTTLATSFHISCTDWVDEDLPLKFSFKYIVGEYSVDAPQVT LSDYSLTTSFDTVFPTGGGPNNTITIVSYIADALGYTTQSITTVQVTLPTYNNDAEQA AFLQNQTSQLENLAASNDPGKVLNMVNILASMMPTTYVPAPTDSAGSPAPTPAPTRPP KRCSTAISGTVCSGHGTCTNNPPQCSDDILECTAICTCGSSWYGTDCNTSQEDYDKKQ KMLGSLLNSMVMANANVEPTPQALEQQSSAVASITANVAVLSPTQQSQALDLVASILT SSSSVTLSPATTTAVGQSISSLLDAPPVATTSTQQRRRLDAQDTKSTRVGNTVSLLAT SMLSDHEPGEAAVQMNTKNLKLTLQRHDASAIAKAIVQLPLSVEQVKRNYTSPSFGFP DNFSTYGGCASVDTHAALYASNLYGDESATVINSAVMGLNLKCGDTPMPVANLSKGVT IRMRNNKQYPMPSKPLNGTVQCVVNQPVVKNITCDLTNQLFKVISCNGTNNYTVSYVC PQYIPVQMCRYWDISKGAWSSEGCVQVKDPDPAYIVCECNHLTDFSSQMNQALHAVED NVVAVFTHKTTLEDVKQNLQVVVTMGVFFILYAAGLLYGMRRDRLDAVGYSQQQKKLV EGTKVDMNNLFQLPKVVNAKTKTEKMKALVREFWAGMSGKHQLLSIFLTYDPDFTRPQ RLMIIFTTIMSQMLINAVLYRLRQLEPNIGTMLVSGLVSSVLMMPVTLAFVILFKKSA KKHEYMVRYQLEDSDNVIEVQVDAYGNPVEYTKFDVLRMDLQTLSNGIDPSSFQLCLR WLQRDGLDGPLIGPLSRALFLVLHGHDVWIQPESSPDESALVVKSTDHQKRKRMSSLF KAPRKSTVAIEDPSSVDKAAEKVVLPRLSEHDAMAKLLAMWGNQDLHNAVMKLEPTHL TSDAIAQLAVETNAGTGQLKVSVPTLPFDVVELRNCKALLEFCSKFHECAECFQSDAV AVLRNAQDQIRQANEELIATKQLLKSQLSQHMSTTKSLARRSITRLSRSSSNDHKTAA LRAVKDQVKVVVYQTKRHMTQARTIRKEAQKSLTLQRKQMQKQAKADLRAVLANLNGL QRWKKRFELYVAAKESKQLAAMPLHERQLFLKEKEKLQNLRMTSRLLYNQFLRRQPQK LPKPLFPDWVNYVLYVMCACIDGFAGWFVLQFAFTIGGDLANVFIGSIVTGLVMTWVV SDPIMIFFKMGIMPVLATALLANTGIFEALSAETFVLGAAAAVGVAGVAKLRGKKASA VPIANPEAQKKDKKVDVVDEALARVRRDLDPESSSTSGPPSTQVLAAEMDAQAHVEYL QTWDKLHDPSTAVVDNSEEQKQRTVVVVRTGPSVGPVPVQHPDHALFPSNYIDMPSAI DHRDVDSSNIVPIGPSIDMVVLPFSDEDDDKASEVCQCGQNVPLASRQTHLAHDCSHR MVQCRNPGCGLFVQARGLVGHESSQCRLVLCPCGRMILKHKLRQHQESEECSAKVVTC RLGCGVSGLTVRTLDTHERTECALRPIECPACHIVTQARDQASHRLECCGNSGLTGTQ PSPAVFVPLRVKGPALSMVVDKSPPSPQRRQLAPLRLTKRVYIAAADDTIDETKDPAA ATTQARVSPLRLTGPPLMSTMAEARVQSGLASAAKSTLEERAFHKTQARAFLQENTRQ TAFVDTEVSLFSMNASQPPKPKADKKDKSKVNNPYKLKPGKLYHDSDEDNV H257_14636 MVESVTNYPVLYHTSAALANGSYTLPALVWPAHQNASRRLSTVL ISIGMTHSFVGSVSYGIRLTTERANSSCKWVYGGDMMWGNKVKAFVPANVPPVVRSPL VGNVSYESSVPFNTSAAIELSNVTLHFADEVLVEFWIVGKTVARSVSIYAVEMLSNYS VSYLTGYLSNGRWMIPSRSADGDTARNLDVLALQVRMPFGFVGRVQYGIRLSTRRKNW NSQWVYTGFLTVEDLEIPWPTSQLLPFEKTLAFGAWTSFVLNVSNVTGLTDVSVNCSE DITVTRHDTWITRNNYGVFHIASMSEASVVSWNMTSNRVARTVTSINCTATTASVDIP SHTTSFSWSFNYLPTSVPIVLATTAMQFSVGPHSSSQLNLSALFTDYLNVGAFNSSKC SMSWNASQIEAIELDHVAVNSSFQQFRQPNVNCSQNSTLVVVPALGFFGYSTVVLLVS NDTTVKPFKLQFKVQVPLPSPPSVILFSTTLSASYRSTATLIILEATVPPPCILQLHL PDIPGYRFRGQWYQNVVTSNVSSIRQQPLTIQPPPTYISRQVVNLIVYSLCPDAQTMA VSTQLVLNWLQASPPSLTVVSDPSQLFFATNTVFVNVSAALHPLDMHQGILALDVAFN TSVAPLEQSSDNVDANSISSGHAAICIRACVHANAVLFWGDHSYLYADKFCVRHICNI DLGLERNHSPRGVQTTCQSHSNDGASRK H257_14637 MSLKEVLRPACDGLSLGIKFTKPVNFSVEKTLFANVAPAILDAL TAARSKLLCECKDFKKPTQNQWDVEKSFFQTDAYFQSASEYLSLVKGFTEQPPVVDTP ADDQEGLLSNDTLVPSVPFTCCEWMDVSSTTFVHSLNAHHEYAHTLFAIGCVGLQRAN DLTQVMLRSRDFEFDEPKLKEAYNLLLRVAGVFDAVLSWLGTASTTSTELSEDSLAQW RAEQTRAGNSDIQSLQRVKDFESGVVSKALNVVALAQAQELVLLRGVTKDVVDWVLMG KLAMDISRRYAELNPPAKFASWCAWKHAYYVGLSSYYQGMAEWVKNDGPGCAQAVAQF KSAKEQLANLQNKEVERSKTIIDRDLEIATARNQAVYLEMVPAPCAPLDPVSLVQVQP FHPVKSHTLWSEVSPTHASTAPKTPSSTTPSAPPVQQVDGSSGCACAVM H257_14637 MSLKEVLRPACDGLSLGIKFTKPVNFSVEKTLFANVAPAILDAL TAARSKLLCECKDFKKPTQNQWDVEKSFFQTDAYFQSASEYLSLVKGFTEQPPVVDTP ADDQEGLLSNDTLVPSVPFTCCEWMDVSSTTFVHSLNAHHEYAHTLFAIGCVGLQRAN DLTQVMLRSRDFEFDEPKLKEAYNLLLRVAGVFDAVLSWLGTASTTSTELSEDSLAQW RAEQTRAGNSDIQSLQRVKDFESGVVSKALNVVALAQAQELVLLRGVTKDVVDWVLMG KLAMDISRRYAELNPPAKFASWCAWKHAYYVGLSSYYQGMAEWVKNDGPGCAQAVAQF KSAKEQLANLQNKEVERSKTIIDRDLEIATARNQAVYLEMVC H257_14637 MSLKEVLRPACDGLSLGIKFTKPVNFSVEKTLFANVAPAILDAL TAARSKLLCECKDFKKPTQNQWDVEKSFFQTDAYFQSASEYLSLVKGFTEQPPVVDTP ADDQEGLLSNDTLVPSVPFTCCEWMDVSSTTFVHSLNAHHEYAHTLFAIGCVGLQRAN DLTQVMLRSRDFEFDEPKLKEAYNLLLRVAGVFDAVLSWLGTASTTSTELSEDSLAQW RAEQTRAGNSDIQSLQRVKDFESGVVSKALNVVALAQAQELVLLRGVTKDVVDWVLMG KLAMDISRRYAELNPPAKFASWCAWKHAYYVGLSSYYQVRYRIFS H257_14637 MSLKEVLRPACDGLSLGIKFTKPVNFSVEKTLFANVAPAILDAL TAARSKLLCECKDFKKPTQNQWDVEKSFFQTDAYFQSASEYLSLVKGFTEQPPVVDTP ADDQEGLLSNDTLVPSVPFTCCEWMDVSSTTFVHSLNAHHEYAHTLFAIGCVGLQRAN DLTQVMLRSRDFEFDEPKLKEAYNLLLRVAGVFDAVLSWLGTASTTSTELSEDSLAQW RAEQTRAGNSDIQSLQRVKDFESGVVSKALNVVALAQAQELVLLRGVTKDVVDWVLMG KLAMDISRRYAELNPPAKFASWCAWKHAYYVGLSSYYQVRYRIFS H257_14638 MSRSFSFSVAEHWPRRSITSLPRVLNADLKTIRWLDIVRGFLTE QIVTACPTILQHSDV H257_14639 MAALTSKWPAIRTISCYIHLKRNMRRHKHLLISNDNYDKVKGDI ERMWLARTWHQFQIISTTYMWTWSTKLGEVTFSQWFSEIYLTPAWDLSFSTASGCPGV VAHQQHIESHHKGISKLFLEND H257_14640 MCFHYDTLRESGIAVSTAMLTMKALSLSIRRLTRKGQKLSNHLK EIRDDFVRSLQDRFSVFGTVADVPWSRVVNMDETPVYFEPDVHTTIAPKGAKTVSARV CSNHNPRVSVCLAVTATGEMLPPFVVFKGVPGARTKAWLDDPTTEAWRDSVWAPFASG GLPSILLMDDYKCHKQPAFTKSLAKLGTEVEILPGGYTCVLQPLDVDINKPFKDRIRH AYMMWAAANMVGNEVVPSPSREIVLQWIEKSWAEVTPEVICNAWRKCGYGFVV H257_14641 MDNTTILEYDDDVVTELSSMYSSSSPVYSPVSSVDDLSGCIEFS PGSPESPRKSGAMELQPTLEEIEGELEDIFDNFTKMLEGRALDVSSGFLRHYETCCVR LLNTAHLLIAPSNSDLYFQCLDRSVGVAGRLVRAQGTHLAAQYQSYRY H257_14642 MQTRRAKRKTQDDDADDETPSPSTAPSSGQGRGRSTVPSSRDGR GRGRGARAGRASSMSPSNLLHAAGQPSSASSGPPINIRRTSPTTTTGTDGLGSNTPRA LFPSTAPPTPTATPHTPNPPIPANHILPQLLTFLPHTRPAQLTPEQQAIMGSPGVPGV PGYTGSIRSGVPVQPTGPQPSPMPPPSTAASASPGPTSTAPSSTLGSSTIPAQVPPLQ MAAASASPTNPMLARPSGNGGSPGSQTTSQPTIPAPSVGTIGLPGSAGSAGSSIPMGT TPPRPWSARIDALVSRIDQMNVRQEEDREHASAMTERLGVVEKSLSKLQKEATALRAS VGNANRSHKELKGSVTSSLSQVDDQIRQLTDYIEENVRRLDGQLSSMTSAAISQLPRI PSSTPAIVVGQPSFAPLVAPDAAAGRALCNSIDPRTHLVCLNLRATCDSRRTGKHVDP GVITPMSVLCPCDRTKRKVPCKALLMSCAQPLHADHRRRRIEELLRQGSLPFTLAMLQ EFLEKAGLLPASGVGPKSIHPQHPGPSRGPRSDDDADDDAGSGILSL H257_14643 MSAVFKTFNEMLGQEQIPTLAYRPQANGQTERIIQPLTVGICSE HNHLQHTTRDPFRSHARVGPTNYTVSWLTNHSYEVWLLIDQVDAGAKKKLAHLWQHES RPTELVEGIAPIAFDVEMILDDGDYDDAEDEPPDNSGNPGNPALPGNPGNVEEVPVPA AIAVPAANTSNPTAPKSITTIKDDRMIEHQTRPWQKQYEVQLEGSDQWVWVSEHAMPA TPLLYEFERGRKNFDLLAEMVLGKELRVEPELRRVDADEELATPELEIDPVPRDHTYE DEEKE H257_14644 MKPTAFFTPMTLIMTMMVQDASAHGRLLVPPHRGYIGKLPQFSG LVPINFSDHGLSGGGIGQTKGGKHGICGDSYSGKRLHETGGEFAKFPQHREKVIGACY APGSTMDLQVQITANHKGYFEFGLCKLNSRNDMETEDCFKTLVQPNGEKDCQLPAGAK TFNMQGWSALLTGWRRRSSHSGCQGSRGGDARACAR H257_14645 MAKNSKDRAQKPNAAVAAPAAASSETEEEVPVTEPPLVPAVGGT TDVLPAVEQSKSEEVRKTMEELAVANKAMGSARAASMTWDESRRRYGAYVDEDYPPPE KSDAEKRAEEKAEARAKLRAERRNQPDSTPDSEEEEKEYQEEQRKQAEWRRINKANRP AKEKRVDLAKVARAAHDRRMLDTTLPSSSGWGPLTSGPPTPSSGGWGPNFNSSGPSLV VTDVQPPRTTCMDRTFLVSWVKKRETYEYKLRANAQPMGGEWRRSAVGWIPSTDRSLL KATCSYVWRVPLEQLSEDDYRDHIMEIVGQPATKWTSTKSDMQNYCRALSVDPHGDVT SRLVSFMERVDDVIDENGLRQQLKDPTMLRTFVKVVAARVTPSYLRDRVEEQMKTVPA NDLVAFADILREQLDRTHDADMVNQQRNSYGSKRGREEDDQGRRITKHAKKANQAVRD QRELRGNYPRPPGGYIKPERSAAVWSPSTQKRTGDPPATKYGPQANSRPRHDDRHVQA VRDEARPRYAPGRDDRGMLCFVCQQPGHMARECPNRKDGDRDETRWKKGKNAVKRFKA RGRKANMQAKRMKKPQPPSKEDDGRWVRLNSVLEVPYCPEAGADQNIVPQAMVDELQV LQPQLQVVKLAAPFVGTACNQMPFEASSYVDLTLTMQTAAGPVKVPGKRRCYVVNDGD EFLVSDDTLKTIGIDIDRLLEQVARLQVDEDDDDLEEVGGDYVELPQRSAVRAATMKA ALPAAKNEVEEAL H257_14646 MSDATTNDKSVTDLKFNGRKVMFTAWKARIIAHLNSKSTEDNYK RVMDDKKPLNLAHSDSLQFKPIINDVDFAADMPPSATAASLEAEKMKRFYYLRMQESL IRSLFGKVLPNEFLIQLPGTINNPDLNLSDVWARLEREYAQSSLDAVVAALPHEYFGY NVKQTTDGFKLSTIETLVKQVFSGKSSEAIANMSSKHPKREVHVNQAKVHRNQANKRK STAYSECFYCHGTANVDGKGHLKADCALLKSDLSKGIARKNFKEVPAKRIKVSTNTAV ATAKARLSSMAIPDMDELPDSGPDKWSCLTSIAHSLADQTTRMEEEHMTPLSGNNAFS LIIPTGSTTATVTMTLQDGYYERTNDVTKWFYASPIRSYSAGGSGLPSSSFTPRVTIP SSEFGNLLGFEPSTLPATPSSGTLSFLSTKAPQLNPASSYTAQLSGK H257_14647 MNKEHLQKQIGTKFVVLKALREGFLVLRYPSGTSVARKLPISQA VVGIVDDVITDKKFDASKYDKLPNDDKKAIYDLFKITRYDQTLRNPLMNPYELDEADK YKMELDKLKGELMLGNCNERNIQEFCKLSSHLYKLGVLSIKQLQENISLLS H257_14648 MVHLFMKYHRADVTQYDTISLVEADWYDQPGTYEEVTTYDRKMF YPSTLGIKATTDAHRFEFPTKAGKVESNGDKRFEKLFAFSKKDHYTHTSLNFVMNVYK STTNIMAARSAWGHLVSTNTIIKSEQQIGNEGIEFSPNLDDDDARYYLREILTQSNGF TFYKLGDKSKPYFKHQFRIKPFLLSHCRRIMANLVLKNADKVNRIMTDSITYEGNVEI DAFMFSKKDDMSGRDATIVGNSLKMF H257_14649 MEDTSPGVVRDSATIEPWTAPGLGDDTKNGMASRPPQTKGVPGF AYKEILQEGGIQERPEDRLQTEARMLPPSDKRHGPDAQPRPVPLPEDITVSTEEGGTI GAPGAKATLQQQTDVSPPQRQVEPGHVIITSASFYGSLVVLDGRAASVLQFLALDGRQ VDGMAPPQPQHPRLTSKEVMTVIVYDVRKDHSAPFHRYQAHSTVSAFDPTN H257_14650 MTIATFLSAYALGLAAISNYAHAHGRLIAPPHRGYIGKLAQFAG IVPPDYGDHGLNAGGIAATSGGKFGVCGDSYTGVRQHETGGTYGTFPTNGAKAIGACY APGSTVDLQVQLTANHKGYFEFGLCKLDMKHDKETNECFQTLAQPNGETQWQVPPGNE VFTIQSVLPAGVTCEGDAHCVLRWHYVGWNNADVGIDGQEQFWNCADVYISKTCGTVP APSPSKPSSGPAPSFSTNPTYDLAPSSSKPTAAPMPSSSTSIPPISTHSQSTSQGSCG TCNNCYYPDTNACFIGWSAAQCARVAEYNWCGPAASSAPVCGTCTNCYYPGSNACFIG WSAAQCAQNPAFNWCGANAVLPSTTTPITTSPGTKPDTHPPSYKPTTTQVPSAKPIMY ATSPPSPSSPGVSNKVSWNWFASSTTDCDASLSKDTLNRGLYIGGENIPADCGKTATF TYNGHSVTATYAWRTTGGQSYQELSPQAFAKLLGSDVDVSTIGSAQQMQQAINDPGHV IAQCNGAC H257_14651 MKPCTVLATALVLSELAVTSVDAHGRLPYTYAPVPSINSCDTPV AVSSAVPTSVPQPTRGPSAAGVTKHVTWNFFTTGSPDCFASLTKDDLNSGLFAGAENI PADCGKTASFTYNGVTVTAKYAWRTTGGQGYNELSPQAFANLLGVQVNVANVTRAEAL QLPINDPGYVIGRCVGTCGIATSALTTSTPTSTPPSVIPGITTPAPIEAPTGAPIAAS TAAPETSAVTPASTTTTPLIESTLAPTTSRPITPSVTASLRLATTAAPTTPILSTPAN AVRDQLISQHNKIRGAHGVGPVTWDDTLAPKMQAWANSCPGFKHGGPTGWQNLATHTP CGTSTTRACDKVVGAPWLWYDEEETFWNYGSNTCNGDWAKCGHFSNLISPEVKSIACG WSQCANGNYVWCNYNTPVKNPKVSPIRGITKPQLLASLVV H257_14652 MHCCSLYEFIRVLVDPQKQQRRQATHQHPQSHRPPVVVHERNTL NGSHDGRWCHFVTNLGLRCRQQQLLHTIEMQSPVVESGSGSDVCSGVHHILATSAAPG RLR H257_14653 MNDISFKEKRQAYVHAFRVFAGQGKIPIWIDETNFNLFTSRIKA RAKRGQRAVVVRGGTQKGKNLHIIGAMSTTNFFYCEHKRGAYRNTEANEWLQRMLRAA NAHYGSLDDIIVIADNAPCHSSLFTMMMSPVVIKGRSVGVPNFKEAEDVALARAYVQE STVAAIGTDQSGDTFWCKVGDAYNAIKPDGSPNRSVSSLTSRFNGTLAKATNKWVGCM TDALRQYHSGWQYADYIQNAHVEYALANKDKRYAHESVYAVLCKIPKFAIDTEQIESR VNAALGLDDVESGDGSEAPVIHSAIRPDVGKKADKKRKLNATVASDGDGLAPKELQAV EEAVAATKEKNVLLKESLLIQQESLRVAALNSRIAFFQQCPDSEASKMFFAMQSKLFL AEMEEENRRLADGKVEMHPSEK H257_14654 MRMLTSGISAQELDDKFRLGSSTLLESLKRFCVALDQVYGVTVL RAPNDEDLNRLLDEGVQAGFPGCIGSIDCMHWQWKNCPSSWKGMFQGKEGVATVVLEA IADHRGRFWHFNFGTPGALNDIKVLDRSPLFHNAVNGTSPRVIWSLNGHEYNFPYWLA DGIYPQFACFLKTYPNPSSRMQKLFASKQEAKRKDIERAFGVLQARFHVLTSGCRLWD RDAMRTVIKACVILHNMIIDFERETGVCPHYIHAVDYVPKHPFVLTAGQSDRRQRDDS GNEKHHSS H257_14655 MKASFVVTLATSASAARQSFESLSTSEQTSLEQQLDEWKALYGP IAQVNGFFPRTNTESARVNGHSIDELERFHHTVQEVKRATEANPDAEFSPFNQFALLT DEEFKGILMKSFAGQNFTNAAPLPELANERASEADWSTSKCNSPIPNQGQCGSCWAFA TIGTVETAHCIATGELLDLSEQQLVSCSKNGGNKGCNGGSPPDAIGWLQQGVCTEESY PYTSGKGGQTGTCQTSCTKKQLSIGKAKYTSGEGSLMTVLESQPATVAVESGNAVWRN YKSGIVSQCPGAQSDHAVIAVGYGTSTTDYFKIKNSWGTQWGENGYIYLKRGMSGKGM CNVAEEIWYPELGGSSPTPSSSQPTPSTSQRTPKPFPSAVPKLSTNTPITSTPSSSKP TYPTRRPFPSSFPPKPSKHTPMMSTPNPSRNTPITTKRRCTKRPKTTKKPKPTGPSPS YTPSPTTEPSSTSASNPKPTTQSSPTYTSPPTTQPSSTSAPTPSTRFGNGVKDQLIAQ TNKIRAAHGIGPLTWDDALEPKMQAWAKSCPGFKHGGPSGWQNLATNIACGSSGNDCM KVVGASWLWYDEEETFWNYDNNSCNGGWAKCGHFSNMMSPEVKSMACGWSECANGNYV WCNYNTPVKSPKVGKITGMTKTELKASLTV H257_14657 MEAMKAEAAVKDCTYQSWTLREPEADRGGYQETAKTEERAMDPA SGISTMQPVEYETKEEHPSPNTASNIPAPRSMTKSLKCDYVELWRATAVPWFESADLS MDNLDENEFFERICMIMGELANEWTVTKAEMGNNARNCVWTPLAMWQSQLKPPNLLKT FIKAVDSCITPFDVRDRVEEQMKTVQASTLVEFDRGQRKKPRAKKAVTPSRPRWTVDV TNLLVLEKRRGADREQLAKRAKYGPGQDDSGALCFVCQQPGHRAHKCPNKKEDSERVD HLRKGKNAVQRFKYKQRKAELKSKRVVKTTVTEEDGKQRWIRHNVVFERKNRQAAQRH SHVTPILRLDVTTPNRDLHLTNIGNLAQALLTTAANLTMEVDHLTRQPPTGDDRRDRR TGNSNARKWRRNWPACERRMHINAHS H257_14658 MQRLRAAHGIHISTGAPTAPQTNDIVKIPPFTAKASVSIYVPIK CQALPSTNEIEGRAIGDGAVTALDTAAITVGACPNGKSAAQREVSSSGAGDDGRPSMR AKSTPVSSETSAFGQRVQAYFKEPENLPQYERRSPGNAISGSDQFSQTEGQHVRRFNT SVATFWDRYGQQLWKRTYAPFGNSNRLDPLFHQVFNLHVELQLLINNIDYDDTLVQFL CFPHPAWPVLSLNPPTPKKILAGDPGRSAIVMNYWVNPSVRFWPEAPPIPHLRKAGNE VWYRGLGDSVAYLAQRKIRTFMANQARKKGIVDAVSMIRRLATVSRNFIDAGRPWRNE PYPFVSVTFPDDLLSREWTNEVIAPRTTYSWNGQIANVVFWKDSPLLRAPMMDILDLD NSDSDSEEKDDDPNYSASEGDDGHNDED H257_14659 MTRGSRSLVTIGTGMDHVRSTMRYRCGCCTIRRNTTRATPTTTS C H257_14660 MQTPFLPTSHSIPVYMAGTSIQLWSRLWLRRWERTPRFVRSRTG PHLPLRVAVAMEVKGTILAGLEKAHMVRVDSVGAAADPEGMVVMAVEVRLPPADRHSL VGNARKQSLKLESVDKLQVNHFLGHLDDLQIEFELTDIELIRIFEYRVTESKIQEHER EDGRNYAEQYPQGERPVREYAWRIKDATQDLELRYSQAVQIFIDGCKDPGVASCIHGL ETQPGTIQECLDYLRFRDVDLHMRLNDANGHDVPRSTILRTRWMRPASPQKRLWLRFG RM H257_14661 MKEQCKKLRVGLFGDVASRDVSYMERVNNIIDTTGWKSQHKAPN MLKTFIKVVASCITPFDVRDRVKEKMKTVQASTLRGRDWDEKGQRTGKNEQYQREAYY QNGNVPRPKGGYTKPARWNVDMTDLLVLMERQEGRRRTSMGPQRQRGVRLKIVNSPES EPSMAPAKTTVERYTSSANNRDTGKNAVKRFKYKQRKAELKAKRAVKAVKAKIAEDDR E H257_14662 MNYVAQAKAEHARRWAAAFDSPVWQPIAQQWTTQPENAGWTPVS GPHQSGPSLVLKDNEYPPITTVDRDSLVVWKRKRGRYEEKLKDNAQRMQHDLCSTAVP WLESGDRSMVEAACLCLWDINIDDLDESEFRERI H257_14663 MIVDRKRHSAVLHRYQEHTAVSAFDPTNRAAFIINWMTRLRLNN ALTEQKGAETEEDAPTAELEKVPTSGTSTMQPIEDETKEEHPSP H257_14664 MSPWYTMYKARDAQSFVATVSVTPDAFDYIVYYFITSMLCFHDQ PIHFWQVQFGSASQCFVFVLLALISKWEDFCLCINITRNLFGPSTIRRTLRVPGLV H257_14665 MRAWAGGCPGFTHGGPAGWQNLATYTTCESSGKDCTKVVGASWL WYDQEETFWNYGSNSCNGGWAKCGHFSNMMSPEVTSMACGWSECANGNYVWCNYDTPV KNPKVGKITGMTKAELKASLTA H257_14666 MKIPPTGNCKHNERHWRHWTPWLRRPITLICPSHKHSPSQPARR EQLGGTTPSGLLHGPPHNPSHRARDAEDVWLEEEPHLVLLADYHDDTTHQSSETPAVG VRTADSAMRTRATRSATGLGSRHRHALNPVTSTAVSGTDCIKHGR H257_14667 MLTRSWHMHGLHSPDLRIFRSPVPPPANLCPNYGGKTCNSMPTN TGTSLPDGWDVRRYEPNSFWHIVISGSLNKWARTSRLHTTAPGTDAVHGRTTPRHQVT AGPYAYWCICYKRSPGHRTLDTLGATWHLHIPAGQLRMRYHSTITYSSPLRWAGMTNT NKVDILLGYIVSPDTNTSTLSTPCAQELQKWGQLPWVTNPPRNALPSPPPAYTMHFAG MPIPTAIQKI H257_14668 MLFMTVTSMKHCGTWDVVATMFAAASPTFSKRVITFLEAIHPHL KTKYIDNVGAKWTMEHLTSTGQRFANFPAALYAVDVTFQKTNAPAGTFSEKKMYYSKK HGHYGLKVEASVVPTGFAINVTAAVPGSVADFSIFEANEAFHADKMRKTDAERDMPDA GPMLDEYPNDWAILADKGYQGLHRRMRAITPAKRPPGGLLTMSDMEYNDNIATDRVIV ENYFGRLKTLWAIVNESYTWKRENYDLYLQTCVAFTNCHIRFSPLRVDDSHERNRYLN ALMSSSAKKKAKHAVAVKKHREKRKLRLGTFLPSGENAYFDSDTEFYPSGDDSGIFE H257_14669 MTSKSRNYLPAEHETLYHAWLQVSLDPIVGNDQKSSNFYDKVAE IFNKEHEARSVCSLQIHWRDTIQKQVSLFCGAYKKAVHNPPSGTNGIDHMRTALELYK LRSKKSAFRLHHCWLILKDAPKWSVAMEPQEAGSKPASVTLAPVSAMASVRPLGSKKA KAKLKDVDSIVSVQSEMVKANVERNAIARDSGQLKLFTARIDASDQR H257_14670 MSHIEREHPEFVHFDALDPATQQSVIASSTPKPVQAVHGWLTWI TASLMPFSFCENDIARRFTTLGTISVKPLMKWKHAMCRWMESKISETLPESFAIVYDG WTSGSTHYVAMFATFPNNSHRGYEKVLLAMSPMNEEDSLSAAAHVQYLNFVLGVGSSD AEASAFFAAKRRSAMLNIELEIETKRQKLVALRRAQNEPSPILHPSPTVDSDDETSAA CQDDILHCILAPASAGIRENVPASLQHAKHPLYVFTT H257_14671 MLPYKWCSLLNLLACTVVLEQESSGTLTATAHTLRSYSCKTSCT YSSAMLMTPTLLSAVMQSESLDSHRINVLQLAFDT H257_14672 MQAFYDLSDIHDFSYQEVMKVTSDEDSTTVWCLKDGLLKANMLW PRCDKAMTLGKASKLWRCRRTSCGDIERSVRVDSFSKSRLPLSKLVRLMFEWASRKAV STVTQEQEVSPTTTGDWFNFCRDVCSKEMLSCEMKPRHAAPRLLAFGGVDRSTKKWFG LLTYGDRTKPTLSALISKHIKPRTKIMSDKFGSYVSTNERHTLETNPLLRGMNYTHAW VNHSENFVDPISGANTQSIERVWEVRVKQYLKAMRGAHRDHLPGYLDDDQGLNWSIAP VQSASQKILSGAPPSFSGMMSKS H257_14673 MKASIALSTALATTASAARQSFKSLSSSDQTALEQQLDKWKELY GSIARANGFFPRTNRESAQVNGHSIDELERFHHTVQEVKRATRTNPKAEFSPFNQFAL MTDEEFKGMLMKSFAGQNVTNAAPLLELANERASEADWSTSKCNSPVPNQGQCGSCWA FATIGVVETAHCIATGELLDLSEQQLVSCSTNGGNNGCNGGNPPEALGWVQQGVCTEE SYPYTSGKGGQTGTCENSCTKKKLSIGKTKYTSGEGSLMTVLESQPVTVVVQSANEVW RNYKSGIVSQCPGAQSDHAVIAVGYNDDYFKIKNSWGTEWGDKGYIYLKRGVTEKGTC NVAQRIAYPELSGSTPSSNTTPSSSQLPTESTRKPSSSAPKSTRKPSSPPPKNTTDSA MTPSSSKPSYPTRKPSSSSPPPKNSTDSAMTPSQLPTESTRKPSSSAPKSTRKPSSPP PKNSTDSAMTPSSSKPSYPTRKPSSSSPPPKNSTDSAMTPSSSKPSYPSRKPSSSSPP PNNSTQCTNRPTPTIEPKPTGPSPSYTPSPTTEPSSTYAPTPSGNGVKDQLIAQTNKI RAAHGIDPVTWNYELEPKMRAWAEDCHGFKHGGPAGWQNLATYTTCESSGKDCTKVVG ASWLWYDQEETFWNYGSNSCNGGWAKCGHFSNMMSPEVTSMACGWSECANGNYVWCNY DTPVKNPKVGEIIGMTKAELKASLTA H257_14674 MFDVDELDNEVRRSADSNKDALATSDDKPRRSHIWYSLSNHAVA TAVEATADEQKSTLLEHHESNPTLRGLRLANGRSRLSSCPNYYKTNRKNDRIVTLPDI EERILEWVLRCEDLGVCITG H257_14675 MTELGQPEVAAEYGPTAKKPRTFKPTIKTSPVDKMRATSTREMI ADLMAIRFSETAKRKFNACKTTKQKAAWWAFVTARFNIRAGVNYDVKQVTKRFAALKT EYRSLCKLPTRLATWRNQSTTQTTGRYLWNIFRNSSPMMDSIQDNFDETLGDSDDGTN SPLSTATKIRPAAHSPMIPRAVVAIKSLGDSLEATLSRIADALVTMASSKTSPLFAPF TERQDILLLTQISVEMLFLARRGKIMDV H257_14676 MRASGVAEEVTEKTILLDDLATQVDEANNEEMRRASTEDSTAAR SEEAGALVRDEAMKSQGKRKAEVGDGGSNASGNRSVLDLRKFMFARELEERKKDLERE VEERHRNFEERKMDRQREVNERQKDREVQMQQMQVMQSAMTTVLDALVKKF H257_14677 MSGGSTYWYTYMHTNMSRVDFVLASAKSMSVKWNLKMSVILTSV SFVRSLVVLDGRAASVLEIVALGGHQADGMAPPQSQHPCHSKESMIVIWKLHSAPLHR YQAHTTVSVFEPTNRAALIINSALQGDVVDTHKPTKPQPENQGFDDSNSEPYSIESPR DDIHQNHRTAYDFDDSIEDEFPHISNLYSHHHLLLLTDLALHDYNCVVEI H257_14678 MNTPFSDLTSHLSDASIGLYSMQNEHFGIVEMMAAGLVAIVHDS GGPREDIVKPGTGYLATTPEEYATYMYGILTQPNVADDTRQAARVSAGRFSDKIFQDS LATALAPVLILSAASMI H257_14679 MKANLALSTALATTASATRHSFKSLSSSDQTSLEQELDKWKELY GPIARANGFFPRTNTESAQVDGHSVDELERFHHTVQEAKVAKASNPHAEFSPFNQFAL LTDEEFKGMLMKSFEPQNFTNASHLSELANDRASQADWSTSKCNSPIVNQGLCGSSWA FATIGAVETAHCIATGELLDLSEQQVVSCDEKNSGCNGGNPSPAIDWMQQGMCTEESY PYTSGTSGQSGTCQTSCTKKQLTIGKTKTTFGEDSLMTVLESQPATVFVESSNAVWRN YKSGIVSQCPGVQSDHYVIAVGYNDEYFKIKNSWGTEWGDKGYIYLKRGTTDKGTCNV AQRITYPELPASTPSSNATPTSRQKPNQSQTHLSHSQTILSCVRLQALN H257_14681 MKFDLIVLTTLATTATSARQSYGSLSKADVSALEHELDKWKVLY GPIAQANGLLPPVTVKSARINGHYVEELQRFHNTVQDVQEAALANPDAQFSPFNQFAL LTNDEFKSVLMTSFNPQNFTNVAPLPELANERASEADWSTSKCNSPITNQGSCGSCWA FASIGTVETAHCIATGELLDLSEQQLVSCDKKNSGCNGGNPLPAIDWMQQGVCTEESY PYKSGKGSQSGTCQTSCTKKKLSIGKTKRTTGESSLMTVLQSQAAAVAVESGNAVWRN YKSGIVSQCPGGQADHAVIAVGYNDEYFKIKNSWGTEWGEKGYIYLKRGMSSKGVCNP ADPFD H257_14682 MTSIPPKPTDSPPSPSTPSTGTPSPYPSPSSLAPPTGMGMKDQL LYQTNKIRAAHKVGPVVWDEELAGRLQRYASTCPGFHHGGVDGNQNLATYDSCGPGKK DTNCLKKAGAAWMWYMVEEKKWNYDTNKCNGKWLECGHFANLMNLKNTAIGCGWSECG DGNFVWCNYISDGADTTIARLSGMTKEELKASLTS H257_14683 MDGKEQVPQVYFTTKATAIYLITADLGALHNTLNITDRCYRRVL LILESAPLDQPLLGRGDKLGRHVEVTVRIELYAVGVDAVDGMNQQVLAVVHPVAGHFD EDSWTVSFGHQLQTQVSLYVLVEDIAPHYELGYLPQHDLHCAIHPWSAGSRKAMVGPQ DVLVLRAHLPLEMVGDSLVAHAEHGDLLQHSRQS H257_14684 MGTSKTGNKYLLVVKCDASKMVWLIPALKATAIFVKDCVLQWSS CLARATSESVLRVSL H257_14685 MAKLMMETNVLSAESRAEPKLTVDYLVAAIQPTAVRACVKERMK LNENRGPKKDARDIKRWLADYIHRYGEFEALMATRHRHVQNVASCDQDPQGWKDPKVV AVVIVDKCLHIVFKCPKLADCEAKLLMERARSICQVGSVVPPTTLAKLKKLGRNVLVT ELKIPIKVKGFVGPSHSVTEEATIDLRFETDAGPLMLTNVKCGRGRDGGCGCEFAAKL AALLDKHVDVFHLSLGRDSPVKMPPLKVL H257_14686 MSPPPGTAGLMLRRTISSEMQYATTMSLLSRPLAGALRFAAYPP EITSVMLSAPRRDMPTSRWCTSTINDSCIRSSKMETNNRLMVVYDEQCRRQNVEQLHV KNKQTRSHEQGLHQATTASIKHGEQLEEMQLPAVAAPTTVPADAGVQVVYGFQEVPKA PSFNGSTKVQMRKFLDQYETYASEVNIAQRAPLSVCIDPLSVECIAYWEIGKLATG H257_14687 MKASVVITLVTSAIAARQSYESLSKADVTALEHELDKWKALYGP VAQANRFLPPVTVDSARINGHYVEELQRFHNTVQDVQEAALANPDAQFSPFNQFALLT NDEFKSVLMTSFNPQNFTNAAPLPRGLVDI H257_14688 MKASLVITLATTAIAARQSYEILTSADLTALEQQLAKWKALYGP IAKANGFLPPVTTETFLINGHTVEELQRFHDTVQDVQEAALANPDAHFSPFNQFALLT NDEFKNVLMKSFNPQNFTNVAPLPDCDKKNSGCNGGNPLPAIDWMQQGVCTEESYPYT SGKNGQSGTCQTSCTKKQLTIGKTKKTSGESSLMTILESQPATVVVEAGNAVWRNYKS GIVSQCPGAQSDHAVIAVGYGTSTGDYFKIKNSWGTQWGDNGYIYLKRGMSGKGMCNV AEWIWYPELSGSSPTPSSSQPPIPSSTRKPFPSTSESPKPSTDTPMTSTPLTTRRPFP TSFGPKPSTDTPMTSTPLTTRRPFPTSFGPKPSTDTPMTTTPSTTRRPFPTSFGPKPS TDTPATSMSTPTTTKRRYTRRPRTSRQPKTTGPSTTDTPSPTTEPSSTFAPKPTTQPS STSAPTPSTPSGNGVKDQLIAQTNKIRAAHGVAPLAWDDALASKMQTWASSCPGFKHG GPSGWQNLATNTACGSSGKDCMKVVGASWLWYDQEETFWNYGSNSCNGDWAKCGHFSN MMSPEVKSMACGWSQCANGNYVCPFNQFALLTNDEFKNVLMKSFNPQNFTNVAPLPEL ANERASEADWSKSKCNPPIANQGSCGSCWAFATIGTVETAHCIATGELLDLSEQQLVS CDKKNSGCNGGNPSPAIDWMQQGVCTEESYPYTSGKNGQSGTCQTSCTKKQLTIGKTK KTSGESSLMTVLESQPATVAVESGNAVWRNYKSGIVSQCPGAQSDHAVIAVGYGTSTG DYFKIKNSWGTQWGDNGYMYLKRGMSGKGMCNVAEWVWYPELSGSPPTPSSSQPPIPS STRKPFPSTSESPKPSTDTPMTSTPLTTRRPFPTSFGPKPSTDTPMTSTPLTTRRPFP TSFGPKPSTDTPMTTTPSTTRRPFPTSFGPKPSTDTPATSMSTPTTTKRRYTRRPRTS RQPKTTGPSTTDTPSPTTEPSSTFAPKPTTQPSSTSAPTPSTPSGNGVKDQLIAQTNK IRAAHGVAPLAWDDALASKMQTWASSCPGFKHGGPSGWQNLATNTACGSSGKDCMKVV GASWLWYDQEETFWNYGSNSCNGDWAKCGHFSNMMSPEVKSMACGWSQCANGNYVWCN YNTPVKNPKVGKITGMTKAELKASLTA H257_14689 MATVPQRETSTLEDIHGALVAERSKKAYASGIRQVVKWIQQTNQ ADALLSADGSINLAAFSYDDFVRFIVWTMQNTAVKASTMSGYRSAMRNYYKMQKVPLP SQFDGDLKDVFQATSEQTTSVKDSGKRPLGYGAYDALCRTTILAMDAGFLHLFLVLSW NLMARSKSTETIQLGHLSYEEDAVGITFFKSKTDQDGSKRRDPRHIYANPLQPHTCAF LALGLYLACNPMLAAGALFPGSSQRTRFGKGLKLALIEDNPVGSSEIGTHSIRKGAAT FVSSGSTGGPSLVSICLRCGWSLGSVFERYMHYERAGDQFVGRVVAGLPLNQANFAVL PPHFVDNNSDAVVAALDVTFPTLSKVASMRGILAHGMASLVRHFDYVVDTLPAKHIVF GTPIFRQPLMLEALKAELATTNQRLQPSGIPPYIEVYRLLEHQGSSIDAMPRSIVDQM RGMLDERDVTHGTITSVLIKQTIVDALQVLGVGRHLDEPPLRREDEQGQRVTFLYSWG GRMHKLPEGFEFPLADTATAWSLWWLGNDRLKYVPYNAIDSRDLGSKKQRRILSEWKL VMTELADRYMECCGCSLPASWNERKAAESFDVAVRGLHLLLSTTPMERQRRFGQLKVV TVARLIRQRRGAKTSRPYQKRKRSEAPGTT H257_14690 MLSRISSRAPVRSMAAPASAVRSFGTADNETKESLAKALSDLQK ESAAKTVPWFLDNMPAAYFRAITKEDRLQHLNALTALVNAQQPEVLLSSPDKKVYSYI RTGDLYPGLLSDIMDQLPKDQGELARVKIFSSLDDSLAVDVFRFGRQEPFRGDTPEEK TARDTIQAYVADIQAGKYAGVEGVPEPAAYFTPEAVDEFLSLSNSMYVKFSSPRRLAT QIALFHRVRGTEGVAVSVEHGWESKSDENKLSGGKVPQTMITLAASNVLTKHLLQRAA TYLGLKDLNVRRAHLDVIDDRKKGSGTVSMIRILVSPSEEDFRKNTQLDWKQIEYDLR YLKWLDDKPIDIALAHKNVVDIPHAEIIATYAHMLHGILAKVDPYAYSLPRIFELLNH PTNAALAASIADLFLERFDPNAAKKMSGDELKTRTEAIKVEVRKNVEGEDAIRLLNTF ADAIGATLRTNKYVRTRYALALRMDPDFVGYGTVGKEVPFGVFFIHGRRFKGFHVRFR DIARGGLRVVAPAGSDAHALESARQYNEAYNLAFAQQLKNKDIPEGGSKAVVLVEPRS SNNDATTRNFIIRKSVKAFSDALLDLNTTDEAVKSQVVDYYGKDELIYLGPDENIIPD DITWMTKRAAYRGYPIPRAFISSKPDVGINHKVYGVTSEGVAVFADVALRSQNIDPRK QSFTVKITGGTDGDVAGNIIKILAREYGSNVKVVGICDGTASLDDKDGIDMDELLRLV DESLPLSSFSATKVRAGNFLLADTPAGIRARNTLHNRVKSDLFIPAGGRPNTINENNW KDYVDPATGKASSPLIVEGANLFVTPEARQLLFDNAGVVIVKDSSANKCGVICSSYEI VSSMLLEPQEFLDIKDELVHDVVEKLRHLALVEAELLFREYKQNPNAALPPSSERISR AITRLHDSIVANFDNLAVDHSDLLYSLVEEHLPAKLRDVALDRVSKQVPLAYIKSIVA ASLASKIVYREGLQYVESLPASNLSDISVAYLKQEKKVQALVAEVEGSGLAHRSDIAD LLVRGGVRAGVVTL H257_14691 MQNVLPGNLETYPGRETSSWRMTASLMRVAPLPMMRRTRSSTWD TDTVQTMQTLGVQRLSIPKEFNDNNGLGDSDEMKPQGVVKWSMLIEPPQACTRGEPTS LSWSMTDDLALGFHPTTVRIEVWNKAWTVPTVIAMTAPNSGHYLWKRVYWGMPIKDEY YIKIYGADDDNSNTLLAESPLFAIVK H257_14692 MQRYTKESVLAKALYGEVLLAVDNVTGMKVAIKRMNLDAATSRT SIHGIPIAEDIDMEKQVNRAVSNGKDGCHPHIMRMLRDFEEDGMGHFVFEFCAGGELF EQTLPMDTMTVAKVFRQVVNAVHFIHSRGYVHRDISLENVLLDANGHAKLCDFGLATT IDERSTHIVGKAFYMAPEMYLRHGYMPAPVDVWALGIMMLILLTGAPPFARANDSDTV FLYVKQHGITSVLRAWKVLHLIPLPALDLLEKMLAVDPAKRATMHDVMHHPFLREDLH LVSLRGRKRMIVRKWLAKMRRRLHHMLRNVLPSMKNAPGVVGL H257_14693 MDQFQVVRELAPALFGCILLCVNKSNGQHVAVKRMQLAAAAKHQ SNEGPTVQEDVHVEKRVYRHVDKVGGHRNILRLLTSFEEDGHEHFVLEYCVRGDLFTM VQEAPHQHLPASQVLHYAHQICHGLSFLHSHGIAHGDISLENVLVDAHGVAKLMDFGL AVESFHGMQSSSAVGKFFYMPPEMYMGAPYDASKADMWSLGILLVILHTGMPPFARAH NHVFASFQRHGIRALLRGWNVLPRFSMDAVDLVEKLLVMIPATRLSVAQVLRHPYLTP PQHHPVPKHAMMGTPEHAGSSCHSKMEHKTRSSGGVHRFFQRVFRKAVGSTNQSTLCG TLHDDSSERTLCNDTPTSNNQMCT H257_14693 MDQFQVVRELAPALFGCILLCVNKSNGQHVAVKRMQLAAAAKHQ SNEGPTVQEDVHVEKRVYRHVDKVGGHRNILRLLTSFEEDGHEHFVLEYCVRGDLFTM VQEAPHQHLPASQVLHYAHQICHGLSFLHSHGIAHGDISLENVLVDAHGVAKLMDFGL AVESFHGMQSSSAVGKFFYMPPEMYMGAPYDASKADMWSLGILLVILHTGMPPFARAH SSDHVFASFQRHGIRALLRGWNVLPRFSMDAVDLVEKLLVMIPATRLSVAQVLRHPYL TPPQHHPVPKHAMMGTPEHAGSSCHSKMEHKTRSSGGVHRFFQRVFRKAVGSTNQSTL CGTLHDDSSERTLCNDTPTSNNQMCT H257_14693 MDQFQVVRELAPALFGCILLCVNKSNGQHVAVKRMQLAAAAKHQ SNEGPTVQEDVHVEKRVYRHVDKVGGHRNILRLLTSFEEDGHEHFVLEYCVRGDLFTM VQEAPHQHLPASQVLHYAHQICHGLSFLHSHGIAHGDISLENVLVDAHGVAKLMDFGL AVESFHGMQSSSAVGKFFYMPPEMYMGAPYDASKADMWSLGILLVILHTGMPPFARAH SSGPFLRSVISVLMHDDRSCSVDHVFASFQRHGIRALLRGWNVLPRFSMDAVDLVEKL LVMIPATRLSVAQVLRHPYLTPPQHHPVPKHAMMGTPEHAGSSCHSKMEHKTRSSGGV HRFFQRVFRKAVGSTNQSTLCGTLHDDSSERTLCNDTPTSNNQMCT H257_14694 MAWCSRTSRSQNPPGVGHATTAAIGPGSYNTAIGGGSSSKPPKP SFAAFGSSGLKDAYPELPLHTPGPGAYATTSPAAYSVPRGEPVSSMFKSSTERTKFKS ASAAPGPGAYAAAHPSAFKKSKAASAAKAAASSMRRSQSDHTTTNKHNGGGIKWVRVP TAPSIPNVAQSFGYEEGPKGQMILQHPTRTGHSGCANDVSGPGEYDPLTAIHRLACTR ATSFAKSKTSRDQKPKAASAPGPGFYHPEDHDHHAAVQSAVFKSTLTRERATNPMTRR TASSAVPGPGSYNAAATPLGQNAKKPEHLQFFGSTTSRFDAVKKWGSSSPCPSSTSTP FKSQSHHRNQHNIGFTSTNKRFVDTSLAREMCDVGPGTYHASGLVEELQHRVSGRTGV FGSTTKRFESPMPASVLQSVLESSPPRPSNQQDDKGGVPVKSSAFASATSRFQNPATK DAVPCPGDYEVAMTWDKPGGKAVFASHLDRGSALDKKSAAMPGPGSYAAPDSMLKSAK PTTQRKDVFVSTVFIDEHARVMMMTTSSTVVDRRRSRASRASWRRWPTWGRARTTQTR SRPTGTDPRTTSRLPRKWRNAYSKK H257_14694 MAWCSRTSRSQNPPGVGHATTAAIGPGSYNTAIGGGSSSKPPKP SFAAFGSSGLKDAYPELPLHTPGPGAYATTSPAAYSVPRGEPVSSMFKSSTERTKFKS ASAAPGPGAYAAAHPSAFKKSKAASAAKAAASSMRRSQSDHTTTNKHNGGGIKWVRVP TAPSIPNVAQSFGYEEGPKGQMILQHPTRTGHSGCANDVSGPGEYDPLTAIHRLACTR ATSFAKSKTSRDQKPKAASAPGPGFYHPEDHDHHAAVQSAVFKSTLTRERATNPMTRR TASSAVPGPGSYNAAATPLGQNAKKPEHLQFFGSTTSRFDAVKKWGSSSPCPSSTSTP FKSQSHHRNQHNIGFTSTNKRFVDTSLAREMCDVGPGTYHASGLVEELQHRVSGRTGV FGSTTKRFESPMPASVLQSVLESSPPRPSNQQDDKGGVPVKSSAFASATSRFQNPATK DAVPCPGDYEVAMTWDKPGGKAVFASHLDRGSALDKKSAAMPGPGSYAAPDSMLKSAK PTTQRKDVFVSTEPRFKSKLAPLANLGPGAYNPDTIETDWNRPTYNITIATEMEKRI H257_14694 MAWCSRTSRSQNPPGVGHATTAAIGPGSYNTAIGGGSSSKPPKP SFAAFGSSGLKDAYPELPLHTPGPGAYATTSPAAYSVPRGEPVSSMFKSSTERTKFKS ASAAPGPGAYAAAHPSAFKKSKAASAAKAAASSMRRSQSDHTTTNKHNGGGIKWVRVP TAPSIPNVAQSFGYEEGPKGQMILQHPTRTGHSGCANDVSGPGEYDPLTAIHRLACTR ATSFAKSKTSRDQKPKAASAPGPGFYHPEDHDHHAAVQSAVFKSTLTRERATNPMTRR TASSAVPGPGSYNAAATPLGQNAKKPEHLQFFGSTTSRFDAVKKWGSSSPCPSSTSTP FKSQSHHRNQHNIGFTSTNKRFVDTSLAREMCDVGPGTYHASGLVEELQHRVSGRTGV FGSTTKRFESPMPASVLQSVLESSPPRPSNQQDDKGGVPVKSSAFASATSRFQNPATK DAVPCPGDYEVAMTWDKPGGKAVFASHLDRGSALDKKSAAMPGPGSYAAPDSMLKSAK PTTQRKDVFVSTVFIDEHARVMMMTTSSTVVDRRRSRASRASWRRWPTWYEDLHALLV W H257_14695 MDMQHEWQADSSDPMEKMYAAHGTGSGGTKTTEEYTRYRLQETL TLMGCRRNDAITVTGLVFAHYHAHVEASAVTALPWTFQTLQQCVYAELAKLEYTKPTH LLDFDLAKEITQRNTSFVVLLGGTSGTGKSTLASLLASRLRLTTVLPTDSVRHISRAF MTKEQHPCAFTSTYQAGDALTPAQVDELATIATGDMNTIMSDKRLHKRKVLKGYTLQS DAVLEKLDLVLTMFEKRKQSLVVEGVHLNTEQMAELVRRHPNCIPFVIYISNETKHRE RFAVRAKHMTVDPQENKYIKYFDNIRIIQRHLCKNADKFLIPKVDNTNVDRSLVTIQT TLIRALRKQMRGESMVDGATGKFVLLSREHENAIKKAWSSKGVRKAMRPLIKQRVSKR LLLRRLLAEQTMSDQCPLHDDSSSSDEDKADDVRDGGWHGVEEEDEQTTVVGSLLSLR DKTQPTTDDDNSEQLSTAIQRVALWRASLPSPFEELPAPHFLDGTNPDVTFESTVAEM KLRWRRNERSRSWLAPASPTSPFAKGSMSVVYDASTRATLANRKATRLRPSSSSPKKQ HAMRRQTAIDSTSFHPPSSPRRPSLHRAMTMGLVSSPPPSVDFDSVSVAADAIDDDDD MEDMLSDQGASSPLASSPLERSVDGECRFELSSESGLDDDDDDVDDDVTA H257_14695 MDMQHEWQADSSDPMEKMYAAHGTGSGGTKTTEEYTRYRLQETL TLMGCRRNDAITVTGLVFAHYHAHVEASAVTALPWTFQTLQQCVYAELAKLEYTKPTH LLDFDLAKEITQRNTSFVVLLGGTSGTGKSTLASLLASRLRLTTVLPTDSVRHISRAF MTKEQHPCAFTSTYQAGDALTPAQVDELATIATGDMNTIMSDKRLHKRKVLKGYTLQS DAVLEKLDLVLTMFEKRKQSLVVEGVHLNTEQMAELVRRHPNCIPFVIYISNETKHRE RFAVRAKHMTVDPQENKYIKYFDNIRIIQRHLCKNADKFLIPKVDNTNVDRSLVTIQT TLIRALRKQMRGESMVDGATGKFVLLSREHENAIKKAWSSKGVRKAMRPLIKQRVSKR LLLRRLLAEQTMSDQCPLHDDSSSSDEDKADDVRDGGWHGVEEEDEQTTVVGSLLSLR DKTQPTTDDDNSEQLSTAIQRVALWRASLPSPFEELPAPHFLDGTNPDVTFESTVAEM KLRWRRNERSRSWLAPASPTSPFAKGSMSVVYDASTRATLANRKATRLRPSSSSPKKQ HAMRRQTAIDSTSFHPPSSPRRPSLHRAMTMGLVSSPPPSVDFDSVSVAADAIDDDDD MEDMLSDQGMNI H257_14696 MARKPAKSSSPSSRVPIVSVAPMVDVTDRHFRSLIRIMTKRTLL YTPMFLARRVAQRKRHEVAQMLLFRPEELPLAVQLGGNDVRHIMGAARKCQDAGFSEI NLNLGCPAGTAQERNFGATLMKPPHDKITHLVRTMTSQLDTPVSVKVRIGVDSHDDYP FFRDFIGRLHAQGGCNRFVVHARKALLDGISTRQNRLDELVPLRHEWVYRLKQEMPHV HIEINGGIKSIDDMQTHLAHPCGLDGVMMGRLARDDPFAFTNIDASLFGDAHIWKHLS PLEGRMEVLRQYAEYALAEQDQGVESRREMLLRPTNQLFQGLPVEKRVFASLLRSPSA ADRPQMFGQELLQVLQQLPAAVDSERKHMATQKRWSPRYQQQPPDTPQKRAIKAIQSP FPAWAKKKKPNK H257_14697 MTSHAPVDVGIKTLFHALWPCAVYLCLSLSMNIFTKTIITTYKW NCVYSLAAIQHLFTVVTLTSAHKLRVITLPAMSAACFFQTALPMAALHSINNIVGFVC MGLVNMPMYLVLRRLTTFKVMLLEIMWRHEAIPDAMKASLLLAAIGSVIAGFNDATYD GYGYVLVLAQNCCTALNLILAKQSTLAPLTLVYVHSTVGVVVCGPLAVYLERAQVAHF FSTLADPMSFCALFAVMSIVCLMYQVAIHVCTVRTSPLATSVTGNIKDLASTGAGYAL FADVVVTWLNVLGVAISFMGAYGFSYVKYHMLFKPQSSVLGPWWPRRFSAHKLQHLVL SKPKAF H257_14698 MDLLDELDQLLAQERDGGATPPTSYVHQLSGYTSQEPLLTPHGK PTHPMCLPLPLVPPPTVANVSAAHLLAQASMDEASAFLWQGDPSRTLVEVPVSINRVD IKAVKSSMVMNAMSLSYEFASIAVDGQSMAETSNLMLRKPTQLQDYARGKIGNVPFTP GGDSLVTSDTIDPSMASRLAKVYTTDPAAALAVASDWETHLDAFFLPAPGLSEGFTHD DIARLSGASSAPAVMEPSVACDTVGVNPSTLLRPYYDVVADAHSLHALTRAIADDKAL RAMDVGNPALSVQSLLSAAGDDLAWGDDDEEPPATAATSHSDQLTKSNADMPSNVEPF EEEEWLEVSSFAETATTATTASFEWASVAEVDVSDFDSKDLAMKFPFELDSFQKQAVV HLENHDCVFIAAHTSAGKTVVAEYAIAMSQKHMTRTIYTSPIKALSNQKYRDFRTKFG VDNVGLITGDVSINPEASCLVMTTEILRSMLYRGADVIRDIEWVIFDEIHYLNDSERG VVWEEVIIMLPEHVSMVFLSATTPNTFEFSDWIGRTKQKKIHVISTYKRPVPLGHHLY AGGEIIPIVDASTNQFLPASHTYATQKVKPKEKPKGTKGAPSSSSFRSNMGRGGGDKA DWTKFIRLLQDKTLLPVVVFAFSKRMCEESANRLAGMDLSSSSERSEIHVFCAASISR LQGTDQQLPQVLQVRDMLLRGIGVHHGGLLPILKEMVEILFGRGLVKVLFSTETFAMG VNMPARTVVFNGIRKHDGKSFRDLLPGEYTQMAGRAGRRGLDAIGTVIIACWGEVSDT TSLRTMLLGLPTKLESQFRLTYNMILNLLRVEDMTVEDMIKRSFSEFRTQKALASKNI PHAIQKAKKILVRLEEDLEHTHGGQLDLAHVQLFYELTTRTKLLEKHLVGLILSSKFA AAALCIGRVLVVSTADLADAVAIVLQVNKGTVKSFVALALCPASYSPPPAAAADTAPS HLRSGMLIPLGRREPKSAGLKVGDTGSLLGKHYVVLELPESCVDLLTQDKGSTAVRNL LEASDVSVLSRAMELLVDMEPKIKYILDPRADLKMNDIDVVGVYSNIQHMYGLMQQNV CFNSPFVLPVLGQFAKIAKLREYVAGMTAALSNHSLSLFPDFQQRLKVLTRLGYIAQD NTVQVKGRVACEVNTCEELILTEIIFENVLASLEPEEIVSVLSALIFQEKTQNATTLT PRLMEAQTTVQAIALSLGLIQLEAHLEIDPNEYVKSTLNFGLMEVVYEWSRGMPFKAI CELTDVPEGSIVRCITRLDEVCREVRNAARVIGDPRLYRKMEIASESIKRDVVFATSL YLS H257_14699 MSSQEATATETAHRELSMNTHEAGRITRRHYPFGRDLVRHLEEA SSPALPVGAIVGIALGVVVVGFVVYNCIRRLRLENRRKKTQMQMDRALLEGAQQDPTM LMDDPSHLYPPPSSSTTPKIPKRPDIGIVQTPIEHVVGSTGSDDFVIMGNNGKPPPIP RLPKANKVGVHHVTAPPAYIHPSSTKPLPAPGSHAESSFAASCISDDIAMLQSSRGGS FDSEVVEHTKPRAV H257_14700 MADTVRSRNAPGGGAIAASIVVPTYKESANLKELVTRVFTALGP RAASTEIIVVDDNSNDGSEEAIKALAATGLNVRIIVRTTERGLSSAVLRGFEEAKGDL LMCMDADLQHPPESVPDLLDAIDSSKGADAEFVIGTRYGGDKFSVDKDWPLYRQVISS GARMLARPLSGLSDPMTGFFGVPAKVLQRARKSEVNSVGFKIALELFVKCRVKKHAEV PINFGVRVHGESKLSSKVIVLYLQHLFDLYNFAYPSLLWCIFLILFTLALLTFL H257_14702 MLALTTDKAEDSGADGGAGRRSRENEWSWSTVRRGHDKMYDGTR DQALGIFQLAAMVPTSGAAGKKKRSSLLSLTRRNAEIQSEAAVLRQTDRQADEANRAA AQANDAAHQRRLALANKIVALAEAQQDEFDRWVPETPEDYTVGEYLQWYTAQPGLSMK SKVHQEASVAAFRAERKMKASVHGLDSDEDEGKTIDEKGEDNGGSRSASDDEDTESEV ELLVTSVVDSYSTKQLSRLKRPSPPTISTAVKKAYIAARDRKPWEQWVENFVSFVPGQ TMAERFAGTSVFHFLFHNVHPYWAEITQEPYSLASMQTSLGEEETACTFDPALPAAMH ETWAWDFVSAQMRLKKAPGWVGPMYDEANSGLAATRGSPFPFVQFPSDIYEQPVWVAR QNSTDPNLQCEFVAVLGQANAVRLSTGQPVDNTDVLGGQPVDVRGVTLKNR H257_14704 MTKTPTRQTMSSSCQESWRVSWCRLDLRVFYSDDQHQLGFVVAA YAAVAGDVAREHARREHKRLVDFSAPLLLIVRLLDVTIRDAAGMLRPGQVDKHDGKTR SLTSSI H257_14705 MVFTEAEGHGNVASSCEQRIEEEVSVTEPPLVPVVGGTTGVLPT GEKSKSEEVWKTMEELAKANKATGSARAASMTWIESRRRYGGQTMGPNWEQLPRPPIE GANADEDNPPPEKSDAEKRADEKAEARAKLRAERRNRPDSTPDSEEEEKEYQEEQRKQ AERRLINKANHPLRQNVSTMPKWRVRPMIDGCWTPPYQAAQDGDLFRADHRRQAAEDG GLTSTPKRETYEDKLRANAQRMGGKWRWSAVGWIPSTDRSFLKATCTYVWRVPVEQRI EDDYRDHIMEIVLQPATKWTPTKSDMQTYCQALSVDPHGDVPSRLVSYSTMLRTFVKV VAARVTPPYLRDRVEEQMKTVPANDLVAFADILREQLDRTHDAYMVNQQWNSYGSKRG REEDDQGRRIMKHAKKANQAVRDQRELRGNYPRPPGGYIKPERSAAVWSPSTQKRTGV PPATKYGPQANSRPRHDDRYAQAVRDEARPRFAPGRDDRGILCFVCQQPGHMARECPN KKDGDSGDTSWKKGKNAVKRFKTRERKANMQAKRMKKPPPPSKEDDGRWVRLNSMLEV PYCPDTGADQNIVPQAMVDELQPLQPQLQVVQLAAPFTASGPVKVAGKRHCYVVNDGD EFLVSDDTIKTIGIDIDRLQVDEDGDNLEEVGGDCVELPQRSAVRAATMKAVLPVATN EVEEALQGMIDGAVDNGFPMEHVKYLWDVLSKHDIWRIKFNGADPPAKVKPLKVTPKD GCVPYRCKGRQHNLLEERFLKLFAQELLNAGVMKSNQRSAWCSPVNSVLKPDGRKSLK SADKWSDGDVFKNYRLTNDYRVVNSLTELKAGIMPFQATILQNLRGKKTMGVSHPRDD ATMCLFTNASDYGWSIVVTQVVGFDDDSPVQEQRHELLYVSWGCLRAPSRRDVVKMYY DHKNLIHVFAPGEEWKAHTRGKLMRWAAIIGGYRYEIMHIDGIHNLDEALGSADTKSG DQAGQNTSGPWLVKVKGGKVPPLAQPKLRPLDKDFVWPCMMDIRHAQDQHDKDKPKRT TVVDGLWQVDERLWIPSAANDLIQRIMVVAHYGSAGHRGHAALVATIRRLFYVDRLAD RASEFLRGCLLCPHVKGGRVVHRPYASRWHAKERNEGIHFDYLYMGEAFSGANRLDEA VRNATSLGQRPGNPFQNVAMKALAHKFKRNGTVELMNHDILQVTRVMLREYQLAEQEL DYLLPVVQTNLPHHWGASRRWSCLRL H257_14706 MIGSKPSPIGDGFDEKFDVLAHGLKTVMGAYTPKPLAQTAGISY GLFLDYAFLEFQKPAVSFEIIGDDFVVDVTTIKTRGLEVYKGINQFAKEVTVFNDGDV TPTKPSCGD H257_14707 MSSTNNAAHQAFLALLRRGFGDNDTALLLGGMTPDNQTHLVEGI GSTIDLSVAEATAAQKITRLTRASESETPSTSRLKSIKLDVAKFGGAETEKLLRWLLQ VSTAADSQRISDDATRVAFAMSHLKGRAEDWAFSKRLTDRHCFPSFAVFETELKAMFL SPNSDFRYRSQYLACKQGKRSLQEFIHDLRFLAANINDEESLPEALQVTVFMDGLNQG PARTQLFRAYPDTFEEAVRIALSESFSSSLAHTRAASSDMDVSMLAQASDDRTCFNCG HPGHFSRACPAPRRVASAAPPSHGSSRTAPDIPSRRPPSGPRNRFNRERHGGSRPPFR PAPSSSAAGNGRSQ H257_14708 MPTAFHHIIQFAMKHTFEVATFFTLVSSFVAGQSLHSSDGRART FEEETFIWNDADTNRACHKLNDNYIPSLKAGQYSTSAFHNCYRTIDQIYEFTDTLVKQ NPKLLSKFAISKTYKGATIYGYKLTKGHSQSLYFQAQQHAREWVAGSSILFSFASILD DITNNKPTAADEYDLYFVPIVNIDGLEISWSRNRYQRKSANEVDLNRNWPTPIKNPNP PSKNSETYPGTKPFSEPETAGINAWMETKRDEIQGYLDIHTYGGLILYAYGDTKQPIG GGFDEKFKVLGRGLQNVMGAYKPEPSYELYLAYGTFPDYAFREFKKPALTIEIVGNDF TAPASTIKTRGLEVYKGINQFAKEVTVFNGGAITKPPRNTTNAPGPTTASPDGCKKCQ MCYYHKWDSCFTDFTKDDCDYYNAEYRTVWCGGP H257_14710 MRKDGVVFFDGAARMGEGCGGSGAVAMPPAEPLLCEYTAHYFPA PTTNNIAEYDGLIHGLQLAADMGFTHLTIFGDSQLLSAWCSPVNPVLKPDGRKTLKAA DQWTDDDMLKNYRLTNDFRVVDSTIKPKAGTMPFQATIFPLHEDNWDMLSSMLNGCST NEECYQDMLFKNMLIWIDDIFVYAENLEAYVVALEKFFNRVAHFGFIGEGVKQDPERI QHLCAVAYPTNAGDFQQFVCSVHWLRDSMTEYAQTVDLLQQCLTKALEGKGKKKRIAS VKSKLRASVELTHLRENATMCLFTDATDYSWLIVVTQVVEFNKATAIQEQHHELLLCQ SKLNYLLVRPTGFRMYCDHKSLLHVFAPGEEWKARTRGKLMRWAAIIGGYRYDIVHIV VHNVWVDMMSRWGQPTPILVTKRVLVRRGHNWTKKRRLTKTKKAARPQRKLHPLNDDF VWPCVDDIRQAYSGAKYVLVLKDDLTHYCELVACDDPTSQVCVDALVDWSKRFGIPRV WVSDQGTHFKIVAMKALAHRFKKDIQKNINELRTTLHAMHKEVLNRNEQQTSKAAKAT ELSTPAAVDERYHPKLLITWVGSYRVKSVGEFSVTHEEREAQTSRVKMYAEASIEFLP DVSDFMVEVFWEGFEDIESSWEPLKKLMRKCQVVVKAYVLAKKNAEDH H257_14711 MRGGEPAWPEGPSGAKVGVLKGVSEGIVRGCVPEMASPCGWRGG GKGRLKSLGLELQLGWDVTVALAGAVPVRGLCWPAHIPGRWSLRRVSAGCDEPWGADY STYGLLLDKPRKIGECPAVV H257_14713 MVKVISPLRLQKSVQRQLNLQRNKPLKPNVYRIIDWLRVHSAGY HLYALVENEKTSAPPATAAAAPSQPSKPGRSEGSVGGSSGPPRPPEQDSAMYERKKAT CLKCGSENHKVANCPKLRSWRIRAPAQGANGHVGERAQ H257_14714 MACDKVTSSLVQRLDQVGATYIHPPLDQVNALQINGSRPFVMSI SACIDVFTKKRVAFEEDLQDLEVFKKRLTKSIRFGTTILDTDLRIGKML H257_14715 MAKGNAIKEVVTAAVLALGAGSMATSELKSFDEYYKELKIKTIS KATAADE H257_14716 MKFFLLSAIALFASATTAQTNNTFTVFDDRARTLIEEDEFLLFF QNAKVNRACHEQNANYISSLKAGQYSTSAFYNCFRTLDQINEFIDTLVAQNSNLVSKF AISKTYKGATIYGYKLTKGHSKSLYFQALQHAREWVAGSSILFSFSSILDDIANKKPT AADEYDLYFVPVVNIDGYEMSWKDGYRSKRTNANEVDLNRNWPTPFENPNPPVKGDGT YPGLKPFSEPEAAGINEWLLSKRDEIQGFLDIHAWGGLILYPYGDNNQTIGGGFDEKF QDLGRGLKNAMGEYAPGPSYTLYASYGLFKDYAFREFKKAAVTIEVIGDTYIAKASTI PTRGLEVYKGINQFAKEVTVFNGEDVTPNKPSHGD H257_14717 MKFFLLSAIALFASATTAQTNNTFTVFDDRARTLIEEDEFLLFF QNAKVNRACHEQNANYISSLKAGQYSTSAFYNCFRTLDQINEFIDTLVAQNSNLVSKF AISKTYKGATIYGYKLTKGHSKSLYFQALQHAREWVAGLHTAPGRAGALQLAKRFHNM H257_14719 MGRGLQLDEYQRGQISVWKATEKSVLFMSKSLEKSCKAISNYLK NPKPVENALKAEGLLN H257_14721 MKVSLLSAIALFAAAATAQTNNTIAGINGRARTLEEVYEVDDGE PDSTRPVRSTTASAIDQIYEFTDALVAQNPTLLSKIAISKTYNGVTIYGYKLTKGHSQ SLYFQAQPHAREWIAGAWILISLASILDDITNKKPTAADYYDLYFVSIVNFDGFENTW NGFLSRDQRKNANGVDLNRNWLTKFPNPEIIHPDDDTYPGLKPFSEPETAGINDWFKT KRNEIQGFIDVHSYMYPGLILYPYADNDQPIGGGFDEKFDVLGRGLESVLGEYTVIPL AKNLSPSYGLFQDYAFREFKKPALTFEIVGDDFVVDVTTIKTHGLEVYKGINQFAKEV TVFNG H257_14722 MKFSLLGAIALFAAAAIGQTNNTIADINGRTRTLQEDYEVDDGE LNRECHTRCVEQSPPRPTSTVLH H257_14725 MPAIKMLTEGNQVYALPRAQGNNQATVRVILELEYKAAVRSSTL TNPRSGNNDECALTAREQPEKKSECNWCGNIGHWESECRKKAAGKPKFKKNTKHLHNR SQGRHQGHQETAYHTQDDDVWTSTTFDVEDSDDAQQGEVVFHTIDFQDQVLVDSSASS HMTGDATNLTDMGMMPVTTSEGATLVMHDVLLIENMSIAIRYGHDES H257_14726 MKCSIKHDATTIATSTLDTNNKMYILDQAATHERLDLVAHEPNK TRTPKNKVADLAPTGGTLTHRRRQPLRRHGTRNSHQLARTTNEVRLLREEQDHANKDT HPLVPSRGVLGVRLQMHYENDVSRWVQGMKVVRFVNSTDAATQQDNFAKGMACSKAQT GRKVKVFRSNSGSEYSSNAFNEALGEWGVVHETSTALPTTWQMNQNKKKKLDPKATKC VMMGYAEHQKAYKLYDLEQKKMVTSVQVQFRENEFLGERTPIDEYLVTVDDDDDDDEE GSLKGRRPLAQPLHPRRRHTRAPSTNAAAAPPVKRSHFMVPPPPLPRRISDDLYRTRK VNAYLRVITRPNKQLMTMDDFNAPASTNRMTLRDRNTIRTPSRFIDEPRQPNNSDAIA RNWETDQDLNAYIDQIYGDINNPANPPEYQSERAILAPKNVGVDEYNAKVLRKINCSA MFTCLSVDSVE H257_14727 MKVSLLSAIALFAAAATAQTSNTIADINGRARTLQEDFVEVDDA ELNRECHKQNGNYIPSLKTGQYSTSAFHNCFRTIDQIYEFTDALVAQNPTLLSKIAIS KTYNNATIYGYKLTKGHSQSLYFQSQLHAREWIAGASILFSFASILDDITNNKPTAAD EYDLYFVPIVNIDGFEKTWNGTRYQRKNANGVDLNRNWPSLPDPESIHPDDDTYPGLK PFSEPETAGINDWFKTKRNEIQGFIDIHSYMGLVLYPYADTNQPIGGGFDEKFEVLGR GLESVLGAYTAKPLAKTFSMSYGLFQDYAFREFKKPSLTFEIIGDDFVVNVTTIKTRG LEVYKGINQFAKEVTVFNGGDVTPTKPSCGD H257_14729 MTPPPTVRLSGPAATSEVQVNDATTASSVVDLTTLAEPAAHHAA DAHRPKGAIVSQLAAQTEKVSVLERQVKETGQTMEVMIVEVNYAGTTRIGTALAAQEE LQEQLRVIQSRIAQLE H257_14730 MSPGRIWAEIGKSSKVDKSQKHSDQITAVLSVIVVDNIDAHVSK ESSDTIARDLFSVLEPLRRS H257_14731 MHCLCIDGDMVPRPLGPALHAEKPNELIHFDWLSMPMAKSGQKQ LLVVKDDMSGFVLLFAAKSADAAATAQCLMSWFSMFGCVATWVTWKTLSTDVETFNEV IEKVRKLMEVVNRLVLHAVKALLREMKLKADEWPHVLPHVQGALNHQAVGRLGGIAPV TAFTGLPAKTPLAGFVHPTSKAVNVTDWLGAGRQKHVMDIQAADNNVAVRSDKLRQQA CGRRDRKSQVKFAGFSVGEFVLVGSVVNRPTKLALHWREPCQVTRVITDHVMETQQLV PPYEVTVHHACRLKMCHEVGREVTEDLEAQIAFGDGGFDVERLDDSSSSSPSHFTKFA GRYQVFVKWLGLDDEESSWEPASNLLDDIPVVFRKWAAANKQDPAVAALIKTLDFP H257_14732 MKVPATILLLALTCSLVTASTTRPIVKATTGPRPYYLIDNLPEG ALKTKLESCADDRMVPSDFSIGHRGAALQFPEHTRESYVAAARTGAGIIECDVTFTKD KELVCRHAQNDLHTTTNILLTPLAAKCFKPFTPYDPATKSPATAECRTTDITLAEFKT LVGKMDGANENALTVEEYVAGTPLFRTDLYTPPAKGGTLMTHKESIELFKQLGVKMTP EAKEAVVEWPYDGFTRADFVQKIVDEYQALKVNASDVFIQSFILGDLAYLTTSYPDGF GATAVYLDSADTIKDVPSKATLASWKASGINIWAPPIWVLLQAKDGQIAPSQAAIDAK AVGLDLIAWSLERSGFMTNPDHGGWYYQTLNSIITRESDILVTLHVLAQDVGLRGIFT DWPGTVTYYANCFGLARAQC H257_14733 MMGLLFRAAIFVVLAMLPSSSVGDIPTTTPGFTYKDGLPQAPVQ LQVFIDLLCPYSKAAYPALKQLGDAFEGKDFRLTFQVLPLPFHRNAFLAAQSTVSVVH SVGVQSFVPWLETIYANQDKLSNTNTLNTTPNDLITQLASWAHAAFPSIDVASFRKTL LPGTAEDEKTRQLFRYTLAHGVAGTPMYYLNGVHYNNADSAWSFNDWFNVINPLVQAN KAAVPLPNVMSMHMELPDRTVHWNAAASVCGRAAIACGIADGKTICCDQASEVCIVRR GCLSQDRLTVA H257_14733 MMGLLFRAAIFVVLAMLPSSSVGDIPTTTPGFTYKDGLPQAPVQ LQVFIDLLCPYSKAAYPALKQLGDAFEGKDFRLTFQVLPLPFHRNAFLAAQSTVSVVH SVGVQSFVPWLETIYANQDKLSNTNTLNTTPNDLITQLASWAHAAFPSIDVASFRKTL LPGTAEDEKTRQLFRYTLAHGVAGTPMYYLNGVHYNNADSAWSFNDWFNVINPLVQAN KAAVPLPSTYNNPINIIYLYIYIMCLIFVQNQTRL H257_14734 MVTVLVTRNGIPTPTLVPATGTIRAPLPSSSSDPDSEPEMNQPP AATPLTPRQLRSKIAADKFLARQDRIRATRAATRPLTRQPRPSRLSNTHTNHTATPTQ YLSEDDKPIRPRPARKPSPPTTPPQALPSKSAHGPAPSTTKPTTPTSTKTIRSSSTQI SLPEVWRRTQKPDTTTGPMDLARETPHPLPPQPLLAKLRATLGHTIAQTSHSQQTHHL THHQDHLAPFSNKFRRTKPSAVSTMGTLHNQAHLTCTHARPRLPLAHHHPRAPGQYHT HNPPDQRHPRYKIRTSNPPHWDRPLSSQWKP H257_14735 MADQGKKRKASKIAEDADDSVEEAEAPAQQVEVVDLIAESDDDG GVAPSEDTAEVTQRKADWEAKAAVKRERTGGDEGVQKLLEQHLAREEAVKKAKAAEEV KAKTKRAKEAKAIAAAAAKAADETAKEAAEAASKAASEGEAKASGKGATVKTASIVKA ELGGSERTGLARDEVFKRELAFHWDRSRIFSPAGWGGVDLGYVRDGYQTANATGVERY LRLFASAQWFELHVLWMLLAVESVQLARVSFHRFDEFKGAMHELHERERLERWTVDVG RIELCNDETLLEYFVAFVLTLVGQGSGRHGQLPLTAGRLANSMSNFAGVRRHKHGRLY ARSVARMAAHINMRHGPEVRATSAQVAARLSVARPMNPFAEYNPPSGRQQGGQLTAVK WQAAADYRAGARAIAAPQQCGADVEDGDGWGEEPLRDPEDRLPSLHRS H257_14736 MEHTIVSAKGKAKNKSTKENAKLKAPPQIIATVQELRNTTADGE QSLPDAGSEAQRELLQRIKLAYPSDSEDETKDDLDRLALELESGTTSAKRPKTQWVSN STYRGEDKETVLNRQRYRRDQLRIAKAKSAAAAAKKKEYRQTKRAKKSQMRQADEQPQ VKDFLMEVCVR H257_14738 MEATPMEPNGTGESGAAMERNKVSTKGKASKKAPPMAKSVATVS TNGKTKLNATPKITTTVWQFPNTAADGEQSLPDAWSEARHELLQRIQLAYPSVSEEET KDHPTTNVAKRTKSALSSRMHRSQNKQAVLDGQRLYREKQRVVKSNLAASAAKKKEYR QTKRAKKSQMRQADEQPQVKDFLMEYVKAEHPIIQERPELSRGEMADLDVELNPRPSQ PLNDSEALQDEIDFVEGVLDDINLLLTLELYSFQPLEDPTAIHGEVQFIEEALHDIDL GEDPPCLPHDPVQDAI H257_14739 MSEASMLIPTLSKAVVAARLKQLWMDNTLLHTSDRMTDWQARFM DIVTDEAAEDIDFFDPQAVIQALMHDIKPDGAKALVRNSYDFDDKEIKFNSPKFWSHV RGVLSNERAVAVPAPTTVLAAEVKRLQDNATLTAAKVKRLTPEATTRPACGDGGSDSC GSILRPPAPLVGRAKASRGNGNVAKLKTVLAISHPEVV H257_14740 MSDSITSLRQAAEWGMGSAVKVYRQLDLKLPYDPTLRGRRLQNI FLSFFPFIAQALMFIFPLLLSCVLHCLPFLGTLLHHHHK H257_14741 MVVPSKILRIAHDEIARLTRPLESETPSASRLKSIKLDVAKFGG AESDILLRWLLQVSTAADAQRIPDDATRVAFAMSHLKGHAEDWAFSKRLTDRHCFASF TYLAYKQGKHSLQEFIHDLRFFAANINDEESLPEPLWVTVFMDGLNQGPARTQLFRAY PDTFEAAVRIALSESFSSSFAHARAASSDMDVSMLTQPSDDRTCFNCGHPGHFSRACP APRRVASAAPTSHGSSRAAPARYPLASPLRAVHLTASIANAMAPHAHLSSPLQARVRR EMVCGALKHSQDLASDTDLLLCSVGLQLNKMIRLELVVTVFPARLSVLIDCGAWNNYA SRSTLYRFNGLPSSDPSDRVRVKMADGHTASQPRIIVDVPITFDGFDSVEPFNAKSVH KVTWLNASQPWIHRRAADRLPPSTTNPLGPPLEAMSNDLASPNPAARLLAPVPASPTD PATAPLSPPIAASTLAATFIEETCNLNELPWTSSEILALPEMSFHSLVESLRAHDIAA LAMITVEEETDLFSTSTADYSALAAPLKSQTWDSLRSSPYYDLLKEFEDVFPDDVPCR LPIDKGVQHEIDLVSGAKYCVTRQWPLPRDQVDAMDAFFAARKAAGHVRESISPHSSP TFCVKKPGGKWRIVHAFNKLNAATIPAQTPIPLKDVIIDGMGRSTIFSTIDLRDGFCQ ILMHLWSLQRPATFNRMVTAKFLGFRDFAPSYFDDIYVFEVLRANGLYANLAKCMFGV DKIPVLGDLVGDLRRLLGLATYLHKYSQNFADIAQLVFRLLLKDAPWLDASGRPRPVS YQSRQLHAAERAYPALTKFRIYLLGGKPFVVYTEHASLRTATNTPHLSQRMARWISFF TEFTFSVQYKPGKDNILADALSRCPDLELTTIGLLTSGFHDRVSSLTLTARRAWRRSP TPFPPTFPPNPAALRRPYTSRWNFDVPTHRGLAPAQLSCPATRSCASLSFGRTTTAPV AAILTATKCTRSWRRTKITTSSRAPFQPLPIPNELWSSVSMDLMFVQPRDARSNTGIM VCVDRASKYVVALPVRDMLNGADAAPSSKPIRSTRRSQVAPKTRLASTAPLALAPPPT SSLDPEEVSALAPPIAHASAIVGSWDRDGQRRVGCSLCEFAPHIYAGGDPCDRPSVQM PMLLSPHPGEIGQRIDYCQRQLHMPPAALSDKRPHKRYKPHSTRNAATCTVATANWTA TRITTHHDTTTNKPELFPSK H257_14742 MMGLFRAAIFVVLAMLPSCSVGDIPTTTPGFTYKDGLPQAPVHL QVFIDLLCPYSKAAYPALKQLRDTFEGKDFRLTFQMLPLPFHRDAFLAAQSTLSVSFV PWLETIYANQDKLSNTNTLNTTSNQSANTPEYLNYDAAAPISEQNLFMPLFSRRVRNV YGWLTWITSSLLLFNFCENDMARRYSNLGPISNKTLMKRMHQIGSTYYVAVFASFPSD SLRGYEKVLLALSPMNDEESLSATAFLEFFDFVLDVYGERRRNVVVLIGDNCATNRAF TRLAGIPMVGCASHRFNLFVSDPNDTIVSQAAQQDTMKLIGRHIDDIDMLLLNGRQDR DVEPLIAQITDLNSVTLALRDE H257_14743 MLFDEVVVQYPGAEVRLGPNASVVEDPTFESAMVKARSNPPRLP FPSTDIEHVRAAVLCDAVRFNVINPLVQANKASLPRPNVMSMHMELPDRTVHCNAAVS VCGIADGKTICCDQASEVCIVRRGCLSQDRLTVA H257_14744 MTALPPSALAWPVPSDIDVSDSITPAHIGEIAKAAGLMEDEIVY YGTTKAKVQLSVRDRLAGVTDGAYVVVTGITPTPLGEGKSTTTIGLAQALGAHLNKKS FACIRQPSQGPTFGIKGGAAGGGYAQVVPMDEFNLHMTGDIHAITAANNLVAAAIDAR MFHESTQSDKALFNRLCPVKKDGSRTFAAVMERRLRKLGILKTNPNDLTDDERKQFAR LDIDPSTITWNRVLDTCDRFLRGITIGQAPTEQGHGRNTGFDITVASEIMAVLALATS LTDMRERLGRMVIGMSRAGVPVTADDLGVGGALTALMKDAIMPTLMQTVEQTPVLVHA GPFANIAHGNSSIIADQIALKLVGPEGIVVTEAGFGADIGMEKFFDIKCRASGLIPQC VVLVATVRALKMHGGGPTVTAGKPLDAVYVDENVELVTTGCANMMQHIRNALKFGVSV VVSVNRFASDSDREIEIVQAKALEAGASAAIECNHWAKGGAGAVALGEAVAAACAAPS NAFRFLYPVECSIEQKVESVCKQIYGADAVAYSPLALEKIQLFTACGYDLLPICMAKT HLSFSTDPTKKNVPTGFTVTIRDIRASVGAGFLYLLCGDIMTVPGLPTRPGFYDVDVD CVTGKIVGLF H257_14745 MLVRRRHTVRAFHGLARQCIRTIHDSSSSTSSSPHVIATQQLGH REYAFHSPTTTPGRRPTLELVPSSKPSLVESIRNIPLLLEDLFLPRDHATSTTPDYLP YVKYQFIASVAGTTCGVLSMQSLLFAIGLQSGAIPMAAALNWVIKDGLGQFGGVLFAS LVNHRFDADPKRWRMVSAFAMDAATLLEILTPLWPAYFLPLAAAANMAKNISWLSSSA TRAGFHYSFAQKENLADITAKAGSQSIASSIVGTAVGIAISPWLGTDTFDVAAAFGLL SAVHLCSIYKSLAVVALPTLNQQRLHAVADAYWQHIDTNHAMFKTSGTPETNAFKTSG TPPILRPDQVKESFVFRVWGGYPSRFTQSKVSLSARLDQLGVVPDDKAMYILHGDDNK PNIPPSSRTIHLLLHVDATNVHVLQAHLHLVRVRMRMERMDGDAAAAIAQSRLDMHQK RNELSVQDHFVQLMLASDWHCDHLLVEETVARYRLYHHK H257_14746 MAEQSLAPDAQTSRMGPSTTTPTIIPPLFRDNTLARATQLRGTE RRLLLKQASGKSNGIFPRLSADSSGRDRSSRDTSGHERSQRSSQQPQTGVNDSTWSVY GGGDVRSTDGTSTALLTDLAQPKSNTNLAPDAAVPSIWRLGDYVLAKCHNSDEYFAGH ILHIDDMNDDKKVEAPDDKAAVGAGGRRVSISILFDDLTLDKHVPVENIQANLGQDSQ MELHFVPMEDHQDDDSEGSVAPDAAHVSTTQTELGIDANLLAHIKLALPSKSSKSALH DHADTTAKPSASSMLHRSVTVLYDDLVLDQEYTVLTVGEVVVYSKSTTEAVRESQDHQ LRRMHAVYLKMKQSTVQASVDASSGKQLKGSMTKILSSPGSVGVEKNRQHATATAVNS IPVQSNHVLVHGIPSCYFGTISSLSKAHSSSSSNDTVVVQDLEQKMHANVVAAKCQPC NPTTDLLEQFVELAKCHDLVYPGAKVLSWVHDQLVPCTIRRRRCATLFDVKVDGLHDA ITNVPLEHLIAVPRPHAATITATNDVEIEVAGHTFCVHERVIVHDLEVGASNHGYIVG FNSNRTVFVEYDNGEVDSSVPAVFLRKADSSSREAGAFLMSLDIQEAFGMTEEGFNMD DWVQAKHPMSNLLEKGRVCNVHNSTGSCDIQFADGVILKQASFQNLQHAILPKIKKPP TFAPLDHILAYNPRFSKYCTGQIQAVGPGGSYRVVFDYGETYDAVPHEYITPIHDTTV LSPKKRFTRNWKSTSGYEGGTSADLTLCHGDMTLHRIHCNTNAHTLPSAKFAVGEAVM APLGAKYFTAIVSRPVGTNQNGVDVLFASSELGLAIPPDKVFTIDQRHTKPVLFQGPS YTRKTANPSPTRPTESTTFRTAHPGPSILTRMRAMLYSRGNPTN H257_14747 MTVQPQLDTLQTSVDGGIQETQTYLANLQARIAEYDSQYQVSET ASSYLQTAINTANSAVEDLKKSASSLRSTTLSAAHKPVELVQQALAQVSASLVLIKDH AATYDTKFQLAVHDARGNLETLTSVTRQRTTDAIQQASQQATTVQSKLASTAQDVGTS AIAYAGGVVHKVEAMDQYYHVSEKLQDTVALATEKAKELDATYQVSQRAITLDTQVTG GFAARTLTSASELVHSGLEYITGSLQYAKDVATSSNSQPLTTSVPTIEPPVATSEDDD VGHVKKTKTGAVEVHEEVVQEATTQ H257_14748 MSSVLVPRSLFRCGPLADSETEYLLQLASQVPIQVIRRAQLDNG SIHWTLATDDADVVMYQGNDATNMANTWCTITEVQATLEEAAALFAGDTPVEYERNHK ALASDVLDCYKLYTLNPDVGVYWSVLQSPAPALANPRDFCFLQSQGEFEHAGHRGFVV AKMSVTVSGCPDLQDSHGYVRGLLYPSGFVFTELGSRRPGYVQVTHVVQVDPLGKTKL PPWIYKRGMATRVKGLRQVGHQLRLNRLSQAAFRTPDQLVPKDTRTKCFLCQCAFRRV FVKKCRCRLCGEVMCHTCCKHWPLVVAGIPTTVRICSSCALKPNLQEYKARGNVMELL PLMQSSLSISASRSTEGGSSARPPSTSTSSASSTTNPRHLDKPRVVVVGTSTSSSSSS SSGSSSRDRAKTTPGSSSDDAHPLMEEGDEYYYHHRRHHRSLDEIGTIVGPIVLEASE FGDEDDGNSSGP H257_14749 MCDVTGRDMLFMAVTSMKHCGTWDVVATMFAAASPTFSKRVITF LVVIHPHLKTKYIDNVGAKWLMEQLNSTGQRFANFPTALYAVDVTFQKTNASAGTFSE KMYYIKKHGHYGLKVEASVVPTGFAINVTEAVPGSVADISIFEANEAFHADKMRKTDA ECDMPDAGPMLYDWAILADKGYQGLHRRMRAITPAKRPPGGLLTMSDMEYNDNIATDR VIVENYFGRLKTLWAIVNESYTWKRENYDLYLQTCVALTNSHIRFSPLWVDDSHERNR YLNALMSSSEKKKAKRVVAVKKHREKRKLRLGTFLPSGENAYFDSDTEFYPSGDDSGI FE H257_14750 MAPTKLIMVASKKSIQDSFHGASTRRAYTTYQKQFEAFLRMHKE GIDPREAGTEEYTDFFHHMYTQGRKARTIDLAKSALVAYFAAAGVASNPAQDLTTRRY IVGLQKYNKQNNVDEEEKAHPLTVYELSTLMNSLAHLHPFLGAMLRLLLAVGFIGCF H257_14751 MRQSSMDQFVSQKSIPTARSALEAWQQWFVADPAIGLVCALKDY TKEMIRMDRKKYSERFTLATAFSKYQTFQQFDASYQGFTNSYAKVLHEVWRRKRLNIM H257_14752 MLLEFGLGIPVERPLIPAISFDVNMRDADAVLSFRFDVAGVLQL TSLLGVPNVVITSSRDRVTDVEAMVILLKRLRYPITFYDMLSTFGRSREQICRILNHM VAFVFNSWRDHLLQQAHCSCPNRTVCQRHPCKRVTTFKCLGISRWNQD H257_14753 MINTDKRRNWTQEDDIALLIQVAADHGKKVQHRFLTLVDEHRKF DAASARLSGVDEEEKEKHMLLDDIVTLMDDLKTDQQKHSQVQDEKRKLNKVGLSYAKW P H257_14754 MPAKPNGKHAGAPVATPKPQNGHKHLTLEDRRGVYEMLLSASVG DMLPRGVITKAAQQFGCHVRTISRLWQRARLSLRGGGHTADGILAENLSERLNKLKAT YVQYPHMSRQTLRSLSAASGIPMTTIFQHKKATPRFKLKSSYALPHARQHRSSFEVKR RYYVYDDEEVGARSVKSKHFITKVMFLAAVARPRYDHHAKKIWDGKVGVWPLVQVSPA A H257_14755 MKLQEKSTSSLHIDEEGVKRLEYIRHSLSKAMKMAYIVARDRKP WERWAENFVSFAPGQTKAERSWNYSLRRFLDKHGEVIWNRYFWISCGTAEEGYHVHAS SNDPNHKKREKAKNEWVRLVSELHKFAEASVFHFLFHKVHLYWAEITQEPYSLTSMQT SLGENERAYEFDPALPAAMHEKWA H257_14756 MQTDRIRERRKNPAQDEILKTLNLLSSDVHLLLAPADIEDEAKW YGWFADTLNTCGEAKRANRDFKTTEAVAHIQRVDPNPYAVLWAGDEFVNSPCPKLYGG TRNYKRRSSKLDPTHCDDLFNDCLPSSRLVAGIAVSDTRTQTATNPFVKAYIDRVQAT GLIDTGATSSFISASFWHRLGQPPLKQPRLGFVTADNSNLDISGRADGLSRLPVCPIE TRSIRRLVAQTDPDLTTGKESSRTRSPSSQPPHSRVYQNQPRTTPSSLTCTTDGLDLR PG H257_14757 MAGRPYSIVFDGWSNDSTHFLGMFVSLPNVCKGGGEPVMWLLAF APMLDETSFDAATHYEFILETLKWYGQSDASIEKWFVCLIGDNCSTNKATANLFSRPL IGCHSHRLNLAVDQFLKAKVSDVLSKVAAVMVKLRSLKAGGRLRLTTMLKPKLRNTTR WTGAVSIFQRYIYYRDGNHIDTSDPEMSELLPTPQDDNLIRRHLLGLTKFKSITLALQ QRDVTLSEARLVFDRIHQKRERALTLRRRLVWPCELPFSFTKLPSKILPYHLDCHLSL TWIRDLEHPPPN H257_14758 MKLSLLSVIALFAAVATAQTNNTIAEINGRARTLQEDIVEVDDA ELNYKCHKQNGNYISSLRAGEYSTSAFHNCFRTVDQIYEFIDTLVAQNPTLLTKFIIS KSYFGLTIYGYKLTKGHSQSLYFQALQHAREWITGSSIVFSLASILDDITNNKPTAAD EYDLYFVPIVNIDGYSHTWSGDRLRRKNENEVDLNRNWLTKFPNPEIPPKFDETYPGL KPFSEPETAGINDWFQTKRNETQGFIDIHSYGGLILSPYGDINQPIGDGFDEKFDVLA RGLQTVMGAYTPKPLAQTAAISYGLFQDYAFLEFQKPALTFEIVGDDFIVDVTTIPTR GLEVYKGINQFAKEVTVFNDGDVAPTKPSCGD H257_14759 MQTPNKRRLYTEQEDIMLLRQVNAERPFEAKKGEFMKVWGLVAK ALADHEGFARPQFDAKKAQNRFSAVMDNHVHYNRESAMASGVAETYDERIALLDELLA AFVDAKEQTPRQRRDKSGSDDDDGEKASSSGSRFTKITTAMQEESKAERGLRQSELEF RKFQLEVERRKDRELVAEQARLHHETILAMLGALTKRQ H257_14760 MKHTFEVATFFTLVSSFVAGQSLHSSDGRARTFEEETLIRNDAD TNRACHKQNDNYIPSLKAGQYSTSAFHNCYRTIDQIYEFTDALVKQNPTLLSKFAISK TYKGATIYGFKLTKGHSQSLYFQAQLHAREWVAGSSILFSFASIIDDITNNKPTAADE YDLYFVPIVNIDGLEISWSRNRYQRKSANEVDLNRNWPTPIKNPNPPSKNSEIEPETE GINAWMETKRDEIQGYLDIHTYGGLILYAYGDTKQPIGDGFDEKYKVLCRGLQSVMGA YKPEPAYELYLAYGTFPDYAFREFKKPALTIEIVGNDFAAPASTIKTRGLEVYKGINQ FAKEVTVFNGGAITKPPRNTTKAPRTTSASPDGCKKCQMCYYHKWDSCFTDFTKDDCD YYNAEYGTVWCGGA H257_14761 MTKKNSTKAELLAHIQALEHRVAGSSSGMGNAVDNLAHRKRTPV EYTDSIVVALLELGFNAYRNAFNGSRSAKQLNLLWERLTVQFNIATNQIFNVDADSIK NKLRKLRAEFMVIQRALVQTGNVTPVPKPSYYAEMLTAYASLQGLGDIEFGMECVPLV DDGDGAACDADSQSNNDEYTVPAAKKRKAEVDMEMKRQRQGRKHAQTDISQGLEKFGN TLGAAIVQAANVKNAPVGGPDMAAQMAKLLEVAESTKASIDSSNQVQMKLLAFLETKF H257_14762 MDARSFRAPITNHFVIPSNTGTIVQNSPIHDAWFIRHLRCTRGT YQRICQNVEVAWQRVHPPLHHHNTMSVNDGVACTLHYLAHSDGYESTAALFGISKTRA YEYCNQVTLVIQLCYVLETIVLPSSRDEWEVVRVGFEEHGFPNAYGAIDGSLIQVKRF EDFYGWYCRKGFPAFNMQAVVDHRMRFMSYSLRSGSQNDKAMFNESLFGQTCHTRVPP GGCFVGDAGYKLFTHVMTPYSIVSSMAPDEANYNWIHSRSRMVVERAFGRWKNKFRMF KHELLHHCPRDMARLIEVTLVLHNWYIDYDNEAVMMTSYSK H257_14763 MAREPARPPAHREATGRPVIKNKLCIINAARDMSPHAALDTYFS GPERRARRLAVLVEDIVLVDWTHVECYQV H257_14764 MISPLLAARSLTPAHTAAPDSVTVLHRPPFVSPRESHSVIIGEA SSSPTIAGSNLAATFIEETFNLNVDLVSTSTAGDTVLATPLKSETWESLQPNPNYDLL KTNGRCLATKSTSSTPSTPSSRTAKRLVTSAIAFDLTAALVF H257_14765 MEKPGAEWRIFHALKWNTATIPAQMPISRNDIIIDGMGRSTIFI TIDLCDGFYQLLMRLCDVPKTAVSTPSGILWAWLVIPRGREDILWRFQEMMDDELAVM MQAMSVGIASCIMELDDERSTPSPQHKYVRPMHGYMGFLDLQNDLPHGGDMFYLEQVR LTKQALELLVTLSIPHVPNALDPPVLIMVTLQWLASGASSRSQEQLFQDNNHVTLVYY RQVGVHAITRGLWMAAFME H257_14766 MTTPTKASKSANWTDDLDAEFVVIYAEVAAKSEYVASGGKQLKS MGWSDILARLHGRGDLTTNSQLQLRWKRLTEVYADYTWLMLKFSGDGLSRFRDRPFQH YDTTAEMVGDAITTGEFIRGMPVVQSDV H257_14767 MKTIAILALASSVAAYAAGDALVHGPDRKLSTDAQVQSTQDDAD VNRKCHKANDGYIETLKAGEYAASKFYNCFRTSEQIFEYVDALVEQNPTLLKKENIST TVQNKTIYAYKLTGSFVKTRSLYFQSLLHAREWVAGSSNVFTLSSILDDIANKKPTAA DKYNLYFVPIVNIDGYDISWKNGKRLQRKNANEVDLNRNWPTPFKNSKPVPPSSQTYP GTSPFSEPEAKGIGAWLHNKNSELAGWVDVHSAGGFILYPYGDIVEPIGNGDDAKFER LGRKVAAATGGKYTPQTSAALYPAFGAFDDYTYRTYQKPVLTVEVAGSGFVVDASTIR TRGTEIFKALSQFAVEVENFDVNNTSC H257_14768 MSAQTASKPKQRAVKLEVPKYGGLASHQLLCWIKQVRRAADALN IDDDEIRVSFAMSYLTGRVDDWAWGLTCEDGFVFANFDNFIEQLKAAFLLANSDFRYR AEQCKRSIREYVHDLRFLASCVTQKSSLSEEAKVAIFMNGLNDSAARTQLFRTYPSTF EDAVRTALAEEFSVLQSRTTTKTRDPHDMEVSAMTSPTTDRRCFNCNRPGHFSRECRQ PRRAPTSARGTAASPAPRFAHPVVDRARGGREFPRGRGNGTPQQCKRSIREYVHDLRF LASCVTQKSSLSEEAKVAIFMNGLNDSAARTQLFRTYPSTFEDAVRTALAEEFSVLQS RTTTKTRDPHDMEVSAMTSPTTDRRCFNCNRPGHFSRECRQPRRAPTSARGTAASPAP RFAHPVVDRARGGREFPRGRGNGTPQ H257_14769 MVLATSVDDVTAASSLPGPTTLISTGPRPSFLISQLAASSPLRA TLEACADQPMTPNSFAFAHRGAPLQFPEHTRESAVAAARLGAGTIECDVTFTKDHELV CRHAQNDLHYTTNILLTPLASKCSTPFTPYNPDTNAPATAECWTSDLTLAEWRTLRGK MEGFNAKALTVEEYVQTGTPGFRTTLYAGVTSGTLMTLADSIELYEHMGVRHTPEAKA FVGGGFNWTRPAFVQKILDTYKTFSIPPTRVYIQSFFEQDVLYVVRNEPAFANTAVLL DSMQSMATAPNAATFAAWVDQGIRIWAPPLFALLDMQHGRLVASQIGLQARRAGLDII GWSLERQGVLANDVVDDTWYYQSVLPAIHNEGFALEAMHVFVQELQLVGLFSDWAGTP AYYANCVGYGHNPVTRSSHAATSWTNSVHKDWLVFVGVVIVFLGMVGMFTRARTYLHQ RPRDTNHHHAPLLPRCD H257_14770 MSHKGGHGSKSIDLQLFEVNAKAVVRDYYVEPRIDYRTISGVNG PLVILENVKLPKFAEIVNLTLSTGEVRQGQVLEVQGSKAVVQVFEGTDGIDNRHTHCE FTGDVLKMPISEEMLGRAFNGSGKAIDGGPPVVAEDYLDIQGQPINPSCRDYPKEMIQ TGISAIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVQRKDVMDSHQDNFAIV FGAMGVNMETARFFRNDFEESGCMQNTALFMNLANDPTIERIITPRLALTTAEYLAYE RDLHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLSTIYERAGRVMGRNG SITQLPILTMPNDDITHPIPDLTGYITEGQIYVDRQLHNRQIFPPINVLPSLSRLMKS AIGDGMTRDDHSAVSNQLYASYATGKDVQAMKAVVGEEALSMEDHLYLKFTDKFEAKF IAQGPYQSRDIFESLDLAWSLFRAFPKELLKKIPKKHLDTFYARRTQVREDFHQEETS SSSTP H257_14771 MTTRDELPPAVDAPSSNVGATTSPAPPPSLLLFPPVDPLENGLM ALIAPSEESGTAIDGNRTKLQGSVLAAIVAWVEVIAFLGETFFAMILSVVCRSALHAL ATVVLSSNPSLPPSKPNVWESLWDATFVCLYVLRRSAANFHQPSLQAWIQRLGLWPPI SMSSSVALALIAYQVVGFAVVVSWQYWTNNWVFTWSNYSTLQHVLEILLFSPIKEELV FRGVAFHLVVNRLPMYPKQAVGVVSVLFGSTHLLNVRHANFSALYVAMQLCFGIEIGY FYGLQYIQTRSLGQLVVLHIVNNVLSSFTSTQMEDLLASPLLLVLFVHSVVVYGVLIR YTLGQLHHFDRTKSS H257_14772 MTRRLLSAMVVATSAAVGAADGVSCSNWLCLMGINTPVRVNAAG NIECLSSNHHDCAWQSNHEACDTVKQSKLLAAATDESPLVCGAQHFQEWGSTGYDSPH HWCAQAYRTLVRPPSPPPTSWTCVPNILTPLRVNPTSGEVECMSSNHHDCLWQSSAEN CQLLVDTNAPPGTIDPLECGAHHQAEWGVPGYGDATHWCDIAKPLLASTYRPWECVPG IFTPVRRTSTGAIHCLSTNSRDCSWQTSDVTCKAAVAALGASIAWGTVKPLTCPPQDL VTPYHWCQQAKTYYDAKKTTTTPFLLWTLALASVESVEWPAVVLAATAIVGCVLGFLA FVVVHQRSNVAAHDDSMATNDYYIALVHT H257_14773 MEKAALYDGLSRQLVDLHASMARMQDNLERAMDVSKKTHRMSHA FLGVVHATSPANVASAPQTTTTVADAIYNQVTKKYKKSVSFQP H257_14774 MTDVMLWELLQPPPSPLTMLPTTPPPPPSFVDLSYWCAAVAPLS PSTDGYDDLDQCFPTFGAPDVADAAPKCGYRTGKCDLRRGLKRNGTYHKLCDVHREKA NWNQKKLDRKKRQRKDLGHIPAKEVGGDGGGRNAFVETLTSSQHATWAAEELAFFCHV MTPPPSPVEWPKETNDDDDAALDLLFKAEVMVLVDRWAPS H257_14775 MPINANAVLSRLQEQSIRHFSSWDKHAADFGYKAPTFEKLIMRV LGVIQHVVYDHFIVAQSMQSLHELRHQFEHHPYALYAGGVKFHPSLRPIGCFPSRSTT TVGSTAYTATISKPQRHWTVVAWQCRNNTLAMLTMYQQDMLLTDHGELSAQYREQWAW LIDMGYIGIVNTLHGIHSNHRPVNRALDASDVEFNRLISSDRMIVENYFGWVCALWKA SYATFTWSEKNYCAIECTTFALTNFHLSLMPLRLEDDPFMEWSWLVTKGWQMKRQRRY RLNRQERAALDLGRAPRSRFYKQ H257_14776 MTTELDAAIAFVAKARPKALTVGEKLDIIRLQAYFRKSGIKDVS NHVATILGRSNKTIQTVWSQVPGTQAVLTLVLDFVRAKWLTHSRVDARDAMELLCEHG HLDLLRAAQRRGTSLGLKSSPAGQDSRRTTNAMFTHDFFVDWFTDLLDEVESMNLHGV IFAMDNSKYHKGLPDGTPKATWKKAQLLDACQRLGLGVMARERVSRCDCGVHDPAETA KPDEALIDPLTFLKTGLPFPFWLDLPDLIYLPKSMTRTVIRVSKIYQDGDPSTINR H257_14777 MLHDARSSADCIASDDEPHTLNDVVSRKKWTPVGRQIEFPMKIN NLVYSPLWPGMDNMVLPRTSHH H257_14778 MKFSLVSAIALCAAAAIAQTNNTITDINGRARTLQEEPDLDDAE INRECHKQNGNYIPSLKAGQYSTSAFHNCFRTIDQIYEFTDALVGQNPTLLSKFAISK TYKGATIYGYKLTKGHSQSLYFQAQLHAREWIAGASILFSLASILDDIDNKKPTAADE YDLYFVPIVNIDGFELTWNGTRFQRKNANEVDLNRNWPTPNENPNPHAQNSPRYPGPK PFSEPETAGINDWLETKRDEIQGYLDIHSWGGLILYAYGDNDQPLGGGFDEKFEVLAR GLQSAMGEYKTGPANSLYFSYRSFPDYAFREFRKAALTIEIFGSTFNVSTSTIPTRGL EVYKGIKQFAKEVTVFNSEGVTSIKPSCGD H257_14779 MLVDPQTQQRRQGDTPATTAVTSPARCRFVCSSIHRSHIARPLS QQLQHTIEMQSPVMQSPVVESGSGSDVCSGVNHILATSAAPGRLRNAKPRERDSQPYA RKNPMKTLTPQAQTTTSSSTTKDLMHYKGSLAVKSIFDDNLEFHANGLANQGDDDRLD DPERVGGAREWVVLVDKGYQGIQREVVAVLPTKKPIGGVLTADELRTNDRIASDPVIV DSFFGRLKTLWSVCSDIYAWKRQNYGMLFQTWSTD H257_14780 MLSCQEIEVLPKKRHTSKFPQRLRLLRLLACVVERPIIPNVRFA LQTITDADSRLKFRFDVAGVQRLVVALRLPEVVVTSSRDRCLASEALCITLCRMSYPR LYYDMMATFDRSRESICRIFNYVIDFLFDKWKELLYFCDSIVVPRLVIKFETCRITQK RDRVASSFADLQRLIYSGHKRRPCLNCFQAVTAPDGLCVHFWGAAEGSRHDTTLLRLS KLEAYLVARCDLFRDVLVMMTRRIWRVGVDMLRLQRQ H257_14781 MIAYAATRSSSDDIADPLTLFRHLPPKAVRHRAKSIGLDGKKAS SRFYQLLRVHRKFQESSKYLSGVEQENETGKIMLLDELIQLFDEASDERQAERATTAA KPTEKGRGGICAGASDDGGSP H257_14782 MSWRLWWADPVAQDVLVVPMASAKEMALALAMGTTKTSCATCPR NNVITPARRCKW H257_14783 MKFSLLSAIALFAAVATAQTNNTIADIDGRGRSPEEEDENEGNA DINRACHQENANYIPSLKAGEYSTSAFHNCFRTVDQINEFIDTSVAQNPNLLSKFAIS KTFKGATIYGYKLTKGNSKLLYFQALQHAREWVAGSSILFSFASILDDIANNKPTAAD EYDLYFVPVVDGYEISWEEGHRAQRKNANEVDLTRNWPSFYSDPKRPTKDDETYPGPS VFSDPETAGINYFLISKHKEIEGYLDIHAWVGSVLYPYADNIEPIGDGFDEKFEVLAR GLQSVMGEYKTGPRHTLRLLWWAT H257_14784 MGNNTVLFVWGVPRLREFKKPTLNIEIVGDYLIAEASTIQTRGL EVYKGINQFAKETTVFNGGEITPNKPSCGD H257_14785 MQRERLTIVFPEHFRCHITTKNARLRWDPEGPNEVYVKVAANTT QDKYVKLKLLNYNDVIAADRPR H257_14786 MKTIAILALASSAAAYAAGDALGHGPDRKLISDAQVPAVEDDAD VNRKCHTANDGYIETLKPGEYAASKFYNCFRTSEQIFEYVDTLVAQNPTLLKKEAIST TVQGKTIYAYKLTTAWTKPQSLYFQSLLHAREWIAGSSNLFTLSSILDDIANKKYTAA NRFNLYFVPIVNIDGYDISWTNGKRLQRKNANEVDLNRNWPTPFKNSKPVLPSAQTYP GTGPLSEPETRGIRQWLHTKNSELAGWVDVHSAGGLILYPYGDITEPIGNGEDEKFQR LGGNVAVIAGANYKAQTSASLYPAFGAFDDYTYRTYQKPVLTIEVAGSGFVVNASTIR TRGAEIFKALSQFAQEVERFDVNNTVC H257_14787 MSKLNLYSPHEVLPAAHAEGLLDVAAQLVPHIPLPFLTKHWQVV FPTCEKYVMHVASTVRQKSAGLIGALATLSTTSIEAIPLLASILESLALPCSQHPDAN QREFFWQRMEGRLMGIDSLVHVLGVNKLTSISSQLLAPPTKPAPKAFQSFQHTMDELL HFDNEYATLMGTFASWVGEKTSPRPSHCILAQLPPNLLHRIWHAYLKRMAVQTLPGLV RLSVWLDDPAILVPAWLPTVEPVHPTFICLVIKSLALHTRFLHETITNTRSVSTDDPR ILSSLLITATIETALSVLQSLQPALLAVDVTLPVDKALSLAYVEAGALLLLFQSATAL DTKTQPANALLLQAVLNVLWASHVSDDPAVDRAMSSTVVRYLPGLALLPLTQDQATRL IQISLSWLSATDSLRWIVVDGNEARCHLVDALAVLALHARPPLTNVWNLHDITTAAAP FLLHPTVPLRLFAKLLVLVSAVAAFSRAECVRPLLHLLLRPEISFQCDATTATFPTTS SLTPSPAVFNEWDDDSGQTAAAAPTTDRKAVCFQAFWTSFDAPSASGGRVSDLVTGTC TPDERLTLETLQRRGKKI H257_14788 MLWVMAASRTRVLQPHMKHGATWAATRTLLAEADSLATLAHQLL PLTNYAHLIISDYWELRDDHGRNGPMAGRSLRPERLAQGSSRKPDTRHTIQPLRIPTG DICKWDLPALNFYWRAICYTLHTNARKHRIYPSWEPHCRTCPASLDTQEHRFGLSPPI CPMSVPLSQQILLATQAHRNRTHPARTETSAMEKPWRQPLPQHGHLHDSPYRATLCPY PSHATPTAPPNPNLSCSLPPNYPENLTSAPSRVSYYRAGSTTQLYDPPPSLHHATSSL VNGWAAPMALHFKVHLDAPPTALSPVPRLTTTGGSMPLTTPTPPPGGACLPRQYVTLP LTTRRPPTGLSFPKARAAIKRLSSSKQHGFSPYPRASSHFATPPPSTTPPPPTGENSL LATPLLSTSDSSPLATHSSSSPLPAASPHHSPQLGGNSQGRCPTTLTTSTHCLHWAQT SIPDYDPYALESRAFLEATYPTIYHATPGWTTFPTMTHSTQTWAASITHLRAPLFHTQ WFVAHWAVLRRHWQTTYATNVDHIRTTGELPLLTANNTIRLKHRYHDAAPMGHDRRIR ARRAHLTAKTLQWHSRRTSLLQPAIPPPIGYADPTLRPPRLPPDPGLPPPAI H257_14789 MYRRGNTLVAQEDLLVCEDTPWTKETPWTEEVSTSHPRHHDSLY DPSDGQDVQRKENNKGRRFCFIVSIIDSPAMNCRVLALDIFRGGNSQAKELKDYHVMF NYEYFIKLFESLLNELAALGI H257_14790 MSLRNLIPAKTASARANALNAFKRWVASEEVPFEHLVLSIAQIS NASVFESTMDKFGMYLTFDEGLRNALAA H257_14791 MDADTVDRIQAFLRLRRRRSLTYDEKMDILWLQSALRKEHVTNV SVAIARLLGRSPKTVKAVLAEWLATGDLSVVDPPSNTKYHKARVPNTHAVRATVRSFI RDRSVTRTRTVGKDVLAHLLENGVVVVDPCCPKDYAACLRAVQVFLSQQGYERGKRKG TISYRMTKSHEETRDAYVALMVPTVTHAPRRPVVYLDESFIHHHYSRHADSLYDPTDD ATTKPKHKGRRYCFVAGILDDGSDVSHLLGLDIFVGGKKNGKKVEDYHSMFNHDYFVD WFKKLLDEVEELGWGSAVFVMDNAKYHKATGDLSVVDPPSNTKYHKARVPNTHAVRAT VRSFIRDRSVTRTRTVGKDVLAHLLENGVVVVDPCCPKDYAACLRAVQVFLSQQGYER GKRKGTISYRMTKSHEETRDAYVALMVPTVTHAPRRPVVYLDESFIHHHYSRHADSLY DPTDDATTKPKHKGRRYCFVAGILDDGSDVSHLLGLDIFVGGKKNGKKVEDYHSMFNH DYFVDWFKKLLDEVEELGWGSAVFVMDNAKYHKGKLVDTPNGNWKKCDMYQACVDLKL PDVSPDDLRTTIWKKLKKYVEDNVQPVVVSMAEARGHHVMYAAPGFSELQPIELVWAN VKGTVGRAYTSTTTFKDVLHRLESAFHELDSEVIQSTIASSTTKLLKLDSALRKAEDA AATSNNEGGDSDTSDGEDMSSSSDCSSSSDIDD H257_14792 MRQALRRFCSGIQSLYKDSSLREPNESDCQRLLRDAQRVGWPGM LGSLDCSHYAWKNCPKAWAGQFQGAKGRPTVILEAVSDMRGRIWHAYFGMPGANNDIN VLDSSNMLHGSIGVFVKTVPNAKSLREKAFQKAQESRPMDVERMFGMLQARWHVLTRP CELWDRSAMHHVVITCCVLHNMVIDDEMDDDSCDLEFLNDLQPDDPFVATGEIEHATL QSRIRAFVKLTDGVAHTKLLHDLVEHRWNLFGDISYK H257_14793 MYTDCMSTSSQLIPRRMHAPRLSLGYPMSVDEYLHVPQYEPCVL APCPRSVCSPLPRVLAPVPCLSHFWSVSSNCLPRVRSMPCLSLLYCMPKLPTPCLAHA HFVQMLCPPCAGPCSPWPAHLVFIHVLTNQPVSFIRVTHRWQIVFTSIVCPVHFVRFF GLHTSVGLLFMVSLTMTLMESSSGDTGGCWFKSSHCHYHLSTYSVLLRYKLDFELVLR L H257_14794 MKFTLLSTIALFASATTAQTNNTFTGIDGRARTLKEEAALQDDA KINRACNQENANYIPSLKAGEYSTSASHNCFRTVAQIYEFTDALVAQNPKFLSKFVIS KTYRGATIYGYKLTKGHSQSLYFQALQHPREWISGSSILFSFASILDDIANNRPTAAD EYDLYFVPVVNIDGYEVSWEEHYRAQRKSFNQVDLNRNWPTPFEHPNPPAISAADYPG PSAFSEPETAGINEWLISKRSEIQGFIHIHAYGGYILYPYGDTKEPIGGGFDEKFDVL GRRLQSVMGAYTPGPVAKTFYFAYGVFSDYAFLEFKKPAVTIEVVGNDFVAEASTIPT RGLEVYKGINQFAKETTIFNGGPITEPVPITTAAPDGCNTCDWCFIPGLNSCFSEFTK NECVVQNAEYGALWCVEIRTKK H257_14795 MTLTALRRYPAVFGISPGSTSVHANLRAPPEANNVPFAEPRVGD DDLSSCFPGAPFVRPLRQYSRKLRDKICTKLAPGSKYKLPVATASIISHNLTRSQLFS TLGSSGYMAKGNLMLYTKHYMTNINKGRLASIKKTVKTRSSHETAIWEDVNAFISKLQ ANVFHRTTEVPCSPNKKCDLCSQ H257_14796 MWQECELVKKAYIAARDRKPWEQWVENFVSFVPGQTEAERSWNY SLRRFWEKHGEVHASSNDPKHKQEKAKNEWVRLVSELHKFAGTSVFHFLFHNVHPYWA EITQEPYSLASMQTSLGEEETVRYIETHGTSRWPDIVAFSGGMRLQ H257_14797 MGRAGQLTEYEQGSALAFSKAGWSIKRIAAALGRSRNVVASFIR SPETYGTHYVSRKSTKVSERARRQIVKHASTTGCSARQLKAYLPLEVSLRTYQRILNQ AAFLEYTKRQHAPKLQQRHKDARLKYAEDNLTNPPDWDIAVWSDEKKFNLDGPDGLQY YWHDLRHEKDQFFTRHSSGGSCMIWAAFSSHGKSEIAFLDGSQTGDKSIDTLSNYLFP FGHEVYGGSFVFMQDNASIHCSKVVMEFLDEQDIVIFGHPALSPDLNPIENVWGVLAR QVDLNGKQFDSVAELKVAIKREWENISQNYLQELIRSMPKRCVHVIQAKGGKTNPDGL QYYWHDLRKDEQTFLSRQNGEGGVMIWTGFSSQGRTEVAVLQGRQDFYTYCDTVANYL LSFVHAHPPDGFVFQQDNTSIHASQEARVFLTEQNVPLLSWPALSPDLNPIENVWRCL ARKVYANGRQFGSVQELQSEILRQWDAIDEELFHKLIASMKSRCIDVLQGKGSCTKAF SNLHVMVPTSGAAGKKKRSSLLSLTGRNAEIQSEVAAPTISTVDQSYKRMKHQAKLAR SLRIDEEGVKRLENIRHSLSEGVKKDDKILPHKNC H257_14798 MKFSLLSAIALFASATTAETNNTFTGIDGRARTLKEEAALQDDA KINRACHQENANYIPSLKAGEYSTSAFHNCFRTIDQIYEFTDALVVQNPTLLSKFVIS KTFKGATIYGYKLTKGHSQSLPTAADEYDLYFVPVVNIDGYKVSWEEHYRAQRKSYNL VDLNRNWPTSFEHPNPPAISAADYPGPSTFSEPETEGINEWLLSKRSEIQGFIDIHSY GGYILYPYGDTKEPIGGGFDEKFEVLGRGLQSAMGAYTPGPVAKTFYFAYGVFSDYAF REFKKPSVTFEVVGNDFAAEASTIPTRGLEVYKGINQFAKETTIFNGEPITELVPATT AAPDGCNTCDWCYIPGLNSCFSEFTKNECVIQNAEYGALWCVEIRTKK H257_14799 MKFSLLSAIALFASATTAETNNTFTGIDGRARTLKEEAALQDDA KINRACHQENANYIPSLKAGEYSTSAFHNCFRTIDQIYEFTDALVVQNPTLLSKFVIS KTFKGATIYGYKLTKGHSQSLPTAADEYDLYFVPVVNIDGYKVSWEEHYRAQRKSYNL VDLNRNWPTSFEHPNPPAISAADYPGPSTFSEPETEGINEWLLSKRSEIQGFIDIHSY GGYILYPYGDTKEPIGGGFDEKFEVLGRGLQSAMGAYTPGPVAKTFYFAYGVFSDYAF REFKKPSVTFEVVGNDFAAEASTIPTRGLEVYKGINQFAKETTIFNGEPITELVPATT AAPDGCNTCDWCYIPGLNSCFSEFTKNECVIQNAEYGALWCVEIRTKK H257_14800 MKFSLLSAIALFASATTAETNNTFTGIDGRARTLKEEAALQDDA KINRACHQENANYIPSLKAGEYSTSAFHNCFRTIDQIYEFTDALVVQNPTLLSKFVIS KTFKGATIYGYKLTKGHSQSLPTATDEYDLYFVPVVNIDGYKVSWEEHYRAQRKSYNL VDLNRNWPTSFEHPNPPAISAADYPGPSTFSEPETEGINEWLLSKRSEIQGFIDIHSY GGYILYPYGDTKEPIGGGFDEKFEVLGRGLQSAMGAYTPGPVAKTFYFAYGVFSDYAF REFKKPSVTFEVVGNDFAAEASTIPTRGLEVYKGINQFAKETTIFNGEPITELVPATT AAPDGCNTCDWCYIPGLNSCFSEFTKNECVIQNAEYGALWCVEIRTKK H257_14801 MSPSPLVLNRSTICRIWHRAAVDLSDKLHPYQSVCSRKKGRSSR NLKHDSAAARLKLVPKARRTTFRSIAAAMSMPKSILHDYYRRGIFVEYSSSVKPALTD SNKAVQLKWAIDHVHPHDGLCPCRRKVVLRHASYLVPDEAPPHRTVKSKTFITKVMFL STVARPRWDHDNDEWFDGNIGTWHFMERVPALRGSRNRPAGTMVTKPVSVTREVYRTM LLDNVIPAVKAKWPQGETKGVIIQQDNGKLHVPLSNPRIVAACTGGGWAMQVRFQAPN SPDLNVLDLGFFRALQTLQERNYSRNIDDIITATDEAWQDVDMITLNANFLTLQCCMQ EIMRVEGDNCYKIPHMKKAKLDAVGMLPEVVCVDRDLFDDGCRLLSATDFDNKIDELA LEVAQAMDLSEFSSQMEKLSVDGELEDDIDLDLALLLGIDLLL H257_14802 MVGKDALLASWRMSLNVSEQVVVDGKRLRVESDGLDRLKAVESR GFAHAHNAHTAHKTTFAFVSIATDATQRSKYLSTILRVPWKYQHMSRV H257_14803 MDFRTMEEALDIPKSTQHDYFAAGIIVHKHSSLKPLLTPENMQA RKQYALAFTNVVSGSVVFDAMMDRTAVARPRVVDGIQFDGILGIWPFVEQIAAKQRSH RQPPNSPDLNILDLGFINPIQTLQQKMPAYTVDELISNVNVAYLNVPDESLDNVFFTL QTVLECILETDGNNKFKLKHIGK H257_14804 MTLALPRYRPSLLQLLPNGALLPQVSLITLYADIGRQPSVKLPF PSRISGYDHLFRVLIDCGASEKYARRSIQLNHGIIAALHRACKGG H257_14805 MADWCGYCLSSGSHSTYTCKKVSRAFHQNAVRQDFVFPPGWAAI PSGELPPSGGGRRTQGGPSGHRGGKPHGKGDGSGGGTGTPFLNTIRQGYASSGPPGHA TSRPPGYASMGQGGHSGYAVSITAIIATINAAVSRFVSRSTAIVVAPATGTATTAKTA GTIATTAELIAPKAAPTKMTGTTAAAVTPCTTTGAIVVAATARCQPTKPTTHRHPPPS AAKAAARHAVLPER H257_14806 MSGRPAMSPADTMALPGQLISATLAEVEEGQRENIASAQMALEE MHKQMSVENARKRDRSRQYHDKKKGVQMAQFVVGDYVLYQDVWAHLRQKLRTKWCGPA VVTEVTSNWVYDVETLLTHDVRPVHASRLKFYADCDLDVTSELLAHVAHNSEGFEVEA MVDARYIPTTNVYELLIKWRGLQDVENSWDPADNIFADVPVMFKAFCKAAKSA H257_14807 MAAPGTTTNTPATTMAAPLTTTDAPSTTTAAPSTTTAALSITTA APSITTAAPSTTTSAPGTTTNAHASTTATPTTTTDAPRTTTTEPSTTTNTPVVTTAAP SSTTTEPSTTTAVPGTTTNAAYTTTKAPCTTTVKPCTTKFRTRPSTTKFLRTTNSPSV TGS H257_14808 MQNSQNSQNSQDVHTPTHHYNTRSSPQPTRAGVYTLRADLFVKE SVYARDNSGKRLHPHVFEGAQWSNIRASIFDFCSSHMSPKATYVNDPRTWSVSDSPPT LDDFESYISIKLARYHFKPTSNEQAHAYLASHVNSTFTILNATMSLDVVDSCVEELQA VLRYTEDASERVKRSIEFMNGKRRVIQGFMHQMQQAAQHAEVVAAIAAIPNAQDVDHS DT H257_14809 MENQPQRTSREHTGRDKARLIQLLRPFLKNDKLQRVSYNWRKFR DTESTTSNEVGKVGPKPTYSKQLVQQLVEDVHVDPRSTLRDVAAGSGLTMGTLSRHLK NGTLNASHYNLWDVVHLDEKWFNADKDRRKVYLVPGEKPPRCAWNTKRFVPKVMFLAA VARPRYDEVRGVFFNGKVVMWPFVRLVIPAIKASLPSANKRVVLQHDNATPHGAITDS ALAAVSTDGWTFVMRRQPPNSPDLNVLDLGFFASIQSLQYKKMSRSVDDVVRHTM H257_14810 MTARSTYEVFEKQRRNVQGWSNELLAGEGGNFSEAPEKGLMGKD VVSLEVARPPTGFRFQEGSTWIIGDWSYGKSFKHMGTRVRSEQPLDTCRWRKHTREMD NLTTVEFGREPECGGCKKAFTLIRRRHHCRGCHVAICKDCSRKAVDSSSSLSTKPQWY CIPCLDENPQLDVQDGGGRKIMRRLSQAAPPMLSRMESMPKAMKFCIECGYELPPHVK FCIECGAGQTSTSRESSADDLLRMSTLVAPDPALLPVVTEDAAINAIPSISPPPPSSS SPDNDAALKLENQRLQDMVDKLQRKLSRMEAKAVAVTEEQAQVIASLQQQVATSSQSP VNKIKEMPEVAKYFKLLSMGLPPDQVKMKMQLAGVDPNLLDTPDAPSGKLADDQGAGA AISPTAPEVPPEYVKYFKLLKMGMPLEQVKLKASADGFDPSKLDNPPPPLASAPTPED PPEIAKYKKLLKMGMPLAQVQLKMQAEGLNPALLDGGYTTPNNSNSNSNGGPAAKLDL NQLLKKTSSMKKADETTSAALPKKDSVKPNVDMRALFWTRIPVNVVQSTVWSSLSDTS VSLEPFDLEWMFRKANPASTVDKDSNEKKKKDSAVVLLLDSKTQQNVGIALARFKLSP SDVKAGLLAMDQTAISVDHLSSLIALAPTLEEQDILKNYDGPVHVLGAVEKFFLEMLS IPRYTQRIKCFRFSLQFEHRVLEIQAQVDTLSAATDQIADSAKFRRILETVLAIGNYM NGGTARGGAYGFKLDALAKLHTVRGIDSKVTLMHYLARHLEQFQPDLIAFVTEVPHVT EAKRLSLDQIKADINVCNSELAMLQGQVHASKNTADAADQFYAKMAPFAQEAADVMDD VTKEFGAVEAAFTDLVGSFGEDARKFGAMDFFTILDEFTTELKKAFRANQSKELATVW DSTAKARDAAILAQREQALREKLSAETAKALYGSVISTLNHRCRQLNVDAPSMVDMFK VQSRKYGSGELSAAQFCDFIVTQYGAKVAVEILPNCAKLLSDPAKRQQLQATVDAHKD SWEATTVPLFADPIANANNTAAEKDGVVPIDKKVVLRVQDITPVVGDEARQLQRAILE SIHAVFQGDAGQVKLFTANTRKFGNHQMPAKEFYAYLIGTFDGDFVARLVPDLARLLD DAEKRHALLQALCESAPGWQRFAGRTT H257_14810 MTARSTYEVFEKQRRNVQGWSNELLAGEGGNFSEAPEKGLMGKD VVSLEVARPPTGFRFQEGSTWIIGDWSYGKSFKHMGTRVRSEQPLDTCRWRKHTREMD NLTTVEFGREPECGGCKKAFTLIRRRHHCRGCHVAICKDCSRKAVDSSSSLSTKPQWY CIPCLDENPQLDVQDGGGRKIMRRLSQAAPPMLSRMESMPKAMKFCIECGYELPPHVK FCIECGAGQTSTSRESSADDLLRMSTLVAPDPALLPVVTEDAAINAIPSISPPPPSSS SPDNDAALKLENQRLQDMVDKLQRKLSRMEAKAVAVTEEQAQVIASLQQQVATSSQSP VNKIKEMPEVAKYFKLLSMGLPPDQVKMKMQLAGVDPNLLDTPDAPSGKLADDQGAGA AISPTAPEVPPEYVKYFKLLKMGMPLEQVKLKASADGFDPSKLDNPPPPLASAPTPED PPEIAKYKKLLKMGMPLAQVQLKMQAEGLNPALLDGGYTTPNNSNSNSNGGPAAKLDL NQLLKKTSSMKKADETTSAALPKKDSVKPNVDMRALFWTRIPVNVVQSTVWSSLSDTS VSLEPFDLEWMFRKANPASTVDKDSNEKKKKDSAVVLLLDSKTQQNVGIALARFKLSP SDVKAGLLAMDQTAISVDHLSSLIALAPTLEEQDILKNYDGPVHVLGAVEKFFLEMLS IPRYTQRIKCFRFSLQFEHRVLEIQAQVDTLSAATDQIADSAKFRRILETVLAIGNYM NGGTARGGAYGFKLDALAKLHTVRGIDSKVTLMHYLARHLEQFQPDLIAFVTEVPHVT EAKRLSLDQIKADINVCNSELAMLQGQVHASKNTADAADQFYAKMAPFAQEAADVMDD VTKEFGAVEAAFTDLVGSFGEDARKFGAMDFFTILDEFTTELKLAISSIPKIWQKKSL FLREQESVSSQPVERTRDSMGLDCESA H257_14810 MTARSTYEVFEKQRRNVQGWSNELLAGEGGNFSEAPEKGLMGKD VVSLEVARPPTGFRFQEGSTWIIGDWSYGKSFKHMGTRVRSEQPLDTCRWRKHTREMD NLTTVEFGREPECGGCKKAFTLIRRRHHCRGCHVAICKDCSRKAVDSSSSLSTKPQWY CIPCLDENPQLDVQDGGGRKIMRRLSQAAPPMLSRMESMPKAMKFCIECGYELPPHVK FCIECGAGQTSTSRESSADDLLRMSTLVAPDPALLPVVTEDAAINAIPSISPPPPSSS SPDNDAALKLENQRLQDMVDKLQRKLSRMEAKAVAVTEEQAQVIASLQQQVATSSQSP VNKIKEMPEVAKYFKLLSMGLPPDQVKMKMQLAGVDPNLLDTPDAPSGKLADDQGAGA AISPTAPEVPPEYVKYFKLLKMGMPLEQVKLKASADGFDPSKLDNPPPPLASAPTPED PPEIAKYKKLLKMGMPLAQVQLKMQAEGLNPALLDGGYTTPNNSNSNSNGGPAAKLDL NQLLKKTSSMKKADETTSAALPKKDSVKPNVDMRALFWTRIPVNVVQSTVWSSLSDTS VSLEPFDLEWMFRKANPASTVDKDSNEKKKKDSAVVLLLDSKTQQNVGIALARFKLSP SDVKAGLLAMDQTAISVDHLSSLIALAPTLEEQDILKNYDGPVHVLGAVEKFFLEMLS IPRYTQRIKCFRFSLQFEHRVLEIQAQVDTLSAATDQIADSAKFRRILETVLAIGNYM NGGTARGGAYGFKLDALAKLHTVRGIDSKVTLMHYLARHLEQFQPDLIAFVTEVPHVT EAKRLSLDQIKADINVCNSELAMLQGQVHASKNTADAADQFYAKMAPFAQEAADVMDD VTKEFGAVEAAFTDLVGSFGEDARKFGAMDFFTILDEFTTELKV H257_14811 MRSSSDSMESPRRRGFDDKYELLERLGHGNFGEVYRIREIATGQ VLALKRIRTGTDASKMDTIPAAAFNEIQAMYHLDHPNIVHLVDVVPEGASVGLVLEYM ETDLSHLLAHSTAALSTQDIKSLMFMLLQGVECCHGRHILHRDIKPSNLLMDRHGVLK LSDFGLATVYTGPAREYAHQVATRWYRAPELLFGSRSYDHKVDMWAVGVVFAELLQHA PLFPGLNDIDQIFRVMQVLGSPTWPGMAALPDYHKVSFPAFNPLPLASLFPDVEESAL DLLKCLLVYDPSKRLEAAEALKHAYFWTEPLPQPFIERKVQARHADLEFSVGGVGGRA AASALGLDAPFF H257_14811 MRSSSDSMESPRRRGFDDKYELLERLGHGNFGEVYRIREIATGQ VLALKRIRTGTDASKMDTIPAAAFNEIQAMYHLDHPNIVHLVDVVPEGASVGLVLEYM ETDLSHLLAHSTAALSTQDIKSLMFMLLQGVECCHGRHILHRDIKPSNLLMDRHGVLK LSDFGLATVYTGPAREYAHQVATRWYRAPELLFGSRSYDHKVDMWAVGVVFAELLQHA PLFPGLNDIDQIFRVMQVLGSPTWPGMAALPDYHKVSFPAFNPLPLASLFPDVEESAL DLLKCLLVYDPSKRLEAAEVGLLVSPTVQLTRTDIGLETCVLLDRATSTAVH H257_14812 MGFDDSCSATTTTTRVLDIQSDWQTTEDDSFWVSSSDRVVLTYP DPIAGAHVLAHGSTPTSMHTVHKADASTAGIPSSTYHIADQSRYSLTVATDSYSMSFF TPHTQTPLQAQLYAVSTSPNGAFLGVGGADGLFHVLQVPTHTSLQLAGHVSDVTHVEF FPSSLVALTGSADFSLRIWSVQTFTCGAVLRGHKGAISGIGILGRGRNVVSCAQDRFV KLWHCGSSHCYRDWHLPSTPRCLVSSASHHDDHDQGRTLAEAPDMEFETSSTWLIVGT DAGVTALDARVASPVLELGHAAAVTACATTHGSAIPMLVTGTEDGVLSTYDLRQPKGP IHMMSRSGAGVRAIEMVDKAAWVATADGSCSRWSGLAMGQTPTVTGELVGPTYDAVNG VSVSSNGTISSVSRDGVLRMYESPTS H257_14812 MGFDDSCSATTTTTRVLDIQSDWQTTEDDSFWVSSSDRVVLTYP DPIAGAHVLAHGSTPTSMHTVHKADASTAGIPSSTYHIADQSRYSLTVATDSYSMSFF TPHTQTPLQAQLYAVSTSPNGAFLGVGGADGLFHVLQVPTHTSLQLAGHVSDVTHVEF FPSSLVALTGSADFSLRIWSVQTFTCGAVLRGHKGAISGIGILGRGRNVVSCAQDRFV KLWHCGSSHCYRDWHLPSTPRCLVSSASHHDDHDQGRTLAEAPDMEFETSSTWLIVGT DAGVTALDARVASPVLELGHAAAVTACATTHGSAIPMLVTGTEDGVLSTYDLRQPKYV KEMPSCACLVISC H257_14812 MGFDDSCSATTTTTRVLDIQSDWQTTEDDSFWVSSSDRVVLTYP DPIAGAHVLAHGSTPTSMHTVHKADASTAGIPSSTYHIADQSRYSLTVATDSYSMSFF TPHTQTPLQAQLYAVSTSPNGAFLGVGGADGLFHVLQVPTHTSLQLAGHVSDVTHVEF FPSSLVALTGSADFSLRIWSVQTFTCGAVLRGHKGAISGIGILGRGRNVVSCAQDRFV KLWHCGSSHCYRDWHLPSTPRCLVSSASHHDDHDQGRTLAEAPDMEFETSSTWLIVGT DAGVTALDARVASPVRLYGFLAISG H257_14813 MPEGRTTTLPQRLAVLLAAKDKTSAPLLALLQHVHDGVQEVVMV QPLDPFRYMAAYLQHTMSLDVELEEVALTKELHRKQIALGKLRLQVAHLRHIRLASLR DCLVCEADLCVDATDSVVTPDDHTFLLPEPQKTQVDWCPPTLPLSVNEDASTSVHFAA MASANTQAVLEFFLPECLDAVVQLGNANPPDPLHWLVHHFYAKSTATQYQLAALKATL GSYRWYLDQVRDAVPVAEANTHAATSKQVSLRAQLAERNRLVLHLSVTHMVRRSDINM KGRQVLVDREKLWIPLDCIEPIHRFTPFQLQALHRAETLLMLADEVSYKAKLQFDLEY RSATMIQAMYKCHVLYHIHKTVMAGRHAAAAHIQRIYERYLYSKAIRLPAWCVLGQQV MVAMPIARRAAIWFQFYAGKDFSSGNFSTVPAAPLNVLEHRCRQDDKCAAFASDGSLK RFVPRQLSQLHALNPPDGRPLDMRVDGLYIKRIPRDDSEVTTHAIVTRIPVDKFGPVQ VVFDGTGVIEDVPVHKLSVRWTHAYDMSADTWHFVDAVTQIKAPVAPEPFGDADSRQR EIDGRKRSYAMERDADYMAKKLQSAITLQCAYRNRKARQLFRFMVALREKEKLHAAKV DQVALDVAKKRHKNKQRRWWFGRK H257_14813 MPEGRTTTLPQRLAVLLAAKDKTSAPLLALLQHVHDGVQEVVMV QPLDPFRYMAAYLQHTMSLDVELEEVALTKELHRKQIALGKLRLQVAHLRHIRLASLR DCLVCEADLCVDATDSVVTPDDHTFLLPEPQKTQVDWCPPTLPLSVNEDASTSVHFAA MASANTQAVLENANPPDPLHWLVHHFYAKSTATQYQLAALKATLGSYRWYLDQVRDAV PVAEANTHAATSKQVSLRAQLAERNRLVLHLSVTHMVRRSDINMKGRQVLVDREKLWI PLDCIEPIHRFTPFQLQALHRAETLLMLADEVSYKAKLQFDLEYRSATMIQAMYKCHV LYHIHKTVMAGRHAAAAHIQRIYERYLYSKAIRLPAWCVLGQQVMVAMPIARRAAIWF QFYAGKDFSSGNFSTVPAAPLNVLEHRCRQDDKCAAFASDGSLKRFVPRQLSQLHALN PPDGRPLDMRVDGLYIKRIPRDDSEVTTHAIVTRIPVDKFGPVQVVFDGTGVIEDVPV HKLSVRWTHAYDMSADTWHFVDAVTQIKAPVAPEPFGDADSRQREIDGRKRSYAMERD ADYMAKKLQSAITLQCAYRNRKARQLFRFMVALREKEKLHAAKVDQVALDVAKKRHKN KQRRWWFGRK H257_14813 MPEGRTTTLPQRLAVLLAAKDKTSAPLLALLQHVHDGVQEVVMV QPLDPFRYMAAYLQHTMSLDVELEEVALTKELHRKQIALGKLRLQVAHLRHIRLASLR DCLVCEADLCVDATDSVVTPDDHTFLLPEPQKTQVDWCPPTLPLSVNEDASTSVHFAA MASANTQAVLEFFLPECLDAVVQLGNANPPDPLHWLVHHFYAKSTATQYQLAALKATL GSYRWYLDQVRDAVPVAEANTHAATSKQVSLRAQLAERNRLVLHLSVTHMVRRSDINM KGRQVLVDREKLWIPLDCIEPIHRFTPFQLQALHRAETLLMLADEVSYKAKLQFDLEY RSATMIQAMYKCHVLYHIHKTVMAGRHAAAAHIQRIYERYLYSKAIRLPAWCVLGQQV MVAMPIARRAAIWFQFYAGKDFSSGNFSTVPAAPLNVLEHRCRQDDKCAAFASDGSLK RFVPRQLSQLHALNPPDGRPLDMRVDGLYIKRIPRTYIRSAYVCIHI H257_14813 MPEGRTTTLPQRLAVLLAAKDKTSAPLLALLQHVHDGVQEVVMV QPLDPFRYMAAYLQHTMSLDVELEEVALTKELHRKQIALGKLRLQVAHLRHIRLASLR DCLVCEADLCVDATDSVVTPDDHTFLLPEPQKTQVDWCPPTLPLSVNEDASTSVHFAA MASANTQAVLENANPPDPLHWLVHHFYAKSTATQYQLAALKATLGSYRWYLDQVRDAV PVAEANTHAATSKQVSLRAQLAERNRLVLHLSVTHMVRRSDINMKGRQVLVDREKLWI PLDCIEPIHRFTPFQLQALHRAETLLMLADEVSYKAKLQFDLEYRSATMIQAMYKCHV LYHIHKTVMAGRHAAAAHIQRIYERYLYSKAIRLPAWCVLGQQVMVAMPIARRAAIWF QFYAGKDFSSGNFSTVPAAPLNVLEHRCRQDDKCAAFASDGSLKRFVPRQLSQLHALN PPDGRPLDMRVDGLYIKRIPRTYIRSAYVCIHI H257_14813 MSLDVELEEVALTKELHRKQIALGKLRLQVAHLRHIRLASLRDC LVCEADLCVDATDSVVTPDDHTFLLPEPQKTQVDWCPPTLPLSVNEDASTSVHFAAMA SANTQAVLEFFLPECLDAVVQLGNANPPDPLHWLVHHFYAKSTATQYQLAALKATLGS YRWYLDQVRDAVPVAEANTHAATSKQVSLRAQLAERNRLVLHLSVTHMVRRSDINMKG RQVLVDREKLWIPLDCIEPIHRFTPFQLQALHRAETLLMLADEVSYKAKLQFDLEYRS ATMIQAMYKCHVLYHIHKTVMAGRHAAAAHIQRIYERYLYSKAIRLPAWCVLGQQVMV AMPIARRAAIWFQFYAGKDFSSGNFSTVPAAPLNVLEHRCRQDDKCAAFASDGSLKRF VPRQLSQLHALNPPDGRPLDMRVDGLYIKRIPRDDSEVTTHAIVTRIPVDKFGPVQVV FDGTGVIEDVPVHKLSVRWTHAYDMSADTWHFVDAVTQIKAPVAPEPFGDADSRQREI DGRKRSYAMERDADYMAKKLQSAITLQCAYRNRKARQLFRFMVALREKEKLHAAKVDQ VALDVAKKRHKNKQRRWWFGRK H257_14813 MSLDVELEEVALTKELHRKQIALGKLRLQVAHLRHIRLASLRDC LVCEADLCVDATDSVVTPDDHTFLLPEPQKTQVDWCPPTLPLSVNEDASTSVHFAAMA SANTQAVLENANPPDPLHWLVHHFYAKSTATQYQLAALKATLGSYRWYLDQVRDAVPV AEANTHAATSKQVSLRAQLAERNRLVLHLSVTHMVRRSDINMKGRQVLVDREKLWIPL DCIEPIHRFTPFQLQALHRAETLLMLADEVSYKAKLQFDLEYRSATMIQAMYKCHVLY HIHKTVMAGRHAAAAHIQRIYERYLYSKAIRLPAWCVLGQQVMVAMPIARRAAIWFQF YAGKDFSSGNFSTVPAAPLNVLEHRCRQDDKCAAFASDGSLKRFVPRQLSQLHALNPP DGRPLDMRVDGLYIKRIPRDDSEVTTHAIVTRIPVDKFGPVQVVFDGTGVIEDVPVHK LSVRWTHAYDMSADTWHFVDAVTQIKAPVAPEPFGDADSRQREIDGRKRSYAMERDAD YMAKKLQSAITLQCAYRNRKARQLFRFMVALREKEKLHAAKVDQVALDVAKKRHKNKQ RRWWFGRK H257_14814 MTTTDAPSTTVAAPSTTTAAPSTTKAPIITTKAPSITTNAPSMT TQAPSVTTKAPSITTKAPCTTKVPSITTKAPSITTKAPSITTKTPCTTKAPSITTKAP CTTTKVPSITTKAPSITTKAPSITTKTPCTTKAPSITTKAPCTTTKAPSMTTQAPSVT SKAPSITTKAPCTTKVPSITTKAPSITTKAPSITTKAPSITTKAPSITTKAPCTTKAP CTTKSPCTTKAPCTTKAPCTTKAPCSTKPPCTTKAPCKTTVKPCTTKSIRPRPSTTKF LRTTTGTGS H257_14815 MVTIRSIISTTLLISFLLITSPAESASVPGPFTTKAPCITKAPC TTKTPCATKTPCATKAPCITKAPCTTKTPCATKTPCFTTKAPCFTKAPCTTKTPCTTK TPCFTSKAPGITTKAPCTTKTPCFTTKAPCTTKTPSTTKAPCTTKAPCTTKTPSTTKA PSTTKAPSITTHAPSVTTKAPSVTTKAPSISKVPSLTTQAPSVTTKAPSITTKAPSVT TKAPSISTKAPCTTKSPTITTKAPSTTKAPATTKAPATTKVPATTKVPAITTKTPCTT TKAPVITTKAPCTTTKAPSTTKAPVITTRAPCTTTKAPATTHHHEGS H257_14816 MNAMSMITHVLDRCFLHHYYGSNPYKMYTSLNGSQLHVPASLVL AGTFVVRCQTPNSPDLNVLDLGYFASIQSLQNNVSELASQLQALPWGYKRQRATVDVE GDLVVVLGASMAVAAAADVEH H257_14817 MEATCTAFNCHFEGLATSSIDCAELLGESNHGIFKSINTKKPSE ELVVNLTDQLDEVKFFQWVHALSKRDVRRIEYHIVICDDSDLHSVQVDFKLSTPGDRN CLDDLAFLTGDANDGAVF H257_14818 MLQSPRTKKVAIVRDPDMTSVLPQSTSYNVYPFQGLQSPPKAPR TASKTPPKRLKQLDKPAFAQTTAVQQNPSWFPMTRPYSPLGTVSPTAVMHHLSEEQHP HVKPHDLRQIEAWLLGFEQEAQSFTSYFLFCELKFQQSAVLATGRDIPNRLRTAVAFY CLQQASSIFGRYQSVLDTICVNLGSAIYTSFATLRRGRHLTALECYHAGLTYFDQVNS LQHENSSLHSNKSTVDELLVRLEQRVKELEAENADLRSSLASGSYGGSSSSTTTQAVS AFTKLALSNDFNPAANMEKREKMGYILKTFKALQGNEQMQVLLSQMELLGANAEVLFN MIHALPSLERNTLLDRLFQDKVQVMRSQWATEAAVRVVQQQNDDANQHKQRLVKYQAL IQDVLAGIHVSALDIPSQDKGTIEALASLVEALAQEKEKVKRYEARVFGMTVIHAKNE ALAKGNWIDKCQELQAKLDAAEADRSQLSQQLDSIHEKVEAERVERAARVAASEDKQT QVRPDELQKAMMHSENNNERKLVQPGFTGSKGHKSFIGLYLMIQEANYSVASVKRILA KKRPLSMLELHQVISGFYQTKMSQDIADDNMHRHREGLAQMLLDSYTVYYGLRELAMG QLITLDSAIRKHAAKSARIRLFGLLVGSLEPGSYASSAAAIDFLLFVVGVLFNVGNYR MHEERAQTNAKQLKSWFGDGMTGSPNCTTIPLDKLIQTVQIVFAHSHVTIKFMQDLCH SQNEHGEVELDVALESIVYYWLKLYAEQIVTMHNVFQLGDKDTNGVLDFAEFSDVVHH LDPDMTRRDCLDLYNRVAGADNVIDKEEFVMGMILHQRDIGLKSYFAADHSLATGSVT TPPTSSSAPNSTTNHNGGSTVPVATKRTNVKSAGEGGYHPQLPFNPVRQLTMLVSKFK DGTRAFAEGSSSEPNVNWEDGVDAIVDQFMSHKHLSDEQLTGS H257_14818 MLQSPRTKKVAIVRDPDMTSVLPQSTSYNVYPFQGLQSPPKAPR TASKTPPKRLKQLDKPAFAQTTAVQQNPSWFPMTRPYSPLGTVSPTAVMHHLSEEQHP HVKPHDLRQIEAWLLGFEQEAQSFTSYFLFCELKFQQSAVLATGRDIPNRLRTAVAFY CLQQASSIFGRYQSVLDTICVNLGSAIYTSFATLRRGRHLTALECYHAGLTYFDQVNS LQHENSSLHSNKSTVDELLVRLEQRVKELEAENADLRSSLASGSYGGSSSSTTTQAVS AFTKLALSNDFNPAANMEKREKMGYILKTFKALQGNEQMQVLLSQMELLGANAEVLFN MIHALPSLERNTLLDRLFQDKVQVMRSQWATEAAVRVVQQQNDDANQHKQRLVKYQAL IQDVLAGIHVSALDIPSQDKGTIEALASLVEALAQEKEKVKRYEARVFGMTVIHAKNE ALAKGNWIDKCQELQAKLDAAEADRSQLSQQLDSIHEKVEAERVERAARVAASEDKQT QVRPDELQKAMMHSENNNERKLVQPGFTGSKGHKSFIGLYLMIQEANYSVASVKRILA KKRPLSMLELHQVISGFYQTKMSQDIADDNMHRHREGLAQMLLDSYTVYYGLRELAMG QLITLDSAIRKHAAKSARIRLFGLLVGSLEPGSYASSAAAIDFLLFVVGVLFNVGNYR MHEERAQTNAKQLKSWFGDGMTGSPNCTTIPLDKLIQTVQIVFAHSHVTIKFMQDLCH SQNEHGEVELDVALESIVYYWLKLYAEQIVTMHNVFQLGDKDTNGVLDFAEFRCKIAW LLGHFLTNRGNPIGIVTWCITWTQT H257_14819 MMTLGMIVAAVVVQGQTTNHGNMGVCWDAFNSDAMEPQFRQLAP VFSSVRTFVAQAWNQNAADVAARSGLTVALGLWIQHGNYENEITNAIDGALRNPGTVD VIYVGNEELLVGWTPSKLLPFILDAKRRVQEAGLVDVKVGTVQIDRDFYMYPEVVDAC DVIGVNIHPFFNGAPAALADPFQSFANHFSWLAGKYGRDKVRMTETGFPSAGGANLGH VASLEAATAFFQRFQTWTQVVQTPTPYYFMLQDTPAKLGFGSDFEAYFGLLNNRSEWK YPMLSTDRSEAVSIFTSRGQALIVLNDNVYARRPTHSINEKFTYNAQTQQFTSLGNNQ CLDAYRDDGAPGGFKVHTYACDPNNLNQKWTFGADNYLYHASHHRCLDVDVNVLQLWP CHDHNVNRNQWFSHALQVQLFTSFKSALTSSTSSGGLGVAPLVTTGGAGGEGGNQPPT QLFWWDSNTKALQSDADLSQCVDAYAATSRSFQIHMYPCDASNGNQKWKLDDVTHRVF HLTHAKKCLNVVGTSGIELVDCINNNVAIPTTQRFSLDRVGECAPVEQNVNFHGQDIF AVDGAVPADCCAPCQAHPACHAYTHSNGRCYLKKLRQINGTGLWPGATSAAVQKCAPL QRASDFSGNDVGSVVAPRAEDCCAHCRLNVNCLAFTWVGGVCYLKSGVGTIVINSRAT SATVV H257_14820 MTSHDNKAADLEERVSYVQSNTAKDVDGYAEAKSPKDLEGGALD LFSREAFALFMQYGAIGIIYGMIPSLNYPIFNIYLNLEGNQTSSYGVLTVIGWSFKSW MLIGWTITMICLSVMAFSPFGEPFCNREKTKFCSTPLEKVPKSELQYFNLTAPDNGTL FILLSMFIAFGYVLAASASDAMVVEYAQREPVAIRGRIQTAIYTVRELTGILAYLVTA FGLNGPNYAGSFSFALSPNAPYGICLVPCVLVVLSTVFLLVEKKTEPSSFILWWGTFW ESLQSRVTWQICLFRFVSNVFQGVRTTAGLPVSTYWAGVEPLNDSLSSIIGSLMFAGI LVVVGKWGLNWNWRWTITAGTLGMIIIDGFVVYMTIWDVVRNQWFFTGIALADNIPHG IRFIVSTYVAVEIADKGNEGAIYGLVSTVNNLAGPFARIFYKYINSYFKVHQNDIKSD TLEVRWDVAYVYMISYGFKALKARGGKSKVAGVILVATFVACVSFAVTSNIMSIFPST KCYRIAGGNGVLDSKTGKCPLM H257_14821 MAPPPSKAAVEVFFADVKPFVLDVGDFFDRNNNAKSSPPPPLPV TLRLPPVTPVVLSPRPFANATARRQHLSQPSWEVPEPLTTTGCLRQLFN H257_14822 MHVAYPVLGVGQAKHLIAICGGGGSAKTGVKNTVDVYSMPSRGV PYRLLGSADTGSELPSSVAISSDGSWLAVSVNAACWVYEVLAKAASTDSDTPDAAPAL ELVLRVKFRTDFCAIDSSQTCACFVGPRTLVTGGEDSVIRIWTISHSPSSNPTTPTTA ASLIAGPPPAKDDDAEPVPVVTPVVGDQAFHDTTVVTLANEYRGHTKRIKQIHVDPFS RNAVVTSDEGATCHLWRIDDPCAAAFFTTTAPDTLRDHVLPNNPPTTKGPFKHQFRCV RFSPTGQALYTVLSPPRGDAYLLKWVPTTLAQEDATSWPWRVAAVALAGPEPVGSLTV SDDGAVVVTATASGDLYSFDAANLTRGSPSSPEAHTFAITGLACQAVVPGSLYRLCSA GADKRLLVHRVELSTAKGGGLTWATTFWALFWSVFVGSIVAWTGLVYFHVSQAGLLVN HPFQGVEAILASKFESNDAMATVMAGLVGLLATLLSWVLCLNSRALHGVFWIGLALLV LSGVSLWVAATDELHVKWVDGVDLVEYKACIVSAVAGVGFLLLHSIGLMVL H257_14822 MHVAYPVLGVGQAKHLIAICGGGGSAKTGVKNTVDVYSMPSRGV PYRLLGSADTGSELPSSVAISSDGSWLAVSVNAACWVYEVLAKAASTDSDTPDAAPAL ELVLRVKFRTDFCAIDSSQTCACFVGPRTLVTGGEDSVIRIWTISHSPSSNPTTPTTA ASLIAGPPPAKDDDAEPVPVVTPVVGDQAFHDTTVVTLANEYRGHTKRIKQIHVDPFS RNAVVTSDEGATCHLWRIDDPCAAAFFTTTAPDTLRDHVLPNNPPTTKGPFKHQFRCV RFSPTGQALYTVLSPPRGDAYLLKWVPTTLAQEDATSWPWRVAAVALAGPEPVGSLTV SDDGAVVVTATASGDLYSFDAANLTRGSPSSPEAHTFAITGLACQAVVPGSLYRLCSA GADKRLLVHRVELSSELFFSPRFKDFALD H257_14823 MQPTKSSASLLLLHPVDSVDLPKQMQAMHLIGQISTTTDDAAAN ALDEGDDWGWFEDLTIHDEAQVERFESFHLHDLSHQSFE H257_14824 MTFMATRPQSARPSSAVASHHRAKTNKITLGMYRFRPGTSSLEK VGPVDVHTPVLTLLALLADDTSPLYHSVHHLKMHRFRAHIPSTVIVGRQSEPTAWYHT NKDGYVLKKHPAHCTWKHVREALCGGRHSPDYVATHDNKNIHWPVAVAKFDDGRLRVL TRHALLVLLKELETACPGQTNAVHPFCIQAFVPPAKRVRYISIYTLVRNVGDCHVVVA ELTSNYKEQPHDNGDGSNDVDITKEPIEILEAENSLMVESIKRTTLLLIHHVNRKQSA SSTPVISKLIAEYMIHTKDNEVYLTAILGVSWQHGDQVQLVDFQTETTILSTREDQGN NQGGRGGATHAVTKQVKAFARSLQGSTELYEAAERHASECQDEAAKLKQQVAALEVEL DQVKAKLDTSTAHADAQRKIKCLEGRIELQDRQIATLESAVAVHEASAVTAAAGLDRE RVLFCEALTASQAKVDSLTAVVAQLEREKSELHAQVLSQAHALHAAAGTVEALQGAVD KQKLLTHQVSSSLRELQVQVNLVEAENDKFKALLPFASVKKVNWCSKMHLHEFAMDWP EYRMEIGVLNRCLSAHFSVLKRIFSQFAKVKVPLVAPHIALGRTHPLLSNQLNYAQLV ALLERCHIVTTRFTPPQVEPLFTKCTAARWHQTHGPKLTTAGMSLPRGLLLVEFMELL VRVAHVRGGGKSGLVAESLHKMVEDCIYPHVHWKDVEAAAVGLK H257_14824 MTFMATRPQSARPSSAVASHHRAKTNKITLGMYRFRPGTSSLEK VGPVDVHTPVLTLLALLADDTSPLYHSVHHLKMHRFRAHIPSTVIVGRQSEPTAWYHT NKDGYVLKKHPAHCTWKHVREALCGGRHSPDYVATHDNKNIHWPVAVAKFDDGRLRVL TRHALLVLLKELETACPGQTNAVHPFCIQAFVPPAKRVRYISIYTLVRNVGDCHVVVA ELTSNYKEQPHDNGDGSNDVDITKEPIEILEAENSLMVESIKRTTLLLIHHVNRKQSA SSTPVISKLIAEYMIHTKDNEVYLTAILGVSWQHGDQVQLVDFQTETTILSTREDQGN NQGGRGGATHAVTKQVKAFARSLQGSTELYEAAERHASECQDEAAKLKQQVAALEVEL DQVKAKLDTSTAHADAQRKIKCLEGRIELQDRQIATLESAVAVHEASAVTAAAGLDRE RVLFCEALTASQAKVDSLTAVVAQLEREKSELHAQVLSQAHALHAAAGTVEALQGAVD KQKLLTHQVSSSLRELQVQVNLVEAENDKFKALLPFASVKKVNWCSKMHLHEFAMDWP EYRMEIGVLNRCLSAHFSVLKRIFSQFAKVKVPLVAPHIALGRTHPLLSNQLNYAQLV ALLERCHIVTTRCSQSFGLRLVVIQNFIAL H257_14825 MAQSAPSSIKVAIVQYEPQINQVQANMETVASMLAHLTQADGLH ILMLSEMVFTGYCFRDRDEVEPLAEETSTGPTFEWCQRHATRLHCLVACGYVEKASDG NLYNSMMVLSPDGTVVFNYRKTFLYETDKSWATAGSGFGNWYCPWLAQQLSFGKLLTI AKVFAQGCRYTLRTCLGICMDINPCDFTAPYESYEFASSVVTAKSSLVLFSSAWNDFS PLETNPSPLPTIQYWANRLVPVINTASKSQQHCYFICANRTGVERGTSFVGGSCIISL NEPSIVVAADRFQAKVLVATLPLGDTSS H257_14825 MAQSAPSSIKVAIVQYEPQINQVQANMETVASMLAHLTQADGLH ILMLSEMVFTGYCFRDRDEVEPLAEETSTGPTFEWCQRHATRLHCLVACGYVEKASDG NLYNSMMVLSPDGTVVFNYRKTFLYETDKSWATAGSGFGNWYCPWLAQQLSFGICMDI NPCDFTAPYESYEFASSVVTAKSSLVLFSSAWNDFSPLETNPSPLPTIQYWANRLVPV INTASKSQQHCYFICANRTGVERGTSFVGGSCIISLNEPSIVVAADRFQAKVLVATLP LGDTSS H257_14825 MAQSAPSSIKVAIVQYEPQINQVQANMETVASMLAHLTQADGLH ILMLSEMVFTGYCFRDRDEVEPLAEETSTGPTFEWCQRHATRLHCLVACGYVEKASDG NLYNSMMVLSPDGTVVFNYRKTFLYETDKSWATAGSGFGNWYCPWLAQQLSFGICMDI NPCDFTAPYESYEFASSVVTAKSSLVLFSSAWNDFSPLETNPSPLPTIQYGLV H257_14826 MHNMKCVVVGDGAVGKTCVLISYTTNAFPGEYIPTVFDNYSANV MVDTKPINLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSVVSPASFENVKAKWFPEIQ HHAPGVPFILVGTKLDLRDDEETIDRLKEKRVKPITTEQGEALKNELGAFKYLECSAL TQKGLKQVFDEGIRCVLQHHKNPKKTARKFGGCSIL H257_14827 MTSNWVYDVKNLLTHDVRPVHASRLKFYAGRDLDVTSELLAHVA HNSEGFEVEAMVSARYPTTKVYELLIK H257_14828 MKLNENRGLTKDARDFELAGGLHAPLRRVWAADGHGGARQRQVR QVARGDQDPQGWKAPKVVAVVNVDKVPMLNFTKCVSLSA H257_14829 MSLPSTPPAGALPFAAYPPEIVTVQRLGQHLGNQVQAAVHEQSA RLDDKVHLHHQQQLHSQQKMEMNNRLMAELEDQRRRQEVLVEQLNAQKKQTKAHEQGL HQAAAASVKHGEQLEEMRRRTSARWHAFSATLVPAIAAPTTSPAVAGV H257_14830 MRIINSMKTHYNDWLLQYQANKPDPYKSLLHLFQSFAHRHRFSQ RVDCHSKLPAVDMVKYDWHNLRDIINVTEKAFPILFIFHGTPGGPIDQCELRTYPSGH HYAVQNKGWMNSRVWRLYLDVLPSGQMSSLQTTFDAHVSQQSSDSIVRVESFTD H257_14831 MHISADVFHEREHITLEWDDALRALSTEGGSFRIASSCHKLCAL IQPSRESTSRHGVQRAKLATTDAMFVEESKKLATVLGNSGSKARRSAEVIEATIKVVS QEDRQTLRSLAAHNGIPKTTIMRHMPATKKLMARSSHLKPFLTVANKTERLRFAMNFL LPGSKGRHFFDAMYNQVYIDEKWFFLTQVKRTFYVYEDDELAHRAAKSKRFITKVMFL AAVARPRYDPRLRQEFDGKLGIWPFVQRVPAARNTCSTRRELVQSRNSLVLLTVHFLR CCQNSGEEFVTLQKVMETSMEVLGGNNYKLPHLKKDCTIQDLSTFKVHYAASTYNSVH AQLNSQVEKPMDSFSIVTRLQQQVADDVHALDEMGQVCHAAPSQHVEEADDSPTPVID SFFSQGGNASLSTMTTQSEFKSIWAIVESAMHRCEVLTIVPSDGPIRRSQALLSVKHK LYGLKLEYSVAYPGVPVDMSEHSPGSVADVTMFMHRRHVHQDMLSKTASQMEEGDHDE CAEEYPDSWSILVHMGYQRIQYQVRSMQAKTSRRPLTARELEPNSRVSSDRVMVENYF GRICSLWKIMRETYKWNKPRFDRISRLCVN H257_14832 MAYLTVEDLKISFNLFCVVYGVGTLGMPGNYARAGFVWGTLAVL LMAAINIYTTASISKVLLVAPKKVKTYGDLGEWCLGKPGRYVTVLTQLLVCWMVPIAF LVLSGSLLTTLFPGTYDDTTWIILMGLVLMPVCLMPSLKESAGVAAAGAAGTLIADIV ALYLLVSNMSPIPVGLSPPSPIPTFASVSSVFGNLSLGYSAGVVIPALQREHSQPQRM PRVIVFTLGVCSVLFLIISITGVSTVGCQIPGNLLFAITGTKLGFEASRGGVVLAFLS MQLHCSVAFAVILFPTFYIMERILFGFHKVHSVEEAVDYNNDLETPADKDESPVVPVE VDAAPTYNSSGDYLKAMVLRLVMVGISIVLAIVWKDQFMNILDFVGASSTAMTSMILP VLFTLKTFGNKLPAYEKIWCGFVLAICSALAVYVSYHTGKALFTPETPDKEIKFPHCP AEFQKVVYTNRTYYNLP H257_14833 MALNPSEQEVSTSFDTTRGVFDQLCGETSAKRVRRDGHLKIMTL VRLPRQLEPSKTPRVFKKCNRSEQRTGS H257_14834 MDAISGHAPVILAPWSLQMNLNLDKTEHTSAQRKPIDQQTKPPR PPGERARNSAPTSTTLPSSNAATPSLGPASTISGSVTPTSPKPLAFASITATPNCFAS PPTALHHSSRLPQQISNVALYTRYGCEPLRLTLLRARLSPLRTYTPPGA H257_14835 MRRYHHRRFGLQGKTSDHLVKYWAGVEPLNDSLSSILSDLIHSG ILGKEGSVYGQITTVNNLARPFAGIVYKYAASFFKMSQNDLKNVVTAKSQHVAKKATR IAPASCIHFYWGQHADDEVLLSSISDKECKSQPEPAPGTLVQKQLKRDINYRMQHNSN SPEQ H257_14836 MASHDNKATDLEERVSYIHSNTAKDVDGYAEAKSPKDLEEGALV EGGALNLFSREAFALFMQYGAIGIIFGMIPSLNYPIFNIYLNLEGYQTSSYRVLILIG WSFKAIFGMLSDCVPIYGYRRKSWMLIGWTITMICLIVMTFSSLGEPFCNREKTKYCS TPLEKVPANELEHFNLTAPDNGRLFILLSMFVAFGYVLAACASDAMVVEYAQREPEAI RGRIQTAIYVVRTLTGILAYLVTAFGLNGPNYAGSFSFALSPNAPYGICLVPCVLVVL STVFLLVEKKTKPSSFTQWWGTFWESLQSRVMWQICLFRFLSNFFNGVHTTAGSPIST YWAGVEPLNDSLSSIIGSLLFAGILVVVGKWGLNWNWRWTITGGTLGVIAVDGFVVYM TIWDVVRNQWFYTGVALADNIPFGIRFIVSTYVAVEIADKGTEGATYGLISTVNNLSG PFASILYKYINSYFKVRQNDIKSDTLEVRWDVAYVFMISYGCKVASLFWLFLLPPQKA EVQALKARGGKSKVAGVILVSTFVVCVSFTVTTSIMSIFPLTKCYRVAGGNGVLDPKT GKCPVK H257_14837 MRWQAKPSTSGGPHHLYATHLDSHINLTLYQLAASGSPPHQQRL PYAALHHSSPSSAPLCWPPSCLKHPYHTNLPTDLDNSTGPTQRFHQAFPFRTTAAPPH CPFCDAADSPSHTFWLCGRACYLWTTTLRIWFDHATPPNTDDLQTSLLHGTQPPAAP H257_14838 MSGLQIQLQKSFGICLNSSHTPDSVQSIPFITGSIRRRYLGIQV GLGSLTDANWDACYRSTVTRLQTASSKTHHQPARALVLKTIVQSKFAFLASHIPPSPS H257_14839 MRIAADGTTHPIPSPTSTPFDSAVVPPRHLDPSPTLQELGIHSL GEPHPQHLDHHTPTPPSDANEPIADSDMEDHAPPPHPNTTAHLQIDVQMEGPNGHACT TPSPPRGLSTPPAVPTTMHPPPPVIDLSGDSALNQLLGVPEPLRVEYHRTGTLDAVSG PQYWYAEETDLPDTYSLIDLIELAHTDGLLDLVDNLSWGKWPQFWPWVKRNAIAWGYS SLGHREPPSPTFASPDPQPRPPLAITAFRILTQNVDGFRVDSVRAWMASWKDGLTHER LDIICLQETHVGSPAQRYRAHSLLHPPNPDTPPQLPMIIAGDFNCVSSPHLDRTPTCP PRSPTLTAPPWKIDSPFGLFTTALDKPARPPPLLKPSPSSAPAHTRVYGHNPSPAPAS IAYMPTQRSQRRLRLRCSPTHRSRNARHQLYPIRSAQPLRLQQHILHFLHAASADPVP PADICARWDDFIDSLQGLLRQLQLAETPPRQTPLPIDTPSQRLETIEAYANQLAEINR YRHGRSMIEGMTPSAAFFRRFTNRWDKSELPSISPPDCAHLLAPCTPYDLSMALKKLH GGKAPGPDGIPTKFYHHFSAALSPLLLRLGRAPLKKKGNSTNALGYRPISLLNSTYKI FAQILAIRLPPLLAVVIGSQQQGLFQRALAFQSSDPLTPLEVSAII H257_14840 MEAHAYCKKPKVAIKSPSRAPTPYETYILDSILNGSPALDQPPK FLKEILTPNEMALFSDQMRLQYGFLQIPLKIAMKLSNEMPSPYLARLFFPLNPQQNVV EMQVCNTFRQDLLACYVHPHGRKLIIQFNSKSKTAHLCDRAIPFLSKPAVLRHYAHPD DPHAVAPTVDAQRNMTFSFRLLQVPILERAQNAGSGELDMNSFLVVTDSELRPACLAN KARIANKARIAIGSTNIGVFHFQDHNNMPCRKCTSLDHQVEICTRLTINQAGVVTLPT ELWANIAGQTVAENPFFAMWRTKSRKFVPPRTAESQTTPMAITHETGTQAGMLVDYGT STERTVTDSRIQTDHVHAQRLPKAAEPTTTSPRHTTTNTSAPTTRTFETTQVDMDPDD LDEGDVHLSPRQWASSRINSPTDHGAADVRLSPRPWSSSLTTGLHTYDVQDADTDRLN PCLRSSSPTTSSKVDMDTAATQTSLQPRPTSSSGSRLGTPSTLQTPPNQPATLHTGGS GRGPVSLGDRTDATAPLFSLFSHPTHLATTVPPNQIGT H257_14841 MCDTTRERQHALTSTESAHPRHSPTRRHDEPALTPIPPNLLEQE ILRRLSPHSIHAPPADQTSGLFSSVQSNWVALPLPANNDHVRDDTEMGSDGGDGRTAP QVWNATALLQPPTFSASTKAERRAFMREYQKYLGQINALQCSGSSPSAMPVSACMDPF SKRRIALFDFNREHHSITNDEWIAWFKSAFEEDPQDLDVLKQRRQRAIRFDTKVLDAE SRIGRMLDELMRSLEQDHQEWKTEVQKQLQLQQNKALKSDVFGYVNWLRTFAAGDQLY VGLDDKSKASPAAKPVEAPRGGKPHMTRRDSGREDAAKNNGRVGGKAETIVPKNAEPP ARKGCLKCSDMRCPRTAPGEAETLLAAQVKRWKDGIKVLVNQPQCQKTERGVLLENIV RVDDVLLDSGSDVTVVTRGRLAYPYGSDAKPVVMTRSVKFNCVTLDTTCGPLVLRGLK AWVDDASTATELIVSHPVMELLGFSVEDLLVGARKKKEEWDVSSVPTNELSGMANVKR LMAEKLNPPELDPDDGMECTTPEVYPKTSVEDEAKHRRTKQGAVQAILAAKAAEAETR GLAPAEATRLHDLLAKHIDVFRENLGDDPPVKVEPLKVRIKPGATLVKCGMRRYPPLH LEYMRSHVAALEANGMVYQNNRATWAAAPRIVPKKEVGDLRMMIDSRPINACTEPMPW PMPNLDRAVVCLVGTNMYFTLNWTKGYWQLPLHADSQKYFSFVTPFGVYTPTRVLMGQ TDAVAYYQSVVHQMFGELLFRGLLAWLDDLLGSAKTTDELLDLLDQVLSICAEFGLKL SLKKCHFFLREAEWCGKVIMVDGITHSPSRIQGLVDLSPPPTAADLQQFVCVTNWMRA SIPGYNQLVATSAGLRVALPAVGWSSDHLKCCNEVKHALAHVVPLSHPREDMTVCVFT DASDLFWGAVATQVPPADLDLPLEDQRHQPLAFISGSFSGASARWPIVEKEAYAVVES CKRLDYLVVRPGGFRLFTDHHNLVYIFNPSGSNANMAKYQADKLQRWSLVMLTFPYTI ECVSGDANVWGDPLSRWGSAPTDQPVANVRKLIHVVSPLQQVDFEWPTAATISGIQRS TMEGGGTPPNGVDWVDDSHFCVVLDGRIWIPDGVVDLQQRICVIAHQGASGHRRIAAT TKSLSARQKHVTDLQVALEETHRNVVVRSDKLRQQARGRRDRKSQVKFAGFSVGDFVG RYQPSDKIGPALARSCQVTRVITDHVMKTQQLVPPYEVTVHHACRLKMYHEGGREVTE ELKAQIAFGDGGFHVERLDEARCMDGQHQVLVKWLGLDDEESSWEPAANLLDDIPVLF RKRAAANKEDPAVAVLIKTLDFP H257_14842 MEENAAYRSYGEALLNCFRGGAFSAILDITLFVVGVSIQHVLLS AAFTPVMSATDIPMPMLGFSSSVVGIYTKAAEVGADLVGKVEQGIPEDDPRNPSVIAD LSVEVKIVGATSLDKEGAIESAVSFVSSIGTFCVTEPRPTESDPMTTLQRRYSIAFLL ALAGLGLSMRCLIFASAAPGARLHFSLGTGDLVFSLMPVASR H257_14843 MHKEVLDKSAMRAVKATEKYEQCNVSEGDYVLWSRVDERYHPKL LEVGEFSVVLEHLVTHELREAHASRVKLYAEDSFEVTEEILEHVSEKGIMLKVKSIAG HKFVPDVKDFMLQVLWEGFEDIESSWEPLQKLMYECPAVVKNYVEGVKTASEGDALRK AMKRARAKN H257_14844 MTQVVLNRGHREVGEFSVVLEHLVTHELREAHASRVKLYAEDSF EVTEEILEHVSEKGIMLKVKSIAGHKFVPDVKDFMLQVLWEGFEDIESSWEPLQKLMY ECPAVVKNYVEGVKTASEGDALRKAMKRARAKN H257_14845 MADGEAATGPHEQLHATAISVYQRLHSFKFRPHASLDVNLQAID KLRTEVENLLEGHPLSDSHLASALLKVLPTCIMQDYYMWPSIPYQDMRRLLEKHRPDV TLKYPSILGPASIALTVPVHGSATATAITRSRALALPAFRAGSRHRDGYGQAGAPLDV HLYKAFPGVARTRCVKTLCFHQDGLPSHLANTPQVVAVDALKADQAATAVANATSNAA GVVEES H257_14846 MTSHENKPADLEERLSYIHSKTAKDFDGYIEAKSPKDLEEGALA DGGALDLWSREAFALYIQYGAVGVMYGILPALRYPIFNIYLNLEGYETSAYRVLIVIG WSFKVVFGLLSDCVPIYGYRRKSWILIGWTITMICLSVMAFSPFGEPFCNREKTKYCS TPLEKVPKSELQYFNLAAPDSGTLYILLSMFIAFGYVSSASASDAMVVEYAQREPLAI RGRIQTAIYTVRELSGIFSYLVTGFGLNGPNYAGSFSFALSPNAPYGICLVPCVLVVL STVFLLVEKKAEPSSFYRWLSMFWESLQSRVMWQICLFRFLSNVFHGVGTTAGLPIST YWAGVEPLNDALSNIFGNMLYAGMLVIVAKWGLNWNWRWTITAGTLGMIIIDGFVVYM TIWDVVRNQWFFAGVALADNFPQGLRFIVATFMAVEIADKGNEGATYGLVTTVSNLAS PFASIFYKYINSYFKVSQNDVKSDTLEVRWAVAYVYMISYGFKVASLFWLFLLPPQKT EIQALKARGGKSKVAGALLVIIFLFCVSFAVSSNIMTIFPSTKCYRIAGGNGVLDPKT GKCPVK H257_14847 MQIPGDKHALDFRMKAPTFKKMVHRVLDLVEPVLYQHYVKPLPM TDQVRKGHVFSNFPSALYCTDVKFQPSYRPTGRFDEAKYYFCGKHKVYGLKLECSVAY PGVAVALSDHCPDSVSYVTMFFQRSEIHLSMPMKSPTELDMPNNDEGRQFRSVQPKRR PQGGFLTPRELERNGRVSSDRVLVENYFGHPCSLWKIMATTYKWNESKFDRVSRICCA LTNANVSWTPLCGKDGRGAAIKCNTELDARDDLKQFRIKSTGAGQVAEWAVWLGKAFE EEPQDLEVLKKRLKTAIQFDTTILNPASRIGKMLDNLMRDLERDDQARSNRLASRSLF NASWSSNSTSHEKTSAPPATAVAAPSVPSKPRRSEGSSGSSSGPPRRAPAPGQDSAKY DRKKATCGESEHGQVGERAQQEGDQASRQRKQSLGREAKIEGIVSVTTTLLDTGSDVT LVTADLMKSLERAGVEVKVISPEPSVIQPNGSSTCPQGRPPSVIQVGHPRHNLWTFGL AWTQGLGGLFLKRGKAPDQPDELLSHSFVKQEVWDVSNVDKWPPQATSAVMTACTVPP PTSKSHMLKTQKASETGGERWLKR H257_14848 MQGQFRSVTQEHVLVNEAPKYLEKGRSANERLRPDEKKRELLWT LTVLCSGTRKFVYDKRRRLMNQTFIGLTRNWNVNGPKYKWNGVYWLDLQQQAHRLRNF FPEALSVTSVPSCKSSPSTCSTVHTDAHIPAPGSYPSTPRTSDLPSFMQRTEIVTESA APGVPPSFLVQESAHQPFMPLGAPQSQPYRGPVQFSVSEEYYRASGGGGHGYSPGPPE SCGSGGGGSGGSSGGGSEHPSGEAHIPIERAPDNGSECNTSPSGNTPRGGGLLFCISR RSLRLISFHHPGLRKSAFFPKWETWPF H257_14849 MWEIVELPLKARWHDGRGSKSKTSPRDGLFMTLVVLEQYNSSEK HAMDFGFRAPTFHKLFCV H257_14850 MSELRANGGCFVNYPTLSTPRMSSSNPSSVRLVDTGYYRAMADI RVIHQKKTRLVECSTLKTLCETAGCHRTD H257_14851 MASHDNKAADLEERVSYIHSVTTKDVDGYAEAKSPKDLEEGALA EGGALDLFSREAFALFMQYGAIGVIYGMIPALNYPLFNIYLNLEGYQTSSYKVLIVIG WSFKAIFGLLSDCVPIYGYRRKSWMLIGWTITMICLSVMTFSPFGEPFCNREKTKFCG TPLEKVPKSELQHFNLTAPDNGSLFILLSMFIAFGYVLAACASDAMVVEYAQREPLAI RGRIQTAIYVVRTLAGILAYLVTAFGLNGPNYAGSFSFALSPNAPYGICLVPCVLVVL STVFLLVEKKTVPSSFTQWWGSFWESLKSRVMWQICLFRFLSNVFNGVGTTAGLPIST YWAGVEPLNDSLSGIIGNLLFAGILVVVGKWGLNWNWRWTIAAGTIGIIIIDGFVVYM TIWDVVRNQWFFTGVALADNIPTGIRFIVSTYVAVEIADKGNEGAVYGLVSTVSNLAG PFASIFYKYINSYFKVRQNDVKSDTLEPTQVTPHSPGSLSRLLNPLCSRPSQLPRLSP GSLSCLSSPNTPNIPLCAPSVIFAPLTSSLRNNPRFDFETTSNPNFQ H257_14852 MKASGASEDETEKTQLLDDLLLRMQETEEKSVKASIAASAANRS KDLNAHHVRHEAMKTIGKRKVEELGPSKSTPSKKQQQWQLVNSIDTATTFPTALSIIF CCGLKVVNAHSVFVS H257_14853 MKKMSLVDKHALYLEHLNNPTTSYSNLAIWAAHEFNLATTPSIS TIGNTLKHHATQCDRADSKDRTNNRRSSLPDVEEALVAWVLRCEELGVCLTGELIRKQ ALAFCATMEVPADQRHSFSKGWLYKFQRKHGLTSKLQHGEAGSTPPETVEAGRAEMQT ITTGYEPADIYNMDETLLSSSDVYSDLSRLVGVDVLVIVAKHLARWPRSLVLLCFHGG MLFVVHDAVQHWNVLDMERRRRRRRHQQGHVPRHQININAPAKVLHGGRRLARPCRSF HLHHIFPKCTEIFAPTAMKRHLLWLHDTAVDSAVSVV H257_14854 MVCHFLDVNMEPLALELYHDAVLHWPAQGQVILAQHSSSHIVVY QAYNAAIANALVTAQNYHYPVVAAAGFIPTRMTWIKPNFLWMMYRSSWAQARNQERIV AVTLLRDKFDAVVRDGVLSSFDAISSTRSEWQDQVAHSNVRIQWDPDHLPDGRKHAAR RAIQIGLRREALMALSHNAVVDMVDITAFVTRQRQGSQDGAAWLASLVVPVEREYFLG HSDTT H257_14855 MTSSSTFAPKFLPPPDAAPTDETDEQYLAAQKYALLLIQDGQIV EADRFLRARGYTHRLASSVLQYPFAGAVVPPPLMSDTGPAVDPRPFVCAADNALPSAM LAFMQHALSSSDSPFWRAHEYSVDPPSPYFSYVHDLSQPRVSGLDDVVHYLKHLAIQR FPGVSRAKFAEWWTHCRPHDSGHQFHFDSADEGRGGVRNPIVSTVTFLEAPCGGPTVV TDQRFGFPKLGRNGWLVHPHENRFVVFNGKVLHGVVPGRKGGDDADVGRLTPPRRVTF MVAFWDFDVRQSPPLAGERPGSAMPLTWGDDDTMPEWQQRFRPRCSVAGGGDDDDDGA VKDVTPLTLPRIWERTDGLALDNKMPAYDGCFQGF H257_14857 MRKSTSCLDIMMRLHVEDLAGVKAAFESYGRDGLDLEAFVRIMV ERLVWTPSTVVDLVYELVDLFGHIVINGQGTMVWEDFTSALIEAGMATGLDETHWRDM KYEDNVLFVDRTSRQPKHVEYISEIRKLIVFEGTRPVMQIYDPTAILASEAAESSVDD PGNAPTSLPLTHEIHPLAYTSGYRRDQDAVRSEHSPVQAIKYLTTLDILAVSAGDLKL SFWNCAIMFTSEIPTPMELVATEHPQRILEWAPKPSRLFTVSIDNRILVWTVIVKGNK KCCVACTAILDKHSDIVQGLLLVNDDTLVSCSMDSLIYIWDPNTLECKSTRAGHKRGI RTLAKHSSTVFVSAGFEMDMLGWDVSGLSIAPIFQLTGHMAPIVAIQIVTGFDQAISL DEDGWFKWWNLQNLISTDDCDRCLQTFRFGNDQYPWKPTSFTLFHNGATIIACGYRVK WVHRVRLKSKSVASNTVLYNDSSFTVLTSTDKEIQVWDALTGALLHVFRNVTKSDITQ VVFDSLQRKCIVANQGGELFVLNAANGALLKTFPRHSNQISCLVYCKEDTCVLSASWD KSLRVYDDMATNNPLLRSISDAHENDIKCLAYSHSLSLVATGSNDGHIKIWDFVYFLL EQQHHTTSEVNCLVFVEPFPVLVSGHENGDVHVFTVRPAAIPQLLFTFSSPASIVVLQ TYYDESGGDVVREGITTGRHVLVGGDQAGMLRCWNLSHVFEAGRVAATVEQTLPSSQD SYNPRRRIHREGHNAARLDRHLHQLPPTHQQETPQLSHAGAHLIEVVAEWKAHLMGIR SMCVVAESPQVIFTCSFDKSTKVWAYGGECLGVLCGDKEGPFWHLAVDTDSVSERKLH FAQALWGRLKDHLQLTTLQTPTTTPTTLSHRAKNRRKNQAVINLVEPPCIDTQPEPPT EKDRLFGQLRGEMTYKKPEIQLARERAWEVEAAKYQGRMEKIFKPKPSDILLSSPVIP SIPSSSFMESLDQDNWSDTAKSTLTALPQLNSTQLSQVPYDDKDNWKIDSKNRQKMIY NHLYTETCRTAKQLQGKRQPKLMLEAIDVAPSAFLLQKLGPDKATYRKPKALQHQSST KALRRVDGLTPSPSLPALRHTTARTTSPPRKQLSAPKYPPDKIPQNQHMDEIERIIQH ATNGPISGVNTANLASQTPLKVAPVHEKRQSSVLQRYTKLINDENLGKKTSKKQSGMK NSSKMARIESTDMSTKLVHNKAMVPDRKSRPIKGVGMMDTTKDQVQSDQALLIRQTFG PYAKDSVYEVCKLFVDTDVDNSGSIETKEFVQRLIQAQGPEMKDDLEILFDRMDHDHN GSLDMVEMLKAVFPKANARVREDMMAYSRLALNAAFAAKPKQRELTKGAIDDLTQLFR IFDKDQSGTIEPGELLQGLKANETFYAGRHEYGGNNNVGRVTVQDIERLFAQYDTNAN ASLELPEFIELLRDYFE H257_14857 MRKSTSCLDIMMRLHVEDLAGVKAAFESYGRDGLDLEAFVRIMV ERLVWTPSTVVDLVYELVDLFGHIVINGQGTMVWEDFTSALIEAGMATGLDETHWRDM KYEDNVLFVDRTSRQPKHVEYISEIRKLIVFEGTRPVMQIYDPTAILASEAAESSVDD PGNAPTSLPLTHEIHPLAYTSGYRRDQDAVRSEHSPVQAIKYLTTLDILAVSAGDLKL SFWNCAIMFTSEIPTPMELVATEHPQRILEWAPKPSRLFTVSIDNRILVWTVIVKGNK KCCVACTAILDKHSDIVQGLLLVNDDTLVSCSMDSLIYIWDPNTLECKSTRAGHKRGI RTLAKHSSTVFVSAGFEMDMLGWDVSGLSIAPIFQLTGHMAPIVAIQIVTGFDQAISL DEDGWFKWWNLQNLISTDDCDRCLQTFRFGNDQYPWKPTSFTLFHNGATIIACGYRVK WVHRVRLKSKSVASNTVLYNDSSFTVLTSTDKEIQVWDALTGALLHVFRNVTKSDITQ VVFDSLQRKCIVANQGGELFVLNAANGALLKTFPRHSNQISCLVYCKEDTCVLSASWD KSLRVYDDMATNNPLLRSISDAHENDIKCLAYSHSLSLVATGSNDGHIKIWDFVYFLL EQQHHTTSEVNCLVFVEPFPVLVSGHENGDVHVFTVRPAAIPQLLFTFSSPASIVVLQ TYYDESGGDVVREGITTGRHVLVGGDQAGMLRCWNLSHVFEAGRVAATVEQTLPSSQD SYNPRRRIHREGHNAARLDRHLHQLPPTHQQETPQLSHAGAHLIEVVAEWKAHLMGIR SMCVVAESPQVIFTCSFDKSTKVWAYGGECLGVLCGDKEGPFWHLAVDTDSVSERKLH FAQALWGRLKDHLQLTTLQTPTTTPTTLSHRAKNRRKNQAVINLVEPPCIDTQPEPPT EKDRLFGQLRGEMTYKKPEIQLARERAWEVEAAKYQGRMEKIFKPKPSDILLSSPVIP SIPSSSFMESLDQDNWSDTAKSTLTALPQLNSTQLSQVPYDDKDNWKIDSKNRQKMIY NHLYTETCRTAKQLQGKRQPKLMLEAIDVAPSAFLLQKLGPDKATYRKPKALQHQSST KALRRVDGLTPSPSLPALRHTTARTTSPPRKQLSAPKYPPDKIPQNQHMDEIERIIQH ATNGPISGVNTANLASQTPLKVAPVHEKRQSSVLQRYTKLINDENLGKKTSKKQSGMK NSSKMARIESTDMSTKLVHNKAMVPDRKSRPIKGVGMMDTTKDQVQSDQALLIRQTFG PYAKDSVYEVCKLFVDTDVDNSGSIETKEFVQRLIQAQGPEMKDDLEILFDRMDHDHN GSLDMVEMLKISTLTTRPCFPKPTPVCEKT H257_14857 MRKSTSCLDIMMRLHVEDLAGVKAAFESYGRDGLDLEAFVRIMV ERLVWTPSTVVDLVYELVDLFGHIVINGQGTMVWEDFTSALIEAGMATGLDETHWRDM KYEDNVLFVDRTSRQPKHVEYISEIRKLIVFEGTRPVMQIYDPTAILASEAAESSVDD PGNAPTSLPLTHEIHPLAYTSGYRRDQDAVRSEHSPVQAIKYLTTLDILAVSAGDLKL SFWNCAIMFTSEIPTPMELVATEHPQRILEWAPKPSRLFTVSIDNRILVWTVIVKGNK KCCVACTAILDKHSDIVQGLLLVNDDTLVSCSMDSLIYIWDPNTLECKSTRAGHKRGI RTLAKHSSTVFVSAGFEMDMLGWDVSGLSIAPIFQLTGHMAPIVAIQIVTGFDQAISL DEDGWFKWWNLQNLISTDDCDRCLQTFRFGNDQYPWKPTSFTLFHNGATIIACGYRVK WVHRVRLKSKSVASNTVLYNDSSFTVLTSTDKEIQVWDALTGALLHVFRNVTKSDITQ VVFDSLQRKCIVANQGGELFVLNAANGALLKTFPRHSNQISCLVYCKEDTCVLSASWD KSLRVYDDMATNNPLLRSISDAHENDIKCLAYSHSLSLVATGSNDGHIKIWDFVYFLL EQQHHTTSEVNCLVFVEPFPVLVSGHENGDVHVFTVRPAAIPQLLFTFSSPASIVVLQ TYYDESGGDVVREGITTGRHVLVGGDQAGMLRCWNLSHVFEAGRVAATVEQTLPSSQD SYNPRRRIHREGHNAARLDRHLHQLPPTHQQETPQLSHAGAHLIEVVAEWKAHLMGIR SMCVVAESPQVIFTCSFDKSTKVWAYGGECLGVLCGDKEGPFWHLAVDTDSVSERKLH FAQALWGRLKDHLQLTTLQTPTTTPTTLSHRAKNRRKNQAVINLVEPPCIDTQPEPPT EKDRLFGQLRGEMTYKKPEIQLARERAWEVEAAKYQGRMEKIFKPKPSDILLSSPVIP SIPSSSFMESLDQDNWSDTAKSTLTALPQLNSTQLSQVPYDDKDNWKIDSKNRQKMIY NHLYTETCRTAKQLQGKRQPKLMLEAIDVAPSAFLLQKLGPDKATYRKPKALQHQSST KALRRVDGLTPSPSLPALRHTTARTTSPPRKQLSAPKYPPDKIPQNQHMDEIERIIQH ATNGPISGVNTANLASQTPLKVAPVHEKRQSSVLQRYTKLINDENLGKKTSKKQSGMK NSSKMARIESTDMSTKLVHNKAMVPDRKSRPIKGVGMMDTTKDQVQSDQALLIRQTFG PYAKDSVYEVCKLFVDTDVDNSGSIETKEFVQRLIQAQGPEMKDDLEILFDRMDHDHN GSLDMVEMLKVCLNTTLMGRDNKKIYLKCIDAVQMLFDRILICIKTQDIYNIYILRSL K H257_14857 MRKSTSCLDIMMRLHVEDLAGVKAAFESYGRDGLDLEAFVRIMV ERLVWTPSTVVDLVYELVDLFGHIVINGQGTMVWEDFTSALIEAGMATGLDETHWRDM KYEDNVLFVDRTSRQPKHVEYISEIRKLIVFEGTRPVMQIYDPTAILASEAAESSVDD PGNAPTSLPLTHEIHPLAYTSGYRRDQDAVRSEHSPVQAIKYLTTLDILAVSAGDLKL SFWNCAIMFTSEIPTPMELVATEHPQRILEWAPKPSRLFTVSIDNRILVWTVIVKGNK KCCVACTAILDKHSDIVQGLLLVNDDTLVSCSMDSLIYIWDPNTLECKSTRAGHKRGI RTLAKHSSTVFVSAGFEMDMLGWDVSGLSIAPIFQLTGHMAPIVAIQIVTGFDQAISL DEDGWFKWWNLQNLISTDDCDRCLQTFRFGNDQYPWKPTSFTLFHNGATIIACGYRVK WVHRVRLKSKSVASNTVLYNDSSFTVLTSTDKEIQVWDALTGALLHVFRNVTKSDITQ VVFDSLQRKCIVANQGGELFVLNAANGALLKTFPRHSNQISCLVYCKEDTCVLSASWD KSLRVYDDMATNNPLLRSISDAHENDIKCLAYSHSLSLVATGSNDGHIKIWDFVYFLL EQQHHTTSEVNCLVFVEPFPVLVSGHENGDVHVFTVRPAAIPQLLFTFSSPASIVVLQ TYYDESGGDVVREGITTGRHVLVGGDQAGMLRCWNLSHVFEAGRVAATVEQTLPSSQD SYNPRRRIHREGHNAARLDRHLHQLPPTHQQETPQLSHAGAHLIEVVAEWKAHLMGIR SMCVVAESPQVIFTCSFDKSTKVWAYGGECLGVLCGDKEGPFWHLAVDTDSVSERKLH FAQALWGRLKDHLQLTTLQTPTTTPTTLSHRAKNRRKNQAVINLVEPPCIDTQPEPPT EKDRLFGQLRGEMTYKKPEIQLARERAWEVEAAKYQGRMEKIFKPKPSDILLSSPVIP SIPSSSFMESLDQDNWSDTAKSTLTALPQLNSTQLSQVPYDDKDNWKIDSKNRQKMIY NHLYTETCRTAKQLQGKRQPKLMLEAIDVAPSAFLLQKLGPDKATYRKPKALQHQSST KALRRVDGLTPSPSLPALRHTTARTTSPPRKQLSAPKYPPDKIPQNQHMDEIERIIQH ATNGPISGVNTANLASQTPLKVAPVHEKRQSSVLQRYTKLINDENLGKKTSKKQSGMK NSSKMARIESTDMSTKLVHNKAMVPDRKSRPIKGVGMMDTTKDQVQSDQALLIRQTFG PYAKDSVYEVCKLFVDTDVDNSGSIETKEFVQRLIQAQGPEMKDDLEMYIYIYISL H257_14858 MHFFACLQRWFRRFRRLRVKCTLCLIVSRQSHYLCVTCQACCCR SCSVEDIGTNQFYCRWCTKHSSHIMSESSVDHRSSAGIRRGSRRKPRELEPVLDYSDV PVLEVKTAKMFLTTRQVLAIRNMASSASTQRLTKSA H257_14859 MSKLLQRVVPGVALVAAGSAAGVYFERHLKAPEEQITTIVDAIG NTPLLEIKSLSNLTGCRILAKAEFMNPSGSVKDRAAKFLIEDAEASGQLQPGGIVVEA TGGNTGVGLAIVAAAKGYRTIFTMPDKTSAEKIDLMKVLGAEVHVQPGVGMADTQHFY NLAKRIVASDPLCFGPDQFENIANSRAHYVGTGPEIWRQTAGHVTGFVVASGTGGTIG GVSKFLKEKNSAIQVWHIDPIEGGASSDYVNTKQSQVGPDGFEYIPKRDGSTVAEGIG LARVTPNFKTGLIDRGIFGTNAEIIQMVYYLLRHEGLFVGPSAALNVVGAVKMARELG PGHTIVTVLCDGGDRYRSKLFNAKWLEDEKLTQYVDAPLKL H257_14859 MSKLLQRVVPGVALVAAGSAAGVYFERHLKAPEEQITTIVDAIG NTPLLEIKSLSNLTGCRILAKAEFMNPSGSVKDRAAKFLIEDAEASGQLQPGGIVVEA TGGNTGVGLAIVAAAKGYRTIFTMPDKTSAEKIDLMKVLGAEVHVQPGVGMADTQHFY NLAKRIVASDPLCFGPDQFENIANSRAHYVGTGPEIWRQTAGHVTGFVVASGTGGTIG GVSKFLKEKNSAIQVWHIDPIEGGASSDYVNTKQSQVGPDGFEYIPKRDGSTVAEGIG LARVTPNFKTGLIDRGIFGTNAEIIQMVYYLLRHEGSTFIYILI H257_14860 MVNQAPSTRKDVSSSKKAEVIQQLYHFLVNGKLVRGAFKRTAEM LDIERRSVAYIWDTFCTPGTLKSNKCAKVGPNPKYSPDDIRNLVRDVPMDQRSTTRDI STTTGLSRGTLSRHLKIGTFVRRSTRIKPLLTDANKAERTAFCGLAAAGEAGLPETVE FEILWDVVHLNEKCRPRFDHDRGVLFDGKVGMWPVVESVPAVRNSRNRPADYIINKVI PAIKASFPSANKRVVLQHDNATPHASITDAELEAVSTDGWKFVLRRQPPNSPDLNALD LGFFASIQSLQYKSMSRTVYDVIRSTLAAFEELSFEKLESVFFTFQSVMRLILEHDGG NHYVLPHLKKAAVRRAGLLMQNVSCPVSLLL H257_14861 MYLQTCVALTNIHVRFNPLRNVDGEGYNQYKNRLLSIGSKMKSK NASSKANTGYTSDDYDVGYEEGDDIFD H257_14862 MPSRIEVVHALTNFSASEINTLWTNIKTFVTKNWNVGSGRKCPV TGKDMLFMTLVTLKHAGTWDILSASFDESAATFSSRIHNFVRVLHPYLVRKYIDEQGM KWTMQQLAVAGLQFTTHKSALYAVDVTFQQTTVPAVEFGEKKTYFSKKHGLYGHKVEV SVAPNGLAINITDCAVGSTSDIEMFKANLGFHSAQLEKQPNDTNISDTETLRDKFPNQ WEVLAVKGYQGIQEYVRGFTPVKRPPHGQLTMEQERANAKLSSDRVIVENFFGRLKTL H257_14863 MSSNQPSAPPPSSSQPPRHVPMYNYPTEKTPVAVQVVPPSYAQQ QQQQQPQPQPYYSYQHPRSDGAPSTCTITHHHAPKTNGFWYALTSPLRPLTYQLILFH LVNFVFAEIAFVVVVVVGSIGIATIPLFCLGLVVLQVLLYAVHFFANVDAHLYNCIAP IHEQLVVQFQVPRRGLYQVSGYRISPDLSRFSKEAFAAIFYFVFVKFPLAVVFSSSVL ALLACSVALTLYPSVLEPIIRRHNNHDENRSIRIFRLHVNMEDFEPTQIVLVGVALLY VTIGLLHLFGRVHRATTKFFTCEFFATSGFVIQGSHTQQSQFPASAPQYSAVPLGPQP TTMYAPQVYGDYYAPQAPPLYDQRRRNAFERFASKFGSAIVLVLLSVLSLVFSVGMFV VVVVGLALTVGLLPAACIGLFVLQVLIWVVKPLANADKWLHDQRQRVYIDLLRDD H257_14864 MYSNQPSAPPLHYQSESSRINQPGAPSLSYAAYGAPPAPSYSQQ PSSYAWHQPPPPPRASKSDDNLIWYAITSPLQPHTYLLILYFAFNLVFATIAFVSVIV LSSVGVGLVPVCCLGLVVLQGLLYVVHLLAHIDARLYNCTAQAHERIVVSFDVPREGL YHLSGHRISPDLSNFSKESLCAVFYFLFIKFPLSLLSAILASIPLTIAVSLLSYPLYM DDLLGHRGIFAHIPPPNEIILLNQPIDQWRPSQVVVAGAVTLYVAIGLLHALASLARW ATKFFTCEFFATSGVVRLYSTLPSYVAAPTQSVSSQWPN H257_14865 METSTTMDITKLWRQPAQAQPAPPSCKDKDSQPRPPAKVLRPQS GNPCVVAKPKRKRVGSAKPTRFTWNLLVKHDQPVAPALLERVRALTNQSTAPTNGMAS TQQLPRSARVKEEDEVLPVTPESSHDDKKADDMLVRYPRRKATVFASRPVTTPLASEL VDDCNIAPVVDHDTRRKLVTWLRQFPAEQGDFLSPINYFELKYSQARGLTSAWRDPQK VRLAVAFGSLHGLATTETPIPSIFLLQLVEELGHGLFENYTVVRDQVAALVNPCLHYF ESAPAYFERYAAKCEALAEMQIQLHKLTEQTNSIKQSSMSTQVLSKLRTSVAFVKHNK IAPNVHHHRLHSVKDISSNDEDLVNELQIKDFMDYMAPDDIAHMLLRVLDDKTMISTL TSLVDAMNEDQRMEFYASYQRCMSADELYLFISREMSEKKFVSHQSSNNARLSRAKSV MHPTDGFVDKLRRLLAIDHIPPPPQKDLVFTPDEEHVLVTVAALMEHCQDIRNELSSC SLQDVIPIHSSVRNVLEKLLQYGDTSKESMVSGGSQLQLNSQPNDNVDNNKPSPQDPE NDDDLDDDEEDEFDVSGRAKKKSSVRRKLRKRKGAISPVRAKAARVMPLADVCSAISS LLCEKLWQEANDRSKLTLRPFMRQYFIRVYGLKSLAMAHISSFKHSLAINQHENRRAS LFYWFLGCDESRKFSADYAFEFFKSVVKHVLVVHNKAPVKPFLVQTTPDVMTSLESIQ YAWTDLLGDGCVLDDVPDNNLNSSTKKRLVTLAKAIEVTKLSFYDGNDREATVSLFLD DLKDRVNPIGMEDFLSGIMDCWLKLFEFTVQLIRVKFKEADKNGDGTMDFEEFIAFMQ ASNVLGFSEENNAQLTTNGTKLQRPTASIFRKPAGSSSSSNSTSTSTSTSGATTSPTK KATLAPDAETKKRELARTEARMRREAIAIYDSLTNDDNIIDENAFIEYLLTQVQWLSN EEDATVVAAVVAPSPSDASPISPVDHNPSSITSPTTNYYSPTTSASADDVSGGRTQDI PLGIVDATPPCAATSVALPDDWPVQVAEATATTTSTPMDILPLDGNRVVSGSSVVV H257_14865 METSTTMDITKLWRQPAQAQPAPPSCKDKDSQPRPPAKVLRPQS GNPCVVAKPKRKRVGSAKPTRFTWNLLVKHDQPVAPALLERVRALTNQSTAPTNGMAS TQQLPRSARVKEEDEVLPVTPESSHDDKKADDMLVRYPRRKATVFASRPVTTPLASEL VDDCNIAPVVDHDTRRKLVTWLRQFPAEQGDFLSPINYFELKYSQARGLTSAWRDPQK VRLAVAFGSLHGLATTETPIPSIFLLQLVEELGHGLFENYTVVRDQVAALVNPCLHYF ESAPAYFERYAAKCEALAEMQIQLHKLTEQTNSIKQSSMSTQVLSKLRTSVAFVKHNK IAPNVHHHRLHSVKDISSNDEDLVNELQIKDFMDYMAPDDIAHMLLRVLDDKTMISTL TSLVDAMNEDQRMEFYASYQRCMSADELYLFISREMSEKKFVSHQSSNNARLSRAKSV MHPTDGFVDKLRRLLAIDHIPPPPQKDLVFTPDEEHVLVTVAALMEHCQDIRNELSSC SLQDVIPIHSSVRNVLEKLLQYGDTSKESMVSGGSQLQLNSQPNDNVDNNKPSPQDPE NDDDLDDDEEDEFDVSGRAKKKSSVRRKLRKRKGAISPVRAKAARVMPLADVCSAISS LLCEKLWQEANDRSKLTLRPFMRQYFIRVYGLKSLAMAHISSFKHSLAINQHENRRAS LFYWFLGCDESRKFSADYAFEFFKSVVKHVLVVHNKAPVKPFLVQTTPDVMTSLESIQ YAWTDLLGDGCVLDDVPDNNLNSSTKKRLVTLAKAIEVTKLSFYDGKTTPNSPRMVPN FNAPRHPYFANRLGVAVVVILLVLVLVLVGRRPARPRRRR H257_14866 MIYQPIPTTDKPSSQQPYVDQHQNQAQYAPQQPYQQQQAAYNTA QHPYAQPVYASVVYMQPPRRSAVQRYVSRFGHALLLVLLNIVNLVFSIAMFAAVMIGL SLSVGLLPVACLGLVVLNLFICFIRPIAALDGWLYSLRQRAYESLTDEN H257_14867 MSKSSPVSSSTKSSHRILLGMYQSIPPSEPVPPLDPNAAPSTNA TPVAPVDLQYQLYQQQQQQRYQTARQPSASSFFKAPPTAAAGPKQPPRPTHVATAVPV NSSNQSPYTSLFTRTPQSQPQQSSTRPSAFSRYLARLGRSLALLGLSIFSAVFSVGTL GLFMILTSFASGWLSVLFTTFFVLNLLICFIGPLTKLDVYLSHQRHLLQQAVLAESVD IFGDCGS H257_14868 MFDSVLFHDHPPVPEESTWIAAGSALTQLTWLYLLGRITFTSDD LTTAMGNLPWMFGVVGVIAAVLLSSPSSSFRPSSSPSSPSLKGKAVPTSTTDHHLVRA GIQGGLLATLFLLRMCMLHFCGLQFASLVESASYCLAEPLSKALRSTHAPVHGIMAIA GGYALALVFPCIISTSSSSSSTGPSLLFHMSLFAASVALQHMYRSLQPSQPCQTTTNV TILTHTVVVYVTAAMVTALASHCLIPSPSIHLPPLEQPQSHGVGSIISLIVVGILSML LPATTFQDDDMDSRQAHWNVSVRLAVQFPLALVTSWLPGGTNTWHLVVHAIVSWTALS SIAWGWWLYLSTLHKPAAALRPSAATSCILVTWHALAPATQKILLFLTGNIVYMFVEF AVGYMTNSLGLLSDAGHMLFDNGALVIGLGASLAATWPRTARFPYGFDRIQVLSGFVN SLLLLVMAVHFMLEAVDRVLDPPTITTDHLLLTSVGGLVMNGVGLVWFHDLAHGHSHG DGSDGGCMSKDANLVGVYLHVLADTMGSVGVILSSVCIDWFGWFIMDPICSGFISIVI VLSTLPLLRDTMAQLMQGIPSSSAAAVELARQRVAQLPFVHHVDQVHAWSHAGNLVVT MHVVVLENVGEAVTRIRHVIAQAVHVHQLTVQVTHVTEPLDSDQISDHHHHGSSSDHH HGHSHSHGGCAHH H257_14869 MLPTPVPPTSSRTSEVGQCYFRVQLIGHEEGEDPNTHSKVVLYR TVVQYNGQAFERALRFSRFYAFYSNLTSGEKKAVRASFPRRYPFVAELDEDRLKLREK QLNAFFAALCQLAITPTMELSLLNLFKIKQRHLGPHIRGSILFVPNPRVDGTSSEVSM PPPVRRVFMNDGDSMQSSRSSFHSQCSIMSAETNASRPLNHRLSSSSAASPLPSTRSR DPSTNTSDIVQVSPPVKMSLFVSPVVVPPVASTTTTSSTTPPTSSTTTTTFEAQVRKH MDTIGQMLHDDDLHLLSPSNTNTKQTTTPALL H257_14870 MTRLLMRRTFATRAAAVIDETVIQQLRSMGSEGAAVLVTPDHVT KLADTKTPATLYQMGQAIFENSTDDSNASSITQSVLLWQTAAAKGHLNAKYSYAQCLK QGKGELKADPVAATAHFRQLYEAKHAWGTYGYAEALNFGDGIRPNKPKAFELYMACAR SDLPPAYMAVANMYASGEGVAKNPGEALEWYHKAAAKGDAHAKSILGDWYFNGVHNVV QNVSLGLSLRQEAARAGVPNALFNMGCLYRVGDHVEKNETVAYKLFQQAAIKGHGLAI FNVALMLRDGVGVPPNKEAAKKWLQTLAPHDIQAKEMLDAMD H257_14870 MTRLLMRRTFATRAAAVIDEELKTVIQQLRSMGSEGAAVLVTPD HVTKLADTKTPATLYQMGQAIFENSTDDSNASSITQSVLLWQTAAAKGHLNAKYSYAQ CLKQGKGELKADPVAATAHFRQLYEAKHAWGTYGYAEALNFGDGIRPNKPKAFELYMA CARSDLPPAYMAVANMYASGEGVAKNPGEALEWYHKAAAKGDAHAKSILGDWYFNGVH NVVQNVSLGLSLRQEAARAGVPNALFNMGCLYRVGDHVEKNETVAYKLFQQAAIKGHG LAIFNVALMLRDGVGVPPNKEAAKKWLQTLAPHDIQAKEMLDAMD H257_14871 MSTSTLQESSLVLPPKPTAAVKEKDVLTNFFLAATAASLASVIT NPLEVVKTRMQLQNELVDSSGRAITRVYKNPFQSLALIARTEGIRGLQSGLNMTIMYQ FMIAGTRFGLYDPAKRALGVEKGQSTYLVKNYLAGMLSGAVSSFTGNPFYLVRARLQA SNSGAITRGRHVYTSAFQGFKSVYQTDGVGGFFRGIGGAIPRVSFGSATQLSSYEFFK DMLLRRPYLGYSFQDQTVLLHLSASILAGFFCVTAMNPFDVISVRLYNQPLDPLTGKG LLYTGPLDCARKTLVTEGVKGAFKGWTVQYIRLGPHTVFTFLFWEQLKLAYEKITHNH EEV H257_14872 MAAEKYERKLDKALRKLHTAEANDDAEDMLSLRVKVEKYERKIK QLATPSTSDDVDKSGMSLLLFYAYVEPAWSPVRHKDTLHWAEGLLNSLGVTGRLRVSR EGFNGTLTGPYDGIRAFTDAMRLRDNGYFAHMNNQDDFKITDNLPEGQAFPKLKVFAV TELVNYGLGVDNAPSVNNGGVHLEPKQYHQKLLEDNTVVIDIRNSYEADIGRFAPPTG AEYIDPKMRVSTEFPAWAKDNIDRLKDKQVLMYCTGGIRCERASALFRSLGHDKVFQL KGGIHNYLTEYASQGGGLWVGKNYTFDKRFAHGATEDDDKKDADIVEAPTSDEIVGKC VACSKPWDKYRGRKRCPVPCGVPLLLCNECLASDVAAKCFLCQEDAHNGTKDRFNKRQ HYNQLALKHDNVPRDDVPRGKKVAVHACGVCKETFTSRNGLFKHVRATGHADRKAKKQ KVAA H257_14873 MATPPALLLRPSCAFKDCRLDALPNMDKCEVHKFRKQCRMPDCF NIVYARHLCVRHGGKKKCTATGCQANAYGGNFCGQHGGSLSKPACKFQGCIKQSHTRG LCVTHGGGRRCQMDGCGHYARGGGLCHKHKSDHVHQQQHRHQVYNSSTTHVKKDTLAI KEEQTELHIHQQRLLHTRFEPIIKDEYYCRGSYDAAYKDDLTHTEFLALLLQYFPPNT AHDPWKLKPRAPVRSPCSVDGLVMV H257_14874 MTDALYTVRSPDWGGRRRQNRRPRSSFREATESGVTSFIDLKTN NSSVEIEENNALNTKATNDYGVVVGGYTDDDGVHRDRSHVGRPMSSV H257_14875 MQLSAFAIAVLAALSTHDVSAVGCTPDQKQQMLDAITTHPSWPA CQQATEPFDFFLALTQQGPKPTANDLAKFQAAAPCNTVYASFQDAIKRANCDEIAELV GVPADQLVPAAAPSTATPRDQAAALSPAVPAKSPRPMESETLAPPRASVASSMPPKST VPAVPAATTKVMDKMTGKKMQPPSSLAATSASLALSAIMAAIVVTMF H257_14876 MFHREEKASDLWTAARDGDMDTITRILRDVETTINVSENGSTPL WIASKHGHNDVVRVLVDVAHAVDWVNDDGESALYAAAQEGHIHVLDTLLRHTNVNLAN EDGATPLYIASEMGHVHAVQLLLAHDDVDVNQPNVNGATPLHVACERGHAEVVRLLLA VADCAVSDMDGWTALHAATCSGHANVVELLLASGQFDVSVQTNACDTALDLATDEGHA RIAEMLTTSTPSQLAISPDHIPPCLWLLQPATGYNVYPLSKELLQSKQMQVIAVGPNS VQIRVEVAAQSSVILTLLPLFRTTLLFLTATALITEHHHHHSSSLPSTLNFFESLLAN SPNNVVPGLTHAIAAMTWLHQKTLPQEMAGEMDQRLQQLVASLYNCEGVDIYKATTDL HDLWNAYQDNQSTVEIHRSVLETLTIMGNNKA H257_14876 MFHREEKASDLWTAARDGDMDTITRILRDVETTINVSENGSTPL WIASKHGHNDVVRVLVDVAHAVDWVNDDGESALYAAAQEGHIHVLDTLLRHTNVNLAN EVVPCRARSSFSPISSRHSSQDGATPLYIASEMGHVHAVQLLLAHDDVDVNQPNVNGA TPLHVACERGHAEVVRLLLAVADCAVSDMDGWTALHAATCSGHANVVELLLASGQFDV SVQTNACDTALDLATDEGHARIAEMLTTSTPSQLAISPDHIPPCLWLLQPATGYNVYP LSKELLQSKQMQVIAVGPNSVQIRVEVAAQSSVILTLLPLFRTTLLFLTATALITEHH HHHSSSLPSTLNFFESLLANSPNNVVPGLTHAIAAMTWLHQKTLPQEMAGEMDQRLQQ LVASLYNCEGVDIYKATTDLHDLWNAYQDNQSTVEIHRSVLETLTIMGNNKA H257_14876 MGHVHAVQLLLAHDDVDVNQPNVNGATPLHVACERGHAEVVRLL LAVADCAVSDMDGWTALHAATCSGHANVVELLLASGQFDVSVQTNACDTALDLATDEG HARIAEMLTTSTPSQLAISPDHIPPCLWLLQPATGYNVYPLSKELLQSKQMQVIAVGP NSVQIRVEVAAQSSVILTLLPLFRTTLLFLTATALITEHHHHHSSSLPSTLNFFESLL ANSPNNVVPGLTHAIAAMTWLHQKTLPQEMAGEMDQRLQQLVASLYNCEGVDIYKATT DLHDLWNAYQDNQSTVEIHRSVLETLTIMGNNKA H257_14876 MDTITRILRDVETTINVSENGSTPLWIASKHGHNDVVRVLVDVA HAVDWVNDDGESALYAAAQEGHIHVLDTLLRHTNVNLANEDGATPLYIASEMGHVHAV QLLLAHDDVDVNQPNVNGATPLHVACERGHAEVVRLLLAVADCAVSDMDGWTALHAAT CSGHANVVELLLASGQFDVSVQTNACDTALDLATDEGHARIAEMLTTSTPSQLAISPD HIPPCLWLLQPATGYNVYPLSKELLQSKQMQVIAVGPNSVQIRVEVAAQSSVILTLLP LFRTTLLFLTATALITEHHHHHSSSLPSTLNFFESLLANSPNNVVPGLTHAIAAMTWL HQKTLPQEMAGEMDQRLQQLVASLYNCEGVDIYKATTDLHDLWNAYQDNQSTVEIHRS VLETLTIMGNNKA H257_14877 MKQRMTLAEKNGLYAEHKRNHQATYNELAKWAAKKFKLETIPTK SAIGKAIQRGASQSQRLNLPNSKPNYSNGSYVARSLESASRVSSFASRPGQSPLVSIC PLRNVPPTQKAGFISSSASMALPARFSMEKRRQPHAKLYDKAVKKS H257_14878 MTSHENKAADLEERLSYIHSKTAKDVDVDVYTEAKSPKDLEDGA LADGGALDLWSREAFALYIQYGAIGIMYGILPALNYPIFNIYLNLEGYQTSAYRVLTV IGWSFKVFYGLLSDCVPIYGYRRKSWILIGWTITMICLSVMAFSPFGEPFCNREKTKF CRTPLEKVPKSELQYFNLSAPDNGTLFILLSMFIAIGYVSSASASDAMVVEYAQREHV AIRGRIQTAIYTVRELTGVFSYLLSGFGLNGPNYAGSFSFALSPNAPYGIVLVPCVLV VLSTVFLLVEKKTEPSSFPQWCSRFWECLQSRVMWQICLFRFLSNVFYGVRTTAGLPI ATYWAGVEPLNDSLSNVIGNVLYASMLVIVAKWGLKWNWRWTIAAGTLGMIIIDGFVV YMTIWDVVRNQWFFTGVALIDNFPDGLRFIVSTFCAVEIADKGNEGATYGLVTTVGNL TGPFASIFYKYINSFFKVSQNDVKSDTLEVRWDVAYVFMISYGFKVASLFWLFLLPPQ KAEIQELKARGGKSKVAGVLLIVVFVFCVSFAVSSNIMTIFPSTKCYRIAGGNGVLDP KTGKCPVK H257_14879 MQATTPPTLTDCLFRNTRSSQSPPPQSQEITSAHPNSLYENVLD PLIKDTVGQRNTSAIKLALYVCGGSTWSEIQTKIFTKFKTECLGLAERNDDGAWAVLD DDINESHFGRILALRYGSHTKKNKDRSCAPTEATIQEYMAKLHTKWDDTWEAAYPIGR IWATFDVKPPLLAWESRVQQMPPPHVLARLKPRISGHQVRMESFHRHIRSGLDVVDAS LAGRFSRSC H257_14880 MNTINTTSPASGQSKYNLFPLFSGCFRPPTRAWQNELPHKRPPT LMDIDTDNSIPMCPMVKTAAPMPNPPAALYNLFTGLNNAYMKSPVTIQSKPHLFTTRH H257_14881 MSTAESDLALRVYKWAKRKKLNLATTTMLLEFGLGLPVERPLIP VVSFEELTTGIKGRDMRDADAVLSFRFDVSGVLELTSLLGVPNVVITSSRDRVTGVEA MAILLKRLRYPITFYDMLSTFGRSREQLCRIFNHMIQFVYTTWRDHIYCNKRIVRARI AQYARVIQAKGSPLSNVWAFPDGTKIETCRISASANGAVGLNLQKRTYSGHKRMHCLN FQGLTTPDGLCIHFFGPLEGSRHDVTVLRISQLQEYFEANSNIFNGYYIYGDPAYPIS KWIVSSYKGNNLDEQRQRFNTAMSRVRQGVEWNFGRMKNLWGFTTYKMQQKIMLSNVG AVVLVAMFMTNCNCCYHGGNQISSYFGMDPPTLKEYLTSEFSDIV H257_14882 MKAFTSEQVKALPLQVPLFTHYASIHFGTNSLGALHRYLKLGLF RSHSHAIKPLLTDANKYGRMKFAGEFVGSALELNYMLQYVHLDEKWFYTTEEIRKYYL VPGPPNSPDTNVLDLGFFAAVQSLQTASQQRTIDELVGHMANSFEEYPLERLNHTIVK LQGCLAETMKLFGDNAYKVPHVSKGKQERKGLLPQNVSMLAEARCVDELAQALEAMTI HNLWMVLVLVRRVPEEAYIIFLIRGGE H257_14884 MAAKCPNAFVPSTDATSKPIPIRIKSACATASVATVSGQGARFI HGRAGSADDDDDLITTGDCVQNDLTNDRHHRYSSLMFAAAEKPSFMRYAIGNGVQVEL QYFNLAAPDNGTLIILLSIFIAFGYVLAASASDAMVVKYTQREPWPSGFEFSSYSP H257_14885 MALEYADKTAKVTLAKPLELHGGDLEMYKSHSQTLVLPQLTQVC KLLEVTPSSIFRTAWAIVLQQYTQSNHVVFGSVVSGRDGGHTGAERMVGMLINTVPIL VHIPLAMAASQCIQAVHAYSTGLLEHAHCSLSDIQHWIGTSELFDTILAYANYPQSEL HKSNAPRPFSIELQGGEEFVDIPICVAISPKDPDSFDIKITFKCKVVDEAIVQFMAHR FTHVLSVIATITTCDQVIGDIGAISHDEQRLVQEAMKGTQVPLPYDLLHHAFEDKARE HPGLPAVEFGQSVLRYGDLDEQANTLAVKLTALGVQVGHRVAVIMERCLEFPIGLLAA LKTGASMMPLDAAFPPDRLKYMLTDASVSVVVSTNEHCDHIAAMMLDIPIVYITSKEL ALEPTSVFLPHSKQIATALDEAYVVYTSGSSGKPKGVPVIHGGATNVMVHSSAPVGIV QHARVMQFMAVSFDGFQMDMWKCLSHGATLVLRDNDFMETLKLSIDAFACTPTALGLL GHPRNYPRVKVVSVGGEACPLALKDLWAPYVRFMNLYGPSECAIMTHYAELQPGDPIT IGRPLENVHSYILNSNQRPVPVGVVGELCLGGVCVSPGYINLPHQTNKRFIPDPFVQG GVMFRTGDLGRLLLDGNFELLGREDSQVKLKGYRIELDEVAGAMMQHPDVVSAAVIVK DKSHLVGYFTPASVAIEKLQQIVAAHLPVYMVPAVWVGLDVMPQNSNGKIDKNALQAL ESEVQVEALETESELRMAKIWAQVLGVNVNDIGRRTSFFSIGGDSISAIRVVQLCKKA GWHILASELLLSNTLQQASSVMSSVKKQLEWPSIEVSECARSRIQRRWPGYESCFPAT QEQHDMISTIDTTPSSFVSQVRFDLSQGLDDVPDKYRHLVAQRDILRSTFVKTEFGLF HVVQPSTMYISIPRISTLTLDAFLAVDLTRAFTLDDSSFARFAVVEHGNGQVHGVLTI HHALYDGATMAMLTGDVLDALQGRPLAVRPPFRLVVDYIEAQDSALAERYWTTYLRGL PGPTCVACTSNAKDCAVALVETIQMPSTSALLKRIHVGMAALVSLAWATTLRRLLRMD DVVFGQVVSNRTIPVKDVA H257_14886 MQHDGVRRICPHVAIDTRAGSGYVSNQIRTSKYTIWNFLPIGLY LAFRLSTNFYFLIIAVLQSMSVISPLGPMTAIFPLVLVVCISLLREAIEDRNRWLSDR KSNLKPTRVWRQGQVLDVVWEHIQVGDVLLVRDKETFAADAIVLSSSDENGACLIDTS NLDGEANLKPRSGLACTANSRFPDAVQPSSGHLPRFTVQCEPPDVDMYKFTGMLELAK EVHALDEQQFIPRGSTLKNTKWVVIVVVYTGHETKMMKNAKEPHHKLSHVDGILNRAV VFIFVAQVTLCAVGSVCHKLWAAPFESAIMDKQAGVESVSGVLTFLSFVVLLNTFIPS SLVVSVELIKTIHAKYIGWDRDMRNPKGEGATALTSTLVEELGQVKYLFSDKTGTLTQ NLMEFRKCSVNGCVYSSAEFGSSANVLSTVTSINTTNNYNNGNDDDDDAKGLRVESTS SQAEDCRVFGLDQLRLCGHDASTPEAAFILAMALCHTVVCESDAASPNLVQYNADSPD EAALVRSANSLGFRFLGRTNRKAVVSKEHLKIETARMEYDVLYVLAFNSNRKRMSVIV RDRQTNAIRLICKGADCVILDRCTHFGSQSKGAIETHLKDFAGEGLRTLCYADRVLDE VTFDKWCKSYREAELAMKCREEAMEAMAGEIEQNMTFLATTAIEDKLQDGVPDTIARL LRADLKIWVLTGDKVETAVEIGRSCRVISKDMVEMHLEGTTVPAMAKSLVTLASTPTL KPRALIIDGFSLSFALMPSNRQNFLAFATQCAAVIVCRMSPLQKALVVELVKDGVGCV TMAVGDGANDISMIRAAHVGVGVMGQEGNQAVRSADFAIPQFRHLDRLLLYHGRMSYL RITQCINYFLYKNVVCTSPQFVYGAVSLFSGTTYFSSLYIAAFNLCFTFLPVVARAIV EKTLPDHISTQFPELYHIGHTKVSFSLATVASVGFVGVFHAVVLTLMPIYFLDHHQGV LHVDQFADGVATYIGIMLVTSITISLQTNYWTNLTVMAYGGSLLAFVGCSLVYDGMEG DLYGSWIRLLGSATFWLTIGLSIVCCVLPPLVLQGYQENFLEYKSNPVHILKRTKLRM SSGRSTR H257_14886 MQHDGVRRICPHVAIDTRAGSGYVSNQIRTSKYTIWNFLPIGLY LAFRLSTNFYFLIIAVLQSMSVISPLGPMTAIFPLVLVVCISLLREAIEDRNRWLSDR KSNLKPTRVWRQGQVLDVVWEHIQVGDVLLVRDKETFAADAIVLSSSDENGACLIDTS NLDGEANLKPRSGLACTANSRFPDAVQPSSGHLPRFTVQCEPPDVDMYKFTGMLELAK EVHALDEQQFIPRGSTLKNTKWVVIVVVYTGHETKMMKNAKEPHHKLSHVDGILNRAV VFIFVAQVTLCAVGSVCHKLWAAPFESAIMDKQAGVESVSGVLTFLSFVVLLNTFIPS SLVVSVELIKTIHAKYIGWDRDMRNPKGEGATALTSTLVEELGQVKYLFSDKTGTLTQ NLMEFRKCSVNGCVYSSAEFGSSANVLSTVTSINTTNNYNNGNDDDDDAKGLRVESTS SQAEDCRVFGLDQLRLCGHDASTPEAAFILAMALCHTVVCESDAASPNLVQYNADSPD EAALVRSANSLGFRFLGRTNRKAVVSKEHLKIETARMEYDVLYVLAFNSNRKRMSVIV RDRQTNAIRLICKGADCVILDRCTHFGSQSKGAIETHLKDFAGEGLRTLCYADRVLDE VTFDKWCKSYREAELAMKCREEAMEAMAGEIEQNMTFLATTAIEDKLQDGVPDTIARL LRADLKIWVLTGDKVETAVEIGRSCRVISKDMVEMHLEGTTVPAMAKSLVTLASTPTL KPRALIIDGFSLSFALMPSNRQNFLAFATQCAAVIVCRMSPLQKALVVELVKDGVGCV TMAVGDGANDISMIRAAHVGVGVMGQEGNQAVRSADFAIPQFRHLDRLLLYHGRMSYL RITQCINYFLYKNVVCTSPQFVYGAVSLFSGTTYFSSLYIAAFNLCFTFLPVVARAIV EKTLPDHISTQFPELYHIGHTKVSSLLYVFACPQRS H257_14887 MPAFCPRCGDLLKGVPVCAKCQLDAVTTPSASFHAESSPAVELS PILPPVVQATLNSPLCIRKLKKCGVCSNPIVGASHSTRKLGGDQVTCHDICLTCKHCR KSINDADPLAVLYHSAYHETCAVGLRVCHGCHEPIVGKAIDDDSRWFHRVCHDTHVKV MASGPPSTVASCGERVLALSSVQAPKAHSDTSALSITYAANTESSTQITNHDALALEG NGKVECSDQGIEVTGTLDTTTDVAEPIRPHEEDSTSDFAIDFTTESELPVNDTSVKVW PLQIKPNVEPPPVVKLDEPRQTCSDSIQSVQCHSCGLDVLDDSAMQVPSGDLYHEQCF VCSACALPISDELKGFTQVDLKMYHPPCYYAQAGRVCHGCHDALVDGTAIMHAISKRY HPRCFRCTDCSTVLTNEYLVVNDDVVCRECYFHLILSQSTREEAATAVHSVVASDICQ LDVAAGEKLTIVSDDQGGWSIAKSGDHRVGYLPSTAFEMTRVG H257_14887 MPAFCPRCGDLLKGVPVCAKCQLDAVTTPSASFHAESSPAVELS PILPPVVQATLNSPLCIRKLKKCGVCSNPIVGASHSTRKLGGDQVTCHDICLTCKHCR KSINDADPLAVLYHSAYHETCAVGLRVCHGCHEPIVGKAIDDDSRWFHRVCHDTHVKV MASGPPSTVASCGERVLALSSVQAPKAHSDTSALSITYAANTESSTQITNHDALALEG NGKVECSDQGIEVTGTLDTTTDVAEPIRPHEEDSTSDFAIDFTTESELPVNDTSVKVW PLQIKPNVEPPPVVKLDEPRQTCSDSIQSVQCHSCGLDVLDDSAMQVPSGDLYHEQCF VCSACALPISDELKGFTQVDLKMYHPPCYYAQAGRVCHGCHDALVDGTAIMHAISKRY HPRCFRCTDCSTVLTNEYLVVNDDVVCRECYFHLILSQSTREEAATAVHSVVASVSLI SPRLSISNV H257_14887 MPAFCPRCGDLLKGVPVCAKCQLDAVTTPSASFHAESSPAVELS PILPPVVQATLNSPLCIRKLKKCGVCSNPIVGASHSTRKLGGDQVTCHDICLTCKHCR KSINDADPLAVLYHSAYHETCAVGLRVCHGCHEPIVGKAIDDDSRWFHRVCHDTHVKV MASGPPSTVASCGERVLALSSVQAPKAHSDTSALSITYAANTESSTQITNHDALALEG NGKVECSDQGIEVTGTLDTTTDVAEPIRPHEEDSTSDFAIDFTTESELPVNDTSVKVW PLQIKPNVEPPPVVKLDEPRQTCSDSIQSVQCHSCGLDVLDDSAMQVPSGDLYHEQCF VCSACALPISDELKGFTQVDLKMYHPPCYYAQAGRVCHGCHDVWSLGCY H257_14888 MSSSDHDEPPQASRPSDGRPERVRPRRLEPDTLAYLQEVNASFL ENLKDGDVETSRMLLWNVLEEIAPRVASAASDRHACEFIEVLVDHMSAQQLRFFLHKM EGYFSHLWTNRYSSHVLQRLLSKVGTIVGNEVKGEADDDDDPDRAADVPPMSSLIVAM CSEVQAEWLTLINDVSASHVMRAVFCALAGRAPVLEKRGKKGKHKALQFQSAQTTAES TSVPREFEDTLSEMLELVLGSSDGRLVELMSDAHAGPVLSMAVRVAPSAVQSKVIFKL LKWNDPERSSQRFYDFAADSVASHFLEAVCHSCDEDVWAAIFERCLQGRLLEFSEHPI SNFVVQNFIQRTPTSDLAETVLDELQGALWSLLSSHRAGVIWRLAELCERFKLREKSF FLALVAAIEKLEAAKPEVARRDVVSALIGLQLSNNQNSRLTLNVPGARIVETLLKFPG DVSVPLVQSILKLNSLQLVALAKDSTGSRCLVEPIWASDNQDAKLALFEKLQGQFGSL VLDRNGSFTVLKCFDVVSVAEKVIYINIYIFLISVRLRLPRNYPPWMESWRVVTLRRW CSRIATCTSSSRTAKSGCRRMSEKRRSKNCFPTLWTTKRQRRSASTTKKMEKSQKKKQ KPTNKLWAMYSSVSSNSSDSRVSAKPLLQ H257_14888 MSSSDHDEPPQASRPSDGRPERVRPRRLEPDTLAYLQEVNASFL ENLKDGDVETSRMLLWNVLEEIAPRVASAASDRHACEFIEVLVDHMSAQQLRFFLHKM EGYFSHLWTNRYSSHVLQRLLSKVGTIVGNEVKGEADDDDDPDRAADVPPMSSLIVAM CSEVQAEWLTLINDVSASHVMRAVFCALAGRAPVLEKRGKKGKHKALQFQSAQTTAES TSVPREFEDTLSEMLELVLGSSDGRLVELMSDAHAGPVLSMAVRVAPSAVQSKVIFKL LKWNDPERSSQRFYDFAADSVASHFLEAVCHSCDEDVWAAIFERCLQGRLLEFSEHPI SNFVVQNFIQRTPTSDLAETVLDELQGALWSLLSSHRAGVIWRLAELCERFKLREKSF FLALVAAIEKLEAAKPEVARRDVVSALIGLQLSNNQNSRLTLNVPGARIVETLLKFPG DVSVPLVQSILKLNSLQLVALAKDSTGSRCLVEPIWASDNQDAKLALFEKLQGQFGSL VLDRNGSFTVLKCFDVVSVAEKTAIAEELSAVDGKLAGSHFASMVLTHCNVHEFKSNR EKWLSSHERKKKVKELFSDFMDDQAPKKKRKHDKKDGKKSKKKAKADE H257_14889 MGRKDRKQILWTDEMDEALLKEVVRLGPFEVGHDKVTATWAKAA VAMHEYDPNLSGCICQARCDTILHDFARDIQASMRASGVYEDDDDMTMFKQDLLDMRE YSKSKRTRKRENALAKHSASLYFARLNRTYGMAHGADAALAALGMLISRGLVPRV H257_14890 MAANHLHQERVSFITSVTKQADKDVDGFKDTSTPLDLEDGALRE GGALVYTSPEVMTLLFQYAVVGICYGGLTGMRLPVLTYYFGLEAAALSSATGLMNLGW SFKVFYGMLSDCFPIMGYSRKPYILIGWLMTAICFVVIALKPAGPSVVGDKSVENIKA AQANGSLLVLLCSVACFCYIMADVACDAMVVEYAQREPDRVRGRLQSSIYGTRFVFQA VSTALSGFLMSSERYGGKFGFDISVNAFFGILAVPVVANVFVVYFFMKDRKRGAIHFA AYFHDVFELIQKRAVWQVMIFNFMFNLFSAGISSWAGSYIQVYWAHVEPVNSSVAGVL SYFILSSTFFAVGRWGTHWNWRVILVITTLTGSVIDAIAQFLTIYDIVRNQWFYLGIP LTEQFPLGIQFVVSTYVIVELAGDGNEGLMYGLLTTVANLPTVFGSMITNVYSTQLKV TKADIETDTAEVRNDAAYSFLVVYATTVIACCWTVILPPRKAAVKEMLQHGGKYPIIG ALIIVVTFGILCISVTSIMMTMFESTSCYLLAGGSGC H257_14891 MSDPVGIADIMAGRVGAVRAAAEALIFELGSLGSRASDDGEANA TSIATSLKGKVDRVQSNLAILKKLSVEFEDKTKNIEKVTQAQRNLMFEYYWKLQVREL AGKGANVWLSQMTTWFPRMEYLSSHASALAPAGYATHPIDKVKAPMKVNDRFTPKSSK RARSIVFDEQGDLQPADLGQMMEYIMKRNKAAKFWKQTETTRGGRKVITSITCHLHNE LVVHMSFCPHIPEDGPKDGDVSASPMTPSTPNSPAFGMNRGLRAKRRQASLKAKKVMR KSKRDDLLAKVKMAAEEATKIEQEELRKLILDAKESGKEQETARAAGLQVTKFIQRIS IFPLNEPAPLGAWSESKHKLFEQITLHARQALSYLRVHYPDTCFYHFFTWMSYFDKVY QTPCNACKKILAKTADDSTYVPPAFRDYSTGLAYHSNCM H257_14891 MSDPVGIADIMAGRVGAVRAAAEALIFELGSLGSRASDDGEANA TSIATSLKGKVDRVQSNLAILKKLSVEFEDKTKNIEKVTQAQRNLMFEYYWKLQVREL AGKGANVWLSQMTTWFPRMEYLSSHASALAPAGYATHPIDKVKAPMKVNDRFTPKSSK RARSIVFDEQGDLQPADLGQMMEYIMKRNKAAKFWKQTETTRGGRKVITSITCHLHNE LVVHMSFCPHIPEDGPKDGDVSASPMTPSTPNSPAFGMNRGLRAKRRQASLKAKKVMR KSKRDDLLAKVKMAAEEATKIEQEELRKLILDAKESGKEQETARAAGLQVTKFIQRIS IFPLNEPAPLGAWSESKHKLFEQITLHARQALSYLRVHYPDTCFYHFFTWMSYFDKVY QTPCNACKKILYEREYIYIL H257_14892 MQQQLIDGFIIDHLEATTTRPAKGAASHNHVTYDSCGRKVITIA SDAKPTCRVPRSPREGKQHPIVLPSHAKRLMQSACADLRLFDDDATTDRRHVYTAPSP RSSRCCPPPSPRPTSVHGNSTRRHADDVVPTAFPAISTTATRSPQSSPRRRRRVLRSE AVVDESLQSPQKPPLDAWGVPREHILEFIRLSVLPCTWAMNIKSMGEWRSADDNDNED DTRQHATSSHRQHSAAAAAVISTIKFSQQQGASHLPHLVDARDHPQYDLGRKSPQAKQ RVLGTRSNAISSAPPVPAVLTSRDLTVEARIHHMLVELQQDPRAWEQFQRRIKEFQDL KKKGTEVDIVQGNKAKAFTVPPPAYRDERSKLRKEQQVQIKAKHKAQLEMEHQRRLEQ LDRRAHKLLEQRRRLACQSQGRLWLKVVAVVVITSTWQRLLAAEKKRKSVEFRQVSAV CLIQRVWRRKVHLSNSKAMLQIILKTRQILWSLTFKLMCKNKAKAGGVLRRFLVDYFN GSSETGNFRVMMARWRWRVIHAQRASKAFLSCSRARMVALSLLWDNADRERQRTEKLQ LQQNKTVLVTTSSGGAQAADFGADTSAQLATTRRLLRTGIQEESLDEMEQQLSVMQTM LTPIEFQRMQHHAHHVVRIPKSIKAKLLMQYLAAKRAENIQAMQEYAAHVASNTSSRQ VQVSDARAIVQNGAWGTMIGGSPAQLDPKPKVTYPVFTLYSKNMGGEMRKLINEGIAM TLEQDPEQRRLVETQRQGASSSAEGAPSSRRLSVYTMPGAGGRRNGKDAAMAKLFEHK KLQM H257_14892 MQQQLIDGFIIDHLEATTTRPAKGAASHNHVTYDSCGRKVITIA SDAKPTCRVPRSPREGKQHPIVLPSHAKRLMQSACADLRLFDDDATTDRRHVYTAPSP RSSRCCPPPSPRPTSVHGNSTRRHADDVVPTAFPAISTTATRSPQSSPRRRRRVLRSE AVVDESLQSPQKPPLDAWGVPREHILEFIRLSVLPCTWAMNIKSMGEWRSADDNDNED DTRQHATSSHRQHSAAAAAVISTIKFSQQQGASHLPHLVDARDHPQYDLGRKSPQAKQ RVLGTRSNAISSAPPVPAVLTSRDLTVEARIHHMLVELQQDPRAWEQFQRRIKEFQDL KKKGTEVDIVQGNKAKAFTVPPPAYRDERSKLRKEQQVQIKAKHKAQLEMEHQRRLEQ LDRRAHKLLEQRRRLACQSQGRLWLKVVAVVVITSTWQRLLAAEKKRKSVEFRQVSAV CLIQRVWRRKVHLSNSKAMLQIILKTRQILWSLTFKLMCKNKAKAGGVLRRFLVDYFN GSSETGNFRVMMARWRWRVIHAQRASKAFLSCSRARMVALSLLWDNADRERQRTEKLQ LQQNKTVLVTTSSGGAQAADFGADTSAQLATTRRLLRTGIQEESLDEMEQQLSVMQTM LTPIEFQRMQHHAHHVVRIPKSIKAKLLMQYLAAKRAENIQAMQEYAAHVASNTSSR H257_14892 MQQQLIDGFIIDHLEATTTRPAKGAASHNHVTYDSCGRKVITIA SDAKPTCRVPRSPREGKQHPIVLPSHAKRLMQSACADLRLFDDDATTDRRHVYTAPSP RSSRCCPPPSPRPTSVHGNSTRRHADDVVPTAFPAISTTATRSPQSSPRRRRRVLRSE AVVDESLQSPQKPPLDAWGVPREHILEFIRLSVLPCTWAMNIKSMGEWRSADDNDNED DTRQHATSSHRQHSAAAAAVISTIKFSQQQGASHLPHLVDARDHPQYDLGRKSPQAKQ RVLGTRSNAISSAPPVPAVLTSRDLTVEARIHHMLVELQQDPRAWEQFQRRIKEFQDL KKKGTEVDIVQGNKAKAFTVPPPAYRDERSKLRKEQQVQIKAKHKAQLEMEHQRRLEQ LDRRAHKLLEQRRRLACQSQGRLWLKVVAVVVITSTWQRLLAAEKKRKSVEFRQVSAV CLIQRVWRRKVHLSNSKAMLQIILKTRQILWSLTFKLMCKNKAKAGGVLRRFLVDYFN GSSETGNFRVMMARWRWRVIHAQRASKAFLSCSRARMVALSLLWDNADRERQRTEKLQ LQQNKTVLVTTSSGGAQAADFGADTSAQLATTRRLLRTGIQEESLDEMEQQLSVMQTM LTPIEFQRMQHHAHHVVRIPKR H257_14892 MQQQLIDGFIIDHLEATTTRPAKGAASHNHVTYDSCGRKVITIA SDAKPTCRVPRSPREGKQHPIVLPSHAKRLMQSACADLRLFDDDATTDRRHVYTAPSP RSSRCCPPPSPRPTSVHGNSTRRHADDVVPTAFPAISTTATRSPQSSPRRRRRVLRSE AVVDESLQSPQKPPLDAWGVPREHILEFIRLSVLPCTWAMNIKSMGEWRSADDNDNED DTRQHATSSHRQHSAAAAAVISTIKFSQQQGASHLPHLVDARDHPQYDLGRKSPQAKQ RVLGTRSNAISSAPPVPAVLTSRDLTVEARIHHMLVELQQDPRAWEQFQRRIKEFQDL KKKGTEVDIVQGNKAKAFTVPPPAYRDERSKLRKEQQVQIKAKHKAQLEMEHQRRLEQ LDRRAHKLLEQRRRLACQSQGRLWLKVVAVVVITSTWQRLLAAEKKRKSVEFRQVSAV CLIQRVWRRKVHLSNSKAMLQIILKTRQILWSLTFKLMCKNKAKAGGVLRRFLVDYFN GSSETGNFRVMMARWRWRVIHAQRASKAFLSCSRARMVALSLLWDNADRERQRTEKLQ LQQNKTVLVTTSSGGAQAADFGADTSAQLATTRRLLRTGIQEESLDEMEQQLSVMQTM LTPIEFQRMQHHAHHVVRIPKR H257_14893 MRFLHLIRPVMCVLPEVAQPDRKIPFREKVLWSVIVLFIFLVCC QIPLYGIQTSRSSDPLYWMRVILASNRGTLMELGISPIVTSGLVMQMLSGLKMIEVDQ SLKEDRALFSGATKLFGILITVGQAIAYVASGMYGDIGEIGAGNAILIIIQLFFAGIL IIILDELLQKGYGLGSGISLFIATNICESIVWKAFSPTTINTGRGTEFEGAIIALFHL LITRSDKARALKEAFYRQNLPNLTNLFATLLVFFVVIYFQGFRVDLPVKYQKYRGQQG TFPIKLFYTSNMPIILQTALVSNLYFVSQLLYKRFGGFILVRILGTWQDVEGGGGQSI PVGGLAYYMSAPQSAAQILYDPIRFVIYVVFILGSCALFSKTWIEISGSSSRDVAKQL RDQQMVMKGHRDASIVHVLNKYIPTAAAFGGMCIGALSLVADLLGAIGSGTGILLAVT IIYQYYETFAKEQADVPLASMFGFN H257_14894 MDKSTRQRAQRRRKARTNGAKDGDNDNANGSSMMRSPPPPRSRS LLQQQDKDQGDGTAVSDSDSDDEADSDKETTYSTLLQALNAHAEDAEAELKQDSTLEG DELEAVVEFKDRILELKTKVQMIEDGKFAEYCRRCADFKDDRSRSLETAKQHKELQLK NVEDLRLCDLQTADNVYATAKSHVKKRLVDKVTSMLTDVDAKLKQLDIMEAEASSSAP VKKGPIEVPSVGSEQIEDDDDQPRPKKVKLMAFDSVNMSGPLATKIKTQVPGYKDLPY ENLTALSSDDINADLHAVCAVWKAAVPEPPSSPQARNPLSLETVAIHYNRGLLWCGKY IFDEGDEVVVSSKVMKREYIGVIHALSNDAVFLRLNTGETARVHYSLIASMRCELKPI LRGNAGLKNLQSCGWVRCEPF H257_14894 MDKSTRQRAQRRRKARTNGAKDGDNDNANGSSMMRSPPPPRSRS LLQQQDKDQGDGTAVSDSDSDDEADSDKETTYSTLLQALNAHAEDAEAELKQDSTLEG DELEAVVEFKDRILELKTKVQMIEDGKFAEYCRRCADFKDDRSRSLETAKQHKELQLK NVEDLRLCDLQTADNVYATAKSHVKKRLVDKVTSMLTDVDAKLKQLDIMEAEASSSAP VKKGPIEVPSVGSEQIEDDDDQPRPKKVKLMAFDSVNMSGPLATKIKTQVPGYKDLPY ENLTALSSDDINADLHAVCAVWKAAVPEPPSSPQARNPLSLETGRLICDGTLPGRM H257_14895 MALVRLVSSVRRMLQTPQWSFLPQVQLELLPGVHLPEGDADEVD DDALWHACPKSKVTRSRKRIRNNDPSKRLKNIVHLQDCEHCGNKKLRHRLCMGCFKKG EYFTA H257_14896 MSGVAVHTRRCSAHLLRNAAVTGNILQVHAYLLDPHASINDTLG DGWTALHHAIQHGQMDLFLHLLARTDVDVNAKTLCGTTPLALAMSRRNHSMAEALLLA GASKASIPRQDLILFRQTRNMPSSLRHKLSSRWSPVWTPEYHRRFPHPQRQVIYLILC ANDARHHVRSWRRWVHLFLTSIWSPSSPRLPPACSWRYLSPPLLHLVFEFYGWID H257_14897 MNDPLEWYYEIPIISRLYLTGAFLTTVACALELISPFSLYFNFN LIFYRGQVWRLVTNFLFFGLFSLDFIFHMYFLVRYSRMLEEGSFRGRTADFLYMLLLG ALTMILVAPFVSVHFLGSSLTFMMVYVWGRRNEHTRLSFLGLFPFTAPYLPWVLLLFS MVLGNSATIDLIGIVVGHIYYFFEDVYPAIADIRGWRVRRILETPTFVKFLCNPHAFT AAAAPIVNDIPVAEHAEFHPHAD H257_14897 MNDPLEWYYEIPIISRLYLTGAFLTTVACALELISPFSLYFNFN LIFYRGQVWRLVTNFLFFGLFSLDFIFHMYFLVRYSRMLEEGSFRGRTADFLYMLLLG ALTMILVAPFVSVHFLGSSLTFMMVYVWGRRNEHTRLSFLGLFPFTAPYLPWVLLLFS MVLGNSATIDLIGIVVGHIYYFFEGLCVASSFEMLMPCVDVYPAIADIRGWRVRRILE TPTFVKFLCNPHAFTAAAAPIVNDIPVAEHAEFHPHAD H257_14899 MAEEYRRRLDNNVESLIENFRGLVTVSKIKDRTQTSRQALQSSV YATTLVHAGESLLKLIAELKLSLTLNDFEGINQQVDATSESLKEKCDDVDTSIEHLCS DVAAALFELENHYAQSKWRHPTPDGAANPPLLP H257_14898 MSGRMGPPGSDFAHLQQDNAEIERSMLAQEVEALRSRLGLRQVD VSKECGVNASMLSQWMLGRYKGNIARINGLMEGWLVNRRGGKPLDKSAMLMQAPHRMM HHPSIGDGGGMDAFHQHSKRKPLVQLAERPLYKYPKITHNASALVPIRLDVDVDGYRY IDSFAYNIHESDFTYDTFSASLIRDLDLPDCFYAPIATAIRLQVEAATSHVHKPSSTT KKDTALIPIYIKLRINDTVLIDSFEWDVSNDLNNPDAFAAALCADLGLDDGEFQVQIA LSIRDQLLAYAKKSDGQQQQARLAPVTSHPLRDFDEAKLWEPKVRYVVADDIALLERE DFKRMRPTSSMPAPLQTSVMPYFPVRSAAMFPSQYAAYPQGSGKPNRPPKPVNTFLIF CRQWRKKLMAQNPNASAKEASRLLGEMWQKLTEEQRASYQPLADRENAQRMAEWKVKE KGEAAAVQQPKTDQLHALLPATAKTSATSSANPPLGATVPDDDDDLDMDDDEGDSDDE DD H257_14898 MSGRMGPPGSDFAHLQQDNAEIERSMLAQEVEALRSRLGLRQVD VSKECGVNASMLSQWMLGRYKGNIARINGLMEGWLVNRRGGKPLDKSAMLMQAPHRMM HHPSIGDGGGMDAFHQHSKRKPLVQLAERPLYKYPKITHNASALVPIRLDVDVDGYRY IDSFAYNIHESDFTYDTFSASLIRDLDLPDCFYAPIATAIRLQVEAATSHVHKPSSTT KKDTALIPIYIKLRINDTVLIDSFEWDVSNDLNNPDAFAAALCADLGLDDGEFQVQIA LSIRDQLLAYAKKSDGQQQQARLAPVTSHPLRDFDEAKLWEPKVRYVVADDIALLERE DFKRMRPTSSMPAPLQTSVMPYFPVRSAAMFPSQYAAYPQGSGKPNRPPKPVNTFLIF CRQWRKKLMAQNPNASAKEASRLLGEMWQKLTEEQRAR H257_14900 MSFTDVASEVGLKKLNGFLTAKSYIEGYQFSAADSTLFSKFTTV PDAAKVPNVYRWYVHTASKLGLRVALTARNQVAQPSSPKAAAKPSTPKASTPKAAKPA DDDDDDLFGDDDDDEEAEAAAKEAAKKRADAAKAGKKEKAKPVERSQVVIEVKPWEAE TDLLDLAAKIKALEINGLTWGEGHKLVPVAYGIKKLLVQCIIVDDLVLLDDITDAIES FEDFVQSVDVASMNKV H257_14901 MGQLLPFTKTQYTETLRGYLSPSREGCVGPVRLASAGKNYTSSI STSTVHPTCVWNELTQLDRRQRPQLQRQHAALAVRHRVRVDTVLRNVVWKDYMVKASS LHSEFLTWRFKWGWRRSQCRARGTRASHAHDGGARATSQPAEEKASMLSVMYEQMKDE RNAGVHRFCNWVAGQVTVVGFDNLFPLVEAWGYECGWHQTADGKTAITKLLDEFKASS GVMVVPVPAAATTPLPLPHTSVAEVGGDKVNDGCNGATALSLERAPGPINHRMANLLA RPTLVRTHSDGKAKRKTPDTPESEKQSLRPRSIDVLIGAADASSSPTSGSPFIETSDA AISASNPARSPGRGVCSLQITQLLDRIRGTKPLVQYESVASFVHEAHAPPGVAAAIQE FNKRLREFCTAATGSLSFSVRRRIQRGLL H257_14902 MVTIKESAYHGLQSPPVDADVKAAVDGHPREAAGLFSASTFGWC NALIALGNTRQLAPTDIWGLEDSNRVGPLLDRYLHVYETKNKGLLKAFFSIYKWKLVA IAIMQAISTGCTLFGPAYVLPQVILSAATQDWTRGVLLVVALYAVQMLSSFLAVHMNF LNAVIGIQFTACLRSMLFEKAMKLSPKSRKAKTAGDIANLFSVDVLNVMALSTNLNMA WIVPIEVGVTLYLIQRQVGWAIWIGFLALFVILLITGGVGAFAGKAQRLILSSKDDRM KVINELFGAIQIVKFNAWEDKLAQKVADLRAKELDALWFLMKTILVLITSINTTPVLI TVVVFATYSIWMGQLLTVSIVFTTVALFTNLQVAFIAMPMVLVSTIQALVSVRRIDDV LAMEEVHLDNILHPRFPMDCDLAIQISNGSFGWEKESPLFTNLQWSVRRGEFVVVHGA VGSGKSSLCSILLGEMDKYDGAVVVAGRVAYFGQQSWIQNASIRHNIVFGRPYDRVRY RHVLDACGLLNDVAGFPAKDRTEIGLKGVNLSGGQKARVSLARACYADADVYILDAPL AAVDAIVANEIFTKCFQTLLKHKTIVLVTHNPDIVASTAIDRSFLLQDGHLIDTTPAN HVRNRVADESSSVAPLRGRLGIGGTDDDNLDVVVVRRPHNLLVTPSAQSPYVFEHADP LSVFTPAGADEAPHGHEEGGALTVDEERAVGRVSKAVVWSYIQAIGGWPSVVIMLLAT AATEAIRLNADVWLTGWTNQSNSALRTSAEKADSNYNLTVYSALVLATCAATVVQFGV VLGFGLRASRVLFERMLAGLIAAPMRFFDTNPIGRILNRCGDDVFQCDIAIPMSFAPI LASTATVLARLGLSLAAVQWMGLLLPPLVYIYVKLGAYFILPLRELNRIKKVTMSPLL TLVSEGVDGAVVIRAFGLNYQRRFYRLHDVAIEDFSAAAFALASVNQWFALRVACVSN SLVFALLFGCVVMSKSISPGILGLVISYGFTIPGSLAQLVNMWAGLETALIAPERLHE YAALPSEGLRHVGDVPNWPSNGQLSYDQVSYRYKENDPLVLRNVSFQVQGGEKIGIVG RTGAGKSSLMMSLFRMNDVAAGAISIDGVDISTVGLHQLRSSLAIIPQNPVLFKGSLR NYLDPFDDFTDDQLWRVLQKVHLTDRIVVSDAKLEQDVDENGENFSVGERQMLCMSRA LLHNAKIVVLDEATAAIDHATDQVLQKVIREEFMHSTVLTIAHRLDTVLDYNRIFVLE QGELVQCDTPAALAALGSGIFFDMITEGGYADRLHLTK H257_14903 MCQPTCMLLLADGSTIGGFHIASDSCSSKQHTNSPIRLTACVVL EPDGHCYSYLSSETLSTSLTVQRFTCASAPSAHIPLLRPVLAFRNKYSLDRPLYLHQH HLLASTLQWQVGHPCRPQGAISARWRCGTFHISRMECADGLRLQSLDGMASVTYMTQS THFFHASFLAPVEYHGSDQHLAGRGLFTRVEQCFTRHNVPKAFAYSAQVLQHAAAAIS DGRQSFAYHGGEEEPSSTNVSSCQLPGSYVVTHLPTNMAFTSRPNWTMFRPSASSTCI MSPSDVFSLCRRYPSNQASAVVATSSTLLSGLCRVEWTRTATFMAFPSQLVCVHVHVD DSAIECTRSGFFHHRRGAQDHCYTVDAIPSHPPFHPQPNVTLFYDVTDICLRAKRRCD VLTEASPADNPDAPLLLAPHQVVEEQHTPMGLFRAFGDGRVRVLFRDRAILSMDPQAK FCSLLLPSGDSITLLATAPSPQHARYLVAAQSFQQWAFQTPVERAAYVRKLQQQQDIV DDELRRTRQFVRDSSIYMKHDRGDYDDLRRTKQHWSVQVALQATTAHIQSVHAALRAN DQTVYGGVK H257_14903 MCQPTCMLLLADGSTIGGFHIASDSCSSKQHTNSPIRLTACVVL EPDGHCYSYLSSETLSTSLTVQRFTCASAPSAHIPLLRPVLAFRNKYSLDRPLYLHQH HLLASTLQWQVGHPCRPQGAISARWRCGTFHISRMECADGLRLQSLDGMASVTYMTQS THFFHASFLAPVEYHGSDQHLAGRGLFTRVEQCFTRHNVPKAFAYSAQVLQHAAAAIS DGRQSFAYHGGEEEPSSTNVSSCQLPGSYVVTHLPTNMAFTSRPNWTMFRPSASSTCI MSPSDVFSLCRRYPSNQASAVVATSSTLLSGLCRVEWTRTATFMAFPSQLVCVHVHVD DSAIECTRSGFFHHRRGAQDHCYTVDAIPSHPPFHPQPNVTLFYDVTDICLRAKRRCD VLTEASPADNPDAPLLLAPHQVVEEQHTPMGLFRAFGDGRVRVLFRDRAILSMDPQAK FCSLLLPSGDSITLLATAPSPQHARYRKVRY H257_14904 MMSPPPLEAELQNTLSLFLTLALVLWSVRIVVLSRQLRAMQMAL QKNRIAYVPQLGPSLDLLETMSMEHLQQFLRQQDQITQVAMDTLHVPFDLKSVRYAAA GAGTDDTKSLSIAIESTVPSCSVQILWDLDIATAISTLSQPRGFVSTSKRRMYFPRSM SAFWSNFWSKWTQSVATDGGDQQPLQDTSGSSSSPPSTSTNMLHHPSSSLLDLDNGEL RRLLPCQLRTDPLILHAEPPSTPSTLHYTNSTLPRPSSTSTGAVVLVQTAAHSHVQVV VHPSPSHDDHATVGVTTALFVLDLASSSIKQRMYYHRSTSSNNVLVAHDIYGADEFVE CSICLEDPTTAIVLPCRHKCICATCLAEIDACPICRAKFASYITS H257_14904 MSMEHLQQFLRQQDQITQVAMDTLHVPFDLKSVRYAAAGAGTDD TKSLSIAIESTVPSCSVQILWDLDIATAISTLSQPRGFVSTSKRRMYFPRSMSAFWSN FWSKWTQSVATDGGDQQPLQDTSGSSSSPPSTSTNMLHHPSSSLLDLDNGELRRLLPC QLRTDPLILHAEPPSTPSTLHYTNSTLPRPSSTSTGAVVLVQTAAHSHVQVVVHPSPS HDDHATVGVTTALFVLDLASSSIKQRMYYHRSTSSNNVLVAHDIYGADEFVECSICLE DPTTAIVLPCRHKCICATCLAEIDACPICRAKFASYITS H257_14905 MATPPLFLPLVAMWLLGNMVLFFCYQVVIFLAFRGWSPSLYRSY MASVQTAWVDVIASTFPQTDLVVTGDLPTDPTKPAIILCNHQIDADWWYLWDLARRLD GGGNLKICLKQELKYVPVFGWGLDLLEFLFVKRNIDHDRLHVNQHMARFVREKFPFWM LVFPEGTTIHAEMLDKSNRFAQRTGRPQFSRLLLPRTSGLHTMLAATAAIKPDVYDLT LAYPSYSGEVPTAAMGYSRHTDTGVPSMAAVLCGRGPTRVSIHGSKHAFDDVFGKEEA FLDKAWQTKEKLLDQFIANQAFPTIAQGRVLPSKMSWANIGQLWVSAVVALAVSPLVA SMAALWYAGTTASDVLACTWSCVVGSPSKATKSR H257_14905 MATPPLFLPLVAMWLLGNMVLFFCYQVVIFLAFRGWSPSLYRSY MASVQTAWVDVIASTFPQTDLVVTGDLPTDPTKPAIILCNHQIDADWWYLWDLARRLD GGGNLKICLKQELKYVPVFGWGLDLLEFLFVKRNIDHDRLHVNQHMARFVREKFPFWM LVFPEGTTIHAEMLDKSNRFAQRTGRPQFSRLLLPRTSGLHTMLAATAAIKPDVYDLT LAYPSYSGEVPTAAMGYSRHTDTGVPSMAAVLCGRGPTRVSIHGSKHAFDDVFGKEEA FLDKAWQTKEKLLDQFIANQVRFHML H257_14906 MPAGVTARLCSRRDTSGVVMKSLVQRLSPRKASLSSKSVTGAFT SFHAHMAAEDDDVGVELLPDRVVVQKTGATAAPLRDLVFADIRGIEWNYHDGSVTFRD VADDLLLVTLASTLSHFETCLTAALAEAKLPQLPATTYVGVFAGSKQPRILQQLRPSL MPPHLRPKIPLSLRRELLLADRPPSSSLPPCRDAVSLEFIRHGTMTFSHYSSKLILTE MHLSIVHEGAPERILLYSSIATCAPNADGTAVDFHLCDVAPPITFPTTDSKVLCHAVW YFMNQQLAPHPSPPSSTAPEASVIQSSPSLGVVPASIYGRPVFPSTNTPFKIDITSGG RSSTFSWLKHQGPLSKTASEGFHLTQSWKKKHAMLHDSPLGAWLSYTESSWSTDTSPI RKAEASSKRIDLASVLCIRPQSALPDAPPFAFDIVTLYRTWSFCASDESDHATWLHIL GVFVDRAAALVPDAPLSCDVKVVVQNGVNDPPTGGCSLGNATLTIASDGVRLTSATTS GGDDANSKMDWYYTDIHKWSLVLQPQASLFLSCFMDSSCSKVGEFVLQTKNAAAMCQA IEFHVAKCLVKVDVLYEAATTLCYTPQATVMHNPMEHYKAEMDEEPCFLQPSLPLPPA LTSDGELVRPPPPPPPYTSAFHASVQTVTLSPLLPPIQSFRRVSVVR H257_14906 MPAGVTARLCSRRDTSGVVMKSLVQRLSPRKASLSSKSVTGAFT SFHAHMAAEDDDVGVELLPDRVVVQKTGATAAPLRDLVFADIRGIEWNYHDGSVTFRD VADDLLLVTLASTLSHFETCLTAALAEAKLPQLPATTYVGVFAGSKQPRILQQLRPSL MPPHLRPKIPLSLRRELLLADRPPSSSLPPCRDAVSLEFIRHGTMTFSHYSSKLILTE MHLSIVHEGAPERILLYSSIATCAPNADGTAVDFHLCDVAPPITFPTTDSKVLCHAVW YFMNQQLAPHPSPPSSTAPEASVIQSSPSLGVVPASIYGRPVFPSTNTPFKIDITSGG RSSTFSWLKHQGPLSKTASEGFHLTQSWKKKHAMLHDSPLGAWLSYTESSWSTDTSPI RKAEASSKRIDLASVLCIRPQSALPDAPPFAFDIVTLYRTWSFCASDESDHATWLHIL GVFVDRAAALVPDAPLSCDVKVVVQNGVNDPPTGGCSLGNATLTIASDGVRLTSATTS GGDDANSKMDWYYTDIHK H257_14907 MPPTSPFEVVLYYRYVHITDVDTVISSQQHLCETLSLQGRVRIS EEGINGTLGGTPASIQGYVDAMESCAPFCGLNIDWKRTTDLDMLPFEDLFVRRVKEIV SIELPDDACDVANTGIHLSPIDFHAALDLSPKHSTAIIDVRNNYEYNIGHFHDALNPS TRRFGQFPHWVRDNLDDLASKDRILMYCTGGIRCEKASAYLKHLGLSNVFQLQGGIHR YLEAFPDGGAFVGKNFVFDQRVAVASSNEDVVGQCEGCGGAHDVISGIRCAYCRMHVM LCSSCESCRVFCKLHQWLGVGDVEDLTLKLGQLETQLSDNAGPKGKGRRRSIRKQMHA LQATLATATAVN H257_14908 MTARKWTQDEDEKLRAAVLKVGARRWRVVASQYFPHRTQQDCCN RWHELQACTSMHKRPWLAFEDDILVKTVARYGPKRWGLIASYISGRNGKQCRERWHNH LNPSIKKGPWEPHEDEKLVELQAKYGNRWALITKALPGRTDNAVKNHWHANLKPSDAS EPSNPAVQKSRVVPVHATISLPPLRLKVEPLRAKPSRPVVVAAVAETDDEFAWVSDEW ASMDVSAWDDMVIQASDVL H257_14909 MARRELCSLCQNTYASGVEPATGNEIWLARKMFRERCHGNAAIH QGLQLFSDLLDDPEAIQQMQVVQVCVFCAQFIASNALKDLKKIDDTRHVLLAHSTTRH AHSASLVIQHRAGESARAFGVACMPCVGTSVDADAAILRYLPNEMNRVLTIRPSTTST ATNVVNLKRQQQSELRQYSRVSRALRDTQRDQRRLQATHKLQPSTPSFPMSEYTTAPS SMTSLPFTSPTKPPSAAKHSMIVTKKLAGGRFSKQELVFPTIL H257_14909 MARRELCSLCQNTYASGVEPATGNEIWLARKMFRERCHGNAAIH QGLQLFSDLLDDPEAIQQMQVVQVCVFCAQFIASNALKDLKKIDDTRHVLLAHSTTRH AHSASLVIQHRAGESARAFGVACMPCVGTSVDADAAILRYLPNEMNRVLTIRPSTTST ATNVVNLKRQQQSELRQYSRVSRALRDTQRDQRRLQATHKLQPSTPSFPMSEYTTAPS SMTSLPFTSPTKPPSAAKHSMIVTKKLAGGRFSKQELVFPTIL H257_14909 MARRELCSLCQNTYASGVEPATGNEIWLARKMFRERCHGNAAIH QGLQLFSDLLDDPEAIQQMQVVQVCVFCAQFIASNALKDLKKIDDTRHVLLAHSTTRH AHSASLVIQHRAGTSVDADAAILRYLPNEMNRVLTIRPSTTSTATNVVNLKRQQQSEL RQYSRVSRALRDTQRDQRRLQATHKLQPSTPSFPMSEYTTAPSSMTSLPFTSPTKPPS AAKHSMIVTKKLAGGRFSKQELVFPTIL H257_14909 MARRELCSLCQNTYASGVEPATGNEIWLARKMFRERCHGNAAIH QGLQLFSDLLDDPEAIQQMQVVQVCVFCAQFIASNALKDLKKIDDTRHVLLAHSTTRH AHSASLVIQHRAGTSVDADAAILRYLPNEMNRVLTIRPSTTSTATNVVNLKRQQQSEL RQYSRVSRALRDTQRDQRRLQATHKLQPSTPSFPMSEYTTAPSSMTSLPFTSPTKPPS AAKHSMIVTKKLAGGRFSKQELVFPTIL H257_14909 MFRERCHGNAAIHQGLQLFSDLLDDPEAIQQMQVVQVCVFCAQF IASNALKDLKKIDDTRHVLLAHSTTRHAHSASLVIQHRAGESARAFGVACMPCVGTSV DADAAILRYLPNEMNRVLTIRPSTTSTATNVVNLKRQQQSELRQYSRVSRALRDTQRD QRRLQATHKLQPSTPSFPMSEYTTAPSSMTSLPFTSPTKPPSAAKHSMIVTKKLAGGR FSKQELVFPTIL H257_14909 MFRERCHGNAAIHQGLQLFSDLLDDPEAIQQMQVVQVCVFCAQF IASNALKDLKKIDDTRHVLLAHSTTRHAHSASLVIQHRAGTSVDADAAILRYLPNEMN RVLTIRPSTTSTATNVVNLKRQQQSELRQYSRVSRALRDTQRDQRRLQATHKLQPSTP SFPMSEYTTAPSSMTSLPFTSPTKPPSAAKHSMIVTKKLAGGRFSKQELVFPTIL H257_14910 MTTPHVERERALSIHDEEDIDHDKVDALDMHHEDHQKFAYLQSI YNKAKERKLSLADEHEREGDVDDDPSHLFDHIPEPYVEQPNFQRINFTAGDDEHDPEA AEVCDGILKCLALRDKWIQSNAVVIPDEDSDNTMPLTPGRTKFRHRDDLPYDIFSTKA PSGTDHRLEIQDGVVVVYPSDSASPILQPLSQDEFYDDWFEVKRIINSGPVKTFAFKR LQLLESRFNLHVLLNGDRELVSQKAVPHRDFYNIRKVDTHIHHSACMNQKHLLRFIKS KLKSNPGEIVIFRDGRFMTLSEVFRSLNLTAYDLSVDTLDMHASNTFHRFDRFNLKYN PAGQSRLREIFLKTDNLIAGRYLADITKEVMSDLQQSKYQLVEWRLSIYGRKHSEWDK LARWFYVNRLASPHVRWMIQIPRLFFLYKKSGDIDNFEHMIQNIFLPLFEVTKDPSSN PQLHTFLQAVIGFDCVDDESKTDPIRAERGKPLPRPADWTYESNPPYDYWCYYLYANL ATLNAFRRAKGFSTFTFRPHAGEAGDTDHLAATFLCANAINHGITLRKSVALQYLYYL AQIGIAMSPLSNNKLFLDFQRNPFPQYFARGLNVSLSTDDPVMLHYTKDPLLEEYSVA AQVWKLSGTDICEIARNSVLQSGFEHPFKQHFLGKKYYVPGAAGNDIRMSNVPNIRLD YRHETLTSELACLQHHHG H257_14911 MARKWTSDCEAERVLRQLFEDGTVSSGSSPGFVRALAPDIFNQF SDAVFNIHLRKAKMAFKDPTTAVISYHWPPGLTKIKSKFT H257_14912 MTNSTANVRAANESVVIADVWNCLWRIITNRLQRASALGDHGPY HPIMNPNCLRAAVAAPAPPPQGTTQADGSVHALKAFIILSYTHRDCLNNPGKSCFYCG VGNHPLPASPTLKSHYARNAMRPGFGRTVFDNHGAVS H257_14913 MVGITKEQVHGIDAKKAQHRLNVLLNKHFSLNTESAKALGVDEV YNERTELFDELLALYDDMKEEQKEIAGKVANEAQRNENKGSNSISVGKLLKMMNAMQE DNKAELGFRMSQLEFQIIERWLQALGVSRARLEAARKSADESKGLRLDLA H257_14914 MTKAQLVEQVSPDSLKNKLRKLRTEFVAIQRSLTATGNDEQTTP AKPGYYADMLVAFADLHGLGDIEFGMDRAASASLSEDNQGLDTRAIGESVSMSSMRDA KRKDEIDMEIQRQRQVRGKKQQPDLAKRQIRARVVAKDVAAFLLETGHLSYDPYIKTE RETALRSVQKYVKYCGYLRGSKRGKKSLALTEANTILRDNYVRFMCQATQQVHTTDRR TVVYLDEGFINQHYNINDISLYDDLDVQVKAIHKGRRFRFIAAIVDGGPFESFVVCYE KFFGGKQTKDYHGMVDHKYFVARFGRLLVDLADRGISNTIIVMDNAKYHKCIPDATPR FSWRKADLMVACDALGIEHTPGELKASIWSKLQPYTASVVPVVVEMASAAGHEVVTTL HDVEMRLDLAFDAVTSAMVHGCIKKSEQGLLELHRHISTIDEDDYHVVCLR H257_14915 MVLFAARSVKSKNFITKVMFLAAVARPRYNPSTKVSFDGKIGVW PFVEVAPAIRGSKNRPKGAPVTVPKNVNGTVYKAFILGRVVPAIIKKFPPGDLSRGIR IQQDNASSHRQVTMSLLRAAGVENIVGIGAVLSN H257_14916 MAAVDDEAFFDHSQDHLYDSPNYVGSPMTAGYDSVVAAIEETSV NANDAIFMFVCHKLGGHPRAMVREYTLAKGLPTPKEPEQQSGKRIAQPAPVTAKGYCA TLNVLVGLECWPGLAGSRYRLHFLGSDIPSSMLVGDRMDAWKNCPKAWAGHFKVPKAD RQ H257_14917 MQAERDEINHAAVLEAPACMPVDLAKMRPKLFLDDIMTPCLARV RLFFSADGVASIDQDHRDLVNAYKDEVGIKAIIDAHSNKTNFNDGWYSFDRARFSQLH RLCSGMASVFAKTTSKVESDFSILKWEKDEFRMNLLDLSLEGIFQAKQFKLLGLLDPN PVPQADDDDDDDT H257_14918 MSAVDDVFDDLYLRKPTPEDMGRILRVNIIRGMPGMFVKLRRKR SLSYDEKLDILWFQATLREQGNLDGTGANVRLLGRAKKTVQGVLAEFNTLGDLSVAEP PSNTTNHRTTVPNTRAVRDLVRTFIRDRSVTPTRTVGKDESRLQEHNVVSVDASCKKS YGPCLRAVQLYLAKQEYARGKRVDATEYCK H257_14919 MTKSKHKGRRYCFIAGILDDGSDVAHLLGLDIFVGGKKSGKIVK DYHAMFNHDHFVDWFGRLLDKVEKLWWSSAVFVMDNAKYQGQTEINTEGVMEEDRPTT IWKALKKHLDEHVLPVVVAMAQTRGHLVVYSAPGFSELQPIELVWANVKGTVGRAYTS TTTFQDVRDRLEKAFFELDTEVIHNTIESSTAKLLKLHRALHDAEAGAADLCREESES DASSDVDTSSSSVCDSMSGSDSDD H257_14920 MEHVLVTADENGEYLCPQCEVKYTRQESLRMHCTRKHGLAITFK VKRTAAEKSEQSRQRKAKWSEKQAAIRALVSLSTVEEKCDAFSRRDARKRGVHEAKDP IVYTKMSSIQNAGLE H257_14921 MSDTTSAANEMNARREQALRLHMLEHEAMARIRANRNSTRPEQT DRQYSAKQMEYIQWNQTCGYTDEHVTPAKMVLFLTQVQDRPVRSTSRKQATRPSDSQQ AVDQLACEHADGSTTEGEGSGRIIGYHTLAAYANALMDIWKFQFDLKQNPLTPIRPAS VKELLKQKKMAVVQKENTEFADRGCGTMADCVDPAALRQISDKFFMDGTEQGLKHRAD NLMSLALCTRGDNLRRLTLSEIGLVSFEGEGVNGASLFRCVWRKSKRNQYGNVEQTTF MRHKDVTPMA H257_14922 MLDLYLALKDGAWLHAEDPDVYAFSHLPSHSSNVLVTVDDRRTM TATKKLSMYYSGGVVYPRFREDDTIHVVVVVAIPSPLPNDEKVAVALPLPEEFQYDLS KIPVDTCVDTSALSAALEPLG H257_14923 MTVQLVHGKLLKRDRTFHTWKLRHVRMDKDSICCVNNRGARRGE LHRSAGILPGGAMDISIRLFEAPKPFGFELRVRDEKLFFAADSDNDRDRWVDALVAHW GASLDQASVNISTDRDRNPALLRFTATEPQPAPPALSSTHPVEEDDDAPLILPTVLGP LIETASTIQFPSVLKATGDVLMGVGPFIRRVRWTYEPILAVALYIDSTAVSTTFDAFR GRSLASLYDDPSFYSCLLDSRFRKTFVFSCRKRLSRSALTTALHDELRPRIGSGAVDE LVELMTFVDKSLRKGESMICTIHPDATLLDFHFKGLSHPSLVAPALCRCIPALFFDAN SIQTHAKRGLIERMPYLWGLLPAFPTSFSPRSCRISLPKDFETDDECDQEEEDEGEDE RDDDEEEEEEDGDGTNNDDDDDMVPFSSTFGPLIDLTSAVVFPGTLAASSISGGTSIV LLGTCGSADEGEARWTVGLYVDAAGASSHLVRFKGLSFGSLAADPDFSMAFTTGAFVK FLRFWSSCPLVRCRGLLRCWRRCSEMRIATTKPKP H257_14925 MLTVVHPDEAVLLAIHPDGTCHMLFESTSSGQTTLSPPHQPSHG QVGSAIQSVFYGYHAASDARAQLMQRLPLLLDLAQVPTYHPLATAPTSRTFKVGYLWL YNKQRRKVVLTKWSRRWCRLDGVVLSLFSHKHRPTTGRRPKEVVMLPRCCHVQDLSTH EDMLVELRKLPHMVLGITQVSGDVVVLRAESVAEGTEWLDALSAAIQLPLKVTPIAST TTDANAHTKLEPLTVPDDEDEEEEDEEDEEDGEEDKGTTTPWPDASIVTTTGTDVRCE VGSLMQWLKEDPRNQLLLLLLSLLVWSLSPDGSSTPTNDLV H257_14924 MPLVVMKERAKVKRQARDAKTQLPPLIKQKDNTMDEAIAELRDC FQRLCCSGDTMPTSPHPSIALSSALMEHGKAYWRRVALRVVSQLKAMSSDEVVVGTPR LHTARLLLLYYMSCDAWCGPMLSLEGVVPMLVRECIRYHATCLSSSATAAAVQDPNGS PRGLIPLGETSPDFQLLPRLSTLDVPVDWFRYTHRALCLELLRHDQMDFVVPIGAQSM LCLLTKSPLPQSEVDEYDAVHATSTTRHDAISPMSISKLPISSSIFTFSHSDSRQQDD PQTSFIDSTEQDRLLGHVASNPSFHIHLVVRTLTCIMSTARGLERRRLLADGAAHALA LLATSSHVPDQCDTVRYPPVLERLLSLSFVRQMAHSGLAAISTDELHRLVVDSHDPIA LLSSPCRHHHHPSSVLLTHDKLDTIVGHRSDALKRHAVDARRRVQVDACVRNPANSIE ARLRAVTSFSSSSVPQPPLQKTKFAKADVISWVRHADAVTRHLLHSLAVLHDAATTSG GPSSSLKCWLAQADRARGEAAGIMARQVRELECMALQDKPPETEIERKARLQAKAQAL AIRAEEKRLADELHVRRLRDAKAEQEARLDMTREDRYVPLHIQTDQEREAAAVAVEAA RVAALRVLVRERRLQDVEEWHMREQDALARQVRRYQWDLDEAAFLVARQREADESHHM HAEDVYGHRTWPLVDAAQEEADFRAKLAAKKAERAAVRRARRQAQHPALYAKEWEVVT LDDGGSYFRNIVTGDVQWTDPCAPTTTTTAAAWEPAADDVGRTYYVNTATGETAWTAP EGAAMEGKVQVDQWDEFKTDDGVAYYVHRTTGDSVWEKPVAS H257_14926 MSTLYNVYVLGDQEMAFAVPTSQRGGSSPPWPLSSSSCTPTRNT RSVLDGVGAPVRQVQVAAVSTAHLTLPFLGLGYVTSHKEEALRRHLFVLISMRTLEFQ TSSRARSSAAMDAT H257_14927 MVKTKAGCGKSWCPASVDLLLDITAAVLPLGKNQWEKVAQRFAT EATVQSLPHRDAEALKRKFLLLKNVQKPTGHPDCPPPRRPACKTSAKRNRELCGGPLL DDTFQSTTLPSQLPTQLDDQRVEVGPTGLQPSELQALSDKLKRKQSDTGGLLSYTAKK DAQLTSTLKERRSQMPRNPAKASSDMMKFLMVMSERDAKREEMRHERQEKTDRVREER VEKADRDRESREARRDELLFLLLGKIFGKNDSS H257_14928 MDASAVVHLAPVYIVLPTPDAPTSPYIETNSKFFPFFSKCRMVI DGTHIPVWVTRSQAAAFQGRKRITMNVLAGCNFDLQFTYVLAGWEGTACDGKVYADAF DKGLAMDGDKFEITDTGFGLTLKCLTPYRGTRYHLKEYGIGRLNPQRKEELFNLRQAQ LCNCIQRIFGIVKMRFPVLSHGVRYDYSFQVDLVLALVHNTQLYSYMRHRV H257_14929 MVPFSSTFGPLIDLTSTVVFPGSLAASSISGGTSIVLLGTCGSA DEGEARWTVGLYVDAAGASSHLVRFKGLSFGSLSTDPDFSMAFTTGAFVKVLRLVVMS TCSLSGIAALLASLLRNAHCDHEAQAVTDVWGSNSSMLTVVHPDEAVLLAIHPDGTCH MLFESTSSGQTTLSPPHQPSHGQVGSAIQSVFYGYHAASDARAQLMQRLPLLLDLAQV PTYHPLATAPTSRTFKVGYLWLYNKQRRKVVLKKWSRRWCRLDGVVLSLFSHKHRPTT GRRPKEVVMLPRCCHVQDLSTHEDMLVELRKLPHMVLGITQVSGDVVVLRAESVAEGT EWLDALSAAIQLPLKVTPIASTTTDANAHTKLEPLTVPDDEDEEEEDEEDEEDGEEDK GTTTPWPDASIVTTTGTDERCEVGSLMQWLKEDPRNQLLLFLLSLLVWSLSPDGSSTP TNDLV H257_14930 MTREDRYVPLHIQTDQEREAAAVAVEATRVAALRIQVHERRVQD VEEWRMREQDALARQVRRHLWDLDEAAFRVARQREADESHHMHAEDVYGHRTWPLVDT AQEEADFRAKLAAKKAERAAVRRARRQAQHPALYAKEWEVVTLDDGGSYFRNIVTGDV QWTDPCAPTTTTTAAAWEPAADDVGRTYYVNTATGETAWTAPEGAAMEGKVQVDQWDE FKTDDGVAYYVHRTTGDSVWEKPVAS H257_14931 MSLELVYFDETWRAESIRLLFAYGNKAVQDTRLSFPAYTAAKPT LGLPFGQVPILRTQGKTYAQSIAIARYVAKEVGLYPTDNLLALEADSVVDAIVEMTNA FVDAVHSTKGDEYLKKEILNINDNMFPRILAGLEARHVGPYFLGDTMSYADIYWLDFY QQIWNPSAALLTSSPADFPKLLALVTKLRSAAPLVAYWAKHPPKPSTSHD H257_14932 MGDADALNLPLQKTVFIIQREALAQDEAIRLRVTQAGFRIVDQT LVHLTPDRATTYYLDKLLKPEDGAKKTGGRTARGTGTGRAVASTTARKEAAPPSTPTS PRDKTPRSVSSDDPSPRVGTASTSPGKTPRDNVAETADDAIAALSGGPIVVLLVEKQN AVKAMLDLVGPQNPTQWPSTPTCLRASFAQDGKHVGVRCSRFAYNVADETAFLFAHSG NNGGKLATDGDHAADDAGKAMVHLDALMDFLFPPNVQHPNSTGRLFVFSLYGPLDAKS RLRSGEKGMHVVTDIELNTMCVSIEREDILSVYGMIGLSRDEEEEVLRQADKHMKHLP RYTRADIQEMFRHVPHTKNDGFMSFHAMQRRIMEERIRRVLCMKDNLNTTLATPIVSK YRKSLSTTATVTKYQVAPPSMFVKDMGLNGSENAVVVARLLNCHAFQICHLADGNSPE LTQNVRLLREDIASPLPRLPWNNNHASVKHT H257_14932 MGDADALNLPLQKTVFIIQREALAQDEAIRLRVTQAGFRIVDQT LVHLTPDRATTYYLDKLLKPEDGAKKTGGRTARGTGTGRAVASTTARKEAAPPSTPTS PRDKTPRSVSSDDPSPRVGTASTSPGKTPRDNVAETADDAIAALSGGPIVVLLVEKQN AVKAMLDLVGPQNPTQWPSTPTCLRASFAQDGKHVGVRCSRFAYNVADETAFLFAHSG NNGGKLATDGDHAADDAGKAMVHLDALMDFLFPPNVQHPNSTGRLFVFSLYGPLDAKS RLRSGEKGMHVVTDIELNTMCVSIEREDILSVYGMIGLSRDEEEEVLRQADKHMKHLP RYTRADIQEMFRHVPHTKNDGFMSFHAMQRGHARNG H257_14932 MGDADALNLPLQKTVFIIQREALAQDEAIRLRVTQAGFRIVDQT LVHLTPDRATTYYLDKLLKPEDGAKKTGGRTARGTGTGRAVASTTARKEAAPPSTPTS PRDKTPRSVSSDDPSPRVGTASTSPGKTPRDNVAETADDAIAALSGGPIVVLLVEKQN AVKAMLDLVGPQNPTQWPSTPTCLRASFAQDGKHVGVRCSRFAYNVADETAFLFAHSG NNGGKLATDGDHAADDAGKAMVHLDALMDFLFPPNVQHPNSTGRLFVFSLYGPLDAKS RLRSGEKGMHVVTDIELNTMCVSIEREDILSVYGMIGLSRDEEEEVLRQADKHMKHLP RYTRADIQEMFRHVPHTKNDGFMSFHAMQRYRDITLLHRRC H257_14933 MLADSSPLPSSTSPLRRRIHDTNNPSFRKSMDESRRREVNLDTL KKLAQDATTTFSDDESDGVTSDDDDDIDVLDTVLVPTSSNGEIPRHWTASAPPTLLPI KTPVPVLVTTVKPSTVDVDASWTLVDKSPTFENVRLGKTSLGGENWSL H257_14934 MTDAAAPRPGSASPSKIAQKIENAQNLDAGLLGYPQFTPAHRSL MSKHLTRDLYEKLKGLKTSTGYTLERAIQTGVDNPHLGVGVTAGDEESYVIFKDLFDP VIEGWHGYKKDAVHKRDLDPTHLTDAKLPDDFIISTRIRAGRNIRGLPLPPATSRAHR KDVMNLLDTALTAMDGDLKGKFYKLTDMTPDVEQKLIDDHFLFQKPGGGTLLSAAGAA RDWPSARGIFHNDDKTFLVWCNEEDHMRVISMQNGGDIGAVFERFTRAVKSVEDSIKA KGREFMYDDHLGFIGTCPSNLGTGLRASVMIKLPKLSEDLDRFESICKLLNLQPRGSN GEHSASIGGVYDVSNKQRIGFSEAELVQTMINGIRLLIKLEQTLVAGDSIDALIPKER VPNPVIEAPPTTAAQKAAIDVKPSTESNYPYFTPKHKSLMAKHLTVELYDKLKDVKTA KGYTLDDAIQTGLDNPHLGVGVVAGDEESYTAFKDLYDPVIEGWHGFKADAKHVTDMD VSKLVRSDKLDMSYIGSTRVRAGRNIRGLAFPPGTTRAERLQVENLISTALTALTEDL GGKYFPLGAMTKAEEDQLQKDHFLFQKPGGGTLLTGAGAARDWPSGRGIYHNTEKSFL VWVNEEDHMRVISMQDGGDIVSVFARWVKGVQAVEASIKKNGFTFMHNDHHGFLGTCP SNLGTGLRASMFVRLLKLGEDVHKLETICSSLGLQPRGSAGEHSAAVGGMFDVSNKAR IGKSEVELVQTMIDGVGKLIELEKEMEAGKTIDQVLADLKLA H257_14935 MELVRNWAGRGGAKHAVEKIRQAQSSSDRDELTVVLNALLWGVA RGVVPQQEVLDALVEAGLGNDEAATATLADVLWVISNQAERMRDQGNGKEWVNMCALV GEIHSRALVPPATLKSVLELEILHEAGISSEPTATIMKKVVRINTRNLYTQNKFNLLK EESEGFAKVLCLLHSDITCETLQASKQNLLSLIGYFDLDPNRVLDLVLDAYEVHYTNE CFMELLTEFKVDGIAHVLGFKFQFYARQSIPAPRSLFRLAATLIQHDLLTLAVVYPHL SPTKDAVVAAATQDRLDVVQHAKSYGKVNLNAKKPDDEHATAAAADTSQDKHATNQLY GLIVGLLEVGATGPGFALIEWFTAQNVDPLQYKPLALQVCQFVHDLIDDMYAPLSLRS LRFASSAVHPSPDIPRRRRVVPSVQTVDAFVAQVVPKLHLIGAHLHHDQFLWTKLLRM LSPRVVPPLDRLPPDTVESLIRMCFLPALSVHTCCPHLVYQTWDLVKAYSVDTRYKFY LHWQTQYSTVPFLQLKQAETIQLTRKIMRRLTADKTKPTGRLLTHVAHANPLVAFTTM LQQLQSYENLIQPVVECLKYMSPLGMDVLSFVLISELSRPRKTFKADGHNVSLWLSSL AQFAGSFYRKYPTVELGALLSFLFRRLSAWESGELIVLSELLTKMGSCLALEDISTTQ LEALAGGPTLGFESPDPKLNNKRAIPCLRNTLVKQNLAWPLCLVIGQMRSQIEFNTSA QHLKLVGASYDTAQRTLNQLLAFLSACADPATYVAALPSVTELVRDFHVPDELAMVLV RPAMRAHDPLLRKVTRSVHAGGSGNLSPDDPPGRWFMYDPNLLADVGRAFDGSPFVGM TKDLFTTFWGLTLYDIYVPHAQYNAEIQKAKNDLKLVAANPNDGDRKKPKDRLMGVID TLVNEMKDQVAHRKAVFARFESVKHRLCTPNQSDTVVVQLLQKCVLPRSLLSPEDALY CAKFMQYLHSISVPHLSTLQYYQKVTLNLSGLVLCTTEREASNFGIFLRETFSVLGRW FESADEFNDQGVRSGFSVSLTDPTNILDYDKYRNLYRKWHNHLEKVYAHTLTTGEYMP TRNSLVLLTKLIDVFPTTRPTTDKLFGLVDALTKDDRSDVKLMATSYAAMLKRKMHVS FPDTTKSQDADDVADRGGSKRSERRDSPRENKGSDVDHPRRRGRSREKKPDAEPLVVG DKGGSSSRRDKLPSSRGRSRERRASQVAGAADARGLQPQPTDKRGRSSDRASAVSQAA EHAPRGRSRDKKPTDGSSKPPLTSAGDKLPSSRGRSREKKATQLDQSHPSSTSSSTTT PADKERPREKKDSPRSSTTTPLDKERSREKKDSPRSSTTTPVDKERSREKKDSPRSST TTPADKERSRDKKESTSSSSTTTPVDKERSRDKKESPRSSTSTPADKERSRDKKPPQA DGSQQSSTSTTSAPAVEKAARGRSRDKKPSQPSIEPSSTPSMEKASERSRDKPTSSST QPTTLSASNTRTIPSLSTEASLKQQVLERGLKKRERDEAAAEPPASSLTDQPPAKARK LVSTSLVDVNVKRVQDDKKRRLEQRKSESASTKDDATASRSGENAQKVPRSGPDHPKA TLASSAEPSSSSSRHRQGNNNNSRGDRNIRRDRRDGGGGGGRDGRVVGTRRN H257_14935 MELVRNWAGRGGAKHAVEKIRQAQSSSDRDELTVVLNALLWGVA RGVVPQQEVLDALVEAGLGNDEAATATLADVLWVISNQAERMRDQGNGKEWVNMCALV GEIHSRALVPPATLKSVLELEILHEAGISSEPTATIMKKVVRINTRNLYTQNKFNLLK EESEGFAKVLCLLHSDITCETLQASKQNLLSLIGYFDLDPNRVLDLVLDAYEVHYTNE CFMELLTEFKVDGIAHVLGFKFQFYARQSIPAPRSLFRLAATLIQHDLLTLAVVYPHL SPTKDAVVAAATQDRLDVVQHAKSYGKVNLNAKKPDDEHATAAAADTSQDKHATNQLY GLIVGLLEVGATGPGFALIEWFTAQNVDPLQYKPLALQVCQFVHDLIDDMYAPLSLRS LRFASSAVHPSPDIPRRRRVVPSVQTVDAFVAQVVPKLHLIGAHLHHDQFLWTKLLRM LSPRVVPPLDRLPPDTVESLIRMCFLPALSVHTCCPHLVYQTWDLVKAYSVDTRYKFY LHWQTQYSTVPFLQLKQAETIQLTRKIMRRLTADKTKPTGRLLTHVAHANPLVAFTTM LQQLQSYENLIQPVVECLKYMSPLGMDVLSFVLISELSRPRKTFKADGHNVSLWLSSL AQFAGSFYRKYPTVELGALLSFLFRRLSAWESGELIVLSELLTKMGSCLALEDISTTQ LEALAGGPTLGFESPDPKLNNKRAIPCLRNTLVKQNLAWPLCLVIGQMRSQIEFNTSA QHLKLVGASYDTAQRTLNQLLAFLSACADPATYVAALPSVTELVRDFHVPDELAMVLV RPAMRAHDPLLRKVTRSVHAGGSGNLSPDDPPGRWFMYDPNLLADVGRAFDGSPFVGM TKDLFTTFWGLTLYDIYVPHAQYNAEIQKAKNDLKLVAANPNDGDRKKPKDRLMGVID TLVNEMKDQVAHRKAVFARFESVKHRLCTPNQSDTVVVQLLQKCVLPRSLLSPEDALY CAKFMQYLHSISVPHLSTLQYYQKVTLNLSGLVLCTTEREASNFGIFLRETFSVLGRW FESADEFNDQGVRSGFSVSLTDPTNILDYDKYRNLYRKWHNHLEKVYAHTLTTGEYMP TRNSLVLLTKLIDVFPTTRPTTDKLFGLVDALTKDDRSDVKLMATSYAAMLKRKMHVS FPDTTKSQDADDVADRGGSKRSERRDSPRENKGSDVDHPRRRGRSREKKPDAEPLVVG DKGGSSSRRDKLPSSRGRSRERRASQVAGAADARGLQPQPTDKRGRSSDRASAVSQAA EHAPRGRSRDKKPTDGSSKPPLTSAGDKLPSSRGRSREKKATQLDQSHPSSTSSSTTT PADKERPREKKDSPRSSTTTPLDKERSREKKDSPRSSTTTPVDKERSREKKDSPRSST TTPADKERSRDKKESTSSSSTTTPVDKERSRDKKESPRSSTSTPADKERSRDKKPPQA DGSQQSSTSTTSAPAVEKAARGRSRDKKPSQPSIEPSSTPSMEKASERSRDKPTSSST QPTTLSASNTRTIPSLSTEASLKQQVLERGLKKRERDEAAAEPPASSLTDQPPAKARK LVSTSLVDVNVKRVQDDKKRRLEQRKSESASTKDDATASRSGENAQKVPRSGPDHPKA TLASSAEPSSSSSRHRQGNNNNSRGDRNIRRDRRDGGGGGGRDGRRN H257_14935 MELVRNWAGRGGAKHAVEKIRQAQSSSDRDELTVVLNALLWGVA RGVVPQQEVLDALVEAGLGNDEAATATLADVLWVISNQAERMRDQGNGKEWVNMCALV GEIHSRALVPPATLKSVLELEILHEAGISSEPTATIMKKVVRINTRNLYTQNKFNLLK EESEGFAKVLCLLHSDITCETLQASKQNLLSLIGYFDLDPNRVLDLVLDAYEVHYTNE CFMELLTEFKVDGIAHVLGFKFQFYARQSIPAPRSLFRLAATLIQHDLLTLAVVYPHL SPTKDAVVAAATQDRLDVVQHAKSYGKVNLNAKKPDDEHATAAAADTSQDKHATNQLY GLIVGLLEVGATGPGFALIEWFTAQNVDPLQYKPLALQVCQFVHDLIDDMYAPLSLRS LRFASSAVHPSPDIPRRRRVVPSVQTVDAFVAQVVPKLHLIGAHLHHDQFLWTKLLRM LSPRVVPPLDRLPPDTVESLIRMCFLPALSVHTCCPHLVYQTWDLVKAYSVDTRYKFY LHWQTQYSTVPFLQLKQAETIQLTRKIMRRLTADKTKPTGRLLTHVAHANPLVAFTTM LQQLQSYENLIQPVVECLKYMSPLGMDVLSFVLISELSRPRKTFKADGHNVSLWLSSL AQFAGSFYRKYPTVELGALLSFLFRRLSAWESGELIVLSELLTKMGSCLALEDISTTQ LEALAGGPTLGFESPDPKLNNKRAIPCLRNTLVKQNLAWPLCLVIGQMRSQIEFNTSA QHLKLVGASYDTAQRTLNQLLAFLSACADPATYVAALPSVTELVRDFHVPDELAMVLV RPAMRAHDPLLRKVTRSVHAGGSGNLSPDDPPGRWFMYDPNLLADVGRAFDGSPFVGM TKDLFTTFWGLTLYDIYVPHAQYNAEIQKAKNDLKLVAANPNDGDRKKPKDRLMGVID TLVNEMKDQVAHRKAVFARFESVKHRLCTPNQSDTVVVQLLQKCVLPRSLLSPEDALY CAKFMQYLHSISVPHLSTLQYYQKVTLNLSGLVLCTTEREASNFGIFLRETFSVLGRW FESADEFNDQGVRSGFSVSLTDPTNILDYDKYRNLYRKWHNHLEKVYAHTLTTGEYMP TRNSLVLLTKLIDVFPTTRPTTDKLFGLVDALTKDDRSDVKLMATSYAAMLKRKMHVS FPDTTKSQDADDVADRGGSKRSERRDSPRENKGSDVDHPRRRGRSREKKPDAEPLVVG DKGGSSSRRDKLPSSRGRSRERRASQVAGAADARGLQPQPTDKRGRSSDRASAVSQAA EHAPRGRSRDKKPTDGSSKPPLTSAGDKLPSSRGRSREKKATQLDQSHPSSTSSSTTT PADKERPREKKDSPRSSTTTPLDKERSREKKDSPRSSTTTPVDKERSREKKDSPRSST TTPADKERSRDKKESTSSSSTTTPVDKERSRDKKESPRSSTSTPADKERSRDKKPPQA DGSQQSSTSTTSAPAVEKAARGRSRDKKPSQPSIEPSSTPSMEKASERSRDKPTSSST QPTTLSASNTRTIPSLSTEASLKQQVLERGLKKRERDEAAAEPPASSLTDQPPAKARK LVSTSLVDVNVKRVQDDKKRRLEQRKSESASTKDDATASRSGENAQKVPRSGPDHPKA TLASSAEPSSSSSRHRQGNNNNSRGDRNIRRDRRDGGGGGGRDGR H257_14935 MRDAPGEQAEPSLVDRYNMSFLHVLSRPLSSSLVCFLGYFDLDP NRVLDLVLDAYEVHYTNECFMELLTEFKVDGIAHVLGFKFQFYARQSIPAPRSLFRLA ATLIQHDLLTLAVVYPHLSPTKDAVVAAATQDRLDVVQHAKSYGKVNLNAKKPDDEHA TAAAADTSQDKHATNQLYGLIVGLLEVGATGPGFALIEWFTAQNVDPLQYKPLALQVC QFVHDLIDDMYAPLSLRSLRFASSAVHPSPDIPRRRRVVPSVQTVDAFVAQVVPKLHL IGAHLHHDQFLWTKLLRMLSPRVVPPLDRLPPDTVESLIRMCFLPALSVHTCCPHLVY QTWDLVKAYSVDTRYKFYLHWQTQYSTVPFLQLKQAETIQLTRKIMRRLTADKTKPTG RLLTHVAHANPLVAFTTMLQQLQSYENLIQPVVECLKYMSPLGMDVLSFVLISELSRP RKTFKADGHNVSLWLSSLAQFAGSFYRKYPTVELGALLSFLFRRLSAWESGELIVLSE LLTKMGSCLALEDISTTQLEALAGGPTLGFESPDPKLNNKRAIPCLRNTLVKQNLAWP LCLVIGQMRSQIEFNTSAQHLKLVGASYDTAQRTLNQLLAFLSACADPATYVAALPSV TELVRDFHVPDELAMVLVRPAMRAHDPLLRKVTRSVHAGGSGNLSPDDPPGRWFMYDP NLLADVGRAFDGSPFVGMTKDLFTTFWGLTLYDIYVPHAQYNAEIQKAKNDLKLVAAN PNDGDRKKPKDRLMGVIDTLVNEMKDQVAHRKAVFARFESVKHRLCTPNQSDTVVVQL LQKCVLPRSLLSPEDALYCAKFMQYLHSISVPHLSTLQYYQKVTLNLSGLVLCTTERE ASNFGIFLRETFSVLGRWFESADEFNDQGVRSGFSVSLTDPTNILDYDKYRNLYRKWH NHLEKVYAHTLTTGEYMPTRNSLVLLTKLIDVFPTTRPTTDKLFGLVDALTKDDRSDV KLMATSYAAMLKRKMHVSFPDTTKSQDADDVADRGGSKRSERRDSPRENKGSDVDHPR RRGRSREKKPDAEPLVVGDKGGSSSRRDKLPSSRGRSRERRASQVAGAADARGLQPQP TDKRGRSSDRASAVSQAAEHAPRGRSRDKKPTDGSSKPPLTSAGDKLPSSRGRSREKK ATQLDQSHPSSTSSSTTTPADKERPREKKDSPRSSTTTPLDKERSREKKDSPRSSTTT PVDKERSREKKDSPRSSTTTPADKERSRDKKESTSSSSTTTPVDKERSRDKKESPRSS TSTPADKERSRDKKPPQADGSQQSSTSTTSAPAVEKAARGRSRDKKPSQPSIEPSSTP SMEKASERSRDKPTSSSTQPTTLSASNTRTIPSLSTEASLKQQVLERGLKKRERDEAA AEPPASSLTDQPPAKARKLVSTSLVDVNVKRVQDDKKRRLEQRKSESASTKDDATASR SGENAQKVPRSGPDHPKATLASSAEPSSSSSRHRQGNNNNSRGDRNIRRDRRDGGGGG GRDGR H257_14936 MNQLRKLASYVVESSLKPSASSAPPSPHTAPVPSYKHLDLTYVT TRLLVSGHAIDGPTDKKSCVNNAGEMKSYLDAAHAGKYVVFNLNDESADTAVISPQTL DFSWERDGGIRTYTPPSDHIFRICYAIFAWLALDAENVALLYCHNGKTRSGVICACYF LFVRTVDDPMSALAQFYQKRLGIDTLTPEYVKKSMPISIQRFVSNFSTIMNTQAIPNP EPLVLKAIMFRALPVEMAPLVQLWDDHKMVFSSASNDSSKPVMDWNPEDGFLAILWET GIPLDGGFTILCSFGDDYDGADNDGSSPPDPASRVLFRYMNSTWFLHSGLVTLQKPML DMIKQYEHGFDLDNFSVDMVFHDSLDPPKPTVPVDYTGNYAVKQGIVEMASHHTTAPD PSMYINFVKNGFDATASTFALQRAQNAPNVALDILHSEGISTIFTRLLPLHPSPLKAA AAGKPFTFPRGDGPSSSTNSSTSSSSPTTTITSYSDNQDRQSILPNTSVQVATDGATM GLTGRDLCADDAPLSGGIVRSHYGGPRRHSLDATRAPSISVPSVQPVVKFEDAVCAVC REEDYVLRPQLVRCNVASCRRYFHTTCAGLKKIPFGLTTMSDRANHAAYMKKFFGAWE CADCQAASRQSKTVATTTIAIAADSPSKTSPTKQKLEKLRLLLDEKGLSLDDLLQAAD AKDTSAAQQVQLPLASSSSAAVDKYQRMADNGVPREAVHNCMVRDGVPDPSDLLQHVK PKTRSNAVAENAAASQPDVLLLRHAIQFHGYFEMLQKGCSKDAVKHKMKMCGLNPDIL DLNPNAVYVDVRDQIEALQTQYSQPKASPHKPDTAAGSPVKPVGASTTPPSSQESQAQ SSVILPTLPLKQEEEPETAPVPDVDSPKASGKLQDDATYAKYFKMLRLNIPEEAVRLK MKEHGVNLKALELGPDGLVSDLTTAEPAKAEAPLLKDDPVYGKYFKMLKMNIPEEAVR LKMKEHGVNLKALELGPDGLVSDLTTAEPAKAEAPLLKDDPVYGKYFKMLKMHIPEGA VRQKMIEHGVNLKALELGPDGLVSDLTTAEPAKAEAPLLKDDPVYGKYFKMLKMHIPE GAVRQKMIEHGVNLKALELGPDGLVSDLMVKALLKDDPVYAKYFKMLKMHIPEGAVKQ KMIEHGVNPKALELGPDGLVSDLTAGSTSPPKPVKKVIRRKKLFWQALPEDRLKRASS TIWEDEDHHIQLDMDEIETLFFKDTAKAALTSGAQKPLARKQAVTLVDGKRAMNAAIA LARIKLSYADVAKAIDTFDAMGLTLEQLTTINEFLPTSEEVRVVQRYTGDPAVLGEAE KFFAAISTVPRFATKMECLISKQAFGSHVAEVTTSLHNVIKACEDVKESRLLKLLLGT VLKLGNTLNGGEETEHAIRGFSVDSLLRLGHTKTNDQKTTVLHYLVRVLRKNQPHVLE FQSELQHVSLAAREAIESIDQMYAALDADVKKTADECRHMQTDEADPAVIASFQAAIA HATHELEIVQRHIGDMKHQLTTVFEYFGEDPTKKPSEFFQTLSSFCLAFEKAKQQVEA ADQAKERAERNTSKTRPRASTLLHPAEKAKLMMAKNSFSFKHNNAHKLVPTTTMTAKA SSSTSSADLDRTASSRF H257_14937 MMAKKRKRANATGVIAAWETFWDAMEDVAAEAPDTDHRISIFKA ETREDDFIAVERALSLQPPDATLRDLEMLLADPLELQSMLTSFSEKDSFMRILLRHES IQTPLLNLLLEHLSEFAQKAVDETGTIVGGLMPSSGICSLILRHIRWMECVYEPSALT EHLLTTLNTYPMFLQKDILHILPELVADNDFQLAVDTLLETISSENELVVPAIEALSN FNMPVDISDDVTRCILGRLTSSSLQDMPGLVRFLVQTATDANAVETLDAIRDKVSECI LQTAAASNDEAFLLQQFVHGLGFRSDLLACFFKLVATSTAASLLDVWTLVGLHSSQSG PGKVKAAAVFVKNAANGVFTKEVLHGALAAHLGGLTPYLNSVVHLAGAALQAPDAVAM GQFMLTIVFNQLATARDDHVYEYQIQIVSDLVDFAMSSGGESTVDGALDTLLALSSHE QHSLDKFLSLLKHLLDCIERFSVDQCRRVYQLLFGVGGSTDPDLCITVRKQLYHQDNL YRTRGMLGYICCLEADLYDENNIINNMDGLDESEGGNPDQLEKRMRDRLDILRDACRK QANALSFMYAELNHLVHRMGRRGHRTSQMLAILDEKYSDVLMQEFLPGFDARGHQQGA YKRPVFNDTFRCDQWAITRLNSPVYLDLMTLVACQDTMERPLYLSSLLNLVVSCYKRS DTLENIGTVLICPILLMEKSAIPELGDMPTDAQDGVFLALWHAVNWCRDLINCFSSDA ALASKVLTRLENAVEFESILYDAIANCPTSVWLPPGSDMSTNQLKKPSFKPPQLNNKK VKAAVAPIGPRLHAIRASFTRVHPQVIGILPTSKDSIDPPSLLFLVDQFLSMLRTSLA KSCTITPATQLKAPRSKLVHSGVYAPGFAVFDEHLKFHLLNVANNVRWVLHAPQLPRH STLYELVTKYFECIVVIAKAQSLFEQQKQTLMQLVALQQLILPVEQHHVPMEDVRQSA TALSAMSDALVHMQSTLTCLDITLAGHVLTALVALERLKIRWNDLHVKALLFSAPRDP APPVAALALSYLRRDWAHDQPSTDPSKLKSADLATFLDAYFEFSPHPLDSVQDVACCG VVNLLETFSSTTANEFYPTLTKKTIGVYVRACFETVVRGTARLDVAPQGGNNPVHVLH YLHQAALLFKLLVGLTRSFQTGMVVASVLKHSSGFLHNVLRAMPFFESHFVGHSKRIL GILKHVQGGTRRLQMLCAHGKSIQDASAAAHVPKLKKLLERLIYETGKLAREHNLMDA FSTGILKQRNLDGSAAPPEAASSDESVSTEQDEED H257_14938 MAMSTLADSTGCTMPWCCRQPPRWWLQRLIVFTSMASAIVIMLT DITATIAAGKALYGYDAPEPGYTTYDCATIRRYLKMGIRNRTRQLHEIETAVAARQRI LYLEPDDSDPTEYHVVFGNCSSLGGYRSSDRLYTDWHLLPLLQRILHGINHSTSINLL QDDDHHHVPIITHVAVVDCAYGGRQIQDTSMLKAYLVDVNLTTMTSFALQTMNAVRES TRMEVQVGPVVVSSAQLSRFGLGAPDGTIVVGARVLDMFGLTYDGVSEYRTLVSFDFP YEPNTPFHDAISVADDESSRLNQWRWRVKSTNELIVLNGYSGYYRGSKSSQTSFVRYV VAMDATPVRDFAIDNFQAQGHSKDSRTWMHVLGIVTVGLRIGFPVGVSHHMAAMSLWG PQFWLPDVCGIVKRQLRLRALLIFVTVFADRFWIVQEWALTAGYRRYDLEPMIGSDDV VLSDSFVTFLVLTDVIATAMHVAVVPIVPVILFILCYTQREMLVQALTSDMEQDVASY MNDVYMRNLLTYSPTTMDIWTRYPLEEMDDPAMWFVMREYVWFFAACVVMAVGLALVK LVHVLVRSLSTTVTPSGRMPSTRDLEPCKIIDNKSNTLQFLAPFIPPRALGQWGVVSV PPTSANDGTMLGVPQYHNSEFQLDRSSIWTAGWVLVGRRHLVRIDDLPNLFVNGVMAT SSIVKVYGCAVDEDNTKPNVHALPRPPRLFLNPRLVPLPPTTISLRHLRHLSVDVLWV REISVGDATPATVKHMKGKRKVTPRLSQATSQVSGGSRTSSYVSQANTQIRPASRSTS SRHLARSLA H257_14939 MKRVEVYEWAIAAAFRRYHLTPLVARTEIIRCHLLALFGVDGRG RDGRG H257_14940 MLMCLGSVKFVQVAGGYGGSKPGLFAASTSPPGRRRRRELDGGD AASPPTGRTVPSHGGDLDRVDGLSRQLLSTRVIFRVVVAGARDASSVAVSVHPGGVPS RQNQRLARWLAYARPQVRNIPRVRGGPAACARQHVEWRCLRRQSVLQPVYTERNVRVM DPKVVLLPSWRCFWRLNVEMVWVKKQVGD H257_14941 MSRHRNVRNRAYSYDDEDYDDDYNYDEPSPSAQSHIYRRDSPTK QSSVFSFIDTHHPPDGEDAFDDTELALPLLDQIRSTIDVSSMSDEFLVDQIRVHNYDL DKAIIGLMEHKKRTTSQTAVSALPTQVVLPKVQALALGDKPKPPTKSTTKGSASSAVA TVPAPSAKAPAGAIAGGGVYQMLTPTERAAFEKAERAAQGKAAAAHSQTDGKAKINMV VIGHVDAGKSTITGHLLFRLGYVSKQIMHKYEKQSRDAGKASFAFAWVMDADEEERAR GVTMDVGTSYFATSSKHVTLLDAPGHRDFIPKMIAGASQADVAVLVVPASTGEFEGSF ERDGQTKEHTLLVRSLGVSQMIVAVNKMDSVNWSQARFEYIQTTLRAFLQQSGFKLDQ LWFVPVSGLSGTNLIAPPDLADAAWYSGPTLVQAIDNFAPPQRPITKPFRMGISDVSK SMSLGLTVSGRVYTGALSTGDTVLLMPGGVRATVKAIEMNGLPVLLASAGDNVDVGLA GLDPSALHVGGLLCSVVSPVRMVRKFEAQIMTMPGVEVPLVKGTCVTLHIHSVDEPVH VTRLVSTLKKSGEVDKKKPRCITRNSSAVVQISSQRPLGLELFSEFRNLGRFTLRDRG VTLAAGIVSEILL H257_14942 MVVKAAEIAPAAYSSNKNSSAVTKEDDLTYDLGNLAAYDTHPFA VKNVADESELKAYARDSVQLLINHVFELPRQMTDMGPMGQLPVPTTVIPREKPLPKEK VETRWEKFAREKGIKNKKESRMVWDEAKQIWAPKWGYKRANDDSGDWAMPVKGGADPY ADPWTEKKQEKAERVQKNLRNQANNAKQGRGKEPRVPVSKTPLGVPVELLNTDDKKVK QRGKEGTKAALQKVQFSTASMGKFDKKREGEGERKHVGKRNKFLSVTGAEKTEKERSM NVLQHLLGREESKGKAKAATAHDDYDGEPKKGKKRTGGPKLKNITKGAAKRQKTKR H257_14943 MTYVSGGQVIEKRSWLRLSIIPDIFWGIIGIFQLLKCQSRIVVR LRVEVAVAADLAEEVAQVARVVPSVACNRRLVSQVIPHH H257_14944 MVNMGIKRRKAGTLEAGGLSWRWVASPVLVGVLSLLWLHVFAPP PPTTEMQLRAFEAWIRQHGGRIGNVQLAAFPGMGIGVQTTSDITEKDEVLYLPRDLVI CRDTVTKQLPREFLRTGPHADDDLLATFLLLERLKGPASKWAPYLAVLPSVIPSPMSF TKHQVAALHDEALIQLIGDAKRSTTAAFKALLRKLSAVLKKRKASLSLDDYIWATSVL GSRALTIQGVRYLVPFADMFNGQSHPSARLANNGARFLEFHQLSNHGDVRILADRPCA KFDQLVEDYGDNDNYIYFMHHGFTMSQNPFDCVRLPLPSPSSSKANVLASYGVPSSKH ICVQPTGDTLDIVGWAILQALVLTDGDAQTCATTHTCLEFESPGKVMTHADAAAWVLA ATTAALQAFATTADEDEHVLSTNATTMSPNMQLVITFRRQRKLILQQLVVTLTAATAS SRPASEANVVADAAAVSPEPANSVDDKIRRFHQWFNSLTTTNKLHVRYMGPAMGYGTF ATEDIAADDVYVGVPTASILDSTSATRHPHLATVFRTLSQTSGHRDRMHELVLHLIHE RFVRQEESPFAPYLALLPPLLEDGAVPLMYSAKQLAALKSVDLHEAVVAYQLQVEKSY QAIHRVVLSRFPEIFPASVFTWERYRWARYILDTRSIWWQGERHLVPMLDMVNCQEGP ANQPPARVHRTALSADGRVAVTRAAWAFPSNSQVVENYGQPNWIYFLYHGFVLSKNSH DCAHIVLDMESPMQKIQASDLYERYVAKVRHVGLTSLRPDFCLSTHAIPKQALQAAAL YTELHALSDVTYIMDGSSDVDGDAKTREVKALRLVLQKRLDALGGVEMPSSTEGFNGD VLRVYMDQQHLLLTRLIDTLDTKLLEPLM H257_14944 MVNMGIKRRKAGTLEAGGLSWRWVASPVLVGVLSLLWLHVFAPP PPTTEMQLRAFEAWIRQHGGRIGNVQLAAFPGMGIGVQTTSDITEKDEVLYLPRDLVI CRDTVTKQLPREFLRTGPHADDDLLATFLLLERLKGPASKWAPYLAVLPSVIPSPMSF TKHQVAALHDEALIQLIGDAKRSTTAAFKALLRKLSAVLKKRKASLSLDDYIWATSVL GSRALTIQGVRYLVPFADMFNGQSHPSARLANNGARFLEFHQLSNHGDVRILADRPCA KFDQLVEDYGDNDNYIYFMHHGFTMSQNPFDCVRLPLPSPSSSKANVLASYGVPSSKH ICVQPTGDTLDIVGWAILQALVLTDGDAQTCATTHTCLEFESPGKVMTHADAAAWVLA ATTAALQAFATTADEDEHVLSTNATTMSPNMQLVITFRRQRKLILQQLVVTLTAATAS SRPASEANVVADAAAVSPEPANSVDDKIRRFHQWFNSLTTTNKLHVRYMGPAMGYGTF ATEDIAADDVYVGVPTASILDSTSATRHPHLATVFRTLSQTSGHRDRMHELVLHLIHE RFVRQEESPFAPYLALLPPLLEDGAVPLMYSAKQLAALKSVDLHEAVVAYQLQVEKSY QAIHRVVLSRFPEIFPASVFTWERYRWARYILDTRSIWWQGERHLVPMLDMVNCQEGP ANQPPARVHRTALSADGRVAVTRAAWAFPSNSQVVENYGQPNWIYFLYHGFVLSKNSH DCAHIVLDMESPMQKIQASDLYERYVAKVRHVGLTSLRPDFCLSTHAIPKQALQAAAL YTELHALSDVTYIMDGSSDVDGDAKTREVKALRLVLQKRLDALGGVEMPSSTEGFNGD VLRVYMDQQHLLLTRLIDTLDTKLLEPLM H257_14944 MVNMGIKRRKAGTLEAGGLSWRWVASPVLVGVLSLLWLHVFAPP PPTTEMQLRAFEAWIRQHGGRIGNVQLAAFPGMGIGVQTTSDITEKDEVLYLPRDLVI CRDTVTKQLPREFLRTGPHADDDLLATFLLLERLKGPASKWAPYLAVLPSVIPSPMSF TKHQVAALHDEALIQLIGDAKRSTTAAFKALLRKLSAVLKKRKASLSLDDYIWATSVL GSRALTIQGVRYLVPFADMFNGQSHPSARLANNGARFLEFHQLSNHGDVRILADRPCA KFDQLVEDYGDNDNYIYFMHHGFTMSQNPFDCVRLPLPSPSSSKANVLASYGVPSSKH ICVQPTGDTLDIVGWAILQALVLTDGDAQTCATTHTCLEFESPGKVMTHADAAAWVLA ATTAALQAFATTADEDEHVLSTNATTMSPNMQLVITFRRQRKLILQQLVVTLTAATAS SRPASEANVVADAAAVSPEPANSVDDKIRRFHQWFNSLTTTNKLHVRYMGPAMGYGTF ATEDIAADDVYVGVPTASILDSTSATRHPHLATVFRTLSQTSGHRDRMHELVLHLIHE RFVRQEESPFAPYLALLPPLLEDGAVPLMYSAKQLAALKSVDLHEAVVAYQLQVEKSY QAIHRVVLSRFPEIFPASVFTWERYRWARYILDTRSIWWQGERHLVPMLDMVNCQEGP ANQPPARVHRTALSADGRVAVTRAAWAFPSNSQVVENYGQPNWIYFLYHGFVLSKNSH DCAHIVLDMESPMQKIQASDLYEVW H257_14945 MMQMEERKALPHGMSASSDTQHHHASAPNTVLAGSQMTPNVENN PIDGAASTSQEHGKQINPSSKGDVAYYQSGNMQGYPPQQNGIIGTRRQATCPACMSHT IPSNVVLEPCQHQFHYQCIDVYTQNAKICPVCRVPIQRHVPLGRPTPSSMQQQQQQHQ QPEYPSQPPSGYPMSSANQQQPPPHMMHNNNNVAMQTSQGGGPSSSAVGHFASASVYE LPPEVFLDNSYEQSGTSSIQASKMRKGKWTSEEAAYCDRLIEEFKHGNLPLAEGTTLR TFLSKLLNCDPMRISKKYTGNQCIGKIIFRRKSKEPTKDEIEMTRKQLAELERIYLDR ERMNQQRREKRLESEVNRDRSRLLSARNLVYAPPPPQQTTASAAMLHHHHPNYPNYHH PPPTTYQQHSSFQQPPPGYAAAHPSLEPPPGASAPKPPTAPPAAPTSSKDVENSHSNI KTEVVASTPHNGTMKREHSLAMMHDKDALPRISSVDSFSSMFPRIASLDCFQSLSSHR PPPHHPPPSQHHHSLYRSPSLECLNTIPRVGSLEQFSNFIANMPPEPTSKAAVLSGTT GTTTSTTTTSSSSPPKPAKPPTAAAFPRIASLDRLHLPRAPSMDRLPRINSTDGFLPH VPSFGSFSAFGSFSNLGVLTTKDKAGTTSSTTGHSSIEDILSLVAASGDGPADKKRKA ASTSYASLADHDLHVDKKPSYHRM H257_14946 MDARFDDAAQPPSEPLRTSLRSSCTQPPSPSMLRREPTRLDVKE DLEEEIDEYKRRSMSQPHHLQHRRAAVSTSSSSVPGTFQDGSDTNPRASSQLRPTSSF LRD H257_14946 MDARFDDAAQPPSEPLRTSLRSSCTQPPSPSMLRREPTRLDVKE DLEEEIDEYKRRSMSQPHHLQHRRAAVSTSSSSVPGTFQDGSDTNPRASSQLRPTSSF LRD H257_14946 MDARFDDAAQPPSEPLRTSLRSSCTQPPSPSMLRREPTRLDVKE DLEEEIDEYKRRSMSQPHHLQHRRAAVSTSSSSVPGTFQDGSDTNPRASSQLRPTSSF LRD H257_14947 MELVAPLLLPATRQHAQHQDDGDKKYHLQRDGEQHKNTWVDSMF IVVANVVGIGVLGLAHAFAKLGWIWGFVLLVSTLAGSLYSGILMTRMKCRVPHAAVFA DLGYEAFGNLGKAFITLFAYTYITGVCFSFQLTASLFLQEMTNGLCFVYCALMVTALV LPLAQYRNFAEMNSIAVVGAVSIIVPILLILLEIALKGNVQPTTTSWVTNASFDAAVV ACMDVVFAMAGHVFFVEIMSEMRDPREFSKSIVAATSFFTVVYVLMAVVGYYYVGAVV MSPITSNLSSINMRRWCSMFILCHVVVAYVMAVMVLARAIEQRLFHRPPEQSKHASVE NRVAWLGITAAVVFTSFFVCNVVPFVNDLLGFVGALSGVTTTYVFPFLLAPVILRDDM TRGHARLLQGIAVASTLVAVVGVISSVHRMANSYQTRPPFSC H257_14947 MTNGLCFVYCALMVTALVLPLAQYRNFAEMNSIAVVGAVSIIVP ILLILLEIALKGNVQPTTTSWVTNASFDAAVVACMDVVFAMAGHVFFVEIMSEMRDPR EFSKSIVAATSFFTVVYVLMAVVGYYYVGAVVMSPITSNLSSINMRRWCSMFILCHVV VAYVMAVMVLARAIEQRLFHRPPEQSKHASVENRVAWLGITAAVVFTSFFVCNVVPFV NDLLGFVGALSGVTTTYVFPFLLAPVILRDDMTRGHARLLQGIAVASTLVAVVGVISS VHRMANSYQTRPPFSC H257_14947 MELVAPLLLPATRQHAQHQDDGDKKYHLQRDGEQHKNTWVDSMF IVVANVVGIGVLGLAHAFAKLGWIWGFVLLVSTLAGSLYSGILMTRMKCRVPHAAVFA DLGYEAFGNLGKAFITLFAYTYITGVCFSFQLTASLFLQEMTNGLCFVYCALMVTALV LPLAQYRNFAEMNSIAVVGAVSIIVPILLILLEIALKGNVQPTTTSWVTNASFDAAVV ACMDVVFAMAGHVFFVEIMSEMRDPREFSKSIVAATSFFTVVYVLMAVVGYYYVGAVV MSPITSNLSSINMRRYVPH H257_14948 MRANTLPPASDGNASVQTSPPFYANETSPPFYANELSPACGPST GGTKFIIHGSGFNFSGNSQQQSTATLASYWAHPTDLDDAKVRFMHGDKILASVAATIQ NSSKIECTTVPYTRPLLHTTCESWGSVSLWISVRGSPYAQCKTPFVYYHQPFIFHLSP RAVSVAAVANNDADVSLRLTVAATPQHTQTHAQRERLALDMQRHVMHFRIVPQSPSVA SSSTIVAVRGTILPDDGAVDGVRASIHVPSQLAVGTYAFQIAFNNTDFPPLPSDPIRA NHADDDDMWTSWTSHGQFMVFSPPTLSAVSPTACFYAGGQHVTLYGQHLAPPGVTSSP RHHATAKAIVRFTHVVSSSTTHATWTGGGGPYFVHASFTTDDPTTLVVKSPRFNDAGQ YEVAVSVNGGVHFSALSSSLLLVYWKPTCEYVTPAYGVSMGGTDLHLRISFGQLRHEG DRPRVALEDSTFDDPNGLIRVRFMSDLLPTVRGHVSSCRKFFHCTTPKNAMVDQFRHS QLEAMGLQVSVDGGVVFFDLTPKTPFSYYAPPKLRMYTPVQGPATGGTTVHLHVGVPI PDIFTCHLRFRGAKLLHQDIHDVPMTKSADGLILTCISPKWHLEPGEAYAMALVELTL NGVDYVSDTTEFEPCDHPLFAGLGHQFCYYAPPRLTDVSAKFLSCRGHDQVVIRGAGL LECGGPIRVAFSNGTTTKYVDASRVDGDRVECRAPPFAPGPCDVAVSLNGQQFTGDWT VGSHLKLASRSPTQVQFVEEPVFTMLAPAKGPKAGGTELRIFGEHFVDTGQIRVRFAT STFEVVVPGVVTHGVLQCVTPKCPVDGEETVVVVAALDWAIADGAMYIVSHKSKLKPV FVYE H257_14948 MRANTLPPASDGNASVQTSPPFYANETSPPFYANELSPACGPST GGTKFIIHGSGFNFSGNSQQQSTATLASYWAHPTDLDDAKVRFMHGDKILASVAATIQ NSSKIECTTVPYTRPLLHTTCESWGSVSLWISVRGSPYAQCKTPFVYYHQPFIFHLSP RAVSVAAVANNDADVSLRLTVAATPQHTQTHAQRERLALDMQRHVMHFRIVPQSPSVA SSSTIVAVRGTILPDDGAVDGVRASIHVPSQLAVGTYAFQIAFNNTDFPPLPSDPIRA NHADDDDMWTSWTSHGQFMVFSPPTLSAVSPTACFYAGGQHVTLYGQHLAPPGVTSSP RHHATAKAIVRFTHVVSSSTTHATWTGGGGPYFVHASFTTDDPTTLVVKSPRFNDAGQ YEVAVSVNGGVHFSALSSSLLLVYWKPTCEYVTPAYGVSMGGTDLHLRISFGQLRHEG DRPRVALEDSTFDDPNGLIRVRFMSDLLPTVRGHVSSCRKFFHCTTPKNAMVDQFRHS QLEAMGLQVSVDGGVVFFDLTPKTPFSYYAPPKLRMYTPVQGPATGGTTVHLHVGVPI PDIFTCHLRFRGAKLLHQDIHDVPMTKSADGLILTCISPKWHLEPGEAYAMALVELTL NGVDYVSDTTEFEPCDHPLFAGLGHQFCYYAPPRLTDVSAKFLSCRGHDQVVIRGAGL LECGGPIRVAFSNGTTTKYVDASRVDGDRVECRAPPFAPGPCDVAVSLNGQQFTGDWT VGSHLKLASRSPTQVQFVEEPVFTMLAPAKGPKAGGTELRIFGEHFVDTGQIRVRFAT STFEVVVPGVVTQYVASRGVDVAVQVLDKLSWRVKCVCISCDCKMIFCFFGYVRSGVL QCVTPKCPVDGEETVVVVAALDWAIADGAMYIVSHKSKLKPVFVYE H257_14948 MRANTLPPASDGNASVQTSPPFYANETSPPFYANELSPACGPST GGTKFIIHGSGFNFSGNSQQQSTATLASYWAHPTDLDDAKVRFMHGDKILASVAATIQ NSSKIECTTVPYTRPLLHTTCESWGSVSLWISVRGSPYAQCKTPFVYYHQPFIFHLSP RAVSVAAVANNDADVSLRLTVAATPQHTQTHAQRERLALDMQRHVMHFRIVPQSPSVA SSSTIVAVRGTILPDDGAVDGVRASIHVPSQLAVGTYAFQIAFNNTDFPPLPSDPIRA NHADDDDMWTSWTSHGQFMVFSPPTLSAVSPTACFYAGGQHVTLYGQHLAPPGVTSSP RHHATAKAIVRFTHVVSSSTTHATWTGGGGPYFVHASFTTDDPTTLVVKSPRFNDAGQ YEVAVSVNGGVHFSALSSSLLLVYWKPTCEYVTPAYGVSMGGTDLHLRISFGQLRHEG DRPRVALEDSTFDDPNGLIRVRFMSDLLPTVRGHVSSCRKFFHCTTPKNAMVDQFRHS QLEAMGLQVSVDGGVVFFDLTPKTPFSYYAPPKLRMYTPVQGPATGGTTVHLHVGVPI PDIFTCHLRFRGAKLLHQDIHDVPMTKSADGLILTCISPKWHLEPGEAYAMALVELTL NGVDYVSDTTEFEPCDHPLFAGLGHQFCYYAPPRLTDVSAKFLSCRGHDQVVIRGAGL LECGGPIRVAFSNGTTTKYVDASRVDGDRVECRAPPFAPGPCDVAVSLNGQQFTGDWT VGSHLKLASSTHSHGCTNFVTGVVEDAY H257_14949 MRRIPRIATTLMCILALLIHVTDAVPGKDCAKTQCTNKANWGGF IKNSNGATSCRCSDGPTCDCFQGAPKFFLCLSYAGEVLVGNPADAENCPVGQVDCRTM TTTISVDLSHLTHFSIGNCNATTPTAAPVATTSAPSVTATPGENPSPASPSSNGGNGG SGSNSSNSGGGGSIATTINPASIPIDTTLVPWQIGLAIASGVLMLVVVVCVLASWRKA CSASQAADKHANDDARFYRDNYRSQTFQQSQPPQSVASHRRSSLAQSMLDHAPSNQQP PRAPPPVASF H257_14949 MRRIPRIATTLMCILALLIHVTDAVPGKDCAKTQCTNKANWGGF IKNSNGATSCRCSDGPTCDCFQGAPKFFLCLSYAGEVLVGNPADAENCPVGQVDCRNC NATTPTAAPVATTSAPSVTATPGENPSPASPSSSTSYSTIFSNVILHADGGNGGSGSN SSNSGGGGSIATTINPASIPIDTTLVPWQIGLAIASGVLMLVVVVCVLASWRKACSAS QAADKHANDDARFYRDNYRSQTFQQSQPPQSVASHRRSSLAQSMLDHAPSNQQPPRAP PPVASF H257_14949 MRRIPRIATTLMCILALLIHVTDAVPGKDCAKTQCTNKANWGGF IKNSNGATSCRCSDGPTCDCFQGAPKFFLCLSYAGEVLVGNPADAENCPVGQVDCRNC NATTPTAAPVATTSAPSVTATPGENPSPASPSSNGGNGGSGSNSSNSGGGGSIATTIN PASIPIDTTLVPWQIGLAIASGVLMLVVVVCVLASWRKACSASQAADKHANDDARFYR DNYRSQTFQQSQPPQSVASHRRSSLAQSMLDHAPSNQQPPRAPPPVASF H257_14950 MLLSRRCTIFAAKKRACFSTTSSNNTKLPTPLDAPTSGLGLGTA VLFGGLFAAGYFVGTSIGVLPNYQSIKRQFGLGNDVELNVRSKVFFDVSIDGRDAGKV VMGLYDDVQPKTVANFVALCTGEASLPNQPLHYKNSPFHRIIPNFMIQGGDFTNGDGT GGMSIYGARFADEDLSVPHGGAGTLSMANAGPNTNGSQFFICTANTEWLDGKHVVFGK VIQGMDVVEKVSSYGASPHGRPSADIRIKNCGRYTDEEEAEAVETSSAATSVEEMQER LDTLREVEAQFKDKKDSVDATLYSQVLGDIVAEKRRLKLELKKAKKESTT H257_14951 MARKTVFVEMAVRDMRGTKRLTVVKSSEPAKLTFASTDGIDALD VIEQYHIMKLNSTFMSFITRGQLLARVQDGGRKHYRPVLDLQDVKDNATLIYHPCAEM QAQLEKNNCLTPAVVKPPARDMSHTPTKTLKRKDRTLSEDRLRAFMQLNSSSGSYGFD PAESSRGSADDLSDDDILDSHGQCIKPKLAKSSSKVRDVHKPPMSSSHQALPPVDMLS RDFAQLEMPVDSSDEETFPSTEMNTPDIFMSYHPRLHTAAPTPTTINDDVFLTSTSSR PSIGSPSIFDSSRSTSPSCLHSGPRKSRPSLESTARTSRPSSDFSMSDMTLSSFDETM SSYYSSSPSSSFSYRDSLQNGGINVRSDFDASYCLGRMLGKGTYSTVYEATSTCISSD KVAVKVIAKVDVHEPRFLFREIDIMSSIHHAGIIHLFDVFETADHLYLVLELAGRELF AYVDDHGPLKEGSARGLIGNLLRTVSYLHERGIVHRDIKPENILLARGGDDPSNVKLS DFGIARRLYGSTTPHTIQEDTTLLNTTPRERFVRAHTQCGTRDYIAPEVMSGKGYGLE ADMWSIGVVLYVVLSGFAPTFTADHDLVFTEPCWDAVSAGAKDLMCRLLVRNPETRMT AAEALQHPWLTSC H257_14952 MAQQGATLQNYNNELVKCIEDLREKREEVNRSILKEEEEKAKIQ KDLTVLTDRLSLINETLARKSQARNEYDRTIQETEAAYMKILESSQTLLHVLKRETVQ LTKKKQTSA H257_14953 MAQQGASMQNYNNELVKCIEDLREKREEVNRNILKEEEEKAKIQ KDLTVLTDRLSKINETLARKTQARNEYDRTIQETEAAYMKILESSQTLLHVLKRETVQ LTKKKQTST H257_14954 MVIAKAVDGGGGGSDRLCTNVALMRELLIKEDDASIVVKPPHKV VPPYDNDDQQEESQRRSISKRVKRAMLAFAHASSSARRQATSHSSRSPSLSDVASTDT TSDALMEVFSSHDPRNRGKLAWDVFIEVLDRAFHLNLSAAERRLFRIHLHVPDGGTVS YMKFIAHVFDHHAKPTSPSPSSSSTQHYHHLLQENDHGPRVPLSPHLPLLHSTSAASL DLNDIARTRRRQQSDLMCTKQFLQQIVKQACVEATLWHVNIPQVLRRAMHSQPLDIDD DVVLHNDTSSQISLDQAQFFVAMYVSFNVHAARDADACRIFHHLQKPDAIGTPPPSVT LSDLLDALVRFGLLKQRTSTPTTTSTTPTSTSTTQPPPLTLSTLPSLVGGFG H257_14954 MVIAKAVDGGGGGSDRLCTNVALMRELLIKEDDASIVVKPPHKV VPPYDNDDQQEESQRRSISKRVKRAMLAFAHASSSARRQATSHSSRSPSLSDVASTDT TSDALMEVFSSHDPRNRERRLFRIHLHVPDGGTVSYMKFIAHVFDHHAKPTSPSPSSS STQHYHHLLQENDHGPRVPLSPHLPLLHSTSAASLDLNDIARTRRRQQSDLMCTKQFL QQIVKQACVEATLWHVNIPQVLRRAMHSQPLDIDDDVVLHNDTSSQISLDQAQFFVAM YVSFNVHAARDADACRIFHHLQKPDAIGTPPPSVTLSDLLDALVRFGLLKQRTSTPTT TSTTPTSTSTTQPPPLTLSTLPSLVGGFG H257_14954 MVIAKAVDGGGGGSDRLCTNVALMRELLIKEDDASIVVKPPHKV VPPYDNDDQQEESQRRSISKRVKRAMLAFAHASSSARRQATSHSSRSPSLSDVASTDT TSDALMEVFSSHDPRNRERRLFRIHLHVPDGGTVSYMKFIAHVFDHHAKPTSPSPSSS STQHYHHLLQENDHGPRVPLSPHLPLLHSTSAASLDLNDIARTRRRQQSDLMCTKQFL QQIVKQACVEATLWHVNIPQVLRRAMHSQPLDIDDDVVLHNDTSSQISLDQAQFFVAM YVSFNVHAARDADACRIFHHLQKPDAIGTPPPSVTLSDLLDALVRFGLLKQRTSTPTT TSTTPTSTSTTQPPPLTLSTLPSLVGGFG H257_14955 MTGNPSPLEQLNAACRGFRDTLPRLIRSMDDNGTTTSKDVVKAL CLLKDVKQSTRETFLDAEVYRRRVAEQKDLVEAHHLKLQNLLYEKDNLLREIKRCRGF PTKELDKIEFKDGVLPVLVDDDRHKRHLQRLDDELSDRKALLQHQQHLKTQISSVEDA TQSKHALLDALPAHLAAIEEASRPLQALLSVPISDSRDRHQAAKALPTPLYLLFCELD AYLSIHAGSGAVGIADSKAGPLSKLKLKSTRGKEGPDAATPDDADSATPPPPKRPKTS TTDHDAFIPSPQSVVLQLGLPDKPTSTTKVVFQYLPRLGVVVVDSPRFPTLLRRLFAT DSGLQTPPGVSYAFKTADGAEVPMEFPADVKARPYVWAQWISGLTSVGHRLEPSVRHV MARLRQRYAAQHALYVHLDALKDKRVQVHPSIAHVSVQTKLTSFAKLSKRDDVTMAVF PEPADGATYFRAAFAPPGDLGRVVALVQISPDYPTVRPRFVLQHDLGSTVVPTTNQLK DMAAEVNEFASDLIPVGDCASYDVLIHQVRTLQLCLDEVHAASKPTEHVVGARRRSTL SVAKSTDE H257_14955 MTGNPSPLEQLNAACRGFRDTLPRLIRSMDDNGTTTSKDVVKAL CLLKDVKQSTRETFLDAEVYRRRVAEQKDLVEAHHLKLQNLLYEKDNLLREIKRCRGF PTKELDKIEFKDGVLPVLVDDDRHKRHLQRLDDELSDRKALLQHQQHLKTQISSVEDA TQSKHALLDALPAHLAAIEEASRPLQALLSVPISDSRDRHQAAKALPTPLYLLFCELD AYLSIHAGSGAVGIADSKAGPLSKLKLKSTRGKEGPDAATPDDADSATPPPPKRPKTS TTDHDAFIPSPQSVVLQLGLPDKPTSTTKVVFQYLPRLGVVVVDSPRFPTLLRRLFAT DSGLQTPPGVSYAFKTADGAEVPMEFPADVKARPYVWAQWISGLTSVGHRLEPSVRHV MARLRQRYAAQHALYVHLDALKDKRVQVHPSIAHVSVQTKLTSFAKLSKRDDVTMAVF PEPADGATYFRAAFAPPGDLGRVVALVQISPDYPTVRPRFVLQHDLGSTVVPTTNQLK DMAAEVNEFASDLIPVGDCASYDVLIHQVRTLQVLTCPSPMI H257_14955 MTGNPSPLEQLNAACRGFRDTLPRLIRSMDDNGTTTSKDVVKAL CLLKDVKQSTRETFLDAEVYRRRVAEQKDLVEAHHLKLQNLLYEKDNLLREIKRCRGF PTKELDKIEFKDGVLPVLVDDDRHKRHLQRLDDELSDRKALLQHQQHLKTQISSVEDA TQSKHALLDALPAHLAAIEEASRPLQALLSVPISDSRDRHQAAKALPTPLYLLFCELD AYLSIHAGSGAVGIADSKAGPLSKLKLKSTRGKEGPDAATPDDADSATPPPPKRPKTS TTDHDAFIPSPQSVVLQLGLPDKPTSTTKVVFQYLPRLGVVVVDSPRFPTLLRRLFAT DSGLQTPPGVSYAFKTADGAEVPMEFPADVKARPYVWAQWISGLTSVGHRLEPSVRHV MARVYTPTTPAIHCVMIDMPL H257_14956 MSTHPPSVVATVKLMASVSSIYMSLSPMGDMTRVRAKIPIDMLP ILCMFANSSLWTLYGVLVNNWFPLVATNAVGMALSGYYLVVIYTHAGTRRGAAAKKTL ATLGFVAIAVAYSIYGTRLQLHHVATHVGYTGVAVSSLTVASPLSSVGTVFKHKSAAS LPFKMITAGIACSLMWLSFGVLIDDMLVIAPNIVNLVLGLFQLSLCFLYPAAATKTDA ELELPTKPSSESTNK H257_14957 MESYSLCLAVLYHHVKSKHGRVSITDAETLMSKANAKVMSDAGG VRQLVERYGAHAPLPLKFVASRSCIEFKDTAAAMIALAKAVQAYPKTPSQGLLGNFRK QHPQYAFMWTNKALASCKPFIDLHGSSISGSFLWDGDVQVNNVLPQILRWVPNAPPAP SPSAASSNAIVYVNSLSHGKQVFELLSASTVLFIDLEGDLEPNGRISLLQVSGSHDPP TVYLVDTLTCPSILRDSQVKRVLSAALVVLHDARRDTAALRGQFQIVLSSIFDTQVAH QLLEGNHTLMAGLNLVLSLYANVTNTMKTQVVHREGLWEQRPLPPLLIEYAAQDVVHL PVAYRAMKARMTDAMYTACLDQSKARATTGATSSAAIRRTLLQVSTYLLQAGGYIHLS TSSALYAKFPALHVLKGRGTLSDFFHTYGPLVTPSIVIVNDKLMLQTMDTAAAMTHLA AFLRLNGGKVAAASLTDFYKAYPGVQAVLKPSKNSSPVAFIKRHGAKGTPPIVVQGAS TLVLKSASPTPSPRRRPIDSGGEPISPAQLIKTYGRRFAQDKWGVAVTHDATFANVLT VHVPAIQTFVLTNQSTEVVSLRRCFRVATPSSSSAAKSPFRLTNVGPMTLAPFGGSIS VACRFLSNIPGRFHGVVAFEFESATRTLFVIGRVFEGVDCVDAAMDAETRQLIQQGTS RRQKKRHPKQLHFAPPAYSHTASRNGDMAGFAVPLGRHALPASWSTSAMDTDDLTLTM SNHTRRFQDLLYREEQELLRQQREYDMEHATLHRKTPVLFELAVPGLAEGRPSLVAGD KVLIRHSSSSSSPTFQGTITQVRLDGIYIAMPAAFSRSSAGPLFNVRFVSSRTSTRLE HQGLHAVSADMHTAMLFPSTEQLMVGRQGYHKPSSPTSSSLAPSHTTDLCFSRPINPE QDLAIRDIHALVLSSRRRRATCSEEGDGVLLPYLLFGPPGTGKTVTIVEAIIQLLRSP LTKKSSSSMHILVCAPSNAAADNVVACLATQVSADNTMRRVMAFSRRVQDTPASVLPF TTITCDGESTSFVQPSLDELNTTSVLVTTIATGAKLFNWGVQRGFFDLIVFDEAAQAT EPAVVAVVGPLATRDTVVVLAGDPKQLGPVVKSTYGVRFGLGKSLMERLLPVYEEAAA AVGHHPPPPTTKHSSPWSTKLVRNYRSHPDILAVPNVQFYDGDLVPSAPTSVTHSLLT WPHLPNPSVPLVFHGVAGGEVQTNDSPSWFNPHELQIVLEYVEKLLAYQVLPADIGVI TPYAKQRQKLQETFRRRGVPSGVLVGSVEQFQGGERRVIVISTVRSAAGYFEDDSKFQ LGFVAHPKRFNVAVTRAQAMLIVVGNPAVLETSPDWKAFLGYCMARRVCRGVLPTCHD EEKDEWEHVGSDDDDEFVHEHDLLDLAVALQHDMERTAEAQRREREAQYAAWRADKEA RHVAERKRVEQMEIQDYARHAMALEAAEEIYRRQDIQRQAELHRADQEFRRQQRQDNH NNAECIIS H257_14958 MREVLFTHTMMLAESLATAVQVIASASSFYMVSSPYASIKEIHV TKSTGHVSVIPLLSMLLSSSMWLLYSILLRNFFPLIFTNVVSITCVVGYLVVYYHHAT TKGLLHRYFAVEGVWILSVYLYAACFSSPMATRLENVGIIAITCSAIMVVSPLSAIVE VLRTRSVAHLPRKLIVATWVSSGAWCLTGIIRDDAFITYPNAVNIALGSLQLALFFIF PTTSTAVAHLPQKIMHDDRVGLLSTSPATSKGGADTSLDAYVHATHDVK H257_14959 MPRSSHGYDEYKFREEFLPPDLVIVIADMESFINRINPEEQYSG DLGFLSTPCIPRSRLCMWIREYGVAGIARVYMHRPYLLNEDFVIYFAYTGRVDILQFL HDKGMYLSTFAMVAAAARGHLDVVMFLSDVSYWHELARVRASYQGHVDIVEYLETYEY MHNLSNEFTCVLQPI H257_14960 MNSPSITPGKAVPSNGVSIFIQRLVALLEAGPHDIVGWADDGQS FVIYQPTLFAANVLPVYYGHRKFPTFLRMLNFYGFHRCRSKHVEFSHLTFQRGNDAGQ MMIRRRFKQELDNDDREIVEDIEETILGITRHLERERRLDDMVLREYLDVLLRTPQPS EQALLPSPPISSSYHSPCSIPRPTVATTCATPAFKMTTAVGQFPSAIFEFPSS H257_14961 MVNPGGKGHCPDNMFPSVSRLNTRVRRSFSLDTGMHNCDMGMTP FVDVTAIGASFVRRAYDRDKLAGTNTSDSDGGRAMGCTDDDEVVEVDDASEDKEDECD NVGRREGRDAIVGVARGTC H257_14962 MPRASVQNIVVVGGGEQSALPPHEEVGDETFQELGARRRVRIGG GAALQDHFPKRLLETHRGGKAARILFDWNAVLDPLDVLDVRAGPWRTMDEHIDGQHVA LVVQSKSRHLVHVNEKAVVGAEDSDHPRRCRVARGMAAHAINDLLMQPFHLAVQNP H257_14963 MATASTITAPPSMATSSTLPSSTSLHMEIRIKTLNDHTFSLTVP RAISIRDLKTTLQGTTSVPPQRQRLIYRGKLLRDGDLLSAYNVEDGHMVHMVARPERA QQQQQQQPTSPPSSSLLLAPLHDTAELLQSRLARLDASTASSLATMRQRLGAAVDSGF SSMPPPSSQVHHDRLQFLRSRYGNPHDASPLASEPESEASTAPVLPSVEHIRQGLMTV QSMLSLPATSSRDDGLSPASAAPIRRRQFFIGQWLDVKDTVNQWLEGTVLDLNVHQVY VHYHGWPTRWDEWIDATSPRLAAFRTRTLHANTSRHLSPAPSLSNPQQVMPASADTPT TIRALLPQMRSVLHEMLPFVDALAAAVESEAASVDTGDSDDDMGSQAPQIRELVATVA PLFDRVGRLLADAAPAVQSLATAREPRDSAWNLTRGGSATSDIPTFRELITVPSPLTT APPPSMGRRSIDVHIHAILAPTSTASFGSNDADGMQRWRELSERLSRPRMTSSSPLPP PFFGGGGVAVETSPPLLEEVPSNVGSNDLVDRHSSFPEAAARTSSTSATFLDVIRRTM HHMSPSPMQLHDAGNMTESAVNMDEGGVEEDME H257_14963 MATASTITAPPSMATSSTLPSSTSLHMEIRIKTLNDHTFSLTVP RAISIRDLKTTLQGTTSVPPQRQRLIYRGKLLRDGDLLSAYNVEDGHMVHMVARPERA QQQQQQQPTSPPSSSLLLAPLHDTAELLQSRLARLDASTASSLATMRQRLGAAVDSGF SSMPPPSSQVHHDRLQFLRSRYGNPHDASPLASEPESEASTAPVLPSVEHIRQGLMTV QSMLSLPATSSRDDGLSPASAAPIRRRQFFIGQWLDVKDTVNQWLEGTVLDLNVHQVY VHYHGWPTRWDEWIDATSPRLAAFRTRTLHANTSRHLSPAPSLSNPQQVMPASADTPT TIRALLPQMRSVLHEMLPFVDALAAAVESEAASVDTGDSDDDMGSQAPQIRELVATVA PLFDRVGRLLADAAPAVQSLATAREPRDSAWNLTRGGSATSDIPTFRELIVRQNRYI H257_14964 MTDAMAAKARQDGKAAGSPSSRQYSTGKPSLVGLRQDSPISSPP RAFAIQGSVNQASGTHPISSGNVNGIVASPTKIAGTSPSRPPIIHFPIDIGPTPTTPL SKRRSRIRPRKSAAPEPPLLHPISVVQLSPSLSNALPSFGLLSLDDWLATYEHDAMQF ESLGAFAEIKLQEALRLCSKFPHPMNRFRAAVVCNLLERVAFALATSDTFKRYQWSIF ALRDELLRMILSDLADDDVVASKDTHTPRAISFFIQKMPYFIELRLENDKKDQDFNKH QAVLQKLIQSMFKSIGSVFQAWKMFAKTKREDRLSKKAAKITSTILAKRGQGRLAFLS WARYCLQTRVKKLRFKEVQVEREHMLRMGDLKKQLSQSNDAIAHLKAEVHVLRTAQNK ETGDQVILEEMQDDEGDDDIDSTTTRLNMSSSNSSSFRR H257_14965 MTDAAPYPPAVPASAQGGYEYDDQDVEDYAGHHGHAQQPQDAVK LFVGQVPRTMDEDELRPILENFGPLYDIVIIRDKISGAHRGCAFVTYCTRDAAEAAID TLHNQVTLPMSPNPLQVRPAEGQAGASQEHKLFIGMIPKHADEADIRGVFDVHGEIEE IYILRHPGTGQSKGCAFLKFKDRHAASAAIDTINGAITMDRGTAPLVVKFADSRRQRI QRARNQAATSAAAYWQMPPGAAIPFPQLQQIQQQYMQQMQAFGAQAVALGGLPTPPQP PLTTTNPAAFMYYNPYAMPPPGGGGYPPQSFPTVPIGGGFPLDSPASADGARASAQVE GPVGANLFIYHLPHDLTDADLATAFAPFGTVISAKVYMDKNTGESKGFGFVSYDSAQA ADAAIASMNGFQIGSKRLKVQHKRVHHRGLGDDYLDHMDSAGGSDELGLEHSVQALHL DNP H257_14965 MTDAAPYPPAVPASAQGGYEYDDQDVEDYAGHHGHAQQPQDAVK LFVGQVPRTMDEDELRPILENFGPLYDIVIIRDKISGAHRGCAFVTYCTRDAAEAAID TLHNQVTLPMSPNPLQVRPAEGQAGASQEHKLFIGMIPKHADEADIRGVFDVHGEIEE IYILRHPGTGQSKGCAFLKFKDRHAASAAIDTINGAITMDRGTAPLVVKFADSRRQRI QRARNQAATSAAAYWQMPPGAAIPFPQLQQIQQQYMQQMQAFGAQAVALGGLPTPPQP PLTTTNPAAFMYYNPYAMPPPGGGGYPPQSFPTVPIGGGFPLDSPASAGTCASDSNRL CVLIRF H257_14966 MAVDGVTVFKLVSLLSIWIVGFGGGILPFFIRQQNRKLMSRLNC VSGGVFLAGGFMHLLHAAMENPGLAKWSTVDEGIYAFPYAEMFCTIGFLGVLVVEQVA HSFQSAASSPTGSSSTSHHHPHEPHQSEDDHSIDSAPFLEQVEGATLPPKKRKKSHDD DDHLAFDKNASGAVAFVLFVALSFHSVLEGLGIGAQSTSAWGVFLAIILHKGLAAFAL GSGMLKSQVHKGKFIAYMFLFSMMSILGIVVGWCIAESESSEESAAAGICLALASGTF IYVAVMEIIPLEFGHGRSDPTKTLLLLFGYAVFGCLAKWS H257_14967 MGSTCVQDIIDGNESKDKDDSQDTEDEEIGLSEEEVAHFIEHGY VLLRNAFPVDTAAACRDFLWNRIEQDGVKQHDCATWPEKHWISESYDDKTGSPWTEVL TPRLKRAMDQVCGEDRWIPSDLTCGWWVLTFPGHAMLPWGPAGHWHVDGARYIHHIDS KESGLLPIFLFSDIAPGDGGTALAPGSHKHVAHILAAAKPHGLKGGQVSAAAKGWVNQ HKQSWVEVQGRAGDVMLTHPFLIHARSKNCGATVRFMCNPNVGLKEPMQLSHAGQDGE DKDGENSSTRKHSPVEAAIVQALSEPSTVSRNNKRKRAQYERNV H257_14968 MRGHQVFRALRAAAPVRFAGVKTSVPRAFAAAQQVAGYATIYDL KTPFEDTNRHRSDAELRIAQVPVVEVDSDVAVCDGGGGALGHPVEYIALNIVSDKPQT CKYCGLRYKQKHHHH H257_14969 MESPSAPEEDGKGSTSSSKHLQGVYDKKLATLQSKMPWYKNSSQ DMDIPGVTTAFHSNAASPETPPPSLEYPHGGKNTQHTAVVSLFPEHDEPRLQAVLTKP SSRHAITTPATSPVKQQSSPDRRSTPEIKWRGRVSWESDLLEPTSSAPKTPSRSPSKR GHPQPHHPSPLKSVLPSMLPASSPPEANDYPSHGGYISPSKRRLGGVSSAEKEDEIDA LLTKFKISTDARSGVRDGLMSKYTPQLAHAYVFTSPDPTYAETEVDDPSSDSSREVTS PSVPERRMRDVKKALASDGGHSSSDEEPDEDADVAQQMKEAYFGVAARGKFFAKYAGL HAKPHCFMAVPHAPLALTLRTPSQHSEASPPQLTSPSKSPRGSHEHSAVDEGGPITPN SRQTDTPVSSLFEYQPPPAVMTKHHPPTSPRRRTTGGDNDVKMSPRTMFLSACLSNTG ELPMALPILIRKHGTTAFDFSYQSLGDTFLVEFARALRDVPFVEAINVCDNRLTDRAL NQLLQALETKPNLTKLDISMNQVGPRSAKTLKAYIGSAMCTLTHLGVCKADIDDSECA AFMVAFEANKSVIQLKMSRNRIGEAENLNVVQPDFVTGGEAIGGMLNVNLTLTQLDVS WNLLRLASGVTLANSLKLNYNLYELNLAYNALGDAGAMAFGQSLCINKTLRLLDMSYN NIGTKGASVLASTVARSHTISTLVLDGNNIGQPGGKVLMYAMVHNRTPNGCTVSMKGC NLNERSQAKVFDPMEPGGDYMLDCADPYDNMIATELLRLATLKKGCFFAKLDAKPSRD APKKLTQSIKLVRKEATRPVPAKGAKTLLDLTFHDIDTNDAGVITLAELHGVLVELGF QPTMEQTEILFDKIDTDKSGTIDEAELSGGGLFHAVFRSIDANGSGTIDMDELRQAFI LLGATATEGDVEAAMNACDVDGSGTIEEDEFVELMKNQVIQRVHQHQAAQSAESLALR DESGGVWCVPSSGVLDITFVYERELMNDRESFVCYGLTEHGLKKLVQSVERGKETMQQ AELLNAAVHETEIRMTAAQAIVLMESCGDMHETKRVGAVAKVLPQLTSVKEAQNLVKR VLSMSERFSLRIRLGALYFPLLGLPTNHYALDLSKQIDRQALIKLAEVAQAEKQFSKS RSGRGDTSQHGNWENFRNEWLDGKATILTSHFFQTMPQKGKLEFDYVSTSRPTRGTKP MSDRRYQQLVAQIARDSRTELRLPDKSTAGTRRRRSVGDRWELVRNAVRFRKFKKWIR DVKMAFQVHSHTKEDIGFKLFQIEAATCDRWLSVDQAAEIVRCMPSVHNGKTETCRLL FPRLIDIEHFMEIFDALSFAEKQECARLLGWLNILNPQQPDRYYEFDLSVREEREAAK IFVKLAVTEPGENWIHESFGWVRGDPPIPGWELPKSWANDDVTAEDGPRRTGWLTFEY TSDPSRGCAAVPAVRQELLQRVLCGTRLYL H257_14969 MESPSAPEEDGKGSTSSSKHLQGVYDKKLATLQSKMPWYKNSSQ DMDIPGVTTAFHSNAASPETPPPSLEYPHGGKNTQHTAVVSLFPEHDEPRLQAVLTKP SSRHAITTPATSPVKQQSSPDRRSTPEIKWRGRVSWESDLLEPTSSAPKTPSRSPSKR GHPQPHHPSPLKSVLPSMLPASSPPEANDYPSHGGYISPSKRRLGGVSSAEKEDEIDA LLTKFKISTDARSGVRDGLMSKYTPQLAHAYVFTSPDPTYAETEVDDPSSDSSREVTS PSVPERRMRDVKKALASDGGHSSSDEEPDEDADVAQQMKEAYFGVAARGKFFAKYAGL HAKPHCFMAVPHAPLALTLRTPSQHSEASPPQLTSPSKSPRGSHEHSAVDEGGPITPN SRQTDTPVSSLFEYQPPPAVMTKHHPPTSPRRRTTGGDNDVKMSPRTMFLSACLSNTG ELPMALPILIRKHGTTAFDFSYQSLGDTFLVEFARALRDVPFVEAINVCDNRLTDRAL NQLLQALETKPNLTKLDISMNQVGPRSAKTLKAYIGSAMCTLTHLGVCKADIDDSECA AFMVAFEANKSVIQLKMSRNRIGEAENLNVVQPDFVTGGEAIGGMLNVNLTLTQLDVS WNLLRLASGVTLANSLKLNYNLYELNLAYNALGDAGAMAFGQSLCINKTLRLLDMSYN NIGTKGASVLASTVARSHTISTLVLDGNNIGQPGGKVLMYAMVHNRTPNGCTVSMKGC NLNERSQAKVFDPMEPGGDYMLDCADPYDNMIATELLRLATLKKGCFFAKLDAKPSRD APKKLTQSIKLVRKEATRPVPAKGAKTLLDLTFHDIDTNDAGVITLAELHGVLVELGF QPTMEQTEILFDKIDTDKSGTIDEAELSGGGLFHAVFRSIDANGSGTIDMDELRQAFI LLGATATEGDVEAAMNACDVDGSGTIEEDEFVELMKNQVIQRVHQHQAAQSAESLALR DESGGVWCVPSSGVLDITFVYERELMNDRESFVCYGLTEHGLKKLVQSVERGKETMQQ AELLNAAVHETEIRMTAAQAIVLMESCGDMHETKRVGAVAKVLPQLTSVKEAQNLVKR VLSMSERFSLRIRLGALYFPLLGLPTNHYALDLSKQIDRQALIKLAEVAQAEKQFSKS RSGRGDTSQHGNWENFRNEWLDGKATILTSHFFQTMPQKGKLEFDYVSTSRPTRGTKP MSDRRYQQLVAQIARDSRTELRLPDKSTAGTRRRRSVGDRWELVRNAVRFRKFKKWIR DVKMAFQVHSHTKEDIGFKLFQIEAATCDRWLSVDQAAEIVRCMPSVHNGKTETCRLL FPRLIDIEHFMEIFDALSFAEKQECARLLGWLNILNPQQPDRYYEFDLSVREEREAAK IFVKLAVTEPGENWIHESFGWVRGDPPIPGWELPKSWANVRISPLVTYPNLRIRR H257_14970 MVTNIRKTKTSTSGNVLQQLQQATIMNGKGGKKRKAAAMSVEDE IAAVNDILQSETTSRESWQVGKRLKTLIDAHPDMTKEQLGNTMCMWGTAISRIASEND DATLAEAAMDKFHQALTLLGQDEMGPYGMALYGSTSMIVATEKQDRSVLEAALAQFDA AVAMDTPEAFESPFQYAKALKEGSVLVQHLIDTAADTTVTDEDNKGIADSIAFQSPQA LQQKGLEICNHILQQHAAVLSDTPLQHATGDNDSGDETGDDEVEDEVTKEDMSEVVLL QAQLTALLATATPDAIYDLFKQAYELHRENVNALIEMNTFVCRVELTSSMVPWVVPRL EWMQQELLRVLDELEFDLDSCFEHVMRASTLAKPKPVNEVVPKVLDVLGRNLVAQLKA SSSSSNELHAHALKVLQCAHHLHDAFGCYSLAALHALPAFVNPTECHVWLDTCESYGV LDDEFQARDFITMKDQDWFGAFAAPNGTLHPVHAELVVAPSAPSPSSS H257_14970 MVTNIRKTKTSTSGNVLQQLQQATIMNGKGGKKRKAAAMSVEDE IAAVNDILQSETTSRESWQVGKRLKTLIDAHPDMTKEQLGNTMCMWGTAISRIASEND DATLAEAAMDKFHQALTLLGQDEMGPYGMALYGSTSMIVATEKQDRSVLEAALAQFDA AVAMDTPEAFESPFQYAKALKEGSVLVQHLIDTAADTTVTDEDNKGIADSIAFQSPQA LQQKGLEICNHILQQHAAVLSDTPLQHATGDNDSGDETGDDEVEDEVTKEDMSEVVLL QAQLTALLATATPDAIYDLFKQAYELHRENVNALIEMNTFVCRVELTSSMVPWVVPRL EWMQQELLRVLDELEFDLDSCFEV H257_14971 MALKSVALALGAAVVGWIGYLTFGRGQPVVLFSWHPITLAVAYL LATPSALLAMSDRRVEGNHAKRTSLVQWHAFMQAVSVVLASIGFTAIYVTKDNNSRPH FTTIHSWVGSAALALYYISFVLGALKTFGNTWNWQWKDAAHRIFGVVTFLASGTALSL GFYSGGWGRANLGTTGQAMASAFVGLLHVVVVVKVTGTWSAVPVKQQ H257_14972 MDVTTYLCIHLLYFIYRRGLDGMTFWRCGVSSVTFCNRKGYSGS PRRTTMSLTWKGSKHPPAYSSVVAGQEQPKRPIRTKPSLASVGCPRGIPASERPSIQS RESGNAENAAEIRDRRDDVEFRADDEFFIFEGTGTSHRALEAVNRSAIAKIREQSVLI ERLKHDKALLEEKLTLTQHDLRLQQQVQHSLVASKTLLENSQLPHLGVGHDGVVKASP AGGRSPARPSSQGRASPRKVVSATVQMSPAEQRELETLRLKVHALESEKKDRNADFAV QTKTFQRRIKKLQQELRDRDDIVMGKDAQINVLQIRSLHQVPLLQGIHPTPRMLTDEM WLSVPPLKTHIESSNVAKSNVGGAFDALVSKKFMDSIRKLPGHYHEAWLTELQETATK LSLLHTGLKAMCGVFHRLASCCDLYDMVRLISAEACALANAEEAFVFVVDPVQKQEFW SRICRADGETITARSNIPHISLDQQTAYNEYLAKQPHHVAHDVPIRLSNDHSNHHPQH NHTTTSSLESADSDERSPMHQGRLSQLLSQPAPGFASYVYHTKEVLNIPGCKLFGHPL YSGGHMNSDRFIKLKSSSTMLLPICDGDDREPLAVLQVCGKTHHFRGMGVTVTVENCL SFTQEDEYLLSGLANFCSGLLAKVMTFTEVERTRKSETVLLTLSRQIFTCLDFPKLSM LVMQSTKELLDADRCTLFVTKTIPNNEHVLCAWQSDINNGTVHHSWRNRGHEVVVHMG QGIAGACAETRRLINVPDAYEDKRFNRAWDDKTKYRTKSILAMPIISSKDSLLGVIQM INKSGGTAFKAKDEEHIAMVSQLIALAMENNNLFQKTQDVSKCIGTYIRHLPLNEALL NMNTHAEEIVGVQIACIYLNDERTNELYTYHRLRKTRVDIKPSSYKNSILEEALSLKE PLIVNNVSQYTHYNPAVDTLNGIAAQQVMFVPLFQDGKNGIDDRVFIGLLQLVNRKGT THDFDYDDSLVAIIANQVSGILMSIIERQTMHQLHEDTKLLLETCMSFYKELNHVGIM NAVYNATMSTFSIDKGQLWLWTSDRSSMWTSKLLPSDEIKFINPTLQRRLSVSSNDRI EVSCSEGLLKRVVSEGKVVSVKRWDYIGDGGNQDGIQRITSTDKNACFTDYSITACPV WDSFGIEVIGVVMLMYPRGRNLHRLELSKIPIFTRQITGALMVCRDVSQYIHRVQRMQ DLLEEYTSATRASATLFNMNLVTVGKPAPTTLSSPYGFSLAVTIDSSGALRSSTYPVN MFTRGFSLCSTHDILRLPVDAHGNRHVIQVTAASQPHYESNHFDKWMGRDVVAGDWEK IKFDLHNAFSRKETLTAYYSTTHAQSLQFVNTLETVISSAAQNSIAINGTLSSKQQPM AVAMPDASIRKEIALFLWQFCSADLKIHLDKVFALFSPYDPKATGCVDPADFEYVVKA WGVHLNHHEYDALYKCFALPVGPSLDMPYGDDGTDVPYSIDYRNVFETLAPHFIRHTH FQHEIFPTLHPTTHVITSVQLFFTPMSHDGVVVPTALMAADDDDNAVSH H257_14974 MDNSRKHGSRKQDKGLGSYTGVSKRGWSPVEIVEKPVPMNPLIR SATKTLKAHLVATKEDIEESMRVKYEQLLGQIDTEIDETNRQLESFYKVNHAIYEKST KRLKRMTDAVTDEMEHVRNIHENAIKDVQQMQEKAKVKAIRDFKQEAVKLQQTQG H257_14973 MAGMNGIQIAMTDTNYEEASECSVCLKGFHPFRHKHRCKSCGHA VCRSCASSQKKSSRMPKNESMRICDKCVHQEQEELAERTRRLQIENARVRQLAEMEAI QRQAIESQRVLKEEAARRKKAAYDALVLKHFGDERLSVKRLVQRRQSFDAIHPSQHFS QARKDWVLELVQRADDVQFAVANPSAITQVESISEECAICLDVLEAGNAIYTTACGHS FHWSCLKDIKQSSSTNSDHCPSCRSSLTEVQIKKKCDHPRVRATHKFCRDCGEPVTAA DIKHRPEEAGTTAPRSQPPTRSPASGGALSGATYRAGSQGALVQCPQCRIQMRVLPHM YNMRVACPQGHMFQVQVAPTSSGVSNNAPAYSNSNARGGYPGTSYHRG H257_14973 MAGMNGIQIAMTDTNYEEASECSVCLKGFHPFRHKHRCKSCGHA VCRSCASSQKKSSRMPKNESMRICDKCVHQEQEELAERTRRLQIENARVRQLAEMEAI QRQAIESQRVLKEEAARRKVRALSPHVLPTTDDPTTHRGVQKAAYDALVLKHFGDERL SVKRLVQRRQSFDAIHPSQHFSQARKDWVLELVQRADDVQFAVANPSAITQVESISEE CAICLDVLEAGNAIYTTACGHSFHWSCLKDIKQSSSTNSDHCPSCRSSLTEVQIKKKC DHPRVRATHKFCRDCGEPVTAADIKHRPEEAGTTAPRSQPPTRSPASGGALSGATYRA GSQGALVQCPQCRIQMRVLPHMYNMRVACPQGHMFQVQVAPTSSGVSNNAPAYSNSNA RGGYPGTSYHRG H257_14975 MTDASEYMVCSTGLSMEEIIVSKSIVSQLGMRWDDDLHDGVTHL LAVVVGSDKYKAAKAAKMKVVKLDWLKECSRAAKVVPADQYELGPLEGLCICTTGLYV EDREKVQELCEAAGGIYHPDLNFGSTTHLLAEQPEGAKYNTAIAYGIPVVTLDWIVAC IEAQEYKDEDAYRLLEDHLHPSETSFHGDDGIDDVGATCLKLNDQLQQCLTMLDGEPA GCFLDGCVFWLTGFPPEIMLKLKTLIRFGMGTRYDNYNTTVTHVIADYMGSCSQLYDA HGNIEVVAAGWLIHSCLAASCLPEAQYPPPHMQTDYTAIHTTTVAPPALHSHKPRRHT NVNDINNKPTPTSLADKLQLAAHQNSSSVDPAKSTSERHPKLLFSGHMFLLVFTDALG STGTNHLALKTHIKGVGGRYRELNTTDPRILQPTDVLRITHIVLGHGCDLPDGMLPSL VASMPRAKLVTELWLHCCLQDGCIYPRRKHPLFVCQPDTRASMFPVLPLACFASMRAC ISMFVDVERAVMACLLRLTGAALTTKLSKRNTHLVCRTPQGPKYTKAVEWNVRIVDAN WLIASVSASAFAAYPTDAKKRKLDAIEGGHVEVAHERPQQPMDPLRQDDADVGGAQTQ LENLLGDMGGDAESCSLDTRYHVVHSVDAPSDKATTAASRLFFEDSQAPAPNSEMVQY QDD H257_14976 MMETNATRAQVELRDVASRCIERSYDSIRSLTATLPSVASVTDR RTQTLAQLVDARMVFEKLLAATRWSVQTPIAEQCTEVHRHMKHFQGQLDETTDRLHHM HAALNEAKVPHYDLHAAIDVLYGGSYSRLPRVIHNATMLPPRRGPRPTSDDDDDNHAR NLCRVQEINDTIRFRLIEEDIPDLFTDIRVADGCATTFVAGQFHVVFTVNGREPDSLW RVVSTRTILSDDIRRPTAFKVSTANLRVIAANAPTPEHNDHLKHLVQKVVTASASPFV AACAVLQDFCSRLALQILLAQGHALVIARWPAVDFRPVADSSSNAALDIVYWNHLAPS GGKLAVPPSSSSSSPFNAACTHDATAATLPVSTDGLCIRLHAHNNNNNAALFSISLHP SPPPELARLYPSLLEPLVDLPTDLMQVSAETLLMAAMAVHAASVLFCLERTLVLGATA PLLHLMTGEAVTVARSPRSFRISRVDSTGQSPLEVSLDVRTGRFVVLCLVAARSRLVH GATSQLETVLNTQCKVHAAIPVSSSTSSTSRLTLVWEDEPAVHSALAFALREIVAFEL SCFAASCAHVEVQRHVQINWDKYINFRQQTGNSIDALSLSPHALFFQIVRNKESSGYL VVEFDRLADLDTADVVASATEHEYVRSPSFSLLQLQTSSIPCAVQFFQRFPAFRKDAI PPSTARSYLSKKRKHTTDDDVTLPFLSALFLHVISLCHERIQLQHYVNFARRRRVKIK YAGQGGTALAGVTTGEQIVSFPFPERFTVAPLAIKAVHGHLRKCGGFEMCVQLTAVPF DFVAPTTSNTSSTTHHSATAAGHLIFRYPPVQKFQPDNPLETFMADLITHVKPMAEFG VKLQRTLSAVGRYTSSAADIRDHFYVERADPFGFVLACRTSNPKRCVAAGSSVDNLVT YRVTIEYNPKSKFVLSSSHKAEHPLLNFIQTAFNLHADSAQLLEALERTTIPLGILSS TVSSQLISAKCYRHHANAALEKPQHPTSGPGGGKKGGKGGKGMRLGYKVKLPGEEKGY YAEKSYGGDDKSFVPAELLLIPRSQNHIRLTYADRCGIDIYFLENEKVNVRSAPCGAR VPCCSADGGITVSHEGFGDRLRSVIFPEMASL H257_14977 MDVTRNSEFMPGLQRADIEELLSDANRWECAVCAFSNVAMKPTC SLCGGLKDSHFLEHALDEIDYALLTDRPRSRSYRDAFLTPLSSSSLSMRTSKMTLLNT FHRMSSFFFHKLVAPDDLNPRQRSARMRKEWVRKLDVKDRPYWKRRILDATRVVPAFV VQLMSSSDRLKALAVIHRRTSTVLGTLDEEDTIVVLEDKGLHHVDDDVAMLHDDGDDE DVKHIMYLPVEAVRATRSVLGEAVDPALWRHLHQLSRQPFSTKYTWYLHQASTLMQSY DMGYCRMKVARATAFTEAVENIAFLKQSALCSIIRVQFRGESGLDAGAIQREWYLLVA QGFLDDATGLFMVTNRDDNAYFINPNAAAAMHRQHMTTSRAKPPGVSHSQAFRAAGRF IGRALLDGQMLPLHFCPVLFKLLLGIPVTLDDIESLDKTIYSSLRFVLDHDNADDLCL TFSATERQDDTIVEVDLVAGGRDIAVTDANKHEYVDLMTRYLLFDRIDDSLRDMIQGL YEVVPPELLIPFDHKEFELILCGLSEIDVYDWKANTVTSSNLHATETLDWFWACVEAM SPADRAKLLQYSTGSSRVPVQGFKGLTSYDGKICYFTLKGITYTPGGYPCAHACYNRI DLPMYPRKDLMEDALTMLLLSDPTGFNIE H257_14978 MVVSEEWKYMSTLVPPQYPCVRRPGADTSTRMVLDGRLDKDEWE HAQWTDLFVDIEGPARKPNPSQRTRAKMMWDDNYLYVGADMEESRVWGTFTDKNTVMY HENDFEIFIDPDGSRHNYYEFEMNCLNTIWELRLRRPYKDGNSIDNPYNLSDIHSAVY IDGTPNDPSVTCSKWSVEVAIPLRELVQFDERRRRHVQAGDVWRMNFSRVQYDLLVQH GRYVKVPNQREYNFVWAPTGVIDIHRPEKWSFVAFLGATAVDKAMTWQALRAAVDQGL AVEMLLDQVYYDQRAFHDVHARYAATLAELHPTSTGTPSPPPEHPITLECATDLQSYV VVVAARGHVHRMTHDAKYTITSE H257_14979 MNPLLPPGPADLKELTSRCIDRSYDSLYNLTTSLPNTASEERRG VALKQLQDTHAVFKKLLVLTRWSVQSPLADKCAELLQEAQTFQDQANETNDRLYFLHR DLDRAKERQYDLTTAIDVLYGGTYTRLPRVINYAMHPREFPPVDEETSIAELDAVLRF RLIEETIPDKFTHIDVHEGFVTAGTSGEFEMVFTVDGTKPDSLWLVASVQTVLTDPVA QATFKHLASTSSLRIIQSNAPTDVHYMQLKILIQKRLNQSATPLLDACNIMSDFCCSL ALRILHAQGQLLVDTRWPHGVSFLHQDHHDTATLDIVYWTDATAPYVAASTPGTFSLE EMRNRMHPDALRYPAAGCCVRLQPNTTKDKATVGLFSLQLFPAPPSMLLQRYPALVPE ALAVPTNLYGLSADHFLLGAMDVHAASALFCLEQHLLVQTNTDQEEDDGAELRWLTTG ERVRVTRSSPRNLRIARVDSSGRAPLEVSWDMRTGRFGVFCLAQASSVAAAVAHLETL LHTTVKVQLPGVTVAGEFALVFASNDDVAAAVAAVLRLVVAFELEAFASGCANLPVVR VRDRHAAAVDAAGVASDAVFVELVRGKDATVYLVVELDTVADTTLDKARKRRRRCRRR DSDGLFSLGPPAFSILHVVDEPHRPSASSSSSVRCLERLPTPGYVGTKKRKRRDVPSL SALLLDMAAVGRQRAQWHLLDAFATKYNVLLTTNSTLSTTTDDGSVELASSTPSSSSL WTVSCPFPDRLHTAPHVDVHRLEARVFPDSSVEVTVHVGSSPFAYISPQGSLSGGRGG GRGSFVATALPTGDLVYRRRWTNQKFQLPLMDVVVANVMLHVKPMAELGRRLERTLLS SLNADGVGQIYAERADPFKFTLACRGWLPRCQSSSSQSLMICDDVIMRVHVEHKVMHQ YPRGAFVLTTAPSHALLPFLQDALNTHKSTAQLVEVLERTAVPLVMLSRALTAAYPAA VVPSNFVLVPRSQTHLRLTYADRCAVDVVFLADQKINVRSAPSSLVPVPSCAMAGGLL LDHASFYDTVRRSLVPEMAAL H257_14980 MRSFFTVVAAAFTAVVSASDVVTLTPDNFKSVVDGSKNVFVEFY APWCGHCKNLEPIWETLATSFKHVDDVVIAKVDADQHKDLSTEWEVNGFPTLKFWNKG AKYPDPYDGGRTEDELVAFVNKAAGTNVRAAKPFSHVVALTESDFASIAQDPTKHVLV EFYAPWCGHCKSLAPIWDKLGAVYAGDENVVIAKVDATATGDLANKFGVSGYPTIKYF GTGASDAEDYGFGRDLPAFVEFLNEKAGTHRTVDGGLLPTAGRVESLDVVINAAGEFT KDTLNNVEKVVEGLEGDALKHGNLYVKALKKVLAKGVTFVDKEIARLDHLAKDANVSP AKKTQFQVRKNILEALKKKQ H257_14981 MMATVPDAAAVQPMDALFVLQIIIDAIHLHRQCVSGELAWVRLG FQLLSFNVVVLDAEIPLTVADGNGDELTGQVRKGKSCMFRMHADALMDAMEASPLSLM VLETPTAAATGQLTRLLAFTCIDMPRRERFNHEAATMREWVNIQNSWTIYNHAGDAVG LVQGGVVLSHLGSSLAPHLQHALGAVHVADSSTDAVDMGSNQPTTVVHGIGVMTETIQ VEKVEAGVQCDAESCQVETVENPRIVEAAVDCDDVFIARRPPPLFFQREALSPKEARP IKHGGSMAHLMFTRE H257_14981 MMATVPDAAAVQPMDALFVLQIIIDAIHLHRQCVSGELAWVRLG FQLLSFNVVVLDAEIPLTVADGNGDELTGQVRKGKSCMFRMHADALMDAMEASPLSLM VLETPTAAATGQLTRLLAFTCIDMPRRERFNHEAATMREWVNIQNSWTIYNHAGDAVG LVQGGVVLSHLGSSLAPHLQHALGTTASIKYLDVTNCRGSSCGRFVD H257_14982 MPWWWRASPWVVKTRAKSTYVERQESGTGLSIRHPWKRVVAAML LLGLNSLVHLVNPVAMSRAPVSIPVYGTAFNFLCRPTLLDDTLGTVTIRVSLAVLTIV LGGIFSRAVVFPLLRRYLSMFSSGHAELGRVESIKMSGGLVASSHRTVHAFANGGRPY TIQVYIGSTSQGSWTVMLCLMPLILSVVATIFNLFVPATLHVTSVLPVRYVDLYPAVR AYVLIWNGLVLFCTWDVMLQDHIRCDTVFDNWMFTFRWHVWRHQWVRISCFWLVVATL VAYAAVGLPPVHAALTQRFLDHVSTDLYFNESWRAVFSGAVVMLDVVILMQDWDFPTA ASPSHVFIPGFASNVLSIRTHRFQLAFTCKWIVATLIMVMMPLDVWTFVQQLNYTPTE YGQLVVASTLQVVSMTNTTALDALACGPLCYRHPLPVDADVVATLGRFFSWPIEDKFP AVCLVAYVVFLCIRMVVNEDSRFVVSSQMQGVKQAMYRRQLDSATLPPHAVLDLVRLA DIKRYYWLRRHSDDICVGLSLFGLVMMLLQLRCIWQVQVMFATETYPSNMVSTPGQTY ALLIFISTLLLVFELVRRFRLTVDILKLRQKLAPTTTMWRHPRIRAQVAVELIVNMAI VPPFVTGMFVVNEYQMRASTCPPPLVREGADRCYLVLQYPYETLGMIMFLRLYWLVRL VRNHSGFYGQRVDFIGSLNNVSTDSPLWHFRAIFYHHPVFAFLTCTILTWVATAVGVS VMERPLPSPLDSEVTAMWMVIVTMATVGYGDFVPRTMAGRVITVVGGIVGGVIVISML TSLFMGSLQTTRGEDKVLHVVRYKRWQRRRLDASVNLIAAAWKLFKLRRRSSSKCPLD ATAHRRLYECMQHVRELRLGVVTEGEDTVGLVRQWHRESIEPILAQTNAQRNKTVDEL EHKIHQIRDLAHVIHEIRSSGRK H257_14982 MPWWWRASPWVVKTRAKSTYVERQESGTGLSIRHPWKRVVAAML LLGLNSLVHLVNPVAMSRAPVSIPVYGTAFNFLCRPTLLDDTLGTVTIRVSLAVLTIV LGGIFSRAVVFPLLRRYLSMFSSGHAELGRVESIKMSGGLVASSHRTVHAFANGGRPY TIQVYIGSTSQGSWTVMLCLMPLILSVVATIFNLFVPATLHVTSVLPVRYVDLYPAVR AYVLIWNGLVLFCTWDVMLQDHIRCDTVFDNWMFTFRWHVWRHQWVRISCFWLVVATL VAYAAVGLPPVHAALTQRFLDHVSTDLYFNESWRAVFSGAVVMLDVVILMQDWDFPTA ASPSHVFIPGFASNVLSIRTHRFQLAFTCKWIVATLIMVMMPLDVWTFVQQLNYTPTE YGQLVVASTLQVVSMTNTTALDALACGPLCYRHPLPVDADVVATLGRFFSWPIEDKFP AVCLVAYVVFLCIRMVVNEDSRFVVSSQMQGVKQAMYRRQLDSATLPPHAVLDLVRLA DIKRYYWLRRHSDDICVGLSLFGLVMMLLQLRCIWQVQVMFATETYPSNMVSTPGQTY ALLIFISTLLLVFELVRRFRLTVDILKLRQKLAPTTTMWRHPRIRAQVAVELIVNMAI VPPFVTGMFVVNEYQMRASTCPPPLVREGADRCYLVLQYPYETLGMIMFLRLYWLVRL VRNHSGFYGQRVDFIGSLNNVSTDSPLWHFRAIFYHHPVFAFLTCTILTWVATAVGVS VMERPLPSPLDSEVTAMWMVIVTMATVGYGDFVPRTMAGRVITVVGGIVGGVIVISML TSLFMGSLQTTRGEDKVLHVVRYKRWQRRRLDASVNLIAAAWKLFKLRRRSSSKCPLD ATAHRRLYECMQHVRELRLGVVTEGEDTVGLVRQWHRESIEPILAQTNAQRNKTVDEL EHKIHQIRDLAHVIHEIRSSGRK H257_14982 MPWWWRASPWVVKTRAKSTYVERQESGTGLSIRHPWKRVVAAML LLGLNSLVHLVNPVAMSRAPVSIPVYGTAFNFLCRPTLLDDTLGTVTIRVSLAVLTIV LGGIFSRAVVFPLLRRYLSMFSSGHAELGRVESIKMSGGLVASSHRTVHAFANGGRPY TIQVYIGSTSQGSWTVMLCLMPLILSVVATIFNLFVPATLHVTSVLPVRYVDLYPAVR AYVLIWNGLVLFCTWDVMLQDHIRCDTVFDNWMFTFRWHVWRHQWVRISCFWLVVATL VAYAAVGLPPVHAALTQRFLDHVSTDLYFNESWRAVFSGAVVMLDVVILMQDWDFPTA ASPSHVFIPGFASNVLSIRTHRFQLAFTCKWIVATLIMVMMPLDVWTFVQQLNYTPTE YGQLVVASTLQVVSMTNTTALDALACGPLCYRHPLPVDADVVATLGRFFSWPIEDKFP AVCLVAYVVFLCIRMVVNEDSRFVVSSQMQGVKQAMYRRQLDSATLPPHAVLDLVRLA DIKRYYWLRRHSDDICVGLSLFGLVMMLLQLRCIWQVQVMFATETYPSNMVSTPGQTY ALLIFISTLLLVFELVRRFRLTVDILKLRQKLAPTTTMWRHPRIRAQVAVELIVNMAI VPPFVTGMFVVNEYQMRASTCPPPLVREGADRCYLVLQYPYETLGMIMFLRLYWLVRL VRNHSGFYGQRVDFIGSLNNVSTDSPLWHFRAIFYHHPVFAFLTCTILTWVATAVGVS VMERPLPSPLDSEVTAMWMVIVTMATVGYGDFVPRTMAGRVITVVGGIVGGVIVISML TSLFMGSLQTTRGEDKVLHVVRYKRWQRRRLDASVNLIAAAWKLFKLRRRSSSKCPLD ATAHRRLYECMQHVRELRLGVVTEGEDTVGLVRQWHRESIEPILAQTNAQRNKTVDEL EHKVR H257_14982 MPWWWRASPWVVKTRAKSTYVERQESGTGLSIRHPWKRVVAAML LLGLNSLVHLVNPVAMSRAPVSIPVYGTAFNFLCRPTLLDDTLGTVTIRVSLAVLTIV LGGIFSRAVVFPLLRRYLSMFSSGHAELGRVESIKMSGGLVASSHRTVHAFANGGRPY TIQVYIGSTSQGSWTVMLCLMPLILSVVATIFNLFVPATLHVTSVLPVRYVDLYPAVR AYVLIWNGLVLFCTWDVMLQDHIRCDTVFDNWMFTFRWHVWRHQWVRISCFWLVVATL VAYAAVGLPPVHAALTQRFLDHVSTDLYFNESWRAVFSGAVVMLDVVILMQDWDFPTA ASPSHVFIPGFASNVLSIRTHRFQLAFTCKWIVATLIMVMMPLDVWTFVQQLNYTPTE YGQLVVASTLQVVSMTNTTALDALACGPLCYRHPLPVDADVVATLGRFFSWPIEDKFP AVCLVAYVVFLCIRMVVNEDSRFVVSSQMQGVKQAMYRRQLDSATLPPHAVLDLVRLA DIKRYYWLRRHSDDICVGLSLFGLVMMLLQLRCIWQVQVMFATETYPSNMVSTPGQTY ALLIFISTLLLVFELVRRFRLTVDILKLRQKLAPTTTMWRHPRIRAQVAVELIVNMAI VPPFVTGMFVVNEYQMRASTCPPPVHFSCFHRIEPCAL H257_14982 MPWWWRASPWVVKTRAKSTYVERQESGTGLSIRHPWKRVVAAML LLGLNSLVHLVNPVAMSRAPVSIPVYGTAFNFLCRPTLLDDTLGTVTIRVSLAVLTIV LGGIFSRAVVFPLLRRYLSMFSSGHAELGRVESIKMSGGLVASSHRTVHAFANGGRPY TIQVYIGSTSQGSWTVMLCLMPLILSVVATIFNLFVPATLHVTSVLPVRYVDLYPAVR AYVLIWNGLVLFCTWDVMLQDHIRCDTVFDNWMFTFRWHVWRHQWVRISCFWLVVATL VAYAAVGLPPVHAALTQRFLDHVSTDLYFNESWRAVFSGAVVMLDVVILMQDWDFPTA ASPSHVFIPGFASNVLSIRTHRFQLAFTCKWIVATLIMVMMPLDVWTFVQQLNYTPTE YGQLVVASTLQVVSMTNTTALDALACGPLCYRHPLPVDADVVATLGRFFSWPIEDKFP AVCLVAYVVFLCIRMVVNEDSRFVVSSQMQGVKQAMYRRQLDSATLPPHAVLDLVRLA DIKRYYWLRRHSDDICVGLSLFGLVMMLLQLRCIWQVQVMFATETYPSNMVSTPGQTY ALLIFISTLLLVFELVRRFRLTVDILKLRQKLAPTTTMWRHPRIRAQVAVELIVNMAI VPPFVTGMFVVNEYQMRASTCPPPVHFSCFHRIEPCAL H257_14982 MLQDHIRCDTVFDNWMFTFRWHVWRHQWVRISCFWLVVATLVAY AAVGLPPVHAALTQRFLDHVSTDLYFNESWRAVFSGAVVMLDVVILMQDWDFPTAASP SHVFIPGFASNVLSIRTHRFQLAFTCKWIVATLIMVMMPLDVWTFVQQLNYTPTEYGQ LVVASTLQVVSMTNTTALDALACGPLCYRHPLPVDADVVATLGRFFSWPIEDKFPAVC LVAYVVFLCIRMVVNEDSRFVVSSQMQGVKQAMYRRQLDSATLPPHAVLDLVRLADIK RYYWLRRHSDDICVGLSLFGLVMMLLQLRCIWQVQVMFATETYPSNMVSTPGQTYALL IFISTLLLVFELVRRFRLTVDILKLRQKLAPTTTMWRHPRIRAQVAVELIVNMAIVPP FVTGMFVVNEYQMRASTCPPPLVREGADRCYLVLQYPYETLGMIMFLRLYWLVRLVRN HSGFYGQRVDFIGSLNNVSTDSPLWHFRAIFYHHPVFAFLTCTILTWVATAVGVSVME RPLPSPLDSEVTAMWMVIVTMATVGYGDFVPRTMAGRVITVVGGIVGGVIVISMLTSL FMGSLQTTRGEDKVLHVVRYKRWQRRRLDASVNLIAAAWKLFKLRRRSSSKCPLDATA HRRLYECMQHVRELRLGVVTEGEDTVGLVRQWHRESIEPILAQTNAQRNKTVDELEHK IHQIRDLAHVIHEIRSSGRK H257_14982 MLQDHIRCDTVFDNWMFTFRWHVWRHQWVRISCFWLVVATLVAY AAVGLPPVHAALTQRFLDHVSTDLYFNESWRAVFSGAVVMLDVVILMQDWDFPTAASP SHVFIPGFASNVLSIRTHRFQLAFTCKWIVATLIMVMMPLDVWTFVQQLNYTPTEYGQ LVVASTLQVVSMTNTTALDALACGPLCYRHPLPVDADVVATLGRFFSWPIEDKFPAVC LVAYVVFLCIRMVVNEDSRFVVSSQMQGVKQAMYRRQLDSATLPPHAVLDLVRLADIK RYYWLRRHSDDICVGLSLFGLVMMLLQLRCIWQVQVMFATETYPSNMVSTPGQTYALL IFISTLLLVFELVRRFRLTVDILKLRQKLAPTTTMWRHPRIRAQVAVELIVNMAIVPP FVTGMFVVNEYQMRASTCPPPLVREGADRCYLVLQYPYETLGMIMFLRLYWLVRLVRN HSGFYGQRVDFIGSLNNVSTDSPLWHFRAIFYHHPVFAFLTCTILTWVATAVGVSVME RPLPSPLDSEVTAMWMVIVTMATVGYGDFVPRTMAGRVITVVGGIVGGVIVISMLTSL FMGSLQTTRGEDKVLHVVRYKRWQRRRLDASVNLIAAAWKLFKLRRRSSSKCPLDATA HRRLYECMQHVRELRLGVVTEGEDTVGLVRQWHRESIEPILAQTNAQRNKTVDELEHK IHQIRDLAHVIHEIRSSGRK H257_14982 MLQDHIRCDTVFDNWMFTFRWHVWRHQWVRISCFWLVVATLVAY AAVGLPPVHAALTQRFLDHVSTDLYFNESWRAVFSGAVVMLDVVILMQDWDFPTAASP SHVFIPGFASNVLSIRTHRFQLAFTCKWIVATLIMVMMPLDVWTFVQQLNYTPTEYGQ LVVASTLQVVSMTNTTALDALACGPLCYRHPLPVDADVVATLGRFFSWPIEDKFPAVC LVAYVVFLCIRMVVNEDSRFVVSSQMQGVKQAMYRRQLDSATLPPHAVLDLVRLADIK RYYWLRRHSDDICVGLSLFGLVMMLLQLRCIWQVQVMFATETYPSNMVSTPGQTYALL IFISTLLLVFELVRRFRLTVDILKLRQKLAPTTTMWRHPRIRAQVAVELIVNMAIVPP FVTGMFVVNEYQMRASTCPPPLVREGADRCYLVLQYPYETLGMIMFLRLYWLVRLVRN HSGFYGQRVDFIGSLNNVSTDSPLWHFRAIFYHHPVFAFLTCTILTWVATAVGVSVME RPLPSPLDSEVTAMWMVIVTMATVGYGDFVPRTMAGRVITVVGGIVGGVIVISMLTSL FMGSLQTTRGEDKVLHVVRYKRWQRRRLDASVNLIAAAWKLFKLRRRSSSKCPLDATA HRRLYECMQHVRELRLGVVTEGEDTVGLVRQWHRESIEPILAQTNAQRNKTVDELEHK VR H257_14983 MMADGAAPSGAKATKKKAKIKPHSIYITERVRYFKKDVPTVDTL AEKAKLEKKLLKARELGLDPNNTDAWKQEAQALTQLGQLEKWKGNEVEGDKYLTECAK LCRMHTFNNKG H257_14984 MADAPVDGTTPSCFSTLPLAPSHLVDLPSSSAQTLCLEPLRTFA CCAPATCMTPKATPSDTLAVSSIVSCGIRCFKQVISMAKAAVVRMRRPRLTTKTSSFG ILGLVPWGWSMRGPIRTGPSFTYASRRRLGSTTSTWCLGHCWANRALKPWPSSTDSPR PSRGGRWTSCALPTAGNSFQRNMNSSTSCDHLTLAPIDGLAWRMLSYCPLENTTQKLR TRQKYRYFNVSIQCQYCKGISTNVGTSVRIIQCRTFTFGTRIAGSAVPNDH H257_14984 MADAPVDGTTPSCFSTLPLAPSHLVDLPSSSAQTLCLEPLRTFA CCAPATCMTPKATPSDTLAVSSIVSCGIRCFKQVISMAKAAVVRMRRPRLTTKTSSFG ILGLVPWGWSMRGPIRTGPSFTYASRRRLGSTTSTWCLGHCWANRALKPWPSSTDSPR PSRGGRWTSCALPTAGNSFQRNMNSSTSCDHLTLAPIDGLAWRMLSYCPLENTTQKLR TRQKYRDQRSNNTM H257_14985 MKVADSGMTAAVEFAIEEGTLELGSITRKVWRRSPVPLPTTVHV EPGLQTELTLQEFARPWRAFRTTKKPLPAHEDNEPSPEVDDSPRSKDEPLSRGKGSER VDNILRHYDPHPTSVTPLFPHELHAPSCFRDVTAVDGSIAFKVSCKVVFNIGAIEPVT CRLALYDLNSGGRTSEEFVFQVNPPLSAAKDARFIKSAMFYVLPTHYAQNLYLVLQTS KVLQGDAEIASLHYCQPEKFMSEAEQAKLIDKAAECAARLGGSIHQPLAWGAVSLSEG IRQMILYRQKASLSDDARLAMLVDASKGTLKEKTVPCICELDIEKVDDVTVRSAKKRT LEMKISPTATALLYLVDPMFHAVATDALPHTLQWCREMQPFCPPQAAATWGPCASGPV AVSYIHALYLYPHAIDRFQHRNVAVKVQLVHDTTEIACFLSSSASVAALTTDVYCHVS YHQKSPSFEDEIKILLPLTLDPAHHVVFTFYQVHCKKMAAGKLPMDIVGRAVLPVMDR QNGVAIPDATHTLVVTDGGGGVVSTATGANNGAALGGAPLFQVRSRLLSTVYSQDSAI QSFLHLWTQTDTISHEELVARIVALKAAPSIGVRHHALRLFRQLLAYLCHAHRPVRTA AFATCLTLFDKCSMSSSAVPSATSGSAARKHHHDGSGGGSIVLQYIDAIFDEPDNATP SSGASHDTTDGGGDRRFKVYEAVIAEWTALVTEATPSAVIPTGRSSSTSTTTQPNEPR RLAMTHANVLLHLVLKSIALSVLSSSPSTQDPPPRLPQPMVDVDLVASLLDVLLDASL LTDDGFIARKDMLQSLGRFALALFWVVKSPVPALWIQRAVPRLATTNDSAVMIHMTFP FLRILADADAFVEINTIIGPLAAVGSSSRRRSHHAPSKDDGTKNNRRLDDAWLAQLVV TTLLQVATDQSEEKIKSQAMAILRRLLVVQMSGAKSSQKERVAMMLLPVVPNMLALTR RFDGDDSAVSSSQSSLSDSLKRDVLLCIIATLQHVPERQLKGFWKPKALLSTATYERH HHTDDGTDWQNAALQAHVLGAIQTLRYVMDTFLGAEVPWQQVLSPDCADQSKPPQLSL LDIEVYMKQRNNRRSQLTDEATTLSPRNNTGAMGNGAGLPGSASGSGTQPPGGSGSSA HHRSLPRNWGKHYMAQRKNSMDVKPDDGSSSSSSSADHDMDGHAKRVATAVAHTLVAT IQTLVHEFSRSLGAHPAFLATVVDLWYALLTRIGHGHFDAEDVVVSSVLTHLTAFLGR FQTPLFAHSNVVLMADDAWCERLVVLAASGASTAPLAATFVCDLLATSFDQRGHFLRV QHALVQVVAKQLHLPLLTNVLDAMDAFPGVQTPFRPRLTSLVASLRRVVTTWQQYEAA MTTWPSNGATMGQVESLTDALVSVAMAIPPEEVGLFDVHVKFIDALARVHVQCGQFAE AAKCKLHLVDMATTRGGGNNNHGRSRGGRVVSTDEFVLVQYKLALTYLSPQHGNVVAS SVTSWAMPDMALAIAQDMLAVCHKVQNYVEYATTLKIMDSVVAGVLAQQRGKPDDDAP PVSRYFLVAIVGSASTNVPDLGIEFIYKRSAFCHVSEMMASIESGLNASFPHLKVKPI SMAKLDASTDPDTVYVKATPVEPMFVGDCGRAFMWSSPFSVMKHQFVRKMVLRVRHDF PYLSMRQAVVGKQEIIRCPLDTATDDIAKRTASLLKVVRRDEKGLPHDVKAITHLLKG SINTEVNGGAPEVIQTFLAAGVVCVDKDAMPMAPAIQAEKQTELRTTLVQFLNVALTV LAISRDLFRRTNHHHRSVPSASAAGLSSTTITSPTNSATSSSTSSSNNYHPSEDADVL QLAPLQEEFEKAFGKIVAVLAASYADEPDDVAALRAAAAFKLGLTV H257_14985 MKVADSGMTAAVEFAIEEGTLELGSITRKVWRRSPVPLPTTVHV EPGLQTELTLQEFARPWRAFRTTKKPLPAHEDNEPSPEVDDSPRSKDEPLSRGKGSER VDNILRHYDPHPTSVTPLFPHELHAPSCFRDVTAVDGSIAFKVSCKVVFNIGAIEPVT CRLALYDLNSGGRTSEEFVFQVNPPLSAAKDARFIKSAMFYVLPTHYAQNLYLVLQTS KVLQGDAEIASLHYCQPEKFMSEAEQAKLIDKAAECAARLGGSIHQPLAWGAVSLSEG IRQMILYRQKASLSDDARLAMLVDASKGTLKEKTVPCICELDIEKVDDVTVRSAKKRT LEMKISPTATALLYLVDPMFHAVATDALPHTLQWCREMQPFCPPQAAATWGPCASGPV AVSYIHALYLYPHAIDRFQHRNVAVKVQLVHDTTEIACFLSSSASVAALTTDVYCHVS YHQKSPSFEDEIKILLPLTLDPAHHVVFTFYQVHCKKMAAGKLPMDIVGRAVLPVMDR QNGVAIPDATHTLVVTDGGGGVVSTATGANNGAALGGAPLFQVRSRLLSTVYSQDSAI QSFLHLWTQTDTISHEELVARIVALKAAPSIGVRHHALRLFRQLLAYLCHAHRPVRTA AFATCLTLFDKCSMSSSAVPSATSGSAARKHHHDGSGGGSIVLQYIDAIFDEPDNATP SSGASHDTTDGGGDRRFKVYEAVIAEWTALVTEATPSAVIPTGRSSSTSTTTQPNEPR RLAMTHANVLLHLVLKSIALSVLSSSPSTQDPPPRLPQPMVDVDLVASLLDVLLDASL LTDDGFIARKDMLQSLGRFALALFWVVKSPVPALWIQRAVPRLATTNDSAVMIHMTFP FLRILADADAFVEINTIIGPLAAVGSSSRRRSHHAPSKDDGTKNNRRLDDAWLAQLVV TTLLQVATDQSEEKIKSQAMAILRRLLVVQMSGAKSSQKERVAMMLLPVVPNMLALTR RFDGDDSAVSSSQSSLSDSLKRDVLLCIIATLQHVPERQLKGFWKPKALLSTATYERH HHTDDGTDWQNAALQAHVLGAIQTLRYVMDTFLGAEVPWQQVLSPDCADQSKPPQLSL LDIEVYMKQRNNRRSQLTDEATTLSPRNNTGAMGNGAGLPGSASGSGTQPPGGSGSSA HHRSLPRNWGKHYMAQRKNSMDVKPDDGSSSSSSSADHDMDGHAKRVATAVAHTLVAT IQTLVHEFSRSLGAHPAFLATVVDLWYALLTRIGHGHFDAEDVVVSSVLTHLTAFLGR FQTPLFAHSNVVLMADDAWCERLVVLAASGASTAPLAATFVCDLLATSFDQRGHFLRV QHALVQVVAKQLHLPLLTNVLDAMDAFPGVQTPFRPRLTSLVASLRRVVTTWQQYEAA MTTWPSNGATMGQVESLTDALVSVAMAIPPEEVGLFDVHVKFIDALARVHVQCGQFAE AAKCKLHLVDMATTRGGGNNNHGRSRGGRVVSTDEFVLVQYKLALTYLSPQHGNVVAS SVTSWAMPDMALAIAQDMLAVCHKVQNYVEYATTLKIMDSVVAGVLAQQRGKPDDDAP PVSRYFLVAIVGSASTNVPDLGIEFIYKRSAFCHVSEMMASIESGLNASFPHLKVKPI SMAKLDASTDPDTVYVKATPVEPMFVGDCGRAFIIVNIAMNYTMSTHVYEMCINMMQR RHVKL H257_14985 MKVADSGMTAAVEFAIEEGTLELGSITRKVWRRSPVPLPTTVHV EPGLQTELTLQEFARPWRAFRTTKKPLPAHEDNEPSPEVDDSPRSKDEPLSRGKGSER VDNILRHYDPHPTSVTPLFPHELHAPSCFRDVTAVDGSIAFKVSCKVVFNIGAIEPVT CRLALYDLNSGGRTSEEFVFQVNPPLSAAKDARFIKSAMFYVLPTHYAQNLYLVLQTS KVLQGDAEIASLHYCQPEKFMSEAEQAKLIDKAAECAARLGGSIHQPLAWGAVSLSEG IRQMILYRQKASLSDDARLAMLVDASKGTLKEKTVPCICELDIEKVDDVTVRSAKKRT LEMKISPTATALLYLVDPMFHAVATDALPHTLQWCREMQPFCPPQAAATWGPCASGPV AVSYIHALYLYPHAIDRFQHRNVAVKVQLVHDTTEIACFLSSSASVAALTTDVYCHVS YHQKSPSFEDEIKILLPLTLDPAHHVVFTFYQVHCKKMAAGKLPMDIVGRAVLPVMDR QNGVAIPDATHTLVVTDGGGGVVSTATGANNGAALGGAPLFQVRSRLLSTVYSQDSAI QSFLHLWTQTDTISHEELVARIVALKAAPSIGVRHHALRLFRQLLAYLCHAHRPVRTA AFATCLTLFDKCSMSSSAVPSATSGSAARKHHHDGSGGGSIVLQYIDAIFDEPDNATP SSGASHDTTDGGGDRRFKVYEAVIAEWTALVTEATPSAVIPTGRSSSTSTTTQPNEPR RLAMTHANVLLHLVLKSIALSVLSSSPSTQDPPPRLPQPMVDVDLVASLLDVLLDASL LTDDGFIARKDMLQSLGRFALALFWVVKSPVPALWIQRAVPRLATTNDSAVMIHMTFP FLRILADADAFVEINTIIGPLAAVGSSSRRRSHHAPSKDDGTKNNRRLDDAWLAQLVV TTLLQVATDQSEEKIKSQAMAILRRLLVVQMSGAKSSQKERVAMMLLPVVPNMLALTR RFDGDDSAVSSSQSSLSDSLKRDVLLCIIATLQHVPERQLKGFWKPKALLSTATYERH HHTDDGTDWQNAALQAHVLGAIQTLRYVMDTFLGAEVPWQQVLSPDCADQSKPPQLSL LDIEVYMKQRNNRRSQLTDEATTLSPRNNTGAMGNGAGLPGSASGSGTQPPGGSGSSA HHRSLPRNWGKHYMAQRKNSMDVKPDDGSSSSSSSADHDMDGHAKRVATAVAHTLVAT IQTLVHEFSRSLGAHPAFLATVVDLWYALLTRIGHGHFDAEDVVVSSVLTHLTAFLGR FQTPLFAHSNVVLMADDAWCERLVVLAASGASTAPLAATFVCDLLATSFDQRGHFLRV QHALVQVVAKQLHLPLLTNVLDAMDAFPGVQTPFRPRLTSLVASLRRVVTTWQQYEAA MTTWPSNGATMGQVESLTDALVSVAMAIPPEEVGLFDVHVKFIDALARVHVQCGQFAE AAKCKLHLVDMATTRGGGNNNHGRSRGGRVVSTDEFVLVQYKLALTYLSPQHGNVVAS SVTSWAMPDMALAIAQDMLAVCHKVQNYVEYATTLKIMDSVVAGVLAQQRGKPDDDAP PVSRYFLVAIVGSASTNVPDLGIEFIYKRSAFCHVSEMMASIESGLNASFPHLKVKPI SMAKLDASTDPDTVYVKATPVEPMFVGDCGRAFMYEPVCMTIIQGTHVKV H257_14986 MLPQLMKRALSRSVTQTSSVLPRCMPQLQVASQQRRSLHATRSQ DNALVVGSLGVAAAALGGKYLLEAYEGYQAKKASSPSGTGASSSSSVFNMSYRNFYDG PFEDKMTRREAALILGVRESASPDRIRNAHRKLLIANHPDTGGSTFLSSKINEAKELL LGGK H257_14987 MPRGPRHHLKRMSAPKHWMLGKLDGIWAPRPSSGPHKLRECLPL IIILRNRLKYALTKQEVTMIAMQKLVKVDGKVRVDPNYPAGFMDVVEIQKSGDAFRLL YDTKGRFVIHRVNDEEKKYKLAKVIRQELTSKQIPYIATSDGRTIRYPDPLIKVNDTV KIDLETGKVVDFLKFETGNLVTITGGRNAGRVGTFHHLERHAGSFNIAHIKDSTGADF ATRVGNVFVIGKDNRPWVSLPRGKGIKLSIIEEKNLRETKAAQKN H257_14988 MGQDLSKQANRLSIAAVSHITQFDRDDLLELRTAFEAAVKPTPD NVKKVRQSPAPSSSSKERVEPNLSRDDFNIAVDRANFYASDRAILDRLFTMMDKTGDD VINGREFLVGVAPLVKGNLTSKLALAFEMWDEDSTGEVDAKGFRFLVTTMTTVASYFG DPPMTKKDVAALTADVFGAADTIEYTKTIPRIAEHAIVDAYVSQDGNN H257_14989 MLAGDVGCGRRLHGKVLWKKVKVVVNTSKLDENQLRRYQAIQTH ALQAAQAQLVADGQHLTDLAKPRNDDDGTLYSRESLVLRYGLRRHPGIVNAVQVLWDF DGPRDDLGCLEKGGYVAFYLCVAKYLDSSFQMASAAATVEAEWEIDRKGCDALSFVMF FDSLFQLADLWVDSLDPADYVAFLDGITSSIFVMDERGRRRLKECTDIKCIQQGSDNS DSDDDRDTPLQSKSTKAKANQRPTQISVQVDIPKKHPRRVPPLATTLNKSNPMATKAN YTSPTPASSSKRQAGGGSSSTSASSKRTTSKRPAPTSQAKPRTTKATDAPLALAPEKL RSARDIIIMPLTPSPTPDDSSTTPNDPNSNDAPAEAPSSAVHAAANSHQNQLSTSSSS HQSDIIDEEEGDDQTLDDETAIDKHAIAVRLVRFASAHQVLTQSSRRPRHTSLNARGH FNIHQLTQQPTPDSLRVGRQSFMQSAMPQGTSAATEGAPDEFAQGKRCQSGVDEGEAT TPRPSDLVVDEINQANTIRVQIQPSSFAALRHSPNKLRGGALIKAKATCSSPKLLDNG LSATAGGIYDKLVTSLGSPPRLEQHTEALVVGVRLTKPPLGVDAAALDSPQLLQTGGS PAPSMSSCILTRSLPIFRGSNMCPISVTTSSSGNVMYQALPQLVDAPPAAHAALLTIN RREFHLERDQYFDKPVPSRIAMLKQKQRVHQAQNFAGRLSDNSIVWGSDENSA H257_14990 MNLEVRRSTSMEQGAYHNKDIQAQIAEVGKILAQDSLFSEATLK KEIEWFYGPLGLHEFYFLGHSPATIASHIQSLIGAKVLTKASGVDQEFKLVQENEKSA FFATRSNVQGDESNSTRLSGGSDVSNLERFIEKQYLSSSTECIGDGVKTGYQYLEPSE TTKNRQYRLQCYRSTGLLDTTLVPSHMRLYFLQEPEFVNPSPAAGETDIHQLADKHFL ERAGDNLKKVYQQVLKTASNQMTPAFHTEVWLEPDNTKMARFTVAYKAGSTHSYFSSL ADVYRGHGLFSSRKYAEYFSNGIVIYGFYLQQLTGDDVKGKGSFEERVAACVSDASLH YVLPRTSLSPLLRDHLLTPQQISYAYAAWKFTFHFLQRLPEAYSVVSNSLRDRDAVAF ARLEQLRSTMKQNTYTEAQILDHLLSSPLVIKSLYEEFEALHSPASKDRHRKEADILS YLRKAVTSEAALTVFSQFASFNHHVQHTNFFRKEKSALAFRLDGEFLPSTEYADKPYA VIFVIGSEFRGFHTRFLDIARGGIRMIRSSHAQVYLNNVSSCFDEGYGLASTQQRKNK DIPEGGSKGVILLNLAHQDKADLAFKKYIDALLDIMLPENDGIVRTQPDILFLGPDEG TAHLMDWASSYAKSRGYNYWKAITTGKSPSRGGIPHDEYGMTTHSVREFVKGIQNKLN LKAPGAKRLTKVQTGGPDGDLGSNEILMSEGEDTIALVDGSGVLYDPHGLNRAELVRL AKLRSPVQGFDTSLLSSEGYLVLISQNDVTLPSGEVVENGTQFRNNFHNRSDLTADFF VPCGGRPAAVNLSNVQNFVYGPDGKTLRFKYIVEGANLFFTQDARLVLEKAGVTLFKD ASANKGGVTSSSLEVLAALSLTDAEFAQHMAVVAGKPKPAFYQTYVAEVQARIDHNAH QEFECLWREHQRSGTPYAILTNLLSERITDLSVTIQDSSLYEQQGLRDLILDGGFPKA LTALLSRDELVKRLPESYLRALFASQLASRFVYAAGLHCPEFAFYEFVQTLKN H257_14991 MTSTSGPSKRVPGKRPPRKSMMAAWWLFGGNKYGQDADNTLEIF EHAPTVKVKSVRIDDDWPVKTVEFEVKLRYKDISREIYLPKSNVYRLWFYIKGHGYLR TPPPSAASTSSPPPQTSTTSNNVPVDDVEMPQLRDFLFATSQASTTPEMISLLETYLN AALSIPVVRSSAYVLSLLQISGGTFDNDAGGVVTSQREGWLKVRMWLKGSQDNVRLHR GTVMCDNGCFNCFCVVKKYSWHTSQWRWVALKDSCVAIYESNRDLTPTDVLLFDGGFK LETGLRAVGSAKEFVVTTSAFVLHCQARHKNECIKWANQIRTAAEASEWTHHRNRRDG SFAMPRPHTSSRAQWYVDGEDTYAAMFAAINAAKTEIFIAGWWICPTTSLLRPATDAS RLDLVLQRRAQAGVKIYILMYKEISMALTLDSQYAKKTLRKLHHNIHVLRDPDFIMKQ LGLWSHHEKIVCIDQRMAFVGGLDLCFGRWDNARHDLFEPKARTFPGKDYSNPRIKDF VDVHRPDIDLMDRNLNPRMPWHDCHCKLFGEPAKDVARHFIQRWNYSVSTRFKMHRFH HLVPATGCKPTALSSNLPPHGRNSINNNTGNALCVLGFSESTSATTMPPALVSSTDTG TVEGIALTKRSDAGTTDLLDDEVQTSALDENRASDDVAEGFACNCQIVRSLSMWSGGC RTEKSIQNAYIRLINDARYFVYIENQFFVSSMQGDPLCQNLIANALVSRILRAHAANQ PFRVMVVLPLLPAFQGKPTEKEAYSLRGVMHWQYRSICRGEGSIYHQLNAAGIPNAFE YIAFFALRTHAVHDGMPHTEQVYIHSKIMIVDDRISVIGSANINERSMSGDRDSEIAA VIEDVEWVPNVTLSGAAVGKFSHSFRMRLFEEHFGLESGGIGLSYREDPTAAPAWMAL RQHAMANTNIYEAVFGCLPADSIRSFRDMGVDFVADSVHHPSGRFSQMQHRPSSQEAH DSTSDPPGSSPPTLAPQDNHGDDGRVGVVAVVAGGGGNDEVKSHESGAGKRAGNGRSM SKREQEAHSNAVFTNAMRAFRREQTVTKDLRLRLEHVRGHIVYFPLRFLEHEDLEPKL VPTELLQ H257_14991 MAAWWLFGGNKYGQDADNTLEIFEHAPTVKVKSVRIDDDWPVKT VEFEVKLRYKDISREIYLPKSNVYRLWFYIKGHGYLRTPPPSAASTSSPPPQTSTTSN NVPVDDVEMPQLRDFLFATSQASTTPEMISLLETYLNAALSIPVVRSSAYVLSLLQIS GGTFDNDAGGVVTSQREGWLKVRMWLKGSQDNVRLHRGTVMCDNGCFNCFCVVKKYSW HTSQWRWVALKDSCVAIYESNRDLTPTDVLLFDGGFKLETGLRAVGSAKEFVVTTSAF VLHCQARHKNECIKWANQIRTAAEASEWTHHRNRRDGSFAMPRPHTSSRAQWYVDGED TYAAMFAAINAAKTEIFIAGWWICPTTSLLRPATDASRLDLVLQRRAQAGVKIYILMY KEISMALTLDSQYAKKTLRKLHHNIHVLRDPDFIMKQLGLWSHHEKIVCIDQRMAFVG GLDLCFGRWDNARHDLFEPKARTFPGKDYSNPRIKDFVDVHRPDIDLMDRNLNPRMPW HDCHCKLFGEPAKDVARHFIQRWNYSVSTRFKMHRFHHLVPATGCKPTALSSNLPPHG RNSINNNTGNALCVLGFSESTSATTMPPALVSSTDTGTVEGIALTKRSDAGTTDLLDD EVQTSALDENRASDDVAEGFACNCQIVRSLSMWSGGCRTEKSIQNAYIRLINDARYFV YIENQFFVSSMQGDPLCQNLIANALVSRILRAHAANQPFRVMVVLPLLPAFQGKPTEK EAYSLRGVMHWQYRSICRGEGSIYHQLNAAGIPNAFEYIAFFALRTHAVHDGMPHTEQ VYIHSKIMIVDDRISVIGSANINERSMSGDRDSEIAAVIEDVEWVPNVTLSGAAVGKF SHSFRMRLFEEHFGLESGGIGLSYREDPTAAPAWMALRQHAMANTNIYEAVFGCLPAD SIRSFRDMGVDFVCKYIYLERYSMYYWYYCRWQTACTIRRGGSVRCSIDRRRRKLMIL LPTHLGHLLLHWPHKTTTAMTDGLELLLWSPVVVAMMRLNLTSRGRGNEPATDDP H257_14992 MSASTRAFKVAFRCTACGKCCTGKGGKVRVNAREVEAIADHLST PTREFRRQFLRRHPGDDFDSIKQTPDDLQCIFLEGKQCSIYPVRPTQCQTYPFWPQQL ISKYDWALTSKECEGVLLDRTSDADIVPNDRILKETVIHEVHRSGESMTYSEIESLVE ELDPDMLHAFDQEVADKYRRDVVYEDEHVVVLDSFLDTLPPTRSLHFTDRLELVQSEV FLTPEGAVDHSYLSLDVHRGLSVALGFLQDDPPPSSPSPSAVATDPTWRVAMLGAGAS VLPTFWQHVISRHRPVRIHVVEPSAAMLYAGTHFFDASDALVVHKQTGNAFVTTLLES SAELLDLVVVDVEDGTTHELLEHGDVLSAPPASMTSKEFLHNIRRVLGPRGVVAMNVI GHKPTGSLESAGGWDGLATRLATVFDQVWVLPLEANTLVFGVLGWPSHVLPPLSTTSL SDQEQVVQDIFDEFRPRMRRVH H257_14992 MSASTRAFKVAFRCTACGKCCTGKGGKVRVNAREVEAIADHLST PTREFRRQFLRRHPGDDFDSIKQTPDDLQCIFLEGKQCSIYPVRPTQCQTYPFWPQQL ISKYDWALTSKECEGVLLDRTSDADIVPNDRILKETVIHEVHRSGESMTYSEIESLVE ELDPDMLHAFDQEVADKYRRDVVYEDEHVVVLDSFLGTPRLHACCSSILTSSSLNFVL VLHDDVDTLPPTRSLHFTDRLELVQSEVFLTPEGAVDHSYLSLDVHRGLSVALGFLQD DPPPSSPSPSAVATDPTWRVAMLGAGASVLPTFWQHVISRHRPVRIHVVEPSAAMLYA GTHFFDASDALVVHKQTGNAFVTTLLESSAELLDLVVVDVEDGTTHELLEHGDVLSAP PASMTSKEFLHNIRRVLGPRGVVAMNVIGHKPTGSLESAGGWDGLATRLATVFDQVWV LPLEANTLVFGVLGWPSHVLPPLSTTSLSDQEQVVQDIFDEFRPRMRRVH H257_14993 MIERRHQQGWMWKVIFVSVLSGVVGYLLPLLLTESPDQRRAALV ATQTVLLQRDHTIRQKFAGLKTDLNAYEVAVGDIWKVSALRKLRDDHVLRESHEHLDA IKTSMLERRAAMVSLLADTTRSIHDAISTLREHSAYPSSEHCTEHNVLVEEGTKPPLD DTITLLDLPPTTPTSSPPEASSAHNNVLPPPSSVTPPTPPVSHTPPSHHVKPPTMPQP VPSPAPTPPSPRQFPITFGQAAMAFFTALVTLVAFSLVSEHHRRGAGGDGDYSMIQGF FSPRQKQRPRPPSNDATSSPRTRARRHRNDEQPRATANVDEFIAESGSYYDMQYPQEG STLTEATPVRRSRRLDAAAHMSSTYF H257_14994 MPPPPSEVVRHRVLPEVVVGSAEVEGAIVRTKAPLLPTSAAAPR PDRVYHPKHALNHNTKLGLACLAKLKPIFSKHAHKAKEQAVKITAPQLRLPIHEPEHV QMLLRTRDVKLASLKTPNHQWLAVVDDMAKFMYPSLNLEGHDLSTSNLEAIVHTTRLQ WQVQPQYQNPMDPKSGHERMSDEDRVKHDFDQKLQVAEKMLRLPLTTPPTVQDMDESN SDSDDGGGRGKTESLTKMSSPTKALVLKSLSTRKKLKTLAKYTSETAKTEKYANKTDM LAKWSKLQASRGHETHPELAHRRAQQTSRRRNERKHAATSSFPGFNELSPRSLYFEEC TAANILPEPLLSRITADRRLELSHFGLGDTKAIVLSKSLALMPDIQALNLTANRLTHA GIATVVQHLSGRVELHSLNLSENEIGEAGCASMADFIFSAPNLTHLDLSQTKLVDSFE SLANEIAIHPHLLSVNLTNNEIGDGGGQLLGATLAAATCTIQDLNLSWNQICHSGATA IGHALQTNRSVQHLNLSMNRFGDDGGHQIAAALLVNTTLRTMDLTRNNLTGSTAVTLS YAIEHNPKAKLYNMVLVDNPLGCTGTKALLRSVARGCRCNVRLSFYDGEDANKAATVR RVFDSSFPAAQSPYELHLHTSPYDYVIACEVVAAAVARRCELFDVSFEPATDEVDLEH HAKKHAVQQPLEFSDQVQGLVEKGSTKLFHLRRRGILRISARYVPWRIQLTNDVTPDG LRNVIKIIRDRISTREMCSMLEVATTDLFLHIRDVDLFVNGLLGSIDVVDVVARTLPC IVDGTQTLPFLLRHLSFSDQNRLMSSHGVQVLQFNPLNPTGKWTLDMCDRIHRKLAVW FSMINRDEAAESARRVAYRGNTSQRGTYANFRNEKFNGKAIELTDRFFDGLPAKGILE FDYVSTRRPEDNVENGAPTPVLLEVEVNALLQTIGAELWSEYVPQHKRYDLKRQVVLL QHALSGLYITAEHVRMLMQYFPKSIDNLRLKAVFAAHRSILDMENFEGVYEKLLPGDR KAMFSALGYMNTLNPLNVDMEYDLPMADADNRLLVRTLVDMISNDPLDLIKLDDQSIK EGLSIYSMFSIASIPTSGRLGLRYITRPNKFSRELIESRQSTFHAFLFAGRLHEKYRG VDHAEEGAGAS H257_14994 MPPPPSEVVRHRVLPEVVVGSAEVEGAIVRTKAPLLPTSAAAPR PDRVYHPKHALNHNTKLGLACLAKLKPIFSKHAHKAKEQAVKITAPQLRLPIHEPEHV QMLLRTRDVKLASLKTPNHQWLAVVDDMAKFMYPSLNLEGHDLSTSNLEAIVHTTRLQ WQVQPQYQNPMDPKSGHERMSDEDRVKHDFDQKLQVAEKMLRLPLTTPPTVQDMDESN SDSDDGGGRGKTESLTKMSSPTKALVLKSLSTRKKLKTLAKYTSETAKTEKYANKTDM LAKWSKLQASRGHETHPELAHRRAQQTSRRRNERKHAATSSFPGFNELSPRSLYFEEC TAANILPEPLLSRITADRRLELSHFGLGDTKAIVLSKSLALMPDIQALNLTANRLTHA GIATVVQHLSGRVELHSLNLSENEIGEAGCASMADFIFSAPNLTHLDLSQTKLVDSFE SLANEIAIHPHLLSVNLTNNEIGDGGGQLLGATLAAATCTIQDLNLSWNQICHSGATA IGHALQTNRSVQHLNLSMNRFGDDGGHQIAAALLVNTTLRTMDLTRNNLTGSTAVTLS YAIEHNPKAKLYNMVLVDNPLGCTGTKALLRSVARGCRCNVRLSFYDGEDANKAATVR RVFDSSFPAAQSPYELHLHTSPYDYVIACEVVAAAVARRCELFDVSFEPATDEVDLEH HAKKHAVQQPLEFSDQVQGLVEKGSTKLFHLRRRGILRISARYVPWRIQLTNDVTPDG LRNVIKIIRDRISTREMCSMLEVATTDLFLHIRDVDLFVNGLLGSIDVVDVVARTLPC IVDGTQTLPFLLRHLSFSDQNRLMSSHGVQVLQFNPLNPTGKWTLDMCDRIHRKLAVW FSMINRDEAAESARRVAYRGNTSQRGTYANFRNEKFNGKAIELTDRFFDGLPAKGILE FDYVSTRRPEDNVENGAPTPVLLEVEVNALLQTIGAELWSEYVPQHKRYDLKRQVVLL QHALSGLYITAEHVRMLMQYFPKSIDNLRLKAVFAAHRSILDMENFEGVYEKLLPGDR KAVCPPSLLSSSHDHLIMLL H257_14994 MDPKSGHERMSDEDRVKHDFDQKLQVAEKMLRLPLTTPPTVQDM DESNSDSDDGGGRGKTESLTKMSSPTKALVLKSLSTRKKLKTLAKYTSETAKTEKYAN KTDMLAKWSKLQASRGHETHPELAHRRAQQTSRRRNERKHAATSSFPGFNELSPRSLY FEECTAANILPEPLLSRITADRRLELSHFGLGDTKAIVLSKSLALMPDIQALNLTANR LTHAGIATVVQHLSGRVELHSLNLSENEIGEAGCASMADFIFSAPNLTHLDLSQTKLV DSFESLANEIAIHPHLLSVNLTNNEIGDGGGQLLGATLAAATCTIQDLNLSWNQICHS GATAIGHALQTNRSVQHLNLSMNRFGDDGGHQIAAALLVNTTLRTMDLTRNNLTGSTA VTLSYAIEHNPKAKLYNMVLVDNPLGCTGTKALLRSVARGCRCNVRLSFYDGEDANKA ATVRRVFDSSFPAAQSPYELHLHTSPYDYVIACEVVAAAVARRCELFDVSFEPATDEV DLEHHAKKHAVQQPLEFSDQVQGLVEKGSTKLFHLRRRGILRISARYVPWRIQLTNDV TPDGLRNVIKIIRDRISTREMCSMLEVATTDLFLHIRDVDLFVNGLLGSIDVVDVVAR TLPCIVDGTQTLPFLLRHLSFSDQNRLMSSHGVQVLQFNPLNPTGKWTLDMCDRIHRK LAVWFSMINRDEAAESARRVAYRGNTSQRGTYANFRNEKFNGKAIELTDRFFDGLPAK GILEFDYVSTRRPEDNVENGAPTPVLLEVEVNALLQTIGAELWSEYVPQHKRYDLKRQ VVLLQHALSGLYITAEHVRMLMQYFPKSIDNLRLKAVFAAHRSILDMENFEGVYEKLL PGDRKAMFSALGYMNTLNPLNVDMEYDLPMADADNRLLVRTLVDMISNDPLDLIKLDD QSIKEGLSIYSMFSIASIPTSGRLGLRYITRPNKFSRELIESRQSTFHAFLFAGRLHE KYRGVDHAEEGAGAS H257_14994 MDPKSGHERMSDEDRVKHDFDQKLQVAEKMLRLPLTTPPTVQDM DESNSDSDDGGGRGKTESLTKMSSPTKALVLKSLSTRKKLKTLAKYTSETAKTEKYAN KTDMLAKWSKLQASRGHETHPELAHRRAQQTSRRRNERKHAATSSFPGFNELSPRSLY FEECTAANILPEPLLSRITADRRLELSHFGLGDTKAIVLSKSLALMPDIQALNLTANR LTHAGIATVVQHLSGRVELHSLNLSENEIGEAGCASMADFIFSAPNLTHLDLSQTKLV DSFESLANEIAIHPHLLSVNLTNNEIGDGGGQLLGATLAAATCTIQDLNLSWNQICHS GATAIGHALQTNRSVQHLNLSMNRFGDDGGHQIAAALLVNTTLRTMDLTRNNLTGSTA VTLSYAIEHNPKAKLYNMVLVDNPLGCTGTKALLRSVARGCRCNVRLSFYDGEDANKA ATVRRVFDSSFPAAQSPYELHLHTSPYDYVIACEVVAAAVARRCELFDVSFEPATDEV DLEHHAKKHAVQQPLEFSDQVQGLVEKGSTKLFHLRRRGILRISARYVPWRIQLTNDV TPDGLRNVIKIIRDRISTREMCSMLEVATTDLFLHIRDVDLFVNGLLGSIDVVDVVAR TLPCIVDGTQTLPFLLRHLSFSDQNRLMSSHGVQVLQFNPLNPTGKWTLDMCDRIHRK LAVWFSMINRDEAAESARRVAYRGNTSQRGTYANFRNEKFNGKAIELTDRFFDGLPAK GILEFDYVSTRRPEDNVENGAPTPVLLEVEVNALLQTIGAELWSEYVPQHKRYDLKRQ VVLLQHALSGLYITAEHVRMLMQYFPKSIDNLRLKAVFAAHRSILDMENFEGVYEKLL PGDRKAVCPPSLLSSSHDHLIMLL H257_14994 MLAKWSKLQASRGHETHPELAHRRAQQTSRRRNERKHAATSSFP GFNELSPRSLYFEECTAANILPEPLLSRITADRRLELSHFGLGDTKAIVLSKSLALMP DIQALNLTANRLTHAGIATVVQHLSGRVELHSLNLSENEIGEAGCASMADFIFSAPNL THLDLSQTKLVDSFESLANEIAIHPHLLSVNLTNNEIGDGGGQLLGATLAAATCTIQD LNLSWNQICHSGATAIGHALQTNRSVQHLNLSMNRFGDDGGHQIAAALLVNTTLRTMD LTRNNLTGSTAVTLSYAIEHNPKAKLYNMVLVDNPLGCTGTKALLRSVARGCRCNVRL SFYDGEDANKAATVRRVFDSSFPAAQSPYELHLHTSPYDYVIACEVVAAAVARRCELF DVSFEPATDEVDLEHHAKKHAVQQPLEFSDQVQGLVEKGSTKLFHLRRRGILRISARY VPWRIQLTNDVTPDGLRNVIKIIRDRISTREMCSMLEVATTDLFLHIRDVDLFVNGLL GSIDVVDVVARYAGGLIVRFATLPLCRTLPCIVDGTQTLPFLLRHLSFSDQNRLMSSH GVQVLQFNPLNPTGKWTLDMCDRIHRKLAVWFSMINRDEAAESARRVAYRGNTSQRGT YANFRNEKFNGKAIELTDRFFDGLPAKGILEFDYVSTRRPEDNVENGAPTPVLLEVEV NALLQTIGAELWSEYVPQHKRYDLKRQVVLLQHALSGLYITAEHVRMLMQYFPKSIDN LRLKAVFAAHRSILDMENFEGVYEKLLPGDRKAMFSALGYMNTLNPLNVDMEYDLPMA DADNRLLVRTLVDMISNDPLDLIKLDDQSIKEGLSIYSMFSIASIPTSGRLGLRYITR PNKFSRELIESRQSTFHAFLFAGRLHEKYRGVDHAEEGAGAS H257_14994 MLAKWSKLQASRGHETHPELAHRRAQQTSRRRNERKHAATSSFP GFNELSPRSLYFEECTAANILPEPLLSRITADRRLELSHFGLGDTKAIVLSKSLALMP DIQALNLTANRLTHAGIATVVQHLSGRVELHSLNLSENEIGEAGCASMADFIFSAPNL THLDLSQTKLVDSFESLANEIAIHPHLLSVNLTNNEIGDGGGQLLGATLAAATCTIQD LNLSWNQICHSGATAIGHALQTNRSVQHLNLSMNRFGDDGGHQIAAALLVNTTLRTMD LTRNNLTGSTAVTLSYAIEHNPKAKLYNMVLVDNPLGCTGTKALLRSVARGCRCNVRL SFYDGEDANKAATVRRVFDSSFPAAQSPYELHLHTSPYDYVIACEVVAAAVARRCELF DVSFEPATDEVDLEHHAKKHAVQQPLEFSDQVQGLVEKGSTKLFHLRRRGILRISARY VPWRIQLTNDVTPDGLRNVIKIIRDRISTREMCSMLEVATTDLFLHIRDVDLFVNGLL GSIDVVDVVARTLPCIVDGTQTLPFLLRHLSFSDQNRLMSSHGVQVLQFNPLNPTGKW TLDMCDRIHRKLAVWFSMINRDEAAESARRVAYRGNTSQRGTYANFRNEKFNGKAIEL TDRFFDGLPAKGILEFDYVSTRRPEDNVENGAPTPVLLEVEVNALLQTIGAELWSEYV PQHKRYDLKRQVVLLQHALSGLYITAEHVRMLMQYFPKSIDNLRLKAVFAAHRSILDM ENFEGVYEKLLPGDRKAMFSALGYMNTLNPLNVDMEYDLPMADADNRLLVRTLVDMIS NDPLDLIKLDDQSIKEGLSIYSMFSIASIPTSGRLGLRYITRPNKFSRELIESRQSTF HAFLFAGRLHEKYRGVDHAEEGAGAS H257_14995 MLRRVLQVQTSAFTARSISSSATLFAKPSASGAATATKAALTGT IDQFFPTSGVVDGKKVKRGPPVVGGDWKAWMLRNKSTDDLHKLWYVLLKERNALLTEQ AECHTKNMVFPNPFRKSKVRKSMARIKLVLHERSNIYQHNLAASAPPSTEDDEDEVKA H257_14996 MLGRFFTKKDEPKSSRPPHAVGPPQPRPVATEDSSGGGGFFNLP PPASGNPTSSLHQPGGFTNPNNVSYNHSPAKVPTLGGGSQPPPPASGGLNPNNPYQRP HTSAPPSLFGGMSVKSSSNDPPVAIPGAIQPVHPDAKPLSLFGGLELGGSAAATSSAA VNNTPSSNPSPLSLSALNLPTATPIPTADAPVKRSQRGSSGFNYLDVAPPDASTTSAA PTAVSLSSTAVPVVKKKKKPTFRPGFGRQLSDESAAALQRGDLKDEDIVQDVPSTSPP ITPTPPSTKSTSSSSSSSILGGLKLHTPATDKPIPTLGRKGSSTLSAALPAEDLSSLL ANMTIRNFATNTATTIPSLVKAHSQRASMSPRGSPSSPRASLPSFALHASSSSAANTS SPALPPSTSAEDRVAALARDFDVAATSFRNGLAQLKGEASHIVERRTMLAKQIAQFNL DLHEVEASQVQAAEDEDFERADALNATIEKLRHCLMLSQSDLRKCEQDSVGVTKQTEK LVLQHVRSAKGTWNNLSKLDDERTAALATLKAQAKAFKTTERRRFAFEKQRVATELHH VTVNMDHLDSEKAEIEAAIASQCTDEYAAREHLVTEQAAVAADIAALEAKLAACRAHM AELDAGLAKADEGIQLVRQKFSRQLKRLVEREKSILKTRKEVEADEAAMHRAQEGFEA AKADFAGQLAALAGQAASVRRDLRVAAIVVRVAEEQNSTRQAKEDRVKRMESQLASLR DQVQEAEKQWALVKHQHADLSAKLTGFRTLIMTAGTTLPLLEADKKQAAADRNFKEAA RLSKDIKQLEKDRAGADEQIEVLGMEIHDVDALIVDKEAAFTAATAAFQGAEKELELE ALQGLYDVHHDLRVVLRQLTSEDPSRAKTDAAEVVAPSLLRPIALALVQSEFDHVVAE IEVLEDKYLLEPYVKPLEPPDEVDDASGLVTTGWSDSDDDDMEDDKEGPGPSAESAPP KAARLVDVGAGRSGSAAVHMTPAALAAKIADVEGQIQVATDEEDYELAAQLDEQLETW VARLAALEAEQAQAPSEVDLRAELEELQHAIEALAGQIEHATDEEEYEIAAHLDEDLV AAQTRKTEIQLQLAAMEGPVDDDDDGSTHHVLHDDASDGDHEKEDEEDLEEQGPADEA SPVDETDENTVPEEDVESSSEHAAAEVSAEVAAEVTDVSVESSSEHAAAEVAAEVAEV SDVSMGPVISLFAGLHTVETSPTTESEGRGLGGSLFEGLAEPAPAALAPTSTTSSSLF GGLSVAETAAVAAAVALPEQEDDVVEQVEDEVQVDEGPEEVAANAADEDEEATLPVKE ESDDDAKDGSDDEYDEGVLVDDEPVEEMEGDIEVDDEDGHDGAAVEGPSVPTAAAADS AVEVDVSPPTSSLFGGLSLGTPVVVDSDQDEPSSGAPTTTSTASLFGGLSLQPTAPVS FVTLDNALEGPRSPTAFGSLFGGLSFSAPPPSSSDSVPAAIAVGASSSLFGGLSLASG HTAHDVPADEAPVQALDAPVDSTAAAAVVE H257_14997 MSNSLVLNTEGVSLVNIHPVVVFQILDRYLRRAEDQERIIGTLL GVVDSEHGVVTVSNSFPVPHLEKGDDVAVGKDYHRQMLLLHQRVNPNEVVVGWYATAS ADGSVVNENSCLIHDFYSAECAAPVHIVTDTSLRGDNLNISGFISSALTVADVALANQ FKQVKVQTKTYEAESIALNVMAKASTAALSSLPTELEALEHSIARLHALLGQSADFVG NVVSGASVGNPKIGRELAEAVASIPAIRTDVFDQIFNNGLQDLLMVSYLSSLTQAQLS IAEKLVNA H257_14998 MTIRSYDTMTTKTPLASEFESVPATVAPSTKESIQASLGTLRAS FRQGKLRAVESRKRILRQIRTLVTEGTPLLEAAVMKDLHKHPTELHMMELSGVFQEIQ EFLDYLDDWAAPEKVPTNLINFPGSSSIVSDPLGVCCIIGTWNYPVSLLLTPLVGCIG AGNTALLRLPADGTSDHTAAALAYLLDKYIDQDVVRYVAGGIDANIALLAEKFDLIFC TGGTTIGTIVARAAAETLTPIVLELGGKSPCIVDAKVDIQVAATRVAWGAFANCGQTC IRPDYVFVHTSVADQFVSAVVANVQSFFGSSPETSDSYGRLVNAAQYARLAAVVEADK AFVACGGSGDASARYLAPTVLHFGHDVASFEASAALTRGELFGPVLPIVAYTDLNAVI GFINARPKPLALYVFSNNDRDVVAPVLGQTSSGSVCVNDTMIQITNSHLPFGGVGPSG TGAYHGKHSFLTFSHHKAVVRKTTRFDLPQRYMPYTSASARIMKAAGTPITRTQTRLL VATAVGAVAAIIAAIVWAAAVSD H257_14999 MIPTRRAAVQAVRQATNQGRVLGQADVSERCMSTLKTAEEFMAR EDKYGAHNYHPLPVVLNRGKGVHVWDINGKKYYDFLSAYSAVNQGHSHPRIIAALVDQ ASKLSLTSRAFYNDVLGEYEEFITKFFGYDRVLPMNTGVEGGETAIKLARRWAYENKG VAENQARIVFCHGNFWGRTMSAISSSDDENAFAKFGPYMPGFDKVPYNDIDALRAKFE SDKNIAAFMVEPIQGEAGVVVPKDGYLREVAALCKKHNVLFIADEVQTGLARTGKMLC VDYDGVKPDILILGKALSGGVYPVSAVLTSDEIMLNIKPGQHGSTYGGNPLGSRVAIE ALKVLKEENLAENAFTLGAAFRAEMNRYIADTPGVCLGVRGRGLLNAVIINERPGKPD AWDLCLTLRDKGLLAKPTHGNIIRLAPPLCITKEQMDDCVSILKEGLKAIE H257_15001 MVLYVLYVKADLDAIDLWEFPVNHRWCLDIKEPTSEEKREAVWV CDDELLEVSGGRGEAHFLMKWPGAKKESQLTIVRDVKKLTRPIKGEDSGEFVPVVGFE CRGLEPVVWYPEGGYTLTSAGGTATFHDVNLAEDWSEYDEDGEQAVGIYSIEHKFVVV KEKK H257_15000 MSDADEKATPRSSRGSAKGGKDDKDDMDLQMQDINSDDKGVPPK RTSGWGDTTHADTSRSSTAASEGGSGDAAESKRGRRRKEEKAEAAKPKNKHFDDDGET TELMEIPDLEEEEREPDITTQIADAPRNTTRVVQSLKELERDVKYSLPTTAGVDLQIL TSFLCPQKAVQEDDDAWDFDSLLRDVFQDVQKEQDEKDNQDEAEQNAPAVTLSSAKAS VAP H257_15000 MSDADEKATPRSSRGSAKGGKDDKDDMDLQMQDINSDDKGVPPK RTSGWGDTTHADTSRSSTAASEGGSGDAAESKRGRRRKEEKAEAAKPKNKHFDDDGET TELMEIPDLEEEEREPDITTQIADAPRNTTRVVQSLKELERDVKYSLPTTAGVDLQIL TSFLCPQKAVLPSHHIKATFIPMENKM H257_15002 MGLFKKLANSLGMKKQSVRILVVGLDNSGKTTLVNHLKPKKLQS HEVAPTVGFQVEEFTKYNLSFTVFDMSGQSRYRSLWENYYTDVQAIIFVMDSTDTIRM CVAKDELEQIIQHKDLCSKKIPILFFANKMDLAHSLTPVECMGHLELDKLTNKTWHIT ASNAISGHGVEEGIQWLADQLGKAKSRK H257_15003 MNFQRLLESARQDVNREAKEVKRSSKSQNVITKVLDYLLDMDED GFDELWEFERRVIPYFQGDTTEFRLECTAAHAEFQDLVERRLERFLWTHGWSADDFHR RMRDELAGIDSIEKEHEHAEDLVRMIYDAFDFDSWAQSMRYSANHRHAAFGADTK H257_15004 MLDDDVRENVEHTLRRIERQHARAEKKEQDARKAYLLREAARRS LELRRCSEMAVEDKWSQLAAVQRQIEEKREMELRRKAEGDKLLVLLATARKTAKSAGA ALSTPGLRGLERLAELTARVVAVEEDKVVKQAEITAKLRLRYNVKRAQRMIGNYAEHH AVDLMNAAILGRKWDAMLHLVAVGGLSPDFETVEGGFTPVIMALSWRKPSVARRLLDA GASIDVESAHGKTGLLAAILADDVDGVRLCIARGADLARESPKTGVTPLLLAVDKGRT KLVRVLLEAHVDPNAFNSHGISPLIQATLSQQTDVTKLLFHHGATLSARGKDDRTCVE WARRCIFHGFADALETLNFEVGGARDEEDDHRDVLESSVEDIGLVIRQVERGEISPNA ETAAGWTPLLVACARGALSHVQTLLALGSIAWHQNRRGRTPLMAACERGAADMMTCLV NAGASFAVVDDSGADAFHALVEFPELVQQWTAVRSQFRPTVPLGVPTRASGIGRPAVA HAVPMLQTTIPDTSGIAEWPPRPSPPPSSPSSCLENHDDSLNDIMEDDTNRRTKWQLQ RTHLRHDRIAKLVPFYEEREKICQAQQKGRRSAVSVPLRDANAAPPPPAQLCDNCLHV RATAVCVECIMAFCDRCLMERHYGPTYHHHVTAPLDKAAAVGVHLRRPDGPEMHLRAN VQRCKVALRGIAHTMKPTNTHQSNQNPTSDGEEEDGDIRARKQAEKRQVDAKRRDNIM DVDVPRLAASRCEERGDRLFATPSELFLARNMMQREKYDKALALYAAAHAVQVNAFGA LHPRVGHTLVEMAAAAQANGDKEAWTDGLLGALECFEVNYACDNVDVVATVRKVCDSW DARKKYREAMAFCRVTELVRRKALGGDHPLTTDAQDAAARFACKWETLQMFLEDPVGQ QLEDRHTNAAYYADAALGRLPSQFHALLLSDPGGLALFTPFCNQRMHGANLAFWLAVN AFKAKCLQKHPTFHPRVAAKAIFKDFLKSQQVKCTTVAMRNRIRASLRSDASDPVDVF ESAETLVFNSLYSSVFLAFLDTPEGTRWHADAHGNMHR H257_15005 MVKRQKRTSKQTRVLKVDLRSNMMRQVPAAIQALERGWNCSTNI HSKRVAPVSDEERGRRAAERAEKRAAARRLMQQRPPRRVHVEAKPWNGSTKTDSKRVD PATPAQRDQQGLLRMAERQARTLRLQALRCVAFQPAAKWNASTRVTSQEVVVARPRVE PVVAVPRPRVVPVVAVPRPRVTPLVHILVCNGSSN H257_15006 MAHGRSQGVLNRNTLNFATPYRYQVTHRRRQRPTVTWFHQQILV AFDGVDGLPNVATHSIRKGTVTFETSRCTTGLSIGVLTTREGWSLGDVLDRYIILHAP AGDQYASRIASRLPESSTALGTQPPHFGARDDPMVTVMRRMEDIFEAETGAKPHDHDR PSEPSRLMRAWATTDWT H257_15007 MLTAQSKRKKVMKSLASVANQLANQLAEHEDFGRPSFDAKKALN RFGTLLDGHVQFNAESARASGAIRNEVMQTKGMQKSLDDDFEKASGAGGCFMKITTAM QEDAKAERELRNDVLDFESISTTRNSRNTTNTAK H257_15008 MASTFDGAVEVDANNARRPSRMHIASSREARFEFAAMVNQAPRK RKDVSSSKKSEVIQQLHHFLVNGKLVGGAFTRTAEMLDIERRSVAYIWDTFCTRGTLT FNKCAKVGPKPKYSPDDVRNLVRDVPMDQRSTTRDISAATGLSMGTLAAT H257_15009 MGIFVRWSTRIKPLLTDANKAERTAFCEFDSLWDVVHLDEKWFN ADKDRRKVYLVPGETPPRRTWKSKRFIPKVMFLAAVIRPRFDHDRGVNVNATVYRDYV INKVIPAIKAFFPSANKRVVLQHDNATPHASITDAELQAVSTDGWMFVLRRQPPNSPD LNALDLGFFGKVQSLQYKSMSRTVDDVIRTTLAAFEELSYEKLESVFLTFQW H257_15010 MDQRRVGFTGVDVFFVISGYLISDILFKENARGSFTYADFYSRR IFPALLIVLMFTLVVGCVWLLDKAVQSMAITLVPGTLFV H257_15011 MVKVLISLSVFGAAATAGSVTELPESVTKLIDYSVNPCDDFYQY ACGAWHKDAVIPPDESQIDTSFYEISEQNVALVEKFITDNTTKLGKFYHSCLDTATLS SLGVTPLEDSFKAIRSADTTLDLLIVAGELAKNGIPAFVDIVSRPDENDSTKNALFGI RAPLPLDRWYYTTPSEWEFVEAEYKVYIASVLQLAGYTAEQVAAAVPVIIRFEQNLAG VALRELKEMEAAVSRYTAFTFSQLDQKYPLLVGSWLKAHGFDIYDQSGGSNDWVGFTD LSYLDDTEELLKNTPLDNLRTIVEYKFIHASSKHLNPEFRTANWNLFGKKINGESDEP SQKLFCFTETHSILGDLLGQYFLDAVWSADAAKTADDLVKALRSSFSTSIATSDWLDN STRANAQTKLSKLVHLLGGPEKPQLYPTLTLDLKSYLNNRWKVSQVNIDTNLKLNGQP VNTRKFDQFTYEVNAFYIVDTNRILLPAGVLQKPFFDGQFDAAQNFGAIGTLIGHEIT HGFDNHGRKFDGDGKYNEWWSNDATITAFETKSGCISDQYSKFVIKSDLTGDVLGKYT VLFSLGEIIADNGGLKTSFRAYHEYLKEFPSQYTEEVGDKLFYLSFAQSWCSKTTDAR LLGNAWKKHPPNRIRVTGALQNDAEFARVFQCPTDSNLNPSNKCLLWE H257_15012 MVKVLISLSVLAAVATAGSVTELPESVTKLIDYSINPCDDFYQY ACGAWYKDAVIPPGESLIDTSFHKIGIQNDAVLTKIFSDNKTKLGKFGEFYNSCLDTA TLSSLGLTPLEDSFKAIRSASTKLDLLIVAAKLAKNGIPAFVDIKSKADDNDLTKNAL FGYRTPLLLPHLPYYTSQWKYVEPLYEVYIASVLQLAGYTAEEVAAAVPVITRFEHTQ ANVDLIELEEIEAVVSPYTAFTYYQLDQKYPLLVGSWLKANGFNVRDEYGGSNDWVGF KDLTYFDKTEELLKNTTLDNLRIIVEYKLIHDSSNYLTPEFRTANWNFFDKNFHSEDV EPSREKFCLSETRKTLGEFVGQYYLDEVWSADTAKTADELVKALRSSFSTSIATADWL DNSTRANAQTKLSKLVHLLGGPEKPQLYPTLTFDSKSYLNNRWKVSQVNVDTNLKLNG QPVDRHKFDKPPHKATAYFIDEENKIMLPAGILQKPFFDAQFDAAQNFGAIGMVIGHE ITHGFDNDGRRYDGDGNLKQWWSNATRTAFEKKAQCIRDQYDNFVVKSDVTSAVLGNV SGKISLDENIADNGGLKTSFRAYHEYLKEFPSQYTVEAGDKLFYLSYAQSWCTKNTDA SVSLSLSREHPLGRYRVTGALQNNAEFARVFQCPIDSNLNPSKKCLLWE H257_15013 MKHNFDLGDTVEDVSAPKKAAKGIKSPSSAKGTPKKDDKKSPAK AKAKKVTTGTSTRNKRSRVPTNFYLVQSSVEASNDRQRKETIDLASDEDDDQDEDGSD GEERTRPAAKKQSSKSSKHDSDDDDDDGSDEDEDARPAPKNQKRSAKSSKHESDDDAQ DDDEDGIEVVDAPATKRQRVSARTSPFQVTKEPRSSKPAKKDIPAKKAVAVTKKASVP AVPLSTKSKVDTKSAKSVPAKKEAVPPSSKTAATSSLKKTSVSGSSVDSVRPPVGRPS SSSGLSEATVVANTKQNKILAGEIKALTNAINSGTILISTLWEQYQEVMQKDMELREA ALRFAQTQQLKPVDQSPNEDSHDDVAAPLHPTPEEVQNEETAAAAAVAAALAVEEEQK EDDSTGANPLLL H257_15014 MLKVKSIAGHKFVPDVKDFMLEVLWEGFEDIESSWERLQKLMHE YPAVVKIYVEGVKTASEGEELARPKCVLRDMAWGVCCGGRLKPSPAMTQVVLNRGHQV PTLGEPT H257_15015 METPIIALAVTMTTVETNIKNVHESRSLSYINVVPARALHFTDH VHVLLHDDIVLVLHPDIVFPPLLDALPVDIVHAPLPCNTSLDLDITLQHHPVHPTHSL ALLLLLLLDPPILQPMLHPMLQPRIHLAPNPNTIKHHPQVPFHLLIPSDHAQPYQPPL NELLPYRTSLSPLPHFRIHRNPTTTAKEPPSHAFKPPILRSVKTSTFTSNKSVHFNLQ DHIHFKMKTQAPTTSGSSLYLFLVYSISFNLQHPVKIILDQSSPSSSSAAFTIASDSR PSNESLYRLWHNRFGHPSDSVFQSHFKDHLDFTSS H257_15016 MFLVAVARPQYDFHKKNEWRHRASNTRHERTSTPLRSLVQMRQG KAVVTVVLEYKTHDGLQGKKHVVQLTSFEMVEDSQGCSNNLVNGTTHRLVWKGSM H257_15017 MNKVDILLGYIVSPDSDTSTLPTHWAHALQHWGQMTWLMTCSMT WLMNPPRNTLPSPPPAYTHAILWDADNDGDSEDPTCHETHGLGSGHKAFSAAPTHYTP NKSLHEGDDADHRADHRKQGLQGTNNYSNTDQTRPTTHHTLTKARQTEAAARTTPV H257_15018 MSPHSTSAPLYNGPPLDDHNRTFLEWKPLSISQADVHEFTQFYM NKAYVPSDLERSILSILDDDVQVDKLKQPELYHVEDGLAVRHKKVKYHDGDCLDILLA DVRSIASQYRTAMIPSSLVITAAEYDAFLWANHYMVKLSEVFLDDKQIWDLIRVISSN AKASGQPCSVAAIDATIKDALATRHLRSLAQPTPLPPDRICSMPPSLMLLPVPLAHLA DVVVAPRRSAILLAVVVATAVEITAIALGAMKAIESVAAEAFHRHHTLVGHVVLKLRS TPLAIPTVLMFRHRLPSRSVSFRPTPVIVLMPQVVCIVATVTLFRLLISVLPLCPLAP WYLSIVLVICPVAAMLIVVLLLPVVTTLELAPATGRTIPCFMVDLVMWLRLLLLHLPA LVVVWTEMTITDPRSVCAGLHHRRIPSVTPAPQIPFWTKLYLPPLFALKVMLTGHASS PENHDLPSSVIYPPGKFAALSEHWIVDSGATSSCIPYQDYFSSYVPCALSLTVGNGSK LPVVGYGSISMETLMSATDVTFPMRSRALRLKFGLHCPQLRFNLLSVAHAADDGYAIA FPSRVRPPTQWDCSPFMPFHMDV H257_15019 RTGGDALSRLPTTPPTEQSRPSLLAEEVTSPEPQHPIKYRPDID RLRTLAVVSVVLFHAYRHSVEGGFTGVDVFFHRIFPALLLVFTLTLVVGCVWLLDKAV QYAYFYSHRIFPALLVVGCVWLLDKAVQSFTLVDGTPFVGNIQLLTVQQGYFDASVKE NPLHPWSLGLEE H257_15020 MEVEDTKFVQQPQQKFIRPVHGYMDFLDVQEELPHGGDVLYLER FRVSKDAVALILQLSKPHLPATFDTRLVLLVTIQWLASSVSVRSQEQMLFQDHNHVTL AYYRQLGVRAITKGLVDGGFYGSGPHEPTATACAPAVKHFVKSIQPSTSVLVLWMVPT SPSRCLRRCKIDSEIARATRRRTYWVSSTSWEGSWLSLRVVKAAHLTAIYTARRSLKE ASQAVTYYTLVMLGTVCPRCC H257_15021 MGRFHGKKKYKVNYLPLHCADNALGIHSYIAELATLMKKSKLPT TFHDMRQKWLQFAKNKSHAITSDLQRHTTCMEVSRHVLEYQVRDLKRRLETAEATLEA QVSKQRRLAAKVYDEFPARPHD H257_15022 MNSKLVLKKSDGNFECPNCSSRYTNARSLRAHCKRKHGVTVTVF EKKTIVHKQEQAKARKARWTATKTAIRAMRAKPIKASKRDTFTFANARLRGAHEAVNP FVKIGESTIPGAGRGLLAAIDLLPGDICTAYDGTKVFVEQKDHAYACELGVTKRKSWL LGLNDPVAGSGLGTFVNRECRERSGCLKNCQLARLGNAICIKVTKPVPTGKELFTTYS RGYRF H257_15023 MENLDQQRYAKRARYSTVLADEPNDLDSNSLIYNSFLASEKSEV IVTITHFSPSEFNLIWREVRQYVFRHWNAGCGQKSMVSARGLLMMLFTSLKHSGTCDV GAAVFKQKPRTFEKRVMSFLTVLHPYLMRTFIAAVEQKWPMKAHAESGHRFKNFTAAR YATDVTFHGKHSLDEHKVEVSMVPNGFAIDCTKFYKGSVSEKTIFDENIDPHLAKLAK RTGERTLKDSEPGMEQWAVLADKGYQGIRLNHRAVLPLRSRDRVIIENYFGQLKTPWA TFSDTYRWSRMSCDIVLKACLALTNVDVRIHALRAEDVDVNAQYIGRRNRRKDLQDEE RRWERRHRTWL H257_15024 MVVALLQNRCGSHDHAFKGSKSAKQLSRTWGKIALAINLDCYQS VTGLKAKSKYHKLKIEYATICKDLAKTGNSLSSVVEPPYWSDLQSWLAAKTGMGNVEY AALDSTEESARNRTIDSGFDSDTEHDNLDSIEQDNEEADGGMMSSTSKRATNVDRRQG EVNRQREKRAKKMDMAASIVSLGESLAKGLASSGRNVDHSASIGDALIHLKESVDKNI EIQTQLMALIESRFVAEQQ H257_15025 MKKAALKKSGLLPESVECGRDVFNTGCALLSNEDLEKTMNLLAA QTAADLEMCDIFSAMESLGIDDDEGV H257_15026 MTSKSKSITVAPIPSTSYNFYIHLDGHSLSYNCERRTADRWNYT LATDKKAATRPSSFDTDQMMSKECNQFKTKSYASTHRGFDRGHLVASSMMTDSPEQRR GSHYMTNIAPQRIYTWGGILYTDDSNDYFLDSHGIRTPDFWWKVVLTKDDSGADKIIS WFFPNQENLGSLDSLLASLDLLNNDKQEVLEAANNASGQPTITTSKSITVAPIASTSY NFYIHLDGHSLSYNCERRTADRWNYTLATDKKAATRPSSFDTDQMMSKECNQFKTKSY ASTHRGFDRGHLVASSMMTDSPEQRRGSHYMTNIAPQRIYTWGGILYTDDSNDYFLDS HGIRTPDFWWKVVLTKDDSGADKIISWFFPNQENLGSLDSLLGVCGRHRSPLDRWLGG HSSADVPQRTQGIDQLTQAG H257_15027 MTRTSPKAKLGGKNHINLSVDTRYPSPVAATTLVKTITRCSFAK SPRASFISLPTTHASTSKPPDSISEEEYFRLLKKTDVLGHLLRVNDNLLEAEGLLEVA EVDNSKLDAMNKHILVELQMFKAKYYESQRLFTDHIEQLTGVCLHQEALQKGHPKEAA AQVADKFKHIRLMEDLQQATTSSSLLSATDDVQSRSLVVVAQLRVDQLNGFYQSYCRD LHTAENVVAEEKAKGTSIQVTEVKYAEKIETALKHVQAEKDQLLEEMQLTAKQNAALH DEIATLKQKLELQKQVQRQDKAALLAQQTTVTLLLQNVKADVKKRYGFVPPALDAYSL HSPPPPPPPTFRC H257_15028 MIMRQPLQQSLRFPLAVSSHCPDTHHFLSAYPPNPTMADVVVLV TGGTGLVGRAVQAEVQASSLSNETWIFVGSADADLTDLAATQRLFDTHRPTHVLHLAA RVGGLFKQLHEPVEFFRANAAMNDNVLACAHALGVTKVISMLSTCIFPDKTSYPIDES MLHLGPPHPSNLGYAVAKRNLDILSQCYVREYGDHFACVIPTNVYGPHDNFHLQDAHV IPALVHKCWLAKKAGATSFVVGGSGAPLRQFIYSHDLAKLLVWAVHSYEDVSQPLILA GDDDELSIRDVALAIAHAMEFNGQVTFDTTQPDGQLKKTATNAKLRQLHPTFEFTRFQ DGVAATVRWFIRHYHECRK H257_15029 MDTTSAVAAAVVPAAADSLPNETLYVRNLDDKIKEPRMKESLYG LFSAHGKVLQVLVMKAHRLRGQAWVTFEDVAASSTALRALDGTSHFGKVMNVQFAKEK NDLIRSQQEPNSKPREKRKWEQHVKETKPTKKTDKPTQPKPQQQQHHHQSQALVLPHK ILFLQDVPEACNQDALSILFKQYHGFKEVRLVPGKKGLAFVEFLDEVQASIALQGLNG FKLSADERLKVSFAKK H257_15030 MPAAATAPADRNESSSSSACISPFDDIPQLKTFERVVKIELDNV HGCEEQFLRSSTGLSSEMKDLGHKYGQTYFSFVLGGALCSSSRLGDLNRDEVMALATD IVHRMVSSLDKYAPYILRLACAYILREFEVAFPNSTRGTTIVVGGCLILRLICPTLIK PELMGFEAHTPHTLPNAILLAKLLQHAMRGTHFDVASDDMYYANEFVSKTKDLVSAYL GAFPSTLKTSSSLDKLEANDQDSEVRAGDRPYLEPPALHRWTSGSISWHSKSPNHLHA SSFGTSPKRRFSLRNLFKPRSSEQQQHLRTPPTSSITSSPPLSPAHRCRHCGK H257_15031 MVKVLISLSVLAAAATAGSVTELPESVTKLIDYSINACDDFYQY ACGAWHKDAVIPPDESQIDTSFYEISEQNVALVEKFITDNTTKLGKFYHSCLDMSTLS SLGVTPLEDSFKAIRSANTKLELLIVAGELAKNGIPAFVDISSKADDNDATKNALFGF RAPFPLGRAYYTNPSKWEFVNSEYKKYIAKVLQLAGYTAGQAAAAVPVIIHFEKTLGG VALRSLEEIEAVGSPYTAYTFSELDQKYPLLVGSWLKAHGFDVYDQWGGVNDWVGFYY LNYFEKTEELLEKTTLDNLRTIVEYKLIHALSNHLTPEFRTANWKLFGKKMNGETVEP SRKSFCISETAKTLGDLLGQYFLDEVWSADTAKRADELVKALRSSFSTSIAIVDWLDN PTRAKAQTKLSKTLHFLGGPDKPQLYPTVTFDSKSYLNNRWNVSQVNTDTNLKLNGQP VDRRKLHRYSYEVNAYYHDLKNQIMFPAAILQKPFFDGQFDAAQNFGAIGMLIGHEIT HGFDNFGRKFDGVGNLNSWWSSTTNTAFKKKAQCISDQYDNFVVKSEVTDKVLGNVRS EFNLAENIADNGGLKTSFRAYHEYLKEYPSQYTEEAGDKLFYLSFAQAWCSKNTDEFL LATLKSKYPPRWFRVTGALQNDAEFARVFQCPTDSYLNPSKKCLLWE H257_15032 MFLSAVARPRWDDNKEEWFDGKIGTWHFTETVPAQCRSSRRDAG TPVMKTVSVIRETYKKMLIGQVIPAIRCQAPRPRPSRFNRTMPVRMSPQSIQTLSLPA KTKAGTWSFFRAIQTLQAEKHSSCLEDIVTATEAAWADVSSTTLNKNFLTLQRCLQEV ILNQSGNDYKIPHMKKDVLHARDYSTHMNKLATEILESMQMGAICTQIEALKVDIDVD VHADIAAALGLIQLLD H257_15033 MRKGERKKNLTDCECDNLVQHLLTKCASSGRILKGVAASVGMLF GCSVTTVRRVWRRGAVDLSGTKTICRNVHQRKKDNCGRKRIHLDLPERIQAIPQSRRY CFRSIAHVLGIPKSTLHSYYKRGVIAKYSSVLKPSLTESNKVCRLNWALQNVKDIDGA KFFDPMFDTVHVDEKWFFMSRVQKKVYGAPGEKIK H257_15034 MTLLRLGPYSPMLNPIESCFSVLKARIKSYLALQTYAMFERGEY GTFLERRMVLLEDAPRASLPCITQPLVVREVIFCQRNVEKAILLKSMVYGQ H257_15035 MLKWPKKHASSVLANNQDEDLHGQHDNGAPPHTPPTATNNGGRS KRSNSLYNMFHGNKDPAVEPATDAPTPNRHSDVPSSSNQDDNNLATPSLLHPALQPNE KKHPNKFLEGTNKWLETTKRRFSTATTTTVLPRSRASTATNSPLNDRHVGEYVHTAGG AGVVVEVKSDGSAVVRLVSTEYVNCSMVIVEVGGEIEPLPVLPQDTVITADGVGTAVA YNPKTKEYTVELLDHVTQMFAIHQVHAMDNKDNDPTLSPPPPSSADPASATSLRSSFL QKVAKATPKFPTLLKTRSTGSVPKYVAGQAVLTQYGDGVVVEVHPNGGGDVLVVHLSF GATAYLQADAIKQSLKATVGDLVQTRFGQGVVAAVAEGHVFVVTVDKEDMYVHATELT KINHSTSRKFLHLFKK H257_15035 MLKWPKKHASSVLANNQDEDLHGQHDNGAPPHTPPTATNNGGRS KRSNSLYNMFHGNKDPAVEPATDAPTPNRHSDVPSSSNQDDNNLATPSLLHPALQPNE KKHPNKFLEGTNKWLETTKRRFSTATTTTVLPRSRASTATNSPLNDRHVGEYVHTAGG AGVVVEVKSDGSAVVRLVSTEYVNCSMVIVEVGGEIEPLPVLPQDTVITADGVGTAVA YNPKTKEYTVELLDHVTQMFAIHQVHAMDNKDNDPTLSPPPPSSADPASVYLDSDNST ESQYEERIYIYTQNI H257_15036 MAAYDVVETPSAVTSHLDTTAPEPPVKRNRFKEFYFGIPGILTG AAIGIALGILIQTMTPSEEVVSWIGVPGSLFIRAIKCLVTPLVFCSLLVGMADMLAVG KASVIGWRTALLYITTTIVGACQGLLWVVLFRSSFGNKSKSTDANPTEFAFGCEEPGY FLTHVGATVSCVYDENYNKTSKFSPSSVFVANDIHGSFAKASSGFARRTLTQALQGQL NAIVPSNITQAFADATLLSIIMFAIPFGVAISLLPRDLTVVSDFFRAINMVFMTMITW VISSTPIAIISLLASSISEQSDLKLLVSDVGLYVLCALLSLFVHTYVFYPVLLRSFVK INPYKWIMKMARAQTFAFGCASSMATLPVVMECIDETREVSQTLSRFVLSLGATIGMD GAALVYPIAIVFMAEAEGIGHIIGGIEYFLIGLVSTIGAVGSGPVPAAGIVMTMTIWA SVFPSVPLPSTFAFIVATDWFLDRFQTAVNVTCDTIVCRIVAEQVGETINEDDRLSLV SGAGDLASHNPKIKKALESSDGRD H257_15037 MPTSLLACTIAAAVVTCSATTSTDSTNVLPTYDKLQYIGFDLDY ACGERSALRWEYTLGPNVHVAPRPKGFYFDPSYDRHCQKLSTKAYGSKSGYDRGHLVA SEHMANSVEARHQAHYMTNITPQISSFNKGQWEKTEAIEACYRTLPGGIRTWGGVKYN ESDNDIFVDGWGIQTPDYWWKVVVATDSGTDKVIAWWFPNRPNLGPLDKYLVTVHDIE AQVNDNIGSIPIPEALKSVVNPTSWTIPASCHHYTSDDDDDDVQGPVADL H257_15038 MGAGVHMGAAPAGAVPNVLSDCGMASGRKTISLAGKVAIVDEYE ASGGTIYATAKKHGIQCNQLSRWLKNKETLAAAATLNPSKVTLNPGKAGGGKERHDTQ SSVVDGSSTSPTTRKRKRPPPSSPPVVPPTSPASTVSCPDQAQSSFLKASRVSAMVAP PTANRLGWEDTVSNLTRVMHTYNDHQARLVDLHRERAEADRDLQERRLAVDTRLADIA QGHLTSIQALLVELKRLT H257_15039 MALPPLQAWLLWVALLIATTLASSSDAGKTFAPLSVFSAPICRF TARPCVRLIDRNASDIIALPMAAWLPRQFAVYAPLTSSSTCPTNHSICVPTSAYVPTL TRLSSGDINLTIALLDSDVVADTALTFTLSSSTFVHGPSCSPMGTCNDSMWTISSPSS PFVVTFVVTNATGSFNVTRTPTILASMNLAAAAILPASNMEFSPTVSFETDPTCMRLS MYLPRTLSALSLCLSLDQDMCRPHNDLPSTFPPLSWNASSPASILQGSASVRVNVTTT SATSFCLTLQWDPHDVAMLQSPLGLSFPNVHLTANATSVSSPLYMQWTVQGTESQCVP VVFLQSPRAADLVEPQTLWTVVSLVLYTFAFVVACLLVRLHGLSFVKTSMFHDLALVS LLLVLLTSLLVHVLWLTTLHRLTGDARGTSGIEAGFLVAIVEGLRQTLLWVFVVSAGV HWTALSTPGLRRIHMESGRVVGVWVLVIISHFVVLLLYVVQNYSVLKCTYVDYLRNSA QTSIDRTTSTTSTIATLNSTTQQLWLPLLQPADWKYGVDKVCVDRTGGAFVLTFVPLH LLPLVVLVGLVVVGCSTKKTPTTTSSSTSTTTTPMHDDHVPLPVVSGSPNDHRSRTLS HTTTTRPPFSWISRLCAVLGIMPLAVHHFLTLYLYATSQHLPPVMWLVVGEYLPMLAP TLGYLVVQWTSSTGGGGMLLDTRLSHMIMRSTNHEQDASEQYRMPQDDTNNHDDNVIT SSSLKTTSVAHVAVITSSSSMGQTTACDKVVVHLSHVVDDDPGWSTTVHTSKDELVTI PWYPDARIRCIVTTVSHMSAVESVFELGSLRQASHHVTWKTTCDTGTVTISLVRVDKT VTKPVSFTDPSVDSVAEELRELPWPTAVAVQYVATCVLPTVSVLADRAAEDLAATCAP TPRPDQHPIDQIQDEWEADVVQTWLRQRWTKRAEYAAMLTTGSSIPATMLQRLDEGKW VKLTADAKTSALRFLPCNLHVQDLHVIDARGEAAAVYSTLTMGVFASDHPTLADTEGS PQHATEEHETTWHARYKDEVQWATWVRETETNGQALATVVTAVVDQLNTQTQAASRWM EWVATKSVVFHMESLVSDHGKERQMLRDCAAAMEWLQSHVTLGLELEEEGSNVYVVNQ RDVVTSVNLVVQASDPSHMNSAKAAVHVVLRVRERFWNHVHELIGGGPCHDATHQGGG LGFREPPNMATGVVRITALLFTQGIDESQTLANKTGGLFKETFPDVVNQRSVDRLAAF VQDLDMSPDIADVVRMKLAALTQSILQAKRERVKKKHPEILQVAAHITRLIGGAARVT ACASGNDRTAMSVTLEHGWILGHFHHVPAPGVRRAVAAMRSEGVCLDVIEKNRGTRQY SFSSLQRSMLPEAYRCPEGTYDSSAAGRC H257_15039 MALPPLQAWLLWVALLIATTLASSSDAGKTFAPLSVFSAPICRF TARPCVRLIDRNASDIIALPMAAWLPRQFAVYAPLTSSSTCPTNHSICVPTSAYVPTL TRLSSGDINLTIALLDSDVVADTALTFTLSSSTFVHGPSCSPMGTCNDSMWTISSPSS PFVVTFVVTNATGSFNVTRTPTILASMNLAAAAILPASNMEFSPTVSFETDPTCMRLS MYLPRTLSALSLCLSLDQDMCRPHNDLPSTFPPLSWNASSPASILQGSASVRVNVTTT SATSFCLTLQWDPHDVAMLQSPLGLSFPNVHLTANATSVSSPLYMQWTVQGTESQCVP VVFLQSPRAADLVEPQTLWTVVSLVLYTFAFVVACLLVRLHGLSFVKTSMFHDLALVS LLLVLLTSLLVHVLWLTTLHRLTGDARGTSGIEAGFLVAIVEGLRQTLLWVFVVSAGV HWTALSTPGLRRIHMESGRVVGVWVLVIISHFVVLLLYVVQNYSVLKCTYVDYLRNSA QTSIDRTTSTTSTIATLNSTTQQLWLPLLQPADWKYGVDKVCVDRTGGAFVLTFVPLH LLPLVVLVGLVVVGCSTKKTPTTTSSSTSTTTTPMHDDHVPLPVVSGSPNDHRSRTLS HTTTTRPPFSWISRLCAVLGIMPLAVHHFLTLYLYATSQHLPPVMWLVVGEYLPMLAP TLGYLVVQWTSSTGGGGMLLDTRLSHMIMRSTNHEQDASEQYRMPQDDTNNHDDNVIT SSSLKTTSVAHVAVITSSSSMGQTTACDKVVVHLSHVVDDDPGWSTTVHTSKDELVTI PWYPDARIRCIVTTVSHMSAVESVFELGSLRQASHHVTWKTTCDTGTVTISLVRVDKT VTKPVSFTDPSVDSVAEELRELPWPTAVAVQYVATCVLPTVSVLADRAAEDLAATCAP TPRPDQHPIDQIQDEWEADVVQTWLRQRWTKRAEYAAMLTTGSSIPATMLQRLDEGKW VKLTADAKTSALRFLPCNLHVQDLHVIDARGEAAAVYSTLTMGVFASDHPTLADTEGS PQHATEEHETTWHARYKDEVQWATWVRETETNGQALATVVTAVVDQLNTQTQAASRWM EWVATKSVVFHMESLVSDHGKERQMLRDCAAAMEWLQSHVTLGLELEEEGSNVYVVNQ RDVVTSVNLVVQASDPSHMNSAKAAVHVVLRVRERFWNHVHELIGGGPCHDATHQGGG LGFREPPNMATGVVRITALLFTQGIDESQTLANKTGGLFKETFPDVVNQRSVDRLAAF VQDLDMSPDIADVVRMKLAALTQSILQAKRERVKKKHPEILQVAAHITRLIGGAARVT ACASGNDRTAMSVTLEHGTSRHMLLWRLMYHTNYP H257_15039 MALPPLQAWLLWVALLIATTLASSSDAGKTFAPLSVFSAPICRF TARPCVRLIDRNASDIIALPMAAWLPRQFAVYAPLTSSSTCPTNHSICVPTSAYVPTL TRLSSGDINLTIALLDSDVVADTALTFTLSSSTFVHGPSCSPMGTCNDSMWTISSPSS PFVVTFVVTNATGSFNVTRTPTILASMNLAAAAILPASNMEFSPTVSFETDPTCMRLS MYLPRTLSALSLCLSLDQDMCRPHNDLPSTFPPLSWNASSPASILQGSASVRVNVTTT SATSFCLTLQWDPHDVAMLQSPLGLSFPNVHLTANATSVSSPLYMQWTVQGTESQCVP VVFLQSPRAADLVEPQTLWTVVSLVLYTFAFVVACLLVRLHGLSFVKTSMFHDLALVS LLLVLLTSLLVHVLWLTTLHRLTGDARGTSGIEAGFLVAIVEGLRQTLLWVFVVSAGV HWTALSTPGLRRIHMESGRVVGVWVLVIISHFVVLLLYVVQNYSVLKCTYVDYLRNSA QTSIDRTTSTTSTIATLNSTTQQLWLPLLQPADWKYGVDKVCVDRTGGAFVLTFVPLH LLPLVVLVGLVVVGCSTKKTPTTTSSSTSTTTTPMHDDHVPLPVVSGSPNDHRSRTLS HTTTTRPPFSWISRLCAVLGIMPLAVHHFLTLYLYATSQHLPPVMWLVVGEYLPMLAP TLGYLVVQWTSSTGGGGMLLDTRLSHMIMRSTNHEQDASEQYRMPQDDTNNHDDNVIT SSSLKTTSVAHVAVITSSSSMGQTTACDKVVVHLSHVVDDDPGWSTTVHTSKDELVTI PWYPDARIRCIVTTVSHMSAVESVFELGSLRQASHHVTWKTTCDTGTVTISLVRVDKT VTKPVSFTDPSVDSVAEELRELPWPTAVAVQYVATCVLPTVSVLADRAAEDLAATCAP TPRPDQHPIDQIQDEWEADVVQTWLRQRWTKRAEYAAMLTTGSSIPATMLQRLDEGKW VKLTADAKTSALRFLPCNLHVQDLHVIDARGEAAAVYSTLTMGVFASDHPTLADTEGS PQHATEEHETTWHARYKDEVQWATWVRETETNGQALATVVTAVVDQLNTQTQAASRWM EWVATKSVVFHMESLVSDHGKERQMLRDCAAAMEWLQSHVTLGLELEEEGSNVYVVNQ RDVVTSVNLVVQASDPSHMNSAKAAVHVVLRVRERFWNHVHELIGGGPCHDATHQGGG LGFREPPNMATGVVRITALLFTQGIDESQTLANKTGGLFKETFPDVVNQRSVDRLAAF VQDLDVYVEANMAQKLDPTDVYVYVWGGVCRCPQILQTWCA H257_15039 MALPPLQAWLLWVALLIATTLASSSDAGKTFAPLSVFSAPICRF TARPCVRLIDRNASDIIALPMAAWLPRQFAVYAPLTSSSTCPTNHSICVPTSAYVPTL TRLSSGDINLTIALLDSDVVADTALTFTLSSSTFVHGPSCSPMGTCNDSMWTISSPSS PFVVTFVVTNATGSFNVTRTPTILASMNLAAAAILPASNMEFSPTVSFETDPTCMRLS MYLPRTLSALSLCLSLDQDMCRPHNDLPSTFPPLSWNASSPASILQGSASVRVNVTTT SATSFCLTLQWDPHDVAMLQSPLGLSFPNVHLTANATSVSSPLYMQWTVQGTESQCVP VVFLQSPRAADLVEPQTLWTVVSLVLYTFAFVVACLLVRLHGLSFVKTSMFHDLALVS LLLVLLTSLLVHVLWLTTLHRLTGDARGTSGIEAGFLVAIVEGLRQTLLWVFVVSAGV HWTALSTPGLRRIHMESGRVVGVWVLVIISHFVVLLLYVVQNYSVLKCTYVDYLRNSA QTSIDRTTSTTSTIATLNSTTQQLWLPLLQPADWKYGVDKVCVDRTGGAFVLTFVPLH LLPLVVLVGLVVVGCSTKKTPTTTSSSTSTTTTPMHDDHVPLPVVSGSPNDHRSRTLS HTTTTRPPFSWISRLCAVLGIMPLAVHHFLTLYLYATSQHLPPVMWLVVGEYLPMLAP TLGYLVVQWTSSTGGGGMLLDTRLSHMIMRSTNHEQDASEQYRMPQDDTNNHDDNVIT SSSLKTTSVAHVAVITSSSSMGQTTACDKVVVHLSHVVDDDPGWSTTVHTSKDELVTI PWYPDARIRCIVTTVSHMSAVESVFELGSLRQASHHVTWKTTCDTGTVTISLVRVDKT VTKPVSFTDPSVDSVAEELRELPWPTAVAVQYVATCVLPTVSVLADRAAEDLAATCAP TPRPDQHPIDQIQDEWEADVVQTWLRQRWTKRAEYAAMLTTGSSIPATMLQRLDEGKW VKLTADAKTSALRFLPCNLHVQDLHVIDARGEAAAVYSTLTMGVFASDHPTLADTEGS PQHATEEHETTWHARYKDEVQWATWVRETETNGQALATVVTAVVDQLNTQTQAASRWM EWVATKSVVFHMESLVSDHGKERQMLRDCAAAMEWLQSHVTLGLELEEEGSNVYVVNQ RDVVTSVNLVVQASDPSHMNSAKAAVHVVLRVRERFWNHVHELIGGGPCHDATHQGGG LGFREPPNMATGVVRITALLFTQGIDESQTLANKTGGLFKETFPDVVNQRSVDRLAAF VQDLDVYVEANMAQKLDPTDVYVYVWGGVCRCPQILQTWCA H257_15039 MALPPLQAWLLWVALLIATTLASSSDAGKTFAPLSVFSAPICRF TARPCVRLIDRNASDIIALPMAAWLPRQFAVYAPLTSSSTCPTNHSICVPTSAYVPTL TRLSSGDINLTIALLDSDVVADTALTFTLSSSTFVHGPSCSPMGTCNDSMWTISSPSS PFVVTFVVTNATGSFNVTRTPTILASMNLAAAAILPASNMEFSPTVSFETDPTCMRLS MYLPRTLSALSLCLSLDQDMCRPHNDLPSTFPPLSWNASSPASILQGSASVRVNVTTT SATSFCLTLQWDPHDVAMLQSPLGLSFPNVHLTANATSVSSPLYMQWTVQGTESQCVP VVFLQSPRAADLVEPQTLWTVVSLVLYTFAFVVACLLVRLHGLSFVKTSMFHDLALVS LLLVLLTSLLVHVLWLTTLHRLTGDARGTSGIEAGFLVAIVEGLRQTLLWVFVVSAGV HWTALSTPGLRRIHMESGRVVGVWVLVIISHFVVLLLYVVQNYSVLKCTYVDYLRNSA QTSIDRTTSTTSTIATLNSTTQQLWLPLLQPADWKYGVDKVCVDRTGGAFVLTFVPLH LLPLVVLVGLVVVGCSTKKTPTTTSSSTSTTTTPMHDDHVPLPVVSGSPNDHRSRTLS HTTTTRPPFSWISRLCAVLGIMPLAVHHFLTLYLYATSQHLPPVMWLVVGEYLPMLAP TLGYLVVQWTSSTGGGGMLLDTRLSHMIMRSTNHEQDASEQYRMPQDDTNNHDDNVIT SSSLKTTSVAHVAVITSSSSMGQTTACDKVVVHLSHVVDDDPGWSTTVHTSKDELVTI PWYPDARIRCIVTTVSHMSAVESVFELGSLRQASHHVTWKTTCDTGTVTISLVRVDKT VTKPVSFTDPSVDSVAEELRELPWPTAVAVQYVATCVLPTVSVLADRAAEDLAATCAP TPRPDQHPIDQIQDEWEADVVQTWLRQRWTKRAEYAAMLTTGSSIPATMLQRLDEGKW VKLTADAKTSALRFLPCNLHVQDLHVIDARGEAAAVYSTLTMGVFASDHPTLADTEGS PQHATEEHETTWHARYKDEVQWATWVRETETNGQALATVVTAVVDQLNTQTQAASRWM EWVATKSVVFHMESLVSDHGKERQMLRDCAAAMEWLQSHVTLGLELEEEGSNVYVVNQ RDVVTSVNLVVQASDPSHMYVYIYICTLSHIRCLMKK H257_15039 MALPPLQAWLLWVALLIATTLASSSDAGKTFAPLSVFSAPICRF TARPCVRLIDRNASDIIALPMAAWLPRQFAVYAPLTSSSTCPTNHSICVPTSAYVPTL TRLSSGDINLTIALLDSDVVADTALTFTLSSSTFVHGPSCSPMGTCNDSMWTISSPSS PFVVTFVVTNATGSFNVTRTPTILASMNLAAAAILPASNMEFSPTVSFETDPTCMRLS MYLPRTLSALSLCLSLDQDMCRPHNDLPSTFPPLSWNASSPASILQGSASVRVNVTTT SATSFCLTLQWDPHDVAMLQSPLGLSFPNVHLTANATSVSSPLYMQWTVQGTESQCVP VVFLQSPRAADLVEPQTLWTVVSLVLYTFAFVVACLLVRLHGLSFVKTSMFHDLALVS LLLVLLTSLLVHVLWLTTLHRLTGDARGTSGIEAGFLVAIVEGLRQTLLWVFVVSAGV HWTALSTPGLRRIHMESGRVVGVWVLVIISHFVVLLLYVVQNYSVLKCTYVDYLRNSA QTSIDRTTSTTSTIATLNSTTQQLWLPLLQPADWKYGVDKVCVDRTGGAFVLTFVPLH LLPLVVLVGLVVVGCSTKKTPTTTSSSTSTTTTPMHDDHVPLPVVSGSPNDHRSRTLS HTTTTRPPFSWISRLCAVLGIMPLAVHHFLTLYLYATSQHLPPVMWLVVGEYLPMLAP TLGYLVVQWTSSTGGGGMLLDTRLSHMIMRSTNHEQDASEQYRMPQDDTNNHDDNVIT SSSLKTTSVAHVAVITSSSSMGQTTACDKVVVHLSHVVDDDPGWSTTVHTSKDELVTI PWYPDARIRCIVTTVSHMSAVESVFELGSLRQASHHVTWKTTCDTGTVTISLVRVDKT VTKPVSFTDPSVDSVAEELRELPWPTAVAVQYVATCVLPTVSVLADRAAEDLAATCAP TPRPDQHPIDQIQDEWEADVVQTWLRQRWTKRAEYAAMLTTGSSIPATMLQRLDEGKW VKLTADAKTSALRFLPCNLHVQDLHVIDARGEAAAVYSTLTMGVFASDHPTLADTEGS PQHATEEHETTWHARYKDEVQWATWVRETETNGQALATVVTAVVDQLNTQTQAASRWM EWVATKSVVFHMESLVSDHGKERQMLRDCAAAMEWLQSHVTLGLELEEEGSNVYVVNQ RDVVTSVNLVVQASDPSHMYVYIYICTLSHIRCLMKK H257_15039 MLARHSRHYRNASDIIALPMAAWLPRQFAVYAPLTSSSTCPTNH SICVPTSAYVPTLTRLSSGDINLTIALLDSDVVADTALTFTLSSSTFVHGPSCSPMGT CNDSMWTISSPSSPFVVTFVVTNATGSFNVTRTPTILASMNLAAAAILPASNMEFSPT VSFETDPTCMRLSMYLPRTLSALSLCLSLDQDMCRPHNDLPSTFPPLSWNASSPASIL QGSASVRVNVTTTSATSFCLTLQWDPHDVAMLQSPLGLSFPNVHLTANATSVSSPLYM QWTVQGTESQCVPVVFLQSPRAADLVEPQTLWTVVSLVLYTFAFVVACLLVRLHGLSF VKTSMFHDLALVSLLLVLLTSLLVHVLWLTTLHRLTGDARGTSGIEAGFLVAIVEGLR QTLLWVFVVSAGVHWTALSTPGLRRIHMESGRVVGVWVLVIISHFVVLLLYVVQNYSV LKCTYVDYLRNSAQTSIDRTTSTTSTIATLNSTTQQLWLPLLQPADWKYGVDKVCVDR TGGAFVLTFVPLHLLPLVVLVGLVVVGCSTKKTPTTTSSSTSTTTTPMHDDHVPLPVV SGSPNDHRSRTLSHTTTTRPPFSWISRLCAVLGIMPLAVHHFLTLYLYATSQHLPPVM WLVVGEYLPMLAPTLGYLVVQWTSSTGGGGMLLDTRLSHMIMRSTNHEQDASEQYRMP QDDTNNHDDNVITSSSLKTTSVAHVAVITSSSSMGQTTACDKVVVHLSHVVDDDPGWS TTVHTSKDELVTIPWYPDARIRCIVTTVSHMSAVESVFELGSLRQASHHVTWKTTCDT GTVTISLVRVDKTVTKPVSFTDPSVDSVAEELRELPWPTAVAVQYVATCVLPTVSVLA DRAAEDLAATCAPTPRPDQHPIDQIQDEWEADVVQTWLRQRWTKRAEYAAMLTTGSSI PATMLQRLDEGKWVKLTADAKTSALRFLPCNLHVQDLHVIDARGEAAAVYSTLTMGVF ASDHPTLADTEGSPQHATEEHETTWHARYKDEVQWATWVRETETNGQALATVVTAVVD QLNTQTQAASRWMEWVATKSVVFHMESLVSDHGKERQMLRDCAAAMEWLQSHVTLGLE LEEEGSNVYVVNQRDVVTSVNLVVQASDPSHMNSAKAAVHVVLRVRERFWNHVHELIG GGPCHDATHQGGGLGFREPPNMATGVVRITALLFTQGIDESQTLANKTGGLFKETFPD VVNQRSVDRLAAFVQDLDMSPDIADVVRMKLAALTQSILQAKRERVKKKHPEILQVAA HITRLIGGAARVTACASGNDRTAMSVTLEHGWILGHFHHVPAPGVRRAVAAMRSEGVC LDVIEKNRGTRQYSFSSLQRSMLPEAYRCPEGTYDSSAAGRC H257_15039 MLARHSRHYRNASDIIALPMAAWLPRQFAVYAPLTSSSTCPTNH SICVPTSAYVPTLTRLSSGDINLTIALLDSDVVADTALTFTLSSSTFVHGPSCSPMGT CNDSMWTISSPSSPFVVTFVVTNATGSFNVTRTPTILASMNLAAAAILPASNMEFSPT VSFETDPTCMRLSMYLPRTLSALSLCLSLDQDMCRPHNDLPSTFPPLSWNASSPASIL QGSASVRVNVTTTSATSFCLTLQWDPHDVAMLQSPLGLSFPNVHLTANATSVSSPLYM QWTVQGTESQCVPVVFLQSPRAADLVEPQTLWTVVSLVLYTFAFVVACLLVRLHGLSF VKTSMFHDLALVSLLLVLLTSLLVHVLWLTTLHRLTGDARGTSGIEAGFLVAIVEGLR QTLLWVFVVSAGVHWTALSTPGLRRIHMESGRVVGVWVLVIISHFVVLLLYVVQNYSV LKCTYVDYLRNSAQTSIDRTTSTTSTIATLNSTTQQLWLPLLQPADWKYGVDKVCVDR TGGAFVLTFVPLHLLPLVVLVGLVVVGCSTKKTPTTTSSSTSTTTTPMHDDHVPLPVV SGSPNDHRSRTLSHTTTTRPPFSWISRLCAVLGIMPLAVHHFLTLYLYATSQHLPPVM WLVVGEYLPMLAPTLGYLVVQWTSSTGGGGMLLDTRLSHMIMRSTNHEQDASEQYRMP QDDTNNHDDNVITSSSLKTTSVAHVAVITSSSSMGQTTACDKVVVHLSHVVDDDPGWS TTVHTSKDELVTIPWYPDARIRCIVTTVSHMSAVESVFELGSLRQASHHVTWKTTCDT GTVTISLVRVDKTVTKPVSFTDPSVDSVAEELRELPWPTAVAVQYVATCVLPTVSVLA DRAAEDLAATCAPTPRPDQHPIDQIQDEWEADVVQTWLRQRWTKRAEYAAMLTTGSSI PATMLQRLDEGKWVKLTADAKTSALRFLPCNLHVQDLHVIDARGEAAAVYSTLTMGVF ASDHPTLADTEGSPQHATEEHETTWHARYKDEVQWATWVRETETNGQALATVVTAVVD QLNTQTQAASRWMEWVATKSVVFHMESLVSDHGKERQMLRDCAAAMEWLQSHVTLGLE LEEEGSNVYVVNQRDVVTSVNLVVQASDPSHMNSAKAAVHVVLRVRERFWNHVHELIG GGPCHDATHQGGGLGFREPPNMATGVVRITALLFTQGIDESQTLANKTGGLFKETFPD VVNQRSVDRLAAFVQDLDVYVEANMAQKLDPTDVYVYVWGGVCRCPQILQTWCA H257_15039 MLQSPLGLSFPNVHLTANATSVSSPLYMQWTVQGTESQCVPVVF LQSPRAADLVEPQTLWTVVSLVLYTFAFVVACLLVRLHGLSFVKTSMFHDLALVSLLL VLLTSLLVHVLWLTTLHRLTGDARGTSGIEAGFLVAIVEGLRQTLLWVFVVSAGVHWT ALSTPGLRRIHMESGRVVGVWVLVIISHFVVLLLYVVQNYSVLKCTYVDYLRNSAQTS IDRTTSTTSTIATLNSTTQQLWLPLLQPADWKYGVDKVCVDRTGGAFVLTFVPLHLLP LVVLVGLVVVGCSTKKTPTTTSSSTSTTTTPMHDDHVPLPVVSGSPNDHRSRTLSHTT TTRPPFSWISRLCAVLGIMPLAVHHFLTLYLYATSQHLPPVMWLVVGEYLPMLAPTLG YLVVQWTSSTGGGGMLLDTRLSHMIMRSTNHEQDASEQYRMPQDDTNNHDDNVITSSS LKTTSVAHVAVITSSSSMGQTTACDKVVVHLSHVVDDDPGWSTTVHTSKDELVTIPWY PDARIRCIVTTVSHMSAVESVFELGSLRQASHHVTWKTTCDTGTVTISLVRVDKTVTK PVSFTDPSVDSVAEELRELPWPTAVAVQYVATCVLPTVSVLADRAAEDLAATCAPTPR PDQHPIDQIQDEWEADVVQTWLRQRWTKRAEYAAMLTTGSSIPATMLQRLDEGKWVKL TADAKTSALRFLPCNLHVQDLHVIDARGEAAAVYSTLTMGVFASDHPTLADTEGSPQH ATEEHETTWHARYKDEVQWATWVRETETNGQALATVVTAVVDQLNTQTQAASRWMEWV ATKSVVFHMESLVSDHGKERQMLRDCAAAMEWLQSHVTLGLELEEEGSNVYVVNQRDV VTSVNLVVQASDPSHMNSAKAAVHVVLRVRERFWNHVHELIGGGPCHDATHQGGGLGF REPPNMATGVVRITALLFTQGIDESQTLANKTGGLFKETFPDVVNQRSVDRLAAFVQD LDMSPDIADVVRMKLAALTQSILQAKRERVKKKHPEILQVAAHITRLIGGAARVTACA SGNDRTAMSVTLEHGWILGHFHHVPAPGVRRAVAAMRSEGVCLDVIEKNRGTRQYSFS SLQRSMLPEAYRCPEGTYDSSAAGRC H257_15039 MLQSPLGLSFPNVHLTANATSVSSPLYMQWTVQGTESQCVPVVF LQSPRAADLVEPQTLWTVVSLVLYTFAFVVACLLVRLHGLSFVKTSMFHDLALVSLLL VLLTSLLVHVLWLTTLHRLTGDARGTSGIEAGFLVAIVEGLRQTLLWVFVVSAGVHWT ALSTPGLRRIHMESGRVVGVWVLVIISHFVVLLLYVVQNYSVLKCTYVDYLRNSAQTS IDRTTSTTSTIATLNSTTQQLWLPLLQPADWKYGVDKVCVDRTGGAFVLTFVPLHLLP LVVLVGLVVVGCSTKKTPTTTSSSTSTTTTPMHDDHVPLPVVSGSPNDHRSRTLSHTT TTRPPFSWISRLCAVLGIMPLAVHHFLTLYLYATSQHLPPVMWLVVGEYLPMLAPTLG YLVVQWTSSTGGGGMLLDTRLSHMIMRSTNHEQDASEQYRMPQDDTNNHDDNVITSSS LKTTSVAHVAVITSSSSMGQTTACDKVVVHLSHVVDDDPGWSTTVHTSKDELVTIPWY PDARIRCIVTTVSHMSAVESVFELGSLRQASHHVTWKTTCDTGTVTISLVRVDKTVTK PVSFTDPSVDSVAEELRELPWPTAVAVQYVATCVLPTVSVLADRAAEDLAATCAPTPR PDQHPIDQIQDEWEADVVQTWLRQRWTKRAEYAAMLTTGSSIPATMLQRLDEGKWVKL TADAKTSALRFLPCNLHVQDLHVIDARGEAAAVYSTLTMGVFASDHPTLADTEGSPQH ATEEHETTWHARYKDEVQWATWVRETETNGQALATVVTAVVDQLNTQTQAASRWMEWV ATKSVVFHMESLVSDHGKERQMLRDCAAAMEWLQSHVTLGLELEEEGSNVYVVNQRDV VTSVNLVVQASDPSHMNSAKAAVHVVLRVRERFWNHVHELIGGGPCHDATHQGGGLGF REPPNMATGVVRITALLFTQGIDESQTLANKTGGLFKETFPDVVNQRSVDRLAAFVQD LDVYVEANMAQKLDPTDVYVYVWGGVCRCPQILQTWCA H257_15040 MEPQPIMKPAAASTRAESPTHVEALLVQVHAPRRVTFTTATTYL FDVAYGGSALPKATGPPIGLAPTHIHIAHVDLSTSAHCRRGSVRKFTHLERIDMLKRA EYHVQDIAAFCVEALAIRKSRAIAADEARAEKKRKRMHDELMEQAVRVPRDMSGRPRM WSGSAQVMAEA H257_15041 MVNLAEGSIMVIRRRNGVARCCCSDNVSSSMRFMCCFSALASSA AIARDFRIASASTQNAAMSCTWYSARFSMSMRSKWVNLRTLPRRQCADVPRSTWVMCV CDGARPMGGPVVFGSALPPYATSKR H257_15042 METTNGEAADIMLHLLHAATTAAVALAKETITDNDVMEEDASPT HVEALFEVTAPVSRPRVVTFTNATTFVFNVAYGGSALPKESGPPIGMCSVHTDEFHED LREEPPPPCRRRVRKFDHLERIDMLKRAKYHVQDIATFCMDAIDIRKSRQRTLDELSG DNKRKHDDDDQDETNECEAMESTDDSRRCLTQQGE H257_15043 MLSKCMTTPAKHDALPRHLPDSPVTVDCNSLVVHDIPSMELDVR VRGGAVQFTTTTTFTFPLTYGGSALPKDSGPPIGMAMNHVTVQVADATTPKRRRSRVR KFSHLERIELLKAAEINPRHIAAYCAEAVEIRASRAKTLRYLKRRMSGDLEDCEDDDS VEPTTTLVEHKRRRMYIPALSELLD H257_15044 MQRCGGGGRDETLSTVCPLPWLQQPNHQMVLATAATVLGLQRHA YHHKAPCVVPSIDHSLVHVEMFGLSVEWFSTTTMYTYNEVGERGVVRRDADPRRYRAV RRFNRWGQLKATMTNPREEQRKKRRSMHFGRRHRGVLQ H257_15045 MLPMSTPPSTPATMGTGSLCVTQPPTKAVQFTTTTTYIFHTALG GSALPTETGPALGLARRHFDIHVADLPSSRYCRRGRVRKIGHHERIDLLKAAGFPVKD IAEFCSEAIDIRQSRAATRIEAKLKRKVEADMDGAASKRQRRVLC H257_15046 MAATNNKSTTTFTSPSTPRNVTTTTCRPPSSPTPTWQSNVDIPT RRTMIHKLLWVFQHKHQAMQRLLSASLDPSHGLTSRHPPPMVDARLPSLVRRLELALY LRAASLDEYLNEQSLHRRVQHLIVSLHHQTVLHFRAQEGLLKRPRPSDDDDLLPHTTM PAKKHHSHRLLPQGILFLNNQEHVLGVVFSFLDGVDVVRCMGLNRFAASVLPLHVRYL DLSWVQFTSAVQRRLQRFQKLERLAISPPRPSLSTTLRTTSNECLVEQLAAVLPTLKN LQQLTLANVYIHTDDVNATSALCRALHSCVSLTHLNLSGNAIGDCGMSSVAALLPSLP SLVYLDLRRNYIGEGGMLALASILQTGGTPLRLHTLLLGSNIAANSVNAVAAGVGHRR LQYLRVLGLEDNFVDLKGVEALARVLQQGVCPMLQELCIGDNVVDNQRIRSVFAFAKL AKNND H257_15047 MAGGIREGYLLHLDAIADNVGDIRYVALEEGVIKLYAAPDRLEA HLMHTISLTRHTVEVDIIPFNDGNGLPCRFVVHLTPYSDSSTSHDKEQFLLFSAPTSA ATTLWMKALLNWHRHCFDTSVRSLPINAEDQAAIDAQRATDLQQLEERMQQYDLTPRP PQNHASSTSSIWSWMQSLMS H257_15048 MPMVVVVSGGGKLQVVRTWMPYDSSSRVSSSSLEQAPSRHRRCL RASASRLCRFRLKSSTVSSLLLRTSIASKQKSVISLTGYAAALSNAMRSTWSNFRTVP YEAVRSRHWVRSVSVESQ H257_15049 MTRTCDLGDAVLRNMELLGGIFEFQDGLYEDALGFQHLHTASDE YKTLTRYHLIRESHTHYDTSLVPIFEHLDEVLSPWYAVHGSSRVLRLVQSMEYMRLMV LVHAAYFGLTDVMSLLLHHPPSHQSKGSTSSSCQGFPHLIDLAALNGQLSMLELLHSN PTNSTATTDAMDLAARKGHVSVVRFLHFHRSEGCSHFAMLHAATNGHLNVVQFLYQFR HHDGVPAAAFVSAAANGHLEVVRFFHTNDVHGCTTRAMDLAARGGHLEVVQFLHHHRR EGCTTTAMDLAAKNGHLEVVQFLHRHRQEGCTTLAMDGAVSNGHLDVVRFLHHRRVEG CTKYAMDNAAEHGHFNVLRFLHDHRNEGCTTSAMDRAASYGRLEIVQFLHDHRAEGCT PRALEIAARNGHLEVVTFLATRRHEGDIQSAIRHAKQNHHGGIVAFLEALN H257_15050 MWGFGWSRVVSCFVDVVSRPGECFNDVIDLWAAIGPVDGWWLSV ASLVGAGPLAGDDAVVVAVGLSMEREGDGTEVDTRGGGGRRMESAAVEEPGGPAAGLV GVDGGVLCTASMGVVVAVGSGPTGFAIVHGRFVGPST H257_15051 MDYTLLVVVGVEVLELVLVLVAGGCSSWTSNCWIMAWLASKRRW AFSACSLSNAMRSLCITRSLSRRSSCSRIKASSVAFAWSWSRVIAAKRSRSTSSRCWS CSFSAAST H257_15052 MSVEHDTKKKKWTYDEDSVLNTLGLHNMTTVSAWHDNNTWLTTQ PMSWATVLIDHVAHLRLSGTKSDTHESGTNEEDRIDRALLLYNEDHPKGSDFEILNCS NIVRSVPK H257_15053 MHRNVEVRSDKLCQQARGRRDRKSQVKFAGFSVGDFVFIGSVVN RPTKLALHWRGPFQVTRVITDHVMETQQLVPPYEATVHHACRLKMYHEGGRDVTEDLE AQVAFGDGGCHVERLDEARCVDGQHQVLVKWLGLDDEESSWESAANLLDDIPVVFRKW AVANKEDPAVAALIKTLDFP H257_15054 MVKVLISLSVLAAAAMAGSVTKLPESVTKLIDYSINPCEDFYQY ACGAWYKDVVIPPGRYQIDTAFYEIVIRNKAVLKKIYSDNKPKLGEYYNSCLDTATLS SLGLTQLEGSFKAIRSANTTLDLLIVAGELAKNGIPAFVDIKASADDNDLTKNALFGF RAPLSLDRRYYTIPSAWETVEAEYKVYIASVLQLAGYTAEQAAAAVPVIIRFEQTLAG VALRELEEIGAPVSPYTAFTYSQLDQKYPLLIGSWLKAHGFDIYDQLGGSNDWVGFKE LAYFDKTEVLLKNTTLDDLRTILEYKLIHASSNHLTPEFRTVNWNLFGKKIKHQKVEP TRERFCMSETGETLSDLLGQYFVDEVLSADAAKTADELAKALQSSFSTGIVTADWLDN STRANAQMKLSKLVHALGGPEKSQLYPTLTFDSNTYLNNRWKVSQVNVDSNLKLNGQP VDRRKFSDPPHVVSAYYVSQTNQITLPAGILQKPFFDGQFDAAQNFGGIGTVIGHEIT HGFDNRGRKYDGDGNLKQWWSNATSTAFNTKSQCIIDQYANFVVKSEVTGAELGNISA VFSLDENIADNGGLKTSFRAYHEYLKKFPSQYTEEAGDKLFYLSYAQSWCSKSTDASL NMSLRGRHPPNRFRVTGALQNDAEFARVFECPTDSYLNPSNKCLLWE H257_15055 MKTIALLIVSAVVAASDVTNVAAVPQGVVSDVKESQHLREGKPT DAQKKEWGLWGLGRWGLGADWGCGSLGLGLGCGGGCF H257_15056 MLFESVLQPNDPSRQQTKSNAADVANLFAVDLLHVLSVKPADET LPPTQGIAITQLLLHVHVNNSTDDMTSVVTSGSIAEIRLSPESKLFSFHPVELFRLRV QETAVLAKEGKRVLILEKHDVVGGVAQPRVEPLIAVPRPNF H257_15057 MKGDNKKLAELPVAMHGVIGNTPMSDNPTDPDCGLLVVADFLLS NGVLNAMNFTCIDKVQPLNFDIPDALALELFDLDGGAMDDKIHNPAQDAKDYKSKYTD VKVYKSKYTQMKVGVIVLSFAVVGLGVYAFLKHREAKATRGKYAVYEDACTSNAS H257_15058 MVSSQHLIRQALLNSRPKVWSVELPNLSQSGIDKYLDEEASFLK STAGKKYDPERAHDHMRSSFQAYLAGTVHMESNVDYQELVAGARANLEESNTASNTYV APDKDPFCLDPIYCELSSMPFGPNETRLPDETVNTRSQLEECGASHIESPNDGSESDS SDLEIDEEEDASSLAGPRRPGQSSAFVPVGPAEDYINRPDVMCKDISWFEFTSKFFRA NRADGTSCDKLFMERHSLHKSKCIGVHRLARIPVLTDGVRVPFFGDCLKPEESDYHAQ VALTTMSVEKKAAKTRTSREEEQNNQMENDDGRTEPDDFDDIDFENALLVASKHPATS HLDCDDARDTTSELYQAVSSSEMSNQVKFPTTTPIDADIAALVGGQSLDEASTTASPF WVLGTSDFVAVSSLRRMSTV H257_15059 MPTPMATVYVQRNGVPTAILVPATGIIRQPQPTSSKNDSASDTT ECTKRYMESPEQHRARLDSQRTQRSLESREGRVMCLSKLQAKRKLELTEDRGIRLNAM KVQHAAQRSAESPEVRSSRLGYLKAQRKALKMSETVEEREGRLERRRQGLQHVQEAKS NEVTASVAQCTALDDAGLLHECSKDMKSQILGELGFAVGPSGMDQCVCCVCICNGFHV YSTEDKDILQSMAARLRNPDLKFSDELVAFYDCKDIHPAFVGLLLSRKGITHAGNVND IKNPRDIDFNVCLECETVLLQPWLSELPRHYLFPGEPVDSERDIDHEFDDHDEHAIVY ADQEACLYDDPIEDNDGSSIDALAEHAIANHFVVGELPDELFKATWAEMLMCSLVSVV AQTRIIRGGEHRMIRSHLILFDAVPGPTATLLPLKLNRDAMYRVVLAGPFTKDQLVKV KEYHLVRQAMIMDVLQFYKSNNRFYADVSIDGDLIASLPAEDILDGIIDEALGVDEES STVDNEQATVNGFSGGPDEGSIKHECAYVERSVLFTQTASDMAPVNEKEVLESIKNKI HQRTPRTDPAVPEFNVHTSNKISNYFEGGIDVRMFPHLFPFSRGYTKERARRVPVSKL QCCRLYCSLNSRRFAQDRYFVMVSFDRFGLDRGFINSNFSTKVRPPMHTPVAKISHDD MRKGLENQDSRRFDRTPKYKFVNKAVGALLRSVECSSSFVWGSNAERRMHRREAFATA DRFGQPSLFVTITPNVDGTITLAYLAGGIQVKSLFDVQYLKHMPDKATMQQLAMNDNM ASATLFDRSIEAFIKVVVGFDKSTGRPRKTGGQFGNVKAYFGMVETQGRGSLHLHLLA WVYGAPRSTSEFEARLQADLNYEAMVLKYSEGIVSNSLSIDLLQTPCKACEHEDTKYE ALSTLP H257_15060 MHLMCLAALFVVNVFLAVTSSVVALANIPRNGWYDCPLSTFELK PPSKDYNATANSLYNEEKKRISRVFTQCAVFDMPFCHNDTSCTPAPGKTMPLFVKGIP ASVEGSKKALIMLEGGPSVSSATLESLMNKFHRELSGAFHIFTVDHRGTGRSGLLDCP AAQALSFGSRYSNEIALQEVPNCLRDIHHQFGTNAAAAYSVTNAAKDLAVLIETELAD YDVYLHGFSYGTMLLERVMHFSPPQVKGYILDSIVADHREPQGTGNNHVFSSWDDDLK DVSQHFLDLCQKDTAVCGKLLGENATATLFNLYDTLDSNASACSTIFYDTLEIKPSII LRVFFFKLLRDLDRRKFIPTFATRLQRCNAQDKAVITAILTKNDPVDHRMALDSNIIR DTIVFAELWQVPTPSMEDIMQQYLASPMAKSDPDDVGRYCLFTGSNSSACEPWVDMNV PPWTYTPDKYFNKTAAIPIGVSVLGLTGNLDPMTTSKHARRHFKNMKGDNKKLVEFPV AVHGVIGNTPLSDNPTDPDCGLLVVADFLLANGALDAINLTCMDKVQPLNFDIPDALA LELFDLDGGAMDGKILNPAQDAKDYKSKYTDMKVYKSKYTQMKVGVIVLSFAVVGLGV YAFLKHREAKATRGKYAVYEDACTGNAS H257_15061 METRTRPITSFRVLAHIVAFVRQWANHCGRYTPSSVITLGSLRL WLDILTPVDATASPAVNISLPPIEMFEVRVVIYKTKEVMPGDDLSEMSDLFIKLWMLS NNDKAQHTDIHWRAKNGKASFNWRMKFDIALPVDPQNELDKARIALKYGTIIINLIIN VVMDNSSSGHMLDNKLWGASKAFLLQFLCGKHIDALT H257_15062 MDEETAVCAAVLAAVTAALTPFDGRSNRGPIENNFVVPNNTWLL VKSTTSLNAWFTRHLRCPRPTFNRIVDSIRTAWPTVHPALHHLNRFGIDDRVACTLHY LTHSDGYESTAELFGISKTRAYEYCNQVFAVVQLCFVLDTISMPTTHAQWEDIRTGFE AYGFPNAYGAIDGSLISIKRFEDFTGWYCRKGFPAFNMQAVVDHRMKFMSYSLRSGSQ NDKALFNHSVFGKTCHQRVPGRGCFLGDAGYKLYSHLMTPYTIYSDMPQDEAHYNTIH SRSRMVVERAFGLWKNKFRVFKTELLQHRPSDMARLIEVSLVFHNWFIEFNEELDNFE PEFFPEWMHIGGDTVFDEELNQVDGAPAPSTWFSLIKLYLIQHVSI H257_15063 MTKAQLLEQVQLLQQQLSHQPQQLQGDNDVAATTTPKKRISTKP STSSIASSMATSLVDMASEAPVVYTHSMVNALLELRFTGFRASFNADLSSKQLHVLWE KLALRFNILTEQPRKVSVDSLKNKLGKLRSEFVAIQRSLTATGNDELSTPPKPSYYSE MLVAFADLHGLGDIEFGMERTPSASPGAEDDVEVLEDLHATGAMQQNKRKVEIDLEMQ RQHQMRKKHNPDLAAGLSNLGEALASGLIEAAKVKNSRSSGVDMSEQMTKLLDLMEET KASIDKTNDVNDKMLQFLQGKF H257_15064 MPAGSKLSDKEQGMILALKAVVKGLREIERLIGRSKGAITLFLK DAAAYNTKKRTGRPPKVTHTDIRRLIRTASNSFLSSRELVVQCHLTIKARRARQLLAT CDHLRTCSDQ H257_15065 MLLGILSELESGTKDLQAEDVTILDARNLFVETILLYPDADKRL RPNAEIVLSAVEREGVCGLQINYPAAQAPSGKPLNLSEQAKNERRQVTRSSIHLDEKW FNADKDCRKVYLVGDEVVKQRPCKSKRFISKIMFLGAVARPREAVGFDGKIGMWRFVS QVGGARSTWTAGTTSPTAGASKTISTSSASLAKRKLVKHLYGSVDMAFEKVGSYFELL KARNPGYCLRYIVANINTAQGRPLSSTEEGLAFKLARSDSHETFARHMEKLRALNPDA ADYLLTIHVEHGVTYAFTRSTFVNVTSNMSEFANQWLGTELRSSDAKQQCEVA H257_15066 MSSKKGYHNGINLVVIWLHSSGRSNMVNDDGSINLNEFGYAEFT EFVLYKYKHAKVSPSTLSGYRSAIKDYYNRLNVPIPAGFANDATVIFQGIRRLCASET QSGTIKPGGKQPLRHLQYVALCKESLSKLDADFTHLFLILTWNLMCRSKSTSTVRIDH LTDEGDAIGVTFFKSKTDQGGTKRRDPKHVYANPLRPETCCILALALYLACNTQHDSG NLFPGSAQRDRFGRGLSQLVEDALPAASNSLGTHSLRKALHVRPRPMSSSSTPITPAH QLVLDRMYLVFGEQDTATLLGGLSPENQARLVEGIG H257_15067 MHEVVEGGKAQRVHEAFGKRQIFGTALQVRHRESDSSVVVQDTL RVRSGLNLEDPAEEFVGLRSTKFRDLEFDRLQPGGLCCSEVWSAYVRRSTLVALRASD YSKVRPWAAMVDSWLSKAA H257_15068 MALVRAQPGEIREAIEQLLEEKGVIAGNITHTMLEKMLKNTVKS IVESSTTSQAQSPHENEECAVPRPIHNWGGRWHMLPEDFLLPSADVATAWNLWWCGSP SRGIPPLYRLDARDFTKKQAKIFCEWSFAVHELQEIYSNACGSDMSRPYISATGCKSM SRLVGAAISGRDLVNCTTKDSEINPISAGYLWGYATGSHDDTCGYGEPCHVLFAARYG STAVMHEERLRNIMVVETSKQ H257_15069 MDLLGKLAQGGCAADGTVAARNPLSQALDGVLRAGPMGRAQQHH PSEAPPGQYTQMDIHQAMADAALHHMRDQPPPFHHHDPRTATHAPPQVDMNHVWLQEK IQRDAAHRQMDNAFQHSSAASTSAPMMQQSQDHRVEFAPQQQYMHHHHGPPSSYIQQQ QQGLHHPPQMMPPPPPTFMQTQQLALEHQQHVAHVETVAKNDPSNTQRLSRDVASTMR QHGDARFHKSEFVQFMDALGSGRAELDDAGNTVVHHDSPTSELLADALDKDLTTLGGE DDAHDGGGLDVNALEQIWAKAMQEGQMLDPFQENGWSSTDHDAAHVAYEFSDTVNPYT SDANAFEVGCEQFRQGNLKEAILAFEASLQEAPDHSDAWRMLGECHAENDQDKQAISC LNRAVEEDPYNLDALLALGVSNVNELKSHGALTTLQLWVQHNPKFHGLQVQLDDAYGD GSLMDEVMQLMLQAQAFDPQDSDVQVVLGVLYNVSKDYDAAARSFRAAASARPEEYSL WNKLGATLANSSRSNEAIPSYHRALEIKPKYARGWLNLGISHANLGQYEDAAHCYLQA LQQNDQADHIWSYLRIAFTCMERFDLVKLTDTKDVEAFRSEFQLVAL H257_15070 MPFPLFRRNKPKPKQPVEEKDGVAKKQEVVVLPPPLFEDKDDVN AIANETNHLLQRITTTMSPSRKRPRKVVGRVTIEVLDTFPPSARLEEPQNASKTDPSS KENAQLGVESMPPLAPLDTGDAFGFEEEEDRVRDAADIFVPSTIPGKKALEAQVEVLD NEKQRLSRRHRNGGIASMDTLILPAPEVDNDAPQSRSRDAESKAAIKIQASGRGFLAR RKQSSQVHADKEPTHGTLWRQISDPDRGETWYYNTETGLSQWEPPPPSNKPIASSSPL PRLSKDPPHASTTRTFMEPPVAKNHDQGVSSLPLLSPKLTPTPFGSVPHQAPRHSHPL PALHAPILLQDFDDDLPSTLTPSATPSDPTSRSPPSPSPHDHASPHLIHPNHDAPSRH PDDSADGDMVDWMDDTALFLADGSKNHKLRDTIRDALKVSKFDSVSALLTSSISFNAV KRERYRRPNPLSSPSSSGGSTRDVVLGKKPDTFLVAVLATDKTKKRTTSKQSYASRQL RIRDVADAGFTDVPGPLSKASSSLPPRTSSSTSSSPDRTQCFACWSAIKGCLCDAHTD PLDTRPASESALMCSNWEIDQLRRKYRAEEIQEIFMKANSSLRYDKQRKAYITIVECR HPIYRAVDATLLTFNKTMRRKLHTRAWFRSFLEQLRLGTVSKASPPTLLKLRDTLRNG KWCQAYSDSVWHFHPVAPVTDKSFSPDPILDVIAMNPAAPHDLRHWVLKTDSPVPVVL YRPRKYDLLPRRCIPMPQPSFLDQIPLPVPNRYIDGCHKLSWVERVCARQSHASVYRA TAQIKACTPSRGFDKPRRTRVTPPTCVLFANFGRKPSPDNMAVGGLVAELLIYLVVTT FVPPQFGNFTVTDRRALCPKTTPDASAVYLCLEIDPKTSTYVVRPLEHALNTRRAPTI VIIVQGEVAEPCLNRPEQTSEEAFFGFRTILEWTGLFIPDETRPVTFVPSGDVLTFNT PSFNATITTRADRYYPFCEPTTRESTIAEFMHLLWMGKSSRNQPQCFTNLGSQDPGEF MKNCNVDGAMGQCIPVIYRSWAFMQGSPFEEFVTDDGLAYWYDKRTGVTYWERPLLDQ EKHRGDDGDIDGVVIDGRSERATVGVGAPDARYSQQDMRKYLTKSMESPLERAARVKA LRISAQKHSITLQPDVVVVKEEKVVPPPRMSRIHVPPLPFQSTPQNQPTASALGGSAV SRGGESASMTASAKQVPPMTNDHPVVNDQTKKLIDTLTQALGASMGQTNVMDVLQLGI GLGMGLGMNGGNSFLEQAPLDDSMSESDASPNRGSHTASPDDVATSRTDRSSVMPDAT PDELPPGGKTVSHAFGTDFVTHPPPGEGVAWVDKPVDFTEDSQTAVDGFRGAVHRSVA ALPRNFVQCATATKTVKMEANYLPTMNNKNQPRSMGIVRPRTAADEWLTVGYDPWVAG KDLFNCEFVKSLAVEDDDTPAVAGAAFLDTTEHAARQEVVTQAAKEAMELEHIFSLCR HGKYAEVESLLNQPDWTVPIDAQDSAGNTLLSIACQNNNKRIAKLCLRKGADVNTQNL NGQTVLHYAHAYGFHDLMEYMMEKGAKDDVLNKDGLTCYEGLNAEAVDAI H257_15071 MSVLLQTSLGEVVVDLLIKEAPRTCLNFLKLCKIKYYNNVLFFN VQENLLVQSGDPTGTGGGGDSIWHVLDPKASRAFPDELAVKPSLNKGCLCMANTGPDT NTSQFFVTMRDDDLEHLVKHTVFGHVVEGLDILQKISELYADDKGRPYQDCRILHTFV LEDPFPDPKGLVEPPSSPVADRPASEVAEIRLSVLDNLDDNDGKTEEELLAMQREREA KSRGVFLEVVGDIPDADVKPPEEVLFVCKLNPVTSAEDLELIFSRFGPCTAHIILDYK TGDSLCFGFVEFTDKEHCVEAFFKMNNVLIDDRRIKVNFCQSVSKLWNKFQRKDGKIS AADGGDDPTHSRRGDRRHQNPSSRHVVLKPQRPPSSFQLVEEAEAKDGKRRQRSRSQD DTRRHHRRSHDRPTSRHYRDESSSKRPKNKDDRSPPRRRRSRSRDDAKDSRRRR H257_15072 MQTSYMHHPATSQCTIPASPKSFASSILPDGSGRPPLRLVAPST QSSPCKIVTTTHPTIQWEWLAHSLETHPSAWSSLAATSHAARAATDAIQTILVAMLYM ASALSSPQRSIAGEAQAPYPVHPVSISDVTVNNPGHWTHVCCT H257_15073 MVNPTYPPESKAEPLSAAAAASFQARDGTSKSFASVLLPETRTI SVAFPASTLQLTGLVGLTAALDHMQTSYMHHPATSQCTIPASPKSFASSILPDGSGRP PLRLVAPSTQSSPCKIVTTTHPTIQWEWLAHSLETHPSAWSSLAATSHAARAATDAIQ TILVAMLYMASALGSP H257_15074 MVNPTYPPESKAEPLSAAAAASFQARDGTSKSFASVLLPETRTI SVAFPASTLQLTGLVGLTAALDHMQTSYMHHPATSQCTIPASPKSFASSILPDGSGRP PLRLVAPSTQSSPCKIVTTTHPTIQWEWLAHSLETHPSAWSSLAATSHAARAATDAIQ TILVAMLYMASALGSP H257_15075 MNVNALISDIIQVPHRAPSTSQSVEAIHASLAAFKAFSHHATSS SDEGHRDLARALEQLENSACAWFPQYGCVILAIAAGYNALSHLVLLYPDMWQANVSHR STGATFTAKPSGTTVLDVGTIPTEAVEGFRDRLQALLHKVATRHGNGRSAVNGQGHQK TPHFIHALARQVLSSIVVEVAPYGGSTDVGMHTGTQPRATAHPLVEAALYYFLAHPPS YDRLRGHFLLWVAKQYNVEMTIDSINTAMSLVDAIALPALDMDEHGANVKAITEQLQM LRATLDSQYLHFTSTKAERFKIVEPNDVRYPALVSDALRSSQVPTTPLTMQERQARAL ANSGALPNFPHYGNVSPGSFQQVLTWISSDARLKAGKEQDACLLVLNEIHEMMWSCAK HLSATQSPMHLSVDDVSALDQLVTAYSELLDAWLTSNDGRHQMMTKLRSYEVVVTWMG YCLVHQHCAQEYPLVLAYQTPLNWMNLGSLVMEDKRAIDAMRLVAGYIRCINNAARLP LFSLASIGGTVEFSQKFAETCDEMQQRWSSEEEATSRRMETYMNQVRAKQVRAAKLRA ELPGLQSALSVASTEYTQAQQAEEATRINYPDVYVCKRRHGYYKTSDQACTAVHATSS ALSRMNAAQRNWDAKNAEISKTIVPPPFVVCPLPELADKAFSVLFFFLIPPSLDTLSR LAVEAQVSLVPRPPGLDDHDVGVTPTKTSWVQHYNTYSFGCPAAYPCHWTIYPVGLYI PTQWGPSTVDGITHAHASFWFPTEFEHGLAWSANLNPHLVTEAQMHAFFMDQLDDRQF QWIMEFPACASQGESSRGNLSYANLHDIPPGLSKAEFLTMGSLRAYPNQQIRKLVQAL HASTLPLSHPLVLSVLCQTMYHVGALSDADVPALLWKRDLDDNMGWLEKWYAGLWNQL GLLRDSIRDYKAFIAGATMASYLSQFYPQARQLAREFVAVAKNWATIIRNEMDDAITT ATQRLDLRAKQCLMYGYGILALSAVGAFDTNDVSNLVECIVMFRYGLLFGEGNKMQTE LSWLQVQVAHVMACRIHDVLATLQSTGEGGDDIISRAMGGVFPHLQVQPSRVDEIVSL ATTVVGNGKVSKAMSCLLPTPAIQWTIISQTSCFESTVVVRGEPEHYLINVLTGCVLL NGNPPCRLPRSIVQHATYTRYFDEQDFDVMAICDTVFRTTQTCDDVYFEFAIVNATTI RIRSIHSQTERVLELVELTESAWMSGLPIRLLKMHSHWLDCTQKLLVFRPPTFKSRVN QQYLAVLDDPSRCFEVPYASQHIELTEVIAMRQSYRYFVEESTVPWIATALSKFENVA YVHAMMTPGKILQVHLPRYGLTFEGHTVNPRSLEFTEFHLATTQQLDFTLPFFEHYLV LERLVECPGQPSTKLLVPNGMVVVRNGMVIVQQTDACDAALSYFTYDFAPHSNQLSAN SIVGRLQLAAIFAASSSSVPDPHLNMTASEMALILLRQCWVSRPWTELEASKLANLAS FAYKEPALAILCERFAKQALDRAFLYVAVPAEPNHAPNELVNTSKCELRGWNTQTMPW NDLRRGLQPHEMIEAFGPIPRPRRHDSPPGSYSVSSIPPCPVSKDVVAIVERSVLSMV EYQSKTSSRPYPLKKQKLNNSIEAHVGSLLEKSWHHYQDLAQPMFTQSKDNMEKVLQR TQRQVQGKVDTLESYLVDVVRNCIPTRHVHRMNLRRACNRVANPTLRDMLVWAHSPHE VLRFNPYFSPEAVKAIQGVTQLYLATVVLNARLCRILHLIESTASDAQVLQELQVTRT WSIEDHPRWLVFEVEGSLQIRPEQATIAQHLLNEPSGTICQLNMGLGKTRVILPLLIL HYTSQGHVPRVHILGPILQEALAFFHLHLSASTLAIRILDQPFHRQAELTSFGMSILG QPIMNACYVVAPEHRLSLEMKLQEWVYEKNVHAEPLAALLAQSKFVDIFDEVDALLHH RYQLVYAMGTPDRLDNCETRAVVAQGLLAVLNTCDRDSRLHQWIFQHGLQNTNKSKSA FREIRLKVGVPEAALEEFRRLVAHAMIAHSSVHFQWLGLWCQKSSAHKDALVRCLLLK DGEIDGLQCLASTSAYASMLALRGFLAFGILEHCLQQRPRVQYGVDPHRHPKRLAIPF RAADVPSARAEFGHPDVSIVLTTLAYYYQGLTETQVLEAIKVLLSLGRSARTNEYETW FSPIRDELQPKESQMLDNCSKLDTSNGPQMTLLVAKLAFSTNLIDFWLRRCVFPTDLV QYPARIASSAWDMANSDHAKGFSGTNESNPILPTQITPNQPQLPSLLATNGLMLDRLM TCTVTCHALAAGTLWKSLMEYVVAGGYEALIDTGSLLAGISNEAISMYMLNHDNLHAK LGAIVYFDPPQGCWMSLNRATRHAVPLHDSPIKERDSFVLFDEARSRGTDMKMRASAV AVLTLGPKLTKDKLMQGAGRLRLLGKHQRVVLAVPPEIQQTLPSVTLPGILEWVVKNT AANIEAGLPSWSEQGLFFCKSKQEAFQAVVEEHWALKDLYELPVRVQKLSSYVADQVE TDYDGSDMAKLIADQCASLGVEVDVSLSYGEECERELQVEEEEQQEKDVEMREQKPRV EASWNYSSILTAKSAQDVQTTVVPLAEGIPQLWGLGDVAWPATIFGTTSFFNTLASKT TLKYARVVDSVALFPNGDVLLLSDKEADAVLELVWARPSRSVLGLLRGRLNPQFTLEN VAMLHHAADGTPSPMAVGNEVALALLNGVTRFDATTQPSLKALLAKPLARVAISNLID ARGEGRNWIESDLEKACKLMELVDVANE H257_15076 MGIIRAHSVLSAATTYWAETAYTVEQFVAQAGSVGSGGTQCSEG TKLLANDTIDVLLGNVFDLDVEESDDEPSRVDSNDTDDATFPTTLEVETEWACFNLHR VEFHWLQMHKHDPQRSPMDVSFMKELWEGMKFPEKKLYSAEQVERLARSAMALAYFRQ SMEPHIPRNDVKAITYDPLPVVSSIAKDISNLSASRIQDVAKKYPATSLPTDKEHATA KAEEKPRYQSPPPS H257_15077 MSNHLAYSPTPEVDLSHASQSKRESSVLDQDLAVDLDAWRATAL DGIDGCDRPMVWRVLLHVVGPHPTEWARELDVKRAGYSHLVRDQSPFHDNNLDHNLNV VTRRRDLVKEDETLLHDIQKDVARTHVALPFFSLHGMASDWMVRILFLFAKTHEDIGY SQGMHEILAPLLYVFGTDVDLAWSQHAEADAFAAFECIMHLLAPLHLTSKHQPTRTGV QVQMARLHTLLRQHDATVWLQLNSLGVHPEYYSFRWYITLLAHELEMNDTLRLWDALL ADSKRFAFVHYVCVALILSHRHALLDRQADFGTCLTALQSKPTVGIEALLAKANQLRE VDRRADLARVGRSTGGIGLSTK H257_15078 MNPPLPPNSLGRGGYPPYPKQPPLPHEDHSSATQYPPHAQQQQQ HQRHHQQHNQHHPSNHHHAPYYPPPPHHHNHHQPPPYQQHRNPYPNQHQQHNHYPQHH RQPHHDPHVHPPLPGYHDAAPRHQGPPPPGYHPPQPRMASGRPAPPPPSYPMDRPQSS YNAPPPPPYHYPPPTNPGGMYQPPMPSIPPMSYVCRKCNLGGHWIHDCMKKPNQQLKQ PPTKSQQSHGDWHCEPCEKHFAMKSQFDAHVLTHEACWAPGCDFSASKRVVTSHHQTA HGQYAGSGLKEIEVEGQKFHVLVGNSPEDITKWREERRKKWPSDANVKRKNDQHQDRV QAGDVTTASPSSKRQKKTPTDNSIPIKVDITHKPPHEPTDAWSGIDSIVDNPTTATSP AKTPAVKKKSSKFCVKFIRNACEDGANCLFNHDIESIPCKQFVAKGTCKRGDECNFAH TGALSTAQDKAKQQYLKLQATQVKEHKSSLLRKLLAKDIDKEHRHILQAFRYLVEHQF FQPTPDDDVEVLE H257_15078 MNPPLPPNSLGRGGYPPYPKQPPLPHEDHSSATQYPPHAQQQQQ HQRHHQQHNQHHPSNHHHAPYYPPPPHHHNHHQPPPYQQHRNPYPNQHQQHNHYPQHH RQPHHDPHVHPPLPGYHDAAPRHQGPPPPGYHPPQPRMASGRPAPPPPSYPMDRPQSS YNAPPPPPYHYPPPTNPGGMYQPPMPSIPPMSYVCRKCNLGGHWIHDCMKKPNQQLKQ PPTKSQQSHGDWHCEPCEKHFAMKSQFDAHVLTHEACWAPGCDFSASKRVVTSHHQTA HGQYAGSGLKEIEVEGQKFHVLVGNSPEDITKWREERRKKWPSDANVKRKNDQHQDRV QAGDVTTASPSSKRQKKTPTDNSIPIKVDITHVSTRIL H257_15079 MQWAATTTLKPAARNYGTLQPIPEEDHELSPMLRANYMSPALRK LSYRRQDSMPYAMKNGSTTLNPRALVYVISVPAVLFLFFMFIFILRANAPATDILWRD CAFEPWQTSDACDPTHALAYRASSIVANLTWEEKLSQCRVVQNELPQMNLSTFNYCQE AKFKKLLQVHPVEFAPIDMTSSCEHYYLRGPQPPRRTLNATDCKAAVHDLILNGVLLS LSREDVATCSGFHYTSTDVSTLNDVLMRLLAGRILADETQEVTADGIELGAPEDFHAG PNTASGVTL H257_15079 MQWAATTTLKPAARNYGTLQPIPEEDHELSPMLRANYMSPALRK LSYRRQDSMPYAMKNGSTTLNPRALVYVISVPAVLFLFFMFIFILRANAPATDILWRD CAFEPWQTSDACDPTHALAYRASSIVANLTWEEKLSQCRVVQNELPQMNLSTFNYCQE AKFKKLLQVHTCVIRVLNLELISCRNNYLVVGASCRVRAHRYDVILRALLLARPATTT AHSQCHRLQSSRARLDPKWRAAVVVPRRCRYMQRLPLHVHGCVDVERRAHATPCRPHP RR H257_15079 MQWAATTTLKPAARNYGTLQPIPEEDHELSPMLRANYMSPALRK LSYRRQDSMPYAMKNGSTTLNPRALVYVISVPAVLFLFFMFIFILRANAPATDILWRD CAFEPWQTSDACDPTHALAYRASSIVANLTWEEKLSQCRVVQNELPQMNLSTFNYCQE AKFKKLLQVHPVEFAPIDMTSSCEHYYLRGPQPPRRTLNATDCKAGTTSSCVGGT H257_15080 MPMSAAPLRRSFASTDLSSLARSFEEDEYASPPAIYLLPPRAPM KRRVGAPCYAVHDRRVRQRPSFKDLGGPDATIV H257_15081 MQFTPQQLVGAGRYSATTRIGNWNEDLMLEEARMKDYRAQKQKG GLGTVYRRKMEQANGRVPVSYWDDGFLRYNSYVVVEHVQTSGSLASDVWEETFTGSGE YVVSVGQRPPHATARATFLLVGPSERSSGIVKYGDSFRLMANEALRVDLTTNSLLPPL YLRSTLKSERAMSPISSHQNVTLSPVTDNSTLWVATKGDASGAEKFLATSTPISTHDN VGLIHKMTGILLHADAKYVIATDFGNETEVCCATMKNHSKSFNLHHERQGDRSADMHA KETQSPNLWRLALGSSPGAAEESRALPAPATPAIVLDLIVDALTRTSVFHVRALVHSL QAIDAKTTGLMEREDLKWAIKALESSSGKAALRDDQYDVLLSALDEGKKGFIRLTAFI DAIRGGSLSPSRMALVRDTYDGLTGAYGDVTLNVLRQAYDKGCEKPFQTIKSKPVNFL TLWTTQDPARLVSLHEFVDVYKDVSRAIADDSMFDQLLKNAWGV H257_15082 MAAYHVLETTCRLIDRGGLDRRTKLEATGRITHEMKKDPMLLEM FAVERIQNCARGLMSDTDTSVRTAALRVLRYSMINCASTAQAIKLGVHLFVSRSMERD AKLVGERIQALKVVRRLMDIDASQVPTSVVRSVVAIANHKEDNLRRVALETLRELAIA NVSVVMQCNGIKTLVDCILDPTCQDLADALLMTIMYLINEPANRNLIHSFVNVQVLLA PLTDTDTPAGTERRQRWTASRNAIVMMMRSWTGILIMTANPQGLRSLVRMLEQPVGDD VKKVVLATICDIFYTHAPLDKNGNDVDRSGDSIKGASSSAKQHAAAVVSTHAQTNLLD NYMVMVLLSLGHCGLLQALVALGTCANRTALAEPAIELLSEILHLSARLLPDQHCAML LALPQLVATTAYSQVDYLADLQTKPPEDRLQRERAIRSSEMLAELAHAVGASSSSGGL VTRQNPLAGGAANGVHLASELLRGTNRPLHNFSMQSPRDSAREMLVYDLKVHLDNQMD DHTFRDLLMHKSRVLSGKDWFRWNWDIISELLEGPLTNPARLSEAMKTKFFKRVSGFF RCDNSDKGYFAGLPWTPDYVPYLRPACQMYTLLLNHPEGIAFLKTDRRGQLLNEISTA LELEARPEAAIVESHLGELQARMFSPEYCSRRMLREYFTLLGLMSSSPEGLKMMQRIF ARLTKLGTAKVLTDKGQPMDMSKTQRAVKDKSQGHDFLCRLILANLDYTVEGSSRQLL TDWMNFGSESLRLYATCLLRALLRSEVEGFERWGIESLVAQLDKETSVARAALSVLEE AAESPVYLSAMIKKRPLQLVHLQAESLLLKFLSLPEGLAFLKDVPNWIPTKLVQWRQS KVVNYVHVVESHLLRGVFRDKQSVTTNTSKAALKPVPIPVTVPNRRSSNFKHGPHRDQ WGLEWLFRMPWNIEVKLVGPPGSGPPAHLTIDAYVDASETGHDAHTPSDGNHLNTIRI KGVVVDARNIPKPVTVNSQQTLQACLFLGAQPVDRKGHTKPAPTLNGGFPAPNDKEPG STGGVGGLGGLGGGGGGGLGMGGGGLGGNALTSTGGPPIRSRTESRGVIDRSSEQSGN LDSSMAYEAPDENKDWSSCGPEHRTAAALFQAATHDSLTEASVCPAGERAVWTFDMDT DNHQIKRVQLKSVEFTIQLLPSKAPTVPLPRHFYGELAKTDDGCAILSQSGHVAELVA SLKDPTAVPLEKRAALWTLGHIGGTNRGMDLLTSHADDLVECIVHMATSCDLLSLRGT SLFVLGLIARSSAGKRALSHAGWAVPRDPLASVAVPNSVATLFVWPTATPPYPSDLVD VSFKPFVSPHFVKSAKTTAKTKEILRLVGDLSSTISQKEAGASLNRMKGSHPELFEDT ETALAAHTILARYHYRLSARQFVMNLFEKADLSNAALNSFLWQGEDPQQAAPQGGRRR RSSVSAPSLSSTIQSLLHSHSKETVLATARHIPDHENTIFVGASEAMV H257_15083 MRAAFVAKMAGPVRTSMVRPMLTRQFHYQKGTAKDMMQKSCYYG VNFVIQHDATVFDAITRMAGINLGCLAVSDENNQFVGVVSERDYLKKVELQGLTAKST LISQIMTHRARLVVAKENESPEKLMTKMLNSDVRHLPVVDANNDIIGMLSIKDIVREV NREHRADLDTLASFSLGKGGHFVLD H257_15084 MHAYYMQYQQQQQWQAAQQAIKQQRHHHHQQLRQSIRELRQSTL RDVLRDRNAAMMNQLQDIQHHLHKHDEQQSQAAMTELLQQILHQQKKVEKLLSQPQPS DLNPQAEEEPANAPNVPQQADARGAAINPSQPPPRQAAKRTSAIPATSKSLDPAEGTA AAAPWTGGPPKRPPTAGAAAAPVERSQAGPHNATPHHDRGGKEEVMPVTGGALFSEDE EQLLAIPEDDYDTNDILADPPPPSQGKEDVAAQVTVTIQESKRHDSMRRKALMQHMNH STTGLKRPQWRLDADAANGVVSTFGEGAMAPVQVFRSVAMVVLSVVCLQRLSLARRKT LRDEEAQVMANMIQVYVEATRSWMAKEVRVPIASVLQDPTMNFGGGGGGADKGLKKKY KTLLFPKKVPKNTPQALVQLKVRLKGIVDAIVKTTLRPQDTPDAIAKFLRRVTTDGVY FPPDYLSAEERTALEFNSLGATRNMTMNPHRFNVVVLNFVVVRIVVPDLILRPWEGGI GSKVQPAAQVQHNLRVLATAMYTLCQQIVPTALLDPGTAAPAVVSSEPPPQAQSSTMR LLTQIPALLYPKDVLPLHDVDFAAFLIDQQEKLQTWLHQLQLVVHTQPPGKPTTTNEY HN H257_15084 MHAYYMQYQQQQQWQAAQQAIKQQRHHHHQQLRQSIRELRQSTL RDVLRDRNAAMMNQLQDIQHHLHKHDEQQSQAAMTELLQQILHQQKKVEKLLSQPQPS DLNPQAEEEPANAPNVPQQADARGAAINPSQPPPRQAAKRTSAIPATSKSLDPAEGTA AAAPWTGGPPKRPPTAGAAAAPVERSQAGPHNATPHHDRGGKEEVMPVTGGALFSEDE EQLLAIPEDDYDTNDILADPPPPSQGKEDVAAQESKRHDSMRRKALMQHMNHSTTGLK RPQWRLDADAANGVVSTFGEGAMAPVQVFRSVAMVVLSVVCLQRLSLARRKTLRDEEA QVMANMIQVYVEATRSWMAKEVRVPIASVLQDPTMNFGGGGGGADKGLKKKYKTLLFP KKVPKNTPQALVQLKVRLKGIVDAIVKTTLRPQDTPDAIAKFLRRVTTDGVYFPPDYL SAEERTALEFNSLGATRNMTMNPHRFNVVVLNFVVVRIVVPDLILRPWEGGIGSKVQP AAQVQHNLRVLATAMYTLCQQIVPTALLDPGTAAPAVVSSEPPPQAQSSTMRLLTQIP ALLYPKDVLPLHDVDFAAFLIDQQEKLQTWLHQLQLVVHTQPPGKPTTTNEYHN H257_15084 MHAYYMQYQQQQQWQAAQQAIKQQRHHHHQQLRQSIRELRQSTL RDVLRDRNAAMMNQLQDIQHHLHKHDEQQSQAAMTELLQQILHQQKKAEEEPANAPNV PQQADARGAAINPSQPPPRQAAKRTSAIPATSKSLDPAEGTAAAAPWTGGPPKRPPTA GAAAAPVERSQAGPHNATPHHDRGGKEEVMPVTGGALFSEDEEQLLAIPEDDYDTNDI LADPPPPSQGKEDVAAQVTVTIQESKRHDSMRRKALMQHMNHSTTGLKRPQWRLDADA ANGVVSTFGEGAMAPVQVFRSVAMVVLSVVCLQRLSLARRKTLRDEEAQVMANMIQVY VEATRSWMAKEVRVPIASVLQDPTMNFGGGGGGADKGLKKKYKTLLFPKKVPKNTPQA LVQLKVRLKGIVDAIVKTTLRPQDTPDAIAKFLRRVTTDGVYFPPDYLSAEERTALEF NSLGATRNMTMNPHRFNVVVLNFVVVRIVVPDLILRPWEGGIGSKVQPAAQVQHNLRV LATAMYTLCQQIVPTALLDPGTAAPAVVSSEPPPQAQSSTMRLLTQIPALLYPKDVLP LHDVDFAAFLIDQQEKLQTWLHQLQLVVHTQPPGKPTTTNEYHN H257_15084 MHAYYMQYQQQQQWQAAQQAIKQQRHHHHQQLRQSIRELRQSTL RDVLRDRNAAMMNQLQDIQHHLHKHDEQQSQAAMTELLQQILHQQKKAEEEPANAPNV PQQADARGAAINPSQPPPRQAAKRTSAIPATSKSLDPAEGTAAAAPWTGGPPKRPPTA GAAAAPVERSQAGPHNATPHHDRGGKEEVMPVTGGALFSEDEEQLLAIPEDDYDTNDI LADPPPPSQGKEDVAAQESKRHDSMRRKALMQHMNHSTTGLKRPQWRLDADAANGVVS TFGEGAMAPVQVFRSVAMVVLSVVCLQRLSLARRKTLRDEEAQVMANMIQVYVEATRS WMAKEVRVPIASVLQDPTMNFGGGGGGADKGLKKKYKTLLFPKKVPKNTPQALVQLKV RLKGIVDAIVKTTLRPQDTPDAIAKFLRRVTTDGVYFPPDYLSAEERTALEFNSLGAT RNMTMNPHRFNVVVLNFVVVRIVVPDLILRPWEGGIGSKVQPAAQVQHNLRVLATAMY TLCQQIVPTALLDPGTAAPAVVSSEPPPQAQSSTMRLLTQIPALLYPKDVLPLHDVDF AAFLIDQQEKLQTWLHQLQLVVHTQPPGKPTTTNEYHN H257_15084 MHAYYMQYQQQQQWQAAQQAIKQQRHHHHQQLRQSIRELRQSTL RDVLRDRNAAMMNQLQDIQHHLHKHDEQQSQAAMTELLQQILHQQKKAEEEPANAPNV PQQADARGAAINPSQPPPRQAAKRTSAIPATSKSLDPAEGTAAAAPWTGGPPKRPPTA GAAAAPVERSQAGPHNATPHHDRGGKEEVMPVTGGALFSEDEEQLLAIPEDDYDTNDI LADPPPPSQGKEDVAAQESKRHDSMRRKALMQHMNHSTTGLKRPQWRLDADAANGVVS TFGEGAMAPVQVFRSVAMVVLSVVCLQRLSLARRKTLRDEEAQVMANMIQVYVEATRS WMAKEVRVPIASVLQDPTMNFGGGGGGADKGLKKKYKTLLFPKKVPKNTPQALVQLKV RLKGIVDAIVKTTLRPQDTPDAIAKFLRRVTTDGVYFPPDYLSAEERTALEFNSLGAT RNMTMNPHRFNVVVLNFVVVRIVVPDLILRPWEGGIGSKVQPAAQVQHNLRVLATAMY TLCQQIVPTALLDVRRIVVSETFYRRCESSLELRRQLWSAASPPRKRRAPQCAC H257_15085 MAYAGLNTALTSSYKTRTRADPDPRRTLEPAPLKYAGAPPSVVQ IVRQQCHVFAYNTSGRPTCIIMRRRTVSSGYDSSPATVCTVCVTIHFYHTWASGGYRG GSPRLPRRPSSASGFLQVQAASTQIKQPYTGILNCFVRVFHEQGLASFWRALNFATKD KYKQLFLPTGSKENMGFWWFFLCNMAAGGAAGANSLAAQHPRVHRGLWHCLTDMYATS GLRGLYWGFGVSMGGIIVYRASLFGGYDTARDVWLTKDAPLWQKWIVAQTVQTVAGLL SYPLDTVRRRMMMQVGRPDVLYANTWHCWRTIWTTEGGAPAYFKGAGSNVLRGSGSAL VLVLYDEVKAVLSPA H257_15086 MSLKRRSSTPPPTRVRDFPLLVEKSKGDKDKLVVHLQVIVLDMD DLQTSTSAYVSVLIADDTAAATLLLKRSVAQCLNFGDILSVENAALVFHNTHLVVSLT PTGRLERVGEFTMVFKENPNVSNYVYTKDSSGLMVLDRRPKPPQPR H257_15087 MFKPRSVEAVTEALKEDANDGTVIIDYKGQKGRAAHERKLLKKD AAPLPASEGVVAKLATLQRGILSETRFSAGNIQPTRLQVTGTSGNDNVVEFVYATGRQ IFETHVPLGLSADEQERVTLGTQGANISNIVQMKAPTSRPLVAEEVLHKAEIQSLAHK GPLVASVDARGVAVLYTASSGAVNTDDIHDNDEESSGESSRKKLKAADCRATGHVLSG DGGTPELGWAGIAFNPVSNQIVTAHSFTKAVRFYDSHTLQTTHVQTSLLMPTALAYSS SSTLVVAEYNQMSIWDSKSHQRIAREGLPSLGCVHAVAWSLDGNSVAIGGEDKIAYIY DTRKWKLRSLWRCPLKYELAYLAFSPTDPTLCYVAGLDNELMVGTFDGAEKKKHAAKE HSPSILQMNHHLGFRGDSRWIGVDVIRDGSGGHDLAVGACESGSVYAIQPAQHMLG H257_15088 MQKPPRKPTQRATSTAPLLLRQDGTSAAARLLHRKEQLVLQVWK EFIQRGTTTTKPQASRDMSHRTRLPVTSDLIQEFVRHRRQDRQRTVAKDVAYFLRSEN RLDFDPESESSTQHGMKWFTHHLTTVTFSTYRDGVVDASDSDDDNSDDSNDSNSDSSS SESDSSESGKHTHRLPHDSRQCGKPCNFDRHKTDTANHGRIGLGPGSDRRK H257_15089 MVATLTTTWSTFSCDEVAFLLDALVGRPFTSDSFVHITSNILRL DAIPVAGPTEITPSPTAKLLVATSPPLFHCLQPCCGDAIRWPRGYCSAHGGKAKCSEQ DCTRDRQTGGKCIKHGGGLRCKVQNCPRSVQTRGLCKAHGGGVRCQVIGCCNGSQGGG FCRGHGGGKKCVVPGCDKGVQKCNLCTAHGSSKALSYGVH H257_15090 MEPVHMLTNLFDDILDWDAAFHIDDNIKPFAFPSSFGSSSHTMY VDTELPGDVKLESPTSSSRPDQSFYPHHPHVQQHPSCTRTVVPQRQSILPPSYSIVSS TQHNCALEHPSTLVSATNEPSNVLLCLPPVEDDVWWFHEFEASLRAVPPPSQPAATSP HLVLLNAEHATLLELLLSPTPPPASVPAGPSSSECVVLGCHNLTSFVRGRCKKSHDGK RRCNFHGCPSGPQTGGFCIKHGGGNRCTFVGCNKAVQTVGKCKTHGGGVRCSVAGCDR SSQGDKKCRRHGGGKRCGVDGCGKGVQKDGFCAAHHPKKSHGHRQPRRRRGGRHVDNS SNDVPPHQPTFQCDYR H257_15091 MVNLLVAIRTLWASAQSRLNWKTYALLCILASSGLLRRSVAWKP QPTLLFSTFLRHAAENNVRQVSLASDFFLVSLKQGMQNGVVTYRILVPPLTDQSYILD ILRKHGVEFGTMRPSWLKRSLPMLLTAIPLIYLALTYRMLQGLVGGGGGKDGVAKDGI TRGKLKSSSTQQRISFADIAGIDNAKLELEEIVDFLKHPTRYDAIGAKMPKGVLLCGP SGTGKTLLARAVAAEAGVAFLFCSASDFVEMLVGRGASRVRDLFTQASQHAKCIIFID EIDALAKARGGLNSNDEREQTLNQLLTEMDGFEGHTEGVLVIAATNRPHVLDPALLRP GRFDRHVFVGLPDAAGREAILRVHTKRIRLDASVSLAALARHPQLEGASGAALACLVN EAALMAVRTNDTVAKMKHFELAVARAAASATSDRQYQ H257_15091 MVNLLVAIRTLWASAQSRLNWKTYALLCILASSGLLRRSVAWKP QPTLLFSTFLRHAAENNVRQVSLASDFFLVSLKQGMQNGVVTYRILVPPLTDQSYILD ILRKHGVEFGTMRPSWLKRSLPMLLTAIPLIYLALTYRMLQGLVGGGGGKDGVAKDGI TRGKLKSSSTQQRISFADIAGIDNAKLELEEIVDFLKHPTRYDAIGAKMPKGVLLCGP SGTGKTLLARAVAAEAGVAFLFCSASDFVEMLVGRGASRVRDLFTQASQHAKCIIFID EIDALAKARGGLNSNDEREQTLNQLLTEMDGFEGHTEGVLVIAATNRPHVHKCVWWIV SHSFRLGTIVGAGPGFASTWPL H257_15092 MVQWRRRVGGSFVVVAAMAALALAGPSTPVIGPQVLTTSIAVNT TNATQTTPTTTTRSTTTDTPTTTTLLPTTAVPNTTTVQPTITTEQPSTVAPTTTPITT ATLAPVTNTTNLPTTTVTVPPTTVPTTTTNAPTHVTVIVQIAASPSPPISTTDGSTES AASAMEESPSGELSAPVVACIIVGGLILVCLAVIAVMSFQRLVSKKRRLDKQDNMAMR SNFDESQLDSSMLDHHSTADGPSRYYPPYSKHPPKSPVPVLSLRHYESDTASTVKTDL SIKTDSDTSFHMYGRPTSDSIDSYRPSPHGDVYRNMTSPSYTPHTRASHTFSDTLTAS HRTNDFSFSTQFSRVSSMSTFRAYSSNLLLRNSDDLSVYSDRSDGTIF H257_15093 MSTAPVEEVHLQPSPSDGISCVRFSPDASDWLLASSWDKSLRLY DVPSNRLRLKVDVDHALLACSFGATRTQAFSGGVGGLVGYHDLEVANSTTKLGAHDKA AAHIRYSAATGQVFSGSWDGTVSAWDPRSTTQTTHLKQSGKVYAMSTKENLLVVGLST KRISLYDIRRASVPIQDIDSPLKYQIRCIELFPDAQGYAIGSTEGRVALEYVDAARKG YAFKCHREKISESETYVFPINAIAFHPRFGTFATGGCDGMINVWDGENKKRINQFLQH QTARYPTSIASMDFNHDGSRLAIASSYTFEEGEKDHPDDSIFVRSVHESEVRPKKKAP H257_15094 MGNVFGAMKTLMDHLGKKQRRVLLLGLDAAGKTTILYRLKLHDA VHTIPTVGMNVETFQYKNIHFTAWDMGGQDKLRPLWRHYHQHTDAVIFVVDSTDNNRI NEASEELHRMLSEDDLRNTKLLLYVNKVDLPNAMTSIEVMDKMNLANLCQVHWFIQAC SAVHNVGLCEGLDWLRKVLA H257_15095 MDPKERKKLERQKKKAKALERKSAKKPNVASLKPVSQPTTSHKR PSEPSGSSAAPPAKKQQIEKAKPLPVPSNYTGPEKFLTPSHPDFHDILTRSYVGFVHE PAAAQPREFHAGMRAAFEHLRDKGYFQYDVVMAGGKHLSRTFVRRTLVGNFGITYKYL GLRIFAHAWDVPSSAAVFRNLYALNQAIVARTKASASPAPPSCEYNLTLINYMEPDNP VLGLKDDLTYDMGKVSVSWHADSSLEDFSSIGVYHTLLPTKKSKKGPCDWRIAMRLSP DVPGAKTTPPLVVPTGDGDVYYLNGDFNHHHQHMVLSGTAVRVSSTHRVAVTAEDTLQ YIQAKVTAALKSSAKDPQDKCRRGHADDVRHEQTTVTTLEMDWLRQYWIQGDKHNDLH VDWQQPMLALEASWRKLEARTKTLADALVQSHDVDDLKVLKAVLEGLRNRQVGRDQFV HRMKDKVFKRIAADFQPMERPVWTDWDDVHLLPKDLTATIAALHAHKLVLESEKKRQW KIHAGTRHNKINKA H257_15095 MSPHMNLGITYKYLGLRIFAHAWDVPSSAAVFRNLYALNQAIVA RTKASASPAPPSCEYNLTLINYMEPDNPVLGLKDDLTYDMGKVSVSWHADSSLEDFSS IGVYHTLLPTKKSKKGPCDWRIAMRLSPDVPGAKTTPPLVVPTGDGDVYYLNGDFNHH HQHMVLSGTAVRVSSTHRVAVTAEDTLQYIQAKVTAALKSSAKDPQDKCRRGHADDVR HEQTTVTTLEMDWLRQYWIQGDKHNDLHVDWQQPMLALEASWRKLEARTKTLADALVQ SHDVDDLKVLKAVLEGLRNRQVGRDQFVHRMKDKVFKRIAADFQPMERPVWTDWDDVH LLPKDLTATIAALHAHKLVLESEKKRQWKIHAGTRHNKINKA H257_15096 MRGLSGVVVVVGLCAAASASHGLNPRQNFTAALSLKTTSPPKAA ASTSHEAKSTVAPPTAFVDWDHLFPITHHELGIVRNATNTTNATHISQILNANSTKST GSNHSNGTSVSSGNATAADGTAVHGAPHHATNATSPHVQHANTSTVVNSSHVNKGTSI NATTSVTAHLSGHHTTTNSSGYNATNSPVVLLNTTNSSSHVIGGNNNTWTPINATKQK TNATGNATDVIQVHSTVLNSTKATTAVPHPTTHSFRTTSAPRTTASPTTTRLHAATGA PTTTVPRTTVVVVPVTTTAVVQVASVVHASDVSAKKEASFNQMYTTAFVIVGCVAAAC VLALVVLVVRRRNAWVPHAVPTTPPASDKDNFPPAPTPVNFNTEIVIA H257_15097 MSMNRPLKALMPRPDLVEDDFSSTRPAGRSDSIDKGSESDGLDG SAPGSDDKAVVVLATSELLPLENVAEELAALRRAIQNHDWLVQYGAIESFRRGMIHHT AATCGVVDVGLLHRLQEASLNLRSAMSKNALLALAECFEFAPDKMADLDLSTVVESIL NRAACEKKFLRDAADHALAKLAAYVPTARVLTALTSVAGSKNSKLCVAGCNGTMQCLQ ELKSRGLVLSDQEATAALICQLVKFRSGKDAKVRDDALVALRLVSELVGSAASFEAAV AKAVTSKPVVMKVISDTKVVSRPQSKGLRPSGTSLRDNLRLNRTTVE H257_15097 MIHHTAATCGVVDVGLLHRLQEASLNLRSAMSKNALLALAECFE FAPDKMADLDLSTVVESILNRAACEKKFLRDAADHALAKLAAYVPTARVLTALTSVAG SKNSKLCVAGCNGTMQCLQELKSRGLVLSDQEATAALICQLVKFRSGKDAKVRDDALV ALRLVSELVGSAASFEAAVAKAVTSKPVVMKVISDTKVVSRPQSKGLRPSGTSLRDNL RLNRTTVE H257_15097 MIHHTAATCGVVDVGLLHRLQEASLNLRSAMSKNALLALAECFE FAPDKMADLDLSTVVESILNRAACEKKFLRDAADHALAKLAAYVPTARVLTALTSVAG SKNSKLCVAGCNGTMQCLQELKSRGLVLSDQEATAALICQLVKFRSGKDAKVRDDALV ALRLVSELVGSAASFEAAVAKAVTSKPVVMKVISDTKVVSRPQSKGLRPSGTSLRDNL RLNRTTVE H257_15098 MQRVPRSIIRQFSSEPAYSFVKARRAYQAEVADLRKLYLQQENA RREKLARDQAAERERVLKAKSARLEVKRQLQAIRAKEVEAEKAEHAEFVAKRFQEKKV VREQHEASVEAKRVAVLGQLTEHSKTWISPESIDDQLAEAAFATQLKEATESKVGNLG ALSWLERLKTMKPVDYDDVDDEKK H257_15099 MLRKAQDGHDQAEVVSGGGGGGLFDEISRESYAVTIAKATSGGD NASDKQLLQSPKLGTLGGVYFPCLQSILGVTLFLRMPWVTSQGGVVLTCVLFFMCQSV AYLTTSSICALVTNGKVSAGGVYFLISHTLGVETGSAIGLLVFAGSTCSLAFSVLGAT EIFKNIFDTSLWPVTDSRVFALVLLVVLCGISSVGMKYINMAGTACLAIVLGSIAAAV VGLVVHASQTIQPGDVVWFDNMQTNFTVDPKTNVLPNLAMMISLIYPGTTGYMSGAMR ASQLENPARSVPLGTIAAMLTVLAINLMVVVVFGSVVSNQTLKSDKLIMSTLALPHKQ IVNVGIFFSAIGGALQNLISQPRMLAAMANDNVVPFLRPFVIQDGQEPRRAIVLCMFL TCIPCFAGNLDYLSPFLTMVNLMLCLTLNLACLAASCAHTPGFRPQWRYFHWSTALLG ALWCFGLMMFFSWVKGLLAIAICIAVRVYVKYVGVAQDWGDSVRGMQLELACTLLKML NTTTEEEHTKNWRPQVLVFSKMDSTGLPVSPQILHFASQLKEGHGLVEVVGLVHGNDD NTYDTCQAATLVLRQHLASAHLSGFGHVYACKDALQSMGTVAEASGMGPLRSNTVVVG WPNTWESGDATPYVDMLHDMINCKKALVVLKNMETFPFNDVKKKGHIDVWWVLHDGGL LLLIPYLLRLHRVWRKCTLRLFAIVSSQEDAGEMQTRLKDFLSHARIEAEIHVVELSD STISSLLPKRSAEELNHKKEALDRMKVSTLSFSVRNPLQYSQLTDELNDVGSFVEEED VPAVLSPRKSKPPLPKGYISVDPRMLHAQKFNRQVQLQSANASLIVMNLPRLIGIPAQ DFMHYVEALTENLSSVLLIRGSGREVVTLQA H257_15099 MLRKAQDGHDQAEVVSGGGGGGLFDEISRESYAVTIAKATSGGD NASDKQLLQSPKLGTLGGVYFPCLQSILGVTLFLRMPWVTSQGGVVLTCVLFFMCQSV AYLTTSSICALVTNGKVSAGGVYFLISHTLGVETGSAIGLLVFAGSTCSLAFSVLGAT EIFKNIFDTSLWPVTDSRVFALVLLVVLCGISSVGMKYINMAGTACLAIVLGSIAAAV VGLVVHASQTIQPGDVVWFDNMQTNFTVDPKTNVLPNLAMMISLIYPGTTGYMSGAMR ASQLENPARSVPLGTIAAMLTVLAINLMVVVVFGSVVSNQTLKSDKLIMSTLALPHKQ IVNVGIFFSAIGGALQNLISQPRMLAAMANDNVVPFLRPFVIQDGQEPRRAIVLCMFL TCIPCFAGNLDYLSPFLTMVNLMLCLTLNLACLAASCAHTPGFRPQWRYFHWSTALLG ALWCFGLMMFFSWVKGLLAIAICIAVRVYVKYVGVAQDWGDSVRGMQLELACTLLKML NTTTEEEHTKNWRPQVLVFSKMDSTGLPVSPQILHFASQLKEGHGLVEVVGLVHGNDD NTYDTCQAATLVLRQHLASAHLSGFGHVYACKDALQSMGTVAEASGMGPLRSNTVVVG WPNTWESGDATPYVDMLHDMINCKKALVVLKNMETFPFNDVKKKGHIDVWWVLHDGGL LLLIPYLLRLHRVWRKCTLRLFAIVSSQEDAGEMQTRLKDFLSHARIEAEIHVVELSD STISSLLPKRSAEELNHKKEALDRMKVLVTLLTTSK H257_15100 MLHFQRLARADIAATSWLRRLSTSHAPLRFLIVEGYSEEGRKEL VDNGARIASDLYATMLASSAGSIPTTHHVIYPTDGPFELPDLSQFDGVAWTGCRLTVH QTEDPHVQRHLKLARQLYAFGIPQFGSCWASQVAVFAAGGKVAKNPNGREMGLARKIQ LTPEGRGHPLYEGKSSEFDAFTSHYDEITHLPPGAIKLGRNAFSLMQAVAVRYLKGEF WGLQYHPEYNLHEMARLTHARRGRLVNYGLFRDMDAADRYVAELELLYANPHRKDIAW RLGIDADVLDDDIRCIEVKNYIKHLVLPYKQARALLL H257_15100 MLHFQRLARADIAATSWLRRLSTSHAPLRFLIVEGYSEEGRKEL VDNGARIASDLYATMLASSAGSIPTTHHVIYPTDGPFELPDLSQFDGVAWTGCRLTVH QTEDPHVQRHLKLARQLYAFGIPQFGSCWASQVAVFAAGGKVAKNPNGREMGLARKIQ LTPEGRGHPLYEGKSSEFDAFTSHYDEITHLPPGAIKLGRNAFSLMQAVAVRYLKGEF WGLQYHPEYNLHEMARLTHARRGRLVNYGLFRDMDAADRYVS H257_15101 MLRHLQRVATTVCGPTISKRSITSRGFKNAEGHLFSMTKIVGTI GPVSEDAKTTQELVDAGLKIARINFSHATYEEAELRTVNLRAARGVHGAHGSDFNLRS VLLDTQGPEIRGGSFADGNKVTLTKGDAITITTDERFKKNSTKELLYVTYAKLAETVS TGSTILLDDGLISLTVESIENGQVHCRVENTEVLGNRKGVNLPGLVVDLPALTEKDKQ DVEFGIKHDMDFIAVSFVRSAADIEAVQSFVKATMAKYWPADHPSPKLIAKIENHQGV TNFDEILAVADGIMVARGDLGVEIPLAQVFTCQKMMVSKSNAVGKPVIVATQMLDSMI RNPRPTRSEILDVGNAVVDGADCVMLSGEVAQGKYPVESVSTMLSIIKEGESFVARFP ISPSIVTGRDSLASAAVNVAYELKAKLIVALTKDGNLARDVAKFKPSVPVMSYTPSRK VGRQLQLHRGLYPVVSESMTLEEAMDDAVKMGWTKKGDKVVVLSNDDEDTPQQFTMRI ETVA H257_15101 MLRHLQRVATTVCGPTISKRSITSRGFKNAEGHLFSMTKIVGTI GPVSEDAKTTQELVDAGLKIARINFSHATYEEAELRTVNLRAARGVHGAHGSDFNLRS VLLDTQGPEIRGGSFADGNKVTLTKGDAITITTDERFKKNSTKELLYVTYAKLAETVS TGSTILLDDGLISLTVESIENGQVHCRVENTEVLGNRKGVNLPGLVVDLPALTEKDKQ DVEFGIKHDMDFIAVSFVRSAADIEAVQSFVKATMAKYWPADHPSPKLIAKIENHQGV TNFDEILAVADGIMVARGDLGVEIPLAQVFTCQKMMVSKSNAVGKPVIVATQMLDSMI RNPRPTRSEVVLTSISSPFRNACPSTC H257_15102 MNTVVRGGGRCLQSRGVADVLAGVDWAFVLAFHVAMCTNGARNW TLVLASPAALCSDGTTLRRVSCRTRSRRLHSLDDGGEARQETLGVFRASVGSSRRSAR TQKYYPG H257_15103 MSVAVPVVASKQLLPLVVQFQDGVYFEVRPLLPHIYANNFDLFP FHSSSIGCHGAVPSDGNLDVELTAFDVVFSPFFVQHGLHGVDRLLVCVPRLRSVLLAH ASRLGLVDVLRRYCPEHCTYFQRATLMEQAATFGHLAAVEFLIANGHPFCLLDVPAAQ GHLDIVQRLHHTEEVFCTPKAMDMAAKCGHLSVVTFLHIHRGEGCTVAALDEAAKAGH DQIVRFLLANRNEGCSQTLIDDVATAGHFAVLRILHDTGMACTAAAMDRAAGNGHLEI VRFLHDHRQEGCTTSAMDMAAENGFLEVVQFLHTSRREGCTTYAMDRAAKNGFLEIVQ FLHTNRSEGCTTDAIDWAARNNRCDVVLYLCANRFEGFTQRAVADAHTMRHVTMGAVL ATHHQSLNRPTTQRISWV H257_15104 MSSQPPAIIDRQPWLHRVLLLWLDPLFRLGATRPLVDSDIWHLG AQDTAVRLSRRFARHWGTAHDRRPSSLVRDICRTFAREMTVVTTLSVAYSLLVQYQPP LIHLLMTVVTRSDDTIDDTSGYWYAALLAVVSLVSVTILDSSFFVTAQLATNVKTVVM DLVLSQSLHCPDAATSSGDVITMVAVDSTRLFVGFVALPWLVVPVVTLPLLYTAIGSM MGWQVGVAGGLTLLVVMAGGYYFAHAAGNLRHDLMAAQAARVKATDELLAGIRVVKMY GWEASLENRVTSLRDAELALQHTYQFGSELNAIGLLVAPTLSLMACVVVYVVGLGHAL TPTVAFTAMAYVNIARLPCNLFAFAVMRVTEAVTSAHRIEAFIRSSPATIPSAASTTS TSSTTSIADDDMVAEAVVDMHEASFASTNQPRVDPLTPPPSSSRSACILHQLNLTILQ HQLTMIVGPVGSGKSSVLKAILGELELVAGHMEATTTRVAYASQEPWLQQTTIRSNIT FQSDNANISDHYATVLSACQLLPDLASFPQGDCTVLGDRGVNLSGGQRARVALARAMY RQDADLVLLDDPLSALDSHVAKTVFEQGIRGVLAEKSVILVLNSHYHLLPFADTIVVM EGGRVVRQGSFATMQQHLTSLSYPQTSKTCPPPTTLADQLRPLASPNPTSTSSPVRTA NPLKTRPPVDESSPLLVVAPTSDVALDTYVAYFDASGWHGISVMAGVGGMYLLSQGVV VAADAALTSVTTFCLYVALAVAAMLLLFTRGICALLLNLACAKTLHRQLLHAVVSAPV PTFFDVTPLGRILHRFTSDLFDVDSRMPTSAVYLLQCQFQVLGIAVVCGAALPCSIVF CAPLTLLVTRIYTRFSHTSPALTRLAAAHRAPFVAFATETLRGLSTIRAFDQTEHFET NGRRLLDKAQSYLLVQFLASRWLQMRMDGLSAGMVAAVAFCCVWSRATVGVVGAGLAL TYVTQLSGFLSRAVMGHAEVAEHMTSVERMVEYIGIKSEGAKSEGPVGGSRSTTALAE WPSQGAVTFANYSMRYRAGLELSLKHVSLSVRGGEKVGICGRTGGGKSSLLAALFRTV EAASGRIMIDGVDIATVDLATLRSRLTIIPQDPVVFSGSVRFNLDPTLTTDDAELWHV LRQVHLADVVGLDYDVAEHGANLSGGQRQLLCIARALLRKSKVVVLDEATANIDGDTD RLIQDTLRANFHHDNVTKLVIAHRVHTILDSDRILVLQDGSVVEFDTPVALLAIENGV FKALAAHAGATLP H257_15105 MAQPAIDNYLERILMARVYDVAVETSLDVMPALSARTGNTVLLK REDTQPVFSFKLRGAYNCMVQLTPEQRAKGVVAASAGNHAQGVALAAKKLGCVATIVM PATTPQIKISAVERNGGVVILHGDSYSDAYEHSMSVVAATGGTFVHPYDDPNVIAGQG TIGMELLRQCHDLNVIFVPVGGGGLAAGVAAYVKRLRPHVKIIGVEPVDAATMHDSIA AGHRVVLPTVGLFADGVAVKQVGQETFRLCRDLLDEVILVDTDAMCAAIKDVFEATRS ILEAAGALSLAGLKAWSAREGVTGKRLVAIASGANMNFDRLRHISERAELGEAREAIL AVRIPEVPGSLKRFCQDFLEHRAITEFNYRFSDAYHAHIFVGLQVQSRGDVHGLVTRL NLAGFATTDLTDNELAKGHIRHMVGGHAPPSTTTLNEKLYRFVFPERPGALLFFLECM GTKNWNISVFHYRNHGADYGRVLVGMQVPPEDDHAFQAFLDGVGYDWFDERHNPVYKL FLA H257_15106 MTFAPPHATLSPQLTGLIERILTDAPSEHHVHYLNGLEQIRAFM VQTHGSTDGRPVSFEDMVSRQSTIWQEHFEKAKKDKETMATPRPTLDFLPNVIGIDIR VHARGRPDDAIYNASPYARKYLPRGNYIAQWQVADDRVLYFPMLRAYPKFTGHEDDGE LLAGEHDSDSTSAITSEALSKYFTEPASETQSVISTTKENGEAAHLAVLKLQNGSFVY VVGSKNVHMVIQSARDIEPACAVGVTIPGTNPFAGAKAVAYGFMRMLDALEPAKRLLF EEFLWQTRLTASFELLCPGHQHVELLDVPHDTPVLFGFSLPTMEMMLPQICVNPLLGF AISTACGVRTVAFQVVPYTGLEFKAVLTAIKCAYQTEGKVNLYVNGRGNVIGLQKYKT AWYVSLRAIREKAKSFLTNVLGKKKVAVGEALRDSHQSVEKRFNAIKRFLQLSDASTA KYCELGKAFITYVATVRLANCGDSDTAKKTVQHDCVDLFPVVWQAFLVATGANDRIDC TM H257_15107 MGTTKDVTSAASGSTFQALASPALNELPMQWSQRPHPIVNANWF SRALLLWFDPLVLKGAKDALTEDDVWHLAPPDTAAVLQARFQGAWTTAKQTTSSPSFF RALLRTFRRDIGVVLALYTASSVLILLQPVVIKSLLQFLTTESATAVTDLGISSGYVL AALLTLLSFGSVTIMDLGMVFAGRLGCNAKAIVMDLVFLHSLSGHQSCTSSGDLVTLA SVDSTRLFMCGLWFMWTFLSPVMVLVIFVMIGFDLGAWPAIAGAAVMVALMAFGVLEG RAVGAIQKDILVVQGERVKFTNELLQGVRAVKMYGWEASLQAHVEAMRGQELSLLKAY HYRRQVSSIALLVAPVLSLALCLVVYVAQGSRLTPPLAFITLAYVNAARTPCSSFSFS IMNLFDALHSSHRIDAFLASSSTTTISTDTPASSAPSPCPAPNNMSSKPPSTPPLIHM QSASFQWKKPSAEAVDNSPEHALKHINIVVDSMPSLTIVVGYVGSGKSSLLSAMLGDL HLASGGCHVTARSVGYCSQDPWLQNSTIRDNIVFTDQVDNTPRYNRVMSACHLEADLT SLAGGDSTEIGARGVTLSGGQKARVGLARAAYKDADLYLLDDPLSALDAAVATTVFHE CIRNLLSRTRPVVLVVNSHYHLLPFADRVLVMDNGAIVGDGSLESLASTAFPHLFSSP LSSAASVSTDSMTPSSATAESTATIAVASTSLVVAEERKVGHVTSQTYLTYFQASGWN GLGVAMSVLVVFVLAQACLVLMDWFVGFWASSSPFLTTVPSNNNTTYAGIYVALSVLA LGLVLGRSLYVLHFILLCSKHLHAAILHNVLHAPVPSFFDVTPVGRILNRFSADLSTV DNMVPMLGLHILGLVFQIVASLVVCAATSPYILLLYIPLGYVFSKLRFIYSLTSTQLK RLDATSRSPVLNLLTETSGNGGGTTIRAFHAEDAFQSKARAAIDCNQRFDLTHFISTK WFQMRLDWVSVGVVGGVAFLAVATKHSMGGVTAAGLALTYAIQLTIVLSRTTMEYTYM ENVMTSAERLEHYNDLEKEGVITQSLPSSTIQPCPGHETALADWPSQGAVTFANYSMR YRAGLELSLQHVSLSVRGGEKVGICGRTGGGKSSLLAALFRTVEAASGRIMIDGVDIA TVDLATLRSRLTIIPQDPVVFSGSVRFNLDPTLTTDDAELWHVLRQVHLADVVGLDYD VAEHGANLSGGQRQLLCIARALLRKSKVVVLDEATANIDGDTDRLIQDTLRANFHHDN VTKLVIAHRVHTILDSDRILVLQDGSVVEFDTPVALLAIENGVFKALAAHAGATLP H257_15108 MEQEGDHVLLEPWNYIRGMPQKNVRAILVDAFQLWLQIPPDKIT TIKEIIGSLHDASLLIDDIEDNSELRRGLPVAHAIYGIPLTINCANFVFFVALQKCHS LQNARAMDVYINEMIHLHRGQGQDILWRDTASCPTEDAYKAMVINKTGGLFRLAVGLM QAFSTNDQDFVPLVNQLGLYFQIRDDYINLVDKAYMDGKSFCEDLTEGKFSFPLIFAI HADTADTRLLNIVKQRTTNVKIKEHAVAYMAQVGAFTHTKSYLDNLFMDILEKITLLG GNQVLSGLVQTLHRTLK H257_15108 MPQKNVRAILVDAFQLWLQIPPDKITTIKEIIGSLHDASLLIDD IEDNSELRRGLPVAHAIYGIPLTINCANFVFFVALQKCHSLQNARAMDVYINEMIHLH RGQGQDILWRDTASCPTEDAYKAMVINKTGGLFRLAVGLMQAFSTNDQDFVPLVNQLG LYFQIRDDYINLVDKAYMDGKSFCEDLTEGKFSFPLIFAIHADTADTRLLNIVKQRTT NVKIKEHAVAYMAQVGAFTHTKSYLDNLFMDILEKITLLGGNQVLSGLVQTLHRTLK H257_15109 MDKQRRHHPNQHHTQEGTDAPFVTARSGTSTSATMPSLFYHDLN FLDGAPSSSPTHHPQPTSSQPETPVDSSMLYYDHASSFPSTNGGAASTSSFPTSRRRK NSIEMFLSRSPSQHLGSDFFKLALDDPSDFTLDSSHDPSTDVRHELLDHPQLHHPPPS MTQPPHYNSSRRSNQYDDHHPSWYSNHTHHHHYHPSSRPSRHDSSDDGNDGSMYGHPV RPASSARAIHPRQPHDMRQDHLWQPHAYGGRTAPTSSRRFVLSAPPDHPRPTNHHHHP SMLKPCKFFAQGHCRMGNKCKFGHLLHSNPTTAAIHAQPSTSSSFDDGDFHPFGFDST PVSIDALRGRVFAMSKDQNGCRLLQEQLDSTDSEPGKSAADVCAVIYTESLPHLVDMM VDPFGNYLFQKLLDRGDDDQRLGIVQQVAPHLVAAALNLHGTRSVQKVVELAAASSSS SLVALIVGALKDDAVRLCIDSNGNHVIQRALQFLPPASNQFVFDAVAADCTVVGTHRH GCCVLQRCVDAADPVQRRAVIAQVEHHAMKLMQDPYGNYVVQYVLDACSAADARGVMA KCVGHVLDLSIQKFSSNVVEKCLELAPDDLRQTIVREIVASPRMYRMLQDQYANYVVQ RALSVASDDNCLDLVAAIRPHLASMKNTQGGRRIQARILKRFPHVDVGWDPDFSDVGG SPATRPVQPQGGSYGRVA H257_15110 MQTHMESLHLPRLDLGIFKNSSRYLERVGKPTTPFQPPVWAAPH KPHAIALVDVFKANEVLTSVNVDSKACFLFGRNALVCDIVLEHCSISRMHAALVHHVD GAVYLIDLGSCHGTFLDGEKLEPLRPTLLSHGTHLRFGVSSRTYRFKSYESRQQIERR VQTAVGLLPDERELHTNTLLNRFLSYRLDAPFHHQLSSMPTNPWPQNSNRIASHSTDT NEDAHEEEDSDMLTASMADAGRGGASSSLSGNPHDDDMVVSECNPSVLNESSQLSTAA PPSSRKRTRPSAAVPPDHVFLTYDNKRVHFVESPQIIHHYPHHDDDDDDDDVMDVIMD STVDDVQPRRASFDGSPSPSGSSSSTTPHFIFHHMAGSETSRCRPSIDPSSRIPGARR HTLG H257_15111 MPSTTAISDDDYDEIASYIRQERPRSLTKEERLDILRLHAELRR DGQMQVSSTIGRLLGRSQKVVKEVWFQYLRSKNVLAVPPPSNQVQRTTRIPHTHAVTS ILRQFIRTRNVTRVRTVAKDVMALLLEAKIIHYDVNCKPGAVNCLCRVQQFLVKLGFK RGKRRGHAAYAMSSAHATARDVYVQHMMQLAPATPVVYLDESYIHHHYARHHDSLYDP TDNGPPKKCIRVADVFQGCAKAKNEPKDYHAMFNHTYFIKWFEKVMSEVEALGKQGVT FVMDNAKYHKGLPADTPRGTWRKAYLLSACQRYAVDVDSHDLKKTIWARLKPVLSTRI DPVVRPGRLSMARARGHDVVFTPTHHSDLQPIEMVWAKVKGDVGVQYTVDTTFADVRS RLDAAFVSLPSDVVWNCVRHCNKLLQETQPLLEESTARRSYGEALLICFRGRAFSAVL DITSFVAGVIILYVFIHATFTPIVSATEIPMLMVGYGFGASFVALFMQLGGGIYTKAA DVGADFVGKVVQGIPEDDPRNQALIADLVGDCVGSNADVFEAFSTILLDQLLG H257_15112 MGRSGEYYKNKYGRGGGRRTADESSRQRETRSRSRERLPSQMQQ QVLSWSALIGTLYKLEGQNYGAYNSLRGQEYRHAEHPAFILAADSIQGDAFAAPSRFH VVLDASSARYPTDMLSTKSRRISVADFLARQFVRATRARGADARVGGQGWHGAKGGDL SMDSPSQYVLERTNVLVLADGSVEARFTVGLPARGRSICGDFATRILTDVVPALVLEA LVCPADVADLWGHVKCVEDQRALRQLVADQGLVAFVADGSILPRQSGASDTPMASPPA QPFQAPRSSPLHRTFTLPHHGPISGLGIPRGITLLVGGGYHGKSTVLQAVEGGVYDTV PGDGREFVVTDPRAVKIRAEDGRSVVGCNVSPFISNLPSKVTTEAFTSANASGSTSQA ANIMEAIEAGATTLLMDEDTCATNFMTRDGKMQQLVASEKEPITPFLTKARALFTVHG VSSILVVGALGDYFSIADNVILMDTFQPKDVTTQAKTIASQHPPIAQSSTFLASTVRV LDPAGIPVVDARRVKCLGTNTINYGDLDGAIDVSAVGHLVEPGQLRAIAHVLRGNLAN DMNGRRSISELLDRLDAALADGLDRINGDHRCGLYARPRRFEIAAALNRLRSLTMAKH L H257_15113 MKSHPPPTKDAGGATSAPSGDISVQRSTHKQASKNYFNLDADMK RQSSMVDSENRFSQRLSMMNVLPGKQANFQDEPSIDYCCDVLQAKFGFQQGSVDNQRE HVLLLLANCAARANSASGDNTTHHVRLLHDKLFSNYKEWCAFVGAKSVAFSQPAQGTP LTSVLHMDLMLYFLIWGESANLRHMPECVCYLYHQMMSTLIKDSPQSEGRPSGWFLTA VLRPVWKEATVMQKKNALGKHLEHTKVRNYDDLNEFFWRSACLKIPVDKIGGALHATA GKTFYEHRSIFTLVLNYYRIFHFNFMFLVVLAVLNFAVTISPNGGTVDFSQFAQIGTV VKPYTKRDLNKAWAVLVGSHAFLNLFKCCLEVGHGFQLLTDPKARAATSSRSMTYGLA LTLRLVWSLVFTGAFALMFTLGAPVKAGEFDMLSLLNVAALLYLVPGGAILLLQTLIP GTGRSWFSKFIREGDSCYTGRHMAPPMAFQVKYYVFWFVLLVCKAAVSYWVLVGPLVL PSLAIWEMNLKYGQGSIGSFHNIGVIVSLWAPVVFIFNYDTQIYFTIFQAILGAFMGW MMKVGEVRGVDALSKAFRVAPQLFDTKVVTELARLADAQEGGGGGDDAGRGSQSAVYQ SQMMLRFVVVWNEIVNSFREGDLVDDKEAAILQYDIQNTGEVFEPVFLSAGKLNEAMA YAVKLGKSGRGDSELRIQLVQNDCLGAVKSFFNASMYVLEALLAPDDTETLDGFRLME QAALDGQFLTLFDAGSLLHVRAMAIDFLEAVLDLPDPDSASPVLKTTVHPMGVIRNFV AKTDNLLNGLRLFCRQSAMAAKFQNVNFVSSANSYAYAAKGLVNLFHSDTAMGAATRA YLLMSLDRQDAMPKCGEAQRRLGFFMQSLLMDIPQLSAIRSMRSFSVVTPFYSEGVIF SLDELNNPLENHPIFNKASEIDKKITILKYLITIHPEEWDNFLERIDVSSVDAAIHEY PMELRLWASYRGQTLARTVQGMMLYEDAIKILHWLEIGSSPNRTPEQKQQQLEDMIRL KFSYVCACQVYGKHRAENAQQANDIDFLLQTYPNLRVAYVDTIASSSDGATRYETVLI KAEGDDIAEVYRWELPGDPILGEGKPENQNNALPFTRGEFLQTIDMNQQHYFEECLKM PQLLVTADMHASKKPVTIIGMREHIFTGNASSLAKFKTWQELVFVTLSQRVLANPLCV RMHYGHPDIFDKVMVLTQGGVSKASKGINLSEDVFAGFNSTLRGGVVTHVEFMQCGKG RDVAMSQISMFEGKLANGAGETALAREAYRMGAFLDFFRLNSMYYSHTGFYFATWLTI VTSYVYIYAKVYLALSGVQTQIVELMNTTQVISLNAYPFGFDDRVYNDLDSVMNTQYI IQAGLFLTLPLIVVYFAELGLIGGVTKLANMIFTAGPAFFVFQVGTTMHYFDNNIIHG NAKYQATGRGFKITRETFVLLYKAYSTSHYRKAWELVGLCMLYLTYGSFNICNREPKD GNTFAADFCETAQGYGTQTFAIWSIAILWLLSPFIFNADGLDYEKTKSDVVAWCKWMF MDEQATDPDKVHTGGWISWWKGDQEQYYATTGMARLTVLVRESRHFFIMWYVITLKLQ AKYVLYTLAAAAATLGVLYLFHLVGLALRKAAFMGRAALYLFLLLLFVVGSFVLMNLV LFKATPDDAWDKSLSLFYGYCAALYGINEMLRVANFKGMAAHDVAVVSQLAFFFDFIF GALLLLPLIALSCIPFMNAIQTRMMFNEGFSKAVSASSQHAFSLAAALGALVGVACGW MYYMLLSVDLAPGYSIYLTTYKLTTVEAGKGMTSYITLGGAVVGSILTSFMGYAVGHR MTVLIAGMLSVLGCVALSLIPSMAPKTGSQSPLVLFGGIGLLAISLGMMLPAVSCYIY EISTYDMRAKTMLPLALAFVVGTAISSYYAGGAVGWVWQSFWCALLMALVTPLMNMFP ESPQWVLERKGYEECETALSILRQRPENTAELRELREDQAHAKKDRDGGSALYKAGLS VLCMLISSLSVGSLNIFVARTFTSFADDNLVFTNTLVVEASGVLVSFFVMDKWPHRNL LTFTLLGMGLGAGTLGADDLYPFLQGNSQRKLITSLFMYVLFFIKGLGLTSTIWVNVT GLFATKTRFVAVPLLFALWFAAPAGSVALRVISYTKNAYLWLFALSALCLVTLFLVVM TSNRANGLICTRNDMKKDKARRDRLRGSRHSRTPGSFTRNRRQSRSARQSRSNSLAKS FQGSYQLVASPNANSHVV H257_15114 MMTTLAPTRLPPPRRAIVRRHGGGRGLGGDGAGGGGRSGGGRTA PGTTGMMKMDGWWRRSAAMAGATKCGGGVGWTMPPTDGGVPSRGSMRRVGGDGGVDSD VESRGGDEVRPVTARMRPPTVAAAPRTTSWKLPSRSEVR H257_15115 MNADSSTIDDTTAGGGAGDGSYNASNVEDILNSLVVDNVTGLNV KNAFSALLKLLRHRDGAVQMHRLRGEHTVMSMLHTKAGVAVVQSYGFVLMRKLSCVCL ESNHIFVDNGAVGLISDALRRFPNDTILQASACGALLPLVKYAATGSIDALLSVGILP LLVRLLLFQTDKHPSQITIYACLVLAQVCDQRGKDTIDQIRAASTVAADNEWMFLHAV TTMLKASLVQDDNGRKLSCALSTLLLCLMSLDRTVTQVMQELGAIPTVSSAMVRYAND AGIVKYSDTVCQQLAKASSPKKGKQVASSAIGTKARKGGAKARPAEGVVYNLQLPSVA SPPSKRVQAQMTPTYGTNESNVLSANAFAASKPPGESNPRTTMTTRVRPSPSDPNLPS LESLQSSDMKSTAKRPSRPTRQTGSSPPKRPANPPKAAFPASPTTSPKPKQAAAASDR PLVGSPKSTQAHSVIVKQGDPAIEVAIKRPPGRSSSVGVSPRQMSVPLIVVDQAWGGP IEEDEKQTARTSPTRPVPPPPKVITPLGSSEHVGSSVFRFRRTVDTSSQAAPNGGGGS EGATADVNPTFPVNGTPSIPPPDGVSCTADMPLHPRNIEPTVDSFEPTRPCITTAAVT GRTHATRDGIVDQATPRDAALSSATEKHPSKGPVEDMTSPGQEQQQDTNTLGVISDEP PRDHTDDTTAFLEPPLQSQTPHTSTRPTGDPEEADLLVDRKTDLATDGSGAAKGVDPC AFVELLAPLDASPPHDNGKALSPRRYGPMTPANTFVGAFFPPISTLDLDVVAADLMLV ETVIETARRPTDRRFVPMTPANTFVGLQNTDAKNGKVPGTTCPVEFALRDDTDHDVLV PLLAAQLVGSWLYDATLSLSQSKHDQQSRSPLCDDDDNALEFCPTVATAQELPAWSAT STNHQEPPNADVQGMMAPSIEVSMLAGGQMVRGCSCDVQNRLVGDPIPLSVQWQDEED NMDNANRRRVSESFEALPPPYVDEYDVAIARVASQLVQVWLYDTMDKLVSQATTAAPT LIVMAQLSPPDISPLCGLAMDDICDTVSSSYSCQIHELERLEQATLGTPEFQHEAAKE APTTAAAASDVQPTDTLQERDEVCYEADFEGFVSTPEGVPVDMFPPVVTVPGKEIEGL DTPSTKTIEDESLVVQVSVVASQLVRAWIHTAVVSCINRIVTPPAYSGEDLLPAASLV PNLPPPLDPLEPPSTPSSPSSLVNDGFSMVSEDPKDQHLAVDVSLVAAQLVQSWIYET LDRVTTFSTRPHEHHHNHHQQQHASEDWGRDEEVETTKGATAQGDDCDEWSVASAMLA QRLVQAWMYETLARVTQFFSTPPTDPHHDHSLLHVDSTDEERDPPNLRTADTTLLDDV VHHRHSVQVSLVASQLVQIWVYDTVDRLAQTQQVGAVDEYADDDFDDDVGAVDADADF DDEDQDHNRLPAYQSIGGDVHGVEFGVCGDEGGDSPASVELEGTELKPHKGGSTDEEI NDVVCLVTSKLARQLVQLWIYSTMLDDRLGSSWGIGPRGAVSKTGVIDSGTAASHDDM HDGDDEGGKPVSFEVSRVSHLLVHGWVYEILDKLSRAIPSRNPLVTLSHEKCNEEGLQ DGTSVNSMSITDAAESGGAAAPLTTANDEYKEGEADSQLSVAASVLAAQLVQAWIVET LQRVVRFTTRPHEHPMVPIRDRATAECHVITSLLDPLTAIHSSSPSNPMAFDDGDVWN VQVSSVAPLVKAWLFQGMLNAVESLISSSSSVVFIDQGLLRHDVSDATILRPPILYFD QATARRPESSLSDPELDGQAPVGGSVEVSLLTAQLVRGWIYFTLDDVVRFSTFRQHHD AQAATSYDVSSSPPPDTPNELVSTMHAQPDDSVTATLDLEDGREDERGVAVSFVAGQL VRLWLYETMDAIVRFSCHHDQTAPVSTPPAIHFVEAGCFIDAVTPPVVSPESGAFVST HRDHDEEHDVSLEVVLVANLLVRAWTSDAVLNIVDRWQADHDAVPSCISSSAKEQPQL DLTTAPCPQEAETRPICLKGWARDDNNESLEVVLLANLLVRAWTSEAILRVMYDSSFP IPVDVTTGSSTSLLSHDDHSYFPLDCPIIERNELQVPHSDGGTPPSHTSPFAVDAAAS KAVVNSTGEGETIPPLEVVLWANLLVRAWTFEAMVLVLDAAPMSIPPGQGSYPPEEVT PVVPSVLIPFPAPSFTISPATTAPRENSTDDEVSFEVVLLASLLVRAWTCDAMGCVLD AIAEAPLDPRAADSTLNQIASSCTDHASSAVTTVEGATSDVSTVSPSPDPDCLLPTAL PAAATAPIGSVLDDEMSLEVVLLANLLVRAWVSDAMGRVLDVADGDGLAEQITPTTAL STDESPTKQEVLRPRPLSSQSQHVNDSIDFPTALTPLQVGPLMESVVRQCPGGSDVEQ SHQVMSLEIVLLANLLVRAWVSEAVMNVTLCDPQLYLLRRPPTSTDQGLLQKASAGSD QPDSTEPSRQDNRTKPLVRTTLQCGTASASDIQKSTEKTLTDQHDDMVYVLLANLLVR AWISEATGLVLDRVPTHIPSLFTTTKFNVPTDKNSVDLAIASTCCLASSSTSSTSSSL PDEADDDKHIAAIFTKWNEGECTHESCRRLEGEYLKGALSVGDCVAGYVAAPVTSAMT NVGTFQWPQIATSPHEMDVAIALLAAQMTRWWIFEALCSVSNKKLQKLLLRGDSTQHH HQRWSS H257_15116 MHNTSNKAIPAKAAAATKATDVKKRKWQLQTYLDRRDFQGAMTL LRFQAKHGEGSRLNTLWTAYCLFHMGEYVQALEHYEQVLKLDTEDDSTDASRSNPILA NMACCYLYLSYYDFAKSALAKIVAPADTATAELHQRLRLVLAQRTNDSEVVDSLLYDL RTSSIPSQLAAAAVLFHQRNFHGAADIYKRVLARHETHAAINVYLAMCYYHLEYFDIS SELLATYLATSDGHSNVAKNLLACNTFHLVDGVAAEKSLQLHLPQPTRGHALVEHNVV VFRQGDVGLRLWPPLLGTVPEAKLNLALFHIKHDTFEKAFDLLDTFEPAQPIAYILKA IVHMWMGQQQVETNQAHHNITTPTNEHLFLSEKFFETVGAAPSECDTIRGRQAMASMY MLRNEFDTALVYLKSIAMYHVHDDAFNWNYGVALAATGMYSEGESVLCQVQHPSWRQH FIYVAWLSRCHIRSISQSHLAWDVYLNVRNAANALKLLKLIANEYYLMADYYFAAKAF DVLERVDPDPEFWEAKRGACVGYFHKAVSGKCDTTKLVEVLNMLEASKHNQGKVMART LRTYCESVHLI H257_15117 MSEAYGQQKLRDEQVKETINQKLIESGEKERLKEILRQKLVECG WRDEMRMYCKELIKTKGIDQITVDDLVDEITPKGRSSVPDSIKADMLERIRLFLEASS H257_15118 MSIEYVCGCGRQYPLAELYWSDTCKKIVCPWPTCSLQEIDSYFC RFQMDNLPSKEAAAYKNRSARTFACPDCRSTLQTIKHDKYTFFCAHCRWDSDSIQLTD EDPDTLMMVANTREREEHVFDVLLAHYQQRAAAQTSIQHTRSFMSKRSFTSASSSRFI KYSQRPPPAMQLGWKMENLDAKLKDTLSTVSSAVDTALVEQCRQKFPHHESFHGIDAV RAAQCDDMAAVSTLAQRNLCTPLLQSRDVSALFPSRPDLRVKRSWRCVESMASGAPGI LVKPQINPMTGDSSMVVGASWWKKATLALHMLPSVTIRRVWEPKDAAIVVAWLLVENP LDEDIVLVLTQDGHGDVMMPSAPIRVGGYEDPNLIDTTGADILQFIDMTQAASAAVLV SRNYAKIKLETSVEMAPRCVHVNIAMYDAASSPSTLDVVTMPLLSRFAVVVNIPVGTP PPCNTNHDK H257_15119 MSSSAAATSAAPSSPVANAAPATNNSAAAQPFHTASLYVGDIHP EVTEALLFEIFNAVGPVASIRVCRDAVTRRSLGYAYVNFHNVSDAERALDTMNYTSIK SVPCRIMWSQRDPTLRKSGVGNIFVKNLDTSIDNKALYDTFSLFGNILSCKVAYDLNG NSKGYGYVHYETAEAAYLAIEKINGMLIAGTEVFVGHFQKRENRPADSDWTNCYIKNI PHNWDDAKLRSEFEPFGVISSCVVMKDTTSENNRGFGFVNFEEPEAAREATDKLNGKV VATDDNGADIELYVGKAQKRSERERELRHKFEALKMERINKYQGVNLYVKNLDDQLTD EELREAFTAVGTITSARIMRDPAGASRGFGFVCFATPEEATKAVTDLSGKLLNGKPIY VALAQRKEVRRAQLEAQHAQQRMVAGRGLPLQHQPPMYGAAPMFYAQPNQLPPQARQG FVYPQQMIPRGGIPRGPIPYRQPGVPGGPGGYGMPQYGVPLQGQQRQPRGRRPQGPPG QLAGPPQGQPGNPNGPRQNNRNNFKYTANARNQPSLQEQAPQPAAPAVAAGVPTLEPL TSAALAAASPSIQKNMIGERLFPLIHRSQPELAGKITGMLLEMDNGELLHLLESPDAL DAKIAEALAVLEAHETEE H257_15120 MTSWHSCVANATKNATSACSTSCNGSNSTRRLG H257_15121 MKIFRSLLLVGATVIAALDTYSAKILVDTNTFECELIDSVHVAQ LHRSVEHPDSFIELTTKRKSHLNDLDLLRNSEEGAQEFVEIVGGSVDAVLGQLAKRCP SGALRHNAVRSAETFAETTVIKKIVDSGPPKNRIDIVFMGDGYQASEEALFFKDIQRL TDEMFTGDTFTQYLPLFNVWAVYVPSVDSGIGVGGKPRNTAFQLYRDGTELRGVYPNK PQYARDVCKTVGEFACDFPSLIGNDAFYGGLGGEFVVATSSVTSGTVVLRHEMGHNFG RVGEEYDGGQVYRGANAATSINVAPWTHWLTNPDVIREEKAVQRFQKHIWYDLQKGSY QIKFTSNGAFKRWYIELSVSGADTNDALSITLNGDPLPWTTKGVKDRSFYSWRSSDAG FPAGDHVLNITAGGPFDSPIIKQLCNAVIYEYAGEDEFKLDDNDHIGFYPTWDINKRL SYRPDNEKCLMRNMTSPQFCAPCQENMWLQFLTRISFIEDVVVTGKDVALKLIPLGQL RPNPIPNERYSVQWFNNDNEVTKFRDQFNIDVSTMSGVAKQWTVKVNFTTPTIRIDSK GVTRAERTFNVDYTPPSTTLTPTITTVTRAPTTKTQTPTTTKATPTVAPSPTTPTTTK AHCFGR H257_15122 MTLLPRRRATKPKHKGQRLCFVAGILDGGTDGSKLLTTRVFRGG HRQTKDYHGMFTGALIVMDNASYHKVVPSDTPKGTWKKQDPLAACERFGVAESANEYR SVMVEVVSMAPARGYEVVYKPPYHSDLQPIEYVWAYLKGNVGRQYTTDTTMEDVRARL ALSSAS H257_15123 MKIFRSLLLVGATAAALDTYSAKILVDTNTFECELIDSVHVAQL HRSVEHPDSFIELTTKRQSHLNDLDLLRNSEESAQEFVEIIGGSVDAVLGQLAKRCPS GALRHNTVRSLESIAETTVIKKIVDSGPPKNRIDIVFMGDGYQASEEALFFKDIQRLT DEMFTGDTFTQYLPLFNVWAVHVPSVDSGIGVGGKPRNTAFQLYRTGTELRVVLPNKP QYAREVCKTVGEFACDFPSLIGNDAYYGGLGGEFVIGTSSATSGTLVLRHEMGHNFGK VGEEYDGGTMYFGANTAKSINEAPWKHWLTNPDVIREEKAVQRFQKHIWYDLQKGSYQ IKFTSNGAFKRWYILVSVSGADTNDALSITLNGEPLPWTTKGIKDRSFYSWRSSDAGF TAGDHVLNITAGGSFDSPIIKQLCNAVIYEYAGEDEFKLDDNDHIGFYPTWDINKRLS YRPDNEKCLMRNMTSPQFCAPCQENLWLQFLTRISFIEDVIVTGKDVALKLIPLGQLR PNPIPYERYSVQWFNNGHEVKTFRDQFNIDVSTVSGAAKQWTVKVNFTTPTIRVDSKG VTRAERTFNVDYAPTTNKTYC H257_15124 MWDGDRSPQAIISRWKKVCPMLSSFNATVVQALNSIPSGWNEHG VISNELYAIADAKSHGVERTTTLACWRIVRDAPKWRVEVETVQGKCKAVELLRPGGTK HAKAVKQAPIALDALHARFVKASEEKASNMAKRHQLAELKFMFQVFAQDPESEDAILF NTQLIANLLLRACNDITPVVS H257_15125 MTQSLTDAALVLDPSHPDNAESKEGQGGDEIDLDDEEAVYRAVV GIQLPDDHGHDFMSLYEMVRRVADDQMSLVARMDRSRRLSACLQDELQHTFPVGPSSR NAAILRLADNYEFTRGALRGVQDHLRVRELELEREREQHEAVQLERDGLVDQNNCLAE ENFMLRLRLSQANTSVERAVADAHSRQQIRATEEDRAERLAEALRLEVAEHKTLLKDQ GVVLESMQGELEVARARADADARTVKTKSVLTQLAARGRSSVVAAHDDLLHDLEVFRD EFRKQTEALAVMTALKEHRSQALQDSRREVERLAAELRHPKTKHSPSPLFSAAYQAME TKLAAVTAQNQELRTENADISRCASETQRALAEQVEVWSARVLKLEAQTRDQRQVSKR RVKQLNASLLAVKQSVRYFQGSARNLHAQLRDSVPSFWDWVRKNFSLKNGHRVEDLLS AWLADEPSLYAKHLEAVCLIFDTPSAPSLPLLEGRIFGKAPDDSTLDLPWTSDSSLGR SPTSSEDGPRGSTKVTTADEEEDEAKSGGNVADEEEKEEVASVGAIADSEQVDRAISS RLLVKETPLSRTPTRKRATTNPPGMRSPKKPRVVMSDAGRGLPTMGLISSVEVAYQDL VRRAPWERYRTQVSFIPPSFLADPMWGDFQAALDDFWRIHARAVWNRIFLPRSSTTAE TEVNQTLEPLVGLAGHLYRNSRAHGLSLLHYLCYPHAFWPSFLAKGLSLLQMVRARGE SAALDYVQTQGDKLRPEVPLRVKGQAWRAPFMPTLEFVYGRDLTVRQCQAFDPDRTVP FDEAEVKEALAWMLEEAGIFGHAAATDEVYPFVAEAGSRPCEQSQWALIDPPMGTIAP EGPPAVPATSLPASV H257_15126 MDTGFQSLKTPRASLDLQEDDVPWSQRPHPLESVNWLSYITIWW LDALIRKGAQAPLTERDVWPLAKADTVDSVYPLVTAKWDPVLKPRLVVVLWQAFRYRI VVSFLLFSLYAVLSLLQPIAVKSMMQYLEQEDVTATALGLTNGYALVIVLFVVSMTSA CIMDFAGYYAAHIGLNLKSAVANMVYVKTLSLSGLAKAKFSSGEVVTMTSVDLERITM GFSLGHWTFISPVMLLVIFIMLSFELGPLAAFIGGLAMAGFIYFGIASGQLVGHLRRD ILAVQAQRVKLTNEVLQGIRVVKLYAWEGSIQDQLNDIRVREISCLTKYHNLRIINNV LLMVAPVVSLACCLMVFVGQGHPLTMPVAFTALAYMNITRQPCGVFSTSVIGFTEALA SCRRLSDFFNAEELAPDVATLTTPEANVELSHADFSWTNDATAPTLKDISLQLEPGTL TIVVGAVGSGKSSLVSAILGDVHVTSGSRRVFARFSYVSQESWIQHATIKDNIVFLSP LFDQDLYDRVVSACQLGPDLAMLPKGDATEIGERGINLSGGQKARISLARAMYHTDAD VFLLDDPLSALDVHVANAVFSDCMQGLLRGKTALLVLTSHYHLLPHAHRIILMSDGAI VGDGTYKQLKTEFPHLMNFTQSDLVATKSQDNDKEELAEGVAAEPTVMKDSLIVKEDN IKGKVTLQTYKSYLGASGYNGYSVGIVVAVLFTISQVTLSLTDWFMSVWAQKGPLSLW YGWGYVALAGASVILVYGRSIFVLVTAMLCSKNFHSKVLHNVLSAPVPTFFDVTPVGR ILNRFSSDLDQIDSNLLHFGLCVLEFGFEILAVLVVCAATTPWVIVMYVPVGYVYFVA QSGYNKAANEIKRLDGVTKSPLISLVSETYQGLSTIRAFEKSASFAQKQRTTIDFNMR FYFTFFVGARWFQMRLDFLGSLFVGTCAVVTVLTKSTVGLAAAGLSLTYSTQLSVLLS RVAIFTAWLDNSMTSVERLNHYNQLESEHADEGTDVHDWPSQGAIAFESYSMRYRDHL DLVLTNISFNVEPGHQVGICGRTGSGKSSLMAALFRMVPASSGRIAIDGVDIASVSVT SLRRGLTIIPQDPVLFSGSIRLNLDPTKCATDAELWTAVKQVHLSGVIPSLEFAICER GSNLSVGQRQLVCIARALLRRSKVVVLDEATANIDPESDRLIQATMRDCFENVTRLII AHRLDTILDSDRILVLDGGVAVEYDAPSTLLANKCGAFAQLAQHAHVDLDKLK H257_15126 MDTGFQSLKTPRASLDLQEDDVPWSQRPHPLESVNWLSYITIWW LDALIRKGAQAPLTERDVWPLAKADTVDSVYPLVTAKWDPVLKPRLVVVLWQAFRYRI VVSFLLFSLYAVLSLLQPIAVKSMMQYLEQEDVTATALGLTNGYALVIVLFVVSMTSA CIMDFAGYYAAHIGLNLKSAVANMVYVKTLSLSGLAKAKFSSGEVVTMTSVDLERITM GFSLGHWTFISPVMLLVIFIMLSFELGPLAAFIGGLAMAGFIYFGIASGQLVGHLRRD ILAVQAQRVKLTNEVLQGIRVVKLYAWEGSIQDQLNDIRVREISCLTKYHNLRIINNV LLMVAPVVSLACCLMVFVGQGHPLTMPVAFTALAYMNITRQPCGVFSTSVIGFTEALA SCRRLSDFFNAEELAPDVATLTTPEANVELSHADFSWTNDATAPTLKDISLQLEPGTL TIVVGAVGSGKSSLVSAILGDVHVTSGSRRVFARFSYVSQESWIQHATIKDNIVFLSP LFDQDLYDRVVSACQLGPDLAMLPKGDATEIGERGINLSGGQKARISLARAMYHTDAD VFLLDDPLSALDVHVANAVFSDCMQGLLRGKTALLVLTSHYHLLPHAHRIILMSDGAI VGDGTYKQLKTEFPHLMNFTQSDLVATKSQDNDKEELAEGVAAEPTVMKDSLIVKEDN IKGKVTLQTYKSYLGASGYNGYSVGIVVAVLFTISQVTLSLTDWFMSVWAQKGPLSLW YGWGYVALAGASVILVYGRSIFVLVTAMLCSKNFHSKVLHNVLSAPVPTFFDVTPVGR ILNRFSSDLDQIDSNLLHFGLCVLEFGFEILAVLVVCAATTPWVIVMYVPVGYVYFVA QSGYNKAANEIKRLDGVTKSPLISLVSETYQGLSTIRAFEKSASFAQKQRTTIDFNMR FYFTFFVGARWFQMRLDFLGSLFVGTCAVVTVLTKSTVGLAAAGLSLTYSTQLSVLLS RVAIFTAWLDNSMTSVERLNHYNQLESEHADEGTDVHDWPSQGHQVGICGRTGSGKSS LMAALFRMVPASSGRIAIDGVDIASVSVTSLRRGLTIIPQDPVLFSGSIRLNLDPTKC ATDAELWTAVKQVHLSGVIPSLEFAICERGSNLSVGQRQLVCIARALLRRSKVVVLDE ATANIDPESDRLIQATMRDCFENVTRLIIAHRLDTILDSDRILVLDGGVAVEYDAPST LLANKCGAFAQLAQHAHVDLDKLK H257_15126 MDTGFQSLKTPRASLDLQEDDVPWSQRPHPLESVNWLSYITIWW LDALIRKGAQAPLTERDVWPLAKADTVDSVYPLVTAKWDPVLKPRLVVVLWQAFRYRI VVSFLLFSLYAVLSLLQPIAVKSMMQYLEQEDVTATALGLTNGYALVIVLFVVSMTSA CIMDFAGYYAAHIGLNLKSAVANMVYVKTLSLSGLAKAKFSSGEVVTMTSVDLERITM GFSLGHWTFISPVMLLVIFIMLSFELGPLAAFIGGLAMAGFIYFGIASGQLVGHLRRD ILAVQAQRVKLTNEVLQGIRVVKLYAWEGSIQDQLNDIRVREISCLTKYHNLRIINNV LLMVAPVVSLACCLMVFVGQGHPLTMPVAFTALAYMNITRQPCGVFSTSVIGFTEALA SCRRLSDFFNAEELAPDVATLTTPEANVELSHADFSWTNDATAPTLKDISLQLEPGTL TIVVGAVGSGKSSLVSAILGDVHVTSGSRRVFARFSYVSQESWIQHATIKDNIVFLSP LFDQDLYDRVVSACQLGPDLAMLPKGDATEIGERGINLSGGQKARISLARAMYHTDAD VFLLDDPLSALDVHVANAVFSDCMQGLLRGKTALLVLTSHYHLLPHAHRIILMSDGAI VGDGTYKQLKTEFPHLMNFTQSDLVATKSQDNDKEELAEGVAAEPTVMKDSLIVKEDN IKGKVTLQTYKSYLGASGYNGYSVGIVVAVLFTISQVTLSLTDWFMSVWAQKGPLSLW YGWGYVALAGASVILVYGRSIFVLVTAMLCSKNFHSKVLHNVLSAPVPTFFDVTPVGR ILNRFSSDLDQIDSNLLHFGLCVLEFGFEILAVLVVCAATTPWVIVMYVPVGYVYFVA QSGYNKAANEIKRLDGVTKSPLISLVSETYQGLSTIRAFEKSASFAQKQRTTIDFNMR FYFTFFVGARWFQMRLDFLGSLFVGTCAVVTVLTKSTVGLAAAGLSLTYSTQLSVLLS RVAIFTAWLDNSMTSVERLNHYNQLESEHADEGTDVHDWPSQGAIAFESYSMRYRDHL DLVLTNISFNVEPGHQVGICGRTGSGKSSLMAALFRMVPASSGRIAIDGVDIASVSVT SLRRGLTIIPQDPVLFSGSIRLNLDPTKCATDAELWTAVKQGSGSWCVLPGRCCGGPR WWCWTKPRPTSTQSPTGSSRPRCVTALRTSRDLSSRTALTRSSTRTAFSSWTVAWPSS TTRRPHSWPTNAARSPNWPNMRMWIWTS H257_15126 MDTGFQSLKTPRASLDLQEDDVPWSQRPHPLESVNWLSYITIWW LDALIRKGAQAPLTERDVWPLAKADTVDSVYPLVTAKWDPVLKPRLVVVLWQAFRYRI VVSFLLFSLYAVLSLLQPIAVKSMMQYLEQEDVTATALGLTNGYALVIVLFVVSMTSA CIMDFAGYYAAHIGLNLKSAVANMVYVKTLSLSGLAKAKFSSGEVVTMTSVDLERITM GFSLGHWTFISPVMLLVIFIMLSFELGPLAAFIGGLAMAGFIYFGIASGQLVGHLRRD ILAVQAQRVKLTNEVLQGIRVVKLYAWEGSIQDQLNDIRVREISCLTKYHNLRIINNV LLMVAPVVSLACCLMVFVGQGHPLTMPVAFTALAYMNITRQPCGVFSTSVIGFTEALA SCRRLSDFFNAEELAPDVATLTTPEANVELSHADFSWTNDATAPTLKDISLQLEPGTL TIVVGAVGSGKSSLVSAILGDVHVTSGSRRVFARFSYVSQESWIQHATIKDNIVFLSP LFDQDLYDRVVSACQLGPDLAMLPKGDATEIGERGINLSGGQKARISLARAMYHTDAD VFLLDDPLSALDVHVANAVFSDCMQGLLRGKTALLVLTSHYHLLPHAHRIILMSDGAI VGDGTYKQLKTEFPHLMNFTQSDLVATKSQDNDKEELAEGVAAEPTVMKDSLIVKEDN IKGKVTLQTYKSYLGASGYNGYSVGIVVAVLFTISQVTLSLTDWFMSVWAQKGPLSLW YGWGYVALAGASVILVYGRSIFVLVTAMLCSKNFHSKVLHNVLSAPVPTFFDVTPVGR ILNRFSSDLDQIDSNLLHFGLCVLEFGFEILAVLVVCAATTPWVIVMYVPVGYVYFVA QSGYNKAANEIKRLDGVTKSPLISLVSETYQGLSTIRAFEKSASFAQKQRTTIDFNMR FYFTFFVGARWFQMRLDFLGSLFVGTCAVVTVLTKSTVGLAAAGLSLTYSTQLSVLLS RVAIFTAWLDNSMTSVERLNHYNQLESEHADEGTDVHDWPSQGAIAFESYSMRYRDHL DLVLTNISFNVEPGHQVGICGRTGSGKSSLMAALFRMVPASSGRIAIDGVLRLNVQNG YSRV H257_15126 MDTGFQSLKTPRASLDLQEDDVPWSQRPHPLESVNWLSYITIWW LDALIRKGAQAPLTERDVWPLAKADTVDSVYPLVTAKWDPVLKPRLVVVLWQAFRYRI VVSFLLFSLYAVLSLLQPIAVKSMMQYLEQEDVTATALGLTNGYALVIVLFVVSMTSA CIMDFAGYYAAHIGLNLKSAVANMVYVKTLSLSGLAKAKFSSGEVVTMTSVDLERITM GFSLGHWTFISPVMLLVIFIMLSFELGPLAAFIGGLAMAGFIYFGIASGQLVGHLRRD ILAVQAQRVKLTNEVLQGIRVVKLYAWEGSIQDQLNDIRVREISCLTKYHNLRIINNV LLMVAPVVSLACCLMVFVGQGHPLTMPVAFTALAYMNITRQPCGVFSTSVIGFTEALA SCRRLSDFFNAEELAPDVATLTTPEANVELSHADFSWTNDATAPTLKDISLQLEPGTL TIVVGAVGSGKSSLVSAILGDVHVTSGSRRVFARFSYVSQESWIQHATIKDNIVFLSP LFDQDLYDRVVSACQLGPDLAMLPKGDATEIGERGINLSGGQKARISLARAMYHTDAD VFLLDDPLSALDVHVANAVFSDCMQGLLRGKTALLVLTSHYHLLPHAHRIILMSDGAI VGDGTYKQLKTEFPHLMNFTQSDLVATKSQDNDKEELAEGVAAEPTVMKDSLIVKEDN IKGKVTLQTYKSYLGASGYNGYSVGIVVAVLFTISQVTLSLTDWFMSVWAQKGPLSLW YGWGYVALAGASVILVYGRSIFVLVTAMLCSKNFHSKVLHNVLSAPVPTFFDVTPVGR ILNRFSSDLDQIDSNLLHFGLCVLEFGFEILAVLVVCAATTPWVIVMYVPVGYVYFVA QSGYNKAANEIKRLDGVTKSPLISLVSETYQGLSTIRAFEKSASFAQKQRTTIDFNMR FYFTFFVGARWFQMRLDFLGSLFVGTCAVVTVLTKSTVGLAAAGLSLTYSTQLSVLLS RVAIFTAWLDNSMTSVERLNHYNQLESEHADEGTDVHDWPSQGHQVGICGRTGSGKSS LMAALFRMVPASSGRIAIDGVLRLNVQNGYSRV H257_15127 MRNDIGSNVTKQKAVSITCEVWANSTKTSTIVNGFASTGLFPPS LDAMMYRLSLFNHHRSSVLLLPPKRNKKGPMRKTLSVSGMFITADYHELLQAQAEDMK SKKRAKKQQMIPSPGALEIVVV H257_15128 MSAAFESSQPRPVVYLDESYIHHHYSLHNDSIYHPCDAFDSKPR HKGRRLCFIAAIKADGRDNSKLLTYEAFEGGRKQPKDYHAMFNHTFFVVWFWRLLDDV EALGKSNAIIVMMEACVALGIPTEPREYRSTLWTKLKKHVADNVVPVIVKMATDRGHD VIFTPPYHSDMQPIEMVRSYVNGGVGRQYNTSTKFPDVRERLDHEFDRLPSSVIYDCI CHTTRKVVDLSAYPQSLDDVDDAAGSCFSSDSESDSDGDSCDDCDLADFDSH H257_15129 MLRDIYVQQMCAATQHVNPRDRRTIVYSDESFIHHHYNKSDMSL YDPSDDLDSQPKAKHKGKRYCFIGAIVDDGESNSRQYQDDTTFHDVGQRLDTACASLT SHAIFGCIGKAEHGLLDLHKHVSIIDDDNYEEECHSDGNESDGSVSSSSSQSE H257_15130 MASLLPDLGKHQTTPLTLSNTQTMPTPMATPKPRNTVIVHRNGV PTAIQATIPAIPTLGKCHSHPTSPAATGSSSKQASSPADYAPPHEYTPSTRPAPSAVQ PTTAPASAPEPACPTPAAPPPNMTPPHVTLWTNTYLSHAHYPSSSHDTPPCSLVPTHR DIPLHNPVPSPSSPPVGQSRTTPTDTHILGTHRPTSSKMQHPESNPTEQGVADHTIDD DDQEEYDANTALQFPGIRNLHDEGQPNLYRTMQRYWEREAHLFQCFTADDIADVERQF ADAKVRIQFSINPSLQHPDDQLSILNYRREIEDICQEHFGLTFRGDLLEHGQQHLGDP LQRTIQVMIFQYAGVLDNGLSFHQLEYRNPSKISPGDLMVALRALGATDAIVQSYSDV RNTCPSRPLGAIGCINWLSEGQYRFRLVFLSQSMAETIYANFRGHTAGPNRLDLATSA ATLVDTKFRIARLPTPDVDITGDGYATLTFDTPAPVAFLWSTSGPHGETRLYIRDIAV HLHILTGRPAWSTLRPLHLSTPRRYLRSPDTRTRSTSRHRHAGRHTRSVPLHSATEGP QHQSQAWQLPLQRHPHQAPGHTTYLNLYLRRELSTYVNQRILSATTPLRQEVESLRAD KEALAALVSASSAAFTTREARLHEERRLREAAELLQAEENRLRAVAHIRLNTAVTQYE SQQAALAARLPYLESNIHTLLQAMQSVSSEMSALAGLGPLPATLPPNVPG H257_15131 MRRCLWLHLLDDDDDANDEALDALSKLRLFRARISLKERHYLTS NVLVPPNASPWTVLYGTIDRSAFITTVSLPPDAFHNLLHEFSKHFTWKTRIFKSGRPP KLPHKHQALALVLHYYTAACEHKTMCEMFGVPPSTFATTLRKAEVTLGLALESIDQAR VHYPSKRTQMEWARQVAEREPLVHGVWGFLDGKNYRVKSPSSADLQNAMFNGWWHSVF VTGTLLFGADGTIVWCRHNFVGSWNDGDTSINLQMKLLDGKRTAAGHGVVADAAFPVR GELRGKIRTPLKDGDLDRASPICREGLLMMSNAITSLRQAAEWGMGAVEKVYRQLLLP LPFDPTLRRLRLNNMFRLYNFRQHLVHLGLPFLTNSINLFLTTKAFFMAYAFTLLPGR LHRTTVSNVWEGFKRNSRMPSGKLGRVGDKKINTASIVSTLVSEVPEEQRSTMRDISQ ATGLSMGTLSRRLKDGTIERKNTRLKPLLTDANTIERTETPPEVTYEFDAMWDVVHLD EKWFNADKDRRKVYVVKGQSIKRRTAKSKRFIPKVMFLAAVARPRHDDERGVMFDGKI GMWPVVKYLPAARNSRNRPAGTIVPTIVNVDAVLPSFKAKFPSVNKRVALQHDNATPH GAITDAILACVSTDGWTFVVQRQPPNSPDLNVLDLGYFASIQSLQNKVVSHSIDDAIQ STLASFEALSSEKLENVFHTFQAVMRLVLEHNGSNHFPLPHLKKDAKRRAGTLSANLS CPASLLG H257_15132 MQQLAVSAKPVVVHVGLHWTSLVVSCLFTLNLVAMPFYFYLHMP IFPVEEEAVPGVNSTRAYVTSMQARYTPRLANQVYVSDVHSGIIALRTDLSSELLGLC DDHKALLGSRIQGSVFFSPSIQAMTIEFVCGKNHTNVDALWGHYYFGVHVANSVLWSS QHGDVSTLCYLFDPFVHYWTCSILEFLSRVFLSGYIAHQCWRYFYDPVAQLFGDLRSN PQGKTCRNVQLVIGEPTSLVVSNAWIVLAFTMDILLHPGTLGSATLRLIQPFDVWGGV LGSLYFGRLVWVSYAALWLWNYLYKHSARLSPVSCTVCAWTTTVLGVVLTKIDFIWTL ALQLFMAMFNVHQAVEAIDVTFGTIVSCVLYGLVPFGLACVEGTYWRLCWAKINPTPF NGRAHRLAHGDVKLRFLTWLYRVHNKQDDATKSDRGSIYKLFAMDERSQRNATVSQNS TDCYVRSLSATMHQLDVARVSLASRIKWHKDMSLTFQSNLSTTSVLGELHVSEASDSS TSGPSVTLVGAKNALWVQ H257_15133 MVDVSELHEILQEAAAEPPANDEGFHQEKTIQKLQTLYDQTPCM NDLQSALVGAMADDRKGKIAPAIDRVLEVVSAVKYSTEDVLVILQFGIRCSQAEDKQI RLRSTQLVALLLQNLPEIPDSIWDELVESMLIRVRDKIVAIRVQTVLVLKRLQQPELP DDVVTAALLRLAVVDTNKEVRVAAVESVALTQLSVGDLLIRVRDISFDVRCAVFRVFS QTHVKDICTALDRMYLLDQGLQDRHPSVVESCQAMVVHWLRQCHDNMLEFLEYLALDH PVCAVVVQFLLDKAAISDLPPIKSKALLGSTLTPTESFLWKEQCIHFKKDHEFVEDNC PTLPAYCDLLRHVQDQCLDSTLTMISCNLIG H257_15133 MVDVSELHEILQEAAAEPPANDEGFHQEKTIQKLQTLYDQTPCM NDLQSALVGAMADDRKGKIAPAIDRVLEVVSAVKYSTEDVLVILQFGIRCSQAEDKQI RLRSTQLVALLLQNLPEIPDSIWDELVESMLIRVRDKIVAIRVQTVLVLKRLQQPELP DDVVTAALLRLAVVDTNKEVRVAAVESVALTQLSVGDLLIRVRDISFDVRCAVFRVFS QTHVKDICTALDRMYLLDQGLQDRHPSVVESCQAMVVHWLRQCHDNMLEFLEYLALDH PVCAVVVQFLLDKAAISDLPPIKSKALLGSTLTPTESFLWKEQCIHFKKDHEFVEDNC PTLPAYCDLLRHVQDQCLVRSFTPNERNISPNSIRIHLIQFKLVQTNMLYKE H257_15134 MELYFHPILQQMLHSNDHGFCSETLQLLSVFYPALANLQFDLIV ATIRSIFCSIVYGTSAIPLDEAAVYFLSIFPEKDAAHDALSLQCCVEILAIAELKNLP KRDKEALQKHWWTLLQSLEWTDRNGRLVVLLEEVGGAFKAADATKFKARMEQVIQSPP HLTDHDTQWILDQVAERKEALSTFKKKLTRAAQRREHVVAASSDSSDASEDEEKAPVV PTRERTSRQSKAVASAKMNIVDLGDSEEVEVISSTSGNESEF H257_15135 MSSAAAAGASWTCLKTPPSFTVYSTWRVDVADVLSCTMNDQSKD CLLIPTLQECQDKYMNDNTRPIKSCAASETRNASTLCGQVYVQLTSSGTPTTPPPPPP PTTTTTTTDPPSKLKGDWRCFQTTAGDSVVVHLETTACLSNDQRTCVSFPTSTACATA LLQEPSLVLDTSTLPLPCASLTSPLPVWCPASPSSPLPAPSLPPHSSSSTIIIIVALA AGIVVCVGFLVHMKKKTFPTTRSRGASSFMWPMSTRSNFGRRLFQNKLTPDDSVFSAD HIHLGNLIHWRLDETQLATISTLHTSSTGLVSLARYLGKVVVVKQPLECDRSMDIMQA FVGEIELMIRVQSPHVIQVVGVSYMRLRDLSLVLEYMERGDLQTYLSTTKLTPSLLNW PQKLAILKDIVSGLGHIHALGVIHRDIKARNILLSHDLRAKLTDFGVARQVSGTTMTQ GIGSLQWTAPEVLEGGQYTAQADMYSLGILLSELDTHQPPYATDEDALSDIVLLRPSL QCPPERNPAEEEDKKRYHGVVGFSTSCPHRVQKLATLCLALDPNKRPTPAQVLQMLA H257_15136 MTPTPSPNSALEWIGYIVLIVGVLFLVGSVVYVCRYKKPNANNL QHSHFMWAETIKSAVSPVYKSSSVTPVEDILTDEGSHAQASVMDAFSLWKLEPHLLTL TTLLSESDDKQVWRGVYDDEVVAVKKLQRHATTGPTWAKFVNEIHLMMRLDSPNIIAM YGLVWSVPDDGNLHLVFEFMDRGNLSDYLTHTRTSRNVDLWTEKLRYAIQLIEALVCL HEASIIHRDVKSKHVLLHSSGDAKLTDFGWSREMDVQVLLTQGAGSFRWSAPEILEGN QYTVAADIYSFGMVCYELDAHHIPYATTYRPKTVKPLNEFEIIQGVRTHQLHVEFEVG CPVADLANRCLQHNPTDRPSAAQVMQWLLDLQ H257_15136 MTPTPSPNSALEWIGYIVLIVGVLFLVGSVVYVCRYKKPNANNL QHSHFMWAETIKSAVSPVYKSSSVTPVEDILTDEGSHAQASVMDAFSLWKLEPHLLTL TTLLSESDDKQVWRGVYDDEVVAVKKLQRHATTGPTWAKFVNEIHLMMRLDSPNIIAM YGLVWSVPDDGNLHLVFEFMDRGNLSDYLTHTRTSRNVDLWTEKLRYAIQLIEALVCL HEASIIHRDVKSKHVLLHSSGDAKLTDFGWSREMDVQVLLTQGAGSFRWSAPEILEGN QYTVAADIYSFGMVCYELDAHHIPYATTYRPKTVKPLNEFEIIQGVRTHQLHVEFEVG CPVADLANRCLQHNPTDRPSAAQVMQWLLDLQ H257_15137 MVNQAPRTRKDVSSSKNAEVIQQRHHFLVNGKLVRGAFTRTAEM LDIVRRSVAYIWDTFYTRGALSSNKCAKVGPKPKYSPDDIRNLNALHETVEFESLWDV VHLDEKWFNTDKDRRKVYLVPGETPPQRTWKCKRFIPKVMFLAAIGWPRFDHDRGVLF DGKVGMWPFVESVPAVRNSRNRPASTMATSLVNVNATVYRDYVINNVIPAIKASFPTV STDGWKFVLRRQPPNSPDLNALDLGFFASIQSLQYKLMSRTVDDVIRSTLAAFEELSY EKLESVFLTFESVMQLILEHDGGNHYVLPHLKKAALRRAGLLMQNVSCPVSLLL H257_15138 MCAKHFISKSSLPRPWRQCERVGARATSLKLSTPTSPRCLPTTT STPTAFGISTSLGRAPKRSRSKTNVLASKRMVANAQKADSRQNVYVLVCVNAGGGHVP PFFILPGSNLCKRMTQCGLPGSNFAATKSSFLSMMLFIQFFEWFVKKIGPKRPVLVFM DTRLIGPPGRLSMLGPRASTCTPSHRIHRNFSSLWKSLCLLNSNGYWTSSWPTFNLFI HALQRQYDMVGLASNGLTRTYIVSGFEKAGISLLSRDIMMAKIVRDKAGLKATAVIQA TVRPENRVVMHLRELGIDIDSAHVFCINDAMVQAFTNRASTPKGDMTGFMVACSQLPT TLHCTEESHCSENEEGVG H257_15139 MLDAPTPNAKPNKRKVRWDDESVAELFRLRYKSHFTSRVDSKNS AEKKTAYVMLASEINVSMEKDFSVAQVQDKFGKLKTSWSLTNPSNPSETENAPWAPLP PHCDQQATGTSEEARKSLESEALEDGCATNPAAPACPLGLH H257_15140 MPQSCRSINVLSEPPLAAAVVHGIWSLVGHMSRACAAAWCNSPA HHGIHTSSTHVVDVVHDSLQHVQARIQSQARHTKLAEVQETSFRAGTKRNDIISMSSN IFLLFWCVASSM H257_15141 MDELPTKETIQASFHSASTKRTYGTYQRQFETFFRSANPDKVPL QATTNDCTDFLQHLYDVALGDLLGGQFVSVRLRWHKKASVEKEYQVYNLVDERAYPCL RVYGFYNEYVNAVGATLMNVTKDAFVFPQVTCVINGSVKINWAKAMEQNFLRKQINDL VESTPSLSVNISFHSMRRGGCFYRVFESLDRKFNFRELMAWCRWEDTKMCCEYLVTKS VSDAIDPRHLLQTRHREPHGVNVEPTNVAEIVDQIAQYVLTHLQGDRTSSVLSSSPAT PSELSAIVNKQPPLAQRSMQDFVVPKIIPTARSAKDAWEQWFSVDHKNGRPCALKDYT KSMIKSDRKKYSERQTIATAFNKYQSYSHFEQAYAGYTNTYSNLLHEVRKRKRENTL H257_15142 MPVVVLPPLSAHQPQYSTMETSTTDYAYTPSPRRCAVLGCLHYA KLHAVCLVHHRQPPPQSGSSPTNAPKRRNKKCQSHRCESFARSGGFCTRHGGGRKCKV AGCTTASQTGGHCRLHGGGSKCKIDKCDQFARTRGMCLPHSRAE H257_15143 MHSLCAVHRDRANVVQRNYARRRRSRKRLEKLRMAASTAQAEAQ QQLTTNLTKRQAKPNEVTTEMARNGIDPIPYHKEEVDTTLNSKRERVSLTNDDVKLFQ DLMRSFLGLKAIFEWMQEGVAPKVGI H257_15144 MAANSAKARKRHAGDSPSSVYVLPSDASDEDDFAHVGSSQSSQS QSQTSLDRSEMGVIEEIYCENFMCHRKMVVKLGRNINFITGENGSGKSAIIAALQICL GASARTTHRGKSLKNLIRNSDQGEQPRSALVRITLLNDGKGTDAFRPEQFGTRIQVER LIRIEGTAEYRLKDMDGRVVSKAKADLEAMLDHLNIQIDNPCAVLDQENAKLFLKGDP ADKYKFFLQSTDLYKMRAVFAKIEDETNVRKESTLEHEGRKVQLLQQAHAQAVKMYEK AQSFAHLEEKLVSVKHHLAWSFVRVKETEYETLREELEQCQTKADSYKKHMAKYQAQV EVLTADQHHLNESLELETEKLADLDKQQQELKHRQRQLRYPQQIKEAERKQLEHNLQK SKKRLVEIEKDKLKKRQRYQEYMSSLEHKQAQSAKELDSVQLRLDDALDQKRHLEERG NVDFSAELNRLTEELDTCKGQRRDCKSERERVGARLHSIRDQSKNRLLAFGNGVPYLN QLIEENLDRFSQPPIGPLGLYVSIPEHRKHWTLAVELILKNVLNSYLVASGPDKALFD RLKAKAKCGQVSILIAKRSSHKYSGLHVPTGSLQEHAVASIVSVDDPNVFNALVDIAK IESKLIFDSRKEGEERTIASSSTSGSRSVQFYANVSEVYMPNGDKFFARRGNLGFIAN KTMKHARILSQDHSAEENELADRLQVLDRHYEVLQRDEENMIRRRNALNRDQEEHERK MSEVNRRIHTLETDLNRLKRQQDQSMDTSIGDTTMLDDEKTELEFEMDTMHTRLQVLN DELEHDNPELAVISTDLSTLQATERSIHTRLNELKDQTMGLYQRLMQAKAKQVKATQQ VDRLDGEIARKAAEVATAAAQVQDSVDKASSICGRIEDVEHPSYYNAQIHELNHRMTV EKAQFDHMDLCELELDVQEKAGKLNQKAIEFKNLSDNVHHVSAMLVKRKLKWAALRKE IATRTSLGFNKFMMKRDFAGKLKFDHTGQRLDVAVVRNKEGGTKLSVVNDMKQLSGGE RSFTQVSLLMALGECIECPFRVMDEFDVFMDSINRTLTLKLLIETAKTEATKQFIFVT PNDLSSIKEDSMVKIQKLLPPRDRQLGRT H257_15144 MAANSAKARKRHAGDSPSSVYVLPSDASDEDDFAHVGSSQSSQS QSQTSLDRSEMGVIEEIYCENFMCHRKMVVKLGRNINFITGENGSGKSAIIAALQICL GASARTTHRGKSLKNLIRNSDQGEQPRSALVRITLLNDGKGTDAFRPEQFGTRIQVER LIRIEGTAEYRLKDMDGRVVSKAKADLEAMLDHLNIQIDNPCAVLDQENAKLFLKGDP ADKYKFFLQSTDLYKMRAVFAKIEDETNVRKESTLEHEGRKVQLLQQAHAQAVKMYEK AQSFAHLEEKLVSVKHHLAWSFVRVKETEYETLREELEQCQTKADSYKKHMAKYQAQV EVLTADQHHLNESLELETEKLADLDKQQQELKHRQRQLRYPQQIKEAERKQLEHNLQK SKKRLVEIEKDKLKKRQRYQEYMSSLEHKQAQSAKELDSVQLRLDDALDQKRHLEERG NVDFSAELNRLTEELDTCKGQRRDCKSERERVGARLHSIRDQSKNRLLAFGNGVPYLN QLIEENLDRFSQPPIGPLGLYVSIPEHRKHWTLAVELILKNVLNSYLVASGPDKALFD RLKAKAKCGQVSILIAKRSSHKYSGLHVPTGSLQEHAVASIVSVDDPNVFNALVDIAK IESKLIFDSRKEGEERTIASSSTSGSRSVQFYANVSEVYMPNGDKFFARRGNLGFIAN KTMKHARILSQDHSAEENELADRLQVLDRHYEVLQRDEENMIRRRNALNRDQEEHERK MSEVNRRIHTLETDLNRLKRQQDQSMDLEHDNPELAVISTDLSTLQATERSIHTRLNE LKDQTMGLYQRLMQAKAKQVKATQQVDRLDGEIARKAAEVATAAAQVQDSVDKASSIC GRIEDVEHPSYYNAQIHELNHRMTVEKAQFDHMDLCELELDVQEKAGKLNQKAIEFKN LSDNVHHVSAMLVKRKLKWAALRKEIATRTSLGFNKFMMKRDFAGKLKFDHTGQRLDV AVVRNKEGGTKLSVVNDMKQLSGGERSFTQVSLLMALGECIECPFRVMDEFDVFMDSI NRTLTLKLLIETAKTEATKQFIFVTPNDLSSIKEDSMVKIQKLLPPRDRQLGRT H257_15144 MAANSAKARKRHAGDSPSSVYVLPSDASDEDDFAHVGSSQSSQS QSQTSLDRSEMGVIEEIYCENFMCHRKMVVKLGRNINFITGENGSGKSAIIAALQICL GASARTTHRGKSLKNLIRNSDQGEQPRSALVRITLLNDGKGTDAFRPEQFGTRIQVER LIRIEGTAEYRLKDMDGRVVSKAKADLEAMLDHLNIQIDNPCAVLDQENAKLFLKGDP ADKYKFFLQSTDLYKMRAVFAKIEDETNVRKESTLEHEGRKVQLLQQAHAQAVKMYEK AQSFAHLEEKLVSVKHHLAWSFVRVKETEYETLREELEQCQTKADSYKKHMAKYQAQV EVLTADQHHLNESLELETEKLADLDKQQQELKHRQRQLRYPQQIKEAERKQLEHNLQK SKKRLVEIEKDKLKKRQRYQEYMSSLEHKQAQSAKELDSVQLRLDDALDQKRHLEERG NVDFSAELNRLTEELDTCKGQRRDCKSERERVGARLHSIRDQSKNRLLAFGNGVPYLN QLIEENLDRFSQPPIGPLGLYVSIPEHRKHWTLAVELILKNVLNSYLVASGPDKALFD RLKAKAKCGQVSILIAKRSSHKYSGLHVPTGSLQEHAVASIVSVDDPNVFNALVDIAK IESKLIFDSRKEGEERTIASSSTSGSRSVQFYANVSEVYMPNGDKFFARRGNLGFIAN KTMKHARILSQDHSAEENELADRLQVLDRHYEVLQRDEENMIRRRNALNRDQEEHERK MSEVNRRIHTLETDLNRLKRQQDQSMDTSIGDTTMLDDEKTELEFEMDTMHTRLQVLN DELEHDNPELAVISTDLSTLQATERSIHTRLNELKDQTMGLYQRLMQAKAKQVKATQQ VDRLDGEIARKAAEVATAAAQVQDSVDKASSICGRIEDVEHPSYYNAQIHELNHRMTV EKAQFDHMDLCELELDVQEKAGKLNQKAIEFKNLSDNVHHVSAMLVKRKLKWAALRKE IATRTSLGFNKFMMKRDFAGKLKFDHTGQRLDVAVVRNKEGGTKLSVVNDMKQLSGGE RSFTQVSLLMALGECIECPFRVMDEFDVFMDSINRTLTLKLLIETAKTEATKQFIFVT PNDLR H257_15144 MAANSAKARKRHAGDSPSSVYVLPSDASDEDDFAHVGSSQSSQS QSQTSLDRSEMGVIEEIYCENFMCHRKMVVKLGRNINFITGENGSGKSAIIAALQICL GASARTTHRGKSLKNLIRNSDQGEQPRSALVRITLLNDGKGTDAFRPEQFGTRIQVER LIRIEGTAEYRLKDMDGRVVSKAKADLEAMLDHLNIQIDNPCAVLDQENAKLFLKGDP ADKYKFFLQSTDLYKMRAVFAKIEDETNVRKESTLEHEGRKVQLLQQAHAQAVKMYEK AQSFAHLEEKLVSVKHHLAWSFVRVKETEYETLREELEQCQTKADSYKKHMAKYQAQV EVLTADQHHLNESLELETEKLADLDKQQQELKHRQRQLRYPQQIKEAERKQLEHNLQK SKKRLVEIEKDKLKKRQRYQEYMSSLEHKQAQSAKELDSVQLRLDDALDQKRHLEERG NVDFSAELNRLTEELDTCKGQRRDCKSERERVGARLHSIRDQSKNRLLAFGNGVPYLN QLIEENLDRFSQPPIGPLGLYVSIPEHRKHWTLAVELILKNVLNSYLVASGPDKALFD RLKAKAKCGQVSILIAKRSSHKYSGLHVPTGSLQEHAVASIVSVDDPNVFNALVDIAK IESKLIFDSRKEGEERTIASSSTSGSRSVQFYANVSEVYMPNGDKFFARRGNLGFIAN KTMKHARILSQDHSAEENELADRLQVLDRHYEVLQRDEENMIRRRNALNRDQEEHERK MSEVNRRIHTLETDLNRLKRQQDQSMDLEHDNPELAVISTDLSTLQATERSIHTRLNE LKDQTMGLYQRLMQAKAKQVKATQQVDRLDGEIARKAAEVATAAAQVQDSVDKASSIC GRIEDVEHPSYYNAQIHELNHRMTVEKAQFDHMDLCELELDVQEKAGKLNQKAIEFKN LSDNVHHVSAMLVKRKLKWAALRKEIATRTSLGFNKFMMKRDFAGKLKFDHTGQRLDV AVVRNKEGGTKLSVVNDMKQLSGGERSFTQVSLLMALGECIECPFRVMDEFDVFMDSI NRTLTLKLLIETAKTEATKQFIFVTPNDLR H257_15144 MPIGKGTDAFRPEQFGTRIQVERLIRIEGTAEYRLKDMDGRVVS KAKADLEAMLDHLNIQIDNPCAVLDQENAKLFLKGDPADKYKFFLQSTDLYKMRAVFA KIEDETNVRKESTLEHEGRKVQLLQQAHAQAVKMYEKAQSFAHLEEKLVSVKHHLAWS FVRVKETEYETLREELEQCQTKADSYKKHMAKYQAQVEVLTADQHHLNESLELETEKL ADLDKQQQELKHRQRQLRYPQQIKEAERKQLEHNLQKSKKRLVEIEKDKLKKRQRYQE YMSSLEHKQAQSAKELDSVQLRLDDALDQKRHLEERGNVDFSAELNRLTEELDTCKGQ RRDCKSERERVGARLHSIRDQSKNRLLAFGNGVPYLNQLIEENLDRFSQPPIGPLGLY VSIPEHRKHWTLAVELILKNVLNSYLVASGPDKALFDRLKAKAKCGQVSILIAKRSSH KYSGLHVPTGSLQEHAVASIVSVDDPNVFNALVDIAKIESKLIFDSRKEGEERTIASS STSGSRSVQFYANVSEVYMPNGDKFFARRGNLGFIANKTMKHARILSQDHSAEENELA DRLQVLDRHYEVLQRDEENMIRRRNALNRDQEEHERKMSEVNRRIHTLETDLNRLKRQ QDQSMDTSIGDTTMLDDEKTELEFEMDTMHTRLQVLNDELEHDNPELAVISTDLSTLQ ATERSIHTRLNELKDQTMGLYQRLMQAKAKQVKATQQVDRLDGEIARKAAEVATAAAQ VQDSVDKASSICGRIEDVEHPSYYNAQIHELNHRMTVEKAQFDHMDLCELELDVQEKA GKLNQKAIEFKNLSDNVHHVSAMLVKRKLKWAALRKEIATRTSLGFNKFMMKRDFAGK LKFDHTGQRLDVAVVRNKEGGTKLSVVNDMKQLSGGERSFTQVSLLMALGECIECPFR VMDEFDVFMDSINRTLTLKLLIETAKTEATKQFIFVTPNDLSSIKEDSMVKIQKLLPP RDRQLGRT H257_15144 MPIGKGTDAFRPEQFGTRIQVERLIRIEGTAEYRLKDMDGRVVS KAKADLEAMLDHLNIQIDNPCAVLDQENAKLFLKGDPADKYKFFLQSTDLYKMRAVFA KIEDETNVRKESTLEHEGRKVQLLQQAHAQAVKMYEKAQSFAHLEEKLVSVKHHLAWS FVRVKETEYETLREELEQCQTKADSYKKHMAKYQAQVEVLTADQHHLNESLELETEKL ADLDKQQQELKHRQRQLRYPQQIKEAERKQLEHNLQKSKKRLVEIEKDKLKKRQRYQE YMSSLEHKQAQSAKELDSVQLRLDDALDQKRHLEERGNVDFSAELNRLTEELDTCKGQ RRDCKSERERVGARLHSIRDQSKNRLLAFGNGVPYLNQLIEENLDRFSQPPIGPLGLY VSIPEHRKHWTLAVELILKNVLNSYLVASGPDKALFDRLKAKAKCGQVSILIAKRSSH KYSGLHVPTGSLQEHAVASIVSVDDPNVFNALVDIAKIESKLIFDSRKEGEERTIASS STSGSRSVQFYANVSEVYMPNGDKFFARRGNLGFIANKTMKHARILSQDHSAEENELA DRLQVLDRHYEVLQRDEENMIRRRNALNRDQEEHERKMSEVNRRIHTLETDLNRLKRQ QDQSMDTSIGDTTMLDDEKTELEFEMDTMHTRLQVLNDELEHDNPELAVISTDLSTLQ ATERSIHTRLNELKDQTMGLYQRLMQAKAKQVKATQQVDRLDGEIARKAAEVATAAAQ VQDSVDKASSICGRIEDVEHPSYYNAQIHELNHRMTVEKAQFDHMDLCELELDVQEKA GKLNQKAIEFKNLSDNVHHVSAMLVKRKLKWAALRKEIATRTSLGFNKFMMKRDFAGK LKFDHTGQRLDVAVVRNKEGGTKLSVVNDMKQLSGGERSFTQVSLLMALGECIECPFR VMDEFDVFMDSINRTLTLKLLIETAKTEATKQFIFVTPNDLR H257_15145 MSGMTSAMVKDGTTGVDRGFGTCWRIAAGLAAPLDFIALWRLAA RSSHRRLVAYTFCKALALARWCSHKRWSDPSRLNVRRLVHVLYTYLHVWSVVALVNEV VLVLLRGTLVVDG H257_15146 MPHDTQLDSKHCQYVYKACHHARAVKKDGSLHRLCASHREKANK LQKRYATKRRQEARIERRRQFQAKKQHVATSTAVPGKHEWWMDLEWTLDELATDLIVL SDEDMAFLSQAF H257_15147 MSEPRFSAKSNWLKPRLTDANIKLRLEFAMSFLRSGPGDRRVFS DMHDIVHVDEKWFYLTKNKNVYYIYDDEVLAIRSVQSKSHITKASVRLRPPRNV H257_15148 MLLARSSTQPAALHSGLADTGASMRGPNLRDSERRALFERMLEI SSRGVLPRGAIVNLAREIGRDRATITRVWKRGLETRKDGDGAANVASKIRVDAKFSGS VATLRRLSVLYRTHDGELCAQLQQVWLSH H257_15149 MVSTADFACVSIDGKTALVYASVTKEGKDDVQCMVQSTASTDCF FQADLASCDAYKKSQPTLAIHCITSSPFCAKVPFVLKNTPSPTPNPNAVPTSALCMRN NTRWTCFADPDSKLVVLVGVVNGTFNCISADTSGTICNVYPSQQACTAQCPSIVERPT LGMKACESSSTGTVSCIAATPTSAAASSMSKAPAAESSNAADSSLSSADDAVSPVTVT LLVVVVGLVLFSLLFLCRKRRYPANLRRSSSDSTASVVWLDQKTASTDFVGIKLDMGD LHLWRLDESQLVSLEVLATGAHGVVSLAKYKNQRVAIKKQLASERTAANVQLFIDEVK LMARLESPFIVQFIGVSWLRPREIELVVEYMDYGDLRQHLETTTPDSFDWTDKLQIAT DVVDGLLYLHSMDIIHRDLKARNVLLNHHLRAKLTDFGIARDVTLDTMTQGVGTCRWT APEVLEGNHYTVAADVYSFGMVLVELDTHKVPYMHMNEVSNFVLMERLREASLKPDVS ATCPPSVALLIQRCVTWQPQERPSALEISTTLRALRRQGGLS H257_15150 MSTPTKSRFTEDEDILLLREINGRLPFMAKRGQVMVRWSAVAEA VQSQDGFDRPGFDGKRAQNRFTLLLEGHRHKDEEGKRASGTDEGYGEKFQLLDDLLSA FDDWKNEEKVRLEEVQQEADRVDAMAATIRDEAMKSLGKLAWQ H257_15151 MHNYVHVDEKWFFITTVKRRFYLYDELLAERAAKVMFLAAVARP RYDPHKKKMFDGKIGIWPFVKKLAALRMSKNRLKGALEFKPHNVDANVYQHMIMNEVV PAIQVKMPRDLAMRLQQDNASPTGV H257_15152 MPTYAATTYDQAHFPCFFMLSVGLGLQATTTMLDPQSREWFPSP PPRANLRRQLPFVKCLSNIISAIYNPPSTSTASTPNDAQPSLPPFSRPTTPRLGPTHG TPPPPSQPPMVSIPLALSISVQTFCSSDPLPLLRRPRRTESHLLEEAAKIEPSDFITN DHPARLHGCCTHPTGLHTGCHSTTSATKTGYSFGPSSFVFYVRNDATPSTPPLQLPLP TCEPAKRGHNAFPSTGHVPHPPTTFATGGCLHGTFKAMPPCHIRPSFPAATAPPAGTT RLQWCGHTRHSMWRLGAIAMPLSVPLLSEYDARFLSTTTNNSAEYDGLIRALYPTVSM RFTHVEVCGDSQLLMSQMLKSTTPARSLTDHFPI H257_15153 MISVVVVGVLAAAASSAHETSLPDCTTMINIAPLLYKDILANHQ DEIGKCLGYSKFHAAIKEDRRPNREELALFFESNDCREIVRLLLRKVDENGSRCSLGT LGTSLGQLASLPFEDLKAIYAPFLRATTPAR H257_15154 MYNRVLAASLKFSHDFITRTWLHHSDMASSRWYAPYKSFNLSNV SDDNTEFSTEYVAFTRTRHIGTLVTYTSNTVMKKSRQAVELKNLDTWVGVGGSRAPNC TFKVNPDSFRQASVLACSLSGLKNDFNLGRSRHHGSGSGTSFGAGMTAYMTREITPAQ MATGKP H257_15156 MADLTCIDHGWHGIGAFFVDGSGKMGCRLGSRKQPWYWEDYCEW GFDDMEECEDDKADGNVRRGVFNPPYDAFQQSVLNRLKRPPAPTAPSSTPPPPSTPTT TSPPPTLPPQTLPPSDNPSNSPLTTTPSSPVTSPPTTTSPPTYSTSSPPPPPPTGDII PTTTSPSSPHPASSTPSPTVQFATVLDVPSAKASSGWSCVNQSSSFVPVRLDSISGKI ECLTYISTECHSLATADACQNWMLPYLACVSTLTGCVNVHIKSFTRQGPSSPDPTSSP FNSTSIYPDGSHISSTPLKSILVGLLCASVVVASVVGYILWQRQQQQRDSNDSVMMLS DIMYVTEKGRIPVLGTHKSHDMASSPAHTPLSSMISSQSITDSTQLNLGDLSLWRLDL DKLTAGRVLSVGITGVVTMGMYSSTPVAIKKLNKSAAHLAQSFIDEIQLMTKLDSPYI LSIVGCCWVRPHEIELVTEYMEHGDLRNFLKSTPPTVFTWDLKRSCLYNMAHGLLYLH SMEIIHRDFKSRNVLLGQNLVAKLTDFGVSHQITLDDTITQGVGSFRWTAPEILQGKR YTEAADIYALGMVLWELDTHEAPYSRQQTQLQLTDCVLMTHIRTQLIWPEFTNQCPQD IQQLVLQCIQADPTNRPTALALASSLI H257_15157 MGKKDKISADAMTLFRKQQKTKEKKKLKVDRVKGKTSKLADMDP TDLRDKIKKLETDERNSALDGAGRQRKQELEDTLRQVLRHRADTEAEAKATKAAAPPE IKSVKDLANLNAKTYKNPQLSVHYDPVFNPFGVAPPPGHAYLPNAPKVVPASAAVANL DLHNSIPGQPPLPKGPRPRQRNKNRPPLPGGPRPFRPPPPPPTQDKKPPRAVLPPPPT VVLPPPPPPTVVLHPPPTRPPPPQSLPPPMKEDTMDEIQQEQLRAMVPTALRHHHHQP MPRHREMVGPPSQLLFQPTPLDPSKPTKSADDYARFMAEMKAMGAL H257_15158 MALSGWIQPFLHCSIFSLDITFPNPMHRSIALFALFTMPLVSAV GCAQDQKQQMLDAITKSPQWPACQQATTPFDFFLALTQEGPTPTPNDLAKFKAAAACT TVYTSFQDAIKQANCDEVADLVGMSVDQLVPPNTTQPKATLAPVASVPVAMAAATPKP TTKPSVPGTTVKASVDTAETSTAAASASMAVAAMVVAVVAAMV H257_15159 MEWIEVVKDLHAGTIGGVAGIVAGHPLDTIKVMLQTEKSTSHLG IVGTCRNLAASEGFRGFYKGMLSPILSNAPINAVVFAVYGQTSRLWQDGNSDAKLTPG QQFLAGAAAGLCQVTFAAPAELIKITMQVHNYPSNYSSLTCLRDVFKAEGLRGIYRGT GLQIMRDVPAFGSYFYSYEVLKDALTGGQPDNETTMNLLLAGGLAGSISWMLTHPIDM VKTLVQSQGTDRVSMWDVVRRQHALEGPKFLLKGFGATILRAFPVSAVTFLVYERTMQ SLNAAEFDLLVQ H257_15160 MADTSTPAATTAAAAATPTPADVPIASSGPSIPAAPPVSASSVL NLHSPLTVGPSLTLANRIFLAPLTRCRATATHVPTDLMTEYYTQRASAGLIITECTLI APLTSAFGTEPGIFTPDQVDSWKRITDAVHSKGGKIFMQIWHAGRAAHPAFNDGAGTI GASPHAIDGEVHTATGKQPHAVPQEIAIADIPHIVALYSAAATAAVAKAGFDGVEVHA ANGYLIDQFLRDGSNKRTDGYGGSLENRTKFLSDVLEAVTKAVGAEKVGVRFSPLNSY NSMADSNPEALSEQLAKISQHFNLAYVHVMRADFFQAQKGDIVPIFRQHFKNVLIVNM GYTKDEGNDVIAKGLADAVAFGTTFLANPDLPARFEKGAELNAPDPATFYAGGPKGYT DYPALS H257_15161 MTPNTTQLLPYSDDPMDTAQSPHDWGSATSPESPNFHSDNDHDD NSSTSLTTKKKKSTYCKQYYERNRAKRIQQSKEYQRRNRDKVQAYREQNRDKELARMK EYNKVWYKQNRDKVLARLKEYRKQNPEKEAKWVKEYRQRNKDKINQRKRDRYSKQKQT TTPTPPSDEVPRQPMALEFVLNGHHQSWLPPPPAEYFSTPPPPPKTSLSFLLNA H257_15162 MPHSNTSTTSRTEYRHAYYAKHKDRALAQVKAYYETHKDQIARK QKEYNQANRARKAATNRAYKERNKERILLQRRGYYIQRRDMIVAQAKDYYQRNKGRIQ SHYKTKQQRRMPSATAANLDDKRPLATTKMDLSFLLNPSIEF H257_15163 MPIALHLNPQVPEQGDDRPSYYDRNRDQVRENQRQYRESNREKI RAIHKAYYLKNRAKITAYKRERWHQKKKAGNPPNVLIMNRLPR H257_15164 MTFALHLNPQHPGDDRPSYYDRNRDQVRENQRQYRESNREKIRA IHKAYYLKNRAKITAYKRERWHQKKASNAKVAQSKTGSPQTTNTTSYQCPESWQLPNL SHSHRPSASVKMHVGFLLNA H257_15165 MTTTVAMLLNPVDKDNQPSYYERNRDQVREHQRVYRETNRERIR AIHKAYYRKNRAKITAYKRERWHRTKSSATTQDGTCPPLAFTTSTDSTSQSSLGSCDS TRPSTMRVEDLLNPL H257_15166 MTDIRAAAAILTPMSSHYMGSPSYSLPLQMNVDDDEDRPSYYER NRDQVRENQRLYRESNRDKIRAIHKAYYLKNRAKITAYKRERWHQKKSRTPGKEHRSR SEDSSSSFNDAVPSRPCSSSTTPSAFPRSSARMPLHMLLNPVPSDE H257_15168 MHPSRGGLALLMVLAGLPPMLHAIHHGQREQGAGSLEVMVIPGE SVQDRALPHARASIDLFEPSDDWKEIGPDQQLPGVSIPSLSISYMRTSSNLTILRCC H257_15169 MTCQSGGGKPNVPSSRHFSSYPPSRIMSSTKSTPAVPSPPLKNS AYYNKNKAYFQAYYQQHRETLLEYARVNHKRTYAAQKDKKIQRAKAHHAQHREKKLAQ MKAYYLKTKAKRAASTQSQCTSQSYCHQCESQQRAVEDNDDATAAAQLILHMTQATTS SMMSMQYLLNPIDECTPRDYSPQDVHDELSVDL H257_15170 MFTSSYRKEYYEKNKDRIALHQQKYHRKHRDHVLLRRKAYKARN QVSISAQHKEYQQRNRDKINAYQRDRRQRLKAARAATHDEIGFNNTTQCLSEGMEISS VDDDTTETRPLDKMHLTFLLHRD H257_15172 MRRAATSPTSSSSSPVTDDHPMATNATNVQKSKHDHTRQASILS MRRYYAKNRDKELLRFKEYYQKNKDRIRAYKKRAREQTKRVDKAEATQSSPPHRNHHL HDLLSPAEMTHTTHGSSPQAKLTMSFLLN H257_15173 MASSSADQTSDNAHVYTTHVRQANILSMRRYYAKNRDKELLRFK AYYQKNKDKIRAYKKRAREQTKDHLSSTVADKNCPSRGGMLPTTCTNAMDIGYLCSNE RRPQALDKVKMNVSFLLN H257_15174 MLEIKVNGVAPRGAMRSLCEQYGITRQGMSRIWKLGQQTKASLG STNVSSRKRGNCGRRPKHTDSELEAMIKAVPKFARSTYRSLSAATSIPLPTLWKLLDR KLLCRRTSRLKPILTPSHKTQKIDFVRGFVRQHGQRCYKWQGMMDRLWHDEDIPQRKC MSKRHIQKVMFLTTVARPRFDFARYTMWDGKLGTWALGETELSKPSSKNRARGTLVTT PQDNARPHVNNDDPVIVSPGRANGWDIRLVSQPPMSPDFYVLELGFFNAIQALQHQKV TRCVDDLLPAVKDAFVDLDWKVLDKTFITLQKVLEEAFKFGGDNVYRLPHLKKDQAFK KARQVLRPNCEEDVCSALDAMDRRFDSNLNGQNYTMVLNIIGRGILSIFETINVPLYL DVTGYSNANAVASTASFQFDMGLLGLLSYLAL H257_15175 MSTKDKEYFKSWYTKNKEKVIARVKVYNATHREAQNARMQQWRE KNRAKIAAYREVNREQRRQYKRDHYRRAKEKRQLQATAMQSCDQPAVTDTACPRMKLS FLLHPQPQQPPPPTSFAVSSLLTTTIDYDAYIEV H257_15176 MMNDTRSGGRRGRGHHRPRQELEQPACLGLHWLLLEGPVQLFLL VFVRLIIRMAFVLVLLPVGPGSFEDLVAIGFEVPFVALLLLVAVVFPVLSLVLQNSVA VLHVPFANVILVSGVVRFALEFILFIPLLVVLAF H257_15177 MPAHCEVPTASAKQLYYKEWYAKNKSRRQAQGYAHVQEWQRQNR DRVLEHKRKYRERHREQEVLRRKKYIEDNRARILERAREYRRRAKLNQSRDKQPQDVP RGGDNASSLKLQLSFVLN H257_15178 MRTPTSPPPALPSKRHYKVATTPNTYNIVPVARYSSPPVATVLD IPYALDGIKCRESNLEQSRFMFQPSSDYQLHGVSVKPSPQSTSANYPPTATASSISRF QMFYHLHKQHQKTTTSTCIVPSSSITTTTQGHRNAASIAFLLS H257_15179 MARSTTSSECGSSSSGDNHSDVGDMMDHTSASSASSSPTNVEYR KAYYAKNKDKEIARMKMYYETNKEKFSAYGKDYYERNREKELGRFKAYRAKNLERDLE RKKQWYTRNREKILAYAKAYDLKNRDKRNAYQRERRRKLKEAANNTNSTTPIVDKHTT TTSSNMHMSFLLNPVSSE H257_15180 MPPRPSLCFMRSSVLTALLSFLSLCLCMFSLMYPAWLQQSYAPS NDAAAPITYQGFGLFAFYSTNTLNTPFYASVTTMFFADFCDGYASGLPPPNWMLGNAA GFHEAICDTASIVSQYVMYAAAGFAAIALVAAIVACFVPVAGTAERTVSLCTFMSCKL HHPVSNPQTIASVALCLVSVLVMWSVWFQQKLLSIDVIQTTYSQCHFKEKVSSWNCWF YGYSFWVAIGAVVSLLFSTYASAAGRVAKLRQVRKVYDHQLVVALQESVALTAAGLPT LQNDTRFQLLSPGKDENNRALAMALRQSKEAHDVELAMDESLRQHQLDQNNSPWIISQ RNLSKDQPRGLDSQKRLGNLYG H257_15180 MPPRPSLCFMRSSVLTALLSFLSLCLCMFSLMYPAWLQQSYAPS NDAAAPITYQGFGLFAFYSTNTLNTPFYASVTTMFFADFCDGYASGLPPPNWMLGNAA GFHEAICDTASIVSQYVMYAAAGFAAIALVAAIVACFVPVAGTAERTVSLCTFMSCKL HHPVSNPQTIASVALCLVSVLVMWSVWFQQKLLSIDVIQTTYSQCHFKEKVSSWNCWF YGTYASAAGRVAKLRQVRKVYDHQLVVALQESVALTAAGLPTLQNDTRFQLLSPGKDE NNRALAMALRQSKEAHDVELAMDESLRQHQLDQNNSPWIISQRNLSKDQPRGLDSQKR LGNLYG H257_15180 MPPRPSLCFMRSSVLTALLSFLSLCLCMFSLMYPAWLQQSYAPS NDAAAPITYQGFGLFAFYSTNTLNTPFYASVTTMFFADFCDGYASGLPPPNWMLGNAA GFHEAICDTASIVSQYVMYAAAGFAAIALVAAIVACFVPVAGTAERTVSLCTFMSSLC LVSVLVMWSVWFQQKLLSIDVIQTTYSQCHFKEKVSSWNCWFYGYSFWVAIGAVVSLL FSTYASAAGRVAKLRQVRKVYDHQLVVALQESVALTAAGLPTLQNDTRFQLLSPGKDE NNRALAMALRQSKEAHDVELAMDESLRQHQLDQNNSPWIISQRNLSKDQPRGLDSQKR LGNLYG H257_15180 MPPRPSLCFMRSSVLTALLSFLSLCLCMFSLMYPAWLQQSYAPS NDAAAPITYQGFGLFAFYSTNTLNTPFYASVTTMFFADFCDGYASGLPPPNWMLGNAA GFHEAICDTASIVSQYVMYAAAGFAAIALVAAIVACFVPVAGTAERTVSLCTFMSSLC LVSVLVMWSVWFQQKLLSIDVIQTTYSQCHFKEKVSSWNCWFYGTYASAAGRVAKLRQ VRKVYDHQLVVALQESVALTAAGLPTLQNDTRFQLLSPGKDENNRALAMALRQSKEAH DVELAMDESLRQHQLDQNNSPWIISQRNLSKDQPRGLDSQKRLGNLYG H257_15180 MPPRPSLCFMRSSVLTALLSFLSLCLCMFSLMYPAWLQQSYAPS NDAAAPITYQGFGLFAFYSTNTLNTPFYASVTTMFFADFCDGYASGLPPPNWMLGNAA GFHEAICDTASIVSQYVMYAAAGFAAIALVAAIVACFVPVAGTAERTVSLCTFMSCKL HHPVSNPQTIASVALCLVSVLVMWSVWFQQKLLSIDVIQTTYSQCHFKEKVSSWNCWF YGYSFWVAIGAVVSLLFSTYASAAGRVAKVIILCSLVYIYIYIYIYNNP H257_15180 MPPRPSLCFMRSSVLTALLSFLSLCLCMFSLMYPAWLQQSYAPS NDAAAPITYQGFGLFAFYSTNTLNTPFYASVTTMFFADFCDGYASGLPPPNWMLGNAA GFHEAICDTASIVSQYVMYAAAGFAAIALVAAIVACFVPVAGTAERTVSLCTFMSSLC LVSVLVMWSVWFQQKLLSIDVIQTTYSQCHFKEKVSSWNCWFYGYSFWVAIGAVVSLL FSTYASAAGRVAKVIILCSLVYIYIYIYIYNNP H257_15181 MRNGSLKNTIITVFFSFLSLAVCTYSTIYPAWFQQKYKAQDPVV FQGFGIYNFYSTQPLQSPFYASETTLAYSEFCTNEENGVPPPNWMLGGGAQFKEILCG YPIKGIQYVSFFASIFAGFGLIASVAACFNPAAGYAERIVSSTTLISSLLLLAALIVW AIQIQQKMLEIDVISSAYVLCQKKTSDWSCWFYGNSFWAAIGGVVGMLITMYTSSAGR AEKIRHFRREYEADLAVAMQQSVEATTQNTSRAPTADFSTGESFGDNLHFNDQQQPPK QPYEQQQQFYDQPGHANYQNHQYAVKGGIV H257_15182 MADAPVCLQVGASLYGQFQAVIDTALASAPTSSFGHAVACITST TSHEQPLASSPSLSISPPCTWFTDASCTQPVLPLGTSTSWYVLSTRPSQSNKHAPWLA SVASQLHSPSSGSRRQLQAGSDNVKCLPVPHRNGGGLMGFGRYFIGLNDHRTIFCEFD YWLTESFTDPNGCLFYRDSACTDLADVVTSTQFQEFYPYSSPWTKTAYYELKDVAATT TTPTSSSPPTTTATAATLPQTTPPPSTAVPSPQTQPPQPPPSSSATPVATSSPTTSSP ETSSPVTSYPQSIHDPPSTGPSPSPPPATTSVPATTTTSSSTSTTTTTTAWPTTSSPT SPPHTSTVLPHADDMSTTTIEPTTSVVPVAAPTLFVNVSMSWKCCYSNVHFLYTPAKM DLATRLEACLLAGTDSDCRFFPSEAECSAFLRQQPACVLDMTCSVRVRLVTPLDVSND HHSVLPLATNAAVPPNSTPFDHVNWAWNVVVWVLMGCFAVSFLAVILVYHRRRLRQLF TNKSNQSEGSDDDDDDGGTHVVLYTDRTSDPHRLCNHQDLTTITSTTTTTSTAPSFPP DDPPPLVMCAQHAEQPLDFGDLPLWRLDETHLLMTTVLSIGLHGLVAKGTYKGRRVAI KKLLPPRHPQSTTQSVQLFLDEIKLLSELDSPYIVSLVGVCWDQPRDVVVVMDYMSRG DLRTFLQQHREFPWTQKIDCAGDVCRGLVYLHSMEVVHRDLKSRNVLLNANMVAKLSD FGLARHVDDYELTLGNVVGSFRYAAPEVLRGELYTEAADMYALGMLLWELDTHEVPFS AMQRQLKCTAMDVYKYMLAHADEWLHVTELCPAKVVDVIKMCTQADPKQRPTAVVLAS VIDRLNVAS H257_15183 MYPSWSCATMNKSLTSAATGSDSKYVIFRLLMVELATLEWFIVV APLDVMARMTDGCSGRPNRIVWLMRNHWMVQSDRTGTNTTWPSYSSMSINVYPRSAMM DVELYICCRPSEFCRNWRHHWWFTTPSSVKITNTLMSMSSTRLGYPRKRTLLKMLTEM PSSDCVVDARLTESSNP H257_15184 MVDTTTSTTPSPPLPKTKLRASAPAFVFSANAPTWQPPSYTAPA PVVAAAVPAVAVAAAVPILKPTAKAFVPSFLATPAVVPSTTEVHTAIVVEPTKEPTEP AVIPAAAAAVVVVAAPLAIVVVEEEEDAAATPPPTTTVAVIEPDVPTTPVGSAKPEPE AESHPSSPISSIAHASPDYSVTTAIVIKDEEPSKPSDGALLPPPTSRKRRITYTLAEM LLMEPEACPVPAGLELCVAPPGESKASRLAFKQAKPDTHHHRSNDRKHKGGKKNHKHS HHNGYSKSNSNIADEDDLTPVKPLSINEETRWKPKDHAVATSSEATTDAHLAQVQAIL NKLSVEKFERLSDQLIAVAVKSADVLRGAIDMVVKKAQMEWHFSSMYAQLCAKMARSA MPLLSKDDSSNKEEATSGAKLFRSLLLTRCQKEFEVSPLSAAAITANHSDQVADELAV QSPDTLLLLKRATLGHIRFIGELFKQGMLSARIMHECIQRLFGNTDKPDEESLECMCK LLATVGLRLEERAAAVPVEAALIKQYYDIIHSLSQDKTKLCTRVRFMLQDLIDMRANK YVSRLKEAKATTIAEVHAQAAREEKLKTSGHRHVEKPKFFKSQSLALPPPPSAGSSQT TNRQASKDGWETVPAKVKLVKSVSADIRPQQPQHPSRFSDKRNDSDNRGKPIKSRRGS VERRSSVDTPQSASRRPPAVPRGTSVRHPSPEPPVAAASRSEDELVKVAKRMFKEFVA EQDLAEVQAQLHDALEHTQAVTSTIWNFALDQKDAERNAVGPFFAGLAANGLVDAAGM AAALAELIEFLEDIEIDIPKAGLYLSQMIAPLLAQGVWTLDQVDLSVLPDAKQSAINK HLASALGQLDNVADHDVALVEFMNSHK H257_15185 MLGAWTSAFNALYKRIYPSRSCATISSSTSFSASVASTSTCTVP LVSRLLNVVARSRLAEGELEYRLPTVTLDVFSWDTPLDVMARITDGCSGSPNRIVWLV RNH H257_15187 MSSDDEDNVGTPANILADEATDSYEVLYEGMVKRKSGGGGGRSL KSCQLRIYADGVLVDIGMEREYTLLDVTDWQIDRKKIKDAALADAGLRVDCRGNEDRV VTLWLVLPSEQERHKWKVYLLAGLHPNSKEGQRVRRMVAQQNQQDKIRIAEVERTRQA LAHAQKPPKKKPIATKSTRVLTSESSSDWQKYTSDDGQVYYYNATTQESRWTLEPPTT TRRPSRRASTLETTSRSRSRKPPVKSTKKSLDNAPSPILSDDELSEGGSEEASAGVAR LEESPPELVILDTPTEEEQNAATTKKDVTSALEMMLGRGPPSSKLTKLESVSNEDTSK SPVHDEPPDDLTLTTAERLRLKRQQRQTQMFTTDDVDDTDIFLQKLAEKAKKKANDPL NLDQKLETGDPFQFPMDEWLDVEQEILLAPPPGEGAAEVPNPENKSKKKKKKKLLSPP LPPPLVDHDVVAPLERRKSKKSRRVLELSSQEDQVMSGAAPPSVVVLPVKPTSSQMEV LSIKSSKQRNDRKAKKKKNAVHERNLLSQSSDENSALLPDQPMATQSSSAPSLNGLNS SSPLVDHVRPTPVRPTTPLWTTHIAPDGREYYFNTHTQTSVWDKPIEFVPDLLPDPPV QSLSTALVPAYVTSELIPPHVKMDTRVCPHCHVTAPTQRCVECESVFCDACCANHHLR YGSMFNHTMALLSVPFCHSCEASSASQTCVDCHVNLCDACASFLHRKPPKHLHKRVPV YLTTTTPERLIKPKESISPTATTQQHTTSLDPPIMSIPQHAILYQPPVTTLAVAPHLP RCATCGGWGVDLIPPTRTVCDHCDRVTKLFQPMTKLGHVDWDASSSSSSSSSSTSSSG WE H257_15187 MSSDDEDNVGTPANILADEATDSYEVLYEGMVKRKSGGGGGRSL KSCQLRIYADGVLVDIGMEREYTLLDVTDWQIDRKKIKDAALADAGLRVDCRGNEDRV VTLWLVLPSEQERHKWKVYLLAGLHPNSKEGQRVRRMVAQQNQQDKIRIAEVERTRQA LAHAQKPPKKKPIATKSTRVLTSESSSDWQKYTSDDGQVYYYNATTQESRWTLEPPTT TRRPSRRASTLETTSRSRSRKPPVKSTKKSLDNAPSPILSDDELSEGGSEEASAGVAR LEESPPELVILDTPTEEEQNAATTKKDVTSALEMMLGRGPPSSKLTKLESVSNEDTSK SPVHDEPPDDLTLTTAERLRLKRQQRQTQMFTTDDVDDTDIFLQKLAEKAKKKANDPL NLDQKLETGDPFQFPMDEWLDVEQEILLAPPPGEGAAEVPNPENKSKKKKKKKLLSPP LPPPLVDHDVVAPLERRKSKKSRRVLELSSQEDQVMSGAAPPSVVVLPVKPTSSQMEV LSIKSSKQRNDRKAKKKKNAVHERNLLSQSSDENSALLPDQPMATQSSSAPSLNGLNS SSPLVDHVRPTPPLWTTHIAPDGREYYFNTHTQTSVWDKPIEFVPDLLPDPPVQSLST ALVPAYVTSELIPPHVKMDTRVCPHCHVTAPTQRCVECESVFCDACCANHHLRYGSMF NHTMALLSVPFCHSCEASSASQTCVDCHVNLCDACASFLHRKPPKHLHKRVPVYLTTT TPERLIKPKESISPTATTQQHTTSLDPPIMSIPQHAILYQPPVTTLAVAPHLPRCATC GGWGVDLIPPTRTVCDHCDRVTKLFQPMTKLGHVDWDASSSSSSSSSSTSSSGWE H257_15187 MSSDDEDNVGTPANILADEATDSYEVLYEGMVKRKSGGGGGRSL KSCQLRIYADGVLVDIGMEREYTLLDVTDWQIDRKKIKDAALADAGLRVDCRGNEDRV VTLWLVLPSEQERHKWKVYLLAGLHPNSKEGQRVRRMVAQQNQQDKIRIAEVERTRQA LAHAQKPPKKKPIATKSTRVLTSESSSDWQKYTSDDGQVYYYNATTQESRWTLEPPTT TRRPSRRASTLETTSRSRSRKPPVKSTKKSLDNAPSPILSDDELSEGGSEEASAGVAR LEESPPELVILDTPTEEEQNAATTKKDVTSALEMMLGRGPPSSKLTKLESVSNEDTSK SPVHDEPPDDLTLTTAERLRLKRQQRQTQMFTTDDVDDTDIFLQKLAEKAKKKANDPL NLDQKLETGDPFQFPMDEWLDVEQEILLAPPPGEGAAEVPNPENKSKKKKKKKLLSPP LPPPLVDHDVVAPLERRKSKKSRRVLELSSQEDQVMSGAAPPSVVVLPVKPTSSQMEV LSIKSSKQRNDRKAKKKKNAVHERNLLSQSSDENSALLPDQPMATQSSSAPSLNGLNS SSPLVDHVRPTPVRPTTPLWTTHIAPDGREYYFNTHTQTSVWDKPIEFVPDLLPDPPV QSLSTALVPAYVTSELIPPHVKMDTRVCPHCHVTAPTQRCVECESVFCDACCANHHLR YGSMFNHTMALLSVPFCHSCEASSASQTCVDCHVNLCDACASFLHRKPPKHLHKRVPV YLTTTTPERLIKPKESISPTATTQQHTTSLDPPIMSIPQHAILYQPPVTTLAVAPHLP RCATCGGWGVDLIPPTRTYEMLYY H257_15187 MSSDDEDNVGTPANILADEATDSYEVLYEGMVKRKSGGGGGRSL KSCQLRIYADGVLVDIGMEREYTLLDVTDWQIDRKKIKDAALADAGLRVDCRGNEDRV VTLWLVLPSEQERHKWKVYLLAGLHPNSKEGQRVRRMVAQQNQQDKIRIAEVERTRQA LAHAQKPPKKKPIATKSTRVLTSESSSDWQKYTSDDGQVYYYNATTQESRWTLEPPTT TRRPSRRASTLETTSRSRSRKPPVKSTKKSLDNAPSPILSDDELSEGGSEEASAGVAR LEESPPELVILDTPTEEEQNAATTKKDVTSALEMMLGRGPPSSKLTKLESVSNEDTSK SPVHDEPPDDLTLTTAERLRLKRQQRQTQMFTTDDVDDTDIFLQKLAEKAKKKANDPL NLDQKLETGDPFQFPMDEWLDVEQEILLAPPPGEGAAEVPNPENKSKKKKKKKLLSPP LPPPLVDHDVVAPLERRKSKKSRRVLELSSQEDQVMSGAAPPSVVVLPVKPTSSQMEV LSIKSSKQRNDRKAKKKKNAVHERNLLSQSSDENSALLPDQPMATQSSSAPSLNGLNS SSPLVDHVRPTPPLWTTHIAPDGREYYFNTHTQTSVWDKPIEFVPDLLPDPPVQSLST ALVPAYVTSELIPPHVKMDTRVCPHCHVTAPTQRCVECESVFCDACCANHHLRYGSMF NHTMALLSVPFCHSCEASSASQTCVDCHVNLCDACASFLHRKPPKHLHKRVPVYLTTT TPERLIKPKESISPTATTQQHTTSLDPPIMSIPQHAILYQPPVTTLAVAPHLPRCATC GGWGVDLIPPTRTYEMLYY H257_15187 MVAQQNQQDKIRIAEVERTRQALAHAQKPPKKKPIATKSTRVLT SESSSDWQKYTSDDGQVYYYNATTQESRWTLEPPTTTRRPSRRASTLETTSRSRSRKP PVKSTKKSLDNAPSPILSDDELSEGGSEEASAGVARLEESPPELVILDTPTEEEQNAA TTKKDVTSALEMMLGRGPPSSKLTKLESVSNEDTSKSPVHDEPPDDLTLTTAERLRLK RQQRQTQMFTTDDVDDTDIFLQKLAEKAKKKANDPLNLDQKLETGDPFQFPMDEWLDV EQEILLAPPPGEGAAEVPNPENKSKKKKKKKLLSPPLPPPLVDHDVVAPLERRKSKKS RRVLELSSQEDQVMSGAAPPSVVVLPVKPTSSQMEVLSIKSSKQRNDRKAKKKKNAVH ERNLLSQSSDENSALLPDQPMATQSSSAPSLNGLNSSSPLVDHVRPTPVRPTTPLWTT HIAPDGREYYFNTHTQTSVWDKPIEFVPDLLPDPPVQSLSTALVPAYVTSELIPPHVK MDTRVCPHCHVTAPTQRCVECESVFCDACCANHHLRYGSMFNHTMALLSVPFCHSCEA SSASQTCVDCHVNLCDACASFLHRKPPKHLHKRVPVYLTTTTPERLIKPKESISPTAT TQQHTTSLDPPIMSIPQHAILYQPPVTTLAVAPHLPRCATCGGWGVDLIPPTRTVCDH CDRVTKLFQPMTKLGHVDWDASSSSSSSSSSTSSSGWE H257_15187 MVAQQNQQDKIRIAEVERTRQALAHAQKPPKKKPIATKSTRVLT SESSSDWQKYTSDDGQVYYYNATTQESRWTLEPPTTTRRPSRRASTLETTSRSRSRKP PVKSTKKSLDNAPSPILSDDELSEGGSEEASAGVARLEESPPELVILDTPTEEEQNAA TTKKDVTSALEMMLGRGPPSSKLTKLESVSNEDTSKSPVHDEPPDDLTLTTAERLRLK RQQRQTQMFTTDDVDDTDIFLQKLAEKAKKKANDPLNLDQKLETGDPFQFPMDEWLDV EQEILLAPPPGEGAAEVPNPENKSKKKKKKKLLSPPLPPPLVDHDVVAPLERRKSKKS RRVLELSSQEDQVMSGAAPPSVVVLPVKPTSSQMEVLSIKSSKQRNDRKAKKKKNAVH ERNLLSQSSDENSALLPDQPMATQSSSAPSLNGLNSSSPLVDHVRPTPPLWTTHIAPD GREYYFNTHTQTSVWDKPIEFVPDLLPDPPVQSLSTALVPAYVTSELIPPHVKMDTRV CPHCHVTAPTQRCVECESVFCDACCANHHLRYGSMFNHTMALLSVPFCHSCEASSASQ TCVDCHVNLCDACASFLHRKPPKHLHKRVPVYLTTTTPERLIKPKESISPTATTQQHT TSLDPPIMSIPQHAILYQPPVTTLAVAPHLPRCATCGGWGVDLIPPTRTVCDHCDRVT KLFQPMTKLGHVDWDASSSSSSSSSSTSSSGWE H257_15187 MVAQQNQQDKIRIAEVERTRQALAHAQKPPKKKPIATKSTRVLT SESSSDWQKYTSDDGQVYYYNATTQESRWTLEPPTTTRRPSRRASTLETTSRSRSRKP PVKSTKKSLDNAPSPILSDDELSEGGSEEASAGVARLEESPPELVILDTPTEEEQNAA TTKKDVTSALEMMLGRGPPSSKLTKLESVSNEDTSKSPVHDEPPDDLTLTTAERLRLK RQQRQTQMFTTDDVDDTDIFLQKLAEKAKKKANDPLNLDQKLETGDPFQFPMDEWLDV EQEILLAPPPGEGAAEVPNPENKSKKKKKKKLLSPPLPPPLVDHDVVAPLERRKSKKS RRVLELSSQEDQVMSGAAPPSVVVLPVKPTSSQMEVLSIKSSKQRNDRKAKKKKNAVH ERNLLSQSSDENSALLPDQPMATQSSSAPSLNGLNSSSPLVDHVRPTPVRPTTPLWTT HIAPDGREYYFNTHTQTSVWDKPIEFVPDLLPDPPVQSLSTALVPAYVTSELIPPHVK MDTRVCPHCHVTAPTQRCVECESVFCDACCANHHLRYGSMFNHTMALLSVPFCHSCEA SSASQTCVDCHVNLCDACASFLHRKPPKHLHKRVPVYLTTTTPERLIKPKESISPTAT TQQHTTSLDPPIMSIPQHAILYQPPVTTLAVAPHLPRCATCGGWGVDLIPPTRTYEML YY H257_15187 MVAQQNQQDKIRIAEVERTRQALAHAQKPPKKKPIATKSTRVLT SESSSDWQKYTSDDGQVYYYNATTQESRWTLEPPTTTRRPSRRASTLETTSRSRSRKP PVKSTKKSLDNAPSPILSDDELSEGGSEEASAGVARLEESPPELVILDTPTEEEQNAA TTKKDVTSALEMMLGRGPPSSKLTKLESVSNEDTSKSPVHDEPPDDLTLTTAERLRLK RQQRQTQMFTTDDVDDTDIFLQKLAEKAKKKANDPLNLDQKLETGDPFQFPMDEWLDV EQEILLAPPPGEGAAEVPNPENKSKKKKKKKLLSPPLPPPLVDHDVVAPLERRKSKKS RRVLELSSQEDQVMSGAAPPSVVVLPVKPTSSQMEVLSIKSSKQRNDRKAKKKKNAVH ERNLLSQSSDENSALLPDQPMATQSSSAPSLNGLNSSSPLVDHVRPTPPLWTTHIAPD GREYYFNTHTQTSVWDKPIEFVPDLLPDPPVQSLSTALVPAYVTSELIPPHVKMDTRV CPHCHVTAPTQRCVECESVFCDACCANHHLRYGSMFNHTMALLSVPFCHSCEASSASQ TCVDCHVNLCDACASFLHRKPPKHLHKRVPVYLTTTTPERLIKPKESISPTATTQQHT TSLDPPIMSIPQHAILYQPPVTTLAVAPHLPRCATCGGWGVDLIPPTRTYEMLYY H257_15188 MAAADSATPEGSFGDVFNALICSLPSEASGSFLRCLSGLTAEES NLLSMYLLELKDEKKFRVIQALMDAPVSAKKRYILSLRDRFERLKAKQAANGVTVDPR ARLERSGSRQSSMKNVTTDDIKSMGHMLDNAHIGESELRLQRLEEEKEDVGSSAASSA VGNIKAEALINKRERPNSNWELLKGDATPAQRAKEDGSSDEDPDDDDDEVEAENSPDA DANTEADPSEEDAPSTKRWTKAQDAALKDSVRVHGEKNWKAIAERVPGRNHAQCLQRW RKVLKPGLVKGHWSFEEDKILEELVKQSTNNWGQIAEQIPGRTPKQCRERWRNHLDPS INKGPYAEEEDNVILSHQARLGNKWSQIAQMLPGRTEDSVKIRWKSLKQNPTRAAAAN AQSRRLSHLQHHHHHQQQLHQPTYGHNLMDQRHSQTSSHTGGVVGHHQLHHHHHQQQN QQLQNQQLQNQLQLGNSNLLGLGRQQDPMMANWAADYSDFNGSHTALLNTPFIGSGGG VGYSTNDMMLKTDGYTAHYPPAMHLQPPPPAPMFQNLHNQPLHEAPPGQYDEFLMKSL TDELDFDEFGLI H257_15189 MPRDKHFYYAKYRDMLPEIKYYMRLKLTPLVYIHQHYLRHQLRR ALARWTCNLQLNAMASHERELSTRLQKVAVWVLQSRVCGQVDAACRRLYRMHRFRVLA TRFCRWKQHVTQYARIMEHAPAYLEWIVTTSQPAGQDRVHWKRLVRHHRARFRRWRQV TLGVERLRQRLWEWKERLRLYRWREWVHMKKQTHALSAQNKVELQFYRVRNCMRRWTL YRRQVHVQRVLARVTMIGWHRTTALRVFLRLQSHMHCYRKLRRAIQRFTSSCTRYGTS QVRKAWTVWLHATMSYKTTQTTITAVLRRMQLSSLRQGFYQLRSHCVEATYQAHVARV ECAAGRVYGVKAIIRLWQLAILTRIRRAFHHLRSVTLHLKQDEVHRKHMVLWGTWVQW RRYHHSKALEQMEMIQDLKCREFEFRRQRLTHLGVPWGANMNLTRRTLHWLVTRHCFV TWKSQRYVQVTEGSMQRIWGHHSHRLLRQSTFQRWKHTTVVARKQVLVESGASMQKLL AAVTHQLQRHSDRHLCRRWFQTWRVSANQRRASCCVLQRVVATLHTGNLVRSFMHWKA WAERYAASCRLFAAALHSIQVGARWVLQSTTTCLLNVWRLWAAKARVRQQLAKQFQQL QDRYYQHEKHTLWQKWRQLFVSAAKNHETNRGLVCAMWQVWTSRLIDSKTQRRLLRRL TLSMYITRLRRALHRWTQHVLFQVTVIKTRHLQTRLAERDQQLRELLTDQATRCRQLE QLFAERQKMMQLLEESRQKLQQFKRLQAENQELKRGLEHGDRQDKLVEQLQGMETLWM GSVFETFRLGQCRLSDRLIGQVEAENERLRTDRERQEVASHVRLSELSMQLAEAREVC VRLKELEKYNQQLEERLQAQELAQKRSFLQHMGASKLPYEP H257_15189 MPRDKHFYYAKYRDMLPEIKYYMRLKLTPLVYIHQHYLRHQLRR ALARWTCNLQLNAMASHERELSTRLQKVAVWVLQSRVCGQVDAACRRLYRMHRFRVLA TRFCRWKQHVTQYARIMEHAPAYLEWIVTTSQPAGQDRARAAIDVIEFKGQRLVQLHW KRLVRHHRARFRRWRQVTLGVERLRQRLWEWKERLRLYRWREWVHMKKQTHALSAQNK VELQFYRVRNCMRRWTLYRRQVHVQRVLARVTMIGWHRTTALRVFLRLQSHMHCYRKL RRAIQRFTSSCTRYGTSQVRKAWTVWLHATMSYKTTQTTITAVLRRMQLSSLRQGFYQ LRSHCVEATYQAHVARVECAAGRVYGVKAIIRLWQLAILTRIRRAFHHLRSVTLHLKQ DEVHRKHMVLWGTWVQWRRYHHSKALEQMEMIQDLKCREFEFRRQRLTHLGVPWGANM NLTRRTLHWLVTRHCFVTWKSQRYVQVTEGSMQRIWGHHSHRLLRQSTFQRWKHTTVV ARKQVLVESGASMQKLLAAVTHQLQRHSDRHLCRRWFQTWRVSANQRRASCCVLQRVV ATLHTGNLVRSFMHWKAWAERYAASCRLFAAALHSIQVGARWVLQSTTTCLLNVWRLW AAKARVRQQLAKQFQQLQDRYYQHEKHTLWQKWRQLFVSAAKNHETNRGLVCAMWQVW TSRLIDSKTQRRLLRRLTLSMYITRLRRALHRWTQHVLFQVTVIKTRHLQTRLAERDQ QLRELLTDQATRCRQLEQLFAERQKMMQLLEESRQKLQQFKRLQAENQELKRGLEHGD RQDKLVEQLQGMETLWMGSVFETFRLGQCRLSDRLIGQVEAENERLRTDRERQEVASH VRLSELSMQLAEAREVCVRLKELEKYNQQLEERLQAQELAQKRSFLQHMGASKLPYEP H257_15192 MLKHQLKERDVDGITAILSRLQSKDAYVRELAAMDLHASVATLA RELTSETFARFLSDLTPRLQGLLQSTSLVDQLGGIAAVDALIPVASEAQIIRFANYLR SFFVTCESKAGLRAASWTLGRLASSTENGISGTLVAAFVDFEVKRAFEWLTNPCFQAN HRRLAACMVLQALASAVPTLFHVNLTTFFVAIWPAIRDPRVDVRDAATDALAACLQLI SMRQTRHRVQWYCKIYDQVQDGLHVVKGSSSVVMTKSPAWESIHGSLLVIGQLVQNTG SFMVPRFREVCDIVLCYKDAKDKLVARSVCLLLPQLAAYCPDAFVRHYLSVCVVHLMK RVTTFLSVSERGIAFSALGELALAVGDHLVPQLPSIIELLQDGMKKSKYFCIETLLCA AHFTKACTVAIEPYLPSLLEQMMAGGLNEALIEALTEIVQTVPAVKTWVQERLLHEIA LVLQRPASTTLDDPRKGGGFSTFSLPFRSSDKPDETKEVGGSNPHSDAMLLLSLNTLG HFDFRGPFSILPFVQDHVSMFLYYPDVSVRKQAVTTCATLCHPDPALPKRGRSGQVVD HMLQMLLQVGLTDQDWTVRKTVVEALQPPFDEWLAQESHVNLLFYLVNDEQAEIRELT MQVLDRLAGRNPAYILPLLRRVLIQLLTELEHALDLRMMEESTRLLSKLIRGSQHLVE PYLSRVLDVLLPKLKLQGNPNFSSAVLTALGALSLAVQTQMAPFEGMLLPLILDALQD HSSLSKRHVALVTLGQLTTSTGNVVQPYVTYPKVLHVLLDLLQHTAATPWSLRREAMK TMGILGALDPYKYKFCVARASTLEIPDKQPTPLPSTQDEEKQQIELQLFTAPPVGTRR HPSPPPNPETSAEEDPMHLALQSAPASPPLSVADEAYFPTVAIHALLGILREPSLAVH HYGVIQAIMFIFKSLSLQCVQFLPAIMPPFLHVLDKGEPRLRHSLFLQVTTLTSIVQS HLSPFFPAMVTLMLRHWRSHLSPILQLIEKMARAAPSEFKQTYFPLLLPRLLEVLQPQ QQNPPNNDLSPSPLHHASTAAAAALPAAAALSSGATSDPLHHAAPGTMGSDLMESPEN SGMLVSSVQIQVVHVLLVSGPAVEDSVFVLMPALIRLLEHADTPWEAKTWIVGLLAHL TLLGQSFEHYIGPRLLLVLHRMVKLNADKTRKFTDAAVYCLGAIAYQLQDGWLSYKSL VDSTLSQISSPDHVAAIQSWSDVLNTPNQRISIEMVQRQVLSAELKGWYTAAASAPPS PESPNANAIHVNQANLKRAWEASQRSTKEDWLEWMRRFSIELLRESPSAALRSCCSLA QAYNPLARALFNSAFVSCWNQLFEQYQDYLVRALETAFQSDTIPAEILQTLLNLAEFM EHDVEALPIDIRELGELAQKCHAYAKALHYKELEFHTSPSTCIEALISINNQVGQPEA AVGILKYAQLHHKSVIHVKESWFEKLQDWDNALDLYNVKLQETPNDLDACTGKMRCLE ALGEWDQLAELARQVWDTVDPVTRGLKDESLVKTVALLGARACWWLRDWSTMEQYVGG VLATGPSMGSLTGTPSSGDSELNGLCALYKSVLAVHHNQFEDAQVWIDTTRKELDATL GALVGESYLRAYHTMITLQQLSELEEIVAYKKMCISKPEDAPLLKRHMVAMWSNRLAG CKRVVDVWQHVLAVRSLVLSPHEDVATWLQFASLCRQSNHLALSLKVFTHALSVTRQR DQIDDWRTAFTPVGFASLGYSQHDPYRIAFAYLKHLWAVGDKSKALNELGSLVQSLSA RRPGTSTHAHDLVKCQLKWAEWQMAIHDQQLDKVSIPAVLSALKTSTELDPTSYKAWH AWALMNFHVVDHQPAAKTAPDDSYVVSAIEGFFRSIALGRSRWAANVQQDILRVLTLW FAHGHKHDVHTALNAGFQSVNIETWLIVIPQLIARIHSPHPRIQSQLHRLLCAIGSQH PHALIYPLSVALKSPLEVRQKAAEAIMHVMRKSYVNLVEEALLVSRELIRVAILWHEM WHEGLEEASRLYFGEHDVEGMMAVLQPLHVMMDKGPETLREVSFNQAFGRDLKEAYEW IQRYLNPQLGANEADLNRAWDLYYYVFRRINKQLPQLTTLELQYVSPNLLQARNLQLA VPGTYRAGHDIIKIGSFVPTMLVMTSKQRPRRITIHGSNGLEYMFLLKGHEDLRQDER VTQLFGLVNALLINDRTTSKKDLKITRYPVIPLSHNAGIVGWVPNCDTLHQLIRDYRE ARKILLNIEHRLMLQMAPDYDVLSLMQKVEVFEYALENTAGQDLYKVLWLKSENSEIW LDRRTNYTRSLAAMSMVGYILGLGDRHPSNLMLHRFTGTIVHIDFGDCFEVAMHREKY PEKIPFRLTRMLTNAMEVSGIEGNFRFSCEAVMQVLRDNQHSLMAMLEAFVHDPLICW RLLAPNVSPPRLHDNNHPTDDAPPRREMRDRRPSMAMASLAMMQQNDATTSTTSTTTS TTSIHMEMSQLAASIGVSRSIAASAAVDVHGNPSVSSSQVDLELRRSHRERELVNALG PEGAGAPREALNEKAVAVTRRVQSKLTGRDFFDDDSEPLNVAAQVQRLITQAASHENL CQCYIGWCPFW H257_15192 MLKHQLKERDVDGITAILSRLQSKDAYVRELAAMDLHASVATLA RELTSETFARFLSDLTPRLQGLLQSTSLVDQLGGIAAVDALIPVASEAQIIRFANYLR SFFVTCESKAGLRAASWTLGRLASSTENGISGTLVAAFVDFEVKRAFEWLTNPCFQAN HRRLAACMVLQALASAVPTLFHVNLTTFFVAIWPAIRDPRVDVRDAATDALAACLQLI SMRQTRHRVQWYCKIYDQVQDGLHVVKGSSSVVMTKSPAWESIHGSLLVIGQLVQNTG SFMVPRFREVCDIVLCYKDAKDKLVARSVCLLLPQLAAYCPDAFVRHYLSVCVVHLMK RVTTFLSVSERGIAFSALGELALAVGDHLVPQLPSIIELLQDGMKKSKYFCIETLLCA AHFTKACTVAIEPYLPSLLEQMMAGGLNEALIEALTEIVQTVPAVKTWVQERLLHEIA LVLQRPASTTLDDPRKGGGFSTFSLPFRSSDKPDETKEVGGSNPHSDAMLLLSLNTLG HFDFRGPFSILPFVQDHVSMFLYYPDVSVRKQAVTTCATLCHPDPALPKRGRSGQVVD HMLQMLLQVGLTDQDWTVRKTVVEALQPPFDEWLAQESHVNLLFYLVNDEQAEIRELT MQVLDRLAGRNPAYILPLLRRVLIQLLTELEHALDLRMMEESTRLLSKLIRGSQHLVE PYLSRVLDVLLPKLKLQGNPNFSSAVLTALGALSLAVQTQMAPFEGMLLPLILDALQD HSSLSKRHVALVTLGQLTTSTGNVVQPYVTYPKVLHVLLDLLQHTAATPWSLRREAMK TMGILGALDPYKYKFCVARASTLEIPDKQPTPLPSTQDEEKQQIELQLFTAPPVGTRR HPSPPPNPETSAEEDPMHLALQSAPASPPLSVADEAYFPTVAIHALLGILREPSLAVH HYGVIQAIMFIFKSLSLQCVQFLPAIMPPFLHVLDKGEPRLRHSLFLQVTTLTSIVQS HLSPFFPAMVTLMLRHWRSHLSPILQLIEKMARAAPSEFKQTYFPLLLPRLLEVLQPQ QQNPPNNDLSPSPLHHASTAAAAALPAAAALSSGATSDPLHHAAPGTMGSDLMESPEN SGMLVSSVQIQVVHVLLVSGPAVEDSVFVLMPALIRLLEHADTPWEAKTWIVGLLAHL TLLGQSFEHYIGPRLLLVLHRMVKLNADKTRKFTDAAVYCLGAIAYQLQDGWLSYKSL VDSTLSQISSPDHVAAIQSWSDVLNTPNQRISIEMVQRQVLSAELKGWYTAAASAPPS PESPNANAIHVNQANLKRAWEASQRSTKEDWLEWMRRFSIELLRESPSAALRSCCSLA QAYNPLARALFNSAFVSCWNQLFEQYQDYLVRALETAFQSDTIPAEILQTLLNLAEFM EHDVEALPIDIRELGELAQKCHAYAKALHYKELEFHTSPSTCIEALISINNQVGQPEA AVGILKYAQLHHKSVIHVKESWFEKLQDWDNALDLYNVKLQETPNDLDACTGKMRCLE ALGEWDQLAELARQVWDTVDPVTRGLKDESLVKTVALLGARACWWLRDWSTMEQYVGG VLATGPSMGSLTGTPSSGDSELNGLCALYKSVLAVHHNQFEDAQVWIDTTRKELDATL GALVGESYLRAYHTMITLQQLSELEEIVAYKKMCISKPEDAPLLKRHMVAMWSNRLAG CKRVVDVWQHVLAVRSLVLSPHEDVATWLQFASLCRQSNHLALSLKVFTHALSVTRQR DQIDDWRTAFTPVGFASLGYSQHDPYRIAFAYLKHLWAVGDKSKALNELGSLVQSLSA RRPGTSTHAHDLVKCQLKWAEWQMAIHDQQLDKVSIPAVLSALKTSTELDPTSYKAWH AWALMNFHVVDHQPAAKTAPDDSYVVSAIEGFFRSIALGRSRWAANVQQDILRVLTLW FAHGHKHDVHTALNAGFQSVNIETWLIVIPQLIARIHSPHPRIQSQLHRLLCAIGSQH PHALIYPLSVALKSPLEVRQKAAEAIMHVMRKSYVNLVEEALLVSRELIRVAILWHEM WHEGLEEASRLYFGEHDVEGMMAVLQPLHVMMDKGPETLREVSFNQAFGRDLKEAYEW IQRYLNPQLGANEADLNRAWDLYYYVFRRINKQLPQLTTLELQYVSPNLLQARNLQLA VPGTYRAGHDIIKIGSFVPTMLVMTSKQRPRRITIHGSNGLEYMFLLKGHEDLRQDER VTQLFGLVNALLINDRTTSKKDLKITRYPVIPLSHNAGIVGWVPNCDTLHQLIRDYRE ARKILLNIEHRLMLQMAPDYDVLSLMQKVEVFEYALENTAGQDLYKVLWLKSENSEIW LDRRTNYTRSLAAMSMVGYILGLGDRHPSNLMLHRFTGTIVHIDFGDCFEVAMHREKY PEKIPFRLTRMLTNAMEVSGIEGNFRFSCEAVMQVLRDNQHSLMAMLEAFVHDPLICW RLLAPNVSPPRLHDNNHPTDDAPPRREMRDRRPSMAMASLAMMQQNDATTSTTSTTTS TTSIHMEMSQLAASIGVSRSIAASAAVDVHGNPSVSSSQVDLELRRSHRERELVNALG PEGAGAPREALNEKAVAVTRRVQSKLTGRDFFDDDSEPLNVAAQVQRLITQAASHENL CQCYIGWCPFW H257_15193 MAPRQRCQELACRRLAKVQSRCLYHTRDVVAARKKQPKAKAAAK KKKTTWAVVAFVQADHVTGTITCLVPACRGGAQRASAFCKMHASSVLCVLERPGLLAP ALTTTPQQMLTPRQFATLAQNPQQCHLPTQPQRNSRTSYIQPPNHAFLKPEVFDG H257_15194 MNTTAYFTQSRLAMATYGDVVLAHSTSPQDSTVTIKRSDIVCAR RHESFQCPHHLTIKDMDVERLVLREVDVHGGHPHIVGLVDDFDEFGYAQLVLEYCPHS VAEWLARDHPTEAEVMRLFGHVVSAVTFLHALGIAHNDLTMSHLLLSTSNDVKLIDFA SATLLTELSKSNDELDLGRLLVSLCSHDTWSRKLVACRDHIEVKLAVTWSSMVTPNQA RQWMVLLHLLTKQEPMNELKSRNSNTLQARELYETTGSFSRAHRVKVGVEMS H257_15195 MKVLVAVKRVVDYAVKVRVTPAGVDLNNVKMSMNPFCEIAVEEA IRLKEKKVATEVVAVSIGPKQSQETLRTALAMGADRGIHIETDLRLDQELQPLAVAKL LAKVVEAEKPDFIVLGKQSIDSDAAQTGAFLAGLLNYPQATFASKLEIADKKALITRE TDAGVETLEASLPLVLTADLRLNQPRYATLPNIMKAKKKPIDSKPIADYGVDVTPRIQ TVSVAEPTARKAGITVPDVATLVDKLKNEAGVI H257_15196 MATSNTYKASQYGDGTTSSTGIASASRLYTSPVKSSNAHDGSNH SAAVYTSPLTTLASGAPRRSSSLTSSPLLATASDLTSTAAPFVPQQLQRPAPSSADPS ESAPLVQVTRGGCIFFVPEADATGVPPPPIPPSTLLPPSPSYEHPPHLHVDTHGDHLH YPSHYSPHVGHPPHSAPYPSSPIVATRRSLASLALAPSIRRSLDAQHDEMVRQVDPGD ERYKEIPSQFVCMWPLDTTTSQHRNVAGSFGYPSHSYKVLSDTDGRTYALRRIENART TPTIVQQAVDMWKRVQHAAMVPLHRGFVSHGALFFLCEYYPGAVSLHQKYIQQQHPPS EAFLWSVLTQVCGALRVVHGASLACKSIHAKRLLITSHDRIRITGLGVLDVLEYDSLK SKPRVDGMQREDILALGKVVLSVASGRDWHMSIHQEMVEVMESRYSPTLCALVTSLLD QSIDTVAALSMPLQDSVFAALDAQSRVADESELQLGAEYQNGRMLLLLMKLGLVNERP EVDELNRPVVDFGHVVDCLNKLDSAAPEKILLSSRDGQSVLVVSYAEVHRCVQQTFTE LLTAAQRRPSYATPAAKPVTQPTRGGAHRSIPRGSGRGGGGRNGRDY H257_15196 MATSNTYKASQYGDGTTSSTGIASASRLYTSPVKSSNAHDGSNH SAAVYTSPLTTLASGAPRRSSSLTSSPLLATASDLTSTAAPFVPQQLQRPAPSSADPS ESAPLVQVTRGGCIFFVPEADATGVPPPPIPPSTLLPPSPSYEHPPHLHVDTHGDHLH YPSHYSPHVGHPPHSAPYPSSPIVATRRSLASLALAPSIRRSLDAQHDEMVRQVDPGD ERYKEIPSQFVCMWPLDTTTSQHRNVAGSFGYPSHSYKVLSDTDGRTYALRRIENART TPTIVQQAVDMWKRVQHAAMVPLHRGFVSHGALFFLCEYYPGAVSLHQKYIQQQHPPS EAFLWSVLTQVCGALRVVHGASLACKSIHAKRLLITSHDRIRITGLGVLDVLEYDSLK SKPRVDGMQREDILALGKVVLSVASGRDWHMSIHQEMVEVMESRYSPTLCALVTSLLD QSIDTVAALSMPLQDSVFAALDAQSRVADESELQLGAEYQNGRMLLLLMKLGLVNERP ELLQDQSWADTGDRYLLQLFRDYVFHQVDELNRPVVDFGHVVDCLNKLDSAAPEKILL SSRDGQSVLVVSYAEVHRCVQQTFTELLTAAQRRPSYATPAAKPVTQPTRGGAHRSIP RGSGRGGGGRNGRDY H257_15196 MATSNTYKASQYGDGTTSSTGIASASRLYTSPVKSSNAHDGSNH SAAVYTSPLTTLASGAPRRSSSLTSSPLLATASDLTSTAAPFVPQQLQRPAPSSADPS ESAPLVQVTRGGCIFFVPEADATGAVPPPPIPPSTLLPPSPSYEHPPHLHVDTHGDHL HYPSHYSPHVGHPPHSAPYPSSPIVATRRSLASLALAPSIRRSLDAQHDEMVRQVDPG DERYKEIPSQFVCMWPLDTTTSQHRNVAGSFGYPSHSYKVLSDTDGRTYALRRIENAR TTPTIVQQAVDMWKRVQHAAMVPLHRGFVSHGALFFLCEYYPGAVSLHQKYIQQQHPP SEAFLWSVLTQVCGALRVVHGASLACKSIHAKRLLITSHDRIRITGLGVLDVLEYDSL KSKPRVDGMQREDILALGKVVLSVASGRDWHMSIHQEMVEVMESRYSPTLCALVTSLL DQSIDTVAALSMPLQDSVFAALDAQSRVADESELQLGAEYQNGRMLLLLMKLGLVNER PELLQDQSWADTGDRYLLQLFRDYVFHQVDELNRPVVDFGHVVDCLNKLDSAAPEKIL LSSRDGQSVLVVSYAEVHRCVQQTFTELLTAAQRRPSYATPAAKPVTQPTRGGAHRSI PRGSGRGGGGRNGRDY H257_15197 MAANQSIKELMTAETKASKIISEARQERGDRLKQAKAEAEAEIN AYRRQQDQVFQMNSTDLLGDDSSIMDKETDQDIQKMKGDYEKNKEAVLNLMYGHVTKV VLKVPAARKETHKA H257_15198 MSTRLEWPPRSWLRLAQQTRQCQRQTQPVTRTTPSTPPVPPWPF GRRCYCQLGQHLVGQLKPATNPHGTQPAAQHLDHIPSSSTVDGSPFPKSATTTQAGSS VWCHTAIPKPNGTICSATSYYDMSFNDPFVYRHFAFCTAHENSVVCIHERTKQYLSTP HRLAARDAVPVDRVTSMQAPEHHEPPKDHILPPPMAMYGTDMSNHDNKAMAMPRQNST RLNPIEL H257_15199 MATSDTVAHPFLSVLLNANLFRAIQAYQRGMFGDLVPRFCEWQK IRASAIPSGLRFLQYTLPPRYTSVPTLEVGGLQVFAGITFFLNAFVPDMRFPLHSAVV EGSLSVVQRWIACCGASWMTVDAMFVAIRYGHLHILRWLVQTYPQLLLPSTPTWQRAT TLAASNDQLHVLRFLEEMSSGKTSRGGRARRHKLGHHRQNRRAVALLPF H257_15200 MAVVWVHHRRGVGQVFLLDALTVLLNSVLVPDIIGLHLSSLLFP IASVPRLLLSGNALLVQLAVFLSLGDRLGFITVVPLPSTLGSLGGVSRIMVVFHRFLG GKRMY H257_15201 MTHRSMVHQALAAMLVLVCCMTLPVVDGLKQKWSFTEETRRQFL IERFGFDVGGHMDVQVEIPHLSQAPRSSLLFVHEDELVEDAAAYFTQPYNEDLCLLDN QTATDRMDFADNTTWHLSHTVATPGFYYLLFAHCGDTDTSLTFSMDASFLNPNANYLS SGDALVPLIYLLTSVIFGTGVVLWARTLHRNALHIHHIHHMMTGLLLLKVVSTFCESM RFFYMKSHGDTLTSWNVVFYIFMFLKGMAMFVVILLIGTGWSILKQHLNRTEKRIVFV VLLLQVANNVAVVVLQESSVGTQAWVRWRDAMHLLDILCCCAILFPIVWSIRQLRETA QVDGKAQINLRKLTQFRGFYVAVVTYVYFTRIALYLLAASLPYTMTWVTVAVAEVAAL AFYAYTGKKFQPQTAHPYLALHTQVDLDEFGLDDNEDFDVSTRDLSPRRGNPTGASST KSVVNTTPHFQHV H257_15201 MTHRSMVHQALAAMLVLVCCMTLPVVDGLKQKWSFTEETRRQFL IERFGFDVGGHMDVQVEIPHLSQAPRSSLLFVHEDELVEDAAAYFTQPYNEDLCLLDN QTATDRMDFADNTTWHLSHTVATPGFYYLLFAHCGDTDTSLTFSMDASFLNPNANYLS SGDALVPLIYLLTSVIFGTGVVLWARTLHRNALHIHHIHHMMTGLLLLKVVSTFCESM RFFYMKSHGDTLTSWNVVFYIFMFLKGMAMFVVILLIGTGWSILKQHLNRTEKRIVFV VLLLQVANNVAVVVLQESSVGTQAWVRWRDAMHLLDILCCCAILFPIVWSIRQLRETA QVDGKAQINLRKLTQFRGFYVAVVTYVYFTRIALYLLAASLPYTMTWVTVAVAEVAAL AFYAYTGYVLTFITFCRNELCI H257_15202 MVATIRKPAPAFTAPAVVNGEFEDISLSDFLGKYVVLFFYPLDF TFVCPTEIIAFSDRVAEFEKLNTVVLAASCDSKFSHLAWINHPRNDGGLGPMNIPVIS DITKKIARDYGVLIEDGDDAGVPFRGLFIIDSKGTLRQITVNDLPVGRNVDEILRLVQ AFQYTDEHGEVCPAGWTPGAATLVADPNGSKAYFNKTHQ H257_15203 MVATIRKPAPAFTAPAVVNGEFEDISLSDFLGKYVVLFFYPLDF TFVCPTEIIAFSDRVAEFEKLNTVVLAASCDSKFSHLAWINHPRNDGGLGPMNIPVIS DITKKIARDYGVLIEDGDDAGVPFRGLFIIDSKGTLRQITVNDLPVGRNVDEILRLVQ AFQYTDEHGEVCPAGWTPGAATLVADPNGSKAYFNAQNKRKAH H257_15204 MLDMATSSAPSLGGRVVPKKKKKPPSSADVQRKAALYNLGSHQS FPSTRKPKHRHRLALQQLLREDQSSSAATGEPTAQCQMGRPEAAQVGFIRDLQTTVPE IPRSKARRNARGTTAEWKLGRSNSTSSYKLSASGIDGMLEPTDAAREVLAVTCPTSEF SNLLVAYPPAAVASVAKALADPAQNWVKPCISRPGQSAHNSDTPGGWQNTTKPGEQPV DNNHAKAEPWAPPTFPHRIITTSPGPHKNPPSNLNPTPLRRDNKAGGGVPTYTLPTHT VDTFLRQAQKAERSYNALTARVNAHKNPALMYSLPVATPSRSCRLGLETLASDLTADS RRSSRPKSAVHLIKNVLKVAGAKIRPKTARMSYLKTATVYCVDTPDTNTMEEYALKIQ AEKDRRKNVVNRKQAMHRIVRYNSIAERSVEYIFNCLKRQAMDNVPLHLQAKYDALEL DDYMKMVNTEEDEHPYLKSNPTDPSYDVGWDSLTLGLLWVDRAQFTKAMQCLKMTPVD VNVLFSVFDFELEHKIEVGQVCREIRTLQMAKHKKHFPDLMLGGNRTTLREP H257_15204 MLDMATSSAPSLGGRVVPKKKKPPSSADVQRKAALYNLGSHQSF PSTRKPKHRHRLALQQLLREDQSSSAATGEPTAQCQMGRPEAAQVGFIRDLQTTVPEI PRSKARRNARGTTAEWKLGRSNSTSSYKLSASGIDGMLEPTDAAREVLAVTCPTSEFS NLLVAYPPAAVASVAKALADPAQNWVKPCISRPGQSAHNSDTPGGWQNTTKPGEQPVD NNHAKAEPWAPPTFPHRIITTSPGPHKNPPSNLNPTPLRRDNKAGGGVPTYTLPTHTV DTFLRQAQKAERSYNALTARVNAHKNPALMYSLPVATPSRSCRLGLETLASDLTADSR RSSRPKSAVHLIKNVLKVAGAKIRPKTARMSYLKTATVYCVDTPDTNTMEEYALKIQA EKDRRKNVVNRKQAMHRIVRYNSIAERSVEYIFNCLKRQAMDNVPLHLQAKYDALELD DYMKMVNTEEDEHPYLKSNPTDPSYDVGWDSLTLGLLWVDRAQFTKAMQCLKMTPVDV NVLFSVFDFELEHKIEVGQVCREIRTLQMAKHKKHFPDLMLGGNRTTLREP H257_15204 MLDMATSSAPSLGGRVVPKKKKKPPSSADVQRKAALYNLGSHQS FPSTRKPKHRHRLALQQLLREDQSSSAATGEPTAQCQMGRPEAAQVGFIRDLQTTVPE IPRSKARRNARGTTAEWKLGRSNSTSSYKLSASGIDGMLEPTDAAREVLAVTCPTSEF SNLLVAYPPAAVASVAKALADPAQNWVKPCISRPGQSAHNSDTPGGWQNTTKPGEQPV DNNHAKAEPWAPPTFPHRIITTSPGPHKNPPSNLNPTPLRRDNKAGGGVPTYTLPTHT VDTFLRQAQKAERSYNALTARVNAHKNPALMYSLPVATPSRSCRLGLETLASDLTADS RRSSRPKSAVHLIKNVLKVAGAKIRPKTARMSYLKTATVYCVDTPDTNTMEEYALKIQ AEKDRRKNVVNRKQAMHRIVRYNSIAERSVEYIFNCLKRQAMDNVPLHLQAKYDALEL DDYMKMVNTEEDEHPYLKSNPTDPSYDVGWDSLTLGLLWVLYYSIFVTNILFVFKLRE H257_15205 MSIKTLFSPIKVGSVQLANRILLAPLTRARAGPTHVPNALMKEY YAQRASAGLVITECTMIAPNTSAFAGEPGLYTPEQLAAWKDITDAVHAKNGKIFIQIW HAGRASHPDNNGGVQGVAPSAIAIEGDVHTLLGKSANAVPRELNEAEIAEIVQQFATA ATNAINVAGFDGVEIHGANGYLIDQFLKTSSNHRTDGYGGSIENRTRFLSEVVDAVTK AVGADKVGIRFSPLNSYNSQIDTNPEALSEKIAKISQQFNLAYVHVMRSDFFQAQKGD VVPIFRKHFKNVLIVNMGYTKDEGNDVIAKGLADAVAFGTAFLANPDLPARFEQGAEL NVPDQATFYSGGAQGYTDYPFLS H257_15206 MKTVVCLVKGKICRIDLNESLTVGFLMAKVKEQQPLQSFWMDVY LMKRNGKWLKSGDPDAQEFMRRGQSNGIRAMMQKHGAMHASTEISDPAIGFPDTEAIE DDDIHVFVHTQSPDDHQLDLWAYGEPYHVAAWNWLRKRLKSKKAALAAK H257_15207 MLRVTCLLGDAWRLVTVDVPGTDTVLELTKRVHTDLGCACPVDY LKLCVAKQPEQVGWLTRKELKKLSSKEINSMMSVVMAPSDLINAATFAFPVAPEEGRV HVIVIIPRHIMDSLKYERWARNCGCVNICVLVASFLLVLGVACIHKRLSCEGDCLCPT SNDMGICVLVGFASVFPFVAALGQAFKCFCESKVKEHQNRFQYSVFAE H257_15208 MKTVVCLVERKICRIDLNESLTVGFLMAKIKEQKPSQSFWMDVY LMKRNGKWLKSGDPDAQEFMRLGQSNGIRAIMKKHGAMHPLTDISDPAIGFPDTEAVE DDDIHVLVHTQSPDARRLELWILGEPYHVTAWNWLRKRLKSKKAALAAK H257_15209 MPWLTASSWPPSRALVLVRRTFPMRSWRNTTRSEHPLV H257_15210 MMLPSVPSLDSAAVAVHQDASKGRYVVATTTYQAGDIVFQDQAF VYASCSGEHLLNGARNPPTMATLLDPACDTVDEFHPSMHRLFGSLLATMRSLDVIGEV DRAKCILKCVTKFLQHPASLNDMLSLSAATANAPACVDTAQKLLQKFPAVFTQVTVPV LSKIIGILNTNSHELEAVVGGGTGLFLSACLMEHNCFANCSFTTKNNTLWVTAVRPIQ VNEPLSIDYGNLFYRPLHERQQHLQQGYGFTCVCQACTEFPDKTRSYQCRLDGCPGIV HPFPATPVEVYRCTTCHQAWSSAQAKAIAAMELELQANIPTTYHDILRIEASTPFHRF HYVLFWALDNMGLKGAQGLAHDENTLGAMWSDLISGIEYVVPYATHEKIIYYDQLAQI QVITGDIPGAVEAYEIAYGISCVVSGATTPPTLALKELMAHPPRNRSELIARYGHQG H257_15210 MLSLSAATANAPACVDTAQKLLQKFPAVFTQVTVPVLSKIIGIL NTNSHELEAVVGGGTGLFLSACLMEHNCFANCSFTTKNNTLWVTAVRPIQVNEPLSID YGNLFYRPLHERQQHLQQGYGFTCVCQACTEFPDKTRSYQCRLDGCPGIVHPFPATPV EVYRCTTCHQAWSSAQAKAIAAMELELQANIPTTYHDILRIEASTPFHRFHYVLFWAL DNMGLKGAQGLAHDENTLGAMWSDLISGIEYVVPYATHEKIIYYDQLAQIQVITGDIP GAVEAYEIAYGISCVVSGATTPPTLALKELMAHPPRNRSELIARYGHQG H257_15211 MREIRLSAYFHHPAIVEFVGISWLTLKDMSIVTEFMVNGDVYSV LRHQRQLPSHDQWLRWYPLVAPDESDHKDQNGTSSITLGFHSRVASSPSKLSMALQIA QALVYLHSMRVVHRDIKSQNVVLNTLFTAKLCDFGISRRISLLMTANKGTIAYMAPEV FQGSKYTERADMYSFGVLLSEMDKLDSPYVGELDDIDSDVTFPEAKIALMVAEGSLKP QFTKAMPSEILHLATSCLAFDPSLRPSAEQVADELSRLIQHHRAASIVQSDVV H257_15212 MESSCSDTQALYTGALRACGYTPSFSCEDVACLHNNLQWCNSSV CSSALSFAQNLTTECGRQPLNPPMPLQICDTACVGATRLVHGLLTTCTTATGGQGDLA ACLACQYYQGNRSMLFTHCAIEGVSSPYGAVAGATISRCKIIFPQLPPSFPSAFDDSS SMVVRTDVQSAPVFIVVVIVGMVVAVSVVILVYFQFRNVRKAQRRESFRGAIVVQPPI QQHLHHVHHHHHNRRHRRGAVPRPTLHRKQRITRTSILSFEDEEPYILPSPASYDMVL GSPKDGSGIDDVRFDKKFTQYRIPVDEFVNKTLLDTGG H257_15213 MMLVVEFMDQGDLRSKLESTTPDTFSVEDKLNCALSVMEGLVYL HTLDKSIIHRDIKSRNVLLDSQKGTKLTDFGVSRESTSETMTIGIGTYRWMAPEILSD SHYTQAADIYSFGVILSELDMHIVPYSDQVTTKGNPINDTSIMGRVMQGTIQPTFSAL FPPALLELAKECLAFDPEVRPTALAVAYRLGQIRKMWRQSTTSGFV H257_15214 MRKSMSRHGAILQRPPIFNGSTHIPPCDYDELTEIARTSTLDEE THCQFSNYPCMTVQYRRYPSREVYVRVLLAEPRNTDHIEVDVGDDKRSFEMSFSWPTD LWDVDAAYGDVKAAHEATYDLLPMINATRLAVETLRATDRQPPRTKLSIPLPCEVYPE TLCVSKTFTQSAMILEAKVANHEMPKKKTIWSL H257_15215 MTTMVSRRRASIVLGAVLWMLDTSATEAFGSLPRTDGTTTRCWA IAESSSVKQPSETNLVKDAEGVGCPFRVRFMLPPNATVNQKLTATWTISYTLATVASN TLGYKLPLSTSMDPTTGQVVQILHSNIHACKYSVASICDPFVDADKVRDHTPNQPGNF TLSTSDSTFIYPDLSFPEKGDIFVLAHLALPGPNTSFRYDFAVYQRITIVDTATTSSQ TLAPPISSTGAANTVVLIVAIGGGVVVAIVIAVVVLLMYRRKHATINQSHVQSPYPVH SSAAYTPMEPESGRPRYKDTTHSSGGRPTVTGTADTFWQNETASQIPRTSASVVAPGG STPGSGFSTGSASAAGITRADEELLQLWRLDENHVVAGHMLSRGMFGVVQ H257_15215 MDPTTGQVVQILHSNIHACKYSVASICDPFVDADKVRDHTPNQP GNFTLSTSDSTFIYPDLSFPEKGDIFVLAHLALPGPNTSFRYDFAVYQRITIVDTATT SSQTLAPPISSTGAANTVVLIVAIGGGVVVAIVIAVVVLLMYRRKHATINQSHVQSPY PVHSSAAYTPMEPESGRPRYKDTTHSSGGRPTVTGTADTFWQNETASQIPRTSASVVA PGGSTPGSGFSTGSASAAGITRADEELLQLWRLDENHVVAGHMLSRGMFGVVQ H257_15216 MTLTALRRYRAVFGISPGSTSVTWSLTDATRPSTSRPKHLLWCL MFLKVYASEGVHLVPMIEHSFHWMVPTFVSGNQYCTTASGIPTNFMELGYAMKSVCAC ELATLSGSMEAYHAANGQIYGLHVNCFARKVYRVHVSLPCSNAANSYNYVRNVERSLH HIVRCAVLPMQEVPTPQMLKSANMPPFAGPSFS H257_15217 MLQLWQLYGLWRLQANLGEFRLADHLSSTQGTWVQDQLLALLPL VRRNAVALVDGFGLSDFELNSTIGRYDGDIYRALVARAAAEPLNQTDVVPGYHQWLQP LLSAKL H257_15218 MSGELTVNTSSHQRPSQKKRRAQQKREAQRVGTSVERHTRDANT FPNIGGQLNPDSQTYPQPNSSDGLNTCDDTKTTGEPNLSGLTISRNGLTMSDENNPSD GLTASDESHPSDQTNPSGQTNLSDRLAIHDEPNTTIEIERSPTGQTYPSARGQANNIG QHDEAKIKRVTCAFIGDGSTCIVEIEADERVWYLKELIKGERGLTCHIDQLDLYVAKL SGGNFLEASGPNALELKRGRISDGVKGLMKVPMDWMAQMDNVDFAFQANANVKKVHVL VDIPRCLKHMLWHQRTLS H257_15219 MSTPPKVELADLSPLLLKKERVTASFDVPLLIDVIHGSRDQQAR RKHLLDLVINDPVLCDRDMISRNHKERYEKALEKSHAYAKLLETHHITDPDEQTYVYY AIGEPLPIDVHRSMFIPTLQNQMDDEQQAIWVPKATSFQITGAYAQTELGHGSNVQGI ETTAHYDKNTQEFVLNSPSLTSRKWWPGGLGKTANHCVLHARLLLDGKDRGVQAFLVP LRDTRTHETLPGITLGDIGPKIGFQSVDNGYVFCVLDHVRIPRRNMLMRFAKVAPDGS FSKPPMDKLVYFTMVKIRVLVAVVCARYVG H257_15220 MSVKRLGGYGLDSLHRAKCNCGHLHRGCDYDCEEDRASTGGHDL IREGCLHFRHRGHRRCHGRSRHDEDDHREFCETSNVERSRLSHAHQEHVNAGDALLGH P H257_15221 MQRSKKKVVKTPAKRRLRNWTTSPPCEAQLLLNDIIQRANVKSA TDLWNEIPLFQEYSVSSSKSSQHASNQTMVTTAIIRLSLTKVAKNWPSR H257_15222 MADQYDVQAEGKKKPAHGFTTPQDVDLIKEVMSICPHDAPYGQT SARWAEVGEHMRTIHVDSLSATGCRKRCDDLLVAFQKAMLASLRASGTDEEYDEREQL LQDLSDMIDMISNKKKATKEDKCKKMDKRESDGHTVRSAALTGMKRKSLGDEGDDNDS DEAKKTMMKKERRSSAIMKESVNAVMSFTSIMENTNKFKIDELAFQKESNAIAMRKLE LDEKRYLLDKAEREARFALEQQERQGQMDFMRGLLK H257_15222 MADQYDVQAEGKKKPAHGFTTPQDVDLIKEVMSICPHDAPYGQT SARWAEVGEHMRTIHVDSLSATGCRKRCDDLLVAFQKAMLASLRASGTDEEYDEREQL LQDLSDMKATKEDKCKKMDKRESDGHTVRSAALTGMKRKSLGDEGDDNDSDEAKKTMM KKERRSSAIMKESVNAVMSFTSIMENTNKFKIDELAFQKESNAIAMRKLELDEKRYLL DKAEREARFALEQQERQGQMDFMRGLLK H257_15223 MNSTRAITIPTGRFNFNSQTEADCRFMFRFGKTDIPELARLLGF PDPFITKARYHATAIEVVCIMLIRLAWPHRLGSMVQTFGRSREALSALSNAAMLHVYE RFGHLLDWDYQRLDSQWMQRCGDAIYMQGAPLKTCIGFIDGTRKHALKYQAVMSPDGI IVHLHGPEPGSRHDAYLLARSQLVSKLQDKLPVENTRYVIYGDPAYGINDVIASGIKG ARLDVREAEFNRRMSAVRVSVEWGFGIVRNLWTFVDYRGGQKLWFHAVGVHYSVAVIL TNVHTCMKEGNQVSSYFGMKPPTAEEYLRPQA H257_15224 MKITRIAATATPTSAVAHLADAHGRLVSPPHRGYIGKLPQYKGL VPINYSDNGLSAGGIGQTSGGKNGICGDPYTGVREHETGGVYGRFPQHREKVVGACYA PGSTIDVQVQLTANHKGYFEFGLCKLNHLNDKETEECFQALAEPSGLKEWQVPPGNGF FDIQYVLPSGVTCDGDSHCVLRWHYTGWNNAGVGALGQEHFWNCADVYISNTCGASNP TPSSAKPSTSAVATQPPRPTQPQAPSTSKPTIPTQPPQTSAPSNPTPAPVPGQCGTCA NCYYAPTNACFAGWSAAQCAQVPVFKWCGV H257_15225 MASHDNKAADLEERVSYVHSVTTKDVDGYAEAKSPKDLEGGALT EGGALDLFSREAFALFMQYGAIGVIYGMIPALNYPLFNIYLNLEGYQTSSYRVLVLIG WSFKVIFGLLSDCVPIYGYRRKSWMLVGWTITMICLSVMTFSPFGEPFCNREKTKYCA TPLEKVPKSELQYFNLAAPDNGTLFTLLSMFIAFGYVLAASASDAMVVEYAQREPVAI RGRIQTAIYTVRTLTGIFAYLVTAFGLNGPNYAGSFSFALSPNAPYGICLVPCVLVVL STVFLLVEKKTEPCSFAQWWGTFWNSLQSRVVWQICLFRLLSNVFNGVRTTAGFPIST YWAGVEPLNDSLSNIIGNALFAGILVVVGKWGLNWNWRWTIAAGTIGIIVIDGFVVFV TIWDVVRNQWFFTGVALADEIPNGIRFIVSTYCAVEIADKGNEGAMYGLVSTVSNLSG PFASIFYKYVNSFFKVRQNDIKSDTLEVRWDVTYVYFISYGFKLASLVWLFLLPPQKA EIQALKARGGKSKVAGVILVATFVMCVTFTVTSNIMSIFPSTKCYRIAGGNGVFDPKT GKCPLK H257_15227 MGIQMCAKKDLTDNDRTAILQQLLARMTSPSPLVLTEAWFAESG TEMQSTCPTSFPRASLNLKHDSVAACLKLVPKARRTTFGSIAAAVGID H257_15228 MSYVRPTRAPIHRTYGEKQDLLRAWNAVEGGGMTLVAFCPVTAD TSVHFRKGVNNQDRINRVSTDRVNNHIAPRASILQRQSKPVDPRRLQLHAWMATARSP INLELMRVKVSELWPEWDDISHPAFKHSNYFAKCEPNGVDTFDATETGEQDGGPSGED TETDDELSTRDPALDRTYGTSKKAPCRPFRKSVVSEDADLFDNDDSLADSDFATLLKN SSHPFSCPFSPSHPSAASSEDSYDSDFEDYFKMTSVPVKFPKALGGKRIGKTPRTKCR PRDHTNPRDITPAPAPPSPAYPKDPATRRAATELSAEPAPVHPKDPATRRAATPLSAA PTPATRRGSNEASATRASTNPKDPAISIKRGATAPPTKIKPTKAPRNLNEAPTLSS H257_15229 MKRFPKTTVVQNDLLKHGLRIDVDVRSETKIIEYVGEYMSKMDV LRQKRLKQGTTDWYLARVGSSEDLYIDAGRVGNHSRFINHSCIPNCRFQTWYVDTKPR LMVVANQALERDKKTIEQVRPELTKSQ H257_15230 MSMQFLCSMAFTAIAAGAVQMVAAPDPSCRETATQRRRRQREAS VQHRFQVYEDRLSSNRNDRPYYDDRRKDRPYYNDRRNADYSLSGRGGPGGGRPRSPSR QNDRGHRPHSPANTNDRSQVQPRNAAWGLSPPSTRNGGWDMPIIVEDANALEDATSAA SPEAGAVPAPSQIAEVDAVPTPEEVAQVVAPPTILSLRRSKEETRS H257_15231 MEYANVPMTQTAKVLKNAAADKVAAEDKAAALMRDASLRQPPTP SITCRATDKQTVVSYDQRMRSYCVVCYFERNKELIKTQWCDVHKVYLCTKAYVPSDQQ VPAHVCLHAAWSCWDKFHSFYHPKGLFKKDGKMDRGNKLYRLKKHSVMEHKASSAKKT PILLKSCKPPIKHENRAIGS H257_15232 MPSTPSEGDVTPIPVGVSEFTPDHKSIPSETMFRNVSFRPLRET QIHKNDKKLFAFYADCQEFMLSGVMTEVRYVVSSENYPDIDTSGKNTKAEAKAIVALR GTVCDFEGEPKYERRTKLPANLLVQAEDESHDLTEWDKKFLANSSFDEETKSDEETND GSLAPQDDDGSGDEWSEYVDRGWKKYGHYDATEDIEGLEDVEWTCGGHYAGLTYLYEH EDNKDDTPVDELRISEEFKHLFKVPVKGFLAFMPLEFWKALALQAAHPKGYVGGREFK KSIELVEVMKFVGLLIMMSVVKGGEYSLYWSKPSMSFLMPLMENFGRQLWACITFNDV VEPADPLWRIRPLINLLKASFKNFIVAGREISVDEACIPCRSSYARALIVYNPEKPLG KYHFRIYTGACATTLYVFAFKIHSKAARNFDEPDDDDYGGGDDSKVDPDYGAEHLRGE VKPSVWRQHDIDNGKAHI H257_15233 MFGSSTGCNKVENAKCYPAIPTTTPPPTPCAFATHCLKSAGGYA QIFPATLLPPAPSRLPPSPTSCAFATRCLKSAGGYAQIFDVAVVVVTQSICGVMETCS VCGADDGPTLRQCNMRASKFHHMCIVEKTAKNGWPKAEEGQGLCAVHAVPSSAQDVPP PAKK H257_15234 MVPVPPLPLAAAPSSRTASALNNRTIGQAVDLLAALQTSRQSKR LRYSASPRDDDDDHDDSPSPVLDAFVAAQGRCHRAPYQFFASRIQHALARPSSLRQPE LELFKEKTPTFAKRVTGFIFAIHPCLMGKFVDRVLSIWTMEHLNEKHKRFKYFPKALY AVDVTFQQTNAPSGSFSETMRYFSKKHGLYGVKVEASVLPNGLCVNVTSAVPGSHSDI SICQSNEAFHTAAMKERSDEVDMVDHGIMVHYVMSIQTPGHCLVTRGTKASIDNCVPL RRRNDQLGATCKWRGASAEVQVPRAMAALHATSQQRFNLVGQTDATCDSHFRFSKAEI ELLMALLEIPDPMITPQRCNASAVEALCILLNRLAWPHRLGTMVLLFGRSREALSTAS THHRWNVLQPYTTAAPLSIAASVSLMALSVAFAVPVKVSKRQHTMATSPEPGSRHDAY LLEQSEILTVLSDVLVTDTKRYVIYGDPAYGANDVIVCGYKGARLDQYQSAFNSRMST IMQMVKNCGGKTSVCSRLLLLF H257_15235 MEEDNESEEVIHVRPYEAPHGEVRKRWTKVTEHLQRLHGVGITV NATRKRFDDLMTAFHADSMAALRVSGTDEEYEERAQLLQDIHDLTDKEEKAKQNERHE TNGEKIRDAAMSTMKRKSFEVVEVDGCEDAPPNKRQSRGSSHRVAEAGVVVASLVEMV AKANEIKAEEVRTRQNGA H257_15236 MEQRKRHQLRYTNGQRKALLQEFHEANETSEQKFCRDKHLAYST WQGWRLKEDKIMSNKRHNRLAALGGQGHTTLIPFKDELLAYMRDRRGTERYIRAFHLM QWVKRNKREWLTAVAYKSFRCLLLRFAYRNRFRHRIPCISKATQQVLDEVWLGYAATF WNKCGHYDKKHILNIDETSVCFDMPPGKILAEIGQSSKVSDGEKHSCPLLCTIPPAPT TESL H257_15237 MPDDDVTPHIQSTSMEQFYAGKGLFVTGGTGFIGKTLIEKLLRS TPDIDKIYVMVRPKKGRSAHDRLESDIISSPIFNRLRAERPADFDSFIRSKLVAIGGD INSASLGMSAQDAQLLIDRVNVVVHSAASVSFNEPLDVAVEMNTLGAMYMLSFSKQIK RLVAYVHVSTAYVNSNRRNCTLLEKIYPLDFDVEAAIDAVTKASSTEDIEKLHLNLIG TYPNTYTLTKSMAEHMLAKHRAHVPLVLLRPTIVGASWKEPIPGWVDQLAAAGAIFLA GGMGLLTILPGDPRSIADIVPVDFVVNAILLSAFAKAKEPTGAAPLIVHSGTSDPREQ PLRWRVPIGTVSSYFQQNPPDKGLSRPSFTMFPSHQLFQINWFLRYTLPSSAYSTIAN ASGNVHHMNQASRLWKLTWRARQLVEIFKPFTENQWVFSADGLQTLRAMPEYNSTHWC CDANDVMWERYLLNYSVGLKKWLLHEDVIDVDIEGVQHTEMALSTERMLAWDPDHHAI SFPGLLPDMSWAFTSSRKPGYTKAGIWGRFMGLTGWKEGAHHEATYVPRVPADPLSAI KHHVLHSQAVQTVIENAEKAAPNKEVRALMEAQVLEMLSKMAATVNYKSVRVAGWLLR KVWRQMYDKIIVDEGGLETIRNLVKAREGPLVLIPTHRSYIDFLMMTYLFFAYNIPVP HIFAGEDFLNMGPMTQVLREGGAFFVRRSFKDDALYTAVFSEYTQYLLTKGHTVEFFL EGTRSRSGKQLPPQFGMLSTVVKCFEAGRVDNIHIVPVTIDYDKPVELSVHQKELLGE GKVKESVGALLKSYQVLRQDFGSVSVRFGSPIHLKQFVATYKAAPPVDAKTGSTVSLV TDLAYSVTDAMIQRATCTPCHLVATILLMFRNGVSKEQLIVQTNWLRTEIVARGGRVL GSQGRTPAAMTERALTLLGNLIFWRRSNLVEPAITNREQYSNMIGLGYYRNKILHWFY KEGVVACTFHALSTNNNQGSAMASSGCNKDTLLQHALFLHELLAVEFVRKEYVDSRSE LDGALTTLTQRRVFAVEGANATPTVVLTDDSQPTLSLLCAVLWPFIDSYWVAVTSLLA IKAGEGIPPKALVKRMQWLAETMYHDRIIAYYESCSMETLTNALTILTTWGVVQVGNE TKGQLKHKISVIRLTEQYRTGTALEDLASKIGAFRKLPLGGPQSMDLAALVTEFPSLS KL H257_15238 MQQILLLVLIACAALGAPSHPALRQSPAQEPSEALVARFFQIHN KRMGTTQRRLLGKSSPVFLYVEYSSAHHERVVLHMNVLATMHKSLGVALEYRWYYGYS QSVPDYTAANIQSGAIGTKDNFFEYADIVHIALHRAGGVTPMKTPYTTDGPMAFYKLS RAGIYFQFEGVLPSNAYDGGTWAAACDCNITSNKPGLAVMARGGGLRSQPDHPGTNVD YQHTWVAFYNDHSTADMMIYRN H257_15239 MVFSLFFTAALSVASRQPWFCALSDVAAGRAHCYPFRPNESGDM TTHSYEVTIVWLLGHWHYVVLAIAFNLKDPFRESAWTNRLFVWYTAAVGSLLVVLLLW PGNAMATSWFDFETALPMSFCVQLGGSFALTVVAAVGVETGVHLLFERKVSK H257_15240 MSRTALLDVDPRRGLLACDAVTVNIAAMGHTERDPLIKPRDLSV IGTSMSEYVTIAGFRSSTVWFAVYVVVCLASGGVMWVVTTWWPQVYTYVARVRVRSLA IADVVLVRDSGGDMHECAVHVAGQVRSFEFRSQRYLFVHQSGTFERVPAMLFESVGSV FQSVSRGLADSVVSDRTEFYGPNAMNLKSPPVLQLLFQKTVHPFYLFQLISVALWLEE AYVTYALAILAMSIFSIAYEVYTQVTNASQMQALVACSITVQVKRDGRIVLVLASALV VGDIVLVQEQVVPADMVVLVGECLADESSLTGEAIPVTKQSAHDDSNTAVLECHKSGV LYAGSSVVRVNGDNVWAVVTRIGFSTFKGDLFRQILYPDAIPFQLVTDSYRYLIGLSI VAGLTSLQRIYDAVQAQSTLGELVISVFDLISTAIPAALPMILTVGVGFSLARLQHAR LCCLDAQKINVAGHIDCFCFDKTGTLTSDHLDFEGVDVCDGSPVTAHPSDLDLEYALA SCHNLSVDQHGHISGYSLDRDMFAASNYTMDATSHQLTKEPRHEDIKEEACAHVTLTY IRRFAFDAAVQRSSVVVQVSTEPSSLKRVYAKGSPEAIAEICTNVPATFHVMVQQYTA QGLYCMGLAMKRMNTLESTTFRAQVECDMHFLGFLLFVNHVKPESRRVIETLEAGDID VRIITGDNAVTAMHVARLLNMRLTTAMLYLDVSPSDGTIMYQLYPTSMTWLPVGDLTT LLELDFDLTITGAALDVAQASSLNPMVLTQLVEKTKIFARTKPHTKTWIVSRLMSTGR FVGMTGDGTNDCGALKAAHVGLALSDAEASIVAPFTSRDKSIEDVVALVREGRPLWWH I H257_15240 MSRTALLDVDPRRGLLACDAVTVNIAAMGHTERDPLIKPRDLSV IGTSMSEYVTIAGFRSSTVWFAVYVVVCLASGGVMWVVTTWWPQVYTYVARVRVRSLA IADVVLVRDSGGDMHECAVHVAGQVRSFEFRSQRYLFVHQSGTFERVPAMLFESVGSV FQSVSRGLADSVVSDRTEFYGPNAMNLKSPPVLQLLFQKTVHPFYLFQLISVALWLEE AYVTYALAILAMSIFSIAYEVYTQVTNASQMQALVACSITVQVKRDGRIVLVLASALV VGDIVLVQEQVVPADMVVLVGECLADESSLTGEAIPVTKQSAHDDSNTAVLECHKSGV LYAGSSVVRVNGDNVWAVVTRIGFSTFKGDLFRQILYPDAIPFQLVTDSYRYLIGLSI VAGLTSLQRIYDAVQAQSTLGELVISVFDLISTAIPAALPMILTVGVGFSLARLQHAR LCCLDAQKINVAGHIDCFCFDKTGTLTSDHLDFEGVDVCDGSPVTAHPSDLDLEYVAL ASCHNLSVDQHGHISGYSLDRDMFAASNYTMDATSHQLTKEPRHEDIKEEACAHVTLT YIRRFAFDAAVQRSSVVVQVSTEPSSLKRVYAKGSPEAIAEICTNVPATFHVMVQQYT AQGLYCMGLAMKRMNTLESTTFRAQVECDMHFLGFLLFVNHVKPESRRVIETLEAGDI DVRIITGDNAVTAMHVARLLNMRLTTAMLYLDVSPSDGTIMYQLYPTSMTWLPVGDLT TLLELDFDLTITGAALDVAQASSLNPMVLTQLVEKTKIFARTKPHTKTWIVSRLMSTG RFVGMTGDGTNDCGALKAAHVGLALSDAEASIVAPFTSRDKSIEDVVALVREGRPLWW HI H257_15240 MSIFSIAYEVYTQVTNASQMQALVACSITVQVKRDGRIVLVLAS ALVVGDIVLVQEQVVPADMVVLVGECLADESSLTGEAIPVTKQSAHDDSNTAVLECHK SGVLYAGSSVVRVNGDNVWAVVTRIGFSTFKGDLFRQILYPDAIPFQLVTDSYRYLIG LSIVAGLTSLQRIYDAVQAQSTLGELVISVFDLISTAIPAALPMILTVGVGFSLARLQ HARLCCLDAQKINVAGHIDCFCFDKTGTLTSDHLDFEGVDVCDGSPVTAHPSDLDLEY ALASCHNLSVDQHGHISGYSLDRDMFAASNYTMDATSHQLTKEPRHEDIKEEACAHVT LTYIRRFAFDAAVQRSSVVVQVSTEPSSLKRVYAKGSPEAIAEICTNVPATFHVMVQQ YTAQGLYCMGLAMKRMNTLESTTFRAQVECDMHFLGFLLFVNHVKPESRRVIETLEAG DIDVRIITGDNAVTAMHVARLLNMRLTTAMLYLDVSPSDGTIMYQLYPTSMTWLPVGD LTTLLELDFDLTITGAALDVAQASSLNPMVLTQLVEKTKIFARTKPHTKTWIVSRLMS TGRFVGMTGDGTNDCGALKAAHVGLALSDAEASIVAPFTSRDKSIEDVVALVREGRPL WWHI H257_15241 MASKSNYITMASPSATSFETPDGASTPTVAVAKLHRANRIAMIV FLLCSITGVVLWYVFDTPVGHFTRSPPANTAGACHACALLNTTTNAVNRHQSQYYYFN EPICPKALFPTADTDMILCNRAVPCCCPATSDHGGGGTYKLTCQNATECTCKYWNSRG DSTYLTLFVDAALFMFLLVLISIVACIWGCCWSCQVKKLQRSADTVNSV H257_15242 MMHVWPIAAALASAVRAIPLPSCGHWTPDFPTDRHYNTTPTIST DKLNVHLIPHTHDDPGWLLTVDQYFTQEVDYILDTVVTELQKDPNRRFMYVEQAFFQR WWREQTKETKQLVKQLVKNGQLDLSANGGWVMHDEATPHYTTMLDQTAFGHKFLLDEF GVIPRIGWQIDPFGHSSTQGSLLSAGIGFDALYFARMDYQDYDYRKNNKDLEFIWKAS ESRNESIFTGMIQDGYGAPGGFNFGDEEPIKDDPYLHDNNVCRRIQEFAQVSLERARH TKGNHIFWPMGMDMEYQNAVKWFKNMDKLIHYSNQAGQLNVLYSTLGHYTDLKLQDKH TQWTIKTDDFFPYANERFGYWSGYFSSRPTFKKYVRVANGLLQSVRHLEVWTHASHSS VNHLAATVGLSLHHDAITGTEKQLVANDYALRVSEGVESGTARLSQLFHSQSVALCLL ANVSVCAPSATLRPFTFVVYNHLTSVHTYTVTLPFRAENAHVRLANGSTVPSAVVPFV SVYGKVPLKHQAPNTLVVETLLPPLGWVAYHVVPGKENSHPPSVHGHVERENSPPTTP PPSSPSIQAKPMKWETNSSATESTLVSTGNAFVEMTINTATGSLVSLTHKASHTTVHI TSSLMYYQAFAKSDVSFSSGAYVFHPNTSAVHPLPSVTSFECNSQSNDLVASCVFIFG SWGTLQYKLSRHDVSVQVEWTVGPIPIDDHQGKEVILRFDTSIQSEKTWYTDSNGLEF VKRVRDYRETWNLTLHNEEEKVAANYVPITIATYIRDKSNQFNVITDRAQGAASLKDG SLEVMVHRRLLEDDHKGVNERLNETETLEFANNQTPTQGLTVRGSVAISVGPHDPAMA TLRFEMHRRYLSPLVWLTEFNQSTTFPSKTTTSDWANRLPSNVGLTLLEVKCPRCLRL RLTHLFAIHEHSTWSAPATVDFGTVLNGHFIAFDVKEISLTHNRVLHDKVGTTVKLEA MQVRAFEVCDKSHRSHASVYEAQVSHLATVVDETAGF H257_15243 MLHLRCVVVGKGHPFSVAIASNASVRELKTKVFGENLHMTTSVA DDLQLYRVDGLEEGDDGQVLHHGNFVDMTLASLSGFGDDKTNMPATSFLSRWFNTADV AVSARQIHVVVSSFDDLGDQTRWTELNDVLPRRKALRHRGVDSAAISDVSWSDVRAVF DKYTIKQEFPRQAIPAQAMDALDIYLKMIAMSFGPIDASSSDATTRKYFITPIFLHVA SAAGANMVLDEEVRGMRVRMHGRLDFVLVRGVTRICLVQTTDGDMTQAMADVLLACEA VADAEDAAVVYGIATDCLSWVFVKRERSHILTAEMSVQVGDDRHLPPESVQRVAETIH AMLMVMN H257_15244 MVKVWSAVAAATAATAGAHTHRPLECDHWHPRYPSDGHYNTTAS ISTDKLNVHLIPHTHDDPGWLLTVDQYFTQEVDYILDTVVTELQKDPNRRFMYVEQAF FQRWWREQTKETKQLVKQLVKNGQLDLSANGGWVMHDEATPHYTTMLDQTAFGHKFLL DEFGVIPRIGWQIDPFGHSSTQGSLLSAGIGFDALYFSRIDYQDYEKRKKDNTLEFMW KPSASRNDSVFTGVMQDGYGGPRGFYFDQDAPIKDDPYLHDNNICDRINTFVDQSLAR AQHSQGNHIFWPVGEDFKFQNAVKWFKNLDKLIHYTNQEGRVNVFYSTLGNYTDVKLQ DKSLQWTTKTDDFFPYADRANGYWSGYFTSRPALKRYIRVANSLLQTLRHLEVWAGKT TTGVHQLAASVGLSLHHDGITGTEKQAVADDYALRLSEGVAAGTARLNDLLRPFSSQP FALCLLTNMSLCNTTDSDPFTFFVYNPLAVARSYTIELPIIAKNAAVELANGTAVPSV VVPFVPVYSQPIANAAPHQLVVQAHVPPLSWLVYHVTFPKASSSEESTNGWDVVTESI MSAENEFVRVEVNTVTGSLVSLTNKATQTKLNVTSSLLYYQAYGKQGDSCSSGAYLFH PNTSAVHNLPSVTSFKCQKTVLLASCVFQFGTWGSLQYKLRAWDHSVVVEWTVGPIPI DDDKGKEVILRFDTSIQSEKTWYTDSNGLEFVKRVRDYRETWNLTLHNEEEKVAANYV PITIATYIRDKSNQFNVITDRAQGAASLKDGSIEIMVHRRLLFDDHKGVGETLNETET VEFANQKKTTTQGLTVRGSIALSVGPVHSAMEFLRVEVHQRHLDALVAVTKLNPQLHL PSNPSVAPRLPRNVGLTSMQIVASTSCLVVRLTHLFAIHEHPVWSTVARVDLNDLLGG HWCTYRVTEVSLSANRIIGHTQNSTLVTLEPMQVRAFQVCEGHNTMNATAVYDPFVTD LAAQDIPIDSDMQAQV H257_15245 MLSALVPAVLVAMLLQGTDSAVHKTPPLRECDGWTPRYPVNAAY NTTFHGYADDVVNVHLIPHTHDDAGWLLTVDEYFTEQVDYILDTVLVELHKNPDRRFM YVEQAFLRRWWREQSNATKESFKQLVTNGQLDLSANGGWVMHDEATPHYTTLLDQTAL GHKFLLDEFGVIPRIGWQIDPFGHSATQGSLLSSGIGFDALYFARMDYQDYAKRKLNK DLEFIWKPSPSRNESVFTGMIQENSYGAPPGFYFDENPPVKDDPYLHDYNVCDRVKSF VELSLQRAKYTKGNHIFWPMGEDFKFQNAVKWFKNLDKLIHYTNQEGRVNVFYSTLGN YTDVKLQDKSLQWTTKTDDFFPYADRANGYWNGYFTSRPALKRYIRVANSALQALRQL EVWHGSPVSSLNHLLASVSLTMHHDGITGTEKQAVAADYAQRLAEGLADGQDVLNSLL SNYASSPPWSFCLSANVSICGPTTTSTTTSTTTNFSFVVYNPLPVAHTFAITLPVTSS PSSTNDPLVVRLANGSIVPSAVVPSVPVYSGHSVVSTASHQLIVQAAIAPLTSQVYHV VAESSSETQNSNQVTKDALPSSSWSVVRGSVVTASNDFVQIQVDTATGSLVAITNKAT HTSIKVHSGLFYYQAHATPGDPTNSGAYIFHPNTSTVHPLPSVTSFKCQKTTVLASCV FQFGRWGVLEYKLHPWNSSVQVEWTVGPIPVDDLQGKEVILRFDTSVASKKTWYTDSN GLEFIKRIRNHRDTWELNVNSAEEAIAANYVPMTLATYIRDTVSQFNVVTDRAQGVAS LRDGSVEVMVHRRLLEDDHKGVNEHLNELETLSVGDNAVVIQGLTVRGSVALSVGPLD AAMERLRLDMYYRYLTPLVAVAHGHIVPSSSHDHHSWIDRLPLNVGLTSLEAHSPKCI RLRLTHLFAVDEHPVWSQPATVSLATLLGPSFESFSTVWEISLTGNRRSVGCWGLLRR RPR H257_15246 MVKVWTAVAAAVVTYAGAQGHARPSFECEHWKPHYPFNGHYNTT TAISTDKLNVHLIPHTHDDPGWLITVDQYFTQEVDYILDTVVTELQKDPKRRFMYVEQ SFFQRWWREQSKETKKIVKKLVKNGQLDLEANGGWVMHDEAAPHYSTMLDQTAFGHKF LLKEFGVRPRIGWQIDPFGHSSTQGSLLSSGVGFDALYFARIDYQDYGKRKDEKNLEF LWKPSASRNDTVFTGMLQDGYGGPGGFFFENDSPIKDDPYLHDNNVCERIKTFVDHSL ERAKHTKGNHVFWPMGTDFQYQNAHRWFKNLDKLIHYANQEGRVNMFYSTLGQYTDLK LQDKSLEWSVKTDDFFPYADQPNGYWSGYFSSRPALKRYVRVANSLLQSVRQLEVWAG AKSTRVNHLVATVGLSLHHDGITGTEKQKVSDDYAQRLGEGVGNGHWRLNELLDTTVD FCFLANVSICNRSTTSDPFTFVVYNPLAVAHSYTIELPIIAKNAAVELANGTAVPSVV VPFVPVYSQPIANAAPHQLVVQAHVPPLSWLVYHVTFPKASSSEESTKGWDVVTESIM SAENEFVRVEVNSVTGSLVSLTNKATQTKVNVTSSLLYYQAYGKQGDSCSSGAYLFHP NTSAVHNLPAISGHNCLKTPLLASCVFQFGTWGSLQYKLRAWDHSVVVEWTVGPIPID DDQGKEVILRFDTSIQSEKTWYTDSNGLEFVKRVRDYRETWNLTLHNEEEKVAANYVP ITIATYIRDKSNQFNVITDRAQGAASLKDGSLEIMVHRRLLLDDNKGVDEHLNETETL SLNNNQKLTQGLTVRGSFALSVGPLALATEQLRAEMSRRYLNPLVALSAHNASLTPPT SSWAPRLPFNVGLISLEVVNGHTIRLRLTHLFAIHEHPQWSKEATVDLLVLLGSQWSV DGVHVTELNLSGNRVVGPIQGTTVTLQPMHVREFEVTTKKAKASTVYDGTVTSLADAG NDNDDDDVEGDF H257_15247 MLLHLVLALVVLYFLLLAAPMWWFEGWWNWISRWRLRPIGHATL LATDSNHAPILTVLMVVQGAWSVDAVASLVSKRMAADSKFFSRFCSRVRVDSDDFELV PGFDPATHVTDMPLDHRHETPLQFAEFLATQDLDIAVPLWTCHVLPVRDNQTFILWRI HHALADGASVYAFFARLSDAPLHEYIPPRARPPDEVEVSTWHKIRQQVHRVVQSLWLY SIKSMRLALVPEPRTRLTQPSGRSKRLFYTTNYSVTATKGIARHLGMRATVNDVFLSC ITGALRTMLMEEASMDLNEDEKRERAAVVDPSCVIRAGISVDLRPPWELPAEPDNQFS CLLVELPLGEACPVRRLRRVARTMNDAKYSLERYFTYGISMMLAQLPPRILAKAVAYL TSHASVAISNVRGPPHVMYFGGHALESLNGYVPPPPHVNMGIAIYSMADALGVSVQVD ANVFRRPERFLVYLKREYVELQKRSAAMHTTFHEVDAD H257_15247 MLLHLVLALVVLYFLLLAAPMWWFEGWWNWISRWRLRPIGHATL LATDSNHAPILTVLMVVQGAWSVDAVASLVSKRMAADSKFFSRFCSRVRVDSDDFELV PGFDPATHVTDMPLDHRHETPLQFAEFLATQDLDIAVPLWTCHVLPVRDNQTFILWRI HHALADGASVYAFFARLSDAPLHEYIPPRARPPDEVEVSTWHKIRQQVHRVVQSLWLY SIKSMRLALVPEPRTRLTQPSGRSKRLFYTTNYSVTATKGIARHLGMRATVNDVFLSC ITGALRTMLMEEASMDLNEDEKRERAAVVDPSCVIRAGISVDLRPPWELPAEPDNQFS CLLVELPLGEACPVRRLRRVARTMNDAKCMNSSYPDTHGVMFLVDSLERYFTYGISMM LAQLPPRILAKAVAYLTSHASVAISNVRGPPHVMYFGGHALESLNGYVPPPPHVNMGI AIYSMADALGVSVQVDANVFRRPERFLVYLKREYVELQKRSAAMHTTFHEVDAD H257_15247 MSCRWWNWISRWRLRPIGHATLLATDSNHAPILTVLMVVQGAWS VDAVASLVSKRMAADSKFFSRFCSRVRVDSDDFELVPGFDPATHVTDMPLDHRHETPL QFAEFLATQDLDIAVPLWTCHVLPVRDNQTFILWRIHHALADGASVYAFFARLSDAPL HEYIPPRARPPDEVEVSTWHKIRQQVHRVVQSLWLYSIKSMRLALVPEPRTRLTQPSG RSKRLFYTTNYSVTATKGIARHLGMRATVNDVFLSCITGALRTMLMEEASMDLNEDEK RERAAVVDPSCVIRAGISVDLRPPWELPAEPDNQFSCLLVELPLGEACPVRRLRRVAR TMNDAKCMNSSYPDTHGVMFLVDSLERYFTYGISMMLAQLPPRILAKAVAYLTSHASV AISNVRGPPHVMYFGGHALESLNGYVPPPPHVNMGIAIYSMADALGVSVQVDANVFRR PERFLVYLKREYVELQKRSAAMHTTFHEVDAD H257_15247 MSYTRTTCVIHLVHRRAWSVDAVASLVSKRMAADSKFFSRFCSR VRVDSDDFELVPGFDPATHVTDMPLDHRHETPLQFAEFLATQDLDIAVPLWTCHVLPV RDNQTFILWRIHHALADGASVYAFFARLSDAPLHEYIPPRARPPDEVEVSTWHKIRQQ VHRVVQSLWLYSIKSMRLALVPEPRTRLTQPSGRSKRLFYTTNYSVTATKGIARHLGM RATVNDVFLSCITGALRTMLMEEASMDLNEDEKRERAAVVDPSCVIRAGISVDLRPPW ELPAEPDNQFSCLLVELPLGEACPVRRLRRVARTMNDAKCMNSSYPDTHGVMFLVDSL ERYFTYGISMMLAQLPPRILAKAVAYLTSHASVAISNVRGPPHVMYFGGHALESLNGY VPPPPHVNMGIAIYSMADALGVSVQVDANVFRRPERFLVYLKREYVELQKRSAAMHTT FHEVDAD H257_15248 MKKRSSDAEDSATLLDAPATCPVDDNSGPVCVGSVDMLRCGGSG ITSVLFLPSEFRSIRLYRAATWWKQALYSVLCVTSFGVLPLLAYYMPLLHAACTLTPL SSGVFATVVLVQRRDSTGSWEAVPVQRTPTTSADDGVNPPEQLIWFTFRKHRYMYDDS TTSFRRISATLYGVTSSDALRSRLTSGHSDEMAAALLRYFGRNALEIALLPAHHVLME KLLHPFYLFQLTSVALWLYEAYWSYSILILAMTVGSVGYEVATQLLNTRKLHNFMHMD DVVVHVRRNHQHLCVPATALVVGDIVELDKGGAVVADMLLVEGACAMDESSLTGEAIP VNKHAVAVSAVDFTSSSCKASLLSAGSTVVRLDADTCKAVVVAVGFHTSKGDLFRSIV CPKPIHFQVEQDSYRFLVALSTLAVLTGIKNSYDAARHGLGWMRVVVSCLDIITIAVP PALPLMLSVGVGYSIHRLQSMGIFCIDGPKVNLAGHLTCFCFDKTGTLTTDTMSFEGV DVVVQRTTTTSTQEPQENKANHSEVIEASVEFAGLAPLLDSSTPSTMLHAAGTCHGVT QVHGVLVGSPLEVSLFQASNHIWKDAAIECRTSGRRFEYAQKFAFDSVLQRSSVVLKD GTVFVKGSPEAVAEVCMSSTLPLHFQATVHNYAKQGLYCMGLATKQLAQQRGGLQRSH IEADLTFVGLLLFTNPIKPNSPALIGALEAADIDVRMITGDNALTAIHVAHAVTMQLH PEVVVLDINHRQTDDDDDDRCNVVLHHVSSGVVQQFSMDAFLLLGTSVDFAMTGAALE WFQAHGAGDLVVLVENIKIFARIRPDQKAYLVETLMAQGKYVGMCGDGTNDCGALKAA HVGLALSNAEASIVAPFTSRRQDVMDVLTLIREGRCALTTSFVGFKFMVLYPVTQVIM TSTLYSVLVSLGNNQFLLDDMVIVLGLSMLMLQSKPTHAITSHQPATTLFARSIVWSI VGHVVIFLACFSIVWSHAMHAPWFCSVETAASLYATTDATHIVNSTCSYNYQPPMGDG YTRQSYENAVVWLFGHWEFVIVAVAINLKDHFRQPVWPQNKLFGGYVVGLVVVLTCLT LTQNPSILGWFELVELPEAFRWYMLSMVALNGLLSLGWEYVVTRYLDKGSGPQAITTR LPHIRVV H257_15248 MKKRSSDAEDSATLLDAPATCPVDDNSGPVCVGSVDMLRCGGSG ITSVLFLPSEFRSIRLYRAATWWKQALYSVLCVTSFGVLPLLAYYMPLLHAACTLTPL SSGVFATVVLVQRRDSTGSWEAVPVQRTPTTSADDGVNPPEQLIWFTFRKHRYMYDDS TTSFRRISATLYGVTSSDALRSRLTSGHSDEMAAALLRYFGRNALEIALLPAHHVLME KLLHPFYLFQLTSVALWLYEAYWSYSILILAMTVGSVGYEVATQLLNTRKLHNFMHMD DVVVHVRRNHQHLCVPATALVVGDIVELDKGGAVVADMLLVEGACAMDESSLTGEAIP VNKHAVAVSAVDFTSSSCKASLLSAGSTVVRLDADTCKAVVVAVGFHTSKGDLFRSIV CPKPIHFQVEQDSYRFLVALSTLAVLTGIKNSYDAARHGLGWMRVVVSCLDIITIAVP PALPLMLSVGVGYSIHRLQSMGIFCIDGPKVNLAGVDVVVQRTTTTSTQEPQENKANH SEVIEASVEFAGLAPLLDSSTPSTMLHAAGTCHGVTQVHGVLVGSPLEVSLFQASNHI WKDAAIECRTSGRRFEYAQKFAFDSVLQRSSVVLKDGTVFVKGSPEAVAEVCMSSTLP LHFQATVHNYAKQGLYCMGLATKQLAQQRGGLQRSHIEADLTFVGLLLFTNPIKPNSP ALIGALEAADIDVRMITGDNALTAIHVAHAVTMQLHPEVVVLDINHRQTDDDDDDRCN VVLHHVSSGVVQQFSMDAFLLLGTSVDFAMTGAALEWFQAHGAGDLVVLVENIKIFAR IRPDQKAYLVETLMAQGKYVGMCGDGTNDCGALKAAHVGLALSNAEASIVAPFTSRRQ DVMDVLTLIREGRCALTTSFVGFKFMVLYPVTQVIMTSTLYSVLVSLGNNQFLLDDMV IVLGLSMLMLQSKPTHAITSHQPATTLFARSIVWSIVGHVVIFLACFSIVWSHAMHAP WFCSVETAASLYATTDATHIVNSTCSYNYQPPMGDGYTRQSYENAVVWLFGHWEFVIV AVAINLKDHFRQPVWPQNKLFGGYVVGLVVVLTCLTLTQNPSILGWFELVELPEAFRW YMLSMVALNGLLSLGWEYVVTRYLDKGSGPQAITTRLPHIRVV H257_15249 MDTSLAAFESCQHASLTASPWTVPVTFCLAVSVLLSYLFPFLVS KPPPTNRRLKRRLTTTGLTTLLTETDTNLSILFTITVLDKIVSKETLVAQLRSRLEPA FFVRFRSRVVGRDFCLVEDFDVADHITVHQLDTSQDVHEYAETLNNVPLDMAMPLWKL HMVHVNDQTFLLWRLHHCLGDGQSMSMFFLKVCDNGYAVLEKLATHALAPPKKPSDGR RHHTSIWRSISIQCIHVLETVGLVLWSIALYMRKIVCMMCIAESSQYFKQPGHTTKRL SYSLALTVTDTKALGKHMAASINDVMLSCVAGALKHMLPETDRHHPRMFLRAAIPINM RSVYDPFLTTSNAFSSLLIDLPVGEPNRIKRTEMVVQAMAEAKTSLERVFTLGLTKIM AALPVAVMLPISRMFTSRVSVAITNVRGPSDELYLGGAKIVQSIGFLPPPPSVNVGIA ITSIGNTLGVTVATDKSIDAPKLMAAIEAEFIALQASCAAILTADDKKHV H257_15250 MTTCTTIHKSNHHHATKPPALLLSCIFNGCNRPAALSQDSTVKC AFHWHRARCLASPTCFNQVFARHRCVRHGGRKQCSVDGCYLNARLGTVCSKHGASAAL RQCTYTGCTTRASARKKCIRHGGGRACRASGCTAHARTRGYCRKHSPRLVDQVADLTD DDAHSATSATLALHKAEVDEMANVLIKEEVLLIDEPIDFAHPLDPNAVDGWWWIEPDV ASELLELLTVQDVHKVEWKL H257_15251 MQQQQAPHEVAESCFLQLYAEAVRSMVERHPSTAEKAPEDAWAP SDDLTAKLEAFGYDVGYRFVERFSRDRPRLLEPLDVIKFLCKDFWIHIFKKQIDKLQT NHRGVFVLQDFNFRWIQALSGEHDAESKQKALVFLVFPCGLLRGALANLGILAIVNAD LNAVPGCVFNIKLR H257_15252 MVVAGEVRPHQNGQLIVFDDSKLHYAFNKHPTANRCVLIVDVMR PATVPKGKAVGGHTDELDRFIEQYNASLVQPDDDE H257_15253 MAAAAPPTLDKASSYDDLYASEAVSKGVLQYVNMGLHPVDNVSL GNPKTHDLVQPQQQQLYLALLTLPRVQQLVERAASEQRSLHVLDVGCGTGASLQLIQT VLRAFSPSVPIVVVGIDKSHVAVKQHKRLFYGKHDKNHRGVLHYDIEHQRMSAFSRHR FDIVLGVQSFHEMKANPDAAIAEVARVLKPQGVLLAADFYSKNQPFMTDFLGKLRHHP SFDLHFAQDVSHSATIAAKLTSIKIVEALTQDEIVQFASLLTLKKSPQYEAVRLGSVQ FGLVGYHSVVEIEDDVILHDHVIDDVINDQASDDDIDDIDDSMNPSYYDYTYVQP H257_15254 MVFVAPTLQPEHGYIILVVVLVAFVNLWAGFKVGAARRLYGIAY PQMYAETKDEHFLAFNCVQRAHQNVLENLPTFLSLLFVSSVYRPLWAAIAGLVRVIGF IVYIHFYSSGDPAKRQYGAFGYIGLLTL H257_15254 MVFVAPTLQPEHGYIILVVVLVAFVNLWAGFKVGAARRLYGIAY PQMYAETKDEHFLAFNCVQRAHQNVLENLPTFLSLLFVSSVYRPLWAAIAGLVRVIGF IVYIHFYSSGDPAKRQYGAFGYIGLLTLVGLCFEAAFRLITE H257_15254 MVFVAPTLQPEHGYIILVVVLVAFVNLWAGFKVGAARRLYGIAY PQMYAETKDEHFLAFNCVQRAHQNVLENLPTFLSLLFVSSVYRPLWAAIAGLVRVIGF IVYIHFYSSGDPAKRQYGAFGYIGLLTLVGLCFEAAFRLITE H257_15255 MAPLIAPTFQREHGYIILVVVLVVFVNFWAGFKVGAARKRYNIK YPQMYAEKGDKNFVEFNCVQRAHQNVLENLPVFLALLFVSSAYRPAWAAIAGLVRVVG FVVYVIGYSTGDPAKRQYGAFGYLGFLVSLGLAFEASYRLISA H257_15256 MRSNANLLAKGRSPKLKINVGEMHAQYVPGCGFGVNKQAFAFVV QNCLANNDRAVDLCEFDVQNVDGGTLQYISNDISQSMTEKKMPIWHLLFGHMSWLYIF DSLGIFRKNWRHPDISRQTYGVKYYTRT H257_15257 MVWLTQLLYRNIDYILQKYTLSTETLNQVVSRELVDVSTINDAL DVWHLQVGIPAYLDIVAPVVRRHVLGRFNSVDMSHAQVFSIEPEVLCCGPNVLFGC H257_15258 MLDVPRSGHAVTVGSTHKIQYHRIASTTLYREALGSGDPLRHPC NQYAVVASCACFPASPTTCLHRERWRCRAVAAPGRRRRRTMVRHPPHLSFLFKLTHEP SQVADLLLLLLP H257_15259 MKNIFRSFEAQRPSLPSTPLPSTRPQQYQPSLHLSKADISAMFL LDVQQPDHHRRHSLVHDERRRRHSLHPPRPASNLRSNKEKLPYEMYGDNLVDDVHMSK KPQHHTTSLANRPKVVLFDATIFTDSESRTDQSSMRHSMPSQFCY H257_15260 MGKFIVVGGGPVGCLAALQLAHQGHTVTLYEGRGHIPTDPSQSY PIGVNPRGLHAIESVAPDVAQRIRSEGHVIAAWEIYAGPRRVAKQVSGVVYGTSRGNV NLHLWNACTKCPHAITLRMNHRLQSMDFAAKTLTFETTDGSVVVVDAADARVVGADGV HSTVRTYMQQADATFFVTVTPWANEYRVLFGRVGQPAPGLDPSVHYIFSGGYTATIDN GGAKQWTLVTTMRDSDDAGVSSSQLVRETDASEANVAKLKAWIHSIAPAMVDVLSPDE LVRYFSRRTYRGAVVECSKFDVDEWVVLVGDAAHSVLPPTGEGINSGLEDTLVLAAAV GCTAGASSCFAAYTKSRMPDIAALWTYATHLNASPSFLGERLARLVFLIAESKSHHSI GQSLFGPMGIHRWPYKRIVDNWAWRRLLWINAARVLTYPLSVVAWVLCLPFKLARSTP TFATTKSAFKLQRGVV H257_15261 MSKVAFVTGATGFLGQNLVNSLLEQHWTVIAFVRATSVTAPLTA RGGVTCVVGNMLDAASIAAAMPLDVDAVFHVAANTSLWVATHRLQYEENVYGTKAMCD AAVQRRAKRFVFVSSISAYGYVPHVLVETTPDDGRRDYSMNYSRSKRDAEVVVRDAIA SHGLDAVIVNPGQIVGPHDTKNFSRIFLMLAKNRLDGIPPGMGSFAYAPDVADATIAA AERGRVGENYLLGGETASYRDLIVNAATLMGKKETRRPLPRWVVLLLGRLYDLYSVYW SHVEPEISYDVAYEVCATSIVDSTKAKTELGYQTRTLGEILPPTLQWLESNGHFAT H257_15262 MGIINSVQAIKIRRNGELVLLAQYRTFLVEKCPDFAFVRCKITP AIQHRVLANWEAIACGHTPAMRAKGHSSPVVYFYDSFYTRLFEVAPEVRSLFRSSIIV QGKALINIVQSIANGVNSADAIANVVELAYRHNQYGVKMQYYNVLGRVLLEVLRDCTG HELWTNELDVGWRTVYAYMMTTMAPILYHGVTHPTERDKAMAKRGRYRHNMKRKRYSS VQPVAVSLNSLLPESSASECPVKQLAWREPPS H257_15262 MGIINSVQAIKIRRNGELVLLAQYRTFLVEKCPDFAFVRCKITP AIQHRVLANWEAIACGHTPAMRAKGHSSPVVYFYDSFYTRLFEVAPEVRSLFRSSIIV QGKALINIVQSIANGVNSADAIANVVELAYRHNQYGVKMQYYNVLGRVLLEVLRDCTG HELWTNELDVGWRTVYAYMMTTMAPILYHGVTHPTERDKAMAKRGRYRHNMKRKRI H257_15263 MGIKYSVQAVTRNADGSLSLHSKYKRFLQAKCPDFAYTACLITP DITRLVMANWDSVANGTALGMKGIESPVVYFYDNFYNDLFVEDPSTRPLFRSSIIVQG KALINIVQSITHAVNSPQAIERVVDLAYRHNKYKVKMEYYNTLGKVLLTSLKRCSGGD AVWNPPLDLAWRTVYAYMLTAMTPIVYHGHTAPSNKDKEMAKSGRFIHTMTFRAPKAV QPMNAGGGGQCPVNFAQVSPTGGVLQCPVQPSHR H257_15264 MDGDDTVEFHAMGLFDKETKFGLDPRWLRRNNNNLGYEHQLNQI KDVLKTHDTQHNLFPLAFYRHVKPPVSPPEKFRHRPEMVAGKKVPFTVAMATRGRHRM TRLGAIPEGENKDACRTCKRKPSRHPRMIRVGNSFKLIA H257_15265 MPRGPNITEQEKGQIMAFLQLKKSYRYIAKAIQRSNKLVRSFVR SIGQPVPQKMHGRPFKLKRRDVRRIFRLATRKNMSCKQISAALASKFAKYIKRRSDGP DGCQYYWHDLLQDVETYSKRVAGGGSVMVWGGMSFYNKTSLAFLEGRQNSRKYQETLR SHLLPAMRELVGLVPNHEAIFQQDNAMLMTIDHRVAGAGGVEVIDLLSSSSDDEDKCG APAHSMGMPVEVVSLSSSSSSSSGDESPQPYHRNKSHQAYYLRAPLSQQHPTSTKRQA EVKDPYSFLGQPTMRSHRRRRLTPTSTSPPQPSHEQLSPPTVTPSFNLSPPSSPSSPL SPTLLHASPDLVVSSQQPTPDETTSPPPPPIPLSNDPEARFVQVVQVAYSIQRHISDY TYLAPTFTIPRQHPWPLAWAGTVVNVSACREAYRHGQLHSHTVAQATACHFVWDDDEA ALQWKVHLAALYAYKAKYGNLAVPPSFQVPPCNTHTTEMLPPPPELDTIWPKDASCLP LGRLAQALRAAPRGSLSQSQRDQLDHIGFLWDERQVTWTNRLIALKTFKHVHGHMHVP TLFVVPDDDSQWPTPTHGLPLGWIVASLRQHKRTLLPEQVQLLTALGFVFTPPQYHLG LARKSPPHTLPRTYPRNLVADPRVPVAKTITAHSPKRADVFTYCNVDADMYYLGAYAT ARFPMFGARALPPTVQWTDYGEVVREDIWAGQHGQ H257_15266 MGVLKSVAVSGVSLALALALTVPTGLTRHVLFERYFDLTGSSTF VTVAGVTAATTLSSASSQLSSSSYRSVLASAMVARWGLRLGWFLFSRINADNGIDSRF TELRTDPLRFLSLWSVQSMWVLITTLPLVLLHGASLATSSPAAAEWTLTDFVGLALWV FGFIVEITADAQKREFRRDSSNHDKFIATGLWRFSRHPNYFGEIMLWVGMAVLCVPHL ATFAHKLLGCLSPLFVTFLLTRVSGIPLLEQSADNKWATHPAYQTYKATTNVLVPWFP KAAK H257_15267 MDGHDAAAPTTRKTRQGTKLQGTSSAPASFSSTASLLQFVRDEQ QVRLVHSRQLVHDAAVRAVYRRVRSDLISLSSTTPPPPSSIRPPPLSASPAPPTWWPV AALTPFDTFELQRDVLWCWDCLYQFADVLRFRSVVPLSVFCHAMTLSDQSAPQGDSVA DETSHGRLLATLHMLLLDVLLDEFTPYLQLTVAEYKAARPLNLVTWPEVARQMFMIAW DVDKGDVVDGHAIKLLKGVKTSVESMVGPWRDTLTMKGESILSNSNEKTPGKPSEQLP PTQELHGVGVIISDQVDSSSWQRLGLVLGMTKEDRYTVLDVHKDAHPAIKTYVAAGDV LRCVNGVDVGRLDHEAFLALEPLASPVGLIFSRGHGDFVASHVKPKPPALLPPLARCA NVLKILRGKDAAVPFNFPVDADMYPDYYTLIPEPMDLSTVEDKLLGDEYDAVDGFVDD VHLIWKNCFAYNGHAAPIAAMARKLSATFDRLVREYIRDDASPKHQSVTLFANEDACR ICHHAQLCTDRLLLCDRCDGTFHTLCLRPPLSDIPVGEWYCPTCKPKVAVERTNGRWH HLDGEEMIGQEEDEDEDEDDSKGIPYLIRLLSKESYMELAVAERVAVFKGLCELVQKC SSVQRVVHLLEDLAEDERAELGCSYAEVVREWERFGYTDDSNETAADVTKPRDSITLD GVARPLTDALLVHLKEKCLAELEDRAPPPPFFLDDSPLGQEQTKLLPSVPEIPLELPD NSPITMGSSGGQQGDDVIAEKTQATATTPRFQDDNQATTTSNNSDIEDSDSDDIEASV LETFGDIQLATRVSSSSHASPRPPSPTSSSMCYCCHLTDTDHALGDVVPGMLAPVTRQ IGCDLRIPPAIAQQVTSLCTWTSPLSAPFEFDVDEHGQLVVGQSVADTCLLNQGDVVL ALNSTVVLETQQEDFDDPATFVSYADTFMASLPRPLIVLTANPTATVDSRQFHTFQLP SSENEEEEAVKCPHVSMVSDTLCQVMYPATGFAAVTGYIYPYDIIHAINGIRITSVDQ VHALWQPRALVCIFRHPLDRGEEYATRLLSNGAPSDVRASVWRPKSYAMTFHDGPLGL ALELDAHLVVVRSLTAVSQATHTVQSGDIILAINETPVGRLNDLTEFTDTVRSLSRPV SFRFYRPSLPLTTSSTTTKNAIRVTVGDILVAATWLECDKGLVVLSCSSSRVFQVGDT LTHINGVAVVGMTRAWLHDTLGVLPLYDQLLVVVRPPPVPDVPVQVHPQCAATWNQAM IRGTALRQKWTAATALETFLKSVAPRTWPVGPYLKFPGDPNVLYKHENKTWFATTQIA AVVASEESDAVATALHFAFQSRPPTPSLGPFSMRPHFTVTPSNQHESFVMLHGRQYFL GTFASASDAQTAYDQCQQRYDTRQLLLAPYSTTTFPRVALPSFKAEDVLKRLHVRRGP SCTSSSHHPLSAEMKYLVRYNIRAHRPKPSPPPTLTSNNEFVNHQGQQLQQQYHGAST KKRPLPDADTASSPYPITTTRTTSTNTSLEAHFKSLQELKTSIKQAWGSVQTSQNAQS CAHLSDALQSTHHTLTYVAQHLAKHPLDVATPLTFVGVHHASVLCLLTKSMSESLAKT SQMTLADLQLMHMCGDNLLWSIEACVTPSLHHQVMSYCVELASKLPTMLASGLLSAQI KATALTMDSFFNSSKYLAEPASSSVSCRPLFAMLNNHHTATATNHDHHSHQPHQHSAI IQPLPPSLTQELWKLHTCQGQFDKMLKKLKIPWPPPQAAHPRALAATTSTTSNPSNQS VAASPTNRSLSSQDSIVSFEAGPLGIIIQQEDNNNVITVASFAAGDQGQALRSGKVAV GDVIVAVNGDPISTIGIAGFKRAVSSGVRPLLITFRRRQHIVRTASSKQPPKAKQLPR KRAKPSPPPLTTTTNRPSTTKSVAQLPPRPPFTSTTSPYTNNNQQGTATSSQHNFAIQ SNEAMGSNATLEYFSRELLVEPTTQQEPLPSHTVDGNNPFNVVHHHTTNIVPQQHNQQ ASEYQYPQPPSSDPASSMWAAAHATANAALYASNPMYYSMYNHSMQPPTTTPTTTMDG GGNGGVVGMLMQDQSTSIDLLMHPPLYVVSTTHDDQEQPQPDLQQYFDPQYYTPPSAF RSGPAGVAFISQVDEEPPLTASPVGQDATPINSSTTTSEPDLTTTTPIPPEPVVSSPA SLASTPPASNALPTPSSSPPQLLNTPQSKPKQQPPAAVDNSSSTVRSTGRRSSRVSRK PEPLVSHTSATPSAMAAPTLHIPGAGTQGEFATDSLPVSKATTSSSLAFSLVQAQLLA IEAALPRDAFRHNKWTPALRTGWADLIVHATSSRALLEALLVLEATIENEYLDPAFKA QSSLTIKMLLPTATIASAAMRLYALDDALSYVKSVKPRMSSSSYKRKLQATNSSSAGS IGTRRSTSTSTTSSTSPDDVPLLPPCPGLTDPVLSRLAMQKLRLALTNTPPHELCRRT LGELGGLTRLPSLILERCTTTSTVAPPPLKKVKGSRGVGRPPKQIEYRFEPQQQQSSI SPALLKDPTLKDRFIAVLQTLQTKAAVAAPFLKPVDPDEFPTYRRIVPYPMDLHTMLQ RVQDGVYDNRLQHIPIDMSRIWTNCFAFNSVQAEISTLARRLRSIFQRLMEEYVVLAP AGTLPEDLICDDACRVCRAEAQEHVMLLCDSCDAAYHSLCAGLDEVPTANWYCTRCVE NPELK H257_15267 MDGHDAAAPTTRKTRQGTKLQGTSSAPASFSSTASLLQFVRDEQ QVRLVHSRQLVHDAAVRAVYRRVRSDLISLSSTTPPPPSSIRPPPLSASPAPPTWWPV AALTPFDTFELQRDVLWCWDCLYQFADVLRFRSVVPLSVFCHAMTLSDQSAPQGDSVA DETSHGRLLATLHMLLLDVLLDEFTPYLQLTVAEYKAARPLNLVTWPEVARQMFMIAW DVDKGDVVDGHAIKLLKGVKTSVESMVGPWRDTLTMKGESILSNSNEKTPGKPSEQLP PTQELHGVGVIISDQVDSSSWQRLGLVLGMTKEDRYTVLDVHKDAHPAIKTYVAAGDV LRCVNGVDVGRLDHEAFLALEPLASPVGLIFSRGHGDFVASHVKPKPPALLPPLARCA NVLKILRGKDAAVPFNFPVDADMYPDYYTLIPEPMDLSTVEDKLLGDEYDAVDGFVDD VHLIWKNCFAYNGHAAPIAAMARKLSATFDRLVREYIRDDASPKHQSVTLFANEDACR ICHHAQLCTDRLLLCDRCDGTFHTLCLRPPLSDIPVGEWYCPTCKPKVAVERTNGRWH HLDGEEMIGQEEDEDEDEDDSKGIPYLIRLLSKESYMELAVAERVAVFKGLCELVQKC SSVQRVVHLLEDLAEDERAELGCSYAEVVREWERFGYTDDSNETAADVTKPRDSITLD GVARPLTDALLVHLKEKCLAELEDRAPPPPFFLDDSPLGQEQTKLLPSVPEIPLELPD NSPITMGSSGGQQGDDVIAEKTQATATTPRFQDDNQATTTSNNSDIEDSDSDDIEASV LETFGDIQLATRVSSSSHASPRPPSPTSSSMCYCCHLTDTDHALGDVVPGMLAPVTRQ IGCDLRIPPAIAQQVTSLCTWTSPLSAPFEFDVDEHGQLVVGQSVADTCLLNQGDVVL ALNSTVVLETQQEDFDDPATFVSYADTFMASLPRPLIVLTANPTATVDSRQFHTFQLP SSENEEEEAVKCPHVSMVSDTLCQVMYPATGFAAVTGYIYPYDIIHAINGIRITSVDQ VHALWQPRALVCIFRHPLDRGEEYATRLLSNGAPSDVRASVWRPKSYAMTFHDGPLGL ALELDAHLVVVRSLTAVSQATHTVQSGDIILAINETPVGRLNDLTEFTDTVRSLSRPV SFRFYRPSLPLTTSSTTTKNAIRVTVGDILVAATWLECDKGLVVLSCSSSRVFQVGDT LTHINGVAVVGMTRAWLHDTLGVLPLYDQLLVVVRPPPVPDVPVQVHPQCAATWNQAM IRGTALRQKWTAATALETFLKSVAPRTWPVGPYLKFPGDPNVLYKHENKTWFATTQIA AVVASEESDAVATALHFAFQSRPPTPSLGPFSMRPHFTVTPSNQHESFVMLHGRQYFL GTFASASDAQTAYDQCQQRYDTRQLLLAPYSTTTFPRVALPSFKAEDVLKRLHVRRGP SCTSSSHHPLSAEMKYLVRYNIRAHRPKPSPPPTLTSNNEFVNHQGQQLQQQYHGAST KKRPLPDADTASSPYPITTTRTTSTNTSLEAHFKSLQELKTSIKQAWGSVQTSQNAQS CAHLSDALQSTHHTLTYVAQHLAKHPLDVATPLTFVGVHHASVLCLLTKSMSESLAKT SQMTLADLQLMHMCGDNLLWSIEACVTPSLHHQVMSYCVELASKLPTMLASGLLSAQI KATALTMDSFFNSSKYLAEPASSSVSCRPLFAMLNNHHTATATNHDHHSHQPHQHSAI IQPLPPSLTQELWKLHTCQGQFDKMLKKLKIPWPPPQAAHPRALAATTSTTSNPSNQS VAASPTNRSLSSQDSIVSFEAGPLGIIIQQEDNNNVITVASFAAGDQGQALRSGKVAV GDVIVAVNGDPISTIGIAGFKRAVSSGVRPLLITFRRRQHIVRTASSKQPPKAKQLPR KRAKPSPPPLTTTTNRPSTTKSVAQLPPRPPFTSTTSPYTNNNQQGTATSSQHNFAIQ SNEAMGSNATLEYFSRELLVEPTTQQEPLPSHTVDGNNPFNVVHHHTTNIVPQQHNQQ ASEYQYPQPPSSDPASSMWAAAHATANAALYASNPMYYSMYNHSMQPPTTTPTTTMDG GGNGGVVGMLMQDQSTSIDLLMHPPLYVVSTTHDDQEQPQPDLQQYFDPQYYTPPSAF RSGPAGVAFISQVDEEPPLTASPVGQDATPINSSTTTSEPDLTTTTPIPPEPVVSSPA SLASTPPASNALPTPSSSPPQLLNTPQSKPKQQPPAAVDNSSSTVRSTGRRSSRVSRK PEPLVSHTSATPSAMAAPTLHIPGAGTQGEFATDSLPVSKATTSSSLAFSLVQAQLLA IEAALPRDAFRHNKWTPALRTGWADLIVHATSSRALLEALLVLEATIENEYLDPAFKA QSSLTIKMLLPTATIASAAMRLYALDDALSYVKSVKPRMSSSSYKRKLQATNSSSAGS IGTRRSTSTSTTSSTSPDDVPLLPPCPGLTDPVLSRLAMQKLRLALTNTPPHELCRRT LGELGGLTRLPSLILERWYKQCLALLPATSSSATTSTTTSTVAPPPLKKVKGSRGVGR PPKQIEYRFEPQQQQSSISPALLKDPTLKDRFIAVLQTLQTKAAVAAPFLKPVDPDEF PTYRRIVPYPMDLHTMLQRVQDGVYDNRLQHIPIDMSRIWTNCFAFNSVQAEISTLAR RLRSIFQRLMEEYVVLAPAGTLPEDLICDDACRVCRAEAQEHVMLLCDSCDAAYHSLC AGLDEVPTANWYCTRCVENPELK H257_15267 MYPDYYTLIPEPMDLSTVEDKLLGDEYDAVDGFVDDVHLIWKNC FAYNGHAAPIAAMARKLSATFDRLVREYIRDDASPKHQSVTLFANEDACRICHHAQLC TDRLLLCDRCDGTFHTLCLRPPLSDIPVGEWYCPTCKPKVAVERTNGRWHHLDGEEMI GQEEDEDEDEDDSKGIPYLIRLLSKESYMELAVAERVAVFKGLCELVQKCSSVQRVVH LLEDLAEDERAELGCSYAEVVREWERFGYTDDSNETAADVTKPRDSITLDGVARPLTD ALLVHLKEKCLAELEDRAPPPPFFLDDSPLGQEQTKLLPSVPEIPLELPDNSPITMGS SGGQQGDDVIAEKTQATATTPRFQDDNQATTTSNNSDIEDSDSDDIEASVLETFGDIQ LATRVSSSSHASPRPPSPTSSSMCYCCHLTDTDHALGDVVPGMLAPVTRQIGCDLRIP PAIAQQVTSLCTWTSPLSAPFEFDVDEHGQLVVGQSVADTCLLNQGDVVLALNSTVVL ETQQEDFDDPATFVSYADTFMASLPRPLIVLTANPTATVDSRQFHTFQLPSSENEEEE AVKCPHVSMVSDTLCQVMYPATGFAAVTGYIYPYDIIHAINGIRITSVDQVHALWQPR ALVCIFRHPLDRGEEYATRLLSNGAPSDVRASVWRPKSYAMTFHDGPLGLALELDAHL VVVRSLTAVSQATHTVQSGDIILAINETPVGRLNDLTEFTDTVRSLSRPVSFRFYRPS LPLTTSSTTTKNAIRVTVGDILVAATWLECDKGLVVLSCSSSRVFQVGDTLTHINGVA VVGMTRAWLHDTLGVLPLYDQLLVVVRPPPVPDVPVQVHPQCAATWNQAMIRGTALRQ KWTAATALETFLKSVAPRTWPVGPYLKFPGDPNVLYKHENKTWFATTQIAAVVASEES DAVATALHFAFQSRPPTPSLGPFSMRPHFTVTPSNQHESFVMLHGRQYFLGTFASASD AQTAYDQCQQRYDTRQLLLAPYSTTTFPRVALPSFKAEDVLKRLHVRRGPSCTSSSHH PLSAEMKYLVRYNIRAHRPKPSPPPTLTSNNEFVNHQGQQLQQQYHGASTKKRPLPDA DTASSPYPITTTRTTSTNTSLEAHFKSLQELKTSIKQAWGSVQTSQNAQSCAHLSDAL QSTHHTLTYVAQHLAKHPLDVATPLTFVGVHHASVLCLLTKSMSESLAKTSQMTLADL QLMHMCGDNLLWSIEACVTPSLHHQVMSYCVELASKLPTMLASGLLSAQIKATALTMD SFFNSSKYLAEPASSSVSCRPLFAMLNNHHTATATNHDHHSHQPHQHSAIIQPLPPSL TQELWKLHTCQGQFDKMLKKLKIPWPPPQAAHPRALAATTSTTSNPSNQSVAASPTNR SLSSQDSIVSFEAGPLGIIIQQEDNNNVITVASFAAGDQGQALRSGKVAVGDVIVAVN GDPISTIGIAGFKRAVSSGVRPLLITFRRRQHIVRTASSKQPPKAKQLPRKRAKPSPP PLTTTTNRPSTTKSVAQLPPRPPFTSTTSPYTNNNQQGTATSSQHNFAIQSNEAMGSN ATLEYFSRELLVEPTTQQEPLPSHTVDGNNPFNVVHHHTTNIVPQQHNQQASEYQYPQ PPSSDPASSMWAAAHATANAALYASNPMYYSMYNHSMQPPTTTPTTTMDGGGNGGVVG MLMQDQSTSIDLLMHPPLYVVSTTHDDQEQPQPDLQQYFDPQYYTPPSAFRSGPAGVA FISQVDEEPPLTASPVGQDATPINSSTTTSEPDLTTTTPIPPEPVVSSPASLASTPPA SNALPTPSSSPPQLLNTPQSKPKQQPPAAVDNSSSTVRSTGRRSSRVSRKPEPLVSHT SATPSAMAAPTLHIPGAGTQGEFATDSLPVSKATTSSSLAFSLVQAQLLAIEAALPRD AFRHNKWTPALRTGWADLIVHATSSRALLEALLVLEATIENEYLDPAFKAQSSLTIKM LLPTATIASAAMRLYALDDALSYVKSVKPRMSSSSYKRKLQATNSSSAGSIGTRRSTS TSTTSSTSPDDVPLLPPCPGLTDPVLSRLAMQKLRLALTNTPPHELCRRTLGELGGLT RLPSLILERWYKQCLALLPATSSSATTSTTTSTVAPPPLKKVKGSRGVGRPPKQIEYR FEPQQQQSSISPALLKDPTLKDRFIAVLQTLQTKAAVAAPFLKPVDPDEFPTYRRIVP YPMDLHTMLQRVQDGVYDNRLQHIPIDMSRIWTNCFAFNSVQAEISTLARRLRSIFQR LMEEYVVLAPAGTLPEDLICDDACRVCRAEAQEHVMLLCDSCDAAYHSLCAGLDEVPT ANWYCTRCVENPELK H257_15268 MRKLRFQVVACTGEDPAGPAALLNEHDSTGTGYMTPRNCEYPQE LVLELEGACRLTQIQVLSHQSHIATKVELYLSLDNATYNRLGFLSLKSNKESQYTARE LKTVHINSTARFVKFKLHQCYINDKNVYSQVGIVAINLNGEVEEETGPSSSTDEMELG ASTPSKPMRASASITPTKASLLPLKPPSPAADDTADLRFDAKTAAKIREIHVAKEKAV AMEDYDQAKRLKVLEQQLMNIGLQLARLEGAKREAVANEDYDAAKRIKDEIMELEASI GMSTTPPTGRSESYGNDGGFPANNRSSSHPPPPQMTTSTYNSTPPPAIPSVSKQRTQQ PAEQLQQSYEDETERPLAAASRPPPPLKQQPRSSAAFSPPPDDDLNDAPLRTKPKAPV ENAATSGGGGNPHFQGLPDCADLADPEALPDSLLTESAEMVSLIGEYLTTCFYSNVWN HRDAAIRKVALDLTDPAFTDPHDPLVVLSVASTMVQSGVSDRIAQVALSAVALCHGML QFAETHATLDRDAVVQVLNNPLIQLVNKLGESLVKIRDEVTSVLLQLAGSDLVGAPVV ASHVFRRASKKALPLKSLQGRLGVVKALLTQFGLGPDFTLETVMGFLEENAAFAHQSK DIRDLAKAISVSMYQIVGPEIDPFLKTLRPKQLEEYQAAFETPEVKPPSVKKDKKTNP PPRQQPRHVHQHANDDSDDSDASVAEFTCPFCDRQDDTFDSDRLDQHFWAECPMLTQC QMCSQVIEISTLNEHLLVECEQKHNHKECRRCGEAITAKFYDKHTNMNDCDPMPSPAQ GNRCPLCHDDIGPRKRGWKAHLLSNKCPSNPRNNL H257_15268 MRKLRFQVVACTGEDPAGPAALLNEHDSTGTGYMTPRNCEYPQE LVLELEGACRLTQIQVLSHQSHIATKVELYLSLDNATYNRLGFLSLKSNKESQYTARE LKTVHINSTARFVKFKLHQCYINDKNVYSQVGIVAINLNGEVEEETGPSSSTDEMELG ASTPSKPMRASASITPTKASLLPLKPPSPAADDTADLRFDAKTAAKIREIHVAKEKAV AMEDYDQAKRLKVLEQQLMNIGLQLARLEGAKREAVANEDYDAAKRIKDEIMELEASI GMSTTPPTGRSESYGNDGGFPANNRSSSHPPPPQMTTSTYNSTPPPAIPSVSKQRTQQ PAEQLQQSYEDETERPLAAASRPPPPLKQQPRSSAAFSPPPDDDLNDAPLRTKPKAPV ENAATSGGGGNPHFQGLPDCADLADPEALPDSLLTESAEMVSLIGEYLTTCFYSNVWN HRDAAIRKVALDLTDPAFTDPHDPLVVLSVASTMVQSGVSDRIAQVALSAVALCHGML QFAETHATLDRDAVVQVLNNPLIQLVNKLGESLVKIRDEVTSVLLQLAGSDLVGAPVV ASHVFRRASKKALPLKSLQGRLGVVKALLTQFGLGPDFTLETVMGFLEENAAFAHQSK DIRDLAKAISVSMYQVRRAILIFISYKFCSCADVCEVV H257_15269 SPSTTQPSSTTHKPTKPVQPTFTPPTTTKPTSATPAPATTKPTT SSLAPTTTKSVSSTPAPATTKPTSSTPSPSTTQPSSTTHKPTKPVQPTFTPPTTTKPT SATPAPATTKPTTSSLAPTTTKSASSTPAPATTKPTSSTTSPSTTQPISSSPKPPTTK PVASTPAPSTPKLGTTTAAPYNPYTTIKPVTPAPSTTSAPLSQLATCRCTTGDCPASG VCSNCAVALGYGTTSCLVGWTEANCRTQQAGPVYTWCGPSSV H257_15270 MQTFVAGILLASVAVHGTQVYQPGIFICDTWKAWKCFDDLFTPV RWNANGYDVECMSVDGMTCVTTLTVNACLQLKAQPPTVTKPIVCPSNVAAAEASVVAW CKTAKDRLKYLDQVTSEYQPRSTTLSPTTAPSSPPRGTTATPSSSATPATTPPSSGAP TTTKPVTSNTTPKPTLPSTPPTTTNKTPTTQSPATTKPSTPGPYVDPSKPFASTLVPT THKPTKPVHPTFGPFPNTATPTTTKATSATPAPATTKPTTSSLAPTTTKSVSSTPAPA TTKPTSSTPSPSTTQPSSTTHKPTKPVQPTFTPPTTTKPTSATPAPATTKPTTSSLAP TTTKSHYPAIFDYPQANKTSTANVHTTYHHEAYVCHTCACYD H257_15271 MASRSWRSNERESWRRKWRRRRPASSNNVLRCSLYRCTLCFPSS RSSALISPPLVCPLPRCLAVPMNTLSSVSCQGSIGFTSSSSSSSADSRRRVSRVSGS H257_15272 MKFTLVLATIATVSAYQNFKSFRQSFRRQHADQGAMYLDNNAEN AVPTNLKWVLFSEADGVLAQGNDDDTVTAMLHAAQLHQAIPSHHPLSMLEDASIDNEH DTEAMLLALRDALNDIIGE H257_15273 MVSIANRYRSFPRTTWTSTWFSPTGTTTRGSGGGGDGVVGAMGT SGDKGRCVADDVWTCDDGERLSSIIFGLLGRVDRLIVDASNSSFPLKSNFWAATGVPN NGSINPLSFRTVIWPSPSTGIVNTACCLP H257_15274 MHVALAAAVLLTGYTCAHAVDETSDVDDALRWGTYHSGHYFGIR SRTSPFHVSAGLLWSTSKEPKLRHECLESDHLEQYGWLEHDGRTFGSQTIRDQHNNLL LDTTFLKPPTSPTTFATRSWAARVAVTPLRADVALPDTASLFFYLDLGCEDDSLTHAC RRDTQQGASWSVQPPTTCRQPPPNGQSCIELEIVSAHANPIFAFHARFQVHFRGAPQD LSVQYQGESSWSVVNIRKTLLDLTNSYPDNAPTITRLTNILAPSSTLALVQLTFSPSI VNDLTLHLLYDEAADEVLSTTPVVVMDGMLPSFHLAFQAKFHAAFPHISPEFEPLGQA ALSNLIGGIGYFYGSTILQQADGQATQTNPARSLLSAVPSRSFFPRGFLWDEGFHLLG IIPFDTQVAVQVVDAWLDLMDDDGYISREQIRGSLAEARVPAEFITQFPTHANPPSLL LAVEKLLPHLSQSAVLHRWWPQLRKWFAWFQRTQAGEEPHTFRWRGRDVHDGKLMPNT LSSGLDDYPRASHPSADERHVDLAAWMIKGSAILAKVALAVGDTVEARTFSELSQAYL DTMNHLHWDPATSLYYDYGLHSDDGLFEDHLVIRCQNPSTGDSIQTTANVQVLRKNRN DGCPRTHPHFQYPLGDGNGGLLGKQVFVPKTERLQFVRRVGYVSFFPLFLQILPLNSP KLAPLGTLVANELLSLHGLMSLSPQDLYFERPNAPGDAPYWRGPIWMNINYLALGSFQ HYATHASDKSVREQYQSLYDTLRDRVVAAISHEYKATGYLYEQYNPHTGRGQRCHPFS GWTALVVNILAETY H257_15275 MANFLRRFSAVPRFRRSSKRVSSSSSNASCRSNNMDASSFERDP WASSAINENEGGNTTRLSAFDLASRSSTTRLSSPVATIPSASEKRAQRRRWSPVSTDA TVSSNNAAARPVR H257_15276 MLPLQSSVTRKYSKIPTLFRHGQGVGIDIAGIVTQVSVDVTTFC VVDRVCGNTRGPSQIKQLAEQLNWPSFDLRLRFNKAALPCAYLTAYQGLINHGFEPGA KVLVTGASGGCGTAAIQLAKALGASEVVDVYSTKNEGFVKSIGADRTIDYETQSIVTG DEGYFDFVFDAASGSPGGEDYLKKAKVVLTDPSKYHVTLSGPATFWIQLICGCSPKHI ALQMTSRKCADLTAIVELLNRSDQRPAIDTVFPFTNQGVVDAFAKLKSRRTKGKLIID VAASLNTFH H257_15277 MGMVEVILRLLCLACFLSGATPTKTYRLASSALQISPSVGTFPV LPSVLVQGETLASLVVSLTTSATISVVANVNANHSFVILPSASLRGLSSPQTISISVQ GGEKDATGVYTIRFTSMTTNETLYSSQVTVVPPPQAYSVQEGAWTGTTLASDTFDALP FGAIPNASTSIWTAIEHGFASDTCGKVGSTGNALYFTHLGNRMASTTPFDLRGIDAQL SFAYIYGHLPNESYDGYGNNTLSCEQVQPGAEVSVEISRDNGTTWQRLVTIPLSSPPV TIMQTTLLPLPSSLPSSLLTNGAVFGTRTTSFRWIQHAHTSTRVGAIQGTRYQWQYRN LFDQWAIDNVLLTARVQPPKLLATTATSGRDALTVQVITSSSNGVVLTTVGDGSHAFP VCPSNASTAVASNATVTLRTTGFIHAVTCWRGSQSYGYRSPRLYIQSPPPTFQITTTV NQTYVINVTMPRPNMQLWFTFGTGNDMPSCTFGSYLNVTSGVTATMDVGSNGVLRALA CGRGLVGSEIVTLPPFVVQPKAPAIALVAPVSVTSTAIFNISVSTDAVDSTVLVRTLV STDTDTSRPPSCLTATNSTMAVSTSFVAIQPSQRVVAVACCSSTYCNDSTPVYFGPVD ASCALPSLATRCSTTTMRTVVAMLTPGTTGGIVKYAVSASAALTCSDAWPTYRSPLAL VPPTLAGTAVVVKAITCLDGLRASDPLVTTVAVDMCCAGALTFPSSSAQQTCSHVLLF RDDFISCNWTKWMKYTTQYGGDNINGGVHSDNVQCQDNTTGFNGASTLSLAIHGDRFT GSTPIGVMLQAGGGSVTPRLPTTPLEGWMLPGVHSFPCSNAPSGTVTCAARRVGAAVS TVDSWNAGVASFQLQTCPSFGVVSEVWALDMSGVQAAVAASPALPYADLWRASLNQER TVPYVQFSFGPSITDTQVHSFVLQWNRTAGRANVYRDGQLIRKLRALPASPDPAPLTF HSWVPNAWAGEPRFDSCSTQVSNVQVVKLETAANRWCDWEDAVGGGGGVECDSDATCS QWMTTACLMPVASAVCVNNTCVFGLHPQFASPAVKAASAFHSFT H257_15277 MGMVEVILRLLCLACFLSGATPTKTYRLASSALQISPSVGTFPV LPSVLVQGETLASLVVSLTTSATISVVANVNANHSFVILPSASLRGLSSPQTISISVQ GGEKDATGVYTIRFTSMTTNETLYSSQVTVVPPPQAYSVQEGAWTGTTLASDTFDALP FGAIPNASTSIWTAIEHGFASDTCGKVGSTGNALYFTHLGNRMASTTPFDLRGIDAQL SFAYIYGHLPNESYDGYGNNTLSCEQVQPGAEVSVEISRDNGTTWQRLVTIPLSSPPV TIMQTTLLPLPSSLPSSLLTNGAVFGTRTTSFRWIQHAHTSTRVGAIQGTRYQWQYRN LFDQWAIDNVLLTARVQPPKLLATTATSGRDALTVQVITSSSNGVVLTTVGDGSHAFP VCPSNASTAVASNATVTLRTTGFIHAVTCWRGSQSYGYRSPRLYIQSPPPTFQITTTV NQTYVINVTMPRPNMQLWFTFGTGNDMPSCTFGSYLNVTSGVTATMDVGSNGVLRALA CGRGLVGSEIVTLPPFVVQPKAPAIALVAPVSVTSTAIFNISVSTDAVDSTVLVRTLV STDTDTSRPPSCLTATNSTMAVSTSFVAIQPSQRVVAVACCSSTYCNDSTPVYFGPVD ASCALPSLATRCSTTTMRTVVAMLTPGTTGGIVKSPLALVPPTLAGTAVVVKAITCLD GLRASDPLVTTVAVDMCCAGALTFPSSSAQQTCSHVLLFRDDFISCNWTKWMKYTTQY GGDNINGGVHSDNVQCQDNTTGFNGASTLSLAIHGDRFTGSTPIGVMLQAGGGSVTPR LPTTPLEGWMLPGVHSFPCSNAPSGTVTCAARRVGAAVSTVDSWNAGVASFQLQTCPS FGVVSEVWALDMSGVQAAVAASPALPYADLWRASLNQERTVPYVQFSFGPSITDTQVH SFVLQWNRTAGRANVYRDGQLIRKLRALPASPDPAPLTFHSWVPNAWAGEPRFDSCST QVSNVQVVKLETAANRWCDWEDAVGGGGGVECDSDATCSQWMTTACLMPVASAVCVNN TCVFGLHPQFASPAVKAASAFHSFT H257_15278 MPNGPTTRRQHHKVTISNTHGIQIHVRMDHEQPDVGKRVKKPAK KQPQPLDNFAAAFAAKSMEERIQAHKKSMLLAFESIELKRPKSIQPLCRSVPKTVHFT SFDPDPPAIPAFDLDDYVKYMEVEQSDDRDPPPPLQRQTYQPPASSSPKKNVKLKRLG RPQSATLFRDSARIASRLRREIHQARSSDSLTTLAPAQRVRRPQTAKDRPLTHTGTVE LELERLLGTYQSKFHPQIMLRLDKPWARPCVHPTALPNTLDDDDGLPQFSLDFQGVDL NGGGIPGFEVDRASAISLTWFIPHILLSIDLSFANLTDVGCYPIATQLIQEPSITALN IGGNPLTLVGVEVLLEAIKCRALFHAINGALPAVSALYMHDMPSVDVSFVMKLVELAD LTLTLSRDATVVAVAHEQLVLELSDERWTPPPLADTTASAIQKATANDLKNAPLEDEV KSWDRSLDSPDTTSSRPTKMLLKASRLQTATSSATRRDGPVRRTANVKSVSNPQQLAK QREKIRRATQIAVEVTLNKSTKTLKGQTPPTKRAVAAAASSKTKRRSSSNASKSPASL ARPLSEREQPVTQDNSSVTNKSHVETATSPVVVDHSFPPVVLLPQTGEASVFKKNKTS PRVGSNDGGDALATMAKCVVNDVLRVILTRPEHSDLLAGRGRSVVPTQGGGQQAFTSL LVHPLHATPCHLSYPTTIPPVVPLNQEGEVVTFAQHLVMHILRDAIFHICQPNSTSRD VSSVQVLAQEVSATAIKQGLQLLKIIPLQD H257_15279 MPSVLYSIFSGTGRAFYPEPAKPVLQATDSVIVQVHAASLNPVD YKLPKWFLHGRVVGLDLAGVVTQVSPDVTAFAVGDRVVGNTSGALADHAVVKASLLAK LPDELSFQQGAALPVAYLTGYQGLVKHGFEAGAKLLVIGASGGCGTAAIQLAKALGAS EIVGVCSKKNEEFVKSIGADRIIDYNTQSIVEGNEGHFDFVYDAATASGGGEDYLEKA KLVLKDPTKHHVTLNGPATLWIRKLSGFPRKDIALILTDQNGADLAEVISLLVKSKQR PVIDAVFPFTAQGVDDAFVKLQSRRTKGKIVIDVKGTLE H257_15280 MFILLLHTNIKLFLREKPLQAVNAYLIMRGFVASLTAAACVVAS ASGFDFSSQLSHRVVFSSSAKAQLAASVDGSTVLGDYCNSTNDCPSGTSCIAGSALEA IQSCVQEPVCTGTAPGNCPGLVSSGQLVCAWSPTNASACSDKNGSCTAFNGVLGIYKC MSVDRCDAIAGPASSSCSSGCKSSNGLTCNGRGSCQTADGAAYKCACNTGWSGVHCEV VVDGSCQAGVGSCGAHGKCANGTCQCDEGYSGAQCEVGTTTTQAPLVTSAAPSDQGIG PIPSPSTGLSSSTIIAIVVIILALLAIGIVAFLIVKKKKREREAAELRALINQDDDND REHVTTPKAAIQVL H257_15281 MDHRWLAVVSALCIYSVQALHPMGTTCLGTSDCPIGSSCVAGDA AESVQTCVADQVCGGNIPGNCPGFVEEGSLVCTWVQQDPSECTFGRCHPFNESVGIFK CLSVAKCDTAVALTPGGDQHVCSSACVSKGRTCNGRGSCRSSGPSSFSCVCDLGWAGS TCDTNNGVAPGDDDFSSVAPVTSIVPATTSAPRSHNIAINATNANNATNAINTINTTN ATHLREKPLSTPATLGDGGQLLLTVVVLSVVAVIIVLGLIVFALYARRLKEQAPEQHQ TVVVSSQQSTTRDNIQVL H257_15282 MTDKARITMSAPDTLLPDAPYVTPVEATGHSNYFRNCWLAFATF IVLSVGGTIIWYTCSEPVKAFSEPPPAYNVSACQACSRLNYTDMRPSKYRQYNGVEDI CPSSLFPGDNVEWLPMKPISSSCCCSLTVPSREGSDYYYRIDYPYMVNATASDACICK YKYSQKYNMGTHRAWIMLFIMGGIGIVIVVWCCVLGCQATLCRT H257_15283 MADKPTPTKSAVEPLAIATDTLHIDAPHATPVQASGRSAHYFRN CCIAFAAVIVLSVGGTMIWYMCSDPVEAFSEPPPAYNASACQACSQLGYIDMRPSKYR RYNGVEDICPSSLFPGDNVEWLPMKPISSSCCCPLTVPSREASDYYYRIDYPYTFNAT TSDNCTCKYKYSSKSDMGSTRGWTMVFAICGILIVIVVWWCMVGCHMMLCRK H257_15284 MEVLHEDILLLIVDYLQHKGLYKSVAVLLEESDIDTTWLCGPSA FVSQLRRCILGGDYELAVELLEPLLDLDQPAYRSMVSVLLTHAYCAACVAMETPQARI KWWQRLKKVAPAAATGLKHLVYVVDVQATFRETFGSWNVHFERMRCYQQLVASLRDVP DNMQRYTTIPRLHLQTLLGQAMSYQELQRHNQDIMHEYTSDGATTTLLGRETRPLWWD CLSSEWTCRQHPPNTSALYLNLPRAPFDPNVTDKNHPVHTTLASTRRSYPSSHHAPEE WPTYVCPPVDVVPPTISISLEDSSSQTDDEPIGGIKVDRSSQTKLIDKPCATMTTQTN APPQLHHQLVQACIVGQDCPTQTDEAPAPARTHSISIQTTAVTSQSWATQTTMDGKPT STPLVVVHAECQTTSGGSTMQPPGTFSPLRRSITHFSIERNNQLIHDGSPPINYHSHP RALRHERSEDSSSLDPREPHDEVYDDEIDLDQSNYRASAASSPHKSDLHHVIPVATVV PATETTRGGLSRLPNPVQPLLSSRNDMIRLSVGLGPVDTSLFHNVQLSPQTNAITSSQ PLLFAPPIKPRDQQRITPPVSRPPARFSSTTPNAWTEPQTVSHTNQQHVLQPLLQQQQ QQPPPPLTLGTVLKFTRQENAERYHATVVAETREAQAIRAMAISHSGKYVAMGTNARV LRVLNIHDAVSKSNGQPLATRQLLPVVSEHYKHHSGPIYSVAWHPRDGLVATGSSDAS IHIVKPFALDAPPLVLAGHRGKVRAVQFCPQNGNILGSVGSGDTLVRLWDVQTASDTP LMQLHGHMGELTSLAFLRLGDRCITGSQDCTVRLWDLRTGSCQSMFQASAPVQAVACH PLQSDWKIAAGLSDGSCLVWDRRQSAMPVATLRHHSNECRVVTWSPADGSLLLTASFD GTTCVLDDNGNVRGTFRENKEMILQGCWHPSIPAFATSSGDKMLKLWKFTV H257_15285 MITLPTLPRVVEPVHSDGFSPPRCNVRVRDSSDKVLGTVHDHVD IVEGVGRQFDERFFRDFRCIMHRALVPRIQVAVPTPLRRLEGGDVVKGRRTLQCNNPA AVRILDAVQALHHGDEGRGADAVADEQDVGGEAGVGGVDLKVDVGRNPEQLEFRAHGL AAQPVGRGHVDANVQRRRGAVVERRDGKTHFGVARAEEDPVAGLEPQRKVKLECEAPR LGRHHINVCDGTRNGILHGVGGVVHDHWQHEVEEQAIRRHVCLLDMSGPRRPADDLKR LDQRHPHRRIVLPFDAKLEVARCKRLHALNNVAGRHDPRHDFDDGRQGFALQREAGTE YRTQRRVGVEQQRVERSVEKLVGGPVLFHVER H257_15286 MQQDEDPSTLCDVFEQMLQVNEDLLAAVAEQQKWNDSPPDNDTC LQNAAAYQLLLHKNLVEMANFVDSLCGVFVSVDGGSSGMDAPFPKKPRTASHDEPPSH GMTKEPSLLLSTLHANESLRARRRTEKKFLSFQKKVKEQEDHDKLPLPLLHAPGPDLG TSPLLPPPPPHHPSSLKGHSMLRPCPVPLPPTQDDAMPLHHPFANPSMDSYFQLPAKN RLVVAKEECLACHRLGKSVKDCRAGWKHTTPSWKTSMVLPLPPMMPSHSTLLMGMVNN MPLPTMGWPYMPTFSIPTAKVAPPTTPHPRRIFKRMCEQCKLDHQSLYQCRTVLQHVA PEWKRSDTGNTGSTTSSQRSYSRWTDVEMQTFHELVEVHGYRDVSKLAFYLQTKDKKQ VKSYLQRYLKSKQDDGGDG H257_15287 MSKWVNVDFDSGAAGSSASGAPSASSNTQAAAASSSPPPPPSSG TSAPPTTAGGNHRYGATEHMHIDVGEPVKQGEGMHAYISYKITTSTDRRQFQKSQFSV IRRFSDFVWLHASLCAQYPGVVVPPLPEKLLVGRFSPEFVESRRRALHLFLCRCGAHP EIQHSDHLTTFLEASDDALTHFKADKAKGSSSTSSGGGSGNGLFQYMSDTVASISNTL TSTTFLDKLKTNADVQVEDIAAYMDALEPIIQGLAKHAHGLTKRAREIADGLFEYGVA LTLLGQSEENESLQTALRYVGSCADALSVLAAEHAEKEVLLFEEPIVDYIRLVGAVKA ALIKRNEVRQVYHSAVADLDTKKAALAKAIAKGSSHDKVQSAESDVSKAQHRVDDAKL EHDIVTERVVREVDRFKLDKLSDFKRIVLDYVELQIDYNTRVEARWASVVPQLQAIHI ESASPAHADSISLSDPRDVAL H257_15287 MSKWVNVDFDSGAAGSSASGAPSASSNTQAAAASSSPPPPPSSG TSAPPTTAGGNHRYGATEHMHIDVGEPVKQGEGMHAYISYKITTSTDRRQFQKSQFSV IRRFSDFVWLHASLCAQYPGVVVPPLPEKLLVGRFSPEFVESRRRALHLFLCRCGAHP EIQHSDHLTTFLEASDDALTHFKADKAKGSSSTSSGGGSGNGLFQYMSDTVASISNTL TSTTFLDKLKTNADVQVEDIAAYMDALEPIIQGLAKHAHGLTKRAREIADGLFEYGVA LTLLGQSEENESLQTALRYVGSCADALSVLAAEHAEKEVLLFEEPIVDYIRLVGAVKA ALIKRNEVRQVYHSAVADLDTKKAALAKAIAKGSSHDKVQSAESDVSKVYIYIF H257_15287 MSKWVNVDFDSGAAGSSASGAPSASSNTQAAAASSSPPPPPSSG TSAPPTTAGGNHRYGATEHMHIDVGEPVKQGEGMHAYISYKITTSTDRRQFQKSQFSV IRRFSDFVWLHASLCAQYPGVVVPPLPEKLLVGRFSPEFVESRRRALHLFLCRCGAHP EIQHSDHLTTFLEASDDALTHFKADKAKGSSSTSSGGGSGNGLFQYMSDTVASISNTL TSTTFLDKLKTNADVQVEDIAAYMDALEPIIQGLAKHAHGLTKRAREIADGLFEYGVA LTLLGQSEENESLQTALRYVGSCADALSVLAAEHVLSIYISFFIEILVYLYARRIDFT FQRNIVMISFSIYTCGQIQNLSNFGVCCI H257_15288 MVNDDITTTASGAVPVLRRWIHQALLANGYTTVTPSLDDDGTYG MFPPVEYILHDDGGTAIASVLTLRGLVVGKKYMLHCEQRANNSDEASISTWRVDFPLS QYIPTQASLFPRYQEFVRWRVRNSVVQHGSSAAQALLSSLPTLCIASILSFLDVSSLC ALRVVNKTLHEHATSPSIWKLRVHIDFPTASHDPIPTSYFTAYANAVTKKKREQRLRL RLSLDNMLWFDREAGRAHAQRNLALPLAHIPRLPFEAPSP H257_15289 MSSEGYDEVYRLKSNHKELLMHKRTLERQLEVKQEQLKELKKAI EACEVQLYDDAPIVRKRDRVTLTNGVNGSNASKRPNASSE H257_15290 MAPPLPPMFAMICANNVNRSTEAHDHLHGAGLRVCSYGAGNMVR FPGPNPYEPRIFPFFTPYEVMYRELKSENEQLFRRNGVLAMLERDIITKKAPQKWQDN SMDELAKLDVVLCFEDRIFDIVMEDLQLRKPKDFRPIHVICLDIKDTPKDAKIGGSLA LDLCKLINDLPDLEDGIPQAIDTFETQKQLKLLYAPLYI H257_15291 MAVAADYSGWVKDLDSFKPSTDDDDDEEDRLQYMSGGYTSKKPT SHASQLDEIKQEMKLLNWHKLANEAALKAALIKTKKLKREDARQLALVDAEEHMDRLQ QIEEEENLKPLEVTAEFIRQYEEDERKQERRLEADVSRHITCLKKLKTMLEDREDLRR RHIQYRQGKLALDHGYSLQSNQEDDGQQQESKLSSRSTGNSNDVTRVLSSLDKLVELE RRISSLEQDDIEPASAAMVTSSIVKDKGSSTALKFMKTSKGRTTAQPIIAKSKQPKSQ STFLTAVPDKTSRVGLKAKSKELQRAAELRRMPERDRLKARQVDQKQALVARDKQQSV KINQWAQQKKQAAVARKANYVKINQRTAAAATGDKAKGTKNKHMQDFQAMKRDFESKK KKNMAGATMGVKAPLPPVKKRPPPNHTSRCNSGTMMQPWGPKPKPPAEQRLLPMIHGR VKATTGATHTQPHRQHLAHQKPTAAKTTSTPPSSSTLPRMSRPPHNNAGGSGDSLGLG VRGIRSGGG H257_15292 MYSASQWAAIGLSLVACGVAIFYADELSRLIPVDKASSTSAFTD AEHALFLASMEYHARPKAHHTKNRLAFCCSADVDVSIRATDLMEKFEHSHDIVPRHHE RINSNVELMESFGHYFSQGAAAEQSMSSAEAFHQVVQLAKSIPTVESALGGNAAQMAQ RAAYEGFEVLLGGAVGTDMRTLFHPNVQVVGSVEDGGQEDVHLVLEYAKGDAVNNLVS PRANRYYLNHDVYNARLSVLEEFDQALTTFNPNMVVIGGLQLMEVDTDEDRRYSRLKD LSAMLQRITATKSTLTHYEFAAASDFTLFDDTVKLVLPHVHSIGFNEQELAILHHFLM TGEELVTTSSRPSVATVTSQLADVIAYAAQAASIHQADPAAAAPLHGLTRLHFHTLQF HILCQKRGSGWENPMTALMQAALISSKLACGDRSRGVKGADISIVPSLVEILLPRSTP LTASGITWDIDPRSPTATWTEGDFQCYVVPMLACKKPDHTCGLGDNISGMGMAYHGHA FPDK H257_15292 MYSASQWAAIGLSLVACGVAIFYADELSRLIPVDKASSTSAFTD AEHALFLASMEYHARPKAHHTKNRLAFCCSADVDVSIRATDLMEKFEHSHDIVPRHHE RINSNVELMESFGHYFSQGAAAEQSMSSAEAFHQVVQLAKSIPTVESALGGNAAQMAQ RAAYEGFEVLLGGAVGTDMRTLFHPNVQVVGSVEDGGQEDVHLVLEYAKGDAVNNLVS PRANRYYLNHDVYNARLSVLEEFDQALTTFNPNMVVIGGLQLMEVDTDEDRRYSRLKD LSAMLQRITATKSTLTHYEFAAASDFTLFDDTVKLVLPHVHSIGFNEQELAILHHFLM TGEELVTTSSRPSVATVTSQLADVIAYAAQAASIHQADPAAAAPLHGLTRLHFHTLQF HILCQKRGSGWENPMTALMQAALISSKLACGDRSRGVKGADISIVPSLVEILLPRSTP LTASGITWDIDPRSPTATWTEGTMLK H257_15293 MVTPPSFHAPSVVVLSSRDMFGHIVQFQHGIPQDVVPLAIITSS TTATFLGWIAMHGEVRLARLLSCIPHLRPVVVLFAVAATNLTLLDTLNLDIDDDDNLV DVAAITGNLPGIVALFKMGYYAGTNRALMSAATSGHLDIVVYLCVHRKLPCTKAVVNA SARMGHLPIVQWCHEYGAQSWTSDGFVGAAGGGHVDVVAYMHHAKPRCGSTSLAIDAA ASNGHLHVVQFIHSQRPTHGCSINALHGAIAHGHNHVVAYLELHRGDLIQAVCCACGI YTALNHPCKAIA H257_15294 MMHRFRSAGVKAKAVMPWTGMVALGCATTATAAYSTPALAKAAP PLTPIDRSTPEVTLYQYEPCPYCCKTKAVLDFLKVPYNVVEVNPVTKKELKAITDYNK VPVAVVDGQVVPNSSDIISRLQSTSTFDPVTKDWNEWIDMKLVVLMPPNIYRTVPEAL QAFQYCLTEGNFTAWERRVSLYTGAAAMYVISKRLKKKYGFDDERLALYEVTNGWVAA MDGKPFLGGDHPNLTDVSVFGVFRSIEGLDTFQDVMANTQLEPWFNRMANLVGASSRV ETPKVTA H257_15294 MMHRFRSAGVKAKAVMPWTGMVALGCATTATAAYSTPALAKAAP PLTPIDRSTPEVTLYQYEPCPYCCKTKAVLDFLKVPYNVVEVNPVTKKELKAITDYNK VPVAVVDGQVVPNSSDIISRLQSTSTFDPVTKDWNEWIDMKLVVLMPPNIYRTVPEAL QAFQYCLTEGNFTAWERRVSLYTGAAAMYVISKRLKKKYGFDDERLALYEVTNGWVHY IMYLYIWMGRSEYTYSIDMCILYAWLELQYIYSIHLYTGMDMPNLYIYI H257_15295 MSDDLMTLSLNVLRRMPPGNVANDLAGICQLQPDLEDELYQRVD QPLGQAVDPTAGRPFLLCDYNRDGDSHRSPWTNAYYPPIEDGEGFVPSDNLRLLEIQA NELFDVYRDMYYQGGVSSVYMWDLEPGFAACILIKKDVHHQRFVEEGGWNSIHVVEVH EKNDKEAVYTLTSTVLLAMNVSHRQELGHLNLDANLTRQTERTMKFESQSAHLSNIGR LVEDMEIDIRSNLDRVYISKTREVLNGIRKLQHGVASAQGTNPFVGELGRAVLQRGKP TTT H257_15296 MPSPTSARASVPQRGHRKLTNAERGTIYETLLAHSVDGTLPHGC ITRTAQTFDCTWKTISSVWTRVRLSLRDGSLKADVTTKYSGNINRKMLRTDDEIERAF KAVPMHARQTMRTLAAQSGIPKTTIFRHMQRAKTLKSKTSHSKPYLTDANTVQRMRHA ASFLRPSPNGTLFDNMHSQVHDELPKRQLKSKLFITKVIFLAAVARPRNDHNKKCVFD GKLGVWTFMETALAKLNSRNRLKVPT H257_15297 MKFALLFVFTAAVAALAQDQVVPAKVAWGDEVPDDGFEILGGQE AQFGHHRYVAGLKRWPSSNTECGGSLIAPNVILTAAPLFECGFGAGEYAAIIKEIKEP SGTDVGIAILDHNITSIQPVAVSFEFVPANVLTWVRGWGNVNPDGPQSQVLKELSLTT WSNTRASAVLFPYRVSDTILTAGGVEGEDSCTGDSGGPLTIEKNGTVHLVGVVSSGFE CGELGIPGLYERASAARTFIEPYLPK H257_15298 MTSPRKSPLAKKMKSSSLLTYFRTPFWSEHVTSTFKATWAEVVA NVQTESCFYVELEATAAPLTPEEESALTWLLSETFEPEKYGSSPFYPAPAAKATADVW VVEIGARLSFSTPWSSNAVSICHACGLTKIKRIERSRIFHFTGKPGKLTDAFKAAFLT AHMDRMTEQVYESSLTSFGVPQAPKPVQRVPIMSEGKEALRAINATNGLGFDEWDLDY YTNLFKEKLQRDPTDVECFDMGQSNSEHSRHWFFGGKIVIDGVEMPDTLFQMVKSTLT DANKTNSVIAFHDNSSVIKGAAIKTLAPSHVGYPSSLEERHLTSHVLLTAETHNFPSG VAPFPGAETGTGGRIRDVQATGRGAHVVAGVSAYSVGNLLLDNYPLPWEDQTVTYPSN LAHPREIIVQASNGASDYGNKFGEPVVSGFARSFGMVLPNGERREYIKPIMFSAGVGQ LNSTHCVKGDAETNMWVVKVGGPCYRIGMGGGAASSRIQDAKTAELDFNAVQRGDAEM ENKMNRVIRACVELGDRNPIVSIHDQGAGGNGNVLKEIVEPTNGHGGGARYEVRNILI GDETLSVLEIWGAEYQENNALLLRPADVDLFRTICHRENCPFALLGQVTGDGRVVLHD AVDDSTPVDLELDLVLGKMPQKTFVDTTEPNSFLRELVFPTDITVASALDRVLRLLSV GSKRFLTSKVDRCVTGLVAQQPCVGPLHLPLANVSVIAQSHFRSPETGTYTGCASAVG EQPVKGLVNPGAMARLTVGESLTNLVWASLSGSLVDIKCSANWMWAAKLPGEAARMYE CCKAMTTFMKDIGVAVDGGKDSLSMAARVESENVKAPGTLVVTMYAACSDVEKTITPD LKPNGGDLFYVDLGHGKDRLGGSALAQVYNQVGREVPDVEDAALFINAFKAIQTCVHN QWLSAGHDRSDGGLIVALVEMAFAGNVGLHVNIPSSSSKVQTLREQLGVLFSEELGYV VQVPKAHQASVVALFASLHVPLVHLGHVTDVDQTLVIQVNGRTVVEDTTARLRDTWEA TSFELEKRQRSPDHVQQEQDGLKHRSIPPWQLTYEPTPPKALSTRNQHRIAVLREEGS NGDREMGSSFYLAGFEVWDITMRDLVAGTVALDERFRGVAFVGGFSFADVLGSAKGWA GVVKYHPNVLAQFKAFRARTDTFSLGVCNGCQFMSLLGWVNPPVSEQLALENPTRAGD WNPRFIDNACEKYVCNFVTVQIQESNAVMLKGMAGSSLGVWVAHGEGRAYFSDPRLLQ DVLDNKLAPVRYVNDANEVTEAYPFSPNGSPHGIAGLVSDDGRHFCLMPHPERTSLKF QWPYKTKAIQEAKVSPWLQLFQNAKTFCEESTTN H257_15299 MTQWGKLASASRLLRRTFVQANSPHHARAFSSSPHPSESFLSGT NNVYVEEMYKTWSKDPTSVHKSWDVYFRQVDQGAVPGEAFIPPPTVQSGVTPVARSAA AAVNASTDINHALGLSYLIRAYQSRGHEVAKLDPLGLDVRPSLPELDISMYGFTEADL DKTISIPKNFASGVTGFLEELSDGQNPTLGYIVQRLKETYTSSIGVQYMHISDREKCN WIRTNLESLVKKDETKAKKLHILERLAFSVVFERFLGNKYNTTKRFGLDGGESLIPGL KYMIDRATELGMEHVVIGMPHRGRLNVLSNVIRKPIHQIFKEFQGTHVDIDKYSDEHL ADWSNSGDVKYHLGTSFDRTYPDGRQVHLSLVANPSHLEAVNPVVVGKCRAKQFYLGN DDVADQKVLPLLLHGDAAFSGQGVVYETMHLAELENYDTGGTIHVVVNNQIGFTTDPK NSRSSQYCSDVGKAMNVPIFHVNGDDPLAVVKVFELAAEWRQKWRSDVIVNLTCYRKF GHNEIDNPFFTQPLMYKKIGSMPNVLESFSDQLVASNVASKAEVDAVVQKVWDFYGET FEESKTWEDSKKSDWLANKWESFKSPTQQSRIRPTGMDIDKLNHIGAQLTSIPNGFQL NTQLKRILAAKAHALKTGEGLDWGTAEALAWGGLLLEGNHVRISGQDVERGTFSHRHA VLHDQDTNQEHVPLNTLAKSVNPATAVTIPGEHTQAPFVASNSSLSEFAVLGFELGYS LENPNALVMWEAQFGDFVNGAQIIVDQFLSAGEDKWMRQSGLVMLLPHGYEGQGAEHS SCRIERFLQQTDDDPNVVPPMDESNRMQIQQTNWQVVYCSTPAQYFHALRRQIHRDFR KPLISVQPKSLLRLKQASSNLADLGPGTKFHRLLPDPSSNLVADDQVKRVVFCTGKLY YELAAEREEHGINDIALVRVEQIAPFPFDKVAEQAAKYKNAEIKWAQEEPENMGFWTY VSPRIETALTKISHDSRRPTYIGRPTSAAPATGYGAVHVLEQNRIVKKALGLPVKKSN H257_15300 MAASKAEYRLVKAKLRTACTKRRIRLEEFMKTFDVHKTKKITAD QFTRAIDASGIRLSKPEVDLLIAKYRLPDDTRSVDYRRCCDLIDKPCTTKKADKLLKQ PHNKMGVAPPSLGLQPVDAEALRSVKDKLATAIRTKGIVLKDVFHDFDKNNSGVVTKA RFVRDLSAVVGGDLSLREVDAITKAYGSGPLDVHYKQLHADLQVFPSSSLDNDSNNDS PRPRRRVSNQGSGNDVDAVEAPLRTIVARDRIRMKNFFTDYDRLRAGKCTDGQFQRAV KVCFGALTEKDLSILVSAYSVPSEDPSHERKVDYVAFCKSVSSLVQDRDDANDELHVD VAPLPTAPCTRDTRGDLTDAEAASWTDIMTRLSHTVATRRILLKPLFCDFDRGKTECV SCEQFARVLAMFNMFLTSADEKLAILKRYASTRLNSLPPSHLSFDNKAFVNFKTFCLD LDEFQRRDAAASTSSCPVSSSASPLSGHVAKVQVQVSEANLQRTLPMVLRYLKQKAKR DRIRIDEYFRDCDKLRKGKITRSQFAAGLNAAGFVLSNVDVEILANEFKYAVETERDV DGAALVAWTRFADEIDAVFTVKGLERDPTRDIRHSIEAAEQTDAIVDTVLAPDDEAAV VAAMQGMATFIQHQRLDIRPPFEDFDLSNQGFVSASKFNRVLSIFNLLPANAATARLL TVKFSERGNAPHLGVSSTCDVNYRAFLSALANLAPGKDDATTTVLPGAREFRLRQSHT EGDPLTAEAWRRHAKPAHAVAVESLLKDIRLQTDTKRIRLKQFFTESDRLRSGDISVA KFHTAISRSGLHVDANDILTLNSAFQSTTKPDLINYRAFVLAVESTAHVEDCRDHVVL SKPSDALETLLGRIRTAVDVKRLHIKPFFEDYDRNCLMHVSKTQFASVLDMMQLGCTP QEISLLTSTYCVRHGREDNPDVNYLRFIQDVDQVYSHLNHPIGVKAAVKPIVK H257_15300 MAASKAEYRLVKAKLRTACTKRRIRLEEFMKTFDVHKTKKITAD QFTRAIDASGIRLSKPEVDLLIAKYRLPDDTRSVDYRRCCDLIDKPCTTKKADKLLKQ PHNKMGVAPPSLGLQPVDAEALRSVKDKLATAIRTKGIVLKDVFHDFDKNNSGVVTKA RFVRDLSAVVGGDLSLREVDAITKAYGSGPLDVHYKQLHADLQVFPSSSLDNDSNNDS PRPRRRVSNQGSGNDVDAVEAPLRTIVARDRIRMKNFFTDYDRLRAGKCTDGQFQRAV KVCFGALTEKDLSILVSAYSVPSEDPSHERKVDYVAFCKSVSSLVQDRDDANDELHVD VAPLPTAPCTRDTRGDLTDAEAASWTDIMTRLSHTVATRRILLKPLFCDFDRGKTECV SCEQFARVLAMFNMFLTSADEKLAILKRYASTRLNSLPPSHLSFDNKAFVNFKTFCLD LDEFQRRDAAASTSSCPVSSSASPLSGHVAKVQVQVSEANLQRTLPMVLRYLKQKAKR DRIRIDEYFRDCDKLRKGKITRSQFAAGLNAAGFVLSNVDVEILANEFKYAVETERDV DGAALVAWTRFADEIDAVFTVKGLERDPTRDIRHSIEAAEQTDAIVDTVLAPDDEAAV VAAMQGMATFIQHQRLDIRPPFEDFDLSNQGFVSASKFNRVLSIFNLLPANAATARLL TVKFSERGNAPHLGVSSTCDVNYRAFLSALANLAPGKDDATTTVLPGAREFRLRQSHT EGDPLTAEAWRRHAKPAHAVAVESLLKDIRLQTDTKRIRLKQFFTESDRLRSGDISVA KFHTAISRSGLHVDANDILTLNSAFQSTTKPDLINYRAFVLAVESTAHVEDCRDHVVL SKPSDALETLLGRIRTAVDVKR H257_15300 MAASKAEYRLVKAKLRTACTKRRIRLEEFMKTFDVHKTKKITAD QFTRAIDASGIRLSKPEVDLLIAKYRLPDDTRSVDYRRCCDLIDKPCTTKKADKLLKQ PHNKMGVAPPSLGLQPVDAEALRSVKDKLATAIRTKGIVLKDVFHDFDKNNSGVVTKA RFVRDLSAVVGGDLSLREVDAITKAYGSGPLDVHYKQLHADLQVFPSSSLDNDSNNDS PRPRRRVSNQGSGNDVDAVEAPLRTIVARDRIRMKNFFTDYDRLRAGKCTDGQFQRAV KVCFGALTEKDLSILVSAYSVPSEDPSHERKVDYVAFCKSVSSLVQDRDDANDELHVD VAPLPTAPCTRDTRGDLTDAEAASWTDIMTRLSHTVATRRILLKPLFCDFDRGKTECV SCEQFARVLAMFNMFLTSADEKLAILKRYASTRLNSLPPSHLSFDNKAFVNFKTFCLD LDEFQRRDAAASTSSCPVSSSASPLSGHVAKVQVQVSEANLQRTLPMVLRYLKQKAKR DRIRIDEYFRDCDKLRKGKITRSQFAAGLNAAGFVLSNVDVEILANEFKYAVETERDV DGAALVAWTRFADEIDAVFTVKGLERDPTRDIRHSIEAAEQTDAIVDTVLAPDDEAAV VAAMQGMATFIQHQRLDIRPPFEDFDLSNQGFVSASKFNRVLSIFNLLPANAATARLL TVKFSERGNAPHLGVSSTCDVNYRAFLSALANLAPGKDDATTTVLPGTFHALPDRIRI TTTCRCERVSPTPVPYRRGSIDRRGLAPARQASSRRRGGVAAQGYSIANRHKTHPAQA IFHRERSPAQRRHLRRQVPHRHQPQWTSCGCKRHPHAQFGLPVHDEA H257_15300 MAASKAEYRLVKAKLRTACTKRRIRLEEFMKTFDVHKTKKITAD QFTRAIDASGIRLSKPEVDLLIAKYRLPDDTRSVDYRRCCDLIDKPCTTKKADKLLKQ PHNKMGVAPPSLGLQPVDAEALRSVKDKLATAIRTKGIVLKDVFHDFDKNNSGVVTKA RFVRDLSAVVGGDLSLREVDAITKAYGSGPLDVHYKQLHADLQVFPSSSLDNDSNNDS PRPRRRVSNQGSGNDVDAVEAPLRTIVARDRIRMKNFFTDYDRLRAGKCTDGQFQRAV KVCFGALTEKDLSILVSAYSVPSEDPSHERKVDYVAFCKSVSSLVQDRDDANDELHVD VAPLPTAPCTRDTRGDLTDAEAASWTDIMTRLSHTVATRRILLKPLFCDFDRGKTECV SCEQFARVLAMFNMFLTSADEKLAILKRYASTRLNSLPPSHLSFDNKAFVNFKTFCLD LDEFQRRDAAASTSSCPVSSSASPLSGHVAKVQVQVSEANLQRTLPMVLRYLKQKAKR DRIRIDEYFRDCDKLRKGKITRSQFAAGLNAAGFVLSNVDVEILANEFKYAVETERDV DGAALVAWTRFADEIDAVFTVKGLERDPTRDIRHSIEAAEQTDAIVDTVLAPDDEAAV VAAMQGMATFIQHQRLDIRPPFEDFDLSNQGFVSASKFNRVLSIFNLLPANAATARLL TVKFSERGNAPHLGVSSTCDVNYRAFLSALANLAPGKDDATTTVLPGTFHALPDRIRI TTTCRCERVSPTPVPYRRGSIDRRGLAPARQASSRRRGGVAAQGYSIANRHKTHPAQA IFHRERSPAQRRHLRRQVPHRHQPQWTSCGCKRHPHAQFGLPVHDEA H257_15301 MGRKRQADESEAEAGDDVIRLRLLEGTRQHYNTMVSHFKRWLQA NNPVHVVGETIMLPLPENVCKMYLSYVSVKRDKQGNELLPRTYNTASTVNGYKSAIKF LYKESSMEVSSEVDSTLSGTFVSKLF H257_15302 MHDKAIAVWTVDSELRLSSAFQQQATKPKHVAAGGKNLREPGWQ CVLEALQPFGFDSTGQLQSKWRRMKCDFADYAFLLNLSGFGDGFSDDKWGELDAGRPK SKLSRFKDKPYQHWEAMSSVIGDSMARGDNIVSASGIVQGMSTAEDVVGAVVKRGVAR SSSVVECGDVDLDQAVAEAVASQEEFSRPGFDAKRTQSRFTLLLEGHRVRDDESMRAS GVAEDYSEKSQLLDELSSVYDDWKKRDKLRLEDVMREAERVETMGATIREEAMQSLGK RKKIDQVDGDAGGGNNGGTLAKMMKMMHDDNNADLEFRKYQYEKDQEEREAVRSREYE ERRNSITHAS H257_15303 MDGNNMQKEVYSGHKRVYCLNYQAVTAPDGICVHFFGPAEGRRH DTTMLRYSGLLDYLEAHSGLFWRKCIYGDPAYGVSKFLLSGYKGNGLSNAQRDFNKWM SRVRQSVEWNFKVMKTLWAFITFKGLSKIRLSLVAKVVCVAMLLTNCHCCYFGGNQIS KFFKLDPPSLESYLDTLDIIDV H257_15304 MGRNPKLTDRTKAAILREASKAKARLLKNTEHLEHVFRAATPML TAAQKAPRLKWAETYVTLDEAWKRIVFSDEKKFNLVGSDEYHKYWYDKRQPKQQRMNR QQGSGSFMIWAAFLWSGKSRVALLQGRQRGRFIPQPVPEATNMDHSSAGMTPPPTARP RGHAATSGVQDDDATMVPSARDLATLTEQAATPGSGAHASSYSFLRMHTVLSHGIVDL RNSIQRNERSLRVLQEVRAAMASTLGSGPHDMSQACHGLVDEVEFLKATLSEVNAERL KSRSEPWSKDNTTPGSSVTKPRPNDQQEELWDLASRLTAQTEKVTELGRRVKEADQAL EVMTAEVNDARDYTAHSARLVAGKEGVVKLALQNKSLVVAEMSDLRRSLEAGRENSEK ARQDRDRALPTAGELQEQLRVAQDRIAKLEAQPLRFSASNPAWDILLAENQDLREAAK TQKARAKDSRVRNKILRSQTETFQADALQKLGALESRVQGYESQDQLHREDLVQLEKL LREKQASHDLEEKLQAFQESERTSARALLHANEHFQDAVPSFWDWVAQHLQVSGAAGV APLIEAWTLSDPDRFKSCIESVGIFPAKVARGLTEPLLGRVRGPTFSAWVNMALPVMR DALKESSTPSSGVLEADVVEAPRGSGAKGSTLPGQAGAKAPVPPSPSRSTKRSASGGR PGKRKVSRVHTAVPLPFADPNLHRDVDATYMAVASSTPGVRWPSSLTNSSIVDALQVT RYVRGIGTLEHSLSCQKRIFRVRVCVGRQA H257_15305 MTLRDCRDDDENPDDAAHRSAHALSKSSEGGGIDQLDANVMAHL LQFLAMNDRVQLVSTCKSLAQGGVATKFETCCGACAPCVSGLQHLCTDAPERQWARQT WTSILRRHGHALVELHLVGCVHLSPDVFASPEVRRILQHLRVLRIDMCPGLNTRSLHS LLATCENLRRVHIVNMPLDDAGLTALVDRNRRTLRAVDLTGCHLLVGSGLQALRGSQV EQVSLEGCHRVKMCELEGMLEAYCNTLHLRSLNVQYCHHVDDRIAQCIAANVPTLEHL NMRYCYKLTDGGVASICASLGRLKTLDLSQCPRITDAAVRAISTSLPLLQHLKLWSCR QLTSTLTLPSLNVAGQKTNSHRHVGSKEGSTKWQQTDQVGQFQRQVLLLNQAQIVRLQ C H257_15305 MTLRDCRDDDENPDDAAHRSAHALSKSSEGGGIDQLDANVMAHL LQFLAMNDRVQLVSTCKSLAQGGVATKFETCCGACAPCVSGLQHLCTDAPERQWARQT WTSILRRHGHALVELHLVGCVHLSPDVFASPEVRRILQHLRVLRIDMCPGLNTRSLHS LLATCENLRRVHIVNMPLDDAGLTALVDRNRRTLRAVDLTGCHLLVGSGLQALRGSQV EQVSLEGCHRVKMCELEGMLEAYCNTLHLRSLNVQYCHHVDDRIAQCIAANVPTLEHL NMRYCYKLTDGGVASICASLGRLKTLDLSQCPRITDAAVRAISTSLPLLQHLKLWSCR QLTSTLTLPSLNVAGQKTNSHRHVGSKEGSTKWQQTDQVGQFQRQVLLLNQAQIVRLQ C H257_15305 MTLRDCRDDDENPDDAAHRSAHALSKSSEGGGIDQLDANVMAHL LQFLAMNDRVQLVSTCKSLAQGGVATKFETCCGACAPCVSGLQHLCTDAPERQWARQT WTSILRRHGHALVELHLVGCVHLSPDVFASPEVRRILQHLRVLRIDMCPGLNTRSLHS LLATCENLRRVHIVNMPLDDAGLTALVDRNRRTLRAVDLTGCHLLVGSGLQALRGSQV EQVSLEGCHRVKMCELEGMLEAYCNTLHLRSLNVQYCHHVDDRIAQCIAANVPTLEHL NMRYCYKLTDGGVASICASLGRLKTLDLSQCPRITDAAVRAISTSLPLLQHLKLWSCR QLTSTLTLPSLNVAGQKTNSHRHVGSKEGSTKWQQTDQVGQFQRQVLLLNQAQIVRLQ C H257_15305 MTLRDCRDDDENPDDAAHRSAHALSKSSEGGGIDQLDANVMAHL LQFLAMNDRVQLVSTCKSLAQGGVATKFETCCGACAPCVSGLQHLCTDAPERQWARQT WTSILRRHGHALVELHLVGCVHLSPDVFASPEVRRILQHLRVLRIDMCPGLNTRSLHS LLATCENLRRVHIVNMPLDDAGLTALVDRNRRTLRAVDLTGCHLLVGSGLQALRGSQV EQVSLEGCHRVKMCELEGMLEAYCNTLHLRSLNVQYCHHVDDRLELGLRSALLRTFRP WNTSICATATNSRTAAWPPSARRWAG H257_15305 MTLRDCRDDDENPDDAAHRSAHALSKSSEGGGIDQLDANVMAHL LQFLAMNDRVQLVSTCKSLAQGGVATKFETCCGACAPCVSGLQHLCTDAPERQWARQT WTSILRRHGHALVELHLVGCVHLSPDVFASPEVRRILQHLRVLRIDMCPGLNTRSLHS LLATCENLRRVHIVNMPLDDAGLTALVDRNRRTLRAVDLTGCHLLVGSGLQALRGSQV EQVSLEGCHRVKMCELEGMLEAYCNTLHLRSLNVQYCHHVDDRLELGLRSALLRTFRP WNTSICATATNSRTAAWPPSARRWAG H257_15305 MTLRDCRDDDENPDDAAHRSAHALSKSSEGGGIDQLDANVMAHL LQFLAMNDRVQLVSTCKSLAQGGVATKFETCCGACAPCVSGLQHLCTDAPERQWARQT WTSILRRHGHALVELHLVGCVHLSPDVFASPEVRRILQHLRVLRIDMCPGLNTRSLHS LLATCENLRRVHIVNMPLDDAGLTALVDRNRRTLRAVDLTGCHLLVGSGLQALRGSQV EQVSLEGCHRVKMCELEGMLEAYCNTLHLRSLNVQYCHHVDDRLELGLRSALLRTFRP WNTSICATATNSRTAAWPPSARRWAG H257_15305 MAHLLQFLAMNDRVQLVSTCKSLAQGGVATKFETCCGACAPCVS GLQHLCTDAPERQWARQTWTSILRRHGHALVELHLVGCVHLSPDVFASPEVRRILQHL RVLRIDMCPGLNTRSLHSLLATCENLRRVHIVNMPLDDAGLTALVDRNRRTLRAVDLT GCHLLVGSGLQALRGSQVEQVSLEGCHRVKMCELEGMLEAYCNTLHLRSLNVQYCHHV DDRIAQCIAANVPTLEHLNMRYCYKLTDGGVASICASLGRLKTLDLSQCPRITDAAVR AISTSLPLLQHLKLWSCRQLTSTLTLPSLNVAGQKTNSHRHVGSKEGSTKWQQTDQVG QFQRQVLLLNQAQIVRLQC H257_15306 MARGIMLPSCFAALVMAACFLLHGVHGNGGIVLVPSGSVSLVSG RNVTGFLSCDPPPTEDVVVDMALTSRGGESEHNFPIISPNRVRILAGTKSASFSVLGR GEGRYYVQYQVTVNLNYHVKHAESVIMVMNANDGWGGIQFQIGLNMAVFGAGLAFFLW RRLCPRLRLPFWSSHPVGLFEKINYDQLPPGKFQSKYGVALLHGSSLGDRARRFVSLP CDGKDIIEIAGLDAALSMRLHVDMGHLFLILTVFSCGVLMPIHYMSGKMDDASDPYLD LSFQETTIGNVPLQSHWYWGHVAMTYITALCVLKLLQRQLDVGKRVNIDSTRAIGHRS VLINSGLPKDMNSFQLRQKLASFLSPDDIKATVVIHDLQALYRILDQRVTLRNEYNRL ITTNAQSISGTLPGCVRWCPGDICCPSAPLLAVSYARCLPCRSLCCPSAAADRGTVQY SPEHSGGRDIQAILAHALPRDRRRADWIQHELESFPSHVLDLYAKRQSTGAAFVVFSS MKAKHDFEARVRAAQRRQLPEVAFPWDATSSHRDLIAASMEEQPEDTSMLAPLVLRAA PEPDDVHWPNLTYQPQSAKRVCMFVFYQVTTVVIMILFSTPTAVLIYVKLDTHSAVYA IFTHDISSVLAKFITSYLPSLLLITVNWCLLTSLFYLTTVEPWLSESERMKSFLNKGF SYLLLSSIVLPSIGVTAVYLATDQGASLHHGSEAAYIERFMFQLCRNFFIAYVCQRAF LGSILQLLRVGERLVYQPWLRARAVTKAEIREADRPWPYYFGYDYAVVLSTFMVTLLG VVLSPLLTPFGALYFYMKFVAMKYNLVYVHPKSAGRGHVARSAYTIIFICLVLLEFTV AVVILEVGRKEQFAAMVFLMGATIVLYVGWWRRRNRGVFYSWQQQRRQQAEGASKARD RVKILKHHADDVQKREAFARLVASTIARRQQDEQRAYVNPYDAGLKVFNCIYTQQKTV CDDVRDKAAAFAKLKQVTAHSHEFLAKPNE H257_15306 MARGIMLPSCFAALVMAACFLLHGVHGNGGIVLVPSGSVSLVSG RNVTGFLSCDPPPTEDVVVDMALTSRGGESEHNFPIISPNRVRILAGTKSASFSVLGR GEGRYYVQYQVTVNLNYHVKHAESVIMVMNANDGWGGIQFQIGLNMAVFGAGLAFFLW RRLCPRLRLPFWSSHPVGLFEKINYDQLPPGKFQSKYGVALLHGSSLGDRARRFVSLP CDGKDIIEIAGLDAALSMRLHVDMGHLFLILTVFSCGVLMPIHYMSGKMDDASDPYLD LSFQETTIGNVPLQSHWYWGHVAMTYITALCVLKLLQRQLDVGKRVNIDSTRAIGHRS VLINSGLPKDMNSFQLRQKLASFLSPDDIKATVVIHDLQALYRILDQRVTLRNEYNRL ITTNAQSISGTLPGCVRWCPGDICCPSAPLLAVSYARCLPCRSLCCPSAAADRGTVQY SPEHSGGRDIQAILAHALPRDRRRADWIQHELESFPSHVLDLYAKRQSTGAAFVVFSS MKAKHDFEARVRAAQRRQLPEVAFPWDATSSHRDLIAASMEEQPEDTSMLAPLVLRAA PEPDDVHWPNLTYQPQSAKRVCMFVFYQVTTVVIMILFSTPTAVLIYVKLDTHSAVYA IFTHDISSVLAKFITSYLPSLLLITVNWCLLTSLFYLTTVEPWLSESERMKSFLNKGF SYLLLSSIVLPSIGVTAVYLATDQGASLHHGSEAAYIERFMFQLCRNFFIAYVCQRAF LGSILQLLRVGERLVYQPWLRARAVTKAEIREADRPWPYYFGYDYAVVLSTFMVTLLG VVLSPLLTPFGALYFYMKFVAMKYNLVYVHPKSAGRGHVARSAYTIIFICLVLLEFTV AVVILEVGRKEQFAAMVFLMGATIVLYVGWYTCSYLLYISSTL H257_15307 MSTTKTSLKALLWDMDGVLAEVSRSYRSAIIDTAASFGVTVTHV DIDTAKLAGNANNDWVLTHRLISASAVEVTLEQVTVAFEEIYQGTDSTPGLYRLETLL PSKGLLEELNRRLPNGMAVVTGRPKKDCVKFLKDFNLEALFPVTICMEDGPPKPSPVP IILALNALGVTADEAAMIGDTVDDIVAAVRANVTAYGVLTPQVHAMSILENTSPALTP ILLASGAVQVLRPGCDELLDVITAPSVAGPAKEDDTSHYFTGANARVSCVTRKTKETS IQVQLNLDGSGLCEVSTGIGFLDHMLDAVAKHARFNLVLVCKGDTWIDDHHTVEDCGL ALGEAFDQALGKREGIARFGSALVPLDEALAQVVVDISSRASSWIELKLVRPSIGTLS TEMISHFFVSFASAARLTLHVDVLKGSNDHHKSEAAFKAFARAMRLAVSVDATAGVPS TKGLLV H257_15308 MATFRELGHCIWQAVGSASHGKHVTVLGGVHGNELTGIHVVEWL RSHCPPLVSGSLTLILGNPKAIAINERGSTPHADLNRHFTMQVLAPSDSPFQYEAARA KHIAPFLQQSDLVLDLHSTNKPSVPFLKLAGQLTPEHASVGRWFPCDVLLHDPNYQLA GGIALTDEYTGAHGGVGIIFESGEAGDTSRVAAVADAVLRILTHEMAMLPVDTAMPPP PSQPTAFEVTEVLRLPASGFAWANGHGDKNFDRVPANEMFATVHSVDLCVPYESFIVF PKVPSLWKVGSPVGWLAKQIK H257_15308 MATFRELGHCIWQAVGSASHGKHVTVLGGVHGNELTGIHVVEWL RSHCPPLVSGSLTLILGNPKAIAINERGSTPHADLNRHFTMQVLAPSDSPFQYEAARA KHIAPFLQQSDLVLDLHSTNKPSVPFLKLAGQLTPEHASVGRWFPCDVLLHDPNYQLA GGIALTDEYTGAHGGVGIIFESGEAGDTSRVAAVADAVLRILTHEMAMLPVDTAMPPP PSQPTAFEVTEVLRLPASGFAWANGHGDKNFDRVPANEMFATVHSVDLCVPYESFIVF PKVPSLWKVGSPVGWLAKQIK H257_15308 MATFRELGHCIWQAVGSASHGKHVTVLGGVHGNELTGIHVVEWL RSHCPPLVSGSLTLILGNPKAIAINERGSTPHADLNRHFTMQVLAPSDSPFQYEAARA KHIAPFLQQSDLVLDLHSTNKPSVPFLKLAGQLTPEHASVGRWFPCDVLLHDPNYQLA GGIALTDEYTGAHGGVGIIFESGEAGDTSRVAAVADAVLRILTHEMAMLPVDTAMPPP PSQPTAFEVTEVLRLPASGFAWANGHGDKNFDRVPANEMFATVHSVDLCVPYESFIVF PKVPSLWKVGS H257_15308 MATFRELGHCIWQAVGSASHGKHVTVLGGVHGNELTGIHVVEWL RSHCPPLVSGSLTLILGNPKAIAINERGSTPHADLNRHFTMQVLAPSDSPFQYEAARA KHIAPFLQQSDLVLDLHSTNKPSVPFLKLAGQLTPEHASVGRWFPCDVLLHDPNYQLA GGIALTDEYTGAHGGVGIIFESGEAGDTSRVAAVADAVLRILTHEMAMLPVDTAMPPP PSQPTAFEVTEVRQESCTERPGGFIRVLGAPTASVWLCVGQRSRRQELRPRACQRNVR DGSLC H257_15309 MMQGDDDRSRRRVSLKPHALVTMYQGLKTLSPLMKSKSNRNIVV NPTLVGMLADPLAKVGEKMHHVAPGTYDEVVERFKAARQEVLERHDRVAVEDRVPKSV GLVKDLLPPVPQHDASSSSTSKPWTLNDILNEFQVEKQVLMDQKHWLRTQAEHQVHSV LVPAGLHQLKDALHEAGMDTTLIEAVLQNTVTGAQVPALTSILQAHPELQAMLHIVQA SLHDDKRGLHLPPPPPPHRPLDSEHSELRRSHAKSANAKSRDLLGSVTLKPEVSTQEP RPDDPTNRPTKNPLYDVPLSILKGLTSPHHPRDKKLDLLTTLTTLANHIVDDKVDEIK LSLVEASHASHALYAATTFILLAVMFGALSLFLLSLWFTQFLNVYLSLSYLMALITAS GSAIFLGLLFAFVGARIVHNTMTSLQVILLSPQSSRHPPKPTTSRSPDHDKDEEEVTL SPTRTSALGMLSSFLSSFHKKAPKSSITSSSSSSTAIPTPAAAACDSPHVTNELDAVK ELKKVASRLSLVSDDDRLAQIPEETNQSDPNGDTRTAGAAATHDRANPTTRQQPTPPP PCAPIDANDVDIVMETECLPPPDRPTPHVEVRYDDDEGGHDVPAVVVVRPSHARKRRI HTSETSTAVEWMCSGRPPSRRRREGPHTCRREGMCWVKRSSTWELHAVDWGALLRHKN LVARVHLPRLSRRGCVGLVVRAGGTSPILIAVPRWIRQTKPSHANTSIERTAAHAFVT RILHQGHVAYALVHLDKASSNT H257_15309 MDQKHWLRTQAEHQVHSVLVPAGLHQLKDALHEAGMDTTLIEAV LQNTVTGAQVPALTSILQAHPELQAMLHIVQASLHDDKRGLHLPPPPPPHRPLDSEHS ELRRSHAKSANAKSRDLLGSVTLKPEVSTQEPRPDDPTNRPTKNPLYDVPLSILKGLT SPHHPRDKKLDLLTTLTTLANHIVDDKVDEIKLSLVEASHASHALYAATTFILLAVMF GALSLFLLSLWFTQFLNVYLSLSYLMALITASGSAIFLGLLFAFVGARIVHNTMTSLQ VILLSPQSSRHPPKPTTSRSPDHDKDEEEVTLSPTRTSALGMLSSFLSSFHKKAPKSS ITSSSSSSTAIPTPAAAACDSPHVTNELDAVKELKKVASRLSLVSDDDRLAQIPEETN QSDPNGDTRTAGAAATHDRANPTTRQQPTPPPPCAPIDANDVDIVMETECLPPPDRPT PHVEVRYDDDEGGHDVPAVVVVRPSHARKRRIHTSETSTAVEWMCSGRPPSRRRREGP HTCRREGMCWVKRSSTWELHAVDWGALLRHKNLVARVHLPRLSRRGCVGLVVRAGGTS PILIAVPRWIRQTKPSHANTSIERTAAHAFVTRILHQGHVAYALVHLDKASSNT H257_15310 MEEKNLLLSGEILLGRWRVVEKIGEGTFSQIYTAFDLTNPAHKV AVKVEAPSQMKPVLEWESQVLVALQRKNPYVCKYHHHGKHGDNFILIMELLGDNMSKM RLQPDATHGVPLPKCISAAIQMLDCLEAFHNAGYLHRDIKASNFALSNGKDPKRYFVI DFGLSKQHLDPQGLPIPPRDKAEFRGTSMYASLAAHRREDLGRRDDLWSWMYLVLDFI RGELPWAHDAQKKNREVVVALKEYFTETHPEQMLEGLPGARHLLAIMEHLKGLSYFDA PNYALVRKAVRAVEDANDEASLVQEWDALEDTSERASKWVRALGQGVMPPDMLLKLAK HYASFFELDSPTHERLEMQERIWKLEKRLNVAATAGPPTIQSFGERRQAEQRRKVEAL QRRREADVAVRQELAKRLHKVAAAPLEGERDGFEGKGIAAADGVKTAAGESPTQGTPL TNQMDHDTTSVGKPYIAAIGSRDGGSARPRPPKRSRWDA H257_15310 MEEKNLLLSGEILLGRWRVVEKIGEGTFSQIYTAFDLTNPAHKV AVKVEAPSQMKPVLEWESQVLVALQRKNPYVCKYHHHGKHGDNFILIMELLGDNMSKM RLQPDATHGVPLPKCISAAIQMLDCLEAFHNAGYLHRDIKASNFALSNGKDPKRYFVI DFGLSKQHLDPQGLPIPPRDKAEFRGTSMYASLAAHRREDLGRRDDLWSWMYLVLDFI RGELPWAHDAQKKNREVVVALKEYFTETHPEQMLEGLPGARHLLAIMEHLKGLSYFDA PNYALVRKAVRAVEDANDEASLVQEWDALEDTSERASKWVRALGQGVMPPDMLLKLAK HYASFFELDSPTHERLEMQERIWKLEKRLNVAATAGPPTIQSFGERRQAEQRRKVEAL QRRREADVAVRQELAKRLHKVAAAPLEGERDGFEGKGIAAADGVKTAAGESPTQGTPL TNQMDHDTTSVGKPYIAAIGSRDGGSARPRPPKRSRWDA H257_15310 MEEKNLLLSGEILLGRWRVVEKIGEGTFSQIYTAFDLTNPAHKV AVKVEAPSQMKPVLEWESQVLVALQRKNPYVCKYHHHGKHGDNFILIMELLGDNMSKM RLQPDATHGVPLPKCISAAIQMLDCLEAFHNAGYLHRDIKASNFALSNGKDPKYVHPL VAVFSLLFHLMQIIIIRRYFVIDFGLSKQHLDPQGLPIPPRDKAEFRGTSMYASLAAH RREDLGRRDDLWSWMYLVLDFIRGELPWAHDAQKKNREVVVALKEYFTETHPEQMLEG LPGARHLLAIMEHLKGLSYFDAPNYALVRKAVRAVEDANDEASLVQEWDALEDTSERA SKWVRALGQGVMPPDMLLKLAKHYASFFELDSPTHERLEMQERIWKLEKRLNVAATAG PPTIQSFGERRQAEQRRKVEALQRRREADVAVRQELAKRLHKVAAAPLEGERDGFEGK GIAAADGVKTAAGESPTQGTPLTNQMDHDTTSVGKPYIAAIGSRDGGSARPRPPKRSR WDA H257_15311 MTASGGRGKGWKRLEEKGTCGGMFQCLEITYAPDLLACVADIVP VDTSGWDDVALAFNFHRQKVDRRNVQAIKRRFKHLCSLTILTAGLTNRDHIVEAHRIQ NDIDRKKESLRGDVTSQPHGLPAGDVASSTTTAPDEPLAPTPPAPPAPISSTVASAIL RPKLLAALGKKIMTRPNMPPTRRQLTEALRTVHPELPTNQLGSEDGAATDGRQGDDAG TTAARPCTTSRASSARPPKRPRTALSSTYPPDEAPTSIMSMLWMLEQSREQWLQQMEE RREMWDKERDDRRERLRQERELRERERDDYRDQMEARRDERAVKLDQLLTLLLTKLLE NNYPATNRTV H257_15311 MTASGGRGKGWKRLEEKDLLACVADIVPVDTSGWDDVALAFNFH RQKVDRRNVQAIKRRFKHLCSLTILTAGLTNRDHIVEAHRIQNDIDRKKESLRGDVTS QPHGLPAGDVASSTTTAPDEPLAPTPPAPPAPISSTVASAILRPKLLAALGKKIMTRP NMPPTRRQLTEALRTVHPELPTNQLGSEDGAATDGRQGDDAGTTAARPCTTSRASSAR PPKRPRTALSSTYPPDEAPTSIMSMLWMLEQSREQWLQQMEERREMWDKERDDRRERL RQERELRERERDDYRDQMEARRDERAVKLDQLLTLLLTKLLENNYPATNRTV H257_15311 MTRPNMPPTRRQLTEALRTVHPELPTNQLGSEDGAATDGRQGDD AGTTAARPCTTSRASSARPPKRPRTALSSTYPPDEAPTSIMSMLWMLEQSREQWLQQM EERREMWDKERDDRRERLRQERELRERERDDYRDQMEARRDERAVKLDQLLTLLLTKL LENNYPATNRTV H257_15312 MSSSTDGLASGNNNSPWLYQALPPRHATSCVVPLKEKPMYLNSN ALKSLFQADRPGYQSINNATRRRKRLQTVQATMATEKPPPSVNQADDDLPRVHHRRRL RRRRSATTVPPTLGHRPTLDDAVLLSNNSGQPSNDAVASQLGLTADEFNALEASMGDH NNNKGDMEHKYRSVFDEFDVDHSGTISPDELRTLLKSVGEEDLDDADINDIIAQADAD KNGQIEFNEFIQMMQARKRLLAVVQQMGKNGGTGSTSQPSSSMQSPLPPLKMGQVQQS KKHMKHYNRFFTRPTPTCLRPGASADMTSLRRELALSEYGLKELDLKVREDVQWVQAN VPVTSLKAQLFCHKWGAEKMNALFSRILLNFQAKAFYKWIDYLKFLHTKLKADRYLKC KAGSRITTLMHTWTRKSLGRAWLAWSSGVREQRRNELHASAVEIQCLVRGFLSRTAVV RHLQHVGAVHFQRLVRGFLGRRRVLRTRRTNLELASASLLQRCFRGYAGRKLGRLLFQ TQAEHRAASHIQRAFRAYEQKLFARAVAQTSRQHDAATTIQCAGRRRLAVRETNRRRL MRQKDASVRCIQRVGRGMLGRRRASNARQQRAAAIKIQSQFKGTKGRRRAKHVRDEKA VKRLMVRRDRAALKIQSAWRGKNGRYAYHLKLRAKKQLEMELTRLRHTSAVRIQALYR GYKGRLLCAHLDADRALRRRQEQQIRAALKIQVAWRGFHGRLAVHLRRQAKAAIDVEE KAAAVKIQSIARGNRARVEAHRLHQRRRRDEVLRREREAAATTIQAAMRGKMGRTKAA AKRKMYQTSAQEALSKLVKHAKEEAAIRIQCCIRGFLSRQRYRRRLREHKAKLARLEL ERQEANAVIRIQCALRKRKATKLLAQRRMEFQKRISMMASEKASDEIARLRREQEAEL AAMKMQLLMEKDAIEKEASRLRLEVAARNDAAQNKLAEDQKQIAHEKLTAILEASRTD DALERLRLDERRARELDVERARVASAEARERQKAAEVARRQEEDAAMTLKHSLSTLDS MKTKELVRKQELALAKEKDKTAAQSKALAEHHAAIKMQGWGRKQLARRRIARIRKEQH AALDALKNEEQRAVLKAKQDKEHARLKMQMLLDEEARAQEQEVRELQLMLKQKELREK QRVEQKKHKDLAARKIQAAGRRYVARRELKQMQRQMEFERQKREKAAKDAAAADVQDE WVEYWDENAQASYYFNIRTQEASWTKPGYTNPTEVAAQLLSYSTALTAHDFQQDNYGA SYSPDKGDDVGYFDAGGHYHYYDTTNDTTPSDGWAQYKDEQSGAAYYYNHFTGERYWA H257_15313 MATGDMSNNAAKVRKQLVAIRYPHDIAASVETLARGNAADHLAE LLRILHYALLDHSRHVAELVQAADLDLYGKTDVKFVDGVLRFARDTFAYFPHLTTSQL LSPQHFRECKLIVVADLLAHVAQAHAEASRRRKQQQAVWIPPSHKPSFHRSGDLPRVP SHHVTPAQVDGTSSPWISMNLGQPKAARVVRHTRTTQRPSNGVAVHVSDALPTAPLHE VQTHSILDWNTPIEDVPSHAALDKDILNPHRSPDRIKRNMWFYSNQHDADEEDMYPRA SMNIQRAPSVDIPTPPKPVPVASASPRVPPNGHSSPPSPPVTLDDVLSALSKLEEVVA AKMDTLTHAFEAKFAALDDRVARLESVQVTRTTTPPSSLTSIPQVSPNQQDDPPPRVK LSTFIAQSTQAQYKWPPEPSVFGQYPSVSQP H257_15314 MSVDFRIRKNLSLTMTEPMLIPGSNTSCEGHSPEGVSSKKAKCL LNACVIAAPAMAGSAMYEVKLAYNNNKQTSMYKSKQDFFNLKSMFQLLAITSAKGCCQ LCQECSQDPCISMDVSCRGDNASDILDLFLSCLISKLQSVDRRVISDCSCHMGVVKIM TDFLELRNVMYFTSKSDENLYADDDAPLSVSHCSLSKSLSEHFSSFDSVC H257_15316 MTFGNQRWGDEDEDFLPQRTETEPDANGVRTIVSWKFDDDKNKV KVTTKIQKVQTVHKISKRVTERKSWGKFGEGLNVEGNTNVTYSSNETVFMDDPNADQI LPGEKKEEESVFSGVKQTSIVVCRHCGMVGDHWTLKCPYKDSAPPTADELAENTPPTE DARAAPSSSFASAASGGSRLANAFGGTSGKYVPPSQRGGAGAGASDDARQSRDDDSAT LRVTNISPETREDDLKDLFRTFGPLERVYLAKDRETFQSRGFAFVSFAYRGDAEKALN KLQGFGYDHLILKLEWAKPSTKTAVDEGAVGTTFRSGYGKALPQDIRK H257_15315 MLLTQEEIDACRDSFVHFDKDGYELAKVLEAMGQKPSEEELFQM ISEVDDDHSGEIEFPEFLRVIETQKRRAMEYDDESDFIDAYVACGGGPDKTGHVERRV LVHLIKKDFGLPIDIDKMLDELDTDGSGEIEYDEFKALLSQ H257_15315 MLLTQEEIDACRDSFVHFDKDGSGTIDKYELAKVLEAMGQKPSE EELFQMISEVDDDHSGEIEFPEFLRVIETQKRRAMEYDDESDFIDAYVACGGGPDKTG HVERRVLVHLIKKDFGLPIDIDKMLDELDTDGSGEIEYDEFKALLSQ H257_15317 MGINSPYHSSMEKEITKACKILDAFFNPKMDADKAVPFELLQNA AGIAFLTVIKAGMIWTGKVGTGIVLSRLEDGSWSPPSGIGTAGVGFGAEIGGEIIDFM IILGSQSAVQSFKRGTQLSVGAGLELAVGPVGRAGGANVNAGGSGLSSNYTYSHAKGL FAGVGLHGSTILVRGELNTTFYGREVSPMQILSGGVTPPPGSCDRLFETLQRAGADMS GGRSSEPFHVGDYGSHPLTGYGDTHRTSRSFSGRRDSAPSASSAGHASAAPSRQASFG TSSSNGPDPRLRRFTGYKTEQEIYAQYAKPAAAVAAPPAPSTTSTSSSSSISTSLSSS AAPTYQRTTSQVRSAPAIPGPAEGGETKQVFTEVINFMTSRVPYADIQTFKDNCRRFG QDQMSLDAYFFYLNSVCTTSLLRELIPKLVRLLPTHEKRVGLWELYVKQVY H257_15318 MDENDEVFVHHPKAREARRVLVHAIASAPPGTTAILLSGGLDTS IIAEAVGGRQFVDAITVSCGDDVGNPKHDLSYAQHIARRAKLNHHVVSIPDTLSIMDP SPSGALYLAVQTLQTFDPMELRGGVAVARALLHAQSLGITSIVTGDGADEMFAGYSFV TTLAEDKLNAWRERAAKHMQFCATKLGQALGISVYQPFVQPDVVAFALSCTKADLVAT HDGAIHGKFVLRAAFPEAFSRWRDKVPLETGAGTTPLGTLFDHHTPTDEFDQERKDIL AQDGIAVRSAEHLHYYRVFRTIFHRPNGADWTTRVRRHDSDPCVQCGFQLERPDQYFC KTCGAWPARTLLPPTS H257_15319 MEMNKATLRKICKDLDLYSTPSINDRLYLHYKGFRRIENLEEYT NVKVIWLEGNGFNKIEGLTEMRELRSLFLHENLLETIEGLEAQVYLDTLNLTQNHIAK IENLSHMTALTNLSMKGNYLRTADDIAHVLEIPSLSVLDIQSNRIADPAVVDVLAQMP NLRVLYLQGNDVVKHIKQYRKTVVARCKNLRYLDDRPVFDDERRRVNAWYKVLEDTNG DMDAALQAERDELVVIRQEKKDKDEANFRYFEEIMRKGKAERDRRESSNNQQDETSPY SGEKIVPAEDCKLVREARERRWAAVVNDEDVPVPHGSLLTLPPPPPSKAEVVEVDADR LKLLHDCAVVGTGATAAMDAFVNETFTLTNKDKAAKKVEQFQQMDGPRGGFAARLAAA QDDVAAKLMTTPLHTNMAELD H257_15320 MGLLDVVKAGVLTGDEVTAVYQYAKDHGFAIPAVNCTSSSTVNA VLQAARDIKSPVIIQFSNGGAAFYAGKGLSNKGEFASITGAVAGAHHVRLLAKHYGVP VILHSDHCAKKLLPWFDGMLAADEEYFAIHGVPLFSSHMLDLSEESLEENVHISKEYF KRMAKINLTLEIELGITGGEEDGVDNSGVDNSSLYSQPSDILYAYEQLSEVSHRFTIA AAFGNVHGVYKPGNVKLHPELLEGFQAHVAAKLSTGVTKPVFFVFHGGSGSTEQEIQT AVKAGVVKMNIDTDTQWAYWNGLRKFYIEKEGYLQAQIGNPDGADKPNKKYYDPRVWV RKAEEELIVRVHEAFRNLNSTNVL H257_15321 MTIPELEAIDSALEARLAANHRMQSELEEMETRILEFLKETDIA LFPAVEDVSCNCIPEHFVPPAALPQHLKRCHGIVTHEGEPSSTQFFYQQPPSVNTARQ TTQVPPPTESIPFEPVADPNDHFVDVSFDDDAAGVTDMSTLDDDVAPSLVEPSKSDTN TVVSFKDAVLAIASTPHDLHAHCSSWTSIPAVFSRPDTSTLAASSPIIHTWATSWCYS KANSPNDSATQALVAYIVQLVEHPDYCHPDIMAGELGEFLGASTSRFVLELWAVLTVV LAIDQVCFLPTTTPASFVRELASIQQTRRLALPSLAHASLNTAAPQHGALRTPATAAG NPEKKRKLPSYPRKAGSKRTSPIQVLRQVIANQMEALAHTSGWQVIEQDQPTRSTQDG EKAAAASRQYNYHLRYITNIGLIALYFCRRERLMGVTNPLDVRDWTRPRRRQQQRKRS ASRESSREPSKRSRRSATTTPEHHPRQRRHTSPRR H257_15321 MTIPELEAIDSALEARLAANHRMQSELEEMETRILEFLKETDIA LFPAVEDVSCNCIPEHFVPPAALPQHLKRCHGIVTHEGEPSSTQFFYQQPPSVNTARQ TTQVPPPTESIPFEPVADPNDHFVDVSFDDDAAGVTDMSTLDDDVAPSLVEPSKSDTN TVVSFKDAVLAIASTPHDLHAHCSSWTSIPAVFSRPDTSTLAASSPIIHTWATSWCYS KANSPNDSATQALVAYIVQLVEHPDYCHPDIMAGELGEFLGASTSRFVLELWAVLTVV LAIDQVCFLPTTTPASFVRELASIQQTRRLALPSLAHASLNTAAPQHGALRTPATAAG NPEKKRKLPSYPRKAGSKRTSPIQVLRQVIANQMEALAHTSGWQVIEQDQPTRSTQDG EKAAAASRQRERLMGVTNPLDVRDWTRPRRRQQQRKRSASRESSREPSKRSRRSATTT PEHHPRQRRHTSPRR H257_15321 MTIPELEAIDSALEARLAANHRMQSELEEMETRILEFLKETDIA LFPAVEDVSCNCIPEHFVPPAALPQHLKRCHGIVTHEGEPSSTQFFYQQPPSVNTARQ TTQVPPPTESIPFEPVADPNDHFVDVSFDDDAAGVTDMSTLDDDVAPSLVEPSKSDTN TVVSFKDAVLAIASTPHDLHAHCSSWTSIPAVFSRPDTSTLAASSPIIHTWATSWCYS KANSPNDSATQALVAYIVQLVEHPDYCHPDIMAGELGEFLGASTSVLGSILIYFFCVI DTLSSYVALCTGAMGGADCGTSNRPSLLPSYDDARLICKGTRVDSTDAAASPTKFSPC ELEHSSTTARSAPYPGHSSRQPREEAEAAVISSQGWIEANVPHTGASASDR H257_15321 MTIPELEAIDSALEARLAANHRMQSELEEMETRILEFLKETDIA LFPAVEDVSCNCIPEHFVPPAALPQHLKRCHGIVTHEGEPSSTQFFYQQPPSVNTARQ TTQVPPPTESIPFEPVADPNDHFVDVSFDDDAAGVTDMSTLDDDVAPSLVEPSKSDTN TVVSFKDAVLAIASTPHDLHAHCSSWTSIPAVFSRPDTSTLAASSPIIHTWATSWCYS KANSPNDSATQALVAYIVQLVEHPDYCHPDIMAGELGEFLGASTSRFVLELWAVLTVV LAIDQVCFLPTTTPASFVRELASIQQTRRLALPSLAHASLNTAAPQHGALRTPATAAG NPEKKRKLPSYPRKAGSKRTSPIQVRPL H257_15321 MSTLDDDVAPSLVEPSKSDTNTVVSFKDAVLAIASTPHDLHAHC SSWTSIPAVFSRPDTSTLAASSPIIHTWATSWCYSKANSPNDSATQALVAYIVQLVEH PDYCHPDIMAGELGEFLGASTSRFVLELWAVLTVVLAIDQVCFLPTTTPASFVRELAS IQQTRRLALPSLAHASLNTAAPQHGALRTPATAAGNPEKKRKLPSYPRKAGSKRTSPI QVLRQVIANQMEALAHTSGWQVIEQDQPTRSTQDGEKAAAASRQRERLMGVTNPLDVR DWTRPRRRQQQRKRSASRESSREPSKRSRRSATTTPEHHPRQRRHTSPRR H257_15322 MDPTRSLAWVALLQKVDRRQLEATWMYPNVPKDHLHVLLHRVNV DAPRPDVFFVKYQDTWVYVGRPSVVAGDAVVVVASKCFDPEKYAALLDVLADEYVAAG SSPLALVRVYLALFTTGQYANPNTHVSPFEWNKNQAMAPYIPTSVTLDTFVSMFQSDD SAIVWLAILAKKRVVVTSDNIPDLLQLTRCLPQFAWHRQDWAILRPFTRGTSAEVADL CASGVYIAGMLHSDLHPTTSSSPLLYDVLVDVPTRTVTVATGCADLFSGLSWHNQCTD VLATYHHQPSTDDHSLLVDVVAKKTLTLIESIQAAALTSVHGLDEPLKWAVAVAEELV H257_15322 MDPTRSLAWVALLQKVDRRQLEATWMYPNVPKDHLHVLLHRVNV DAPRPDVFFVKYQDTWVYVGRPSVVAGDAVVVVASKCFDPEKYAALLDVLADEYVAAG SSPLALVRVYLALFTTGQYANPNTHVSPFEWNKNQAMAPYIPTSVTLDTFVSMFQSDD SAIVWLAILAKKRWPYLHLKVPLTTRGRFGRVVVTSDNIPDLLQLTRCLPQFAWHRQD WAILRPFTRGTSAEVADLCASGVYIAGMLHSDLHPTTSSSPLLYDVLVDVPTRTVTVA TGCADLFSGLSWHNQCTDVLATYHHQPSTDDHSLLVDVVAKKTLTLIESIQAAALTSV HGLDEPLKWAVAVAEELV H257_15322 MDPTRSLAWVALLQKVDRRQLEATWMYPNVPKDHLHVLLHRVNV DAPRPDVFFVKYQDTWVYVGRPSVVAGDAVVVVASKCFDPEKYAALLDVLADEYVAAG SSPLALVRVYLALFTTGQYANPNTHVSPFEWNKNQAMAPYIPTSVTLDTFVSMFQSDD SAIVWLAILAKKRVVVTSDNIPDLLQLTRCLPQFAWHRQDWAILRPFTRGTSAEVADL CASGVYIAGMLHSDLHPTTSSSPLLYDVLVDGIQAAVLLETPLRCGFLNAIDGQYRRA R H257_15322 MDPTRSLAWVALLQKVDRRQLEATWMYPNVPKDHLHVLLHRVNV DAPRPDVFFVKYQDTWVYVGRPSVVAGDAVVVVASKCFDPEKYAALLDVLADEYVAAG SSPLALVRVYLALFTTGQYANPNTHVSPFEWNKNQAMAPYIPTSVTLDTFVSMFQSDD SAIVWLAILAKKRWPYLHLKVPLTTRGRFGRVVVTSDNIPDLLQLTRCLPQFAWHRQD WAILRPFTRGTSAEVADLCASGVYIAGMLHSDLHPTTSSSPLLYDVLVDGIQAAVLLE TPLRCGFLNAIDGQYRRAR H257_15323 MALPPEYVDGLYRKVLVSVKASNGIPTDEEDYHFQHKFNQDFRD GVDALGGRVTSLLHRLRKANFPRNDDNGDDDIVDFDPLEENGALTDLVDGLLEKATQQ IIRFQKGDVDPSPSTAAAPPVVLPTTSKVSSRPQDSFEDKVDNSDSPFVSKLRAKLHA ASTPGNPVDDDDDDVYHPYRSEITNLTYQPWQVEPTVSHPGMISLDDATYTYVDTPAA LAAMLAELQAAPMLAVDLENHSFHSFQGFLCLMQISTWTCDWVVDTLALRSHLHALNA VFCDPTKLKVLHGADSDIVWLQRDLGLYMVNMFDTGQAARALQYPRFSLAYLLQTHCG ITADKQYQLADWRVRPLDEHMIKYAREDTRYLLYVYEVLKQELLQATPANLLHATLTR SQALCLSVYAKPRVPTPDDVSYLCFKLKATVGLQVISDTQVRVMEHLVGWRDTLARRA DESPGYVCPNAVLMKITKGLPSTPAALFRLCNPIPPLVRKHAHDITVLLKQAMAVSAE EVTATAASPHVVQPVETTRVQRRGVVVGTSQLDAFEGWTNVVARTATASKAASLISPK KPKSKTQQPKDNTQPPLFALQHEGTQDDGALDVLEQVRQALASKEFHVVDVSGLGQPT AAAATAAPQRVVTPKKEEAAVPLSLSEKYPKLLKKRKADQKSASTVDDKEEVEEEDKM SFQSFDYAKQSLAQTTMDLDLKPEVRHKRKKGGGYNPFVQATDETGMAPINQKKGYNA PRSSTFR H257_15324 MHPSSSYQRREYDANTKQWMKEALVSREVIDDLEAVNRKLQHEL LHKTRTLEAMDACVSSLGVSAKVRSAMEAKAATALSEATEDTSTPRRSPRKPQDALVR GGPGNKFSYSSEDYTNYVDQYSPPKDHEKISNPKKPLPSSDVKVSSPRRQKHDPQVFG STSDANKFHHGSSEHLMIVEQHSPKRTREVTECPKMEQAFISGSDSYQQTAAYASSYP GQTERNDFGRSWPFHREEKALQESKDLFGNPRKPPGNHIPLSAAETDAIDKLVRDSPR RKGNNHHFVDFHGYNS H257_15324 MHPSSSYQRREYDANTKQWMKEALVSREVIDDLEAVNRKLQHEL LHKTRTLEAMDACVSSLGVSAKVRSAMEAKAATALSEATEDTSTPRRSPRKPQDALVR GGPGNKFSYSSEDYTNYVDQYSPPKDHEKISNPKKPLPSSDVKVSSPRRQKHDPQVFG STSDANKFHHGSSEHLMIVEQHSPKRTREVTECPKMEQAFISGSDSYQQTAAYASSYP GQTERNDFGRSWPFHREEKALQESKDLFGNPRKPPGNHIPLSA H257_15325 MEAVWRMLWSSAQVKVGSVTCVQSLSLVSGQSSSKVYSRCGKSA GMPAGSVSGTAGAISGTAGVVSNTSGKESGTVGAESGIEGRGVSGSVGTEAGAIGVVS GTRWDTVSQNDSFVLGMSGAMLQVDGRLRLG H257_15326 MGEEVEKHILRKYDLLQKLGKGAYGVVWKALDKSTRQPVALKKC FDAFRNATDAQRTFREVMYLQELNGHNNIIRLLNVIKADNDRDIYLVFDFMETDLHAV IRANILEEIHKKYVTYQLIKALKFMHSADLLHRDIKPSNLLLNADCHTKLCDFGLCRS VSELGGPSPVLTDYVATRWYRAPEILLGSTRYTKAVDMWAVGCIVAEMVSGRPTFPGT STMNQLERILEVTGAPTAADIESIKSPFAATMLESLPPPKTQTLEELLPKATPEAIDM IRKCLYFDPTKRISADDAIKHPFVTQFHNDKEEPVANAPCQIVVDDNTKYSAADYRDR LYREIIKKKKEVRRQSTQQTISDATTAPSSAANVK H257_15327 MIIQTSSQIFKIFACNALRTQIDKINHASNDSQHPHLFNADTDA TVVAAAAPATDTSDFKGANHLRKILSTLCDETWALFMLFVIAASTQSIAGIYVIVAAS MVAVDHRIRGFIAAEDGAVPRVFLALLCARMAFDMSAYNGDATPLHTLFKRIPDPISL ADMVWMVMVKGVMLRLVSLSIEVFAGLLREWQSMVTKRVVRMFMRFKGIPSPPDTPAP QLTAARRVRAFVGMRRQVDPHFASILGVTSDQLPPSLAEFLSNIPTPTSLSQSSAADA LLSSHSDATLPPTASGGVHTDVLSEVQQEEEDPNASAIQALSGTTQVLSAQDGHIQQP DQGLSDLEPPTAENPPEASGLPDSAMHDTFPQVVAQLVGDAISAVPEQGCAARQPPPR LRSRVPSSQYTTGRGFWGAALNPSCWPHMFPPTTTPPNLE H257_15327 MIIQTSSQIFKIFACNALRTQIDKINHASNDSQHPHLFNADTDA TVVAAAAPATDTSDFKGANHLRKILSTLCDETWALFMLFVIAASTQSIAGIYVIVAAS MVAVDHRIRGFIAAEDGAVPRVFLALLCARMAFDMSAYNGDATPLHTLFKRIPDPISL ADMVWMVMVKGVMLRLVSLSIEVFAGLLREWQSMVTKRVVRMFMRFKGIPSPPGKSPL QPRRHLLSTVDTPAPQLTAARRVRAFVGMRRQVDPHFASILGVTSDQLPPSLAEFLSN IPTPTSLSQSSAADALLSSHSDATLPPTASGGVHTDVLSEVQQEEEDPNASAIQALSG TTQVLSAQDGHIQQPDQGLSDLEPPTAENPPEASGLPDSAMHDTFPQVVAQLVGDAIS AVPEQGCAARQPPPRLRSRVPSSQYTTGRGFWGAALNPSCWPHMFPPTTTPPNLE H257_15328 MGKQARVDNTTTPSPTNAITSACRGREATDAAPVSSTRPDISTT QQPRKHNEHNAAPGPPSKKPLPAQKRKKTNAERNAEPQPKPKTQPHTKPKPPNTATQP SQDDRQMRQNRHKNDHSQQPMRNHPHRTNSTPQPTQHATQVAPSRETNTPDPTNHPKA TSPRRKPEAPPHKQACERHARAVTTPTHVKKDVSSGCNERENAAAQHKRTANTFKTQA TPCKQPKTQASAQKNARHNIYTAPMAHPHEQNHQEPAKGLPLLVRKSKQGHTSNSNER NYASEIKPRTTTNDRNNDSTRGDNHHVPNPTPKMCIDRNKNDQPQRHTHTKKQMPDLQ QKTNQTQQRSAEQATCPTNQDNVSHDTPKTGRGTPPRHTTTKHEPAVGTRRRKATTPP PPARPTTPTHSARRGKRPALPVAQKKAHWRPKANHKRPPPPIQRQTRRNNRTPQTDQ H257_15329 MPQSLDQRDHQKEPNHHHDTTDGGGRRTSQTTRQAHQQAKTTSQ PNRHREAAVEGAFASGTAANNDYLNNAKRRKALHSQANSKKKKHETVKTSTTAGRTNS IK H257_15330 MPRRTHAQTEGAPHEQGHTVKHKDDAANGATVEKQKLTQKRKEL KQHAKSTQDNVKDNDPGPAMATNPKRRRKPAPKPPAPPHPAPATTPPADPAPPHAPTT NTQPTTTQPTPTANAATQAAHPPERVAHEQPSPTKPQDQDTPRPEQQARHRLATPTRE QAQRGERAGGHSNPPATTPTGTASTARTPAPKHNHRRQRNNPTQPPNAVAPRPRPAKT SATTTKHNHAHPEGSRNPPRPRRQDNRNAPTLT H257_15331 MPGVCALRRNQYYPENPHELQRMHQNQQKALNTSNDPTREANPK HATHHPKPTPTGDSGAHTTKTPQQNHQPIPHLSNQHNNDKAAHRHKTHEQLHHSTQIK NNNPPEPSAHHRQQTTNPEDRHPDPKPIHDTEKHKAARETLTNINVERNEGTHQDEHR DNNQPLPANILNDKMTTHNDNPSANHNQQHRRNTNQDINGEPDQEKIVDARDQISPQV HLQATAGTQEQKTQTPHAQAASPKQ H257_15332 MHRPNIHPHTNAETKTSANTTTKTTTTTRTTKTTPTAREGKAKT TKKTQNKTKKNKNKEKKNNDKNRTTTKDNKTKKENPRNDDARKEGNRNNKHEDHKKQV TATIATGYHPVAREAARQPGREKEGCAKPDDDKTKTETKDKRQETVSPPPNATRARNL GHPRNGTHQQKRTQRHRMQGCMQRGRTAHQPRPTSLPWPQPDFQPHQDKPRLKDKTNK RNQHNNKQGADPKKERNNHYQPPTHHHRRNTTGNTDHQRANLPMHDRTTNHKTKPVKK HHDEQLIYRPTPSINATHNHNNAIANIQAHDINRNKHTKPPQKRNNPARKNQVHPRTT DRDAMEQHTHHLNAPNTRHLEKAQYDRTNSASQTPKPIQSQRVPEHSATRAPLGSHYI HIMGARWTQKGTTAPPHTKAQARRRQQTIRDHTQHDSQQNHPPDTQPHRKRSQHPGNR RRRPRTGNSEWGHTPVRNQALNITPQHDQKDHEPPKRQDPQHSTRIHNHRKIKPKGHA EIARLKRDQARPPRQNPRPPNAQPHGKVDNKMRLGKDAPHQKIVEPLVKPQWFVNCEQ MAQDAMAAVRSKELTILPEHHEKTWFRWLENIRDWCISRQLWWGHRIPAYFATIVGEP FVDPNAETTNDRWFAGLTEHDARLKAAAKLHVDPSQIELKQDEDVLDTWVSAGLFPFA VFGWPDNQEVDDFYPTDLLETGYDILFFWVVRMVFMGQKLTGKLPFHTVYLHSIVRDK YGRKMSKSLGNVVDPLEVIAGCTLDTLLAKLDSGNLPEKEVEKAKKGQTADFPNGIPE CGADALRFGLLAYQQQVGDINLDIQRLVGYRNFCNKLWNATRFAMSHLDADDGDAGQR FHDGVAASVLSNPVVHARDRFILSRLHATIAAANTALAAYSFGEVTTALYNFWLYDVC DVYLELVKPVMAGTDAEAKKTAQQTLYLCLEFGLRLLHPIMPFVTEELWQRLPGHRSE ASIVIAAYPEAHHHTAWANPDAEAGMDLVKDIIHAGRSLRAEYGLANSARPACFLATD DPVLQATIEAQVDDFCTLSKAGETKVLLGTAPPAGCAIHVLSDKLQLHVLLSGLVDFA NEITKLDKKLQKLLPSLVALKKKRSKDDYTTKVPAAVRDADDAKWDALNKEKHAITTA IDAFTKCQHAETKP H257_15333 MVKKRVLSPAPLLDTALLTAFVEENGLKLVHAQKIWKHISTQVQ AQSTADISVRDIPHLPSHAYPLLEAKFAAFTTTVAEQHTSSDGTVKLLITLQDGHNIE AVIMKHSGRNTLCVSSQVGCQMGCTFCATGTMGIIADLCAGEILEQLAHAFRVAPIRN VVFMGMGEPLNNYDAVLAAIRAMTDVFGLAPKYITLSTVGVIHRIRQLKEDAPLVRLA LSLHAPTQDLRVQIVPTAKAYPLDKLMLAIDDHLKDRDNRMVMMEYIMLKHVNVAIAT AHDLGKLLAGKSVHINLIPYNATDVDAEFQSPTRDEIVAFKDVLRTDYNLKVTIRENH GMDIDGACGQLAVKKLEAGLPKSPFVPEQRDIEDLGQRKKTSSKTTKKQPPGKGDDAS SSSSMTTLLLATVLPIVAIVVTATLVWRRLKP H257_15334 MSTPSADAAPMTALEMQRRRLMLEREKMRSRSKTTKLATDTISK SNDPKVQHDTNNDRRSLSRESISPPSPLDPRCSSSSSTNVRGVVVNTSSFPTSRPDMC PSDRKARGSIDKPKMSAWNKVKSIDVKRELAENVVVRVMDNDPDDHQTGTSSSYSSTA AIDAPFSISIQLRDTSWRITRSISDYILLCPLVPGLAPLDAVTSDMSRTAVRAVFQHA LHVASRLCDAWAIDAFAAFFDNHFGMLALLLHAKHLSDRVVALESAHEATKGHLKACQ DTVGAQQVLLNSLAPSTPPSLRSAPPPSSSGSLWESASSPWSSASSSCVPSTSCRHLA TQTPMWVPSNSLPTAADVRAHRVLAQLAPSPAAVTHRQRIFHSIASIIKTSLRVLAPH AQVMLISGSAAHIFLPDSPLRVGVFFPTHSSGDTLLQWHMKVNEALCHASNAVCNAAI SNDSKNALLIQHVELSNAATDGTGPHVTCNVGHVTVDMCANPLRDLRGAHFMASIDAL IGRDHLFKRSVLLVYGWLRYECPSSSSSSSPSSPSSSSSPSPSSAGPTIGAAAPVSLY AIATLVLYVFNLYHDSIHLPLQAAAHFFGLFAAFPWDGFCVAIEGPRDLRSVTSPPQR PRDGAAPLWMPPHLLRRHRSGRLDDPPPPSTGSEESRLSVDDDDEEEVARMLHFPIKH INIMDPIDASVNLGHALSAKQATQFRQALDLGATKLHGVVSAMKKEMTSAVSTSLGST SSVSLLDGCFRHIAQRFQTGYRPDTAVVPPLDVPDDGALSGDLLQSLSVLTAPTPSHP PPSSVGTAPPGLSRPTPLSTSSVAPPCPTSGNALAVCLESLRHDVLVCDFVVTGRVTA PAVYSMAMEVLEDRGALPIGEIGKCLQETATAATALSAVLKDQFGGLKKFLEQYPAVF LVSSDHPFNPKVYLHRMLTESSAAQVLDGTLVEACRKKVRVRSTSTRNSQGVCRNPSP HDEARRQTGTTTATRRGPSRSRCCRPSMEWRVRRRRQGSASHCRCRREVCPSSRRSRS RQPRLFPTSTWHRRILRMRIVDFIGAE H257_15334 MSTPSADAAPMTALEMQRRRLMLEREKMRSRSKTTKLATDTISK SNDPKVQHDTNNDRRSLSRESISPPSPLDPRCSSSSSTNVRGVVVNTSSFPTSRPDMC PSDRKARGSIDKPKMSAWNKVKSIDVKRELAENVVVRVMDNDPDDHQTGTSSSYSSTA AIDAPFSISIQLRDTSWRITRSISDYILLCPLVPGLAPLDAVTSDMSRTAVRAVFQHA LHVASRLCDAWAIDAFAAFFDNHFGMLALLLHAKHLSDRVVALESAHEATKGHLKACQ DTVGAQQVLLNSLAPSTPPSLRSAPPPSSSGSLWESASSPWSSASSSCVPSTSCRHLA TQTPMWVPSNSLPTAADVRAHRVLAQLAPSPAAVTHRQRIFHSIASIIKTSLRVLAPH AQVMLISGSAAHIFLPDSPLRVGVFFPTHSSGDTLLQWHMKVNEALCHASNAVCNAAI SNDSKNALLIQHVELSNAATDGTGPHVTCNVGHVTVDMCANPLRDLRGAHFMASIDAL IGRDHLFKRSVLLVYGWLRYECPSSSSSSSPSSPSSSSSPSPSSAGPTIGAAAPVSLY AIATLVLYVFNLYHDSIHLPLQAAAHFFGLFAAFPWDGFCVAIEGPRDLRSVTSPPQR PRDGAAPLWMPPHLLRRHRSGRLDDPPPPSTGSEESRLSVDDDDEEEVARMLHFPIKH INIMDPIDASVNLGHALSAKQATQFRQALDLGATKLHGVVSAMKKEMTSAVSTSLGST SSVSLLDGCFRHIAQRFQTGYRPDTAVVPPLDVPDDGALSGDLLQSLSVLTAPTPSHP PPSSVGTAPPGLSRPTPLSTSSVAPPCPTSGNALAVCLESLRHDVLVCDFVVTGRVTA PAVYSMAMEVLEDRGALPIGEIGKCLQETATAATALSAVLKDQFGGLKKFLEQYPAVF LVSSDHPFNPKVYLHRMLTESSAAQVLDGTLVEACRKKKPKPTRRSKKADRDDDGDAP RSISLALLPSQHGVAGPPPPPGIGFPLPLPPRSLSFESSLKKSAAAFVPNLNLASSYT ENAYR H257_15334 MSTPSADAAPMTALEMQRRRLMLEREKMRSRSKTTKLATDTISK SNDPKVQHDTNNDRRSLSRESISPPSPLDPRCSSSSSTNVRGVVVNTSSFPTSRPDMC PSDRKARGSIDKPKMSAWNKVKSIDVKRELAENVVVRVMDNDPDDHQTGTSSSYSSTA AIDAPFSISIQLRDTSWRITRSISDYILLCPLVPGLAPLDAVTSDMSRTAVRAVFQHA LHVASRLCDAWAIDAFAAFFDNHFGMLALLLHAKHLSDRVVALESAHEATKGHLKACQ DTVGAQQVLLNSLAPSTPPSLRSAPPPSSSGSLWESASSPWSSASSSCVPSTSCRHLA TQTPMWVPSNSLPTAADVRAHRVLAQLAPSPAAVTHRQRIFHSIASIIKTSLRVLAPH AQVMLISGSAAHIFLPDSPLRVGVFFPTHSSGDTLLQWHMKVNEALCHASNAVCNAAI SNDSKNALLIQHVELSNAATDGTGPHVTCNVGHVTVDMCANPLRDLRGAHFMASIDAL IGRDHLFKRSVLLVYGWLRYECPSSSSSSSPSSPSSSSSPSPSSAGPTIGAAAPVSLY AIATLVLYVFNLYHDSIHLPLQAAAHFFGLFAAFPWDGFCVAIEGPRDLRSVTSPPQR PRDGAAPLWMPPHLLRRHRSGRLDDPPPPSTGSEESRLSVDDDDEEEVARMLHFPIKH INIMDPIDASVNLGHALSAKQATQFRQALDLGATKLHGVVSAMKKEMTSAVSTSLGST SSVSLLDGCFRHIAQRFQTGYRPDTAVVPPLDVPDDGALSGDLLQSLSVLTAPTPSHP PPSSVGTAPPGLSRPTPLSTSSVAPPCPTSGNALAVCLESLRHDVLVCDFVVTGRVTA PAVYSMAMEVLEDRGALPIGEIGKCLQETATAATALSAVLKDQFGGLKKFLEQYPAVF LVSSDHPFNPKVYLHRYGGVVVKASSREHGLTRSCACVSW H257_15334 MSTPSADAAPMTALEMQRRRLMLEREKMRSRSKTTKLATDTISK SNDPKVQHDTNNDRRSLSRESISPPSPLDPRCSSSSSTNVRGVVVNTSSFPTSRPDMC PSDRKARGSIDKPKMSAWNKVKSIDVKRELAENVVVRVMDNDPDDHQTGTSSSYSSTA AIDAPFSISIQLRDTSWRITRSISDYILLCPLVPGLAPLDAVTSDMSRTAVRAVFQHA LHVASRLCDAWAIDAFAAFFDNHFGMLALLLHAKHLSDRVVALESAHEATKGHLKACQ DTVGAQQVLLNSLAPSTPPSLRSAPPPSSSGSLWESASSPWSSASSSCVPSTSCRHLA TQTPMWVPSNSLPTAADVRAHRVLAQLAPSPAAVTHRQRIFHSIASIIKTSLRVLAPH AQVMLISGSAAHIFLPDSPLRVGVFFPTHSSGDTLLQWHMKVNEALCHASNAVCNAAI SNDSKNALLIQHVELSNAATDGTGPHVTCNVGHVTVDMCANPLRDLRGAHFMASIDAL IGRDHLFKRSVLLVYGWLRYECPSSSSSSSPSSPSSSSSPSPSSAGPTIGAAAPVSLY AIATLVLYVFNLYHDSIHLPLQAAAHFFGLFAAFPWDGFCVAIEGPRDLRSVTSPPQR PRDGAAPLWMPPHLLRRHRSGRLDDPPPPSTGSEESRLSVDDDDEEEVARMLHFPIKH INIMDPIDASVNLGHALSAKQATQFRQALDLGATKLHGVVSAMKKEMTSAVSTSLGST SSVSLLDGCFRHIAQRFQTGYRPDTAVVPPLDVPDDGALSGDLLQSLSVLTAPTPSHP PPSSVGTAPPGLSRPTPLSTSSVAPPCPTSGNALAVCLESLRHDVLVCDFVVTGRVTA PAVYSMAMEVLEDRGALPIGEIGKCLQETATAATALSAVLKDQFGGLKKFLEQYPAVF LVSSDHPFNPKVYLHRYGGVVVKASSREHGLTRSCACVSW H257_15334 MSTPSADAAPMTALEMQRRRLMLEREKMRSRSKTTKLATDTISK SNDPKVQHDTNNDRRSLSRESISPPSPLDPRCSSSSSTNVRGVVVNTSSFPTSRPDMC PSDRKARGSIDKPKMSAWNKVKSIDVKRELAENVVVRVMDNDPDDHQTGTSSSYSSTA AIDAPFSISIQLRDTSWRITRSISDYILLCPLVPGLAPLDAVTSDMSRTAVRAVFQHA LHVASRLCDAWAIDAFAAFFDNHFGMLALLLHAKHLSDRVVALESAHEATKGHLKACQ DTVGAQQVLLNSLAPSTPPSLRSAPPPSSSGSLWESASSPWSSASSSCVPSTSCRHLA TQTPMWVPSNSLPTAADVRAHRVLAQLAPSPAAVTHRQRIFHSIASIIKTSLRVLAPH AQVMLISGSAAHIFLPDSPLRVGVFFPTHSSGDTLLQWHMKVNEALCHASNAVCNAAI SNDSKNALLIQHVELSNAATDGTGPHVTCNVGHVTVDMCANPLRDLRGAHFMASIDAL IGRDHLFKRSVLLVYGWLRYECPSSSSSSSPSSPSSSSSPSPSSAGPTIGAAAPVSLY AIATLVLYVFNLYHDSIHLPLQAAAHFFGLFAAFPWDGFCVAIEGPRDLRSVTSPPQR PRDGAAPLWMPPHLLRRHRSGRLDDPPPPSTGSEESRLSVDDDDEEEVARMLHFPIKH INIMDPIDASVNLGHALSAKQATQFRQALDLGATKLHGVVSAMKKEMTSAVSTSLGST SSVSLLDGCFRHIAQRFQTGYRPDTAVVPPLDVPDDGALSGDLLQSLSVLTAPTPSHP PPSSVGTAPPGLSRPTPLSTSSVAPPCPTSGNALAVCLESLRYYIQCLYI H257_15334 MSTPSADAAPMTALEMQRRRLMLEREKMRSRSKTTKLATDTISK SNDPKVQHDTNNDRRSLSRESISPPSPLDPRCSSSSSTNVRGVVVNTSSFPTSRPDMC PSDRKARGSIDKPKMSAWNKVKSIDVKRELAENVVVRVMDNDPDDHQTGTSSSYSSTA AIDAPFSISIQLRDTSWRITRSISDYILLCPLVPGLAPLDAVTSDMSRTAVRAVFQHA LHVASRLCDAWAIDAFAAFFDNHFGMLALLLHAKHLSDRVVALESAHEATKGHLKACQ DTVGAQQVLLNSLAPSTPPSLRSAPPPSSSGSLWESASSPWSSASSSCVPSTSCRHLA TQTPMWVPSNSLPTAADVRAHRVLAQLAPSPAAVTHRQRIFHSIASIIKTSLRVLAPH AQVMLISGSAAHIFLPDSPLRVGVFFPTHSSGDTLLQWHMKVNEALCHASNAVCNAAI SNDSKNALLIQHVELSNAATDGTGPHVTCNVGHVTVDMCANPLRDLRGAHFMASIDAL IGRDHLFKRSVLLVYGWLRYECPSSSSSSSPSSPSSSSSPSPSSAGPTIGAAAPVSLY AIATLVLYVFNLYHDSIHLPLQAAAHFFGLFAAFPWDGFCVAIEGPRDLRSVTSPPQR PRDGAAPLWMPPHLLRRHRSGRLDDPPPPSTGSEESRLSVDDDDEEEVARMLHFPIKH INIMDPIDASVNLGHALSAKQATQFRQALDLGATKLHGVVSAMKKEMTSAVSTSLGST SSVSLLDGCFRHIAQRFQTGYRPDTAVVPPLDVPDDGALSGDLLQSLSVLTAPTPSHP PPSSVGTAPPGLSRPTPLSTSSVAPPCPTSGNALAVCLESLRYYIQCLYI H257_15334 MSTPSADAAPMTALEMQRRRLMLEREKMRSRSKTTKLATDTISK SNDPKVQHDTNNDRRSLSRESISPPSPLDPRCSSSSSTNVRGVVVNTSSFPTSRPDMC PSDRKARGSIDKPKMSAWNKVKSIDVKRELAENVVVRVMDNDPDDHQTGTSSSYSSTA AIDAPFSISIQLRDTSWRITRSISDYILLCPLVPGLAPLDAVTSDMSRTAVRAVFQHA LHVASRLCDAWAIDAFAAFFDNHFGMLALLLHAKHLSDRVVALESAHEATKGHLKACQ DTVGAQQVLLNSLAPSTPPSLRSAPPPSSSGSLWESASSPWSSASSSCVPSTSCRHLA TQTPMWVPSNSLPTAADVRAHRVLAQLAPSPAAVTHRQRIFHSIASIIKTSLRVLAPH AQVMLISGSAAHIFLPDSPLRVGVFFPTHSSGDTLLQWHMKVNEALCHASNAVCNAAI SNDSKNALLIQHVELSNAATDGTGPHVTCNVGHVTVDMCANPLRDLRGAHFMASIDAL IGRDHLFKRSVLLVYGWLRYECPSSSSSSSPSSPSSSSSPSPSSAGPTIGAAAPVSLY AIATLVLYVFNLYHDSIHLPLQAAAHFFGLFAAFPWDGFCVAIEGPRDLRSVTSPPQR PRDGAAPLWMPPHLLRRHRSGRLDDPPPPSTGSEESRLSVDDDDEEEVARMLHFPIKH INIMDPIDASVNLGHALSAKQATQFRQALDLGATKLHGVVSAMKKEMTSAVSTSLGST SSVSLLDGCFRHIAQRFQTGYRPDTAVVPPLDVPDDGALSGDLLQSLSVLTAPTPSHP PPSSVGTAPPGLSRPTPLSTSSVAPPCPTSGNALAVCLESLRYYIQCLYI H257_15334 MSTPSADAAPMTALEMQRRRLMLEREKMRSRSKTTKLATDTISK SNDPKVQHDTNNDRRSLSRESISPPSPLDPRCSSSSSTNVRGVVVNTSSFPTSRPDMC PSDRKARGSIDKPKMSAWNKVKSIDVKRELAENVVVRVMDNDPDDHQTGTSSSYSSTA AIDAPFSISIQLRDTSWRITRSISDYILLCPLVPGLAPLDAVTSDMSRTAVRAVFQHA LHVASRLCDAWAIDAFAAFFDNHFGMLALLLHAKHLSDRVVALESAHEATKGHLKACQ DTVGAQQVLLNSLAPSTPPSLRSAPPPSSSGSLWESASSPWSSASSSCVPSTSCRHLA TQTPMWVPSNSLPTAADVRAHRVLAQLAPSPAAVTHRQRIFHSIASIIKTSLRVLAPH AQVMLISGSAAHIFLPDSPLRVGVFFPTHSSGDTLLQWHMKVNEALCHASNAVCNAAI SNDSKNALLIQHVELSNAATDGTGPHVTCNVGHVTVDMCANPLRDLRGAHFMASIDAL IGRDHLFKRSVLLVYGWLRYECPSSSSSSSPSSPSSSSSPSPSSAGPTIGAAAPVSLY AIATLVLYVFNLYHDSIHLPLQAAAHFFGLFAAFPWDGFCVAIEGPRDLRSVTSPPQR PRDGAAPLWMPPHLLRRHRSGRLDDPPPPSTGSEESRLSVDDDDEEEVARMLHFPIKH INIMDPIDASVNLGHALSAKQATQFRQALDLGATKLHGVVSAMKKEMTSAVSTSLGST SSVSLLDGCFRHIAQRFQTGYRPDTAVVPPLDVPDDGALSGDLLQSLSVLTAPTPSHP PPSSVGTAPPGLSRPTPLSTSSVAPPCPTSGNALAVCLESLRYYIQCLYI H257_15334 MSTPSADAAPMTALEMQRRRLMLEREKMRSRSKTTKLATDTISK SNDPKVQHDTNNDRRSLSRESISPPSPLDPRCSSSSSTNVRGVVVNTSSFPTSRPDMC PSDRKARGSIDKPKMSAWNKVKSIDVKRELAENVVVRVMDNDPDDHQTGTSSSYSSTA AIDAPFSISIQLRDTSWRITRSISDYILLCPLVPGLAPLDAVTSDMSRTAVRAVFQHA LHVASRLCDAWAIDAFAAFFDNHFGMLALLLHAKHLSDRVVALESAHEATKGHLKACQ DTVGAQQVLLNSLAPSTPPSLRSAPPPSSSGSLWESASSPWSSASSSCVPSTSCRHLA TQTPMWVPSNSLPTAADVRAHRVLAQLAPSPAAVTHRQRIFHSIASIIKTSLRVLAPH AQVMLISGSAAHIFLPDSPLRVGVFFPTHSSGDTLLQWHMKVNEALCHASNAVCNAAI SNDSKNALLIQHVELSNAATDGTGPHVTCNVGHVTVDMCANPLRDLRGAHFMASIDAL IGRDHLFKRSVLLVYGWLRYECPSSSSSSSPSSPSSSSSPSPSSAGPTIGAAAPVSLY AIATLVLYVFNLYHDSIHLPLQAAAHFFGLFAAFPWDGFCVAIEGPRDLRSVTSPPQR PRDGAAPLWMPPHLLRRHRSGRLDDPPPPSTGSEESRLSVDDDDEEEVARMLHFPIKH INIMDPIDASVNLGHALSAKQATQFRQALDLGATKLHGVVSAMKKEMTSAVSTSLGST SRYRRL H257_15334 MSTPSADAAPMTALEMQRRRLMLEREKMRSRSKTTKLATDTISK SNDPKVQHDTNNDRRSLSRESISPPSPLDPRCSSSSSTNVRGVVVNTSSFPTSRPDMC PSDRKARGSIDKPKMSAWNKVKSIDVKRELAENVVVRVMDNDPDDHQTGTSSSYSSTA AIDAPFSISIQLRDTSWRITRSISDYILLCPLVPGLAPLDAVTSDMSRTAVRAVFQHA LHVASRLCDAWAIDAFAAFFDNHFGMLALLLHAKHLSDRVVALESAHEATKGHLKACQ DTVGAQQVLLNSLAPSTPPSLRSAPPPSSSGSLWESASSPWSSASSSCVPSTSCRHLA TQTPMWVPSNSLPTAADVRAHRVLAQLAPSPAAVTHRQRIFHSIASIIKTSLRVLAPH AQVMLISGSAAHIFLPDSPLRVGVFFPTHSSGDTLLQWHMKVNEALCHASNAVCNAAI SNDSKNALLIQHVELSNAATDGTGPHVTCNVGHVTVDMCANPLRDLRGAHFMASIDAL IGRDHLFKRSVLLVYGWLRYECPSSSSSSSPSSPSSSSSPSPSSAGPTIGAAAPVSLY AIATLVLYVFNLYHDSIHLPLQAAAHFFGLFAAFPWDGFCVAIEGPRDLRSVTSPPQR PRDGAAPLWMPPHLLRRHRSGRLDDPPPPSTGSEESRLSVDDDDEEEVARMLHFPIKH INIMDPIDASVNLGHALSAKQATQFRQALDLGATKLHGVVSAMKKEMTSAVSTSLGST SRYRRL H257_15334 MSTPSADAAPMTALEMQRRRLMLEREKMRSRSKTTKLATDTISK SNDPKVQHDTNNDRRSLSRESISPPSPLDPRCSSSSSTNVRGVVVNTSSFPTSRPDMC PSDRKARGSIDKPKMSAWNKVKSIDVKRELAENVVVRVMDNDPDDHQTGTSSSYSSTA AIDAPFSISIQLRDTSWRITRSISDYILLCPLVPGLAPLDAVTSDMSRTAVRAVFQHA LHVASRLCDAWAIDAFAAFFDNHFGMLALLLHAKHLSDRVVALESAHEATKGHLKACQ DTVGAQQVLLNSLAPSTPPSLRSAPPPSSSGSLWESASSPWSSASSSCVPSTSCRHLA TQTPMWVPSNSLPTAADVRAHRVLAQLAPSPAAVTHRQRIFHSIASIIKTSLRVLAPH AQVMLISGSAAHIFLPDSPLRVGVFFPTHSSGDTLLQWHMKVNEALCHASNAVCNAAI SNDSKNALLIQHVELSNAATDGTGPHVTCNVGHVTVDMCANPLRDLRGAHFMASIDAL IGRDHLFKRSVLLVYGWLRYECPSSSSSSSPSSPSSSSSPSPSSAGPTIGAAAPVSLY AIATLVLYVFNLYHDSIHLPLQAAAHFFGLFAAFPWDGFCVAIEGPRDLRSVTSPPQR PRDGAAPLWMPPHLLRRHRSGRLDDPPPPSTGSEESRLSVDDDDEEEVARMLHFPIKH INIMDPIDASVNLGHALSAKQATQFRQALDLGATKLHGVVSAMKKEMTSAVSTSLGST SRYRRL H257_15334 MSTPSADAAPMTALEMQRRRLMLEREKMRSRSKTTKLATDTISK SNDPKVQHDTNNDRRSLSRESISPPSPLDPRCSSSSSTNVRGVVVNTSSFPTSRPDMC PSDRKARGSIDKPKMSAWNKVKSIDVKRELAENVVVRVMDNDPDDHQTGTSSSYSSTA AIDAPFSISIQLRDTSWRITRSISDYILLCPLVPGLAPLDAVTSDMSRTAVRAVFQHA LHVASRLCDAWAIDAFAAFFDNHFGMLALLLHAKHLSDRVVALESAHEATKGHLKACQ DTVGAQQVLLNSLAPSTPPSLRSAPPPSSSGSLWESASSPWSSASSSCVPSTSCRHLA TQTPMWVPSNSLPTAADVRAHRVLAQLAPSPAAVTHRQRIFHSIASIIKTSLRVLAPH AQVMLISGSAAHIFLPDSPLRVGVFFPTHSSGDTLLQWHMKVNEALCHASNAVCNAAI SNDSKNALLIQHVELSNAATDGTGPHVTCNVGHVTVDMCANPLRDLRGAHFMASIDAL IGRDHLFKRSVLLVYGWLRYECPSSSSSSSPSSPSSSSSPSPSSAGPTIGAAAPVSLY AIATLVLYVFNLYHDSIHLPLQAAAHFFGLFAAFPWDGFCVAIEGPRDLRSVTSPPQR PRDGAAPLWMPPHLLRRHRSGRLDDPPPPSTGSEESRLSVDDDDEEEVARMLHFPIKH INIMDPIDASVNLGHALSAKQATQFRQALDLGATKLHGVVSAMKKEMTSAVSTSLGST SRYRRL H257_15334 MSTPSADAAPMTALEMQRRRLMLEREKMRSRSKTTKLATDTISK SNDPKVQHDTNNDRRSLSRESISPPSPLDPRCSSSSSTNVRGVVVNTSSFPTSRPDMC PSDRKARGSIDKPKMSAWNKVKSIDVKRELAENVVVRVMDNDPDDHQTGTSSSYSSTA AIDAPFSISIQLRDTSWRITRSISDYILLCPLVPGLAPLDAVTSDMSRTAVRAVFQHA LHVASRLCDAWAIDAFAAFFDNHFGMLALLLHAKHLSDRVVALESAHEATKGHLKACQ DTVGAQQVLLNSLAPSTPPSLRSAPPPSSSGSLWESASSPWSSASSSCVPSTSCRHLA TQTPMWVPSNSLPTAADVRAHRVLAQLAPSPAAVTHRQRIFHSIASIIKTSLRVLAPH AQVMLISGSAAHIFLPDSPLRVGVFFPTHSSGDTLLQWHMKVNEALCHASNAVCNAAI SNDSKNALLIQHVELSNAATDGTGPHVTCNVGHVTVDMCANPLRDLRGAHFMASIDAL IGRDHLFKRSVLLVYGWLRYECPSSSSSSSPSSPSSSSSPSPSSAGPTIGAAAPVSLY AIATLVLYVFNLYHDSIHLPLQAAAHFFGLFAAFPWDGFCVAIEGPRDLRSVTSPPQR PRDGAAPLWMPPHLLRRHRSGRLDDPPPPSTGSEESRLSVDDDDEEEVARMLHFPIKH INIMDPIDASVNLGHALSAKQATQFRQALDLGATKLHGVVSAMKKEMTSAVSTSLGST SRYRRL H257_15334 MSTPSADAAPMTALEMQRRRLMLEREKMRSRSKTTKLATDTISK SNDPKVQHDTNNDRRSLSRESISPPSPLDPRCSSSSSTNVRGVVVNTSSFPTSRPDMC PSDRKARGSIDKPKMSAWNKVKSIDVKRELAENVVVRVMDNDPDDHQTGTSSSYSSTA AIDAPFSISIQLRDTSWRITRSISDYILLCPLVPGLAPLDAVTSDMSRTAVRAVFQHA LHVASRLCDAWAIDAFAAFFDNHFGMLALLLHAKHLSDRVVALESAHEATKGHLKACQ DTVGAQQVLLNSLAPSTPPSLRSAPPPSSSGSLWESASSPWSSASSSCVPSTSCRHLA TQTPMWVPSNSLPTAADVRAHRVLAQLAPSPAAVTHRQRIFHSIASIIKTSLRVLAPH AQVMLISGSAAHIFLPDSPLRVGVFFPTHSSGDTLLQWHMKVNEALCHASNAVCNAAI SNDSKNALLIQHVELSNAATDGTGPHVTCNVGHVTVDMCANPLRDLRGAHFMASIDAL IGRDHLFKRSVLLVYGWLRYECPSSSSSSSPSSPSSSSSPSPSSAGPTIGAAAPVSLY AIATLVLYVFNLYHDSIHLPLQAAAHFFGLFAAFPWDGFCVAIEGPRDLRSVTSPPQR PRDGAAPLWMPPHLLRRHRSGRLDDPPPPSTGSEESRLSVDDDDEEEVARMLHFPIKH INIMDPIDASVNLGHALSAKQATQFRQALDLGATKLHGVVSAMKKEMTSAVSTSLGST SRYRRL H257_15334 MSTPSADAAPMTALEMQRRRLMLEREKMRSRSKTTKLATDTISK SNDPKVQHDTNNDRRSLSRESISPPSPLDPRCSSSSSTNVRGVVVNTSSFPTSRPDMC PSDRKARGSIDKPKMSAWNKVKSIDVKRELAENVVVRVMDNDPDDHQTGTSSSYSSTA AIDAPFSISIQLRDTSWRITRSISDYILLCPLVPGLAPLDAVTSDMSRTAVRAVFQHA LHVASRLCDAWAIDAFAAFFDNHFGMLALLLHAKHLSDRVVALESAHEATKGHLKACQ DTVGAQQVLLNSLAPSTPPSLRSAPPPSSSGSLWESASSPWSSASSSCVPSTSCRHLA TQTPMWVPSNSLPTAADVRAHRVLAQLAPSPAAVTHRQRIFHSIASIIKTSLRVLAPH AQVMLISGSAAHIFLPDSPLRVGVFFPTHSSGDTLLQWHMKVNEALCHASNAVCNAAI SNDSKNALLIQHVELSNAATDGTGPHVTCNVGHVTVDMCANPLRDLRGAHFMASIDAL IGRDHLFKRSVLLVYGWLRYECPSSSSSSSPSSPSSSSSPSPSSAGPTIGAAAPVSLY AIATLVLYVFNLYHDSIHLPLQAAAHFFGLFAAFPWDGFCVAIEGPRDLRSVTSPPQR PRDGAAPLWMPPHLLRRHRSGRLDDPPPPSTGSEESRLSVDDDDEEEVARMLHFPIKH INIMDPIDASVNLGHALSAKQATQFRQALDLGATKLHGVVSAMKKEMTSAVSTSLGST SRYRRL H257_15335 MGKQTELKAAPGPPSKKRFRFQKGKERIAGLQSELQLHAKTQSY SNFLLANTATEPFHDELALLGELYTNERFQQLFRKLRRITNSTPQLLHHLTQVVAILE TNVRDFPKDPDVMIPVLKLYVALAKDLQKEFYPHFATSLPHILSIIDKTNPELTADVF KTLSMLFKHLQAQVLADMSVLHKFYSVLVGHPQEYVREFAANVFAVLLRKVKSGHAFQ SYVLKYLSALVRGITSHDGSNASSLLDHDHVLDGTAKLFFAVMKNVQAQFHSRTKELL PLLLQAFDPSQQVSAEQATFPTNHDHVIYDISKRVVGMLRRYTTAEHAPVVWTSLLDA TSRAVAAVRPAPAYIARLCNLVALFVSYKQGDLVGKGDAIRRPLLVVVQTLLSKCVAH TDELRESVLNLLSQCAFALQGDFYDAIGTIYTTPTLPDAADVDAFTEKLLSLLPVIAV AKYVLPKASLYAAAQHQKGPVALLQSFSVLVDWIELHQHVDATYGGGVLTRQASRVVL DLAKVTSQAMGHFEAVVEGAFASFLASTDDLVKIANVRQALRCLAFFKTSSPATVTAS VASFRSKLLQLLPSSDEATAAALTALHGHCLAVLGRLASIQNTATSEADLLELLAPHP TSPHMVLAIQSYAVTSPLLSVESWYPLLHRNLRSSNHSLRQYTLRLLSLAPALPFLAS QDAAGTLDGSCDVVAICLQLEDAAATPSVDTERQLIRLLDRLKVLARSTQVPTLYIQL IVSHMLGLFHVKLSTMWPHVSAVVQAAVSVHFDSIWDVVVDELEFVSTRSVAAAEVPA ALLAHLPANSTTSSNATTQHNSPTSLRLVAALARDCVLELGSTAHTATDAVTHHGLVY KMLETFAHLVEHKSKVVVPVFCAFLRDQYYAVYPDEVDRVSAADLTALIASAAVSREA NPKYATHHPKLTSTLVVVVPTAKSVQDKLVSFLHLFKRFTNYKGVYGHELLWSLFYSL LLKADDRLSRGALDCLFAFKPAYLLPYRQPLEDLCNPKSFRDTLTKFKVDRASGTLQD EHRDGVLPVLVRILYAKFITRKGTRSAKHSLAHRRTTVLAFIVALDPDELVYFVDLIC RPFHLPSIGGTHGFTTQVAHAQAAIANVSASKQVGFLNVLEEVVGQLGMKVTKYLPDL LAILTAILGHDGVGQIHHNDALVDDDDESAVADVVAPTQHPVVHELVDGDDVDDENDD GDNDEDNDEQAPLPVVEGEDAADNIDPDEEEGEEGEEDPLQKGHHHDSLRKQTRMLTF RRLTQIVDQFDGQYAFHECFQMVFALCHTSILHLPNAMRGAKKPSALLEWLRAVAVSG SVVTELTPEIVRSVLSCLGSGAHLNVTITHDVLECVLGFMDGLLTADEALLTSLLLPQ LEFVLHQFVARFQAKTAKFIQDKKKQGASSKKELHFLCRLAPHVGRGGTTVETAHQLV TLLLPFVTRNHKTSPVEKEHVFQVVSGLIPCLDAPHAHVNFLAKLLAPGVNCISDPQP RQKLMDVFRAIQVHPRATDLAAMCQHLLDLNALDARRLEEADFERRISAFQALNSIQF HGFREHSVTLVPLVSQYLQSMHDTEYSLRNAAKAGLEVLIALAATDDSNGVLFRVFET VLMPCVRVSLKSPVEDIRRGFVLLLSVVADHFATHASPSFHGDLSVLRNKEDPEVDFF LNLTHIQAHRRLRAVQKLKHGVDSGDYATLSNTTAHNILVPLLQHVVYEAKAQEGVGG EAAQALGSVATLLSWTNYSALLRNLLKQIPTRPDSETLIIASVCAIIDHFHFEGIVVS DGWKASKEDRAAVAVVPATPIQSAMTTSLLPMLKTFLTKGKRKQGKGKSAKDDQGTAT GDYVLRVPVALAIVKLLRRLPAHVFFQELPKLLMQVTKLLKSKDEVVRSSSRTTLVRI AVELGPQYLVAVVSELEHSLKMGFMVHVLSYTLFAILEKVADACEQRAPPPLTETETE TTTTILFASPLDECVPKIVAILVRDIFGDVGDEREDKASKSKTKEARACRSFDSFELL ARCINFLPNPTIHTLLLPVVQSLASTSASRKGKVLLNVRTVLSRVALGLSKNKSVEAT HMYLYLFNMLHMCFNRLTYLQPTDASKAAALHADAGGVSSWLVLDWIGTKRRTQARVS SWETFRIEAQAKMTGFDRYVATKEDAANSGADEILLYSVTLLYTLLKKDASALTLLDP FVPLLLRCLNEIKHTDTVIMALKCVAVMLNHPFPSLLQGLSTVVDRVFKIIQKAGAAT KNELTQTCYRLLSVLIRERSEYRLDDGQLRGLLSFLRHDVEEMDHQNATFTLLKAVLG RRLVVAEVYDLMVRVGEMMVQSQVATVRANCGSMYLLFLLDYPLGDKRLNFHIRFLVN NLTFVYESGRLSSLECLHALVKKLPSDLLDARAQFFLLPLVLQLVNDDAAPVRELAAA VVSDLFKRISANVFGDAVALMQPWWGSADPKLQCAAAHVTGLVVAARPDLAKKQATFV TTKLSEALARAVDAMGEQEEAEDGKQVADVEWEATYYALLCADAWRTSVHDVFEAWAP SVLESVVALLTFPHAWVRLAAVRVVRGYVHGRSPKTLLRTNQDGHVVVYLEQPGRLFA MAQQLCKQLESSYLTDALVAEIVPTLLFVLRALQTHPHIDQHHHKAAVSLAETATVDE EDEDVADVVVAEPLEASSEATKQSSPVTWLFTRLSFLARGYQLPLRKTAVYKFFAGAA VQEDSSFLATVLLAMINPLYRDIHDEAVDDSEDPDDSASIRNLAQEVLQVLEATVESA VFVSTFAHVQKKVTEFRERRKQKRKIEAVAEPDVAAARKILKNSRKQESKQLKKRKIG HLKGSQSKYQKIAASKERQRNARPGHY H257_15335 MGKQTELKAAPGPPSKKRFRFQKGKERIAGLQSELQLHAKTQSY SNFLLANTATEPFHDELALLGELYTNERFQQLFRKLRRITNSTPQLLHHLTQVVAILE TNVRDFPKDPDVMIPVLKLYVALAKDLQKEFYPHFATSLPHILSIIDKTNPELTADVF KTLSMLFKHLQAQVLADMSVLHKFYSVLVGHPQEYVREFAANVFAVLLRKVKSGHAFQ SYVLKYLSALVRGITSHDGSNASSLLDHDHVLDGTAKLFFAVMKNVQAQFHSRTKELL PLLLQAFDPSQQVSAEQATFPTNHDHVIYDISKRVVGMLRRYTTAEHAPVVWTSLLDA TSRAVAAVRPAPAYIARLCNLVALFVSYKQGDLVGKGDAIRRPLLVVVQTLLSKCVAH TDELRESVLNLLSQCAFALQGDFYDAIGTIYTTPTLPDAADVDAFTEKLLSLLPVIAV AKYVLPKASLYAAAQHQKGPVALLQSFSVLVDWIELHQHVDATYGGGVLTRQASRVVL DLAKVTSQAMGHFEAVVEGAFASFLASTDDLVKIANVRQALRCLAFFKTSSPATVTAS VASFRSKLLQLLPSSDEATAAALTALHGHCLAVLGRLASIQNTATSEADLLELLAPHP TSPHMVLAIQSYAVTSPLLSVESWYPLLHRNLRSSNHSLRQYTLRLLSLAPALPFLAS QDAAGTLDGSCDVVAICLQLEDAAATPSVDTERQLIRLLDRLKVLARSTQVPTLYIQL IVSHMLGLFHVKLSTMWPHVSAVVQAAVSVHFDSIWDVVVDELEFVSTRSVAAAEVPA ALLAHLPANSTTSSNATTQHNSPTSLRLVAALARDCVLELGSTAHTATDAVTHHGLVY KMLETFAHLVEHKSKVVVPVFCAFLRDQYYAVYPDEVDRVSAADLTALIASAAVSREA NPKYATHHPKLTSTLVVVVPTAKSVQDKLVSFLHLFKRFTNYKGVYGHELLWSLFYSL LLKADDRLSRGALDCLFAFKPAYLLPYRQPLEDLCNPKSFRDTLTKFKVDRASGTLQD EHRDGVLPVLVRILYAKFITRKGTRSAKHSLAHRRTTVLAFIVALDPDELVYFVDLIC RPFHLPSIGGTHGFTTQVAHAQAAIANVSASKQVGFLNVLEEVVGQLGMKVTKYLPDL LAILTAILGHDGVGQIHHNDALVDDDDESAVADVVAPTQHPVVHELVDGDDVDDENDD GDNDEDNDEQAPLPVVEGEDAADNIDPDEEEGEEGEEDPLQKGHHHDSLRKQTRMLTF RRLTQIVDQFDGQYAFHECFQMVFALCHTSILHLPNAMRGAKKPSALLEWLRAVAVSG SVVTELTPEIVRSVLSCLGSGAHLNVTITHDVLECVLGFMDGLLTADEALLTSLLLPQ LEFVLHQFVARFQAKTAKFIQDKKKQGASSKKELHFLCRLAPHVGRGGTTVETAHQLV TLLLPFVTRNHKTSPVEKEHVFQVVSGLIPCLDAPHAHVNFLAKLLAPGVNCISDPQP RQKLMDVFRAIQVHPRATDLAAMCQHLLDLNALDARRLEEADFERRISAFQALNSIQF HGFREHSVTLVPLVSQYLQSMHDTEYSLRNAAKAGLEVLIALAATDDSNGVLFRVFET VLMPCVRVSLKSPVEDIRRGFVLLLSVVADHFATHASPSFHGDLSVLRNKEDPEVDFF LNLTHIQAHRRLRAVQKLKHGVDSGDYATLSNTTAHNILVPLLQHVVYEAKAQEGVGG EAAQALGSVATLLSWTNYSALLRNLLKQIPTRPDSETLIIASVCAIIDHFHFEGIVVS DGWKASKEDRAAVAVVPATPIQSAMTTSLLPMLKTFLTKGKRKQGKGKSAKDDQGTAT GDYVLRVPVALAIVKLLRRLPAHVFFQELPKLLMQVTKLLKSKDEVVRSSSRTTLVRI AVELGPQYLVAVVSELEHSLKMGFMVHVLSYTLFAILEKVADACEQRAPPPLTETETE TTTTILFASPLDECVPKIVAILVRDIFGDVGDEREDKASKSKTKEARACRSFDSFELL ARCINFLPNPTIHTLLLPVVQSLASTSASRKGKVLLNVRTVLSRVALGLSKNKSVEAT HMYLYLFNMLHMCFNRLTYLQPTDASKAAALHADAGGVSSWLVLDWIGTKRRTQARVS SWETFRIEAQAKMTGFDRYVATKEDAANSGADEILLYSVTLLYTLLKKDASALTLLDP FVPLLLRCLNEIKHTDTVIMALKCVAVMLNHPFPSLLQGLSTVVDRVFKIIQKAGAAT KNELTQTCYRLLSVLIRERSEYRLDDGQLRGLLSFLRHDVEEMDHQNATFTLLKAVLG RRLVVAEVYDLMVRVGEMMVQSQVATVRANCGSMYLLFLLDYPLGDKRLNFHIRFLVN NLTFVYESGRLSSLECLHALVLQNVADFFAKSIDLHTNLVDAWI H257_15336 MNAAASLPPHQQQELMKHFEQKQLEESLMLYNRVVATCFNECVQ SFRSKKLEDKEVNCMNLCAEKFLKHTQRVGVRFAEAQQAAMDGHSSVLADLNHTSYLV ATSLALSLVNLVALAKINHASNDSQHPHLFNADTDATVVAAAAPATDTSDFKGANHLR KILSTLCDETWAFLMLFVIAASTQSIAGIYVIVAASMVAVDHRIRGFIAAEDGAVPRV FLALLCARMAFDMSAYNGDATPLHTLFKRIPDPISLADMVWMVIVKGVMLRLVSLSIE VFAGLLREWQSMVTKRVVRMFMRFKGIPSPPGNSPLQPRRHLLSTVDTPAPQLTAARR VRAFVGMRRQVDPHFASSTSTNVGVTSDQLPPSLAEFLSNIPTPTSLSQSSAADALLS SHSDATLPPTASGGVHTDVLSEVQQEEEDPNASAVQALSGPTQVSSPQDGHIQQPDQG LSDLEPPTAENPPEASGLPDSAMHDTFPQVVAQLVGDAISAVPKQGRAARQPPPRLRS RVPSSQSTTGRGFWGAALNPSCWPHMSPPTTTPPNQV H257_15336 MNAAASLPPHQQQELMKHFEQKQLEESLMLYNRVVATCFNECVQ SFRSKKLEDKEVNCMNLCAEKFLKHTQRVGVRFAEAQQAAMDGHSSVLADLNHTSYLV ATSLALSLVNLVALAKINHASNDSQHPHLFNADTDATVVAAAAPATDTSDFKGANHLR KILSTLCDETWAFLMLFVIAASTQSIAGIYVIVAASMVAVDHRIRGFIAAEDGAVPRV FLALLCARMAFDMSAYNGDATPLHTLFKRIPDPISLADMVWMVIVKGVMLRLVSLSIE VFAGLLREWQSMVTKRVVRMFMRFKGIPSPPDTPAPQLTAARRVRAFVGMRRQVDPHF ASSTSTNVGVTSDQLPPSLAEFLSNIPTPTSLSQSSAADALLSSHSDATLPPTASGGV HTDVLSEVQQEEEDPNASAVQALSGPTQVSSPQDGHIQQPDQGLSDLEPPTAENPPEA SGLPDSAMHDTFPQVVAQLVGDAISAVPKQGRAARQPPPRLRSRVPSSQSTTGRGFWG AALNPSCWPHMSPPTTTPPNQV H257_15337 MPARGTPYTQHSCLDYTATSTTRRVIAQRHQLCSMVDSIFSITL GGILSLEDIAEYGIHVGILFMLPNWLTIEIVGCMMLVLAIIIALVSNELLINTTVMVL CSVTLVSGVGLQRCCHP H257_15338 MDTPLLKSTTTTYASASTLVNHHPATARLLDRAGSFNPHVDPDP STKGLYNISRVGGNWRQIYWDDLFHTVIHTNTIRMLSGIFLTYTAVVFFFATLYYSVS QHDEQCHVGISTLMEAYIFSVETIMTIGYGAPTNDIFYGGCSSMALLLTVESVSGIFL DSLCFGVFFVRFSRATRRATSVVFSKHAVVQQIHGEYCVLFQVCERRRHQLVEAHVRC YGVAKRHSDAPFQTLPMRIQSPDDNLGAFVLLALPQLIVHRIDPSSPLFPPPASSTSS TSSVTQCEIAHHMAQSDLELVVVLEGTDATSGNTMQARYSYTADDIKWHHTFAPCVSR DPVTHGAVVDFDLFHTLVPAPSCPSTVV H257_15339 MNSPLASVRIGVFPDEFVAAAADPAVLDQFRATVRELKKAWATI HHSHGVTILTEMLLNAERYGGLDEYSYEVQIALSLPKLGYSSAELLASQVVRGYATTR LVNKLFQNVDVVLTPTTATPARIDPAFLTHGLSDITTTLALMRFILAGYISQGLPMSM LVQADHWNEHMCLQVARKRSHESAGCSNPRHIITILSRPLNNCKPNVATQN H257_15340 MDRRCCRRHDYSVLVLLHKEEPLQSKNVLVSKYADMSMHLVNID TPVISGTLLQVLAWASPSLALFGNSHPSWTTCRSSTHSTLSRRTTSIRGLRHEDFSAP RRHARDVAHAILDANAAAVPLLAAIACIETRSFAMRPRIRIWPNPRPLDGIPVLIKDE LDATTRRSGTSFLGALLGPATADSIAVGHLRHNGAVISHTERVLGQPHVWRVGDRGSR ALALRCGSIRTPAAFNGVTGIKATFMRIPELARTDPSMANVGPIAATVQDAAVAYDVL SGSHTDVPTSQVQTPPTST H257_15341 MAHLFALISVASIVAVSAQTPNSTAPNATTPPTILYDTPPSFAL PTVDMLASPLAMFDKSTAQSWLAPPRNLHPSITADMPIPTNRWWGNLISTGKDAKEVL RVWTNPYAVAMLPTGIQVSYPASTRAFGGSSGNGAASRYYLHAAQNDVTLSAVELVSQ AAESTSPSNFQVTAWDDLGVTVRKDVGGAAASMTTSLSSGMAFATATYVSLKPRLFTE HAIRSVNGQVLKEGQSVSASKFIVALGNGQTWVVYTSTEVTFTAHLTTQLVSPTTFSG TLQVALAPTAGDVAVYDMYRDCAVKGGSVLPDTTSYTFQWATTGKCANGLLHFGLSHH ADTIDRTSTRHVNMTHLQSTTRGAMIPFVSTSGSWKLVEPKLVSAGFYPRQRPTAARV KATDMLRHLTADINAPWSLPLDGSYYFNGKAAQKYASLCLMASDAAVVGSSTSSLLDT CRAKLDKLLAPLASNGWTYKLVYDSVYKGIVSSQGFAQKDLNADFGNTMYNDHHYHFG YWIATVAIANVVHQTMPSLPKLNQLAALLVRDVANDNAKDTAFPTFRMFDWFRGHSYS HGVTALADGKDQESTSEDVNFHYGLLLFGQAIKNPHLERLGRLMLSVNARSVQTYFLM DSANRIHPPSIRANKVTGIFFDNKVDYATWFSAERHCIHGIQMIPVSPATEFVRTARF VQEEWTDILAHTAIVKQNTGTNPWLSLLYANYAAINPDVAMDKLQTIAMDDGLTRSWA LYMAASRYTDSNA H257_15342 MPRTSTRSTTRTHEAMAAPVMTRRQRTATNLTLPELSLPRHRRV RASASDAPTALAPRKVTKTMFDDIVILKNKKHAVIPEKMHGKTADAKGSKRRRTPPAL PAGVKLINPHYSSGTDEDDMEEADAPVVGQGFPPSLRTLEKDTILMRKQYPGLDVQVI EQVLVDTIPKEQNQHSYNAILTEAAARLEKLHDDVITARRRTLRHVD H257_15343 MSDDEERREPTAEPPVDDSVPLAFLLGHRYNYYAEAHRPVHVAT AVEDRPRTPTDFRDMSKREREVRAKMVLDPLSHKAETFLTGVDTGQEFQSTSTRRQLK QDRLKQQRMNASLHQGPPPAELASLDTSHGFHRPRDFLAVHARPVPTLHDKYEAAKVE VHKVRLKQHWHLQYAQHIHSIAKDQQEFAQHMLAALKGRHPNRKLYMDEKRVAMERKK KKTAQDEEVLRMKQQKDAAALRDLKDEIAMRNLAAKHKKSNAMRSQHTQSTCQPTTAP YKLDEPPIASSPRHLEQARLPLTHRKLGAFRTSRRGHGNMQKYILNSPDRPKAVFGGG FRTEPKDNKDDDHGGGSGNEDGGRPLILLAEQLPLHLQSLTTSTS H257_15343 MSDDEERREPTAEPPVDDSVPLAFLLGHRYNYYAEAHRPVHVAT AVEDRPRTPTDFRDMSKREREVRAKMVLDPLSHKAETFLTGVDTGQEFQSTSTRRQLK QDRLKQQRMNASLHQGPPPAELASLDTSHGFHRPRDFLAVHARPVPTLHDKYEAAKVE VHKVRLKQHWHLQYAQHIHSIAKDQQEFAQHMLAALKGRHPNRKLYMDEKRVAMERKK KKTAQDEEVLRMKQQKDAAALRDLKDEIAMRNLAAKHKKVCEERYRFEACMYVCVGVV ECDAVAAYAVDLSTDDSAVQAGRAADRVEPAAFGASAASIDASEAGSVSHESTRTWQH AEVHSQQPR H257_15343 MSKREREVRAKMVLDPLSHKAETFLTGVDTGQEFQSTSTRRQLK QDRLKQQRMNASLHQGPPPAELASLDTSHGFHRPRDFLAVHARPVPTLHDKYEAAKVE VHKVRLKQHWHLQYAQHIHSIAKDQQEFAQHMLAALKGRHPNRKLYMDEKRVAMERKK KKTAQDEEVLRMKQQKDAAALRDLKDEIAMRNLAAKHKKSNAMRSQHTQSTCQPTTAP YKLDEPPIASSPRHLEQARLPLTHRKLGAFRTSRRGHGNMQKYILNSPDRPKAVFGGG FRTEPKDNKDDDHGGGSGNEDGGRPLILLAEQLPLHLQSLTTSTS H257_15344 MMLAASMNSPSQQTTELAHHRIKRKSSCDDSYLHSPSSMEEAAF PLKKAKMTFESHLQGCCSGNPETPKGSECFECTHGENTYRDTTYAPSLPHGTPTDAYS PPQSSNCSTDSDQHDENPSRSPTCDDGYDAYTCADNGYDDYAALDSSRGRRTLYLEYW SRTDECKERKWDHLDELDAKLDVSREEHIFGTTLLGRTSYMEPNMFPYDTPEGIEHWT LWSRLEMNHDDVKAYVESWIDTNAPHVQAWNYDDNPERSINIFHVHVYLQVASSSTKN SVLQGRPVESLTH H257_15344 MEEAAFPLKKAKMTFESHLQGCCSGNPETPKGSECFECTHGENT YRDTTYAPSLPHGTPTDAYSPPQSSNCSTDSDQHDENPSRSPTCDDGYDAYTCADNGY DDYAALDSSRGRRTLYLEYWSRTDECKERKWDHLDELDAKLDVSREEHIFGTTLLGRT SYMEPNMFPYDTPEGIEHWTLWSRLEMNHDDVKAYVESWIDTNAPHVQAWNYDDNPER SINIFHVHVYLQVASSSTKNSVLQGRPVESLTH H257_15345 MAGDFTTTTLIRVGVGLAVATTMISFRQMFIRSVFRVLRERLGR SSLLSDVERHFLTSISIALVLLACFVGVVISGYHNEVSIVFKYAAGVPLVLATRATRI IFTKWLIRSLGWDISSRSDYSRVLVITEGLGIVAFFVISIELFMIYVPSTFNELLVIF FAVLEILCICSFYSTVKNAVMGFFLLFTEPFRLGSMVIIGPSVGVVEQIALHSTKLRA QDGAVVHIPNGVLADDVQRNFSHCTFRRLNFLVHVDHATPLNEMLAVLPHLAETLAPC VIPLEMLLAQEASLRLQDETNDKAPSKAADGDASMSRSSSMHSLLGNLWQPPSKLHRH PSSSSSSTATTSSIADRRDPSTMSTDISEFMLDDHLLHAPSPSTAEAKPTDPRLLQVT LGGIHRIWVSALVPGNSFVDVARAKSTVNLAIMRCLERHRVRLHHAPRRRIPRYD H257_15346 MRVLNVAEKPSVAKEISAILSQGGCRRRAGQSQYNAIFEFPYQI NNQNVDMAFTSVTGHLMELEFAPSHRSWKSCDPVELFTAKIEKKVRGDQKPIEATLRT EAARAQWLVLWLDCDREGENIAFEVKSVCENVNRRLRVFRARFSALIPRDIQHAVQHL VQPNENLSLACDARSEIDLRLGAAFTRFQTMRIQKKFPRIHTQNAASSSSSSNTDNKV VSFGSCQFPTLGFVVDRFLAIEAFVSEPFYSIHVAHAIPDIGSIAFTWARHRLYDHVA TLALFETCVEAKVATVTSITRKDTSKRKPFPLTTVEFQKRASRWLRITSEEAMAAAES LYNKGLLSYPRTETDSFKEGTDLTALLTLHLTHDTWGGYVGQLLHHAKYEHPRTGKHD DHAHPPIHPTKSVALTSLHDKERKVYEFVTLHFLACCSMDAKGHQTTVHMQMADERFS ASGLMILARNYLDIYRFESWSNSVIPVYDKGDVFVPTALTLQAGETAPPPLLTESDLI AKMDMHGIGTDATIAEHINTILKREYSIKVNNNTQFKPTDLGLALVQSYDKMGYQLAK PDLRAAMERDCTAISQGQKTMDQVIRSCMTQMRTIFESVVSKSNILDETFGHYFGIHR TAHPHNNSDIAQSSQVMASQVSRCGTCGTSNMEWRKSLDDPSTLFLSCPRCMKSYGLP MHSSVVAMANTFCPLCQFQVVEIHRVTNPQHPYPVCPHCFNHPPNPRLQEMACFSCAA PACALATGAQDQCVVQFCPCCRSHPMKLKRTKNAKFTVGCVDYPACTTSVWLPSAVKV AVVTTALCPACSNVHQMIYKLRLRFAPGALPPDVHQGTSNDDNGEVDGFYEACLFCDP LLQQLFAIRLPLSSTSRLPGTPAAAMPRPQIDQSYARMTAGRTSANNNNEYMQSITNP GKKRTRTPKPTNGASGRNDDDGRRSDVPMCPGHQAACATREVRKDNENKGRKFYCCSY PQGEQCEFFLWVDAADTYTAPASSSSSSSGAASRSKKKPKAGAASNQVTCTCGAPALE LTSRTANNNGRVFYKCAKQADNCNFFEWADP H257_15346 MTDGICRWLRITSEEAMAAAESLYNKGLLSYPRTETDSFKEGTD LTALLTLHLTHDTWGGYVGQLLHHAKYEHPRTGKHDDHAHPPIHPTKSVALTSLHDKE RKVYEFVTLHFLACCSMDAKGHQTTVHMQMADERFSASGLMILARNYLDIYRFESWSN SVIPVYDKGDVFVPTALTLQAGETAPPPLLTESDLIAKMDMHGIGTDATIAEHINTIL KREYSIKVNNNTQFKPTDLGLALVQSYDKMGYQLAKPDLRAAMERDCTAISQGQKTMD QVIRSCMTQMRTIFESVVSKSNILDETFGHYFGIHRTAHPHNNSDIAQSSQVMASQVS RCGTCGTSNMEWRKSLDDPSTLFLSCPRCMKSYGLPMHSSVVAMANTFCPLCQFQVVE IHRVTNPQHPYPVCPHCFNHPPNPRLQEMACFSCAAPACALATGAQDQCVVQFCPCCR SHPMKLKRTKNAKFTVGCVDYPACTTSVWLPSAVKVAVVTTALCPACSNVHQMIYKLR LRFAPGALPPDVHQGTSNDDNGEVDGFYEACLFCDPLLQQLFAIRLPLSSTSRLPGTP AAAMPRPQIDQSYARMTAGRTSANNNNEYMQSITNPGKKRTRTPKPTNGASGRNDDDG RRSDVPMCPGHQAACATREVRKDNENKGRKFYCCSYPQGEQCEFFLWVDAADTYTAPA SSSSSSSGAASRSKKKPKAGAASNQVTCTCGAPALELTSRTANNNGRVFYKCAKQADN CNFFEWADP H257_15347 MLTALCRRRVVARALSAAAAQPKRAFGSVAVDRDDQTISAEAAE NKYIVKTYNSNGVRTKKGLVITHGKGPYLFDSHGNKYLDFYSGIAVTALGHADPEVAR AVADQAAKLCHVSNLFHSEPPLRLAQTLVENSGFDKVFFANSGTEANEGAYKFARLYG NKVSHGTELEGQKNHVIAFKGGFHGRSSGALSLTYKPAIREPFLPLVPGVSFAEYNNL DDVRRLISTRTCAIIVEPIQGEGGVLPATPGFLQGLRALADEFDALLICDEVQTGLGR TGKLFGHEVYGVQPDIMTLAKPLANGLPIGAVLTSNKVAEAVPAGAHGTTFGGNPVVC EAANVVVNRLLQPGFLLHVQKMGRLLAQGLKSVQAKHPDKIAEIRLPIGEAGLYAGVE LVGPAAPIIGDLVDQGVLAITAGEKVIRLCPPLVVTQDEVQTFLTAFESAVAKYTVS H257_15348 MGCCGSKDDVIPDGTGGSTPTPMRKCRDVLCCVIFFVFWLGMAV LAVVGVANGTPERLLYGTDFNGTVCGTGVFADSTFLYYPRINDDMMTQAAHGISPLDM KFYGLCVPSCPSQGEYICAYTAEANLRAANPSVTTSAGLNSLRAARANSASNRLGLTT PDCWSVPLPSEVVAFRCLPMQVTLQNTTQVCVEPGDAPEYYTTTNGIKTPNDKCSLKL MLTSSQTIGQANSNPIFDKLQSTGALVGRFIADIKNTYGELLGIGGGGALVLGWLFLL FMRCCAGCVIWFVLFAVVLLLGVLSVFFLVKGGVIHSADITSVTTAIASATAVDVAVP ASLAQAHSNTQVYQGAAVVSLVLTVLALLVVCFMRKRIKIAIGIIREASRAIQRLPSL VLFPLLPVMLILGLFIYATVIGAYIYSADGNVSLTAALASVGVPASNATTALTTAWAA QLNATTTASAVEPKQLMQIMVAYHLFGFLWTNQLIQAISMTTIAGAVAKYYWSRDHTP AQMGRFPVLSSFKNCFRYHFGSLAFGAFIIAVVQFVRAVLMYVDRQTKQLQQSNVAVK VALKAVACCLWCLEKCLKFISKNAYIVIAMKGRSFCGATREAFSLIFANMAQVAITST IVNMVVVVARIAISVGCALLLFLYLDRDTDFDVGGPRELSSIFPPVVLGFVLAWFVAG TFLSVYEMCVDTILLCFCEDRRINKESGQFYMSKELAAFVDKVAKAKKPTTGDAEVSP SPASAGRVVEVSPKHEPKPDI H257_15349 MPPPMSPPPGSPRTNSPREVTVVVCINVLPLVFTRASLTESCPW HVEWSTSSYGLFLRNIVSGQRFKPMFIGCPEVFIPKQDEQSVRLLLLHSFNCIPVFLD TTVAHRHFQGFCKGVLWPIFHNVVDVYNSAELKLDDFDTTSSSSSTLASSPPPDPGVW LPPASWNPASQDKCWSEYCHVNRLFAKKVLENWQPGSVIWIHDYPLLVLASYLLRKLR GGGALALFMHVPFPSSEIFRTLTVRTELLRAMLCANHIGFLVFEHARHFLTACKRLLG LNYRTSHTGMLAVEYNGRLVLVTCSHCGTELHHMRALLGKLDSDPRAVALQATLAPLV HKFIFASVDRLEGLCGVPLKLRAFDRFLHMYPHRRTDTVLVQFGISLDCRPNDYHRTQ QYVEKFVAELNRRWGTPTHPVVVYEERAHMQAQERMALWHVGHVFLDTCVRGGLSMLP FEFLAAHHGGDRPGVLVASEFACYSRILNGALLVNPWKADDVVAALVKAVEMPPYEMK SRFALNFKFLLDNPVSEWGARMLADIQKAGVPTTSVPPPPHPTTTASRGGSGGDCVEV GFGFDYRVVQFSPGFAALDVDDTVKKYATTSRRLLVFDYGGTLSWTLCLMDDEASMYY YYRNPDDKEGSATTGDEMDPAARLASVRKRDGQVRTPVSMETKASLELLCNDPCNVVV VWSNGRRVELEHEFGSIAGLHLISDSGYFLRKSDSVVWESLYADAPDDFAWKPQVANV VRTYVGRTNGAFAIVNETSVTFDYHNSDPEYGEMQAAELYEHLSQLLKKDKVAIARGK GFVEVHRFGVNKAIAISMVLTFCKDKAGASPDMILCVGDDESDEPAFKTIRAFADAEK VPHVLTCTVGKKPSTAQFYVDSVSEVLSLIDALGVVRSKRLTRRNSNPMDPQHQSFQR QFLDGGKIICPVFVRNLSKEFSKVISTTNLEEFCVDRFNPAMLASPRRDGGRHDDDME EEEVAAARGGGTWLDATFTPVQVLQAAAVGGAVGWALRHYWRRFFTS H257_15350 MSMTMNFKAVAMKALLGLVDDDNDSSSSEADKNKPKKPVSPIRR RAAVAAASKRKPVDESDDDDDVGDTRPTNDDSDEEFGSSKSRRSRKRPRTSSSTSSHR AQDSSKKKHPSPQTAASTAASTAVGNDTKVLTKEERRENKRLRDNASKRMKRAAEKER LEKERQDKAAREVEHARTKPDADVTSATESQDDPSSSTQSSSRRSHGHDDVKQDKTTT HDDTRHKKQPRADSTDTADDGRPPSKPSNGHLPGEERRRHGASKPRSKAQDKEGGDML DERRRRRGAPAVQEDAAPSTSHGPAPTSRRPSVEVDALSVMFPVPGHGDTATDLSIRP LSTRPPPVPSPPAAAIVRTLEHPLDKTHEPSTEAVVVPVEKSNGLLSIPSSTNGETLQ ATSDSIPRNRLPDPAAAASDTNIHVDFGSHHPKHEQGEVSEAKPSSADDAAAETNPVG SSRPSPSVAVPDGVPPAEDFVIPKKEKVVVAAVPAGIVDAQDDLPIPRRGSTPPPSRV RRPSPPPVSSSYPRHASSHNYGSSRGTQPSTIGHQPNVVTYTAPPASSVTFRDLLHFE YPVADIKFHQKVTQYGSIARCLPPTFTSSSARSQRPPTKYGVLLPPSSSSSAPSVYEE PRAFGRGKGSHRTLGDGPAFFGIDAMCPAALRPDRAAHARQVAVEADVADTVLNTIRP WTRSWVQRHLYSTTFQPLSARHRITVLFRHMRYTHPSGGIMFNTTGVCHAYAKTLAVR FAVGPDRDSPGIDIPPDSWKVLQKSRSSFVYAKYYSMEDAQMAVDTHVDDDGRPGVIV QDAYFVLPPSPPLPPPPTTTTDGQHEPRRREVSPPRVPETRGLPISSNTPPLQLQPPL PPPDDEARRRMALVHPPLPPSDDETRRRMALVHPPLPPPDDETRRRMALVHPPLPPSD DETRRRMALVHPPLPPPDDDWQSRMGASRWSDSTRNEPTTTGWGGDSAPASRHEPTTA PPPLPKDTQRPPPPPPSSSSSTLPQHYSRHDGDGSGKKEEDAVKSQDDYRRNRRESME EGEVAAPTREPQQVLPSTREHDDASDGAGVGDASSSSAPPPAAAAEATAREQDRPLPP ISSADGGRYRSRGRRTTSPPPLPRSSTTTGLDQPTKTRHVSPDRRRSSWSSERSANST RPAKDSRQPRRSAERGRRSPDPRRPRRSRSRDRPPRVVMVTCPGMKRDDGLVRAFFRD CGQVDNVEWRSGPPDYAYVTFHTATSAAAALRHKDRALLGATPARVELPRRLSTPHAF STHR H257_15351 MMSWQVVLAMIVVMCMCIVDVVGGRDLYEVLGIKRDASVPEIKK AFRKLSLKLHPDKNPGDEDAAKKFAEVASAYEVLSDETKRKKYDTYGEDGLKENGGGG GGHDPFDVFSQFFGGGRQRHPQEPSRGNDVTIPLRVSLADLYNGKNVPFTVRRKTVCH HCHGHGAANSDDIQTCHECGGHGVKLETRRVGPGFIQQFQTQCNKCGGKGKIVTSTCP VCGGDKTVFADVELDLDIEKGTPDGHEIEFEHAADEHADRAAGHLRFRITTVGHEFFS RDGDDLYMDMSISLREALVGFSKSFVHLDGRTVDVRRLNEITEPSQVVTLAHEGMPRH QMASERGNLHIKFNVVFPDTLTPDQQRGFRDLFQ H257_15351 MMSWQVVLAMIVVMCMCIVDVVGGRDLYEVLGIKRDASVPEIKK AFRKLSLKLHPDKNPGDEDAAKKFAEVASAYEVLSDETKRKKYDTYGEDGLKENGGGG GGHDPFDVFSQFFGGGRQRHPQEPSRGNDVTIPLRVSLADLYNGKNVPFTVRRKTVCH HCHGHGAANSDDIQTCHECGGHGVKLETRRVGPGFIQQFQTQCNKCGGKGKIVTSTCP VCGGDKTVFADVELDLDIEKGTPDGHEIEFEHAADEHADRAAGHLRFRITTVGHEFFS RDGDDLYMDMSISLREVRPHEENSPSCVCFGDFSQRNYSWNRLWWDFPNRLCIWTVAR WTCVG H257_15352 MFKANDQGEDDSVIDSIPETRDDRQDKLRKAFAEGLGTMILVLI GDGVVAQTVISQQMELRNAKLTSNPMDVTAFAGDWMSISLGFGIALMLGIYVSGGVSG GHLNPAVSITMAVFKKLEWSYLPYYIGGQTAGAFLGAVFVYLGYRPAFVDLNIWDQTT AGIFATYPKSHMTLVEGFIDEIVGSFLLLLGIFAITDKRNNPADPGSRPAIIGMLLAG IAMSFGYNTGFALNPARDFGPRLFTLMAGWGGNVFVYGNGYFWVPIFAPVFGGLAGAF VYTYCIERFHPQYVPGNRPSSSTIDEDMAEQSPTTRRFSTAHGVFTFESSSTIYMFAK ALRSNVHVVAGVGAFTLGIAGGLNRPATESSSTHSALNEIALRLRAIEQDLGLKSPNE GKPEYNNYPVLTPKHKSLMAKHITPEIYTKLAGRKTSLGYTLDQAIQTGVDTPHLGVG IVAGDEDSFTVFKELMDPIIEGWHGYKPEDKHHSDLDYTKIKNGDIPASYVESTRIRA GRSVRGLALPPGTSRGERREVERVLSKALSNLTSDLRGKYYPLSKMTKQEEQQLIDDH FLFQKPGGGTLLTNAGAARDWPDGRGIFHNDAKSFLVWVNEEDHMRVISMENTGNVKS VFERFVRGVNGVEKVVKAEGREYMYDDHLGFLCTCPSNLGTGLRASVMIKFPKLSENS DQFYALCDVLGLQARGSKGEHSPPGPGGVYDVSNKARIGFSEVELVQTMIDGVWKLIE LEEDLKKGLSIADKVAKLGVKAGGAKGGH H257_15353 MQGGFEYASQPRSVAVKRSKYREPTAPASNNDKGQNLMYDRRIV RGSTYAKPVVLEDTAATSPARPSRLPKKVQEVDSLASSTRPLTPPPMDGRQHMDIQTE NYLEELTDKVPEADVETQTEAFMDQLPVPLFVPQKRGVDASTQIDHGDLFDFDLEVAP ILEVLVGKTLEVSVMEVLEEEEVKELRTRQDIFEQARNAELAEVQRLEAEAKRKQEEK TRRLEEEKARVVAQAELQEKLAARSFAKHYLADLHTAVFSTLVTTGHFQDPMEKEVTE AFMPYLIQRACVNVEQIAFSRALAEVLVDEAIHKTSSSFDPVRVVNSSPTSSV H257_15354 MADKPLRESQHGKKRRAEALELEKEAEEWTAPTASGSAGGVRKI RLGKRFQAVLPALQTSTTANPSSTTPPDVRVNLPRRIFSAQALQESVNSSDVTAFLQF ASTLSPGYVHATPHATTANALHILHQHNWNVSSASCHLLASHAYAPPPSSSPVDNEDH PKLKKQHVPVKHQQWLVSFYLAFATPVFTETSLESLRTLHRTCPLADHAAEATALRAF LSRVDAWVAAAEEATTTPNTDETQPTPSRKSSSKKHPPSGLSTSPADLHALLHTATAL RCHDLPVAHAMTSRLNAFELAKTRLLDALDSSVRPSSVKSSTSTTSTLTIHGPPKKAG SSTSSSTSTSTTTIDQLKALCAEVTSFGLAFAEASAVAHVIAAADAVRGEIQALLGQD KVSVPAIRLVLAKVAALPVDLSDDVAPLKVKMTSAQKWLERARKCMPPTKRFSSRITD TPHGASTTSSSNPRAKMHLDAVHELVQCAPVDDQSSEMQEMEDLLAYADAWGARVDAA MTVDEDLPIDMLRELLEEGQDMPVVMERTTALEAWIDAREWEATALAATKEDDRRGKR TADGCSLDELHALLDDAKEIRGRLKHQTWRPRIEATIQSSVTTAEAWIGQTQQLLGMP AWSKMFAGNNVRGGRGPDRRTKGVSAGVPSADTATTTTSTKRSLAELKALVAQLQPPE HANTSTDLEHLDGSGGEDDRRPVREAGDGDATDNGKTTCVVDLSEFVSPLKALISRGD ELMATCSALDVTAATAYDTARGLVEAMDAFPCHLEGHALREKVAVATTWRAAVLAVCE TDRTKHTGGNHASPTPYASRRVIKKSSLYETSTTSTSTTSPAPAPPLTSRPSTTLDDL RALEATTLAFPFPDERARIRDEIAAVVAWQAQVRDALHSDVATTALAACRQLEALDGA RCRPRDDASRDTATTDRYHDEDVHVAMAQVLVTAPPPDKTAVDEDTTKMGAADDDSKA ATVALGDALVNVIQVISGCRTKQADQNNSDTRSSAMMVAVEWSPMLSQIDRSLAYIAS LDAKAKQEDDDHDHPSAAATADAHKQTLEAVAAWKAQLESLLSSSNAIVSTFEATAVT WLLHALEWLVATRSYDEDDDSEDTATSVIARGNHLRSTCPTSGAVEGFNHDDMMHEWR QATLWPLHHLEAQRAATAAWEASLEAQMSTRTLTLGHIDAVLSEADAVQADDRPVWVE LRKVKTWLVKAKKVLKAKQTAKLPLHTLGSLVDEGGKLKIRTGVWAALEAEHDAAAQW EAKLKASGLDVGQAKIATLVQLLAEFDARKFVVDMDMHRDVLVSATEQYCICRQPYDG FMLGCDLCDDWFHDTCVGISKEKAEKVADYVCPSCGLLVELKRLVSVADETATTSELL ANESTHGHDKALSVALRKVKKEERDVDKSTVALVELHAQVTALGQHVAYLEKMLHDNN TVADKGQPSNGSGALPSLLQHNHLHHHHPPPTSAPPTPHASMHPLFKGYPPPSLLRTL PPFPSSSSPSQPLQTLQVPSQHFLQPMPPHQQQTASPSHHHHTHQHHGGGLTLPPLPS SMNASTASPLHLLLAKPTSSMQASPAAPSSQAGSEETTAAAPGPTNSAATPASSYSTV SSQEIELTRFKMEHYKLKQMAVEAEAALTQSKDRLRLARAAVDSLVTTRDVWRPKAQH WWQQVQFVLAQLVVDKKAFDLRLLAQWAAECEAFCDAFPAVLAMKKVLHAIPWTIDVF TLLHGTPKPAYEALEAVLAQTNQVHEPKVLLPLRGVLQRTDQWKARTQKSVVKLLAAK KVETAKIQHVLNEYLKMPVTCAWGRKLEALVVELETRDPVFPPPTIDVTPPPSPRLSL ATAPSDATATLKRKPSKSSTGGSARKRSKGADGDVAKKAKASNSRARTVTSMTGPDVV H257_15354 MADKPLRGRSMRRGSEHVEMRAGVVCFDGSHGTGPVESQHGKKR RAEALELEKEAEEWTAPTASGSAGGVRKIRLGKRFQAVLPALQTSTTANPSSTTPPDV RVNLPRRIFSAQALQESVNSSDVTAFLQFASTLSPGYVHATPHATTANALHILHQHNW NVSSASCHLLASHAYAPPPSSSPVDNEDHPKLKKQHVPVKHQQWLVSFYLAFATPVFT ETSLESLRTLHRTCPLADHAAEATALRAFLSRVDAWVAAAEEATTTPNTDETQPTPSR KSSSKKHPPSGLSTSPADLHALLHTATALRCHDLPVAHAMTSRLNAFELAKTRLLDAL DSSVRPSSVKSSTSTTSTLTIHGPPKKAGSSTSSSTSTSTTTIDQLKALCAEVTSFGL AFAEASAVAHVIAAADAVRGEIQALLGQDKVSVPAIRLVLAKVAALPVDLSDDVAPLK VKMTSAQKWLERARKCMPPTKRFSSRITDTPHGASTTSSSNPRAKMHLDAVHELVQCA PVDDQSSEMQEMEDLLAYADAWGARVDAAMTVDEDLPIDMLRELLEEGQDMPVVMERT TALEAWIDAREWEATALAATKEDDRRGKRTADGCSLDELHALLDDAKEIRGRLKHQTW RPRIEATIQSSVTTAEAWIGQTQQLLGMPAWSKMFAGNNVRGGRGPDRRTKGVSAGVP SADTATTTTSTKRSLAELKALVAQLQPPEHANTSTDLEHLDGSGGEDDRRPVREAGDG DATDNGKTTCVVDLSEFVSPLKALISRGDELMATCSALDVTAATAYDTARGLVEAMDA FPCHLEGHALREKVAVATTWRAAVLAVCETDRTKHTGGNHASPTPYASRRVIKKSSLY ETSTTSTSTTSPAPAPPLTSRPSTTLDDLRALEATTLAFPFPDERARIRDEIAAVVAW QAQVRDALHSDVATTALAACRQLEALDGARCRPRDDASRDTATTDRYHDEDVHVAMAQ VLVTAPPPDKTAVDEDTTKMGAADDDSKAATVALGDALVNVIQVISGCRTKQADQNNS DTRSSAMMVAVEWSPMLSQIDRSLAYIASLDAKAKQEDDDHDHPSAAATADAHKQTLE AVAAWKAQLESLLSSSNAIVSTFEATAVTWLLHALEWLVATRSYDEDDDSEDTATSVI ARGNHLRSTCPTSGAVEGFNHDDMMHEWRQATLWPLHHLEAQRAATAAWEASLEAQMS TRTLTLGHIDAVLSEADAVQADDRPVWVELRKVKTWLVKAKKVLKAKQTAKLPLHTLG SLVDEGGKLKIRTGVWAALEAEHDAAAQWEAKLKASGLDVGQAKIATLVQLLAEFDAR KFVVDMDMHRDVLVSATEQYCICRQPYDGFMLGCDLCDDWFHDTCVGISKEKAEKVAD YVCPSCGLLVELKRLVSVADETATTSELLANESTHGHDKALSVALRKVKKEERDVDKS TVALVELHAQVTALGQHVAYLEKMLHDNNTVADKGQPSNGSGALPSLLQHNHLHHHHP PPTSAPPTPHASMHPLFKGYPPPSLLRTLPPFPSSSSPSQPLQTLQVPSQHFLQPMPP HQQQTASPSHHHHTHQHHGGGLTLPPLPSSMNASTASPLHLLLAKPTSSMQASPAAPS SQAGSEETTAAAPGPTNSAATPASSYSTVSSQEIELTRFKMEHYKLKQMAVEAEAALT QSKDRLRLARAAVDSLVTTRDVWRPKAQHWWQQVQFVLAQLVVDKKAFDLRLLAQWAA ECEAFCDAFPAVLAMKKVLHAIPWTIDVFTLLHGTPKPAYEALEAVLAQTNQVHEPKV LLPLRGVLQRTDQWKARTQKSVVKLLAAKKVETAKIQHVLNEYLKMPVTCAWGRKLEA LVVELETRDPVFPPPTIDVTPPPSPRLSLATAPSDATATLKRKPSKSSTGGSARKRSK GADGDVAKKAKASNSRARTVTSMTGPDVV H257_15355 MSSKGVPIEDEDWDHAEVEMSRKLSEMHVDAQDPPLAAHASGPW GPPSTPQKAPSPLSVDGHQHPPLTSASSSVSSPVSRATATAVSLLSPLDPVLVAALEN SRERLTLLQFEDQIVTFLKNPREHELVLPSLSSYHRLIVHRLAERCHLEHQTADYYHA TGYDPNAVRVVTLFKTPRCAVPSILLIDLAADTAPPLPTHHTPAHPPKIMSRKKGAGT AHKHHKTPAASSDPRSMQDREKAYAEARARIFGDEAAPDKGVASVPPTASASSAAANQ PSSTKSPAAPSTRPTPTSKHLQQPQHGATTHPHHHHAPHQQDWKQSKAQYRDRQKELN DPDFARHHQPRGPPPSYTQSYNSPQAPRYPSYEYYTPPPPPPPASPFQSDGYYRQPQP PRASSYTQGGRNQPPPPAGPATYSMDADFPPLG H257_15356 MPAATGKVPAVLLRPPAGIDAQHPINALATHGDPSGELTAPAKF WVQHSLYPTLKKACGTKDAPGIRKQNASRVLSELRQARSVVETQLEDFHRGLLDLDAW LTNVSPPVQLVGGDGGGSASTTDEVRERRKKKRRRKVNASSSELPGETAVDLAPSFDV DAMDMDAPCTTSECWQYLRASSFFAPVTQDVVEHILAPLPTTLFTQPDECIDDGIACP TYDDPSCTRACSLSEKLLAALVDIHGPSPTTSAPDEGDDEGDGVRPSHDKHPLGGSHD APPSYPATDDVPSTSKLQHELALVGLVRPPPPSVHPPPSSGHDTGDVAAVFGGAPDVE SRLAQATKACLALHASTNAIKASLRDRIYLCHHPRIDHKATTRDDHAHVVTQYRKLSK RKADDARRKLRRTAKATSARKQQQQHLLQSSSARRQQPLDHRPPSGHPPDQLDPPASV SIT H257_15357 MGGRLSFLRRKRAKQAATVPDAVPTVVEAPPPPPPEVFDSTTFW EALKGILRFRLPQGSDLVPIDKSTLHIHDATKLSDDELDDVKEVSKALGDRLTTKKED AAMELFTYALSLLAIGNTVAACDEFVNVIATDPSFGRAYTNLAHTLLLDTQDDPATLE RVVGYLESALKYDDMEIRENTILLAEVQSLLGNHAAALDVYGRLTDVEKEWENVFHMA RCEWALGRFDKSIALLDTLQLDANDAMDPSMSNKRLVLADAEALFMRPHGGADVAAS H257_15357 MGGRLSFLRRKRAKQAATVPDAVPTVVEAPPPPPPEVFDSTTFW EALKGILRFRLPQGSDLVPIDKSTLHIHDATKLSDDELDDVKEVSKALGDRLTTKKED AAMELFTYALSLLAIGNTVAACDEFVNVIATDPSFGRAYTNLAHTLLLDTQDDPATLE RVVGYLESALKYDDMEIRENTILLAEVQSLLGNHAAALDVYGRLVRPPSLARPLYVVA S H257_15358 MKVDTPEIRWHYGPTGLNEAVLSIDYLRRPSSSPDASGELVDTS SSGSVNGTASAGSATPPVLPSVLATGGSDKEVRLWIMPVDGPLPVFVYTLSAHDRSVN CVRFSPDGSILASASDDSTIVLWMRPKHVSNDDALWDWSTVASNSDVGRVLLACGHKG DITDLSWSPDSQYLCSVSVDNTASIWHVPTAKLVEKRKDHSQFVQGVAWDPLSEFLVT TGNDRTSRVYALHGYHPPPSFHSCGATSPATPTPAPVVSKKKKCQLLHTLRALGQKDA AKPPLAAAPVDVVKPPPKQLLFHDDTFPSFSRRPAWTPDGTFVLLPAGLTDATAHTAY VYARGNLHEPAFHLPGHDKGVLAIRCSPTLYSLRPAAASNTFGLPYRLVFAVATLTSV AVYDSQLSRPICVVDRVHYADLTDLSWSADGRELAASSLDGYVSLITLSDTDLGTPLP PHDLAQHLAIQRGTMSQNLPRGRKKAGAQGHAVASPTKSPATLAAKKAKADVGVSSIL HFTKVIIPEN H257_15359 MMYREWWIMSRLLMTCSLRQFKRFDGLEHDKVPVRSAQARQDDD IDPVEHRMALGGGHLRTDERERLEGEAEAVRLAECLADQSITHETCLPHEPPLRQCQR TVQPHDFVHQVAVLGPAEHRYCEGMRQKEARATDDDGNACMYDEQSVQASSRFVHRAC M H257_15360 MSIRAAILLGILVFVMGLNTDIPSGHPECFFLESQKYKEGMSLN YEVLRGMGDELVTTLSSPSGITLFQKTGPSGRFVSPVPEDGMYRVCFAQSTLVNIRVG FSFHADDPAHDVVSNADATKIEQARAVEDMVYELNTNLDTVKDTQSYMKAKSVYHHSV VDSTNSRIVLWSCIEATILVSLVFWQMSYLRRTFEVRRVF H257_15361 MGTTGSVEQRNAIKDVVMISDSKKRSKDDIMRVLQTFCNVFPLE TADGRRRRTDSSEEHAAAASMDVKEKRLFDSVHRLLKTAVDTTATSSTADESDVVLMI LDTFKRLLQWRELHKLVPKYSIKPFLTCMMWSPQLASSVLQVFLMMKTRPTGLKSAEV GDKMEMLNKRTFADDLGFQVLGSLLAQHHPSSVLLPSSTAEPILQASLRIFHWTYVGN KHTSDAVTLDRVTDALLNARLTLLHLAHHRPSPSSSIYPPPSSPSLRHIAADLLLELF SVADVAQVTVLQDTARDCGALLYMLSHALDTDIHRSEHSDNVATLDEYNDDDVASFRD KAVALVEMFCAGNSRTKAAMYRMLPVDLFVPMEDRPDLVSKYSMAAIRKSTKSASGSA SSLLLGPFRSEPRFSAQSAAGTSTTNTTAAAASSSSSLLSSAVGGLYTSTATSVSHVE FQKWLHDARSQGEAWQQVLAAARNEHIGPNLIWRRAMLTELQVALRREIAALEAKASS MSSSPPPTPTTDAAGRRRGSVHLWDADQFTVGYSSFERELVVHGYFVEPLLPKLSDLN DPFEVSDPVLLAWHVLDRVGVETDATWRLRCVRCVRLLLRRYAMTFNGQLPVQSLLQH LRDVVASDPPDGSLAAFATECFLLFHVAISTSRVRTADSLVPTYPSVISAVVAALSLP PTSQAVETDGEAYNRGEAPTNPHRLTFEALHDDMTEDDEHVEDAMVRAGVNVLAVIVQ RARSYIPQVVAARGTLVQLFYTALRPSTLAALLALVEQLLPHWSNSDSFEHQVLPAIL VACSNPINAGRMPHAAAALLCNYATPTAFQAALRAAVGHDGCGLHLVLSDPVVLAAIF NADSVQAADVLWGATLRQRLFTSLASATRGRRRLSTTRGRNDSGGEYFDAHQHDPLLQ GGDLDICVGHLFLKAFVEHEGSFRTTWTQTMYDTTIHALAARLSTTSRASFVSHDVDD DPMAVQVLVLRALYHLIRRAGHHVVIDPSVLNVVLSPLKRSLLGEVDQARGGAGLRLL TLLLTPPNVNASTCLDVVISSFKLVHEAIDKTLQPRYLQFIRDQQGMICDPDGVALAL VTALLALLEAVAAADDAYHRHPSLLPKLLGYVSVGSACPQVASAAVACVTRLCDQSSL LPSLLPSLLPSLLLVEGGGVVFLSHLVATTSTDNAAMAVAAAALLHAHEPLLHTVLVQ LFTPGLLQVLAVDGPAAFVHTLHSTDDIYSARMIWTLSMQATLKETLHRELVNVTAAA KDVNRWPVWDPDHFVAADSFRYLYTDVADEVEVGGVFVRLFVQQDKATLPPPDELRAL AIALVDAHASTSRALDALRQRGGGGDTLIKTYEVRLDLLSGAIRKVGVACPELDLVVD DGFSDTESVGDDMANLVDMSPTAAVTCHDDPPPEHEQDDEHHLTYFDKQDSMLLDEIV V H257_15361 MGTTGSVEQRNAIKDVVMISDSKKRSKDDIMRVLQTFCNVFPLE TADGRRRRTDSSEEHAAAASMDVKEKRLFDSVHRLLKTAVDTTATSSTADESDVVLMI LDTFKRLLQWRELHKLVPKYSIKPFLTCMMWSPQLASSVLQVFLMMKTRPTGLKSAEV GDKMEMLNKRTFADDLGFQVLGSLLAQHHPSSVLLPSSTAEPILQASLRIFHWTYVGN KHTSDAVTLDRVTDALLNARLTLLHLAHHRPSPSSSIYPPPSSPSLRHIAADLLLELF SVADVAQVTVLQDTARDCGALLYMLSHALDTDIHRSEHSDNVATLDEYNDDDVASFRD KAVALVEMFCAGNSRTKAAMYRMLPVDLFVPMEDRPDLVSKYSMAAIRKSTKSASGSA SSLLLGPFRSEPRFSAQSAAGTSTTNTTAAAASSSSSLLSSAVGGLYTSTATSVSHVE FQKWLHDARSQGEAWQQVLAAARNEHIGPNLIWRRAMLTELQVALRREIAALEAKASS MSSSPPPTPTTDAAGRRRGSVHLWDADQFTVGYSSFERELVVHGYFVEPLLPKLSDLN DPFEVSDPVLLAWHVLDRVGVETDATWRLRCVRCVRLLLRRYAMTFNGQLPVQSLLQH LRDVVASDPPDGSLAAFATECFLLFHVAISTSRVRTADSLVPTYPSVISAVVAALSLP PTSQAVETDGEAYNRGEAPTNPHRLTFEALHDDMTEDDEHVEDAMVRAGVNVLAVIVQ RARSYIPQVVAARGTLVQLFYTALRPSTLAALLALVEQLLPHWSNSDSFEHQVLPAIL VACSNPINAGRMPHAAAALLCNYATPTAFQAALRAAVGHDGCGLHLVLSDPVVLAAIF NADSVQAADVLWGATLRQRLFTSLASATRGRRRLSTTRGRNDSGGEYFDAHQHDPLLQ GGDLDICVGHLFLKAFVEHEGSFRTTWTQTMYDTTIHALAARLSTTSRASFVSHDVDD DPMAVQVLVLRALYHLIRRAGHHVVIDPSVLNVVLSPLKRSLLGEVDQARGGAGLRLL TLLLTPPNVNASTCLDVVISSFKLVHEAIDKTLQPRYLQFIRDQQGMICDPDGVALAL VTALLALLEAVAAADDAYHRHPSLLPKLLGYVSVGSACPQVASAAVACVTRLCDQSSL LPSLLPSLLPSLLLVEGGGVVFLSHLVATTSTDNAAMAVAAAALLHAHEPLLHTVLVQ LFTPGLLQVLAVDGPAAFVHTLHSTDDIYSARMIWTLSMQATLKETLHRELVNVTAAA KDVNRWPVWDPDHFVAADSFRYLYTDVADEVEVGGVFVRLFVQQDKATLPPPDELRAL AIALVDAHASTSRALDALRQVRPP H257_15361 MFCAGNSRTKAAMYRMLPVDLFVPMEDRPDLVSKYSMAAIRKST KSASGSASSLLLGPFRSEPRFSAQSAAGTSTTNTTAAAASSSSSLLSSAVGGLYTSTA TSVSHVEFQKWLHDARSQGEAWQQVLAAARNEHIGPNLIWRRAMLTELQVALRREIAA LEAKASSMSSSPPPTPTTDAAGRRRGSVHLWDADQFTVGYSSFERELVVHGYFVEPLL PKLSDLNDPFEVSDPVLLAWHVLDRVGVETDATWRLRCVRCVRLLLRRYAMTFNGQLP VQSLLQHLRDVVASDPPDGSLAAFATECFLLFHVAISTSRVRTADSLVPTYPSVISAV VAALSLPPTSQAVETDGEAYNRGEAPTNPHRLTFEALHDDMTEDDEHVEDAMVRAGVN VLAVIVQRARSYIPQVVAARGTLVQLFYTALRPSTLAALLALVEQLLPHWSNSDSFEH QVLPAILVACSNPINAGRMPHAAAALLCNYATPTAFQAALRAAVGHDGCGLHLVLSDP VVLAAIFNADSVQAADVLWGATLRQRLFTSLASATRGRRRLSTTRGRNDSGGEYFDAH QHDPLLQGGDLDICVGHLFLKAFVEHEGSFRTTWTQTMYDTTIHALAARLSTTSRASF VSHDVDDDPMAVQVLVLRALYHLIRRAGHHVVIDPSVLNVVLSPLKRSLLGEVDQARG GAGLRLLTLLLTPPNVNASTCLDVVISSFKLVHEAIDKTLQPRYLQFIRDQQGMICDP DGVALALVTALLALLEAVAAADDAYHRHPSLLPKLLGYVSVGSACPQVASAAVACVTR LCDQSSLLPSLLPSLLPSLLLVEGGGVVFLSHLVATTSTDNAAMAVAAAALLHAHEPL LHTVLVQLFTPGLLQVLAVDGPAAFVHTLHSTDDIYSARMIWTLSMQATLKETLHREL VNVTAAAKDVNRWPVWDPDHFVAADSFRYLYTDVADEVEVGGVFVRLFVQQDKATLPP PDELRALAIALVDAHASTSRALDALRQRGGGGDTLIKTYEVRLDLLSGAIRKVGVACP ELDLVVDDGFSDTESVGDDMANLVDMSPTAAVTCHDDPPPEHEQDDEHHLTYFDKQDS MLLDEIVV H257_15362 MSQSSYPAMKPSLSQSTPEPEDLISLLSPSQTRDLDTLHKLVTE ELEEIYTKGDLVMALRDVNFDAATAFLTLRKRGEATKKRARLPDPFHDTWSRPKNPRL SLPAMSHNHRLFHSNHQPMYTPLHAARDMDARNSVVTSSAPPTYSSSETQYNLPYSQK NLSPRQEEQPDNDHGDVHESQVFQESDPTVAANILSLNLLDRQQHPVKEELSTEQQQQ HPLDDVFDKLAVAWTPDVKKLLDAACAAHATATLKHVPSFDAADAANLLLDIVSLLPH VQRLDALGRPISPTDAEVAASDKAAMEEAMAPLVTPEAKIKALNAACTNHTRRLEAFR RANVELVEQHAATSTQLKAKLEVATALVQHSRQNVAEMAATLEKARTDEDAAATKLDD LVEARRAEFVAAGLQPKAAKIRAITAVYTNPVDVEAVTFRELHAAAERATEAATADWH GATLVDMFATAVQALVRYVVALRQETMTAAYNDVRTRVLYAFV H257_15363 MPKYTNVARTFRQPPIESAPPTPRRRQGREAHQTHEEPFIDDID SQIHQGTDPVRILETDLYLWKS H257_15364 MSIPSSQVVMGATNSKALPPPPSDATTDGGTATPSSNGSSPSDF SAVEQALDITLNVLLLYGTYVCVQYLYKSYKPMIEDMYLSKDTAAKLKKRLAESKRAT ITMNHYESIIAADLVDPADLHVTFTDIGGLDAQKRDLHELVVLPLQCPDFFATSKLLS VPKGILLYGRPGTGKTLLAKAIAKESGAFFINLKISTLMSKWFGESQKLVKAAFSLAK KLSPCIIFIDEVDSFMGARSGGASDPTYNSMKTEFLALWDGFADTTAPDAGGFGVIVL GATNRPADVDAAFLRRMPRTFEVELPDAAQREQILRVHLRGEELAADVNLTHLARDTV HFSGSDLKELCRAALMLPLREHIDAFQAAAAAAAASSTDQSAPAVAHPASKRPVSAAD FAAARTRVHATGATAYAYANEHQASDQHSSSPAITPEMLAAMMAMGMQHIMQQAAGPP PPSSRR H257_15365 MADSKVTAAASAGVPTAQYDLTNSVAPFMDLHFMFPLIDFLSSN EMYDDKELQAAKLELLKPTKMADFAIEVHQALHGASKVPAELEKKRHAIIDEVNAAKG QCKPFLTLVDDQDKIIALQNDGLLTAAYLEQHHAVRFLAVAPYPHFPSFSSSLLVQIT SDVLEGLYTYAKLQYECGNYQDCLTYLTYYGVLVPNASEKYLNALWGKLAAEILVFRW EDAVADIARINEAIDASVRSPLEQLQQRTWLLHWALFVYAWHEDGRDGIVDFMLQSRC LEAIQTNAPWLMRYLAAGVILHKKRRYLIKELLRIIHVDDKAYRDPIIEFLECLYVHF DFELAQAKLLECKHVLASDFFLAEKNMHAFMEHARLLTFEIYCRIHHTIDISLLADKL AMNEKGDAEKWIVDLIRNARLEAKIDSQKDVIVMDTRYDSVYRQVITKTKDLAARTTT MIAQFDRLGKRQQQQRAQF H257_15366 MATVEATSTNPVAMAVATMSDPRYRHFVGGAIGGMTAAIITSPL EVVKTRLQTKASRDSMARTVEHHRLTTSNVLRSILQHESIFGLWRGILPNLLGVVPAR AAYFGCYAKLKSTLGDHGYAGTTANFTSAAAAGCLTASFLSPIFVIKTRMQLMPVHTI SLPSVSTPSKVPVAVLPRFHSMHEVAIDMYRREGMRSFFKGLSASYWGVSEGAIQLAL YEELKSRLGDDPSKPQLFVLAGVCKLVAAAATYPHEVVRTRLRDQRTPTDGSLPRYRS MFQALNVIYKSEGRRGLYGGMPAHLLKTVPNAAIMYVVLEMVVEQQPK H257_15367 MAAPMVDAVPKHAFAYDGGTIILTDEHTTLSKCGNLLKFSSIVS NSQHFLTRSKPTRITAFDANTRASHLALAACEEHAEVSIYSVPEKKLRGKLKLGTSLV FEHYLVKFSRCGSRLLSVGTTPTTNELRVWDVDKFDFIDGCDVDLPTRVAFASFNPLD AQCFVTASTDGGVTFWRVCHGVHGRSCFSRVDGTTTDPMLSSSAPETPQLGTSSSGRA LPPTPSSSDLFKPDVAGTADDSTCCRYVGHCWSKTPGHVYLVNQRSELVTMDSTTGAV VSRVLPLSSSPVAATALVLTSESLVVGFSDGTIRWLASDDLSLVLQTTTLPHPITTLA LSPACVVLVAGTAHGGQFELKAYVDSFDDDGTAANGPEKQQQSTIPATSLGISHTGAI VAVTTLLPAGGTTNDAALVSGGTSGLLHVWTVVGCRGVAAVDLADLFDPSATSGASSI FASSPFPRSGTDGSSAGVTSVGGGRVPIVSLAARYLDPIVLVGDAVGRLRIVGLSKSA TPSPSSTVDLSPLHTVRLFSAEAPIDLLELHPSLPLVLAASSVASAVFVVSLEPDKQF RVLAFVHTSSPPQLVKWVPTSSLESTYFVVTTTAAASGDGSGIGYVLVPNNLDDRMAT ELPVAPLGASPHLPLECHGGAFLLTPGKLLAYFNRGDKGLHLVKVYDAPGAPKDRELK TLVPLAHAKPITAVARSILSSKEGHEIVATGGADGVVTIWLVQTKRSSSAVFLKDVEI DVRKQKSLVVHAGAVTALVFAMCDDALYVYSTGTDGAVFCLDVHVTDVVSLVLVKSVA EGASPLYAAVVGKDKQPRGTAADKKQPLLVLTEDPKPFLDTYAEEQAALVRAKFEAVK DTIRGPLAEIQLKLKTMLQHNAELPEAEALDRHEFVVNERQEADVLAQSDTRAKEVRR NISRQIAELNIVRDRMRVEFWDSGDVHGVQLHGLNSSLHVVNLPMRKLSKADVRRFEM ILRLREIEFHSAVAEPTGTKQYSLHRRKSCMYHHGEIIPPNITWMVNAGLLHPSLKKL GGDSGGGAAGGGAEKGGAAGAGAKTTTPASSKESAGASADDGQTTSASPRLVDLIYHP AMIRTRKQQRTQIHLLQAYERHLVTAYNGEFDALVKLKEAKIDEIEGKYGRMREICAE LGVDAAAVTVVYRWHPDEVADSMMRLLPGEATKVPYETDERRKVREAAEDAARLQEEK NKKDDVAGRALNDMMNGTLETKKEAIAAQTVAREAWMDEIAAEDMTAEQKQKVAAFEA DAVKLVDDKEKARKALDLELKKLKVDVGDICKAFDDKLKGLHDLYLATRMSVLTQQMY VLRLGEVLMDHEHCCHEKELLQREIDHITADIHSLQADAAQFTAQMEACRDAWQHAVD EDKACEKAFPKEIEDAAGGTPLEHDVMKALVELYRKRRPQGDEDDSSRPGKGGGRKEG GGSMRALSISSNVGDGGNLDPFGHADRRKAPSTKGGFEVKRLLPLDPDVDRPESIATD SAVWSVLNECRVKKMTLEHVVKLKNDLYVEAKGVADATHAKVAALEDKADRYARALHE LVDTMDLNSENHPVLVQIKQGQDEASGGDDLVTLFEETESALLISRRSVEALNATIMV HGTDQVSILSKIQNFRKNINLMEWEHAYLDMQKRNMEDHYTDLQLLRVTKNLQELITT GDASEKQKVEQGLLEAKLAYMGKNHHVHQLKQAKTTQALRSQLGDRLKENDQFKRQLQ DLQTHIHIREDIVASRRASSVAATTGKGKKQPIKDNKLKAITVRRKLVDLAKAQSEEI EFMRQELDKIRRRTFPSFVQPMQAPNDDDDDADNFG H257_15368 MVKKRGVVTAQVPSEASSSKKHRGDDGTSSGPSVSPAKKKDAVH AHPIAAAPVAALVPPSTSVLRDLILNVQRGQLDAVVKTLNEFRTGVLVDETTGEVSST CPLLQAYLQISPQSEPLVDLWGSALWLEDKSRQIIPVVIELLTFVFQYLRVQSPAVAE AVALHVLKTKMELISKQLSWSDKPHVEHATLALCTSMVTLHPRIAREFVRLFDFTAKA FDKLCVRRSKPFDVVVLSRPPRQINVRHAFVQLILALVTTDDQHIRRFALKGDGIAHA LFKSIDDDTYEDVTLILSTLAAAVLASTDVRGKRAVYSSFAISQLVKLIDSSDARIVA LGTQTLDALFFHDKALYRVSQAATLAHLEPVTSPDDTSSSSETGAMKVLLKLLASFDL TATMAHPTREALLFRFVSTYPALIVVVFQSFHAVMEPRPSYKWFAAASFVLKALRLPL TALSETSSNDGQYLMEAPLVKLVWPLGMQKKDLSKAVQHTDLLVVHTALNLLLVVLMR AAALMSQGRGNGGGDLSLQHAVRSLVPPPELIVALCTKYRTSTTDPVIADKKRATIYG KTLSVLQLYFTHLPQLMTETKFDLSKLVAPNQPLPLRGAVLGLLDVAEPSRLAWIVLG DTSKLKILLQYATAPASAVTPLAVRVVRRVLASLHTFGVAEPQPDVPHEIDLWLRPLV TSPSEAATAFLDVLVRGVAAHPFHFVNDRTSPMTQALVAYFQRDTFPFQIKHVDEPYA VSAYGVQVLAKLAALYPPTWSLPQQWTDDDSGGGTNDRDPLRLVATLATATTNHHQST THHKPALPSTKDTIAHLTSPNQPDNNKGVVVVLTEEVLTGVWAATGSFAVIWDYFRAH PTESLFFFQANQTSPCLDAVVRPAASVSPLVRQFVDSSPVDLVLSSLFSPLVLLSPSR TSPVDAKHIVSYLERKACTILDIVDVQVAILDLVHGLNVYRQRRLNLEAITAEYTAAV AVSITALHFLLLWGAHHGRYPAAFYQVVWRSTEFGDEDSDEVLLRWSVLPYLLSSFVP DATTSAFLTDHPVDQASPLSAVLLPRRHLSSAHLLDALAAVLNCPRVNAPLVRHLIRL TSTQTLPRPHLLQKVFAFWQAHDDDDEAAAAPSVVKSWMLHYVLHHPLNECAVAVPLF DACWTRLQTCHHSQLNLRILEALIQRSPACRAEFTRVPDTPSRRVSTSVAGLTRLCAA YLSSLPLVPSCVSWIEAVVLPAFVDQVLDAPDTLHTCSISALADAYATLYSSSDDAKE LAHLEWLSDVLATKKHAVPKSTLQLLLLTAQYSDLSKQASKCVSFGLAQLTLHAIHHE DATVVDDAIATFTRHVLTRYGLPKRVPESAIQSFVTHLPPNWVACEPLLELVATLVPL YPSLMDMSSLLEQLVDAFDASFASNAAEMFVKTLGVVILACNHPPIPSSVVSEAFLRH VLAAYGATLSPLDLLLKAVVDAIVVVAGEDHVTLERVGYCFGSKANHVATADLDQHWL LDEIDADMMNTSIAHFPIDRPFHGSAYASSSRDMYDPAYMLPLIAHTISSSAIPDRHL LSSGILGYAICGLSAHDATLRAHAYGIVATAHESMSATARTFDFSERRQVFLLLETLK NGIAEPHARLPCLLSVFVNDAIAALLKPGHFMFPLVNAFLLSRSALDVNDVPMFYALF NSSSTMFRAERSWLLHLVKRGVKLDVDVELLQRRHVFSILLGFFDSPLADAHTQGLVL EILATAVATAAGNVILVHKMGLLAWLQAVAIKHEGKFTALLLSLVHTSIQSYYLSEKP TDRYAANTMSQLHQLCRTLVVQHQQCLKPTDVRDVDFALLPAVLTQFFTFCTLAKAPP STSVWFSLDLLDSTTALLPRDSPFALALLPHVVWYLQRIPAAPRDFQFGRQTFERWAG VVSWAVAQAATSRNLPLQLALPDAVLALTQAVRGFHVDVV H257_15370 MTTPGSSVASKFPTEAKDLATGDTLEVSKPKSKPIAGFVSTSVL SSSDGLFGDNVEEVRITDRPESSEEKVDFRPLYERLKEQKDARDADWKDKNNPFAPPK GLDDEEIDFLHTLESDQKKLQDDITRHHDEELAHFALLKREIQAKKPPPAVATTLSSR DRSSLPLEKQGAKVAAKVKAKTSTHAGNDHGNTRKKQKTAPVASLVAAYSDDDSA H257_15371 MPPLPSSIADAYSAGIASDAGVATFADLCAKKVPSRGKESHLTH MAALIVPEAELKRMQGDPHEKVRRLKLQVLCRLGQAAIRKTDPVDKSAKKTLYSILSS MAMKMDSMAMSLPEHDDADGKSAFTTFVLDTLSSRFQSLLPKTFKWLLKTLEISPPND HEAKKSAPSLLLVRSQSTEPPTLKPDCSIAQVLQGRSSSAKDPSASRSLLFQEIVLTS SQPKTRLPAMITPTIHKVVPPSTDEPAPCAVNADVPIVNARHTMVLKTPERPKRPPMK KRLVCVASSPPLRKPTKKRLGTLMSNFK H257_15372 MDDNEASQDVTPGYVTMAVQIRSKKQQNEVGVAVRRPRPRKSCV RNAPGEDKNQWELILFSFIDCNQLSTLDNLLVQVAPSECLAMDSDADNKVFRLLLQSH GVERTSVPSKRFKTTASAMEPTLCRLLGASGIQATHRVEMEMELALGALAAIVDTHSL LVDTDGFGTYSLSVGDVDSVMQLDRAALTALNLLPDPMLTGTHQTQNASVLDLLNRGK TAMGRRMLERWIRMPLMDATLIAERQEVVATFVHESTLRMELLDECMKALPDLEKLAA TLGKLKSVKLDHLVSVYDAASSVLPRIVRALAGQDVLRESFQVPLEKVQRDFQGFIGL VDELVDFGARPYVTVNAKHDEALRDIRAALQQVEADIDDEHDRVKHDIGGDVKCEKDK VRGYVFRLINKKEEERLSKMKHVHICQVLVNGLYFTTSRLKELGKAYLQHVADYEARQ MHILTAAIDVAATYVPVIESAATFVGTLDVLLGFAHVAAHAGYCRPAVSASGFVVDGA RHPCVELQDGVQFIPNNVDMDAHTSRFQLVTGPNMGGKSTYIRALGAITVMAQIGSFV PATSAMLPIVDKLLVRVGAGDMQQRGVSTFMLEMLEAAVICRKATARSLVIIDELGRG TSTYDGFGLAWAISDFLVRRRCWCVFATHFHELTALGGSDGVVNKHLTAHTADNHIAM VYEVRPGPCLESFGIHVAEMAGFPSSVVAVAKRKATELEHFDFKSSSQHQTADDQPIT KRLRALDVPAMTDDAVLAAVAALL H257_15373 MFQLLCTPSLVVPAMMKSTTPDTTNQVFLVSSVVVFTAAGVVVI FAASSAVAVSPLAGPASAWTCSLLCDGPGRRSRVCAEGAQRLVDFSALLLLIALLLDV TVRDAAGVLGPGQVDKPDGKTRSLTCSIWRRACDRLLARWTFELPVARLTVNDFTVNH V H257_15374 MSTFLSGKSTAPKFSPEQCSRYFFSAITESNDETTGRWRCTLCQ RTYTQQEDRGYTNLLAHVKASHSNYATLMREAPAAAQSTNTRLWVSDRVKGRFGWFSW IVEEGLPLTFCEKPSTRRFTNLPIISHVTLRDNILRLTEVVEKKISKEIPDRFGIIFD GWTHNSEHYLVVFTKRMESLCSLSLAPIIHEPDDDHSAKTHYTAIKSVLAMFKETIMQ CVFLVGDNCSVNKKLAKLMSAYTQQHEDELAKIQQLMIKLRTLNQASKLLFRTELRPI LRQDTRWSSTFAMLQRFFKLREHLDHEDDTILEILPTLGETKKLKCLLEDLKKVESVS KRVQSTDATMWEVRTLFDALVLDFPSFEHYIEKLAVAALRSNYGADDASDEDAAFALK RVRLADENDTYVLLDAATLFLKCNRSYWDVRCAEVVAPTMSSFVSLFVETRWDVRQPR VAQSQMSCHHRVPVAVAAGSVLDIRKDKKYKCSEDIGGVSLAMYSFDTAWLEQSVQLL LFSKVTLRR H257_15375 MAANPKDTVGINLLVFNGKKDKFRVWTAKFVSHLKEMTVALQGQ WLASTTPDRPEPTIKFEDWLQGDPPVATDPDEKRAKWHQYYRTMQVQQIRTLLSKVVP DQFVQQMKKSYSEKEPIYRLWAEIEKKYGVSNVTTMKTATRKLMRVADGDFQSVEALF GELRTLKHSINSHFRWANVGANSGTSKATLVDRWRTQMYDGGPPSLPVPRGA H257_15376 MPIHQVVKALPRAQFLTQKKAPHTRTISRIKALEEFVAGTCTLV HVNGHRPEKTFVRVERPQERYFVTGSLESLPVRGLLQQTRRLVSEATNGSNVDWINRP TWLPPEFEWTSPEHMTKTNLLELSHMCLEQIFSPNYCGPVQFANGRVEFLAH H257_15377 MPHREKSPLLHRRVDMSKGMESLDNAPPKPIARVVGRGEPVVGE SGKLLLDVPVGSYNIRRSGGNWRKIYWDDLFHTIINTRTSRVIIGVFAAYSLVIFLFA LCYRYVSVNDPTCNVGITTIMEAYIFSVETIMTIGYGAPSNDIFYGGCGSMAVILTLE SFSGIFLDAVCIGMFFVRFSRATTRACSIIFTNFAVIRRIRGDYYFMFQVCERRKHQL AEAHVRCYAVRHEVSGEDGCTEEALFQTHHMRIQQPDDDIGAFLLMALPQVVVHRIDP WSPFFPRECLPDEYHATTCPAFPDPSQRAIDHENGNRDYHADAVEPTKPTQAQIERHL RRSELEVVVILEGTDSTTGNTMQARFSYTAHDMSWNHTFARCVSRDPVTNGAHIDFDK FHDLRAAPADSMNDVPVSVF H257_15377 MPHREKSPLLHRRVDMSKGMESLDNAPPKPIARVVGRGEPVVGE SGKLLLDVPVGSYNIRRSGGNWRKIYWDDLFHTIINTRTSRVIIGVFAAYSLVIFLFA LCYRYVSVNDPTCNVGITTIMEAYIFSVETIMTIGYGAPSNDIFYGGCGSMAVILTLE SFSGIFLDAVCIGMFFVRFSRATTRACSIIFTNFAVIRRIRGDYYFMFQVCERRKHQL AEAHVRCYAVRHEVSGEDGCTEEALFQTHHMRIQQPDDDIGAFLLMALPQVVVHRIDP WSPFFPRECLPDEYHATTCPAFPGNNVSTYVVVRPDDGACSPQIHRNEQSITRMGTGI TTRTLWSQPNRRKRKLSVIYVDQNWKLSLSLKEPTAPLATPCRHASPIQHTTCHGTIR LPAA H257_15378 MKKVTLLVAANPILNSGNIMDDGGDNFLLPQCLKKSAMPPRPRF VDRSGKPLLRTRSRPNPGNTSRGILNIARTGGNWKQIYWNDLYHTVINVKTPTLISGV VVSYVLVTFVFACLYLVVSYNDAKCNVGIKTLTEAYIFSIETIMTIGYGAPTDDIFYG GCGSMALLLTLESFSGIFINALMVGMFFIHFSRASTRAQSIVFTTNAVIRRIRGEYYF IFQVCERRKHQLVEAHVRCYAVRDQVDDDGGGLFQTHSMRLQQPDDDLGSYLLMAMPQ LVVHRIDQWSPLFPPECRPSSYNATTTPAYPDPLQRAVDHDNGNRDADSPQVATPVTR TSIERHFEKTNMEVVVILEGEDSTTSNTAQARHSYQLTDIVWDHMFARCVKRHPQTNG VWIDFDMFHDLVPVSSDAAAVVSTSIF H257_15379 MLILYGSQTGTTESFAKIVHSFATARGLSPRLVAADDFDHADLV HEDVIVFLTSTFYNGEFPSNFTRTWDYLQTTTAKFTTTKFAVFGLGNSATKSNFNNAG KQLDAQLEALGGERLVPLGLGDEQADSGHETSFRPWVQSLWVKLLGGHGKMTLPVQYG ISYPTKDVESAPRTIPGFDAFRVVSNTLLTPVGYERPSYLLTLELPPRVTYELGDHIQ VAHVNSDDLVLRLARRMHLDLSTTVHLSALANSTGLPTDPVKLQVLLRDHLDLSSPPS RSFLEGLSALCTDKKEATELEHLAEDMTAGNAYSQYVGTNPASRIPFTLVDVLELYPS IQVGLEHILGNVPILPPRYYSVCSSPLMLPRHVQIVYMVAKWQSSKSPLKTFTGAAAG YMSHLKTDALVTAQISRGYFKVPESLETPILGVALGTGISFFRALLQHRAYHQDHNAI VSKIRLYFGIRHASKDFLFQNELDTYVNRGLLELAPACSHDGASFVTPVTLIRDFPTS VAEYLDNQGVYFYCGIGGTIPEFHEAAIEAALQASHKSTLGSEMETVDEMKASGRWQI EAFSSCLDHENALQYQQKVQSKKEDTPISDVVGDCAMFCFQCGQTNQGIGCTKIGVCG KTPTVAALQDLLVDHLKHLSWYAHHIRVVDPDVTSLTEVDRFSLVALFSTLTNVNFDA TRFVTFIQQTKAFTDTLSQEYATVCKAHGVTPRAVPWKRTDANVVDIEELVASGKKVG VLSRLRAGRNDALVGLQEMLVYGLKGLAAYTDHSFQFGNEKPEIYHFIHEAFAFLWSP EAGKVDKVVDMLMKCGQVNLTALALLHESNNTYGAQSPGIATSVPRPGKCILVSGHDL KMLHDVLEACASYKTDHGVHINVYTHGELLPAHGYPALRASPHLIGHFGAAWQRQSLE FAHFPGSILMTTNCLTQPKTEYKDRLFTAGAVGWQDIPHLEDGQYAPLLAKAVAGVGF TDADLKFNYPANPFVNTVEKYHVGWGSETVIGAAATVLQAVTDGHISRFYVIGGCDGY EGERSYYTDLAKALPDTSVVLTVGCGKFRINHLDMGTIGDTGIPRLLDLGQCNDSYSA VQIALALAQALQCGVNDLPLSIVLSWFEQKAVVVLLTLLSLGIRNIRVGPTVPAFLRP SIFKVLHEKFNLMAIGADVHQDIANMVGGDKTPTA H257_15380 MEGFELHHHAAGMSSTYTEMEGNLHIRAKNMNMWKTRRFVLTGQ VLQYFSLKKPGQKRMLEAFAGLALSSSKKKSSENDHIFELVQCSIKPIHEYLSNRPHS FQIASTSFFLLLHADSHEEMQAWVSRLRLASKGGACSPPSDRGVLRTRSYSGGAMSPS PSSARITVVAESSEDDILEFAVLHRSDIHALLAHDHGRYVGHHWIVQTAMYLGGKQVP RGSILIAVNGSSIPSDNDMSTLRRRVHSARCPLTVHFLRCRPKVGVLKMQHSYDALGS MLKLTTRGTALMGWKDLACEIDGDLFVCARLGGPAAAGSTAALAKWGHALLQSGNAAV ADSTSTDKPALKSTTVVSLSDGHASVRLVHAVLSGRPHCFLLTTASMSLLLQAASDDD MVEWMGALVHAIDLAQGHVVAGGSSSTSHPLSAFSRPHVRRNVPAATTTQQHPPHRTP PLSFFPPSGGDGIQRSSAQSQSLIFDPQPPQNHPSPLPRLAAADVVEILMFCQHHGRY TEALQLLVQHTSCRLLYWPSIFAWALPHPVVTAQEIAAYSTTPISDEDTIQLLKDIPR TATWLASSDGAPASTVDAPPTRLTNLGTVLRAFIAHSCSNVDAAISSSHTSYLQGMNG IAFVLLQVLADDVDRSFQFLHGLVHGALPTIFHSEAHSTNALVQTGATLESMVSMYLP ALAATFEVVGLPVFLLAYKWFPTLFSDVSLQANREHNQLRYDTLLVAWDVCMLVGIEG IYVVALALFAAADVAIRNLGQTCLAEDVSGAFTAVLAHLTPDDFVLHVCEVIETCRHP LLLQMRDAHHRKLHESVMQRPSVVTTLDTGQVVGGSAATPALVPHSSADSY H257_15381 MLILYGSQTGTTEAYAKIVHSFATARGLSPRLLVADDFNPDQLV HEGVVIFLTSTFYNGEFPSNFSRTWDYLRATTTSLPSTKFAVFGLGNSHTKVNFNAAA KVLDARLEQLGASRLIPLGLGDEQAPCGHETAFRPWIQHLWVKLLGGHGKMTLPVQFH ISTPATDAVSVSRTLPGFDGFRVVSNTLLTPDGYERPTFLLTLELPAGVTYQLGDHIQ VSYNNSSDLVERTASRLGLSLDSTIQLRPIGHGGYLPVDTPIKLEDLLRDYLDLSSPP SRSFLEGLSALCADPDEALALEQLAEDMTIGNLYSKYVGGNAAFRTPFTLVDVLELHP SIQIGLHHIVGNISLIRPRYYSVCSSPLQLPHHVQVVYMVDTWHCGNDPNKLFMGAAA GYMSRLVPGDIVTSSLSRGYFRLPTSLETPILGVALGTGISFFRALLQHRAYHQDQHA VVSKMRLYFGIRHAAKDFLFENELQAYVNRGLLELVPACSHDSNEFVTPVTKLRDFPN SVAEYLDNQGVYFYCGIGGTIPYFHEAAIQTALQTVHKSTLGSEMETVDEMKVTGRWQ VEAFSSCLDHENALQHQQKVQTKKEDTPISDVVGDCAMFCFQCGQTNQGIGCTKIGVC GKTPTVAALQDLLVDHLKHLSWYAHHIRVVDPDVTSLTEVDRFSLVALFSTLTNVNFD ATRFVTFIQQTKAFTDTLSQEYATVCKAHGVTPRAVPWKRTDANVVDIEELVASGKKV GVLSRLRAGRNDALVGLQEMLVYGLKGLAAYTDHSFQFGNEKPEIYHFIHEAFAFLWS PEAGKVDKVVDMLMKCGQVNLTALALLHESNNTYGAQSPGIATSVPRPGKCILVSGHD LKMLHDVLEACASYKTDHGVHINVYTHGELLPAHGYPALRASPHLIGHFGAAWQRQSL EFAHFPGSILMTTNCLTQPKTEYKDRLFTAGAVGWQDIPHLEDGQYAPLLAKAVAGVG FTDADLKFNYPANPFVNTVEKYHVGWGSETVIGAAATVLQAVTDGHISRFYVIGGCDG YEGERSYYTDLAKALPDTSVVLTVGCGKFRINHLDMGTIGDTGIPRLLDLGQCNDSYS AVQIALALAQALQCGVNDLPLSIVLSWFEQKAVVVLLTLLSLGIRNIRVGPTVPAFLR PSIFKVLHEKFNLMAIGADVHQDIANMVGGDNGIASSP H257_15382 MNAPNALDACDLSFRMRASAPTHCTVQRAVGQKRLGRVIAMKST RRHKLGQGTGIFQGAVHILPKIRTHSMIGITHQNDPPSNIRLERFVNGDRSEARDLSY H257_15382 MNAPNALDACDLSFRMRASAPTHCTVQRAVGQKRLGRVIAMKST RRHKLGQGTGIFQGAVHILPKIRTHSMIGITHQNDPPSNIRLERFVNGDRSEARDLSY H257_15382 MNAPNALDACDLSFRMRASAPTHCTVQRAVGQKRLGRVIAMKST RRHKLGQGTGIFQGAVHILPKIRTHSMIGITHQNDPPSNIRLERFVNGDRSEARDLSY H257_15382 MNAPNALDACDLSFRMRASAPTHCTVQRAVGQKRLGRVIAMKST RRHKLGQGTGIFQGAVHILPKIRTHSMIGITHQNDPPSNIRLERFVNGDRSEARDLSY H257_15382 MNAPNALDACDLSFRMRASAPTHCTVQRAVGQKRLGRVIAMKST RRHKLGQGTGIFQGAVHILPKIRTHSMIGITHQNDPPSNIRLERFVNGDRSEARDLSY H257_15382 MNAPNALDACDLSFRMRASAPTHCTVQRAVGQKRLGRVIAMKST RRHKLGQGTGIFQGAVHILPKIRTHSMIGITHQNDPPSNIRLERFVNGDRSEARDLSY H257_15382 MNAPNALDACDLSFRMRASAPTHCTVQRAVGQKRLGRVIAMKST RRHKLGQGTGIFQGAVHILPKIRTHSMIGITHQNDPPSNIRLERFVNGDRSEARDLSY H257_15383 MRDEKSHDDSLHDQVAQRVNRLRMSVKELSHGTLKQILVLLLKF IEAVRVLKSCEHPMRPDVDSGLLDEREGPLSRMLSNNDRGVVKEVERLQDMSIVVVGL NGMGCMIAETFCRSGIGAIYLCDNSNVFKADLGRMFFRPDNVGYTRMGEVESALHAIN HDVHVEQVHIDCFESCRGLDTTLLHRPPSRQPLPPRPPTSPLPTSPPPLLMFLCVEDL VLTSNVNEWALENNVPLVHATMGPDGLSGLVVTSIRGKTRCLNCYQSSPASSSSSQSP RRTSLDSTFAKPLVKKVLPILPASSPCLPMFESIVAGIAAHNALKHLLGFGTVVPCIR YYGLQESIDHSVIPPSSVPRTSDGSNSGTLQCTSPLCREHNHALLATNPRHRKDNSS H257_15384 MAAVEEHTQLPWKVAFRVQFTTEKYGIKFYPSPLPDTGNGLYTI QVLEVPRAADPATYGPAQQYNESLKPEQAHLAVRAGLYLTHINDTNLLRQPCEQVIRQ LTSVPRPVKLRFVDVDSGVVTLKELQEGRYARTSLSKAHLDALLQEPPPSPESPPVES RDAVPTTSPEPFLAPPPLLPPPFVVTVAPSTHVAPATQAIPLPPPTYTTEHVYKLILL GTTGVGKSSVLSVGVGGAAAFSDRPAATLEAEFGTLCVPDPDVATNKMLKAQVWDTAG QERYRAMTRSHYRRADGALLVYDVADPDSFGKLAGWLADLREMAGDSIKSILVLENKI DQLPDAVVSGVEPRPSQFVNEAAVAAFCKVNGLLFARSSAKMNRTAFRWAGKPVEEVV GQLLLNVHATHLARGLKLQQEQLAKQLVSQDQVVATSSSTPIVLCASPSIARAKSGDC GCGGT H257_15385 MFWKTEIPAPDERMLKTIEKLQLNESDLRALYKRFSKSDKEVRR TSNSGSIDRTEFFRSFNEERTAVGDAVFALIDIDNSGQLDFSEYVEALGAFCLLNTDE ILKFCFFVFDQDKNGTIEGMELDALLEMLHSDSATSNMAAAMEKFDFNGDGKVDFKEF QILNQQFPTLLYPVYRLQQSMKVHSMGESWWNRRATLLNDLKDLKENGDAAAIAASKA KDRRRKTRQNMGCLRYYLCPCRRSAYVVDDSGLTEDALIEEAKQREIEAQKQKLHDAL AARARKDKKAKHTEAADGRKVLSKDERKERAKKRRQRDMKDLPSRK H257_15386 MEPTTPLLPPSASSTHRDILWLVFSLVGGMIYGYNVSIAPSLAY IADDLSLSTSQQEMASASATLSDTITMLVGGHLADAFGRRRTAIAACMLSIVGALGIL IFHASFSAFLIWRLCAGIGNALSILVLPMYISESVSTSRRGRCISLYQLGVLSGTLLP YAMMLLSENWKLTFTLGAVPGLLIGGCFFTGYFPESRHHAPHLAEFHKQPDANNSSSH VMLLFLGVLLAYSNNSIDPTLFYGPTIISQFIRSSSRVSANLVGLGLSSLSVLSVAAA AAFLRPTFPRRPLYLVCHGVVVVCFLAASVLFAASSSSAVLAVLGVMILFQTCGPGLL FVLIVSELFQDPAVRATSMGYCTFAMSAFSLLINGTLLSLFSALGVGGTFAAYGVSYA ACWVVFYLYLPETSTRQVQA H257_15386 MHSIAPSLAYIADDLSLSTSQQEMASASATLSDTITMLVGGHLA DAFGRRRTAIAACMLSIVGALGILIFHASFSAFLIWRLCAGIGNALSILVLPMYISES VSTSRRGRCISLYQLGVLSGTLLPYAMMLLSENWKLTFTLGAVPGLLIGGCFFTGYFP ESRHHAPHLAEFHKQPDANNSSSHVMLLFLGVLLAYSNNSIDPTLFYGPTIISQFIRS SSRVSANLVGLGLSSLSVLSVAAAAAFLRPTFPRRPLYLVCHGVVVVCFLAASVLFAA SSSSAVLAVLGVMILFQTCGPGLLFVLIVSELFQDPAVRATSMGYCTFAMSAFSLLIN GTLLSLFSALGVGGTFAAYGVSYAACWVVFYLYLPETSTRQVQA H257_15386 MASASATLSDTITMLVGGHLADAFGRRRTAIAACMLSIVGALGI LIFHASFSAFLIWRLCAGIGNALSILVLPMYISESVSTSRRGRCISLYQLGVLSGTLL PYAMMLLSENWKLTFTLGAVPGLLIGGCFFTGYFPESRHHAPHLAEFHKQPDANNSSS HVMLLFLGVLLAYSNNSIDPTLFYGPTIISQFIRSSSRVSANLVGLGLSSLSVLSVAA AAAFLRPTFPRRPLYLVCHGVVVVCFLAASVLFAASSSSAVLAVLGVMILFQTCGPGL LFVLIVSELFQDPAVRATSMGYCTFAMSAFSLLINGTLLSLFSALGVGGTFAAYGVSY AACWVVFYLYLPETSTRQVQA H257_15386 MASASATLSDTITMLVGGHLADAFGRRRTAIAACMLSIVGALGI LIFHASFSAFLIWRLCAGIGNALSILVLPMYISESVSTSRRGRCISLYQLGVLSGTLL PYAMMLLSENWKLTFTLGAVPGLLIGGCFFTGYFPESRHHAPHLAEFHKQPDANNSSS HVMLLFLGVLLAYSNNSIDPTLFYGPTIISQFIRSSSRVSANLVGLGLSSLSVLSVAA AAAFLRPTFPRRPLYLVCHGVVVVCFLAASVLFAASSSSAVLAVLGVMILFQTCGPGL LFVLIVSELFQDPAVRATSMGYCTFAMSAFSLLINGTLLSLFSALGVGGTFAAYGVSY AACWVVFYLYLPETSTRQVQA H257_15386 MASASATLSDTITMLVGGHLADAFGRRRTAIAACMLSIVGALGI LIFHASFSAFLIWRLCAGIGNALSILVLPMYISESVSTSRRGRCISLYQLGVLSGTLL PYAMMLLSENWKLTFTLGAVPGLLIGGCFFTGYFPESRHHAPHLAEFHKQPDANNSSS HVMLLFLGVLLAYSNNSIDPTLFYGPTIISQFIRSSSRVSANLVGLGLSSLSVLSVAA AAAFLRPTFPRRPLYLVCHGVVVVCFLAASVLFAASSSSAVLAVLGVMILFQTCGPGL LFVLIVSELFQDPAVRATSMGYCTFAMSAFSLLINGTLLSLFSALGVGGTFAAYGVSY AACWVVFYLYLPETSTRQVQA H257_15387 MAFRVCTIGQLGHGKTTLKTALAQSQSNTAAPLDKNGIVYDATR VQYQTPTRQYDHVDFAGPSDATKYFITQGSALNAVILVVSATEGPTQQTRDQLLLAKQ VGLKSIVLLLNKTDLIDDDAVLDLVEDEVRELVIEYDFVGADMPVVRGSALKAAQGTD KSTVAALLQSLDAFPQRNNEQITSPNVSFKFEALVYLRTTAEGGRRTPFYQGYIPRLN FPVVGKTILGKIEFTGGVTSGNAGAVITLKVTLDEGINLSAKTTRFSIQEGGLDIGHG VVTRVG H257_15388 MAFRVCTIGQLGHGKTTLKTALAQSQSNTAAPLDKNGIVYDATR VQYQTPTRQYDHVDFAGPSDATKYFITQGSALNAVILVVSATEGPTQQTRDQLLLAKQ VGLKSIVLLLNKTDLIDDDAVLDLVEDEVRELVIEYDFVGADMPVVRGSALKAAQGTD KSTVAALLQSLDAFPQRNNEQITSPNVSFKFEALVYLRTTAEGGRRTPFYQGYIPRLN FPVVGKTILGKIEFTGGVTSGNAGAVITLKVTLDEGINLSAKTTRFSIQEGGLDIGHG VVTRVG H257_15389 MEPTTPLLPPSASSTHRDILWLVFSLVGGMIYGYNVSIAPSLAY IADDLSLSTSQQEMASASATLSDTITMLVGGHLADAFGRRRTAIAACMLSIVGALGIL MSRLGSRTTLARVKHV H257_15389 MHSIAPSLAYIADDLSLSTSQQEMASASATLSDTITMLVGGHLA DAFGRRRTAIAACMLSIVGALGILMSRLGSRTTLARVKHV H257_15390 MSSKDHSFQPFASTSTQQRMPLPSSTIALTSLV H257_15391 MALRICTIGHADHGKTTLSSALAQGHNSSVATLDKSGLSFEATR FQYETATRQYDHVDFAAHNDTIKYFVTKGPELDAVILVVSATEGPMPQTREQVLLASQ VGVPSLIVLLNKTDLVDDDEQLELVEMEVRELVSQYGFPGDDLPVVRGSALKAVEGTD KETVAALLRHLDALPQGNNARNHSGKLAVHFESLVYIRTKEEGGRHTPFFKGYRPLFN FSGKAVIGTMELPEGVDMIMPGNSTKMNVTLIEGVELTPTSTTFTIQEGSLDVGVGVV TNLD H257_15392 MNTKDGVYLVLDGLIGTTHDRILYTYMYQQGWEPLYSILAEIHK YTTLPTRTERQTHAEYMVETFLVHPDAVVVAHLHLTDDECFQSVVQSVVDAVADKTCT LDMWSSLDGRLKRALDWSGFFKSDMFGDLCNAIRERHPMTLHDVLGDCDATRIRYLEL YLREFHHPGGVSNLLFWVDVQTTFLPLVTTQPASSSSSFSMARFEEIQVTVRRLFNAY LADNPSGGTATAVPDDTKKDVLARILLYQGEPFSPPRYATLFKAAQDHVWRWLASKIM PNFQNSMLYIQWMVEVERVLADRHLHRAYESVASPSSPHKPLKGTLVLPLPYASNRLP SSSKPHPDPPLRPTFANTFTSPLPPSLEHVLTFSIAPQTDGCGAFAASIHDTPVHLEW HASWSREVGPPPIDDVQSYCVDSRQPLVVGAASSTSTHGFLLHDSAAAMDLHGVCVTQ WAPLQSDPTGPTVYLPTITAFLARSSLWHLRADLVTAASEVHAQSQADWTADTWQRVV AAVAQPSRLYELTPRFRAFTGMRQWGSEFGGLRRLVAHLHLQNVVAAATALLVGHRVY VIASSRNVLYESTEALVAVLTPLKWPYTYVPYCCSMPPTKTEFHQHSPFCIGVEGSIQ IKRSPQDAATHHIRSSLCNLTRVHAVVRDVRGVCSADAVARAVLVDLDHDEVYVPIKQ ELPEPPQGLVRALELAWRGVLQPRLAKADECRFTGPTPVPSVDDGVLVEAHHDEGLKT SMAAFLEAMFGRVMDFVRSYPNDIDLGQHKPAIPLQGGDGETCTSFAVFDVDGFLAAH MELGCRDFFRQVFATEMFGNYLERQLRRFQK H257_15392 MNTKDGVYLVLDGLIGTTHDRILYTYMYQQGWEPLYSILAEIHK YTTLPTRTERQTHAEYMVETFLVHPDAVVVAHLHLTDDECFQSVVQSVVDAVADKTCT LDMWSSLDGRLKRALDWSGFFKSDMFGDLCNAIRERHPMTLHDVLGDCDATRIRYLEL YLREFHHPGGVSNLLFWVDVQTTFLPLVTTQPASSSSSFSMARFEEIQVTVRRLFNAY LADNPSGGTATAVPDDTKKDVLARILLYQGEPFSPPRYATLFKAAQDHVWRWLASKIM PNFQNSMLYIQWMVEVERVLADRHLHRAYESVASPSSPHKPLKGTLVLPLPYASNRLP SSSKPHPDPPLRPTFANTFTSPLPPSLEHVLTFSIAPQTDGCGAFAASIHDTPVHLEW HASWSREVGPPPIDDVQSYCVDSRQPLVVGAASSTSTHGFLLHDSAAAMDLHGVCVTQ WAPLQSDPTGPTVYLPTITAFLARSSLWHLRADLVTAASEVHAQSQADWTADTWQRVV AAVAQPSRLYELTPRFRAFTGMRQWGSEFGGLRRLVAHLHLQNVVAAATALLVGHRVY VIASSRNVLYESTEALVAVLTPLKWPYTYVPYCCSMPPTKTEFHQHSPFCIGVEGSIQ IKRSPQDAATHHIRSSLCNLTRVHAVVRDVRGVCSADAVARAVLVDLDHDEVYVPIKQ ELPEPPQGLVRALELAWRGVLQPRLAKADECRFTGPTPVPSVDDGVLVEAHHDEGLKT SMAAFLEAMFGRVMDFVRSYPNDIDLGQHKPAIPLQGGDGETCTSFAVFDVDGFLAA H257_15392 MNTKDGVYLVLDGLIGTTHDRILYTYMYQQGWEPLYSILAEIHK YTTLPTRTERQTHAEYMVETFLVHPDAVVVAHLHLTDDECFQSVVQSVVDAVADKTCT LDMWSSLDGRLKRALDWSGFFKSDMFGDLCNAIRERHPMTLHDVLGDCDATRIRYLEL YLREFHHPGGVSNLLFWVDVQTTFLPLVTTQPASSSSSFSMARFEEIQVTVRRLFNAY LADNPSGGTATAVPDDTKKDVLARILLYQGEPFSPPRYATLFKAAQDHVWRWLASKIM PNFQNSMLYIQWMVEVERVLADRHLHRAYESVASPSSPHKPLKGTLVLPLPYASNRLP SSSKPHPDPPLRPTFANTFTSPLPPSLEHVLTFSIAPQTDGCGAFAASIHDTPVHLEW HASWSREVGPPPIDDVQSYCVDSRQPLVVGAASSTSTHGFLLHDSAAAMDLHGVCVTQ WAPLQSDPTGPTVYLPTITAFLARSSLWHLRADLVTAASEVHAQSQADWTADTWQRVV AAVAQPSRLYELTPRFRAFTGMRQWGSEFGGLRRLVAHLHLQNVVAAATALLVGHRVY VIASSRNVLYESTEALVAVLTPLKWPYTYVPYCCSMPPTKTEFHQHSPFCIGVEGSIQ IKRSPQDAATHHIRTCIGPSRRDIGLKMYVGSSLCNLTRVHAVVRDVRGVCSADAVAR AVLVDLDHDEVYVPIKQELPEPPRRIVMLMRERGTD H257_15392 MNTKDGVYLVLDGLIGTTHDRILYTYMYQQGWEPLYSILAEIHK YTTLPTRTERQTHAEYMVETFLVHPDAVVVAHLHLTDDECFQSVVQSVVDAVADKTCT LDMWSSLDGRLKRALDWSGFFKSDMFGDLCNAIRERHPMTLHDVLGDCDATRIRYLEL YLREFHHPGGVSNLLFWVDVQTTFLPLVTTQPASSSSSFSMARFEEIQVTVRRLFNAY LADNPSGGTATAVPDDTKKDVLARILLYQGEPFSPPRYATLFKAAQDHVWRWLASKIM PNFQNSMLYIQWMVEVERVLADRHLHRAYESVASPSSPHKPLKGTLVLPLPYASNRLP SSSKPHPDPPLRPTFANTFTSPLPPSLEHVLTFSIAPQTDGCGAFAASIHDTPVHLEW HASWSREVGPPPIDDVQSYCVDSRQPLVVGAASSTSTHGFLLHDSAAAMDLHGVCVTQ WAPLQSDPTGPTVYLPTITAFLARSSLWHLRADLVTAASEVHAQSQADWTADTWQRVV AAVAQPSRLYELTPRFRAFTGMRQWGSEFGGLRRLVAHLHLQNVVAAATALLVGHRVY VIASSRNVLYESTEALVAVLTPLKWPYTYVPYCCSMPPTKTEFHQHSPFCIGVEGSIQ IKRSPQDAATHHIRSSLCNLTRVHAVVRDVRGVCSADAVARAVLVDLDHDEVYVPIKQ ELPEPPRRIVMLMRERGTD H257_15392 MNTKDGVYLVLDGLIGTTHDRILYTYMYQQGWEPLYSILAEIHK YTTLPTRTERQTHAEYMVETFLVHPDAVVVAHLHLTDDECFQSVVQSVVDAVADKTCT LDMWSSLDGRLKRALDWSGFFKSDMFGDLCNAIRERHPMTLHDVLGDCDATRIRYLEL YLREFHHPGGVSNLLFWVDVQTTFLPLVTTQPASSSSSFSMARFEEIQVTVRRLFNAY LADNPSGGTATAVPDDTKKDVLARILLYQGEPFSPPRYATLFKAAQDHVWRWLASKIM PNFQNSMLYIQWMVEVERVLADRHLHRAYESVASPSSPHKPLKGTLVLPLPYASNRLP SSSKPHPDPPLRPTFANTFTSPLPPSLEHVLTFSIAPQTDGCGAFAASIHDTPVHLEW HASWSREVGPPPIDDVQSYCVDSRQPLVVGAASSTSTHGFLLHDSAAAMDLHGVCVTQ WAPLQSDPTGPTVYLPTITAFLARSSLWHLRADLVTAASEVHAQSQADWTADTWQRVV AAVAQPSRLYELTPRFRAFTGMRQWGSEFGGLRRLVAHLHLQVHQNVLILYYYMVVER RRSGHGAPRRPSRVCDRIESKRAVRVHGSACSCVDSIEMAVHVRPVLLLHAADQDGVP SAFSLLHWRGRIDSDQAVAAGRRDTPHSYMHWTFQKRHRIEDVCRKQPVQPHARACSG PRRPRRVLRRCRGARGACRLGS H257_15392 MNTKDGVYLVLDGLIGTTHDRILYTYMYQQGWEPLYSILAEIHK YTTLPTRTERQTHAEYMVETFLVHPDAVVVAHLHLTDDECFQSVVQSVVDAVADKTCT LDMWSSLDGRLKRALDWSGFFKSDMFGDLCNAIRERHPMTLHDVLGDCDATRIRYLEL YLREFHHPGGVSNLLFWVDVQTTFLPLVTTQPASSSSSFSMARFEEIQVTVRRLFNAY LADNPSGGTATAVPDDTKKDVLARILLYQGEPFSPPRYATLFKAAQDHVWRWLASKIM PNFQNSMLYIQWMVEVERVLADRHLHRAYESVASPSSPHKPLKGTLVLPLPYASNRLP SSSKPHPDPPLRPTFANTFTSPLPPSLEHVLTFSIAPQTDGCGAFAASIHDTPVHLEW HASWSREVGPPPIDDVQSYCVDSRQPLVVGAASSTSTHGFLLHDSAAAMDLHGVCVTQ WAPLQSDPTGPTVYLPTITAFLARSSLWHLRADLVTAASEVHAQSQADWTADTWQRVV AAVAQPSRLYELTPRFRAFTGMRQWGSEFGGLRRLVAHLHLQVHQNVLILYYYMVVER RRSGHGAPRRPSRVCDRIESKRAVRVHGSACSCVDSIEMAVHVRPVLLLHAADQDGVP SAFSLLHWRGRIDSDQAVAAGRRDTPHSKQPVQPHARACSGPRRPRRVLRRCRGARGA CRLGS H257_15392 MNTKDGVYLVLDGLIGTTHDRILYTYMYQQGWEPLYSILAEIHK YTTLPTRTERQTHAEYMVETFLVHPDAVVVAHLHLTDDECFQSVVQSVVDAVADKTCT LDMWSSLDGRLKRALDWSGFFKSDMFGDLCNAIRERHPMTLHDVLGDCDATRIRYLEL YLREFHHPGGVSNLLFWVDVQTTFLPLVTTQPASSSSSFSMARFEEIQVTVRRLFNAY LADNPSGGTATAVPDDTKKDVLARILLYQGEPFSPPRYATLFKAAQDHVWRWLASKIM PNFQNSMLYIQWMVEVERVLADRHLHRAYESVASPSSPHKPLKGTLVLPLPYASNRLP SSSKPHPDPPLRPTFANTFTSPLPPSLEHVLTFSIAPQTDGCGAFAASIHDTPVHLEW HASWSREVGPPPIDDVQSYCVDSRQPLVVGAASSTSTHGFLLHDSAAAMDLHGVCVTQ WAPLQSDPTGPTVYLPTITAFLARSSLWHLRADLVTAASEVHAQSQADWTADTWQRVV AAVAQPSRLYELTPRFRAFTGMRQWGSEFGGLRRLVAHLHLQNVVAAATALLVGHRVY VIASSRNVLYESTEALVAVLTPLKWPVECPACRHPVSGLMYSTRTSRTAAPCRRPRRS SISILPFALAWKDRFRSSGRRRTPRHTTFEAACATSRACMQWSATSAACAPPMPWRAR CL H257_15392 MNTKDGVYLVLDGLIGTTHDRILYTYMYQQGWEPLYSILAEIHK YTTLPTRTERQTHAEYMVETFLVHPDAVVVAHLHLTDDECFQSVVQSVVDAVADKTCT LDMWSSLDGRLKRALDWSGFFKSDMFGDLCNAIRERHPMTLHDVLGDCDATRIRYLEL YLREFHHPGGVSNLLFWVDVQTTFLPLVTTQPASSSSSFSMARFEEIQVTVRRLFNAY LADNPSGGTATAVPDDTKKDVLARILLYQGEPFSPPRYATLFKAAQDHVWRWLASKIM PNFQNSMLYIQWMVEVERVLADRHLHRAYESVASPSSPHKPLKGTLVLPLPYASNRLP SSSKPHPDPPLRPTFANTFTSPLPPSLEHVLTFSIAPQTDGCGAFAASIHDTPVHLEW HASWSREVGPPPIDDVQSYCVDSRQPLVVGAASSTSTHGFLLHDSAAAMDLHGVCVTQ WAPLQSDPTGPTVYLPTITAFLARSSLWHLRADLVTAASEVHAQSQADWTADTWQRVV AAVAQPSRLYELTPRFRAFTGMRQWGSEFGGLRRLVAHLHLQNVVAAATALLVGHRVY VIASSRNVLYESTEALVAVLTPLKWPVECPACRHPVSGLMYSTRTSRTAAPCRRPRRS SISILPFALAWKDRFRSSGRRRTPRHTTFVHALDLPEETSD H257_15392 MNTKDGVYLVLDGLIGTTHDRILYTYMYQQGWEPLYSILAEIHK YTTLPTRTERQTHAEYMVETFLVHPDAVVVAHLHLTDDECFQSVVQSVVDAVADKTCT LDMWSSLDGRLKRALDWSGFFKSDMFGDLCNAIRERHPMTLHDVLGDCDATRIRYLEL YLREFHHPGGVSNLLFWVDVQTTFLPLVTTQPASSSSSFSMARFEEIQVTVRRLFNAY LADNPSGGTATAVPDDTKKDVLARILLYQGEPFSPPRYATLFKAAQDHVWRWLASKIM PNFQNSMLYIQWMVEVERVLADRHLHRAYESVASPSSPHKPLKGTLVLPLPYASNRLP SSSKPHPDPPLRPTFANTFTSPLPPSLEHVLTFSIAPQTDGCGAFAASIHDTPVHLEW HASWSREVGPPPIDDVQSYCVDSRQPLVVGAASSTSTHGFLLHDSAAAMDLHGVCVTQ WAPLQSDPTGPTVYLPTITAFLARSSLWHLRADLVTAASEVHAQSQADWTADTWQRVV AAVAQPSRLYELTPRFRAFTGMRQWGSEFGGLRRLVAHLHLQVHQNVLILYYYMVVER RRSGHGAPRRPSRVCDRIESKRAVRVHGSACSCVDSIEMAGRMSSMSASCEWVDV H257_15393 MMMACQLMSRGMEIFTPLPLRTFVPTAVHIPHMETKPIHFCITL RCVDDGIFTFLVHCEQFAFRKYLHLTIKMARALLHRLQASPEKHDVGHLHLPHPIYAR LLVGRRDTAQLVLRLLEIVFDSPRILADPILHDAFGLTVPEADTLLEITFLMQVWRQR EAQIRHKALRRVRAIVHEMHRHDWEYASMMSRLLHLRQACDCNHPLRLPLLVYGHDME YHVVLPNYAFRVHRPGHVVGPGGKRYFYLHPLPSDNGGMVLLDPSGGFPLASIHLDGD GVRIHRAVPTCTTHRDVAESPLVHVLTATRDKTSIAFEQVALPGLAFGLTDLRATYMT QEHHEFIFTAVDTTDFTFFLGSGTAAARRHGAPATYSFVVHPGHDNVLVIAMSIALTL LGER H257_15394 MANNKQDKQSRRNEAKVKRRNKSANAASSSVLNNAIRNQQTKHV VAPGVAPPKLSAAKSLARQQMKLKQKELRANLVNRNRGNTLLPSNLTNTKMSLESLVG QAADASSTFAEAEGERQASADANEFVKTMELNDNSRKAYMRELKKVVERADVILEILD ARDPMGCRTVDMEDLMRTKGKKVVLVLNKIDLVPPEVLQPWLSHLRTFYPTIAFKAST QDQKNISSGGGKGEKTNGKVIAGSRAVGTEPLMQLLKNYCRSNNIKTSITVGVIGYPN VGKSSVINSLKRTKAASVSSTAGHTKVIQEIHLDNKIKLIDCPGIVFDSTDSDALILR NCVNAEAFNDPVPAIEVILKRCSKAQLQALYGVPPFNDTIEFLVHLAQKQGKLAKGGI PDRKAVARNILQDWNRGKIPFFTPPPAAKDTRLDVGATIVQSFGDDFDLAALNPTEHM QGDDDDEDEDGMDIGPVCSVAVTQPPKAASVFGGMDSDDDDEFGMSDDDEVDDAASVQ TGGVVRPKFKEDALNIPTAFLARRKAKAMRKQKRKASAHQMGAALQ H257_15395 MATPSEKNCTILLFSGVEKGGAQPPSQDDVLKGLESAKMDDKIR ALKAAIVALLSGEKQPRVLMQIIRFCSTKEDHQLKKLLMIYWEIAVKYDDHGKLLPEM ILVCNALLNDLNHANEYVRGCMLRFLCKIKEKELLEPLKDAVKANLEHRHSYVRKNAV MTVYTMYKTFGDALIPDAPELIERFIVTESDSSARRNAYLMLFDCAETVAVNFLMNSM DQIQKFGDGFSLVILELTRKVCRVDPAQKARFIRCIFQLLQSSSAAVSYEAAWTLVTL SSAPTAVRAAAKTYAGLLNSQSDNNVKLIVLDRLADLKKHHTKVLQEVLMDILRALSS PNLDISKKVLDIAMNLVSPRNIDDVVGHLKREVVKTQEKGRMDKAAGDDYRKLLIRSI HACAVKFPDVAHQVVHLLMDFLPSDGAMDVVLFVRTMCEAYPDLRPSIVHKLMLSLPD IQTAKVFRVALWVLGEYASAQPAADTAVHRVVEAVAAALGPLPFAADAQPSLPVGDKN HPAKPVTKSVVLADGTYATETSYAVAPITAASAAGLTLRKLILAGDLFLASAVSSSLT KLAARAVLQDPESAANGPVKSLVLTVLRYIASFLLLDADEDSKRRMLVCVRILTDPSF FDRVAPVLTKDCRASYRTLLDEQTASAAASVDGDADVPQVEVDDLISFRQLRGKKALG STDIDIDDGADLSRATDGPNEYSGKLRAVHQLTGFADPVYAEAYVTVLAYDIVVEILV VNRTPHTLNNLSVELTPMGDLKLVERPVSVTIGPLDTRTIRANIKVSSTETGHIFGTI VYESASGAEKTIVNLNDIHVDIMDYIVPADCADSHFRSMWAEFEWENRVAVNTDITNV FAFLQHIVDKTNMRCMTPTASLGGDTHFLAANLYAKSIFGEDALVNLSVEKLDKGKIA GYIRIRSKTQGIALSLGDRITAVQRGQEDPNKRKKIAG H257_15396 MRVRWAVLVVSAVSGSQLDSFTFEHSDVFNTPEEFLTQVMTDRA TILWPSNSTSPPYQCETTLLWDYNPPEGCMVCLEPPTPVGVCTPSGLQMAYREVIKVN TNKVPCTGDPARDTIPEIVPCKPIARPGCVWATYEITNWDQGALEPYGLVGATSTQVL EDNPTLPCTLEPTRNVIVERRVDCVFDVFSDWGPCNTQTNRQDRDVRIKKCPRGGGTA CLHTRACTTPTNEACVVGPWGPWSSCSLGSETRTRSVMTPRKGTGKACPSNLVDSRPC DAKDCIVSAWSPFVCDPDTFIQTRTRTILVPSTLGGAACPPLVDSSFCLKEDCLMSAW ACDPAIQTYNDSSAIPTDLTLRKRTVLRPALNGGAPCGPLVDDVPCNAVDCRLSDFGD WGTCDASTGLRTRRRYVLTQPSNGAKPCDNLTETKNCDPVPCTLTDWSAWGTCDIDRK VRTRTRHVIQPSLYGGDSCGSTVETTPCEPKNCVVTPWTEWSTCDLTYHLRTRTREIT AQPQDDGEPCPDNLVETVACPPKDCVVSEWSEFGACDDSRGTRLRTRQIQSAEENGGA QCPELNETAACLPVDCTVTSFGEFSICMNGVRTRVREVTGQPRYNGKLCPDLTESVAC TPQDCQWGTWSSWGECDTAKHLQTRTRTIQSGTLDNGKPCVGSFTDTKPCVPVDCVVS GWSAFSACKDNRRTRTRTVLTPPSNGGAPCDAPLTDEDICRPPPCSVGAWSAYSPCTN GQKSRSRLVLENSGAAACPPLTDTVSCTPCIPSFFDDCTRPCVMGEWGDWDVCQADGF QMRTRSRVGQAEDCPEDVEFRACAPVDCQQTGWSDWFCDGTSALRTRTITQQPLYGGH ECEPGHVETKPCTVLPVCELSQWSDWSTCDYTTHVQTSTRYVVTYGTTCDDDVVRTQP CPTTDCEVDDWSVFGPCNAVTGFRTRTRQVTVPTVGDGAPCPGLTDQLPCGGVDCQVS KWTDFGPCLNQQKKRTRTLLQPPLFGGTSCLPLEETAPCTPVDCVLTDWSNWTACSNN LQTRTRQIVQAALQSGVPCGKLVDSQACTSTDCQLSEWSAWSLCDEKTGLRVATRSVL RAADVGGTMCDDANLIKTDACLPVNCVLGAYSSYSDCDPVTFTSVRTRKVITVNKFGG LPCDVLLDLKKCEPVDCILSDWSVSQCNCATNTKTKVRQILRPARYGGQACGDLEAEE SCPPVQCEVTSFGEWTGCDKAALNPQDEKYTRTRVITRAPQNCGEPCPDLMESKPCVP EPCIVSPWSELSDCDLTTHLKTQTRTVVSGPYWNGTACGSLARTEACPARNCVLSTWS EWSDCDLSAQRKTRTRSVVLPAEYGGAPCETDLVQYQDCKPVDCQLSDFSDWVCDPTT LQKTRSRSIQVAPAYGGNACGVLTETAVCDAVNCVLSEWSPLSDCDCSKSIRTKTRTI VTSPLYNGSPCQPLTATVPCPAVNCVVSDFSSWSGCDALNFNPSAVQKRTRLVTTQPE NCGRECPSLVETKACNPLDCQTSGWSAWSACDLTTHLKTQTRSVTRQPMWGGKACGPL SQTTPCPAQDCVMAPWSAWSSCNQATAKQTRVRTIQTPPINGGVPCGPTEETKDCKPV NCKLSDFGPWTCDPTTKTKSRSRSIVTPPANFGIPCDSLVESAPCDKVNCEVSEWTPL SPCDCSTQVRTQTRKVLVEAKYGGIECGPTVATVACPTVHCVVNEWSAWVGCNATSPV QTRARTVRIPAQNCGSQCPDLSQTKPCTPVHCQLSSWSAPSVCDLLTRVKTQTRTVLT PPQWGGTPCEETTRTIPCPAQDCVMEAWYTWSECDLAAGVKTRTRNVLTPPANGGKAC GDLVETQECKPVHCQVSEWGAYSACNPLTGLRTRSRTINVAPLNGGRSCPGLTENQGC EPVDCQLSEWTTGDCDVTTQLKPKSRTIVVSPLYNGKPCDTLIAYDSCPPVHCQQSSY TEWSKCDYTSRLQSRTRTITVSPQNGGAPCGPGIDTRRCDPVDCVITSYAFGACDPAT GNKKGLAQVVTEPQFGGTPCPSLVKSVPCEPVDCVLGPWSVYSECSLSTQLQTRTRAV LTPAQYGGKPCEATVDTLECKRQNCKLSAFGAWSACDPVTNMKSRVRSVEVPAANGGE ACASLVESALCPRVDCQLGLWGDWTQCNAKTGTQQRSRQTLVLPENGGSACDETTQTK ACAPVNCQVSAYSSWSECNISTNVRSRTRTVLTPPLYNGTPCPVLQETTPCPPVDCLV SPFGSFTACCLETRKKTRSRVVTQPPLNGGKLCPDLVETVACSPVNCVVTDWSAWSTC NPTDGTKAVSTRTRSVQTPPENGGTPCPGLSESQPCNPIDCQVSFWSAFSTCDANTQL VTRTRTVTRQSSDGGVACPALSETAPCAPVNCIISDWSSWSSCDPNSYSRTRTATVIT PPLYGGRACPPLIQTERCPAVNCAVSNWGDWSTCDGAGKRIRTRTVVTTPANGGLLCP GLTETKSCDPVHCLLDYWSIWSECNAVTSQRTRTRKILVAPLYGGHACDSQVESTPCS PVDCQLGPFTEWGQCNDSIRQRSRTRRILVAPQYGGAACGPTTESQPCPSSNCELSPW TSWGLCNEYGDQTRTRSVLVAPRYGGVACGPLKETQKCPAVNCLLGVWGAWSSCNGST TATSVRTRSVLVPATYGGIACGATTETQPCPGIDCKLSAWSAWGACVKGNQTRVRTVE VAPTGNGAKCGSKTETKSCDPVDCVMNPPSPWAACNPRTGTKTRKITVKTFPLYGGKA CPATTESAPCDPVNCVVSDWTAWSVCAFGKQYRTRCATRQPAYGGTACPKLQEVQGCC VLAGTVELWSPFFKIN H257_15397 MMSRRWPFLAVLCTAVYGVDFKLYGVNYNSRQGPDWDPNRCKSQ AQVDTDMVAIATVASRVRIFSLVDCNQGFMVTTAAKKAGLGIWLGMWVSNNQSSLNNE LAALDALVTNNVVDNSIEGIHVGSESLYRKDITPDQAINYFAAVKTYLGSKQLTFPVS ITDVLDTLLEYPQVISAVDIVMANAFPFWEQVDVDAAVNSFKTKFNTLVGAAHGKEVL IGETGWPSAGVNTKASVASPINQATYVANFAAFAAAAELKYFMFTAFDDLWKIAQEGV IDTVEAHFGLFDATRNLKPELAYLTTGGYTPVGGDGNTAVRSGSSPTLFPIADGSATT TQVPQAPAQTSPAVPTNVRQWWRLALGIALVLLLLPA H257_15398 MFQTVLAGLHFVWLLYLSTPPPDCGSFGLGARLDLSCTKKITIG KPKLGTCAANEDLDAGLCYPKCKPSYTGVGPVCWGLPPPSWVQCGMGAAKSSALCSIA IKDQVVSALVLAFNIATRFTSSSAKVLQAPANAAQVSQVSKAWMKAAPQFKTSEMWMK AEPNIKVGKKLYNVFNGAYTATMSVYHIKNATYVTNEDYVLPYVVAAFSGRKVIEARA RATLDELHSVGVAHCDVRAANVFVLGVVKTVILDDLQNCRELHEAPPKVACRLNDEPC PTAPAFDEYRFRVFAEKLPSI H257_15399 MHLPQHWLRDTLGAAYVVASTGLGFVGLGLLQPFVANDYLWAAF NDSMPVVTGLLNLELTVPTDGFDLFGATYLATDPSLGVQAAYGRKIMLQQWTQLDVPI TALRTMNAADVSSLITIYCWADLERRWELAFTSQRQARCVETMSTNAAVYLEAVLRNV DLPGWLAMNRVSFMVHIGQPIVDSGAAGEAWLSTLLQHDVLSVEAEAMVWMTHGLVEF QLQFSNWYRYGVSETLVIENALGMTWAYPINTVSVVAYYNPSCMLLNNLLLTALAAIG ADQSLVRNTPTSSNTTASLIEIFITGFDLSPLNLLLHDSIGGMSNIDAWWVSPPSQLM STVGYFRSLVLHHIATDAKFAAAVAAIAAVAIQVTPHQWADPSLRFYGGNFLCSDAPL LPTVQESFGFYSICGAISPLSVQWHPWNALFAFAMLRPTNDSICDLGASPVQVQTCRA IFTATLTTFQLFPPMEMTPPTAPVLQQIGYSQVVSNQSNLILQMQHLLDPTYAFFGWM SLYDWAMNQREVIAIVGDVSTITVMSPLYPSVSQHPITAVAALGPYLWSLAAVASVVL TLVMVLVLGIWSWNRPCGTHWFLFPRLVSSVWLSRSILVTRSLIATGVLATAPMITHS THGTLAVTSAPRSPWVSLVLANEATWLVYVLQEILAPLVRGHQAAPIIAWIAVAILDI AAPVTVDMTVSHECTIPDIIRRVECTIGTIRIGSFPRTATIVVILVAASLLVQPHRTR GRWNLLLPGTAAAYLTSTVDLDCATAAMCGVFVASHDFLFDIKLWLRKPQFTTGVPDT NDARPNTVGKLRSLQSTFIDKWLHRSNVLPSTISVTEIEPSNSQTPVNFAVKQGSQSS QVRRQRRLRACIFVAGIGYLGVTLGSNLVYVSVIQEALSNDFGWADFNTTGHYMFLAN LFNDQLNLGTATTSDLAVASPKYGDFRQLYDGTSTTVMWYEGAVRRLLYDPERIVLTE VIVGLRSMDPCQLPWMFTQYCWLDFGQQWEMASSGMRQQRCTRQQANGAVYVEAALRN VNDWAAWQICWGASFDVAVREQLAISKPGQAWLATTTGLRGSVDAEVAYWSDNGISTF VLQWQNFKTTALSDAFVITTALGMQYTMSTSSAPGAMHLDAQTSLRMYWSWANDLLAV ADNSSAIGGYSLLRGSANFAFANTTSQALLQMSQQLPSPLTAGFTLVTQAVGPFGVVD TIYVPCPPALLAYYGSLKHELAALLLTNTTAQAAYLALAPPLYIGDIVPGVLTTANVL VTGGNLMCDDDSFASQLTLNVYASFGTSYLCPTQIPEYLTPTVFEIALAMHAFNSSHT VTTPDLEAVVALDPFATAVSVPAYGAFYSFLDDNVESLPAWTVAQSAWVAVNAANISL VQFILANGTTRMLYQTPLFVPSQPYWTFYAWCLVYEWAAGIREVVSFQGDVGTVTTMS AIIGQLSVPLDTALVANKIAMVFLGSVYYVTYVIIAVTIVAMGYTLVSRGRIESLNLF ELNRIVGHVWVGRPLLILRSVTALWLLNTKPLVLSKVGLGTHLTAPPVAWYKSILAAS ELTWVVYILNDVFSCFTQQHTATYAAASSVCVCFITTVWTLVSPHSCTVTLDRTCAYV NMDGGMDCTSGVIAVGSVTRIWADLAIVLGCVVLCATIDMKCRPGVPPLLIPTLLLNA SSYYMLDFTHWRKGSDFFLDQTSGVLSGLLTLHWKGTLYIFDVKNWRFVSLVVDASDE SMASSIPLSRIG H257_15400 MALLQERVTGIQEERVCRSKASLHRPRGHRYRRQRTSTRSQHYA RHGQRYWDIGDHTLPPIEVLDDQPSIVPTEKDASKFERLAFCGAYVNIQMECMNDNLS NRMGDAAIEAELTALSDMAYLDDKWFNADKDSGKTYVTKGDYDRCACKSKRFIPMVML LADVARPRLEAGFDGPSLSKNGPDETPTYRDYAVNKVVLSIKERFLSRRLMRHIRQPK PRSLGHCSAAINTVVGELYQRVGWCGNLCFN H257_15401 MTPTPTPPGPRLSHDMDTNAPPAPTPQTALSATEKSSQMPWEIC TSTELRPATVVMVNGPFRVVKVVSDYLMEVQQLVPPGATSLHHACRLRLYCEGGREVN EDLQAQIAFGDEGFYVEDIRDLRLRDGVWEVLIKWLGLDDLESSWEPALSIYDDVPVL FRRWAKARSNEDGVSEMIDDLTTACGHPM H257_15402 MGRVVTGVGGTTAQWLALVAVDMDSVGDVLVSKTNFSPSEVNLI WTKSAVSARDLLLMLFTSLKHCAEVTNAGLVESGFRFKNFPAARYAMDVTFQQTNVPT GEYEEKKLYFSGNHSLYGHEVEVSVVPNGFAIDCTKFYKGSMSDKTIFNENIDSHLPN LAKRTGETTLKASELGMEQCAVLTEKGYQDIQHNVRVVLPLKKATGGMLTFAEQAKND HIASDRVIVQNYLVG H257_15403 MEEVWDFVDQPYMTPGVATLRMEELSDDIDEEKGMCCATPELGT TPSLADTETVRIVLMAKAAAPRIFLKKDLGDLWMTIDFMGPINAFTETMPWPLPNLDV AMGVLKNSRVYFTLAWMKGYWQLTLHPNSQKYYSFMTPGVGKPTRVLTG H257_15404 MHPLPTVLRLDHLKQPIAGVSPTVTTAPVPTFLTKRPAMCFKQP HGFLVRDSRTLTDLTSGGFTFEMVPGSHAVALALKLAEMFGRFLAAPSAGQVSRPA H257_15406 MQCGPDDRHVRAYMCNMSEWMKRSSPPNGFESMRQKWIQYAKNT ATTEITMHPKRNLVHPLQFEVNELRRRINASDSVLESEVAKRQKLATKDEWHFAMALQ KRKAIEAGVESSPVQPKRRASILELMAKSPECHQLPVIDSLDTAIEYLS H257_15407 MVRLVRSWMKHLLEFLAGSQHFLQGHTMPTISNRGPGIHPTSTD DANDTAQGEASAGINGRQLALPRRRQRLHHHQDEHHNLLQELVVVECAVYITCT H257_15408 MVRLVRSWMKHLLEFLAGSQHFLQGHTMPTISNRGPGIHPTSTD DANDTAQGEASAGINGRQLALPRRRQRLHHHQGNETNRLRSHSTRLEEKCLIYGCL H257_15409 MHLPQHWLRDTLGAAYVVASTALGFVGLGLLQPYMANDYLWAAF NDSMPVVTGLLNLELTVPTDDFDLFGATYLATDPSLGVQAAYGRKIMLQQWTQLDVPI TALRTMNAADVGSLVTIYCWADLERRWELAFTSQRQARCVETMSTNAAVYLEAVLRNV DLPGWLAMNRASFMAHIGQPIVDSGAAGEAWLSTLLQHDVLSVEAEATVWMAHGLVKF QLQFFNWYRYGVTETLAIENAIGMTWAYAINTVSVVAYYNPSCLLLNDLLLPDLEAIG ADQSLVRNTPTSSNTTASLVEIFIMGFDLSPLNHLLHDSIGSMGNIDAWWVSPPSQLM STVRSFRSLVLHHITNDAKFAAAVDAIAAVAIQVTPNQWADPSLRFYGGNFLCSDAPL LPTVQESFGFYSICGAISPLSVQWHPWNALFAFAMLRPTNDSICDLGASPVQVQTCRA IFTATSTTFQLFPPMEMTPPTAPVLQQIGYSQVVSNQSNLILQMQQLLDPTYAFFGWM SLYDWAMNQREVIAIVGDVSTITVMSPLYPSVSQHPITAVAALGPYLWSLAAVASVVL TLVMVLVLGIWSWNRPCGTHWFLFPRLVSSVWLSRSILVTRSLIATGVLATAPMITHS THGTLAVTSAPRSPWVSLVLANEATWLVYVLQEILAPLVRGHQAAPIIAWIAVAILDI AAPVTVDMTVSHECTIPDIIRRVECTIGTIRIGSFPRTATIVVILVAASLLVQPHRTR GRWNLLLPGTAAAYLTSTVDLDCATAAMCGVFVASHDFLFDIKLWLRKPQFTTGVHAM SDARPNTVGKLRSLQSTFIKKSLQSSSVVHSSIFVTKIGPWNSECPVNFPVKQGLQSS QVRRRRLRACTFVAGIGYLGVTLGSNLVYVSVIQEALSNDFGWADFNTTGHYMFLANL FNDQLNLGTAATPDLPVASPKYGDFGQLYDGTSTTLMWYEGAVWRLLYDPERIVLTEV IVGLRSMDPCQLPWMFTQYCWLDFGQQWEMASSGMRQQRCARQQANGAVYVEAALRNV NDWAAWQICWGASFDMAVREQLAISKPGQAWLATTTGLRGSVDAEVAYWSDNGISTFV LQWQNFKTTAFSDAFVITTALGMQYTMSTSTTPGGMHLDAQTSLRMYWSWANDLLAVA DNSSAIGGYSLLRGSANFAFANTTSQALLQMSQQLPSPITAGLTLVTQTVGPFGVVDT IYVPCPPALLAYYGSLKHELAALLLTNMTAQAAYLALAPPIYIGDIVPGVLTTANVLI TGGNLMCDDDSFASQLTLNVYSSFGTSYLCPTQIPEYIMPTVFEIALAMHAFNSSHTV TTPDLEAVVALDPFATEASVPAYNAFYSFLDDNVESLPAWTVAQSAWVAVNAANISLV QFILANGTTRMLYQTPLFVPSQPYWTFYAWCLVYEWAAGIREVVSFQGDVGTVTTMSA IIGQLSVPLNAALVANKIAMVFLGSVYYVTYVIIAVTIVAMGYTLVSRGRIESLNLFE LNRIVGHVWVGRPLLILRSVTALWLLNTKPLVLSKVGLGTHLTAPPVAWYKSILAASE LTWVVYILNDIFSCFTQQHTATYAAASSVCVCFITAVWTLASPHSCTVTLDRTCAYVN MDGGMDCTSGVIAVGSVTRIWADLAIVLGCVVLCATIDMKCRPGVPPLLIPTLLLNAS SYYMLDFTHWRKGSDFFLDQTSGVLSGLLTLHWKGTLYIFDVKNWRFVSLVVDASDES MASSIPLSRIG H257_15410 MVFLGSVYYVTYVIIAVTIVAMGYTLVSRGRIESLNLFELNRIV GHVWVGRPLLILRSVTALWLLNTKPLVLSKVGLGTHLTAPPVAWYKSILAASELTWVV YILNDIFSCFTQQHTATYAAASSVCVCFITTVWTLVSPHSCTVTLDRTCAYVNMDGGM DCTSGVIAVGSVTRIWADLAIVLGCVVLCATIDMKCRPGVPPLLIPTLLLNASSYYML DFTHWRKGSDFFLDQTSGVLSGLLTLHWKGTLYIFDVKNWRFVSLVVDASDESMASSI PLSRIG H257_15411 MDARSPPPHAKEIIASCLASYRALLDRVDAKIKAVARLEQRPPA SIQTKMDLRLSSALLAASPDVAAGLQAQFAAGLAQRSADLHAIVLHAAQAELQQLRAA TNACVPNAVAELKTYFETCSAAASNVTVPHSVPHPPPAGANPPDPNGPVQPHGPADPM GPADSSPPHQARAAHTDSLRVLCAGGLDINLIFYNDYVLSVEFLNSACTKLHYQRLLA ATAAEAKAAKKAAAKTAAEAMEVDLPNDLLVAQLVKREIGKSTAVLRKELNQLRASLK AATSRPGGPTGMNNKTSSPPPPRGGENPRGGPAGSKYNKNKNGNDNGRAAKPAAAAKD SPSKPKKARKIMQTAKKPKSSKKTSA H257_15412 MIDVQWMAILLTATAKVVAGVRPEDILPARTMNAVVLLWANIFR AATAVKMTGVLQVAILQVTRTMIDAQGMAILRTATAKAFDFFKKPSREAFFRVHKALF SLVKMVHGYMHELQLCKDLLIMLFEALESDEKFMTYLFYKD H257_15413 MPINANASLIASKTRPFVIGRISRLPSPPMGRPQERLIDTSSFN LQTDLPGRFAEPKHYFSGKHHLYGYKIEAAVSPDGRCVAMSTADPGSLHDLTIMNSRK HVHLANLGKSASESLVPDHGEQAALHRGSWACLVDMGYIGISHSLRGIHPKRRPAHGS LDASDLERNANVSSDRVIVENFFGRVWCSPSGAYPAPRTRGPSETTLRSSALRLR H257_15414 MRGKRGKNVTNTDRNATLHRLLALVTPRELRGQTAPEFRRLLDD DDDPDDVEIDLDELFGAVHL H257_15415 MVPRLVRTVYGWLNWICLSLLPFAFVSNPLVRHYTNLDTISRTS FMNLGETADIVQCPAFESGVVKILSGRADTLTEVDVSAVERLAVPVANQTAATEMAQP PMSSVQHALKKQRVTHGGYIDCLASNLQYVRAILLGDQAGDWRSSLLHYITPKNFEEQ FASLGNGRRP H257_15416 MKSEVHYPTVVLADNLKCDVLKKTYKIFEDELFCGAYQQLLHAK TTSFLQPLNLGVMKPFK H257_15417 MARTKQTARKSTGGKAPRKQLATKAARKSMPATGGVKKPHRYRP GTVALREIRRYQKSTDLLIRKLPFQRLVREIAQDYKTDLRFQTTAILALQEAAEAYLV SLFEDTNLCAIHAKRVTIMPKDIHLARRIRGERT H257_15418 MNEGVNDQLASFITALVQKELDVLFKSRDENIERLNQQVRALIK DVERITMELESRSSWNRSLAKCEVKSDASPSKKRIAQKSFKRLPAIDPTDDDATVARN EDGKLVFDKNLPRVANIGKSNQSFLNTLTLQSQKQKIYEQAFVRYAECRSTVFAPSSW DPRNISVQQLELPNMQLKLSRVHGYHSTANSNVFYLASGELVWFTAKVVVMYSQARQN QRFYLGHTKEVTAMALHPNMAVVASGQCGRDAAVINVWDSTRAKTEPTHALAKLEGHS VNIRSVSFSHDGKLIASLGGDLYNTICVHDWQDRTLLVKARGHSSKVWTVAFNPFQAY GMPDKVKAQPRLSKRQKWETRPQPGQALRDEDACYTLVSCGVQHIKFWTLTQVEYSPP STVAKEESAFYGSCFGGPNRMRQPTPHEKVWKLEGNPPLMKSEAQDFTCLAFTNDNIP LQVYDEATDSVADSPTQGDTTLGRIVAGTAKGDLYVFWQPRKSPTLDVRQSWWELPAA SWQLGDDICIENVQFESTAKLVEIIPHDVSTGNRFKISRHAQMELQDIHQRLTLKPDA KPLLDRLASLEYKGPLAHVTGPCSQVACDAKTGSIASAGADGNIHIWSLHLLDPMKVC GTRTLGLYTPLHGTASDGRHKLQLEQSLQIPSKARLTSLQWSGDRRLVAGLSNHSIWE ADVASGEWSVVVEGCEGSVLGGVLMEAQNELVTVSSDHLVRCWDLATLTCVQKWTLKP TGVCIEAHPGRRELVVGCAGGELVLLNYPELSEKKTWRVLSNEGVSVLKYSPDGKYLA VGAKDNCMHVFDDSYKKVSKCEGHATYVSHIDWSVDGHLMQTNSADGEILYWQVHGAS VRQITDSVVMRDILWDRWSCVFGWPVQGIWADDSACSDVSAVTLLDVAPKYKHRPADV HNVLVADRSDLRCFRWPCMKSAQSYTYHGHAANITCILTWKEHSIVTLGGSDGTIMEW TAVANEGGPTDERRDRIEGDNTTPVYDVEVPVDPPTSPTPPPKSADSNHRPRTPRQTF FKEEVREDDLTLREETIAPDNFTRISEMDNLHMSRDDEPPAKEEAIREGSTTDGIECG RAKFAFQGENPDELNLKEGEVIRILEKRQGDWWFGETCDGTRGIFPREYVEID H257_15418 MQLKLSRVHGYHSTANSNVFYLASGELVWFTAKVVVMYSQARQN QRFYLGHTKEVTAMALHPNMAVVASGQCGRDAAVINVWDSTRAKTEPTHALAKLEGHS VNIRSVSFSHDGKLIASLGGDLYNTICVHDWQDRTLLVKARGHSSKVWTVAFNPFQAY GMPDKVKAQPRLSKRQKWETRPQPGQALRDEDACYTLVSCGVQHIKFWTLTQVEYSPP STVAKEESAFYGSCFGGPNRMRQPTPHEKVWKLEGNPPLMKSEAQDFTCLAFTNDNIP LQVYDEATDSVADSPTQGDTTLGRIVAGTAKGDLYVFWQPRKSPTLDVRQSWWELPAA SWQLGDDICIENVQFESTAKLVEIIPHDVSTGNRFKISRHAQMELQDIHQRLTLKPDA KPLLDRLASLEYKGPLAHVTGPCSQVACDAKTGSIASAGADGNIHIWSLHLLDPMKVC GTRTLGLYTPLHGTASDGRHKLQLEQSLQIPSKARLTSLQWSGDRRLVAGLSNHSIWE ADVASGEWSVVVEGCEGSVLGGVLMEAQNELVTVSSDHLVRCWDLATLTCVQKWTLKP TGVCIEAHPGRRELVVGCAGGELVLLNYPELSEKKTWRVLSNEGVSVLKYSPDGKYLA VGAKDNCMHVFDDSYKKVSKCEGHATYVSHIDWSVDGHLMQTNSADGEILYWQVHGAS VRQITDSVVMRDILWDRWSCVFGWPVQGIWADDSACSDVSAVTLLDVAPKYKHRPADV HNVLVADRSDLRCFRWPCMKSAQSYTYHGHAANITCILTWKEHSIVTLGGSDGTIMEW TAVANEGGPTDERRDRIEGDNTTPVYDVEVPVDPPTSPTPPPKSADSNHRPRTPRQTF FKEEVREDDLTLREETIAPDNFTRISEMDNLHMSRDDEPPAKEEAIREGSTTDGIECG RAKFAFQGENPDELNLKEGEVIRILEKRQGDWWFGETCDGTRGIFPREYVEID H257_15419 MTKYRSTRGDVRNYSFEEAVLSGLASDRGLFVPEEDSFPSLPPN ALTEWASLSYQDLAVEILSLFIDAKEIPRRDLEVLVKKAYTFGDANYRHKDVAPLVKV KGNLHVLELFHGPTFAFKDIALQFLGHLFEYFLERKNKHSDSVHQVTVVGATSGDTGS SAIYGLRNKKNVEVFILYPNGRVSDIQEQQMTSVLDDSVHNLAVQGTFDDCQAIVKDL FADAAFKKKYNLGAVNSINWARILAQIVYYFYAYFRLPTHDKVAFSVPTGNFGDILAG FYAKRLGLPLDKLIVATNDNDILHRFFSSGKYHRTHVNHTTSPSMDICVSSNFERYLF ALGGDDAVVLKDWMTKFESTGKLTVQGSLLKKAQADMSSYSVLEPEVQATIKQYHVHH DYLFDPHTAIGVAAADHYLESESPDATVVVLATAHYGKFLPTVLDALKEDGGEVKQHP ILKALETLPRRSHVIENSVVSVKAFVESHVQRRDAKEGGGFLSGLPQSLLLQGSLVVA AAGLVAFYALKK H257_15419 MTKYRSTRGDVRNYSFEEAVLSGLASDRGLFVPEEDSFPSLPPN ALTEWASLSYQDLAVEILSLFIDAKEIPRRDLEVLVKKAYTFGDANYRHKDVAPLVKV KGNLHVLELFHGPTFAFKDIALQFLGHLFEYFLERKNKHSDSVHQVTVVGATSGDTGS SAIYGLRNKKNVEVFILYPNGRVSDIQEQQMTSVLDDSVHNLAVQGTFDDCQAIVKDL FADAAFKKKYNLGAVNSINWARILAQIVYYFYAYFRLPTHDKVAFSVPTGNFGDILAG FYAKRLGLPLDKLIVATNDNDILHRFFSSGKYHRTHVNHTTSPSMDICVSSNFERYLF ALGGDDAVVLKDWMTKFESTGKLTVQGSLLKKAQADMSSYSVLEPVRPWTGI H257_15420 MMEQALVSPVPIAFARKLSSDSSFSENATRDQDELSDRSSENRR SLTDAIGDIFMGTRRTGLSFPNMGSWRKGNGNKYAENGDLEYLVENSDNLNFSTDAMD LAAANGHLDVVQWLHVHRSEGCTTKAFDGACANGRIAVVTWLHLNRMEGGTSEAMDGA ASNGHLDVVKFLHKHRLDKEHCTPAALDHAAGHGHLAVVVWLHFNRSEGCTTLAMDKA ALNGHVEILNFLLEHRKERFTNVLSTLTALVKAGDVATFEWMAENVCDDLQYFAVMDT AVVESQVGILTYIYDKKHGFCSRAVRKKGNAATQAFLDASLHGQYWDATYPIDFAASH GFLFFVEYLHNTQLPQLASETALNAAATNGHLDVVTFLDDSRSDGCTTDAMDGAATNG HLNIVTFLHHHRAEGCTVKAMDMAADYGHLEIVKFLHAERTEGCTVYALNAAASSGHL EVVEFLASNQLGQPFLAIDFAVQHGHLDVVRYLHEQHGAMCLKSYFDLCADAATKAYL QDSTKHPALWDMEPLDFAAGEDREDLVAWFEQIGHSKYTAQAMDRAAANGLVGVVKRL HDTRTEGCTVDAMDYASANGHLTVLQTLYEYYGHRYTSLALDLAAGNGHLEVLVWFDS MASDAGRVIQPTSNAMDWAARNGHLDVIEYLHDHKNTPCSPKALDLAAKMGHLNIVMW LYVTRGAVATPSTMDAAACGGHLEIVQYLHSTFPDVPWSSKTVDGALRAGHVEIADWC VAHGLPQSAATKDLAAKKGSLALVRRFCQDEPVSYLCDALEAATQYGHVTVVEFIHSM YRLFVLRKPYKKALKGKHVAVCRYLEATHLEFWAQNAVDVAASRNNLPWVQWLMAKKK EMASAAAIDHAAANGHFEMVQWLHDNGCACTTDAMDAAAALGRLDMVAWFHETRREGC TTDAMDLASQHGHFAVMRWLHLHREEGCTAYALNICAVTGRLDILQWLSEMISVSTKS SSVLADVQAGDFDPDLDPTEVEAMMALPDERTLQPPAPKEASRMKLKSDSTDMLDLSS EHGHVDMVVWLTEQTKMMASTDAMDKAAMNGHLGVVKYLHARRLEGCTHRAMDWAAAN GHLEVVKFLSTNRAEGCTTHAMDLAAKFGHLEMLQWLYDNREEGCTMAAIEEATKNGH LEVVQWLYQESDEGSVLNVIDYAVMHGHVEIVQWLHEAKSEHWSAAAVGAARHKRHTA VLEYVDSWL H257_15421 MSEESRPPLSSGTDTGSTASNPESEQEYILKVVLIGDSGVGKSN LVQRFTKNKYNEASTQTIGFEFAAKTIRVGDRRIKAQIWDTAGQERFQSLTAAYYRNA VGALVVYDITDRHSFEHVTHWLEQIREHAHENVVLILVGNKCDLAHVPNTRQVSTLEA ARFAETHHMEFVEASALDSTNVVEAFKRIIVPVGRLLTPPSTSSATGQTPRLPPGWRR VLSRTRPGEYSYENQYTKERLAFAPQDPAKPSQYSFEAGHGIYGSVGATVTKETLERQ HQKAFQAQNPASSNCCGLCTIL H257_15422 MTTMNVHLKLEEAKYTGLLHLAQKRLRSVPADLFRLNFSALFRL DLGFNQIQTLPDAIGQLTSLDSLWLNDNPITSLPTSIYKCANLQVLDLNHTALTDLPC EMGRLTHLAILDMDEVPLHPKLLAATTADPANVCAKTLAYLHRKDVRRRQKQELYDKL KDGPYLESADSNEGQAMIQSVMKRVLKEFQTEEDVKSLIRNVERLFPPSLTKAQDPTT ATSVRAAFTELKRDNEKKKLAAELELKIRNIYFDRIDPSSVEPMVSSIYLEIKALNDI KFLIRHATALFPPTADLVNGSDLRKKLVGLQEDMARERAAAIEKVLQAVTTIYSDAEP DKVKALVAQVAPLFKNVKDLKALAADAGLHFPNEFLNASAPDIRASFVRKAAESAVIS K H257_15422 MTTMNVHLKLEEAKYTGLLHLAQKRLRSVPADLFRLNFSALFRL DLGFNQIQTLPDAIGQLTSLDSLWLNDNPITSLPTSIYKCANLQVLDLNHTALTDLPC EMGRLTHLAILDMDEVPLHPKLLAATTADPANVCAKTLAYLHRKDVRRRQKQELYDKL KDGPYLESADSNEGQAMIQSVMKRVLKEFQTEEDVKSLIRNVERLFPPSLTKAQDPTT ATSVRAAFTELKRDNEKKKLAAELELKIRNIYFDRIDPSSVEPMVSVWWHMRGNVLID DP H257_15423 MFTWFKKVAAPTNYSFDGLKSVHMQLLRHRDLSESSLVELLRVS SEFLIYSDQHSAQQFFFEYFCEKNMLALFVQIGEAAPPHRVQVQLLQTLSLLVQNITT ATSLYYILSNNYINRLMTCRHFQLDQEDVRDWYVTFLKALSIRLNVDTVQFFFNAATR TFPLYLEALKFRTCPEIQVQIAVKTVLLNVLRVPDDRMRRFLTHRQNMPYFMELVDQG QVLALKMQGLLNTTQQQTFPANEHKLHYVVDATIDHWYYLQDILDVPLPDLSFQLGEW VFESYLKGFVARSLVPNCHPNGQRISTLLALFLLVQVFQCMSHSPLLNAATFMLCHPD AHESTYMSAALGTGGRQSSRSHESSLGQVSPRQVPPPTCSIPQPSHSAESPLSPRFVL PKLPVATFKPHPPPPANHDTTPSSATPCSATCYLETRFPTGEWPPSDVLRLPLVDSGN VHRQSLLALLQSSDSRLCLGATAVLHAMASNKNVDRALLQDCRLKPAHNVVACPPRPT APSEEDDSSDEDESVDLLEPAYDVECVDAMLMQLESRPRSLVHVIATLQLLEELTGAR WAQKSPLSHTHETRLHTLRRTWALSLLPSSSSETHGHHLALWDKLLDKCRTAPPSSPV AVEWSHLSPFHAEDEGENDVVEKYLSLHVFMSTTHLLPAWRPLADAALEDQQHETAAL KQAFQSHTAVGMDEISFLPCHLVTNPDDFLFCLLNVDAFVLVRPGRDMTRGDVQRLVP LHLTTAYADTREPSIVHVSISPSTTESLLFQSPEMAQQALLHFTTMKTAQEARKWRAI ETLLNG H257_15424 MNGRNKDQMSPNPNEPHPTWHGWCGRREFEQELDDIDVMVRAAK RRMDNPITFTAFTDAPFDTRSRTQSRYALAHAARSGVSRSSSALASLPTCSFSTDKAS YLAAKNPT H257_15425 MWVPPIQSDAVARTDDDERQRGEVPKEVVDRMAAVGATTSSLAE GDLSLVEVRHGDPAWDEYEAWLTRYDGGTMLVCASFRPSPEYHLCPSPEVGVHITWEA NSVVYHCASLTLDDMADGPMAIPGPIAERLVLEKMRDPRVALGPLIDCLRNPPDGYEL LRGLSPGSYICDLQHIWTGTVVIAAVFK H257_15426 MSLPEKARNKRTHKVEKLHLKALVLAKKRDKAQWRQVLSEHNDG KLRHWQAKEASINTKISNLRADMLKKIQNPLELFPADQVAWHAAKFGLLSLLQAHIHT PGALEYRDASSSGTALHVAAYFGHLDCVQFLTQSDADVNATNSFGFTPLLCAAEQQQA DVVSFLTSLPRVDPYIRSTSGLLAIHVVRKGASLFGDKYGRYAKCSRALDARCAVIHG WLFESLRGTLLNRLVLENVGFKAHSWHQRYMAVLRTSATSPELEFVAYETPAPNACPA VPVWVAVYRMGDPVTWPIPKRSLNNKPHTFSFTGVEIFPSKQAAATFEFAALSEEAFT TWMQFFEHAFIDASGMAPLSSSVEHGSIPVADEVPFEDLFPPSPAALPLAKSLAMAPL PATRAASLEHATPAPVVEEAHEFAVKLSPSAPDFSMLPPASAPDAHECVVCFDGPQEG VCVPCGHHAVCMACARCLLAHPPASCPVCRGPVREIIRMFRC H257_15427 MELEDGSAASAAGRRAALPREARNNVVTYDEAQLYMENSYRSPK RSKPTTTRSGATLATVELDIDLEIRKGKLEVGDCVLVSHNDEEYVALVSTLHSSDGNP VAADSRPKLFTGIWYFRPEDVAKEALDVVDGGVLENEVFQSVEKDTNALEHVLGKCQV VSELDLRDRQNILRLNQHPIDADEIVFVCRYKYDMKKQTLAPLSDPYEVHHGLGRSEP SVGGDYQADGLPPCTRPPPPSPIQGPTHVWSPSTMTDAPYAFKEFMHAVDSLRFGIGA VVKIYIAGGSSSSPGSSSSSTSPVRVSTNPLVGGAMCRAMICKVLDKHSIVVSAAATG GTPVEVLKSSSSSMLTDDRALTFFHEARRDAAAALAKCANVFREQQVEEKALFRRELQ LHVAKA H257_15428 MSSDREQTLQTLCRLDKSIAELERVRDRILTKKDAYPDNSDKAL RQTKQLNVVVSDLRALQAEKAAAFKADVFVDEQVPYMAAFHGNLSALQMFVSSMSPVT SHYLEHSDRITGNTPLMAACARGHVDCAKILVAVGADVDARNLRGSTPLHCACENGQV EVVAFLLDVPYLSPYSVDRRNQSALQVARNACLDNDAWARFKECARLLEERCCIFRGW VYESSSPVGMSKLFGSSPWKLRYAIVLRTAPSSSHVEIGLFNAKVHARCPPVPTSILV YALGAPCHRSAGRHRRHSKEFSFTFPAKRDGFGVASVEFAAASADGFTAWMNFFDVYS TLATLDDALLVRDEDVTNSDTGDVTHEEEPSKTTAAWGPPPSHHHAPPSPSAPKLVDE SLEASSPPADAPSAPSVALSPSAQVAFEVGHGGFAGLDEGGKKNTSKKLTIDPPDHPP SHHLQNVVAAPPPPPTTTTTSKEEEEKEARRVCILCCDGPQNAVCVPCGHNAICMQCA ENVLGSTCPVCRQHIRELVRIYKG H257_15429 MLHLDVPLSKYILTARLASISQIVRASVMTRHMSQQNMLFVFCA FNVIFWFGLYAYFQEKLTIHGDAPPELVVMCPQYFIYTVVAYCGSYFKRGNTKKEKSS TSEKPQGFVARQPPWHVFVCIAAATFGSYYFSFRALRHVSYLLKVLGKTCKPIPILVL GLCFGKTYPPRKYASVLLITSGAAVFFLFQAKPPSSQVDPVASSLDWAPLTGIFLLAL SLACDGLAGVLEDKYIAEFNLGPFDLMLRISALSWVGCGVLLGRDWLILWHLPLATWQ LIGVIGVCGGLGQVFLFVSIAAFGSLSTSVVGTCRKMLTMLTSIYVFQHPVAPAQVVG LGCAFMGMVMSTGFKPSSFAIDMNVLAWLYHHRKLHQTNHVV H257_15430 MPVCGRCNATKGFNKFHALEKLKAQPTCKDCIKLPVALSPCTEA VEAESPAPTADGPTPVSSPTGATAKVPPIMNLSESKKKGTSVDDFEFLRVIGKGTFGK VLMVRHNKTDGIYAMKIISKQFLVDMDSVKYMKSERNIMTKINHPFVIGLEFAFQTES KVYLVMEYQPGGELFSHLKKEGLLMEDKAKFYLAEMILALEHLHLQGIIHRDLKPENV LISAQGHVKITDFGLAKQVNDGDELFTFCGTQEYMAPEMVLGNGYSSAVDWWSLGALA YEMLIGHPPFETKTKNRKELHKKILTAKLVLPKWLSSDAHSLLKSLLERNVDKRLGGG KSSMFVVRGVQALKCHPFFRTIDWTAMAQMRIPPPMVPRVTHAADTQNFDAEFTELPP TDLACGDFDGDNETFRGFSFCGRHELLEVVDDVESLVLHRTRAMSRRASKELLEVLHV EPSTETAAAAAWTIGR H257_15431 MDEPWQRTLEHLAGVQGLNKSEVRKDVKEVEQLLDNQRLHVEKH QHDKTKPAAPFTTDADEDDVALSRRRRQQHLANLYLNKPMPKKKRSRGREVMSAEEII ETLPVCRRAVRLTRTELVAAKKERLNMEDTYLKLRSSFIHELESLVQEDKDQQRVMLR IRKSVGKAAAKLMSSKRRHTALQDILVELESRGDAIETLQRQVSRLTNLLGQHEIPLD SVVVGDLVRWSNGGSGGEVVSVSKDAVEVKMESGEVVTVGVDEAQVDGTIMRSLWTDD QVAMKAKYFEKAGEWVSAETAWREIQDSEEVEDGHWDSDEEEEEDDKEGKRKRDKGAA KPLKKLVPFKATTLPSTPYDVPLLISPLSELPDHVAAAAAGLSNVQWMGSALPDNLVQ WEAERMESLAMKGEIERLKFQLQQAEAQKKDAQNHVNVQLESINKLVSQLEKQREATA ALLLVNNTPPTTTIVAKDVKAKKQPAASTDKKKKERKDSISESAPGSPLKDADMSGVE EDTAEEEDKGVETTRRSLRSSKAPAPSPAKQPSPSAADEKGAAASTAHTNNNRRKKDT SEKKEEAEADKNNGAKPTTRRKSSRSKATPKSPTNKTADADAASDAEEEPVAKRRTRA H257_15432 MAPQRPCFFCCVPARKNKRTMEATFIKSHFDSQHKDLSWGPLTR RHFTKNEEDLHEGALDPFPAYDGRTVESCNEILAYLHSKPKKQCEDGLARMLMSICDA LSLALGLNADDVRAFSVPDDDEVVAPARGQRH H257_15433 MDVRKQDNDAALDDCAPQFPPTRPGRLDRINLCHVLVNVNPRWL QFSRSRHLRQPLLYVHRFHNTYQRRLKECSHCKFMKRQHSPNLSKAYKVASLEYAKLN IANPPNWNEIIWSDE H257_15434 MIAQKLHAVAIAIDTIVQQQTMLQQSRSKARKRNTGNSGAKAVI PDPHLRELWVLTPITATTAERKRIAMIDRAIRAWDMISEDEVRASFVKALPEEIQI H257_15435 MILLPWDHPQRILVLEAHCVIKTGSPALLTLKFGSVLRPEANSI ALDDHIFVDDANYSSVLATAVGVSMPPLYVSQIKLADATKLAAVVRGLRHVDACLAPW IATQYVDGN H257_15436 MTLTQKLPSPLSGQTTDDLNAEFVVLLKEDYVNYKWLMLKFSGE GLVGVSDDAWAELDKHSRSMPLSRFRDRPFQHYDTITEMIGDR H257_15437 MDRFYLRGGNQYNAWAKHALDFEMKTPTFEKMIHRVIRLVEAVL YDHYVNPLSMTQQVLKGTVFSHYPAALYATDVKF H257_15438 MTMMIPLERWLDKTRKLLQMEREAEISQAKLENDTLPATSNPNV LLRLFMTSASTGLFGRTVLVLKHNHGREFGAHHFSVGDLVSMCIPTASLTPTNADGFP KGIVTKVDDNSISVAFEDLEDSDGYHNEPIRLDRLVNDATYRKLNDALDELAKFSDGP AQHIVNVIFHGAAPTSNPLPAILDVTNPPSSMNASQVDAIQFALASKDVALIHGPPGT GKTTTLVEFIRQCVRTYKMKVLVCAPSNVAVDNILAKMAAAESAKRRKAGLRMTRVGH PARLLPAVLKYCLDAKIAAAEGTQIIADIRKELGTLKATKATFQNVRQERKALRKEIR EREQNVVADIIQHSDVVFATNAGAATKLLRNVVFDVVVIDEAAQALEASCWIPLLRAR RCVLAGDHHQLPPTIQSESAKRQGLGLTLFDRVTQMEHSKCVVRMLSTQYRMHRAISD WSSKAMYASQLASCDSVASRKLSHLPHVTIPHDNDALDATLLLIDTAGCGLDEESDEG GGGVQTLLGASKSNPGEAQVVAQHVTALLATGLKPSEVAVITPYNGQVGVLRRLLHPV HPTLEIRSVDGFQGCEKEAVVMSLVRSNCKNAVGFLADDRRMNVAVTRAKRHVALIGD TDTLGNHPFLKTLIDHFELHGECQSAQEYADITVEGDWAEVETPVVATTKPAKATSSQ PKPQKKQGQTVKKGAGKTVPIPPEIVPLKEDQEDSVVEVDEQKVSVAIPSAFAALTDA AESDQSSSASSEEEETVVKEMNATLKELHLARLARTVRPPPPPKKTSKNKAKPEVLLV KGYNDHVLVDEDEWGFLDRQVASANSCAFVACGQKTHVHGSMCRFCKYKFCYAHGLAE IHGCGAAVRTFERAKATKKQPPTNTTTRQAMQKALDTKLTKASDDRKAKAKKK H257_15439 MSGRRRRHASNSATDHVPSTHTLAWAWDRTTVRSDDIATEKRTW RPAFESATWVTSRKVRRGQGRRRRAMSTSTDGSHPVRTSRVRSGTRLVVTKVSALSNR HHERSRDNNSGHRRCSVVTWSHPIKSTDSRVGDASSTMVKLVQ H257_15440 MRRPVHVQDQLYHEVLSDDYSDNPFHFNFDDDHLRQPIIPLSKE AKLARRRAQIAKSAQKHRLRIREEIEALQTQQVQLEAALARATLWWKEAATRERDARA ASETINKHLRQMLRQTKSCAKHWRDYKQEMQQTPTIIYHPPTTNSN H257_15441 MDTWSALSTFQARSIATSGNRECLLEKELVKDFLLQVPMPNVFE CLQEASNKGATKDVNLVCQCLERIFRSDVGPEILFQEPMLPFLVAGISHDEAAAKKLT LDMVDLHLTAQFHASMDHDILLHAICDCILDEDSGIARRASNILFKLVSAPDTGVYHK ILQRLRDLLSDYLPSRENSVEYVRLLELVSKCCSVNDVVMAESIALGLVQPVVQGIQS SDALFQLNILDIIPNLCTTRTGLLYVFQSDLLTHLVATAAHPLVGGNALRLIGSFSTL AATHSVQSWNWKDAALAKAFLGAVESALQGGDPQKQIAAMDAVAAFASASDKELGLVL AHRSLCEAWLALGKSTLLEVKSSVFMAVATILARGTRLTTPTAVYLDDNATLWTYHSR LFHALGVFSGRASTMHHLMECLRQPFEPIRVGVYTLLQTVAAQGHPWGLEALHAYGGF IEYLVDRQTEPTKTTREWKFAIVDALLASKFQHVLGARAVEELTKYFDEGPYAGRAKQ AEPMMEAS H257_15441 MDTWSALSTFQARSIATSGNRECLLEKELVKDFLLQVPMPNVFE CLQEASNKGATKDVNLVCQCLERIFRSDVGPEILFQEPMLPFLVAGISHDEAAAKKLT LDMVDLHLTAQFHASMDHDILLHAICDCILDEDSGIARRASNILFKLVSAPDTGVYHK ILQRLRDLLSDYLSRPSRENSVEYVRLLELVSKCCSVNDVVMAESIALGLVQPVVQGI QSSDALFQLNILDIIPNLCTTRTGLLYVFQSDLLTHLVATAAHPLVGGNALRLIGSFS TLAATHSVQSWNWKDAALAKAFLGAVESALQGGDPQKQIAAMDAVAAFASASDKELGL VLAHRSLCEAWLALGKSTLLEVKSSVFMAVATILARGTRLTTPTAVYLDDNATLWTYH SRLFHALGVFSGRASTMHHLMECLRQPFEPIRVGVYTLLQTVAAQGHPWGLEALHAYG GFIEYLVDRQTEPTKTTREWKFAIVDALLASKFQHVLGARAVEELTKYFDEGPYAGRA KQAEPMMEAS H257_15441 MDTWSALSTFQARSIATSGNRECLLEKELVKDFLLQVPMPNVFE CLQEASNKGATKDVNLVCQCLERIFRSDVGPEILFQEPMLPFLVAGISHDEAAAKKLT LDMVDLHLTAQFHASMDHDILLHAICDCILDEDSGIARRASNILFKLVSAPDTGVYHK ILQRLRDLLSDYLSRPSRENSVEYVRLLELVSKCCSVNDVVMAESIALGLVQPVVQGI QSSDALFQLNILDIIPNLCTTRTGLLYVFQSGTLYISLVLYTTHTIWSIDLLTHLVAT AAHPLVGGNALRLIGSFSTLAATHSVQSWNWKDAALAKAFLGAVESALQGGDPQKQIA AMDAVAAFASASDKELGLVLAHRSLCEAWLALGKSTLLEVKSSVFMAVATILARGTRL TTPTAVYLDDNATLWTYHSRLFHALGVFSGRASTMHHLMECLRQPFEPIRVGVYTLLQ TVAAQGHPWGLEALHAYGGFIEYLVDRQTEPTKTTREWKFAIVDALLASKFQHVLGAR AVEELTKYFDEGPYAGRAKQAEPMMEAS H257_15441 MDTWSALSTFQARSIATSGNRECLLEKELVKDFLLQVPMPNVFE CLQEASNKGATKDVNLVCQCLERIFRSDVGPEILFQEPMLPFLVAGISHDEAAAKKLT LDMVDLHLTAQFHASMDHDILLHAICDCILDEDSGIARRASNILFKLVSAPDTGVYHK ILQRLRDLLSDYLSRCISIAILLRSSHIDICSPSRENSVEYVRLLELVSKCCSVNDVV MAESIALGLVQPVVQGIQSSDALFQLNILDIIPNLCTTRTGLLYVFQSDLLTHLVATA AHPLVGGNALRLIGSFSTLAATHSVQSWNWKDAALAKAFLGAVESALQGGDPQKQIAA MDAVAAFASASDKELGLVLAHRSLCEAWLALGKSTLLEVKSSVFMAVATILARGTRLT TPTAVYLDDNATLWTYHSRLFHALGVFSGRASTMHHLMECLRQPFEPIRVGVYTLLQT VAAQGHPWGLEALHAYGGFIEYLVDRQTEPTKTTREWKFAIVDALLASKFQHVLGARA VEELTKYFDEGPYAGRAKQAEPMMEAS H257_15443 MAKRKRNKAAAAAASSDPPAPTEKKAKKVSKKTAPTPAKQKDGD DDDDDGDDDKDDIEMDLDELVAAGGALSQLGAHDAAAEILAQALALSPGNVDIMSSLA SAYEASAQKDEALVLLRQITQVAPTHASSWFQLSALLVESNDVDGAVDALKRVIELED TPAAYAALASCYGEQGNIDAAVSLFEDGVLKHPTSGKFHFNLATMLAARGRKKDRKRA VEMYGKAAALDPETRAETKKL H257_15442 MAATLVAYSDSGSDLESDEDDLRTKKRPRVEERSTSAAWTRTFP HVDGNWPSYVSFQVHPTKLMRKMAEYAMHQAQARAGLTDLQLVPMESPSTENSDGNDQ AKAESMFHLSLSRTFVLTRAQIDPFVAALRLALRYRKRLRVSVQGLRVLINDERTRLF ATVPVVSGAADVCHVIRCVDKCLAQFHREVYYADPLPHISVGSSTCILPALTSFDQEE TSSLPPPVPLDLHQVHVTIGNKHFTIPLK H257_15442 MAATLVAYSDSGSDLESDEDDLRTKKRPRVEERSTSAAWTRTFP HVDGNWPSYVSFQGTYLPAPSVRRHALSLTCSCVHISVHPTKLMRKMAEYAMHQAQAR AGLTDLQLVPMESPSTENSDGNDQAKAESMFHLSLSRTFVLTRAQIDPFVAALRLALR YRKRLRVSVQGLRVLINDERTRLFATVPVVSGAADVCHVIRCVDKCLAQFHREVYYAD PLPHISVGSSTCILPALTSFDQEETSSLPPPVPLDLHQVHVTIGNKHFTIPLK H257_15442 MAATLVAYSDSGSDLESDEDDLRTKKRPRVEERSTSAAWTRTFP HVDGNWPSYVSFQVHPTKLMRKMAEYAMHQAQARAGLTDLQLVPMESPSTENSDGNDQ AKAESMFHLSLSRTFVLTRAQIDPFVAALRLALRYRKRLRVSVQGLRVLINDERTRLF ATVPVVSGAADVCHVIRCVDKCLAQFHREVYYAVRVRI H257_15442 MAATLVAYSDSGSDLESDEDDLRTKKRPRVEERSTSAAWTRTFP HVDGNWPSYVSFQGTYLPAPSVRRHALSLTCSCVHISVHPTKLMRKMAEYAMHQAQAR AGLTDLQLVPMESPSTENSDGNDQAKAESMFHLSLSRTFVLTRAQIDPFVAALRLALR YRKRYSVETYLILHRAITCADFA H257_15444 MSDEIYPINLLTEEMRTDETETRIRSMRRLRTIAQALGPERTRS ELLPFLNESTDDEDEVLVAMAEELGTFVDLVGGPSAASVLLTTLEVLATAEETVVRDK AVASINKVLDVVTDAAELALPLIKRLTEGDWFTSRVSACWIFPVAYSKVDPANKKELR DLFTTLCADDTPMVRRAAALNIGKFGAKIERDIFVSTILPLFKSLTVDDQDSVRLLAI ENCAVIAKLLNEDENLSHILPVVRSSCEDRSWRVRFSIAKDFFPLAQAIGANITETEL LHLFVVLLQDAEAEVRAAAAKNISGFAGLVKQSSFMAEIFPQLQPLSQDVAPNVRTAV SIVTMEIAPKLGEDVTKTSLIPILLQFLRDDVVDARLNVLKRMDNISPWMSSYESTLL PAITDLSKDLQWRVREAVILALPSLVTTLGAAYFQQHLLDMFLNAFQDMVSEVRLSTT KILRDILDVVGSDYVLESVLPKLSKIYENSVTYQERVNVFHALGQLASAKASVQLLTE LTALSVKGASDKIPNVRFTVSTTLEVLGKSTTDAAVLESIRTSLTALQADADPDVKYY ASVALDTVGTSS H257_15445 MGNAAPRAQPANSTQLDTASQCRTLLMECVVPHRNTMNLVFSNL LGDGKFLKTVQCKCEEGVVVVKIYRKHDTHESLESAKHQLATLYAALSSVESTPNVIP YSVFEMGTKANAAFLVRQSFVANLYDRIYTRPFLTDIEKKWIAFQLLKALEQCHSKGI FHGDIKQENVMVTSWNWIFLTDFAPFKPTYIPEDDPADYYYYFCASDASRRSCSVAPE RFYGSNRDALSRLADSEISAEEWDKQMSRTPPPPPSLAPSSSYNATPRKGGSLTAAMD IFSTGCVIAELFHGGKPLFDLPTLLRYRTGDMHAVMSVLQKIQDGPVSDMVRHMLQRD HRSRLSAAQYRQQYTDSIFPPYFDSFLFRFMALVLTRGGKLPDARIRLVCKYYARIVR ELVGVDDREGEVFFNHRLKEGHGSDRAHFTDNVLQRMYDEMSSPYEEARDNDESVASP GKGGPFGRDAHAIPKLQSTYQAYAKHKHDTLKRAKSATSRGDSPPPGTSDMDQDLGEK PSPLPPAVNQTTKPPPNGIMIVLSLLCSSLRHCQVPQSKLTGLQLISRLGAHADDEVR LQRLIPYVMEVLDDANAAVRAAAIRCITSLVSVVTVLPLSDAAVFPQYILPALQNFPV DVEESVRIAFAECLPLLAETSRRFLEISCALKQRALHQPSATDTARGFATTKKAKEGR NLDATFSTFDNELNRLHSAVARFVIQIAAPDQKSSSSLVKRALLHDITRLCVFFGREY TLDNVLPLLLSFLNDRDWELRAAFFQDIPGVCTFVGRVSAELYILPCIEQALIDVQEI VIARALHCLATLVSLGLFQRPALIDKAKLTTPLLLHPGWWIREANIQLIAAVAIQLGS VDTLVFLMPLLHPYMRRKVKLGATSTDDVVSILRNALQPPVPREVFDAALISRDCPID HPPDMSLVEYVPDAEMYEDVMEVHNSARNRVKLSSDDSTDGYSPAHPPPPLHHLSRSA RKPLDRFDKMTLGQDADDAQRLQLMQQYIEMASMHMQSKIQLFNSEQMARMQAGSGAN QSRHLPLAFPKKVPRTSMHVLRVPTTHTALSQFPLSFPYLKPAKIGDAAALFKWESLP LLHIIRLYGLNTGLSSLSEFNAEPTSRKKALNAASVHDMVLDPALFHSHKLLARLMAL DIPPLPMDMGALKPPDVPVPMTSVASNVSAASSAPSTPQPPSSSSSSGLPPPSLLQVP SSSYSSTRDWRPRENVLVAEMNEHSGPVHRLGVAQDGSFLASASGDGTVKIWSVKGLA HGSNPSSRGTYEAQGGVLTDMVVLDHSHSIATSSATGSVHVFRVDRMSSNATTSVQQY QTIGLREIHLVDDAVLVLNHVNTATESLLIYGTRRGFIYGWDLRFRREAWKLHVSVEL GHISCMTRSSDATWLAVGTNRGYICLWDLRFQLLIRVWRHSSNQPINRVEPCLTTYPC GQPDLAATSPLVYVAAGDSEAAVFDLSIGACRAVFRTLHTQVQMLGADKCPTLHHVQV PSRMKDVMGSILGPPKFAQALDDVATTPYSEEPTIRAMLCPSEFSLLTAGEDRRIRFW DLRHPKKSLTVSGPDSSFYDSQVAPDGWWRLDAEDPKLSKAEVVWSKMAPPSILLCQD ASSFGDHGDGYANPALERRGPIAASPAHHDCILDLKMVDVHGPMVVSSARDGVVKVWR H257_15448 MLQDARSSADYVASDDEPPTLNDIVARRKWTPGEAGKTVSVYNK SCIRNRPVVKDKMDYICGILDDNGSGVFVVHTSDVSLLGHYWTLHVFHGIALWRRKTL LV H257_15449 MHGATNDHSSGTINVESIALADNNNVKDVRMKLDPIQRICEKAM ATSANNACNPGFVNTELQLLTPTKMFMDKADENGNMRKRHRTNATTRNELRRYESPHP H257_15450 MLLLFYTAAVEAKTLQELFALTPSTFSRVLCRAETALAAALKHA KGCDHVAIKGKHVVHLWTTCLLSLTARAYAFKNHRALIPKIPITTLMLFSTIIPITTL FFCSTIAFLAHFFHFALVHRDHYQ H257_15451 MSAHEPGSVFDITMFRNRHDVHLSALRKLENETTINDNGELFQD FPGSWTVLVDKIYVGLTGMTRAIHPKKRPVHGALDRADLERNTNVSSDRVIVENFFGH VCFLWKISNSTFVWGTKCYDSIQRRTFALTNFHLALMPLRQDDRHQYRAVLARYRRMA EENNAKRAAIHRRYVVRRAERLASDSLRSGVTARGSFMSPRANNRR H257_15452 MVELAEVDESHNYANEIVDKAVEESGPEGFRVLTNFTSKTTPKD ALFVTLVVLKHYQMWDKHALDLGMKAPTLEKMPVLYEHFVTIAENDGPAGQSAVFRNY LFAKYATDVKF H257_15453 MLPRRGLHNEVDGSTQPIELLPRYVFVLALSAGMTLCTLTTVFK WDNVKRDAGHGTMFMVFFCWFVWSVSVLCNTFVVFATGQVDTLANSTIRRISFFSEVF FNAISMWFMVASYEFQRRALHPRTPRSNHACLIWYMLVIGGVSVAMLVALAVVEYVGS AVVSADGSHMGPVSALLLSRLSWGTWGLRWLAVVYPAAMAFWLNLRRDRLLVQGLPKA LTLIVVCFFVLNLPYLVLDTLVELDMLQLDSNMGLKVRGLSKTVSYTSGVAISLVMGF SVRGFDAFYLPAPPRSSLPSRRTQQPTPSTSQRSFFVMSDSSV H257_15454 MSTALFSRHLLTHVEGPQEAEGMLFRYVATLAISSGMTVCTCFT VFKWENVTRDAGHGTMFMVFFCWFIWSVCALVRTVVVYMNARLDTLDHAATRHVSFFT ETFFNAISLWFVVAAYEFQRRALSPRTTRSHKQCLMWYMVVIGGVSVAMVVSLIVVEC SAWTVAYFDHSVPMSAVLLTNLSWATWGLRCFSIVYAGAVAVWLNLRRDRLKLAGLPK ALSQIVVFFCVLNTPFLVVDPLLDYDVIKASEVSGMRVLGLMRTLSYFGGIAMSFVMG FSVRGFDSFYHSRRSSVTSSHTFPPPSRRSSFFVLSE H257_15455 MQIQARRDVQVLKADVDMLGDATFRDRHVQRLTDELACERNRVK ALEIEMLDLRVKQADLAKGVLQKHSLVHRFRSTVQKPTAAYTEAHASAAMSTDSFADE APLLPSADDKVATPHSSLLPAVQSARHTFKVMANLDIKLSDMLSPPKKRSLSATARVT QAVVKLKAKLQHKTLVDNAPVPVELVELRRRMNVLYDRKRQLDEFAALASSHPRPGPS LTFAELVLGWFVAKYSTLQDAESEAKAFMAGLSRFRSVCGHVWLMEEFLHGKHPDEVV SFYLWVVQGVRDIHIGARCPITATDTHPQFICKFKASLLTRTIFRVLRFRTCGFGSTD RGTAVARDDTLEVMADCGRLFAAAAAGGPMYLGEFHAILDQFVTKGNGENDEMYPLDV YLYLLVLLFKKQNEWHVAHLVEQFRHHAADAHKEEMRRQAALLAAPKNRGSNPDNKPK KKKKASALSKGAMTKEVFGAFVAKLDLVRSLGDIQEVTALVVRSRREYDSGGITSDAF IAAAHANHWFALEFATRMSLRHELHDVTDDHDKATRQLASTWNLHLSRLTIHGECDKN VFVSRYVVQLRQRVTLALHDQKTLSHKSNPHTILALCRELLRFSWQLAVLRSHGNGFT KPDDDDDDATDVMSVSTLDMTRSSELILIAKGVCSLPAADGSYKPSDGSIDLEHGLDD LHPFTCIHMANVGDLFPHGTPTSMQSSESVELHRILQRYAWHVCEVYRSYSLVCNATT FGLTFAAFQELVHDLAIVTPHCTIVHLNAVYQHVVSSNIILDNRTDQHTKLQTDDKVM NDTFVELLLRLAVERHTREGRVAMPSPTQADDDRRISRIFDHFVTEYLLPNVCQAANH TFRQEVAALDVQRLLLHHRRFLRRVFLHYAKQDALDVERSKMNFGEFQTFVSEFQLND DALFPPAMTLLVFNAVQDDVDERQCVFHEFTTAIVAIAQMKNNNPFLKWRRKADEFIG RLVDFAHANLRPQLLS H257_15456 MEQTTSPPSIYLVTQIPERPGEGGNPGYSGDDEDLWDNLPSMGH QGAMHVLSMDSPPVFSGSEDNREASYQWM H257_15457 MQRAKTLKFKSSHSKPFLTEANTKNRVKHALSFLRSSSNGTIFD NMNSYVHVDEKWFFLTTVKKSFYAYDDEELPKRQLKSKQSADVAPSIKNESVTPSRRS SAQASIGTRDTMQSADPVLPQTTVGMVA H257_15458 MLNVNIREAEDDEDYSWYLESYSVVVGSREYLALNQKLVKMISL LHYVVQYSQTCFRESQRQEAALWIHENINPFAPPLEVRINYAFAMLSTRSSPMLNAIP STRCFWNEA H257_15459 MEEETEDRKREITLTEELPSEQAAKQARLKEEMEQASLNALIHG WNPTVPPIDQVGIRFAKTSKGSTTSSWLPKDEEGQNEHHEGKDQGDAPG H257_15460 MGKDALKRKFLLLKNHAKPTGDPDCPEEVHSAFNATSTCRRQYP AHPTDARAPPDHEDDEDVGRTELPCSDLQLRFACWVLSYTAKKRRSIDKFIDGAADAD AKASSDMMTLFLLMDEWAAKRS H257_15461 MELSASSSGSDVGDALAWEDASMVSERSDQQRRNSSKQWTSIMQ FGSFHDAKDYLNISTSFSHLECPHELRIVAEQSYANLEDSGLEHAAEQDDDSSGIHPQ NSDNDSSRKKSGGWEISNLADLITWGQAHLCATKESFFDREETFVYEEFIELDNAYRH KLIVLDIIEGTFTSDSVESTYAGLVVTSRQNMWNIAWARDRQGDSLTIATDGTYKLHF GGWTLIDLGAVYTRYTSGSFRHRFLPWTYIFVRVECEEVYFKLFDVTATKFEEFFDTN LIPATASIDHTRVVLRSHEAAYVACRSHHQFHVIAAICLSEWMVDLGEIELSTWFRDV YLSPPWDQWFSTASNCPGVMPHQQHIESHHKSIKIVYAHELRATTSVVLEHTLPRVLV SDGLEINTSPDLWDESPVSTTILEKALLVEQVSHLHQVAASTVLFNSTTHMRKKITST RSKATPTTVTMSFWLA H257_15462 MSHRLSVPDTPEPERLKPSSLQCHVSDFGSSPQAVVSAAAMSLK SKVSMASLTSSMVKANPKVHRVPTDRSHVASFQKLLSSFDEGDNALRIVTTLNSLLQS IVSAVVTDIDNAKIAREASFVRGYFILETLELDQVVASLEDSLDQAYVRVQALQDELK AMVHTHKRKIAEAKLMAVIHDATYD H257_15463 MMPSTTSYPTQFTNNQCLYRNKVCLNVRAVKNNGLLHSFCEYHR IRANSNQRKLEKKKRECPITPPAATAEQSNKPTRTFGVKELWMNPYDVDDIDTCDADV VIWVQPIRLELPQTFDHIIHEQV H257_15464 MASLRPDPGKQQSIPPTLSDTSTVPTPMAIIIVHRNGVPTGILV PATGIIRQPHPTSSQNDSASDTTECPVDPLLTALQLRSTCAADKFLARHDGHTTKRAN PRWPSISQNTLICKRTSSLPCQSGSSILLVM H257_15465 MSHNAPTRLRLSQMTSGPSAPDRTMSHTPTNAQAWHCHFRQAQP RRPLRLLSHSNPPRGPKCNSGAPSYHSSQLHCTHQYIDYCPPWASPCTHPTHARSHHP LTHATSPPSVCATPPEAVVTPHTHPAPSSRRPTSSTLQPKTALASEPGDSCPTTTTPS PTLDPPRITLWSTTYPSHTNYLPPSQETSHIPITLTHRDIPLPTIYQAAPRHALPAHP TIRTTLKFPGIRNLHDEGQPIMYRTMQRYWEREAHLFQGFNADHIADVERHFADAKIR IQFSINPWLQPPDETLSILNYRREIEDICQERYGHTFLGGMGRYLFLRDISVVMVYQY AGVLDNGLSFHQLEYRNPSKITPGDLMCQCLFRLVFPSQRMAETVYAKFRRHVALTNY AHLIIPNSWELRDDHDKPVTGATAPWLEAICGRRNWPSTQACKPDARRTIQSSRLNTG DLCNWDLPALSFYWRAICYTLHANVRKHRIQKTWVAHCRTCPDSLDTQEHRFGLTHPH RPGSGSLTHDLLLATQARHPQSLAP H257_15466 MHRFLGLPSRATLALATIQLHREFTKCRKPGALLTRWLYHTALR CTPLLAPRDFWFGQWMDGTNGATFHGPLGDSPHCTISRPTTNHHWWIDATHHSISIPW WRRLSVEIQACHGPLPIGSLFPTAPRGHVLAASLTTTWLLTIPADQLALRNISGIYHT SAPKWGKLTASNPVSIHTGFLTTGPMTPLLTPANSTGPQLSAPWTQFTGSIAATHNTP MPANGHKYHQPQDPPYNGPRSISLSTTNTPMPPLPSRTYTTHTSTNSGSLPTEGASLT ENNNNIRLKRQHHDTAPTAMIAIYAPAVLIWSNTPPILPLRRPQTLNYHLQQPKHTAF LNLRRGGVDWSMDSGGGNPNVNIGNYKGVMLCNRPFNGVASGPATTFKDTDYSSKNAP FLTGIHPPTIGQNVPIFNEPLHAIKRDKKNTALSKHKKWLHDLQKERDRLEEALMEDE DEKQLRRDRFSKREADFRAQVRRTNPEEDGADPKGARRPMWALTKETADEKKARDEDE DVDDLLEFANNLDLDSFMDDVELKAHVAQVNEQLSKLQAVVNQEEGEEKKSEIKDMLN AERAERQTLNAAALSRLDGADTKGVIDDDAASVASTVLSECKSIRSVHSTRSVMALTR RAEDKLLSAKLASVPEGGRSGIVPTPHVVTHDEECGMRLQNKLLPSNLPYIHRNPAI H257_15467 MKRAIEGNHLNVQDGKRRLRSNGWMFTSAEVHLAAIQDEHPRVT STPRPALTRPLVQHAANNPQMVAASLIGASLAPSPSNLPVKKASRSGLINQVMQSRKA KVASKRADTSKHSASQTPASPVAVGVRRPRASSPRSLPLLLPSPVTASGKTPPTTSPF YGFTSPRHVSGSIAADVDLLLNSTPIVYAFSSPRHATPPFVTNVSIHCQSRSSPCYTP PPSSPLRPISADSSVLPYPLTWTPEPSPIAYRGTLSPSVQPLFSRLSTQDGQVNQRRM AIAFRQITTPSPSKKRKLSHRAAALQHRPPRPTTRSSLRLL H257_15468 MKRSFEEDVGEGAAQPVRGTRQRRNDQGGWGILSFIKHVPILNK LVAAAEDDDIETEDLSVDLAETPSPKRTIQPKSPLRRASAVTPSQGSDNKRSFTFPRA TGDDEEKTSASPAKPRFESIEQTSANAFVFTRKSHNTTPNKQQKVVPSSVHDQTIDVS DFYTPGSFAPRHVPTKEQVQPPVAESTVVLPIQLFGDSSSSNPSRLSRGGLIKKFTLS REERRQKRPVPSRLLANHSANARENSKLITERILATLNAMDADPLAQETQKPAPSMSM SWGKYHLDLVEHANASTTDQVAPEGVSRPPVTSLSSTLSKPAASLVTPSATAEPSRPP PVKRAAGEVFLFGFSTPPPASGSVASDAETLLNKPVKYSFSKPTKVIPSKNATSAKPY QFVSAPVHAPTIIRNASPPSHAAAAAAPLPSPASSSVNPLDKFKARKPGSWTCSACLV NNLDAKLTQCPSCETPKEAATSTPPSSSNPLAKFMQHAAGSWACPSCKVRNGPSQSKC PCCETAKPTDDQPAPTPSTASVGGFACFGASDKPSTSSSSNDAGLDRSNEGKPAPPSS GLFSFGVKESAAPAANVSFGVPSEPKASVTPAATTTLGVFSFGAPAETNTKPATGFGF TLESKADKTGGDDKQNKPAFTFGAATSASDKATSDDKTAKTASFGFGKPVDTSEDKPT TSGFGATSTTTSTTAPTTGGGGFSFGTPASPSTGTKEPVFSFGDAAKPATSSASTEPA PLTSFSFAPPVSKKRSADSEQDGAGPTKVGGSGAGFGSSTSSFGSLASTFGSVPADKP STFGSVPATSTFGAAPATSTTATPTFGASSGTLASGAAPTTAAPAASTFGASSGTLVS AAAPTTAAPAASTFGASSGTLASGAAPTTAAPPASSTFVFGSTAPPPSSTPPKATPAF GGSFGAPAAAPSTSFSFGSNTTAAPSGGAFGAPAAAAPAAPAAPTSAFGATSFGAAAP SASFGQSPPTSSSAFGGGSTFNFGSAPATTGAFGAPAAAPAFGGSAPTSSSSFGGSFG APAAPTSSGFGAPPAASGGFGAPTPSFGAPPSFGGAPPAPASAGFGGFGGFGQPAPIT AAAGSFSMGVAEPKKSAAGRRIVKAKTAGRRQSQNS H257_15469 MKIPQQHISPFNIDKDTDTGDPRSSPTTVSPVVFFAVLGNRSLV LRVTEAMSGIPFILSSYFITQKLDRPTLSFKDNSLLGFAASNGHLSVVQAAVAHFRHQ GRCMEYAIFCATVSGQLHILEWLFSNYTIPPPPTISMYALNGAAKHGHLNALQFLHAQ GCTGWSADCMDSAAAHGHLSIVKFLHTHRTEGCTTFAMNQAAENGHLDVVRFLHEHRT EGCTSFAMNQAAKNGHLSIVKYLHTHRTEGCTTYAMTSAAFSGHLSIVQFLHFHRQEG CTKEAMDGAALYGHLDIVKFLHEHRSEGCTEHAINWAASRGSLDIVRYLHANRVEGSV SRACALAARFGHATIVNWFQTL H257_15470 MLTMERLRRPKTAAATLPRTSKHDVATSRSKKSAVRMHAGKSLS SPVMCPPLHPDSALRPVVAVTKTTIHLTANINVKVQPDSPIMESNHQRVNALAKKSAH PHLHADIDFDGLRPLVDIAYWSTFSEVRRDAAAAFATLSKNAANLDILAQAGGLGAAL ALLHGAKSHMDLCVLRDASDTLLQLVQLPSIQLKLLHAPNGIASIFALLRVADVHVKR TALRILLQMLHLPDAAPFLVTYGGFRLLLHLLRTVAIRKDTKLKQLAALVLKRLAVPE RNKDAVADEPDIAKILCQLFQDPYLDTDMAFRRDLLETMLLLAQDRRAARHFVEFNVV PSLLLMLASPPSQVATSYLVISLLEVFASNPRNLPSLLHDDILPPLLSCAFHPPPSNM HVDVRIKALMVLNHIVLLPSDTRQVLLDLGIVELISCENVHLAPDKRVRKLGVSLLTS LPVIIDMTLPRDTNADAVVDKAFHLDMIARGLLPCLMDVLREDAASCGDVVTAMWHLC ESDCPRLLLCKEPVLEAFLALSIHHDVGLKTKIAKIFADFATKAENTHKLVESRIVLF LVKSIAPSCRHAALRYEATRAFVGLAATGDEQVRDRLVQYGVVGFLIRITKRQDPKCP VVTATCAQATLAVQHLRHDAAALQIQGIMRNWLVRKATQAIRLDAYTSRLSPRKKRLQ HVAIRAGKVLVPREHESTEAIQSRFLGA H257_15470 MLTMERLRRPKTAAATLPRTSKHDVATSRSKKSAVRMHAGKSLS SPVMCPPLHPDSALRPVVAVTKTTIHLTANINVKVQPDSPIMESNHQRVNALAKKSAH PHLHADIDFDGLRPLVDIAYWSTFSEVRRDAAAAFATLSKNAANLDILAQAGGLGAAL ALLHGAKSHMDLCVLRDASDTLLQLVQLPSIQLKLLHAPNGIASIFALLRVADVHVKR TALRILLQMLHLPDAAPFLVTYGGFRLLLHLLRTVAIRKDTKLKQLAALVLKRLAVPE RNKDAVADEPDIAKILCQLFQDPYLDTDMAFRRDLLETMLLLAQDRRAARHFVEFNVV PSLLLMLASPPSQVATSYLVISLLEVFASNPRNLPSLLHDDILPPLLSCAFHPPPSNM HVDVRIKALMVLNHIVLLPSDTRQVLLDLGIVELISCENVHLAPDKRVRKLGVSLLTS LPVIIDMTLPRDTNADAVVDKAFHLDMIARGLLPCLMDVLREDAASCGDVVTAMWHLC ESDCPRLLLCKEPVLEAFLALSIHHDVGLKTKIAKIFADFATKAENTHKLVESRIVLF LVKSIAPSCRHAALRLKVYMRQLTRYATLMRERSFV H257_15471 MMEYEAESPPLSPTPQSPVRERRGNDGPMGSILMSCSIDNVKHL YTMLLCLSLGRKKDQLVRCDIDASGMYFTAHSKGKSLQVKTSLASDLFDSFAYHVDET LGFRISLPHLLEGLSVFGMSSLASTSVTWSFDEDSACFQMVLTDNGILAECAIQVLVE DDLHDFTQGDFERSFEGSAVVGRVIIQSNALQEVAQEFADLPPAAPVTVRMHPNDAFQ LQASSSEGNSCEIDVAKTSPALIEYATDADIASTFQWSLLHDAFHGLGIAAETFIRLN AHGYCSIQHMVHLGSKRAFVDALLCPDAM H257_15472 MLGRVRSIAGRQLRRQSSIPALRSACKMSTSSSPIIPSPKVKDN GDGAPLPSLDAAGVPTNESLAQKGKRFLKVYGMVGVVTHTALSVASYSLLYVSISRGL DVGTFLGSWTTSVQAVALANSTDQGSAGVEAASNAMVTYAIYKLLAPIRWPLTFFVTP IVVRQWNRLRLPPKDPKSTV H257_15473 MSHHDVFDDLYERMLRRHAVVSVEAPHSDVPVTTSPSTSTANRV EATSRSVYLRRCKRRAQLRERKASSRVPQACPRRYSLAFVESVQRLERLVSSVFPHAP RPHIVVFGRFSYRQDTCVPSPPPRSFST H257_15474 MKKKVLLMGKSGSGKTSMRSIIFANYLARDTMRLGPTLDVEHSH VRFLGNLVLNLWDCGGQDAFYENYFESQRDHIFRSVELLIYVFDIESRELEKDMNHFD GCLEAIEQNSESAKVFVLIHKMDLVPESQRDAVFDQRKEMILSRTGSIPTVCFGTSIW DETLYRAWSSIVYSLIPNMQDLENHLNEFCAICNADEVVLFERATFLVIAHATHKSHR DIHRFEKISNIIKQFKLSCSKTQAQFQGMEVRNSNFTAFMDFFTNNTYIMVIMSDDTI QPATTQLNIKAARPVFERYVQQAN H257_15475 MLRPMAVLASAAALLLSGVRGQSICDATTCLLLPNDICLRRNGC GPCVAPSQDLNAALDYTCYPLNNDDFCDKGVYCPTDPVRTTTPAPTTTRRSPTVPTAT PPTTLPTTASPSALPTTTSPVPEPPAPPPPSTTPSASTSSSSTAYILVGAAVVTALVV AGCCVVRKLRRNNAHLKEDPLDENEVNSTMAMNDYVALKEQEQLAREASSHPQGAAAS KERVLMTTSSSSLENEEADLWGNVNPHTSRTAVPPSFSHPNYLNQSS H257_15476 MNLLTGAATPTTCRVSSVLNRDRKAYGAQHMFDGTDVTCWNSDQ GTPQQVLLSFHRHVHIRQVHVMFQGGFVGEDVQFLVTTMESPTEFHALPVSKHFDDGN AMQSVDVSCDNATQLRVVFGKSSDFYGRVTIYELLVWGLEAE H257_15477 MLVGIVRSTDGASSSVRPVLDPATMLVGLDATSSPASSPPPRTR DDSSRGPMEITPHLYRLFRACVLGFHVLSLVYFVCFAYASYTDIYSSSDPSTALPASV QPTTSSGIVLLIQVITSALHLVRIKSLMTAACFHRAEYIPSKRPAVFYHRVFLWLSFH DLLVVLSHSYHGLLLYSFVQSRVLVLAYLVFVWVYSILPCFRFKRLFLRNPHLRLVSL AYAVALDVLPGICLRIWWMFACDDPIAHVDAADFTTGAASAFPPLLLLSTLTSLSALV AKLKPARSPQKVRRVTHGPASPLRFDFTEPTMSKQSKWCLTAQRASRVGSVLWISAAL SMLIYALTSHPSALDGCVLLLRRPFTTVPVVCRSFQIACDSTALHVLLDLPPPTAAFV MAVQFANCPALAFDAFDRVLWPNFRRLELINTTVTSAASATYPTLLHVVLSRSSLSKD ATTLLPPSLMSLKASSSRLPDDVLPPLPLLRTLDLRHTPLRSFDGAAMPRLVHAAVLH AQLAILVAWPANNLVDLWLSGNPLHAIPDEMLNLPRLLVLAVDRTNLDRVGDRVSSSL LHIIATDTPLCRSIAAFLTHAQIQYTHGDTSLVQC H257_15478 MPWSSKTLDRATFLLPISTRIGTNNPMAPLVTLTSTASIFLLFC VINVLNYVDRGIIPGAPTQFQYFIKDTLHTSMTEEGFYLGVLASAFITSYAVFIVLFG YLSITMRPFRLIGVGLLIWCVALVLCGLAQSAQSFSLLLFGRVLSGIGESSFQCISPA FIDDHAPASTRTLWIGIFFTGTSVGTAIGYGYGAYFAHSSFGWSAAFYVASFLMLPLA LLCLFAIPREFDVPSKPPPTKDAVDESSHLIVPASGPSKDTAPPPSIVHEAVDVLTHP IFVLTVLGSAAFTFTISGLGVFGPLFLLGLGLFQSETEASMMFGSLVVISAMIGTPLG GYMLDRFSAGESPARRQYLALVIMTVLMSAGVLLSLLAWSALPSKTPFLALIALALIF LFATPSCTAVAVLLCVPPSRRNFAVGISTLLVHVFGDVPSPILLGMLKDIYAPHCGSV DIDHHIGLNPECSQDQDGLKLTFLVPMLWLLWTVLTWSAAIVVARCRVPSRY H257_15478 MPWSSKTLDRATFLLPISTRIGTNNPMAPLVTLTSTASIFLLFC VINVLNYVDRGIIPGAPTQFQYFIKDTLHTSMTEEGFYLGVLASAFITSYAVFIVLFG YLSITMRPFRLIGVGLLIWCVALVLCGLAQSAQSFSLLLFGRVLSGIGESSFQCISPA FIDDHAPASTRTLWIGIFFTGTSVGTAIGYGYGAYFAHSSFGWSAAFYVASFLMLPLA LLCLFAIPREFDVPSKPPPTKDAVDESSHLIVPASGPSKDTAPPPSIVHEAVDVLTHP IFVLTVLGSAAFTFTISGLGVFGPLFLLGLGLFQSETEASMMFGSLVVISAMIGTPLG GYMLDRFSAGESPARRQYLALVIMTVLMSAGVLLSLLAWSALPSKTPFLALIALALIF LFATPSCTAVAVLLCVPPSRRNFAVGISTLLVHVFGDVPSPILLGMLKDIYAPHCGSV DIDHHIGLNPECRYVRTDRSSNCIACFLIL H257_15479 MWRHACSFRAAVCVRPPLLNQARIFSSQTSPVPWDSWRAAILST GESEFLKTFQLPRDRASLAHLMRTVATTKERNGDFVPRVIIKSIAASYKSLDFDSKQV FLLTLARDLHVDAPTVQQTLSSCAASISTVTQSDTVDWNHDQVDKYLRSIRALRDSLT PLYELLFRQLLSQLDGGMLFLVQLRADLRQVLGKISNSKDVVVLRALDQHLQSFLASW FSVGFLRLERVTYEQSPGALLEKIIRYEAVHPVGTIIELKRRLGRGRRCFAFFHPSVP DEPLVFVHVALVPRLADSMTYIKEATEQLADEHEANAAIFYSISSTQPGLQGVDLGNF LIKQVAKRLQEDLPNISVYSTLSPIPGFTAWLHLTGHSNLTDSDVAALKQLRGNDDQG FDANETLKRVLAIPDWHTTEHVVAVVQPILMRLGAHYLFREKKRGKALCPVANFHLRN GAIFERLNWLGDMSPKGLKNSAGLMVNYKYELSQVEANNENYLLHNTIPIGEQPLSIL VD H257_15479 MWRHACSFRAAVCVRPPLLNQARIFSSQTSPVPWDSWRAAILST GESEFLKTFQLPRDRASLAHLMRTVATTKERNGDFVPRVIIKSIAASYKSLDFDSKQV FLLTLARDLHVDAPTVQQTLSSCAASISTVTQSDTVDWNHDQVDKYLRSIRALRDSLT PLYELLFRQLLSQLDGGMLFLVQLRADLRQVLGKISNSKDVVVLRALDQHLQSFLASW FSVGFLRLERVTYEQSPGALLEKIIRYEAVHPVGTIIELKRRLGRGRRCFAFFHPSVP DEPLVFVHVALVPRLADSMTYIKEATEQLADEHEANAAIFYSISSTQPGLQGVDLGNF LIKQVAKRLQEDLPNISVYSTLSPIPGFTAWLHLTGHSNLTDSDVAALKQLRGNDDQG FDANETLKRVLAIPDWHTTEHVVAVVQPILMRLGAHYLFREKKRGKALCPVANFHLRN GAIFERLNWLGDMSPKGLKNSAGLMVNYKYELSQVEANNENYLLHNTIPIGEQPLSIL VD H257_15480 MSSDNNHLRVQYEALLKENKQLKGVVEKLEKENHDLKRSVYELS LKLDSTGKPVSHPGGSGTSNIGSSSSSAETGPSVFNANDLLAKNSATETTPSYLESLT PNMDDDDQQASNPRIFFQKSELRGHGGAVYTTKFSPCGRLLASGSLDCKVLLWDVTTK FNQQQLASLGQHSQLVIDVSWSNDSRSLLSASYDHTVKLWDVEKASLTGSVGVNGLVQ TVSFNPADNDMYFIGTSQRNVHVLDVRAGVTHTWANDAMVNSLHVCSDGHTLLTGDSK GYVKTWDIRRNGCVDDMSHLNDEGHHAISHVHASPAGRQGDEDGRYLAVNSYDNILRV YDRGSKLISHAQDPMQLGYFVTGHKNKNWPIKSSFFRGEGYNYKLSLPSTRYPHRKLT DGDADEGSYADRDVASQEVMLLATGSSDNRIYVHDVSCRRSANSVNASLLQRIDAHTD RIYCVDFHPSEPILASASADFSVKIWIPRSSRLAKPS H257_15480 MSSDNNHLRVQYEALLKENKQLKGVVEKLEKENHDLKRSVYELS LKLDSTGKPVSHPGGSGTSNIGSSSSSAETGPSVFNANDLLAKNSATETTPSYLESLT PNMDDDDQQASNPRIFFQKSELRGHGGAVYTTKFSPCGRLLASGSLDCKVLLWDVTTK FNQQQLASLGQHSQLVIDVSWSNDSRSLLSASYDHTVKLWDVEKASLTGSVGVNGLVQ TVSFNPADNDMYFIGTSQRNVHVLDVRAGVTHTWANDAMVNSLHVCSDGHTLLTGDSK GYVKTWDIRRNGCVDDMSHLNDEGHHAISHVHASPAGRQGDEDGRYLAVNSYDNILRV YDRGSKLISHAQDPMQLGYFVTGHKNKNWPIKSSFFRGEGYNYKLSLPSTRYPHRKLT DGDADEGSYADRYRLSRFKVTC H257_15481 MELAAISSDRTVSSTTPPDTTSSTGSPSTKQHFLNPLTIVVLGR SSHASAIQPTTVALDGGWSLKVKPILPHTALQRAVPRDVGRLADPRVSWWDSLQQDID LFCVGHDVVPEQEDLLGGAVGTLPKSSFFLGNSISMMPVQPPPPLIYLPIKPARPPDE PAG H257_15483 MNKLTNVESQRVMAVLGDMLDRLNYLTYVPLKRDYHLIGRLHEN GVSAVGDQVEQLWQLDDGYENMDANAARREDVLGKIKLAVRSICRHMRENPVVVTTFF GTTSASPADPGDEMMTLIRFLSELTDLMFSQLSKTVEDETSKRDSMENMYNRRKQAED DLVQLRDKLSDMRKTKEDDISHLDIQLQKLKGELATINKATANELLLIQTQVKETLEK AYDQQSIEMQALLETYAQHEQLPPEEHDGPSRGRGRAAQGQVQNRSRSRVHDRKV H257_15484 MIWRIIGGAVLAFVRTGSCDVTPWKPFTALRPGHLLTYFPFDND MRDASPQGTTNQTSVNINVTLSQHSIPSGVKGVSAYFNGESYVEVQVNINSNVHPEVT MGAWVFIPEYHDNHAPQSNPTAVYDASSFVLTHTSKGSFERSVGIDYRGKGWCAFTGG SSTVNDSNRNDGILGGMPVKTGVWSFVAVSYSRESVLLYVDGDRTTTSKNSLRVGDSV LRIGSGGYPGSSFYGFMNDVFVYNAALTSGELDFLRTTMAPTLPPAVGSAGYALLFPS SSSIQFVAPLPIDADLTTAVTLAMYLSVDFSHDPRVCLGALTSATTTHTIYLDDRSNG LFSVEVVQSNGHVQSSTWTTGDVDLPTQNTWAHLALVWTAGTLQLYLDGQLKGATDYP TPLILPQAIGQLCLGACDDGRTSYYGLLDDISLWNIATFDFVHRNHPLQGDEDGLIGY WDMNYESDQLPTLAIESKAMATSTKLTAAIRILAYSDQDTTGHTFLAPSFAPIGDHVQ AKMNLPTLVLLNVSYVGLPLRPPTTITKLPERGTLFASCWPTHSFDMTTAITAVPYTL PCPRVYFLTDPDDAGVNYATLEYTVQGSHVPRLVVFDVAVELIQPHFSMQDQVVQRLG GYRFEDVDTAEYLGTVDTTLVITSSQDHVQVTLPPSDVASQITTTSMFSQTVHLLGAP ADVTRVCGQVDVQTPSAHSISTQFSIRVDDTGPANDPLAMYSQTLGVQLINTLGSIPM LDVVEPTVAYVQGSQVVTITAHQLHPRMTCRFGNVTTPATIISPSQIQCRIPPCPMGS GGGVVALSVLFFGQFESRPVPFAYVPPIQILSVFPTVVSAMGGTVVTLSTTTSLDDGF QSIFCVFSDPPLFHVVVPALTVTLSQLTCRVPILPSSVVMLGVSPNNGIDVTTAPTPL AVVAPPKAIWLSPSSGPVGASKWVHVHGLYMSAQSYCVVDSKRTTSRFDSPTGLWCQM PPSLVARTVQVSAAIDATPVELPALSYEYQDGILLQSISPASGPVRGGTVLDVFGSHF RNSPALQCRFALLTLVPATFVSPSAIQCIVPPLSDASTAVAVDVTSNGVDFSASQLMF RPYDEVHVTRTYPSLGPRSGGTLVTVFGDHFTPSTLMSCMFGENVTLSTPANVISSTI VQCMSPNVVTVPRTSSTTFRLNVNGQDMVVNPLVTFTYHDPIQVTQLSVTSGSVLGGT TVRVTGLFPMSLVSLVRCKFGTISVSATALSSTELTCVTPSQPTAQVVSVAVALNGIQ FETTRFEFDYFTAPSISMVEPRWVPQATTSSVLVFGSFPPPSAQINVTCRVVGRSQSS MAAMAQWVNSTVLLCGPVLWSTVGDAAVELLWNQDMVRTGASAVYVHPPVVVTRISPS VIWIGATGSVDIVVVEATNVIQLDTLVCIWNRTIPTRALYMSSSQIKCPTPSILPVGD VSLAVSLNGGRDVTAAPTLLSVRRVPIVLSMHPVQGPSGTIVSFSLAEPVSAAAEMPV QCRFGTANALHQVQLANATCLQCTVPLGMADASVVDVVLVMSPVSQILTSFQFAVTNP VSVLQVFPLAIGLHDNSTWIQVYVDTLLTSTTAVRCKFGSIATVQGLPTSSQGFRCLA PLQPQETSSPLLISVANRPLQDTGVVLSFQKQSVVDMHPLVGPISGNTTLTFYGSAFP PGPYQCLFDSHIFVMATLTPSPLSAVRIQCATPTTTVPHNATVSLTGINGQVLDSFSF QYVAPPSATALIPSVVQAYSPRQSLQVVGLDFPPTLVCAFQVRDQWVLARTSKSNSTH ATCTFPSLTASSTLYLSTNGIDFTPSQVALTVVPPVTITSMDPKVATVSGPLVNITVV GTGLQHVQQCHIGADVVVVPRILSNTSLVCPLPRDHVPAQSLGLMSLELSVNGVDVTS SGLQFRWVPNFTLTSYAPNYGPEDGGTILTIVGGWSNWPTDVAIYCHFGPSMVSRGTL AGDHTLHCTTPRSMPNQSRNIGVSVDRQTVQLVRPPFIVYPSLAVTRVVPSFGSVNGN TSVLIQGTNFQNTSLLACKIGDDVVPARFVSATSVQLTTPRRLESAGGADFYRVPVSC SLNGQDYSTYVGSFEYQPVVALQSVFPKRGFANPPTNLLLKGTFYATTSGAAATCRFN QSQRTNATVTSPSTVECPTPSQIPPGIVSVDVSLNGVDFTSSGVQFTLDAMPTFSQVY PASGPESGGSTVLVSGAHFPNAVLLTCMFDNVTSTTSAATWVDPSTILCVTPPHAPGP SVLRISFNGRDFSTSSQTFRYTSHATVTEIYPTRGPATGGTLVTVYGTGFIDSPDFTC LFGANAAPPRQVVDSTTAVCVAPAAVTPLTQVVVSVSNSRLDGVGASGGAVFHFHKPV FVAHIQPKFGPVTGQTLIQVTGMEFIPQSIYMCRFLWETSIDLNATSIRHVPAMYVNS THLTCRSPSVAATTRSTWMLVENGLALSLWPIEYVFHEQVDLVQITPSTGSILGGTLV TILGNHFLSSLDAMCDFGMGRMTRASVLSVSKVQCTTPRHSPGTSAVRVCLNGLQCSL PFVVFEFHPIPVWTSLQPTTIPATGATNLTLCFQPSSHMFKFTHCLFGQRQSAVAYVN ATCVNCWTPALPEGVTVDIGVSVNRIDALPTSMHVLPTKPLDVSGVVPTAGPTTGHTR LVISGRFNTSMAYVCRVGTSPAVPALAVSGDSVHCYTPPSPATGNVPVAVSDNGVDFT SSHFPFRYYAGIQLTSIWPPQGAETGGYFVTLSGMNFEMAAVCRFGMAPPTPVQWESS TRVLCRVPPRSPGTIGVGISNNHVDFTFGSFLFYALPRVYSLNPAVTLYGVGGPSKIT VHGDLFQNISTLKCLFDTTVTLLTYIDAKTVVCTVPALSPEAAGLVRLSLIDLATHVQ VDSPVAMTVLEVPIVESVEPTVLRRQSTLSSVLISGHHLYPSLTCGDDRGSTSPVEFL SSSQVRCSVQLNATTLRIVDPALNYTVVRRALTWFDDVRIAEIVPRHGPSTGNTLVMV TLATPLPRMLTSLSCRFGTDSVAAQVVNRTTIRCASPAKPSSTRTAQLSVSLNQLDFS QGSVPFEYYDNAQMVGLEPLYIPSNAVNASIVLRGVNIPLGLNQSRPVCFFGPTLTSP AVVESSEMVVCRLPAELAIKQGTVSVAFAPNGQNPVAPDLTLTIFVHPQVLQITPLRG TVQGGTTVRIDFDAVVDSFPTIQCRLATATSPASVVGPSAVECRVPTSTSSPGLIVDV FVALNGQDFVFTRSFQYVDIPYITSVSPPVGTELGGSTVSIALPLATTLWQSSLYLKF GNSEPVVAALNAFGIATCVVPAHAPGPVTLLVSVNGVDYLPANHTFTYLMAQTSTGLV PALGPVTGGTVVSIFGTNFASCVDMVCRFGPHLVAPAVFVSAQQLQCTTPSTVAPSDH LVVSVWCGLLNRIVTLDQSFSFYTPPQLVSLTPRLAPTTGGTKLSITTSQPVSVIRPS SVSCRFDSVVSTSAVVIDATTLSCVVPPLPLRDQVVVEVSFNGQNFHRLPYPLKLYPS IQVQSVEPSTIFWNTSTTVLVSGRGMLNTPALSCRLGANAITAGRYVSSTKVACPVQS IGSASTLFLAVSNNGVDFAEPTLALYFHPQPTLTGINPAYGPVAGRTLVEIQGLNFVT SGLTVQCVFGNSTVAAAVSKSSTSVLCLSPAAPAPQIALVGLQFGITLTTESIRFEYI PTASVVSLSPVKVPLGVPTRVNLVGVHLQGPLQCEFFQTDSTTAVHAVVVSAVISSST SIHCTATLFTPGVYSVHVGAMGQPSARSDKGGLLITVHPTPVVQRVSPLVSVELGGGN VVVTGFNFSAFDAMSCLFGAQPVVATFQSSTQLKCVVPASLARRPLTLSISINHVILY KTPFSIIPTMILDAVDTQLLLSVGNPVQVILTGRHLVPNVTCVVMTSTNTLEEETLAL FYSSESIGCIVQAQVPGQRFLTLKLYDAIATTSPTVALEFVAVPAIVAISPHSSDIRG GSSIAVTGRGFHDGASLSCHFGLVQSRAVFLTPTRIVCMTPRVSVPSQVLLTVSNVGG NVSSGPVAFSFESPVIALSIHPSFGSVLGGVIVRVLVAETALDDRSSSVRCWFGSVSS IATRVQSNVFQCIAPSTVRPAKVLFHLRSEQQSVVHPPIYFTYVEPVQVVNVTPASGP VEGATAVRVLLVAPVLMPASDLSIQCAFGNQPVPGLLVSPTAIQCVTPPSVSQQSNVS VAVTVNGQEFSLSSESATTFEYQKTLQVDSVVPTLGSPGTSIRVLGTNLKSPSICHFG DARPSTPARFVSSNEVVCELPTMVSFTTTTTVAVDVVLTLEYATGVYSNSKLFKVFPP LSLTSLSPSRVFESGGARIMLQGLGFLDVPQLGCLFGRSAVIVPALWVSKYLVECVVP PLEPGNVSVAVTQNGIDRYIVPMSLSVSPALTISSISPIHALVSYPTTITVLGTGFEP SVRCRFGDVIVVPTEYTNRNTVTCAAPPSQTSVPFQVTNNGLDFAGDRLWVSHDVQVD ISDVSPSSGPVNTTTTRLWIRGTFTNMDQLHCQLGDMTTLAHIANTTHVECLVPSVTT SQVVPITLLALGMSIPSTWTFSYYAPPAVESMEPSTLFRPSTAVTVRGLNFLPGAVCR FGAVITGASVYHSSKVIECPSPQVHARGYVVVEVSNNGVDFTSQGLTVRFESQLSVVA ISPPYAIHTGGSSLMVIGTGFPAFLYCRFGTTYQVGTVLNTTHCMCTTPPLPPNQVVV LELSTGDEGTTNQVTFWSMLPPNPIRVDPSHGSTVGNTLLTVHGTAFSIDSMECCFNR TTCVPAAVLSDTLLTCVTPPFNTTTNGPVLITLRAPDSQVASLRSVEYFVHIPLTLAR FVPTRVPEQGHTVVTVSGAHFSPTLDLFCVFGTEFVAATFVNFDTIECVSPPHAPGSV SLGVSANGKDIVSFDAVLEYHQSGSVTAITPTTGPVDGNSVVVAVVSSNNLLSSAVFC KFGSVEVRAAAINASAVSCLSPRARTCGAVSFSLVQRAKNSVSVEFVSAMPYTYEDPP TLVSMFPNTGSTAAPTVVTLTGSGFHAHTTFIRFGNIVAACMEATNTSTCTVQLPRHG IETTQGDGGGVVTVDATNNNQDFSTPLLFLYTPRVAVMAVAPSVTHGPTLVTVSGVHF VDTIPNALRCRIGGHVVVPATFVSATSVQCLIPTLSGGNYNVEVSVNGQDFTNDSVQI LVKDPVEIYTVQPPFGSTQGRTVVTMTTNSVLDTSVDLFCAFGDGLVSTLTVLNASTA SCVAPPTAAVGTVPLSVVQSSVELTTVMPPTSFTSISSITHSYTYIRPVEATSLFPSF GFQQGGTVVSMAGDGFVNTAQASCVFGNTSVPAVVLSSDLLRCVAPPFHHSPEEVVEV MVTMNGVDITRTNLWFRYVRDVALSSITPSKSTLAGGSLVDIRGAGFDHLSNLTCVFG ANRHVSATVISRQSLSCVVPPVSAEGTVVVRVAMNMHDVSVDGLRFDYQIPALLYSIA PTVGPHIGHTKVLVTGEGFTPGLQCAFGAILVNSTFLTSRTLSCVAPPLSIEVDVVEF SLQDPHVVSSQTTSLPYYVVVLPDIVSVQPSSGPVTGNTTVVLTMDGSWGFQADVFCQ FCASVVRGEFVESTRVVCRPGAQPTAVECPVSISPNGIDFTDPTWTFSFYDLPSVKTL VPARGQSGTRITVRGSNFSNVPNLQCKVGTTVVRAAYVSQDELTCVAPPISSNNNYTT LQDIQLISITGPIPQPTIQTITTTAAPAVSEVQVVTTSGWANRAQVQTIAPILSPVQS NVVQITTSSNYQPEIQWLQFKLNPQLPEVQAITSTGTTGGSFTVQLFGVGAVIGYQDA AATVQVTLQTAAVGHSFSVTRSAVANGGYTWLITFTSDVGALPMIQVTSTGSLLGTSP AVSVLRNRAGTVAETQTISVYGTVFSSGPAISMDVSYAGVTVVQGIALPVTASALATA LNAVGRLGSVSVARRNSAYVAFSGAASPLELFQYDITFLSKSTLGSLLQVRLQSYVGL CAVARSVVGTTPAPAGTFTLSLGSSTTAPLAWDTSAYNLKLALQSLPGVDVAMVSDLL PAVSTNVRTLKLVFSPLTGNMAPLVVQFNSATNTGIYGDKSSMLSTGLTATVLAVQDG AYLGGMFKLTHPITGASLTAVTNIPCGTLTSLVGATSCLIEGPGISGEYRWTLSFASI VVPITVSSVGMTGAAPLVQLTKFTPQATAKVQTISISNTHVSTIQRLRVSGVGAVWEV QSITMMAQGGTLQGVFSIRFGAVNSGNLTANASAVDVQTELARLAQSVAVTRQSVVSG SSTGFTWLVTFYQSGDIPDLVVTSTNLVGTGLSVQVLEVVKGIPCEVQRVVLSIPDRT SVTGTFRLGLMGATTSALSYVATAAQVQLALQTALNVAVNVSLTRPNSQNGSTWTISF PMHAGNINAMTVDTSALVSTPTSTPATIKVLEVQPGMTVPMLGSFAVTFQGQTAIIPL TTTVAALSTSLNGIVSGGVTVTSTVVDKTGGGVWDITFTALGVQPLLAVDLALVRGGT SPTASVATVRSGTQYDLQVVSTASVTSGTFFLAYGSLETPNLAFNALDSDVQSAVNGL LPVGSSVVVTRVLTGTNTYNWTITFQALNSVVLVAGGVNLVGQVTVSRLPPSPLTPVQ GGFTLTSSQGQSVYVPATASDVDMKSIVQSFPAIGTVSVARSGDASVNAFVWAITYLE NAGPQNNLTVASFNLTSSGQVGVSIAVTQEGTAACCLGGSFRLASGATTIRLPGTVAV EQKWPIATTSQDLTAVVRRGEVVVINGNVFTVDMFLPFDATRLPLSAVYPGVNDPIAV GYTQPTTPWLDVTTTSPSTMLRALTNLPNMKNVLVSRSTKMINNGYSWSVTFAGDTSD ATLLRVTSALTLGSIASTVTTPRAQGEIRMIYVSAMSTISGTFKMNLGGVDSPPLAWN STATDMKAALEMLYTSVNVTRSPLFATSYNYLGDGYGWFVTFASDTGTARTLTFIPMT LTTASSTAAVVNWILVTPGTSTPLSGTFQLSYLGYSTTSLAFNEGASSVQSALNLLPS VGNVAVTRSVADPNMGYSWSITFLPNPAKANVQQRGDFQPLGYMSALSGTAASISITE FMKGSFLDGSFALISGSQVTSPIAYNAAADVVQNALQTSFDWATSVAVTRTSVNAAGG YTYALTFPAGLGVVELLGVDTTLLLGTQAAVTVQTTQPGVNPVSGVFTLDFNGAVTSP LAFNATSVQLQTALETLPTIANVDVTQTQSSGGNGNSWQVTFLSTSGAPLNVGDLPLL GLNPVRLHGTQIVANVTKVQSAVTALSVSVNGQDWTSDNVGFRYDSDMLVQQVSPALG PVTGGTRVVLRGFRFVNMTMWCLFGNATTGVEYISATSVVCSSPPQGSSTSVFVKLLS ASSASLMETMSDSVAVFHYYPTISFTTMTPAFGSSSMATHVRIEGAYFVNTSRLTCRY TTYIPSLATHVHQSVLATFVSSTEIGCIVPSLKSSFPKSGSTWANHVAASSTAVEVSN NGQDYSVHPTNFTTLPDFQASALAPTTGPLGGGTVSTITLSPVRCDTNLVACRFGNHV PTPATTCTRDGTVTCVVPRHRPEPSIYQLKVNSSALVGEVQTVTVAATNIALLSGSFQ LRYQGLTTDPVAFNAAASTVQSAINSAMSPLVVVTAATRSTLSNGYTWTLTFSLESGN IGVLQSDMFALEGPGSAVTVATVQDGPTGTVVKEVQQIKFTQPPLVNEVQIVNVSWAP IVLEVQRISLTAAVVMTGSFTLSYNSLSTSSLPFNAEAVAVQSALQGVVGVGSVNVTR THALNTRGFVWDVTFLTSSAGPRPDLTVNKANIVPSASVTLTQSKLIAGTSPLSGTFV LSYLGFPTTSLAVDISAAALQTQLATILPPIVAVTKSFAATCTVWRITFGLTTAAPSL VVANGSGLSGSGASVTTRQDVPGGVLMGGTFKVAYNSVSTAAIPLSATALATALAPIA PPFTISSVSTTFSIEFNITFAATAGNVPLLVVDASTVTGTTVTTNVTTVQSGSYAPLG GSFQLTWNKTQSSGPIAFNAANSTIAAALQLLPGVGTVTVGPRLSLVSGYQWQVTFMD SWKMANASNLRNLELQGGLLTGTNATMTWTPIVDSLGVKVPLFITTNGQAFVDTSLMF TYHDEIVLDSMDPLNGPVDGSTTVTITLAPQSMTLSLNQSVYCRFGVSIVTGSVLNAT RLECISPEVSDAGDVSVEISVNGMDFSSTGLMFQYRWQLQLVSVSPLRGPIAGGTLLS VAMAAAVSPLDVFACIIGGQVVPAEQVNASHVFCRTPPLAVGSAVRRVAVEITYNHQI YSTSDLQFTYTDPLYLTGVTPAWGPATGGTTVKVRGGDFDLAQSAWCRFGEKVVDGLV DSPEYIACQTPQFDPVGQVQRITTTASGYVPDVQDVVVSAAPDQPLVQVVTTSGATPL IETQVLSISGQNVPEIQVVQPTVDTLSSEIRTISTTVAATVTEVKTIQVDATPIHEVQ QITVTVNTAALTPSQFEVQALWMPISPTETGSFTLEFQGAKTPAILYNSPLAQLQAAL EALDTVGTLRITTSIQTGYNVWAITFLQNTGLLPLLVVDSSSLVVVVPALVSVQSVQV TSTAALGGSFAVSYNGQSTVDIPVWSSATDVQAAIQALPTVQNPVLVTRTDLDCNGGA SWIVTFVSTSAANGNIPTMTMQGAKVTGTLSQSSVSPVTDSNAVGGSFKLSFQGTLSP TLYLTTTETAMAAAVQTLTQVPVASVTRRGPTAVNGYSWQVTFGGAPSLIPDILGVSF LTGIGATVRATTTRVAQVLEIQQLTLAASSFIGGSFRLQVGSQQTLPLPSDASATAVQ NALNALVNFGTFAVSKSAVIDVYGSCSWQITFLTLAGNQNMIQVLPTTLAGKPSLFDS GSRDVRLVMTELQAGVGGPLAGTFRLSVDGSVLSDPISYDATASEVQRVLQAIEPVQV STSGVFGLNNIMTWSVTFTTPKPTGRILTAVTTGLTPGSASVVFGTTRPGVVQEIRRI TTTLTSGAFVCTFASKTSGSIPFNANSTVFGTALSAIAELGTLDVSGTNPWLVTFTQL SAPIPVLSCGPTQGVTTVQTSTSSALSGTFKLGFNNVWTTALAPNASALTVQNAINAV MGADSVTVSGASVVLNNGQRWDVTFTNKPGTWPLLTIDKTLLVGTNAAVQVTRKVTGN QVVGTFQVAVNGRTTVPLTPQATAGDLMAALQSALSCRACTSVVRSPPLSAGGYAWTV QFRLYDAFLQTFSTQLDPSTNPISVVQSTLNCTGLSVQVTPTITGSSPISGSFVLRYR DQPTVPIPWDATPETVLLAVQHIKSIPKGLFQVTRSGPFVTGGMQWRITFPFNIPYPS EVFKPVSFLAGSNPGIAVTVGTPQTIPVQGTFALQFQSQVTSPIAFNANASDMATALQ RLSSLSGGVTVTTLHSPWLNTFKWQVSFTSLANAGPMALLSSPIFQVNGSTPHITFVK VVNGTSNALQRVTISAAAATPTGTFTLSYLGVASPVVLSASCSALEFASALNALSAVG KTTVERTVALNGQIGFTWYILFTDSYVDKVAIALNTGQLLPTTGLVVSVALVPSKTTP ISGSFQVQYGQTCIDGIDTSSCVPAVTSTLPYNVDETTLQHELSMLPGLSSVQVSRSV AADYLRGYTWLVSFPDTLGNLALLRTSSALTGSNAKVEVSVERAGVTFDESKVAVAVS QNGQDYTTMSTVVYQYVQTILVYSTFPNHGPVFGGTEVVVYGDYFTNSSTLYCRFGTT VVSAATYFNSTTLTCIAPSVQSPRQVFIDVSTNSKRSSYSNTTTAAFTYDAPVSLKSV TPTLGPATGNFSVEIYGGPFPRTDELRCRFGSVVVQALWVQTDAIQCTAPTQLQPGTV PLEVSVNNQDYTSSRHPFYFYPCPTLRRIFPVFGPAFAAGSRVDVFGTGFVNSSGLVC RFGEHVLPASFRSPFQLTCLTPPLDPYSGGLQPLPLSEQRHAYPDPSTGTRLLFPTAR HFPLVQGRLVSVEISNNHQDFTFTGINYLYYQDATVSAIKPTQLYAAANVGLFVQGLN FINSTLLACRVGVSTVPGVFVTSWLVLCPMQTTHIKASLPSTSTGHLPTDDILPTFAS PQLLFVEVANNGIDFSSNRVMLEYLGQCPTGYYCPPVSQGSRLACPRGSYCPGQGNAN YTLCPRGTYQPLPSQSECLRCPIGYHCPHIGLHVPRICPAGFVCDVTGIETADQPCPV GHFCLEGTATTATTCGHRLASRKLGVTYSHAERGSTVRKGRDGVASQPILGARQTACW DNSTQDFGLQLSSSPSRFWLELQQMPLSSSTLDFEPIRGRYCMDDACLAVSPSLDVMD NIIMDYHGLFSLRRPVPCPRGMYCHAGTAGNSSVLKNFTSPQPCFESMYCPEGSDSPS GQGDCPGGFYCPFGIKIPCPAGTYCPTPGSYDPLGCPPGTFNAMVGQTQCTPCPEGYI CPGFNRIQPVLCPPGYVCSKPELATPNLRCPPGYYCYAGRLTSDPFRNDTTLRPYPCK PGTFCLGGVISDLVVTGNYDYAQNCTAGFYCELASFSPKGIGMCPPGFYCPAGTAVPI PTPKGSFAARNGTVQAALCSPSFYAPTIETVECYPCPPGTTCPDDGTAVATICPPGTY RSTFEADGITCVPCPQGTWSKNWGLREVGECILCPPGTVCATDGMTNPCSQSDLPLPY VPTNLNESVPECLARGSQFYFGVLLEPWIDDLGVGPHFLPHISGQCYYNPQPMGSPLY LRFTEYFGPLFDIATGAPHQGYGDVSQLPVPGYFERGSQFVDLMHSTLYDLKQNCTRG FFFKDKWFPGTCEADVICYSDKTSQALICPEGYICNEATTDALALATPCAPGYVCGFG TTPDAYLQSPMGQFDMLCPRSFFCPEATGIGLMKRHACPANYFCPTGTVDPYMGAIAN DAERRQIPPADADPFRHVDHVAYLREGDIREFSLHDKRCLDGVDPELLNTFTTNESGG VVNAALESQLLCARDNKWRHVFNAIQRRECDCVHQVQVTLDLFALWQCNNTCTSVFAQ ASWRVAQSSTNGLRFAKYSTTVYSTFASLAADITRDMKPFLAPHSISKGQIYTAQAPD ELFDLYTGVQNILQYKERLLDWVNFNPSTNDILRLDMCECQRMFKCPNGTVSPVGSDN LFDCVKAGVVLRRRDLVPSGHARQVNGSDFTFLSGTGAPVSHIVLDPLEVAIVTINAT QLERNMTYDDHYQISIYKNCKPCPPLYQCNFYMDPVGCTYPFNDNSTGQTMYDSCMSM YHGDSAICDANALFCETRGRVRPDGSVVPVPGCCSCERLDMPVFFDANRPVLGFPDDK HGMIQFTISAVAQTELTIVVELLHGLYYNGFEATFVPTNVDVSIFTPSRARYTPEVPT TDSFFSVLVQDDFDAMALPLNLPMSKVRTPKEMTFQSHMERSVFIDRVSDILVGDPSF AGRHGLTRLSHAQAMLGATISNDSQLLWNDFLGLDLVPDGVGDVAFSDAWWLKAQPNG LTYLAMPYLPFFSACAGYDSHMSIAKLLESHPDCDFVEYNATHEVDELIWRKMTVPLA DECSVFNLNGIDLQCVFEENLAGGSDKPRWYEVDSGTPLFHLTKYPIPVHNFIGNDSV ASPIYWGQTSTFDNLIGTTDLITVSVGPDSNGYPLVVPQTVTLTIHYYQILKGYKIFV NAQIDFDDQCVISNVDADVLNAAANDIYPCEKNVVTGEILSKGYTLSVMFSALPWMDL LNQFQFSFQVYVMLFSIIGAGSVVQGYFIYLINRLFTKMRHPPPFRLVQFIKATAPQP TMGMVYVTLPTAACSMLLYTWWNVFKSSHPVVNPNLFSFEHISGDWLYISALDVAHIK LFKAGRLGTSVVALGVYMLLLGAKLMLPDHMDPQKEDNIMNQNALQMEPTDPFALRDI PSAGNDKEEDEDGYWNPLLWKRMNLLLVTAITVLTQLFIWEFSYSSLFTTNCYQFLVV YKILEHLYDSFFEAFLGDAFLTQPVAVVVAVTEGLITMAAPDFFGFVMSFIIMQSIMI IERLFFNPFLAYCTAMMPKWKRQLHRMFRKKRRRTRDQKAAEEAEWRKICQDIEEKAT GIEAIIDAYNNYAGETASLFLNPLVMAFIYVFARETQIPALYSILETDLSYYILFSMV TLPFATVLDVVLWNTQELIHGWKAFEYATYQRHRFSIRKERWQMNMKVKDKSLEEEFQ TVDVLCFSSQYYFLTFLYASGVLFVMFGASIWIRKQYNPLGDRLFVVIVVMVFAMGVA VTNVSFYIGNKLRIWVPRALRGTIDDDIAAKLALGAGRQEDLELERMEMQALNSERFR HRFLEKNRPWILQHMVELFTPRTLQLPGPLNDGKPAVEYVRDIYNELMNMGEGRRLKG DRSDISSDDEDELFKQRRNWSNVPVEGTTKDLALFWLAKARKRRLFGKFIGGILLGKK EDTCKVCQKQEAGGYVMSVDIATPDGLEQDKLGLDRLIRGFEGQYGDTEADADLWKAY FRQHATFITLCNVCTSALEQKRLARLVQPVGKQTKTRADDLSSDEEDGGQDMVFEAMV VSRTSVEGRAMSKWLQAARKRLGGVFPRENARVEMEAYAERMRAKKARKTKKKRPDSD DDDPSVHWKVNLTEASRALLLRWVWQAREDQYKVFREKGMKLRATVAAVAAKMHEVDD WFFSKEMRVEGVTLKSTAETLTEDQLALEDDIDVKKRAMVQELDVYVNEKRAAMTKES DLFNNMVESERAALKTKVTARETELLEEKKRKEVEFLEIQKQAKADNGGRVPPMLLQE HRAYLVKMDDDRRKERDDAEVLAGEKENQKQDAFNRKLALSEAGIINRQALTAHRLLA LRKDMMNTLRMQEKSWQNKASGWLEKATRKVAVKEQEDAENALAMKKRKKV H257_15484 MIWRIIGGAVLAFVRTGSCDVTPWKPFTALRPGHLLTYFPFDND MRDASPQGTTNQTSVNINVTLSQHSIPSGVKGVSAYFNGESYVEVQVNINSNVHPEVT MGAWVFIPEYHDNHAPQSNPTAVYDASSFVLTHTSKGSFERSVGIDYRGKGWCAFTGG SSTVNDSNRNDGILGGMPVKTGVWSFVAVSYSRESVLLYVDGDRTTTSKNSLRVGDSV LRIGSGGYPGSSFYGFMNDVFVYNAALTSGELDFLRTTMAPTLPPAVGSAGYALLFPS SSSIQFVAPLPIDADLTTAVTLAMYLSVDFSHDPRVCLGALTSATTTHTIYLDDRSNG LFSVEVVQSNGHVQSSTWTTGDVDLPTQNTWAHLALVWTAGTLQLYLDGQLKGATDYP TPLILPQAIGQLCLGACDDGRTSYYGLLDDISLWNIATFDFVHRNHPLQGDEDGLIGY WDMNYESDQLPTLAIESKAMATSTKLTAAIRILAYSDQDTTGHTFLAPSFAPIGDHVQ AKMNLPTLVLLNVSYVGLPLRPPTTITKLPERGTLFASCWPTHSFDMTTAITAVPYTL PCPRVYFLTDPDDAGVNYATLEYTVQGSHVPRLVVFDVAVELIQPHFSMQDQVVQRLG GYRFEDVDTAEYLGTVDTTLVITSSQDHVQVTLPPSDVASQITTTSMFSQTVHLLGAP ADVTRVCGQVDVQTPSAHSISTQFSIRVDDTGPANDPLAMYSQTLGVQLINTLGSIPM LDVVEPTVAYVQGSQVVTITAHQLHPRMTCRFGNVTTPATIISPSQIQCRIPPCPMGS GGGVVALSVLFFGQFESRPVPFAYVPPIQILSVFPTVVSAMGGTVVTLSTTTSLDDGF QSIFCVFSDPPLFHVVVPALTVTLSQLTCRVPILPSSVVMLGVSPNNGIDVTTAPTPL AVVAPPKAIWLSPSSGPVGASKWVHVHGLYMSAQSYCVVDSKRTTSRFDSPTGLWCQM PPSLVARTVQVSAAIDATPVELPALSYEYQDGILLQSISPASGPVRGGTVLDVFGSHF RNSPALQCRFALLTLVPATFVSPSAIQCIVPPLSDASTAVAVDVTSNGVDFSASQLMF RPYDEVHVTRTYPSLGPRSGGTLVTVFGDHFTPSTLMSCMFGENVTLSTPANVISSTI VQCMSPNVVTVPRTSSTTFRLNVNGQDMVVNPLVTFTYHDPIQVTQLSVTSGSVLGGT TVRVTGLFPMSLVSLVRCKFGTISVSATALSSTELTCVTPSQPTAQVVSVAVALNGIQ FETTRFEFDYFTAPSISMVEPRWVPQATTSSVLVFGSFPPPSAQINVTCRVVGRSQSS MAAMAQWVNSTVLLCGPVLWSTVGDAAVELLWNQDMVRTGASAVYVHPPVVVTRISPS VIWIGATGSVDIVVVEATNVIQLDTLVCIWNRTIPTRALYMSSSQIKCPTPSILPVGD VSLAVSLNGGRDVTAAPTLLSVRRVPIVLSMHPVQGPSGTIVSFSLAEPVSAAAEMPV QCRFGTANALHQVQLANATCLQCTVPLGMADASVVDVVLVMSPVSQILTSFQFAVTNP VSVLQVFPLAIGLHDNSTWIQVYVDTLLTSTTAVRCKFGSIATVQGLPTSSQGFRCLA PLQPQETSSPLLISVANRPLQDTGVVLSFQKQSVVDMHPLVGPISGNTTLTFYGSAFP PGPYQCLFDSHIFVMATLTPSPLSAVRIQCATPTTTVPHNATVSLTGINGQVLDSFSF QYVAPPSATALIPSVVQAYSPRQSLQVVGLDFPPTLVCAFQVRDQWVLARTSKSNSTH ATCTFPSLTASSTLYLSTNGIDFTPSQVALTVVPPVTITSMDPKVATVSGPLVNITVV GTGLQHVQQCHIGADVVVVPRILSNTSLVCPLPRDHVPAQSLGLMSLELSVNGVDVTS SGLQFRWVPNFTLTSYAPNYGPEDGGTILTIVGGWSNWPTDVAIYCHFGPSMVSRGTL AGDHTLHCTTPRSMPNQSRNIGVSVDRQTVQLVRPPFIVYPSLAVTRVVPSFGSVNGN TSVLIQGTNFQNTSLLACKIGDDVVPARFVSATSVQLTTPRRLESAGGADFYRVPVSC SLNGQDYSTYVGSFEYQPVVALQSVFPKRGFANPPTNLLLKGTFYATTSGAAATCRFN QSQRTNATVTSPSTVECPTPSQIPPGIVSVDVSLNGVDFTSSGVQFTLDAMPTFSQVY PASGPESGGSTVLVSGAHFPNAVLLTCMFDNVTSTTSAATWVDPSTILCVTPPHAPGP SVLRISFNGRDFSTSSQTFRYTSHATVTEIYPTRGPATGGTLVTVYGTGFIDSPDFTC LFGANAAPPRQVVDSTTAVCVAPAAVTPLTQVVVSVSNSRLDGVGASGGAVFHFHKPV FVAHIQPKFGPVTGQTLIQVTGMEFIPQSIYMCRFLWETSIDLNATSIRHVPAMYVNS THLTCRSPSVAATTRSTWMLVENGLALSLWPIEYVFHEQVDLVQITPSTGSILGGTLV TILGNHFLSSLDAMCDFGMGRMTRASVLSVSKVQCTTPRHSPGTSAVRVCLNGLQCSL PFVVFEFHPIPVWTSLQPTTIPATGATNLTLCFQPSSHMFKFTHCLFGQRQSAVAYVN ATCVNCWTPALPEGVTVDIGVSVNRIDALPTSMHVLPTKPLDVSGVVPTAGPTTGHTR LVISGRFNTSMAYVCRVGTSPAVPALAVSGDSVHCYTPPSPATGNVPVAVSDNGVDFT SSHFPFRYYAGIQLTSIWPPQGAETGGYFVTLSGMNFEMAAVCRFGMAPPTPVQWESS TRVLCRVPPRSPGTIGVGISNNHVDFTFGSFLFYALPRVYSLNPAVTLYGVGGPSKIT VHGDLFQNISTLKCLFDTTVTLLTYIDAKTVVCTVPALSPEAAGLVRLSLIDLATHVQ VDSPVAMTVLEVPIVESVEPTVLRRQSTLSSVLISGHHLYPSLTCGDDRGSTSPVEFL SSSQVRCSVQLNATTLRIVDPALNYTVVRRALTWFDDVRIAEIVPRHGPSTGNTLVMV TLATPLPRMLTSLSCRFGTDSVAAQVVNRTTIRCASPAKPSSTRTAQLSVSLNQLDFS QGSVPFEYYDNAQMVGLEPLYIPSNAVNASIVLRGVNIPLGLNQSRPVCFFGPTLTSP AVVESSEMVVCRLPAELAIKQGTVSVAFAPNGQNPVAPDLTLTIFVHPQVLQITPLRG TVQGGTTVRIDFDAVVDSFPTIQCRLATATSPASVVGPSAVECRVPTSTSSPGLIVDV FVALNGQDFVFTRSFQYVDIPYITSVSPPVGTELGGSTVSIALPLATTLWQSSLYLKF GNSEPVVAALNAFGIATCVVPAHAPGPVTLLVSVNGVDYLPANHTFTYLMAQTSTGLV PALGPVTGGTVVSIFGTNFASCVDMVCRFGPHLVAPAVFVSAQQLQCTTPSTVAPSDH LVVSVWCGLLNRIVTLDQSFSFYTPPQLVSLTPRLAPTTGGTKLSITTSQPVSVIRPS SVSCRFDSVVSTSAVVIDATTLSCVVPPLPLRDQVVVEVSFNGQNFHRLPYPLKLYPS IQVQSVEPSTIFWNTSTTVLVSGRGMLNTPALSCRLGANAITAGRYVSSTKVACPVQS IGSASTLFLAVSNNGVDFAEPTLALYFHPQPTLTGINPAYGPVAGRTLVEIQGLNFVT SGLTVQCVFGNSTVAAAVSKSSTSVLCLSPAAPAPQIALVGLQFGITLTTESIRFEYI PTASVVSLSPVKVPLGVPTRVNLVGVHLQGPLQCEFFQTDSTTAVHAVVVSAVISSST SIHCTATLFTPGVYSVHVGAMGQPSARSDKGGLLITVHPTPVVQRVSPLVSVELGGGN VVVTGFNFSAFDAMSCLFGAQPVVATFQSSTQLKCVVPASLARRPLTLSISINHVILY KTPFSIIPTMILDAVDTQLLLSVGNPVQVILTGRHLVPNVTCVVMTSTNTLEEETLAL FYSSESIGCIVQAQVPGQRFLTLKLYDAIATTSPTVALEFVAVPAIVAISPHSSDIRG GSSIAVTGRGFHDGASLSCHFGLVQSRAVFLTPTRIVCMTPRVSVPSQVLLTVSNVGG NVSSGPVAFSFESPVIALSIHPSFGSVLGGVIVRVLVAETALDDRSSSVRCWFGSVSS IATRVQSNVFQCIAPSTVRPAKVLFHLRSEQQSVVHPPIYFTYVEPVQVVNVTPASGP VEGATAVRVLLVAPVLMPASDLSIQCAFGNQPVPGLLVSPTAIQCVTPPSVSQQSNVS VAVTVNGQEFSLSSESATTFEYQKTLQVDSVVPTLGSPGTSIRVLGTNLKSPSICHFG DARPSTPARFVSSNEVVCELPTMVSFTTTTTVAVDVVLTLEYATGVYSNSKLFKVFPP LSLTSLSPSRVFESGGARIMLQGLGFLDVPQLGCLFGRSAVIVPALWVSKYLVECVVP PLEPGNVSVAVTQNGIDRYIVPMSLSVSPALTISSISPIHALVSYPTTITVLGTGFEP SVRCRFGDVIVVPTEYTNRNTVTCAAPPSQTSVPFQVTNNGLDFAGDRLWVSHDVQVD ISDVSPSSGPVNTTTTRLWIRGTFTNMDQLHCQLGDMTTLAHIANTTHVECLVPSVTT SQVVPITLLALGMSIPSTWTFSYYAPPAVESMEPSTLFRPSTAVTVRGLNFLPGAVCR FGAVITGASVYHSSKVIECPSPQVHARGYVVVEVSNNGVDFTSQGLTVRFESQLSVVA ISPPYAIHTGGSSLMVIGTGFPAFLYCRFGTTYQVGTVLNTTHCMCTTPPLPPNQVVV LELSTGDEGTTNQVTFWSMLPPNPIRVDPSHGSTVGNTLLTVHGTAFSIDSMECCFNR TTCVPAAVLSDTLLTCVTPPFNTTTNGPVLITLRAPDSQVASLRSVEYFVHIPLTLAR FVPTRVPEQGHTVVTVSGAHFSPTLDLFCVFGTEFVAATFVNFDTIECVSPPHAPGSV SLGVSANGKDIVSFDAVLEYHQSGSVTAITPTTGPVDGNSVVVAVVSSNNLLSSAVFC KFGSVEVRAAAINASAVSCLSPRARTCGAVSFSLVQRAKNSVSVEFVSAMPYTYEDPP TLVSMFPNTGSTAAPTVVTLTGSGFHAHTTFIRFGNIVAACMEATNTSTCTVQLPRHG IETTQGDGGGVVTVDATNNNQDFSTPLLFLYTPRVAVMAVAPSVTHGPTLVTVSGVHF VDTIPNALRCRIGGHVVVPATFVSATSVQCLIPTLSGGNYNVEVSVNGQDFTNDSVQI LVKDPVEIYTVQPPFGSTQGRTVVTMTTNSVLDTSVDLFCAFGDGLVSTLTVLNASTA SCVAPPTAAVGTVPLSVVQSSVELTTVMPPTSFTSISSITHSYTYIRPVEATSLFPSF GFQQGGTVVSMAGDGFVNTAQASCVFGNTSVPAVVLSSDLLRCVAPPFHHSPEEVVEV MVTMNGVDITRTNLWFRYVRDVALSSITPSKSTLAGGSLVDIRGAGFDHLSNLTCVFG ANRHVSATVISRQSLSCVVPPVSAEGTVVVRVAMNMHDVSVDGLRFDYQIPALLYSIA PTVGPHIGHTKVLVTGEGFTPGLQCAFGAILVNSTFLTSRTLSCVAPPLSIEVDVVEF SLQDPHVVSSQTTSLPYYVVVLPDIVSVQPSSGPVTGNTTVVLTMDGSWGFQADVFCQ FCASVVRGEFVESTRVVCRPGAQPTAVECPVSISPNGIDFTDPTWTFSFYDLPSVKTL VPARGQSGTRITVRGSNFSNVPNLQCKVGTTVVRAAYVSQDELTCVAPPISSNNNYTT LQDIQLISITGPIPQPTIQTITTTAAPAVSEVQVVTTSGWANRAQVQTIAPILSPVQS NVVQITTSSNYQPEIQWLQFKLNPQLPEVQAITSTGTTGGSFTVQLFGVGAVIGYQDA AATVQVTLQTAAVGHSFSVTRSAVANGGYTWLITFTSDVGALPMIQVTSTGSLLGTSP AVSVLRNRAGTVAETQTISVYGTVFSSGPAISMDVSYAGVTVVQGIALPVTASALATA LNAVGRLGSVSVARRNSAYVAFSGAASPLELFQYDITFLSKSTLGSLLQVRLQSYVGL CAVARSVVGTTPAPAGTFTLSLGSSTTAPLAWDTSAYNLKLALQSLPGVDVAMVSDLL PAVSTNVRTLKLVFSPLTGNMAPLVVQFNSATNTGIYGDKSSMLSTGLTATVLAVQDG AYLGGMFKLTHPITGASLTAVTNIPCGTLTSLVGATSCLIEGPGISGEYRWTLSFASI VVPITVSSVGMTGAAPLVQLTKFTPQATAKVQTISISNTHVSTIQRLRVSGVGAVWEV QSITMMAQGGTLQGVFSIRFGAVNSGNLTANASAVDVQTELARLAQSVAVTRQSVVSG SSTGFTWLVTFYQSGDIPDLVVTSTNLVGTGLSVQVLEVVKGIPCEVQRVVLSIPDRT SVTGTFRLGLMGATTSALSYVATAAQVQLALQTALNVAVNVSLTRPNSQNGSTWTISF PMHAGNINAMTVDTSALVSTPTSTPATIKVLEVQPGMTVPMLGSFAVTFQGQTAIIPL TTTVAALSTSLNGIVSGGVTVTSTVVDKTGGGVWDITFTALGVQPLLAVDLALVRGGT SPTASVATVRSGTQYDLQVVSTASVTSGTFFLAYGSLETPNLAFNALDSDVQSAVNGL LPVGSSVVVTRVLTGTNTYNWTITFQALNSVVLVAGGVNLVGQVTVSRLPPSPLTPVQ GGFTLTSSQGQSVYVPATASDVDMKSIVQSFPAIGTVSVARSGDASVNAFVWAITYLE NAGPQNNLTVASFNLTSSGQVGVSIAVTQEGTAACCLGGSFRLASGATTIRLPGTVAV EQKWPIATTSQDLTAVVRRGEVVVINGNVFTVDMFLPFDATRLPLSAVYPGVNDPIAV GYTQPTTPWLDVTTTSPSTMLRALTNLPNMKNVLVSRSTKMINNGYSWSVTFAGDTSD ATLLRVTSALTLGSIASTVTTPRAQGEIRMIYVSAMSTISGTFKMNLGGVDSPPLAWN STATDMKAALEMLYTSVNVTRSPLFATSYNYLGDGYGWFVTFASDTGTARTLTFIPMT LTTASSTAAVVNWILVTPGTSTPLSGTFQLSYLGYSTTSLAFNEGASSVQSALNLLPS VGNVAVTRSVADPNMGYSWSITFLPNPAKANVQQRGDFQPLGYMSALSGTAASISITE FMKGSFLDGSFALISGSQVTSPIAYNAAADVVQNALQTSFDWATSVAVTRTSVNAAGG YTYALTFPAGLGVVELLGVDTTLLLGTQAAVTVQTTQPGVNPVSGVFTLDFNGAVTSP LAFNATSVQLQTALETLPTIANVDVTQTQSSGGNGNSWQVTFLSTSGAPLNVGDLPLL GLNPVRLHGTQIVANVTKVQSAVTALSVSVNGQDWTSDNVGFRYDSDMLVQQVSPALG PVTGGTRVVLRGFRFVNMTMWCLFGNATTGVEYISATSVVCSSPPQGSSTSVFVKLLS ASSASLMETMSDSVAVFHYYPTISFTTMTPAFGSSSMATHVRIEGAYFVNTSRLTCRY TTYIPSLATHVHQSVLATFVSSTEIGCIVPSLKSSFPKSGSTWANHVAASSTAVEVSN NGQDYSVHPTNFTTLPDFQASALAPTTGPLGGGTVSTITLSPVRCDTNLVACRFGNHV PTPATTCTRDGTVTCVVPRHRPEPSIYQLKVNSSALVGEVQTVTVAATNIALLSGSFQ LRYQGLTTDPVAFNAAASTVQSAINSAMSPLVVVTAATRSTLSNGYTWTLTFSLESGN IGVLQSDMFALEGPGSAVTVATVQDGPTGTVVKEVQQIKFTQPPLVNEVQIVNVSWAP IVLEVQRISLTAAVVMTGSFTLSYNSLSTSSLPFNAEAVAVQSALQGVVGVGSVNVTR THALNTRGFVWDVTFLTSSAGPRPDLTVNKANIVPSASVTLTQSKLIAGTSPLSGTFV LSYLGFPTTSLAVDISAAALQTQLATILPPIVAVTKSFAATCTVWRITFGLTTAAPSL VVANGSGLSGSGASVTTRQDVPGGVLMGGTFKVAYNSVSTAAIPLSATALATALAPIA PPFTISSVSTTFSIEFNITFAATAGNVPLLVVDASTVTGTTVTTNVTTVQSGSYAPLG GSFQLTWNKTQSSGPIAFNAANSTIAAALQLLPGVGTVTVGPRLSLVSGYQWQVTFMD SWKMANASNLRNLELQGGLLTGTNATMTWTPIVDSLGVKVPLFITTNGQAFVDTSLMF TYHDEIVLDSMDPLNGPVDGSTTVTITLAPQSMTLSLNQSVYCRFGVSIVTGSVLNAT RLECISPEVSDAGDVSVEISVNGMDFSSTGLMFQYRWQLQLVSVSPLRGPIAGGTLLS VAMAAAVSPLDVFACIIGGQVVPAEQVNASHVFCRTPPLAVGSAVRRVAVEITYNHQI YSTSDLQFTYTDPLYLTGVTPAWGPATGGTTVKVRGGDFDLAQSAWCRFGEKVVDGLV DSPEYIACQTPQFDPVGQVQRITTTASGYVPDVQDVVVSAAPDQPLVQVVTTSGATPL IETQVLSISGQNVPEIQVVQPTVDTLSSEIRTISTTVAATVTEVKTIQVDATPIHEVQ QITVTVNTAALTPSQFEVQALWMPISPTETGSFTLEFQGAKTPAILYNSPLAQLQAAL EALDTVGTLRITTSIQTGYNVWAITFLQNTGLLPLLVVDSSSLVVVVPALVSVQSVQV TSTAALGGSFAVSYNGQSTVDIPVWSSATDVQAAIQALPTVQNPVLVTRTDLDCNGGA SWIVTFVSTSAANGNIPTMTMQGAKVTGTLSQSSVSPVTDSNAVGGSFKLSFQGTLSP TLYLTTTETAMAAAVQTLTQVPVASVTRRGPTAVNGYSWQVTFGGAPSLIPDILGVSF LTGIGATVRATTTRVAQVLEIQQLTLAASSFIGGSFRLQVGSQQTLPLPSDASATAVQ NALNALVNFGTFAVSKSAVIDVYGSCSWQITFLTLAGNQNMIQVLPTTLAGKPSLFDS GSRDVRLVMTELQAGVGGPLAGTFRLSVDGSVLSDPISYDATASEVQRVLQAIEPVQV STSGVFGLNNIMTWSVTFTTPKPTGRILTAVTTGLTPGSASVVFGTTRPGVVQEIRRI TTTLTSGAFVCTFASKTSGSIPFNANSTVFGTALSAIAELGTLDVSGTNPWLVTFTQL SAPIPVLSCGPTQGVTTVQTSTSSALSGTFKLGFNNVWTTALAPNASALTVQNAINAV MGADSVTVSGASVVLNNGQRWDVTFTNKPGTWPLLTIDKTLLVGTNAAVQVTRKVTGN QVVGTFQVAVNGRTTVPLTPQATAGDLMAALQSALSCRACTSVVRSPPLSAGGYAWTV QFRLYDAFLQTFSTQLDPSTNPISVVQSTLNCTGLSVQVTPTITGSSPISGSFVLRYR DQPTVPIPWDATPETVLLAVQHIKSIPKGLFQVTRSGPFVTGGMQWRITFPFNIPYPS EVFKPVSFLAGSNPGIAVTVGTPQTIPVQGTFALQFQSQVTSPIAFNANASDMATALQ RLSSLSGGVTVTTLHSPWLNTFKWQVSFTSLANAGPMALLSSPIFQVNGSTPHITFVK VVNGTSNALQRVTISAAAATPTGTFTLSYLGVASPVVLSASCSALEFASALNALSAVG KTTVERTVALNGQIGFTWYILFTDSYVDKVAIALNTGQLLPTTGLVVSVALVPSKTTP ISGSFQVQYGQTCIDGIDTSSCVPAVTSTLPYNVDETTLQHELSMLPGLSSVQVSRSV AADYLRGYTWLVSFPDTLGNLALLRTSSALTGSNAKVEVSVERAGVTFDESKVAVAVS QNGQDYTTMSTVVYQYVQTILVYSTFPNHGPVFGGTEVVVYGDYFTNSSTLYCRFGTT VVSAATYFNSTTLTCIAPSVQSPRQVFIDVSTNSKRSSYSNTTTAAFTYDAPVSLKSV TPTLGPATGNFSVEIYGGPFPRTDELRCRFGSVVVQALWVQTDAIQCTAPTQLQPGTV PLEVSVNNQDYTSSRHPFYFYPCPTLRRIFPVFGPAFAAGSRVDVFGTGFVNSSGLVC RFGEHVLPASFRSPFQLTCLTPPLDPYSGGLQPLPLSEQRHAYPDPSTGTRLLFPTAR HFPLVQGRLVSVEISNNHQDFTFTGINYLYYQDATVSAIKPTQLYAAANVGLFVQGLN FINSTLLACRVGVSTVPGVFVTSWLVLCPMQTTHIKASLPSTSTGHLPTDDILPTFAS PQLLFVEVANNGIDFSSNRVMLEYLGQCPTGYYCPPVSQGSRLACPRGSYCPGQGNAN YTLCPRGTYQPLPSQSECLRCPIGYHCPHIGLHVPRICPAGFVCDVTGIETADQPCPV GHFCLEGTATTATTCGHRLASRKLGVTYSHAERGSTVRKGRDGVASQPILGARQTACW DNSTQDFGLQLSSSPSRFWLELQQMPLSSSTLDFEPIRGRYCMDDACLAVSPSLDVMD NIIMDYHGLFSLRRPVPCPRGMYCHAGTAGNSSVLKNFTSPQPCFESMYCPEGSDSPS GQGDCPGGFYCPFGIKIPCPAGTYCPTPGSYDPLGCPPGTFNAMVGQTQCTPCPEGYI CPGFNRIQPVLCPPGYVCSKPELATPNLRCPPGYYCYAGRLTSDPFRNDTTLRPYPCK PGTFCLGGVISDLVVTGNYDYAQNCTAGFYCELASFSPKGIGMCPPGFYCPAGTAVPI PTPKGSFAARNGTVQAALCSPSFYAPTIETVECYPCPPGTTCPDDGTAVATICPPGTY RSTFEADGITCVPCPQGTWSKNWGLREVGECILCPPGTVCATDGMTNPCSQSDLPLPY VPTNLNESVPECLARGSQFYFGVLLEPWIDDLGVGPHFLPHISGQCYYNPQPMGSPLY LRFTEYFGPLFDIATGAPHQGYGDVSQLPVPGYFERGSQFVDLMHSTLYDLKQNCTRG FFFKDKWFPGTCEADVICYSDKTSQALICPEGYICNEATTDALALATPCAPGYVCGFG TTPDAYLQSPMGQFDMLCPRSFFCPEATGIGLMKRHACPANYFCPTGTVDPYMGAIAN DAERRQIPPADADPFRHVDHVAYLREGDIREFSLHDKRCLDGVDPELLNTFTTNESGG VVNAALESQLLCARDNKWRHVFNAIQRRECDCVHQVQVTLDLFALWQCNNTCTSVFAQ ASWRVAQSSTNGLRFAKYSTTVYSTFASLAADITRDMKPFLAPHSISKGQIYTAQAPD ELFDLYTGVQNILQYKERLLDWVNFNPSTNDILRLDMCECQRMFKCPNGTVSPVGSDN LFDCVKAGVVLRRRDLVPSGHARQVNGSDFTFLSGTGAPVSHIVLDPLEVAIVTINAT QLERNMTYDDHYQISIYKNCKPCPPLYQCNFYMDPVGCTYPFNDNSTGQTMYDSCMSM YHGDSAICDANALFCETRGRVRPDGSVVPVPGCCSCERLDMPVFFDANRPVLGFPDDK HGMIQFTISAVAQTELTIVVELLHGLYYNGFEATFVPTNVDVSIFTPSRARYTPEVPT TDSFFSVLVQDDFDAMALPLNLPMSKVRTPKEMTFQSHMERSVFIDRVSDILVGDPSF AGRHGLTRLSHAQAMLGATISNDSQLLWNDFLGLDLVPDGVGDVAFSDAWWLKAQPNG LTYLAMPYLPFFSACAGYDSHMSIAKLLESHPDCDFVEYNATHEVDELIWRKMTVPLA DECSVFNLNGIDLQCVFEENLAGGSDKPRWYEVDSGTPLFHLTKYPIPVHNFIGNDSV ASPIYWGQTSTFDNLIGTTDLITVSVGPDSNGYPLVVPQTVTLTIHYYQILKGYKIFV NAQIDFDDQCVISNVDADVLNAAANDIYPCEKNVVTGEILSKGYTLSVMFSALPWMDL LNQFQFSFQVYVMLFSIIGAGSVVQGYFIYLINRLFTKMRHPPPFRLVQFIKATAPQP TMGMVYVTLPTAACSMLLYTWWNVFKSSHPVVNPNLFSFEHISGDWLYISALDVAHIK LFKAGRLGTSVVALGVYMLLLGAKLMLPDHMDPQKEDNIMNQNALQMEPTDPFALRDI PSAGNDKEEDEDGYWNPLLWKRMNLLLVTAITVLTQLFIWEFSYSSLFTTNCYQFLVV YKILEHLYDSFFEAFLGDAFLTQPVAVVVAVTEGLITMAAPDFFGFVMSFIIMQSIMI IERLFFNPFLAYCTAMMPKWKRQLHRMFRKKRRRTRDQKAAEEAEWRKICQDIEEKAT GIEAIIDAYNNYAGETASLFLNPLVMAFIYVFARETQIPALYSILETDLSYYILFSMV TLPFATVLDVVLWNTQELIHGWKAFEYATYQRHRFSIRKERWQMNMKVKDKSLEEEFQ TVDVLCFSSQYYFLTFLYASGVLFVMFGASIWIRKQYNPLGDRLFVVIVVMVFAMGVA VTNVSFYIGNKLRIWVPRALRGTIDDDIAAKLALGAGRQEDLELERMEMQALNSERFR HRFLEKNRPWILQHMVELFTPRTLQLPGPLNDGKPAVEYVRDIYNELMNMGEGRRLKG DRSDISSDDEDELFKQRRNWSNVPVEGTTKDLALFWLAKARKRRLFGKFIGGILLGKK EDTCKVCQKQEAGGYVMSVDIATPDGLEQDKLGLDRLIRGFEGQYGDTEADADLWKAY FRQHATFITLCNVCTSALEQKRLARLVQPVGYDGSIDLEGSTAN H257_15485 MSDRFEILEETSHVPEKAAKEVEPNDDVHDLNELMDELSVETKD VKKASEAKEQGNEFFKAGRYLDAYDLYSKALRLCPLEDEYAYNKAVYFSNRAACLLHL GRPEESIEDCTMAITSSPKYVKAYMRRSQAYEKLDKMDEALQDVKAVLEIDATIPSAI DAEKRLSAVVAERQEKMKAEMLDKLKGFGNTILGKFGLSTDNFQMVQDPTTGSYNINF SQTKKP H257_15486 MNTHDDHDLLDDDSDSIKSTKYRLDQTGKNENARRRAVQKLGSE DIANEYSEKFNALSRSIKDPEFVVADEMIKDLLMKDFSQNEIRQLLHVGCGRIIRVEK EYKLGIKPTAEEVAAKEATRTAPWGGKKAKEKRARTTTSALEAQYSRLEVLNMKSLKS LHLMLRDRRTPHAQFKHFADRLMRILAEESLASCAMEYATVWTPTGAEYSGMVPTNNV CAVSIIRAGDALLDAVLHCVPSIAVGKILIQRNENTIEKSPILFYSKLPPRIAGYGRV LLVDPMLATGGSAKLAIQTLINAGVEEQNIVFANVVACPHGIHAVFEEFPHIKIITSA LDPDLNEYKYIVPGLGDYGDRYYNTLL H257_15487 MGLCCSGHGAVMSETAFVFEGNAYIGKGSHSKVFRAKHKASGDV VAIKMIVKDAARHEWQHEVSVMKMLDVHPNVIELKGTYETDEFVCVVMEYASGGELFQ LLIRDGAYSEEIARKFTRDTLLALEFLHNQGIVHGDLKPENLLVTSKHAQKARVKLAD FSMSAIISAKRLVDKDGLTWAYCAPEVLGAADDDAPYAANSKRDMWSVGVILYIMLSA MHPFDPNGRYSKEAIVAGILTFQMVNLETMGWGDVSADAKDLIRRLLCRDPTKRLSAK EALQHPWFAHESAPTLPLQCSLRGGLNVYVRSMHARFRTSVVVAIAARRFSSLHKKAD DTSTLIKPEDVVVTHQAVIRQETMEPIHEPNSSQNRETTPPPSPDSAATSAATTPVPP P H257_15487 MGLCCSGHGAVMSETAFVFEGNAYIGKGSHSKVFRAKHKASGDV VAIKMIVKDAARHEWQHEVSVMKMLDVHPNVIELKGTYETDEFVCVVMEYASGGELFQ LLIRDGAYSEEIARKFTRDTLLALEFLHNQGIVHGDLKPENLLVTSKHAQKARVKLAD FSMSAIISAKRLVDKDGLTWAYCAPEVLGAADDDAPYAANSKRDMWSVGVILYIMLSA MHPFDPNGRYSKEAIVAGILTFQMVNLETMGWGDVSADAKDLIRRLLCRDPTKRLSAK EALQHPWFAHESAPTLPLQCSLRGGLNVYVRSMHARFRVCPLLHANDLCDDAYSCKEV DECGGGDCREEV H257_15488 MGLFEMIPSLVDWAWTFVQPEYELLRLAKKGDHAAVAAYLLQHS TEQVQAAANYIDAAGRSPLVVVCREGHVECLHMMLQHPIFHAMFPAYADGNGNTLLHH ACFQGQAEVVRYLLQQSVAACRLNHRLQSPLDAARTRFHDEEFAPSRFLTCIDMLEQR CTIFEGWLYESTDNFASNILGVSSLQSWKRRYCVVLETALPSYVEMVFYGFTAQKGHP SEWTRSFTPTSLVLARIDDDIAFNSKQKIFNSKQFAFSLPCRKKAVAAPVTDVHGFNA LASMEFAAVDADGYDSWSHFFVVGAHRHNSPGRSLALRPPPHEAVALAAPSAPSMESI HFEEVVKPAVAPPPPSGDECVVCFDGPIEAVCVPCGHHVMCMDCANAVMGAGGECPVC RATLCQVIKLFRA H257_15488 MGLFEMIPSLVDWAWTFVQPEYELLRLAKKGDHAAVAAYLLQHS TEQVQAAANYIDAAGRSPLVVVCREGHVECLHMMLQHPIFHAMFPAYADGNGNTLLHH ACFQGQAEVVRYLLQQSVAACRLNHRLQSPLDAARTRFHDEEFAPSRFLTCIDMLEQR CTIFEGWLYESTDNFASNILGVSSLQSWKRRYCVVLETALPSYVEMVFYGFTAQKGHP SEWTRSFTPTSLVLARIDDDIAFNSKQKIFNSKQFAFSLPCRKKAVAAPVTDVHGFNA LASMEFAAVDADGYDSWSHFFVVGAVRCDHL H257_15489 MLKDNRSFLVPEDVSGDSYFGVVALGSKEFLLRVVNVRPQPSTD VMSLARAGLETDAELSELLRPYLAVVTRRLQKSLSIDSFLKELHDLLEGIVRGPSYAF VEPPKLSYYEALLQDVATIGWDRVLEVNTDDHGHWNGLHVQLVDSHGRKHAVSFRMDA SYPSSPPTCGVDVPEPMPPLQWSPLKPPSLQHAIDQVQAHLETFQDFWDVLDDIDKKC CVLEPDRPTRGCKRRRLALRPSVSIQFDVPDPVLPRALVDVVWFGNDAAVTPLRETLY ANLSKWKPADKLRRNLERVLGVRFPSPRTAAKNEFALECGICYAHRLDDRIPDRVCDS ANCARSFHGSCLLEWLQAIPTSRKSFGTVFGSCPYCREPISAKGV H257_15489 MLKDNRSFLVPEDVSGDSYFGVVALGSKEFLLRVVNVRPQPSTD VMSLARAGLETDAELSELLRPYLAVVTRRLQKSLSIDSFLKELHDLLEGIVRGPSYAF VEPPKLSYYEALLQDVATIGWDRVLEVNTDDHGHWNGLHVQLVDSHGRKHAVSFRMDA SYPSSPPTCGVDVPEPMPPLQWSPLKPPSLQHAIDQVFHLFLFFSFKYSNNNKQVQAH LETFQDFWDVLDDIDKKCCVLEPDRPTRGCKRRRLALRPSVSIQFDVPDPVLPRALVD VVWFGNDAAVTPLRETLYANLSKWKPADKLRRNLERVLGVRFPSPRTAAKNEFALECG ICYAHRLDDRIPDRVCDSANCARSFHGSCLLEWLQAIPTSRKSFGTVFGSCPYCREPI SAKGV H257_15491 MGKKYLIPVQEEHSLDPLCFMDIIGVRDNFDATQFYRKLTQLGV DGLVALCGHRGKAPTRGHRTIKLSTPLAQNRR H257_15490 MFALRMMRSTKVWPLSTGVMPLILQAELSTDALAARDPVGLVEG YNVMPFTHGDQLHHESMVGMSSKVFGVRRRPLPDGSQITGCPVKHSNHASFWKKEPGK GHGIACVIYSIREKFLAAVLQLARPKLRFPIEVLGEILP H257_15493 MQLIAMREWQHVYDEDQTLSVVKAGTACILRLVQLGLALPARRG GVALVFDDTVPGFRDLRHMTELDVACKYMVVNTHWQETHNGSHFTTVYHATFQRTAYT H257_15494 MYVQVFVKRTNGDDGGSRPGWHCPTVLAFKEELQDVTGVAPPLQ RVVVHGKFLQNDQTLRSYDVVAGQTLYIASYVATATTSRPPAPPSQPPWVFPVSSSFR SFATGNPSTAKCASVDPVAGGGKVWWIAPPTLEILQANPVETKWLVEFFKNVGSNAEI LQHDPDSLDAAAAHPALLRQAMTAIYTPATMRDMQSINHAGRASQTQERVAMALRQVD WASHNPVTWSRPIQLTTSGTSTQENGCFAQSVYLTLGGCRQLTDERGVEQRMMVAHDV GNDIVANEQLDQSSAATNDNAGVDAALLLLLQEEAAICHAWLRFQTAEAMMEDIKMLI MHEATARAALDDDYEVAQLLAMMPDQDAGLRRQRNRAKG H257_15495 REELTHLMGVQVVVKRSNGDKLTVEADLDGTVLAFKEVLESLTQ VPPSLQRLIYKGKVLKDENTLASYDVEADQTLYFVKGAVSKPTPTPAAAPAVAPTPPA AAPSQSSPFGILPTNPFASPFGGAMNPFGGAPAGGWGTPPPNVQSMMQNPEMMQQMMD SPMVQNMLSNPEIMRGMMQANPAMRTLLEQNPELNHVMNDPELLRRSMEAMRNPAAMR EMMRNQDTALRNIESHPEGFNALRRMYTEVQEPLLNATASNAGIGASNPAFVMPGAVG GSTATSPATTTTTSSTSNPWAAASSSSTSPNPWGTPPSFPSANPFIGGGFDPNMLNNP MVQGMMQQMAENPAMMRSMMEMNPQFQQLDPATRNMMLNPDVLRTMMNPANLHAMMQL QQAMQQSSSGGGTNTLFGAPTAATPGTPFFPGAPASTNPEDLYASQLSQLVDMGFTNR EQNLRALQATFGNVNAAVDRILSGLS H257_15496 MPEFKCHLRVHNPLGCHTAAATAAMLQRQRGNPKCIQWRDPSGE ASAPLRFHSIGWSLAESPPGWSTVLAPAMSHDHHMTFLHVGAFSGSWLQDQLPAIFDA LLTGRRGLLTLSYYNVVGNSGIRDHFSSLDAVSLPEECYIEPTSTPANKLHIPITTPL NWRPLVQLMQHSPVDPEDHLVLQVTFDGTATATICSVATMLHPSTGVCYPWYTGQLRP TSSPAPSSSLMSAVYPYIHRAAAVIVWTVVDCSHPPNVVRNALLATFKLKKWLKSTAS AACVSMNVSKCAEASAALSLANRRELEAQVKALAEEKAAADAATAQVTQKLLRVQAQC DQLQRTLSATQDELHKRNAKLAAMAADTSRRLVEQDIVQAAMIEENDLLARQVQNQVV RTDHVTNELWAQGSFKRKWLQAEATCRVLRKQLAVAKQLQ H257_15496 MPEFKCHLRVHNPLGCHTAAATAAMLQRQRGNPKCIQWRDPSGE ASAPLRFHSIGWSLAESPPGWSTVLAPAMSHDHHMTFLHVGAFSGSWLQDQLPAIFDA LLTGRRGLLTLSYYNVVGNSGIRDHFSSLDAVSLPEECYIEPTSTPANKLHIPITTPL NWRPLVQLMQHSPVDPEDHLVLQVTFDGTATATICSVATMLHPSTGVCYPWYTGQLRP TSSPAPSSSLMSAVYPYIHRAAAVIVWTVVDCSHPPNVVRNALLATFKLKKWLKSTAS AACVSMNVSKCAEASAALSLANRRELEAQVKALAEEKAAADAATAQVTQKLLRVQAQC DQLQRTLSATQDELHVSCTL H257_15497 MHRTPKNDKLAMWRQMDDPSYIHVYTPSSVKVWSASSMSRCGYV EVVGAFVDGGALMRLDLWMKPCARSECPMSSTLSFALRKHLRTSLPRKKVTRRSRSIA SRHLAWCSSVSAKAMVVSRSRSCGVSFDEYEDKAAVSSVLGERSIASDMANGRMSHHP C H257_15498 MGAECCDGHGHSHGGPAVAHVAGAPLTAEEEAEFKKVKKMADFL RGRKGMPVRQAIEMGKRVEFFRGDKLGKFLLNNAVAERYCPSPVTEKAHAIDMGKLLI HHGFIHRSNRDERNKKVLQPTQDTEFVADGYYTWMYDGPTTFRNFLTTLLIIGFTGLV CYPIWPQWIKVVVWYCSVTFLIFIFVFSLVRVFAFFILWLVGIEFWFLPNIYDDNLGV VDSFKPLYSLKSTDISERKYRAIAFVLFIVLCVWIAQQPTDFDEYMELTKQFTDDIYS GKLIDDMSQQQRDSIDKMKIPDLEDLMKDDATDIFSEKDEDAIFDNFLDSKYFSKDGD DVDEKDDL H257_15499 MEGFHASAADARLPYLHEQYPRLAVLYPFAFENTFMLTWEAQFC RSTMHLCVALCAAYCFSCYFGKQIMRSREAFDMTPVLALWNLSLSTFSACGALRTVPF LLHSIYHHGVYHSVCSDATAHYGNGPVGLWVSMFIFSKIPELFDTFFVVIRKKPLRFL HWYHHITVLLFCWHAYSVRSSSGLYFVAMNYTVHAVMYMYYFLTAVGYRPRWAYLVTT LQLSQMVVGVAVCAASVIYLSTSSSSSTTTCHANRTNLQYGIVMYASYFALFLHFFIQ RYSTTTTSKKSQ H257_15499 MLTWEAQFCRSTMHLCVALCAAYCFSCYFGKQIMRSREAFDMTP VLALWNLSLSTFSACGALRTVPFLLHSIYHHGVYHSVCSDATAHYGNGPVGLWVSMFI FSKIPELFDTFFVVIRKKPLRFLHWYHHITVLLFCWHAYSVRSSSGLYFVAMNYTVHA VMYMYYFLTAVGYRPRWAYLVTTLQLSQMVVGVAVCAASVIYLSTSSSSSTTTCHANR TNLQYGIVMYASYFALFLHFFIQRYSTTTTSKKSQ H257_15500 MANDAADALSVHLTTAHGVKVLASIATNDDHDDLSLQAEALRLL SEHAHDPTIASAWESSSILTYVLASPALNDADSDLHLVLWRCLAQCAETVTPLLPQLW SARRSILDVATSIQDAPLHSTSLAAHTLAALVASVAEHAPALLVPSASTGPFAGFGDL SDLGLAFVRQVKLWYVLTNEAALLSMLAHATTTVSDVKVTFQAKLPALVCREYVLYHE TFDLHYNAVAFLFNLVHVLWRDDVAAPESTTRHDQIFGHVVLRLCLSKHKIVWSEMRG VLEHIVTSSPDFAAANLVPQPHLRGAVAHLAAKSHDVAAWTTSLLGQVDTFETVHRIN VIQLPSLQIDLTLRDAVDVATTLKTTGNRWFRDGNYTAARSFYRVALSTLTVSEAFNA SRRPTAVKLTVGHPVKVQQGTAWLVGMVSDVNEDVVDVMFDNGTEADNVPIHKVHMLP VETSAIADLRLHLCMNSAKCLHALGCTQDAIECLTFALTVSSEHIPALYLRGVLSMAT HDTSQAKSDLQTAHQLVSKTKTHVEMDGNIRTAWSRLQLMVKHRKRADKRMIKEMVAY LNTINIE H257_15500 MANDAADALSVHLTTAHGVKVLASIATNDDHDDLSLQAEALRLL SEHAHDPTIASAWESSSILTYVLASPALNDADSDLHLVLWRCLAQCAETVTPLLPQLW SARRSILDVATSIQDAPLHSTSLAAHTLAALVASVAEHAPALLVPSASTGPFAGFGDL SDLGLAFVRQVKLWYVLTNEAALLSMLAHATTTVSDVKVTFQAKLPALVCREYVLYHE TFDLHYNAVAFLFNLVHVLWRDDVAAPESTTRHDQIFGHVVLRLCLSKHKIVWSEMRG VLEHIVTSSPDFAAANLVPQPHLRGAVAHLAAKSHDVAAWTTSLLGQVDTFETVHRIN VIQLPSLQIDLTLRDAVDVATTLKTTGNRWFRDGNYTAARSFYRVALSTLTVSEAFNA SRRPTAVKLTVGHPVKVQQGTAWLVGMVSDVNEDVVDVMFDNGTEADNVPIHKVHMLP VETSAIADLRLHLCMNSAKCLHALGCTQDAIECLTFALTVSSEHIPALYLR H257_15501 MPPLSYQSSSSSQQQQQHQIQCMKTSITGVSSPAGPGAHSPTQY TIRVADQRSNTTQWLVHKRYSDFRSFRSSLLDPSTTLCGSCVELADEAPVAHGFPKRK WIFSNTKRVIMERQEGLAVFLDRVNVSVRNCHHPDCMSRPLLEKFLMLADMRYTFIDM QLHDNTDESTAAPTRPAAITFIPPPSSLRHSFADTLGSRRSDVPDLLRHSFHGTQVRH RRHSVHVSSADRIKKFRELAPIKVAPKADKKRMSLETIEEVAE H257_15502 MATPRTRSTSVRSTPASRSHSPPPSETDWMDEENDVFFDYLSGS NANTTSSSDSSKVPSVAPPAAPGTPALPAVPSTTASESQSTSPTIVSLSTSTINAIEG VVLPLSTATITSLRSTTIAPIARADSLLPTFADTLRHSIPRSGDVVNANLMESRQESS EPQSYDGDHDDNHDMKTVVTMQANLDLAITTLGVLHVQLLAANGAVERLQVDVHRIQL SEARAVQDAESARQSIDELREQLRHATAPVAAIASQKHVSIATSTDEQNVCSIGGDQG IAQAVATANALSRVQADLERATATCNQWEARCKAAATGQDEARVEVEAMKAMFEEEKR VHLECQQHLQAANVECANSRLRAEAAEAQLDDLTERLSHVERDKSALQVQIQLLTSRQ LAVQHIVVHGVVEKQQRVKDAWQHTKRVVASECKKTKSLFAKWSNECMEICLRHNDRQ VDVAQKVATLGLWQQQLRTERSKTMGLQQQLWKATSKHERMTQQLRDELDETRDHLQV LMQVKVGLVADGQASREKISQADAAAAALRYETARLTKLNMTLHQQIQILQHTHARDM ERAMCNQHGPRAKRGLPSTSSVNQESEESPTPEDWCSFLDLVMVHHQEVRPLASAASS QEVSG H257_15502 MATPRTRSTSVRSTPASRSHSPPPSETDWMDEENDVFFDYLSGS NANTTSSSDSSKVPSVAPPAAPGTPALPAVPSTTASESQSTSPTIVSLSTSTINAIEG VVLPLSTATITSLRSTTIAPIARQADSLLPTFADTLRHSIPRSGDVVNANLMESRQES SEPQSYDGDHDDNHDMKTVVTMQANLDLAITTLGVLHVQLLAANGAVERLQVDVHRIQ LSEARAVQDAESARQSIDELREQLRHATAPVAAIASQKHVSIATSTDEQNVCSIGGDQ GIAQAVATANALSRVQADLERATATCNQWEARCKAAATGQDEARVEVEAMKAMFEEEK RVHLECQQHLQAANVECANSRLRAEAAEAQLDDLTERLSHVERDKSALQVQIQLLTSR QLAVQHIVVHGVVEKQQRVKDAWQHTKRVVASECKKTKSLFAKWSNECMEICLRHNDR QVDVAQKVATLGLWQQQLRTERSKTMGLQQQLWKATSKHERMTQQLRDELDETRDHLQ VLMQVKVGLVADGQASREKISQADAAAAALRYETARLTKLNMTLHQQIQILQHTHARD MERAMCNQHGPRAKRGLPSTSSVNQESEESPTPEDWCSFLDLVMVHHQEVRPLASAAS SQEVSG H257_15502 MATPRTRSTSVRSTPASRSHSPPPSETDWMDEENDVFFDYLSGS NANTTSSSDSSKVPSVAPPAAPGTPALPAVPSTTASESQSTSPTIVSLSTSTINAIEG VVLPLSTATITSLRSTTIAPIARADSLLPTFADTLRHSIPRSGDVVNANLMESRQESS EPQSYDGDHDDNHDMKTVVTMQANLDLAITTLGVLHVQLLAANGAVERLQVDVHRIQL SEARAVQDAESARQSIDELREQLRHATAPVAAIASQKHVSIATSTDEQNVCSIGGDQG IAQAVATANALSRVQADLERATATCNQWEARCKAAATGQDEARVEVEAMKAMFEEEKR VHLECQQHLQAANVECANSRLRAEAAEAQLDDLTERLSHVERDKSALQVQIQLLTSRQ LAVQHIVVHGVVEKQQRVKDAWQHTKRVVASECKKTKSLFAKWSNECMEICLRHNDRQ VDVAQKVATLGLWQQQLRTERSKTMGLQQQLWKATSKHERMTQQLRDELDETRDHLQV LMQVKVGLVADGQASREKISQADAAAAALRYETARLTKLNMTLHQQIQILQHTHARDM ERAMCNQHGPRAKRGLPSTSSVNQESEESPTPEGK H257_15503 MFYATWRPTFEEAAVNAGFFSLYANETYCPMRIETNVYIISQHL ATVKRLEQVFTRKCLDEYMAESLAALRVQAEAFLFNSLISIIQQDIGSYASPFSLWAA LLARHEKAGTRDLMILFASLTQFKYTDISAEILFTNPRRSDHLIETAFLPVNAEALTA HEGHLQFKVREDGSECTPIATKATIRNTLNIASRRNLILCDQGSGDSKSRRHQVASAT TYASPPTALASTAATPSAIVTTVDRLHALLLVDRLHIPRKEVVPPPPQAPSYIRLSSP FVTHPSCSFIASTTTSLSTTSIRHCWMEYVPNFLSFYRLSSALYIDTACETTTPLQSH LSIPNYQVINPAYPNRNDTSSNFL H257_15504 MQANLGAIAALDMTTTASLTPPVLEKRPQNVPFGKVPGENRGKQ PMEPIIYLEDPYYYVRDDTRTNTEILDHLRAENAYTKAALSHLDSLQDELYKELLSHV QETDQTAPYPHGDFVYYTRTEEGKAYGIHYRKPRHDDGAEKILLDVNKLAEGHAYCGV RSVEVSPDHKLLAYSVDFMAYQTYDIYIKDLTTNTINRAVEGCDGTIVWGRDAETLFY VTQDAAHRSHKVWSHLIGAPQSDNTSLFTEDDEMLFADLLKTSSGRFLVIHSSSEETS EVRVLDLHDPQPSLAVVAPRVQGVQYTVEHWQNELLISTNRDGDVNFKLMSVPLHIVL DKQKAAQNSQWTPVFSYDPTVKVESVICFESFFVLNGRQDSLTQRWICGRDSNNSTWH RTRLNMPETIYSLGTAKNVEYATTKFRYTYSSLTTPVQTVEYDFISNKTVILKETPVP YYDRSLYHSERVEATASDGTTIPMSVIYRKDKKKAEGNPQALHLYGYGSYEMSVEPNF EATILPFLDRGVVYVIAHIRGGGENGRTWYEAAKYLTKMTTFTDFIACAEHLVATKVT SPSHMTCEGSSAGGLLIGAVLNMRPDLFIATIATVPFVDVMNSMCDSTIPLTTMEWTD WGNPNELEYFEYMLQYSPYDNVQAQAYPNLLVTSGLFDSRVGYWEPTKWVAKLRDLKT DNNQVLLKMDLDGGHMSVSERYQLLKKKAVELSFLLDQLKCHEK H257_15505 MRVAFALSALAAKQSVVSLIADERLSLEQELAEWKAEFRDEQVD AELQRILDSKFSVEGAALTNPDATFDWRNHFALLSNDEFKKYVAVSFGRGSHLRGEII DTLEDETASLQATSKDWTTSGCVNAVQNQGSCGSCWSFSSVCHGSVHCIKTGTLLKLA EQQYAIHYAASGLCLSSAYPYTSGSTGQTGACKSCTKQALAIGSSPVSVTVEAGNNVW KNYKSGVVSVCPGSQSDHAVLAVGNDGSSFKVKNSWGGG H257_15506 MKIMGVKHFMTTAGRAQSDGATERQNRTLEDALCCQVSNLGHDW SEHLGTIEYAQALIQASTGLIPFEVDTGRKLLKMALENLDKAQARQKGYYDKKCSKLE FREEVPWPNGSVYQPTHTRKATPMLLDASGHEVFIVEELLKQRQFNSTSEYLVKWHGL PEYKATWELERDIKHVSHFKRLVKDLRAKIQAAKSITA H257_15507 MWPSVLGHLRRHAALPGSNLRAFSSVVYAGVATASADKLDIEWT DGLRSSVDLFHLRAWCTCPQCEHSTGQRLLNITDAPLAPRVSSVQVAPNNAGVHIEWA ASGPQTDQSPPHATYLSSAAIRKLTSDPTTAASLTPPTLASNAAVSSVDFAALSSDDG VLALCEHIHRDGLAIVRHVPSVPGTVRDVAERIAPISHTHLYGSVFDVVAEHNPVNIA YTSERLKLHLDLAYYESPPGLQLLHALRYDATVEGGNSTFRDTFDAAETLRRRHPDHF KLLTRVPATFQKIHIHRTTPAILEYHRPHIALNDQNEVTAMFWSPPFEGVPTRIPAEK LPAYYAAYRALDEIIQENVIEFKLKQGELVVFNQRRMLHGREAFESKSDGVRHLQGTY VNIDDFLCRFQSLRHQHDKANTVVVGHTLRVGNQSH H257_15507 MWPSVLGHLRRHAALPGSNLRAFSSVVYAGVATASADKLDIEWT DGLRSSVDLFHLRAWCTCPQCEHSTGQRLLNITDAPLAPRVSSVQVAPNNAGVHIEWA ASGPQTDQSPPHATYLSSAAIRKLTSDPTTAASLTPPTLASNAAVSSVDFAALSSDDG VLALCEHIHRDGLAIVRHVPSVPGTVRDVAERIAPISHTHLYGSVFDVVAEHNPVNIA YTSERLKLHLDLAYYESPPGLQLLHALRYDATVEGGNSTFRDTFDAAETLRRRHPDHF KLLTRVPATFQKIHIHRTTPAILEYHRPHIALNDQNEVTAMFWSPPFEGVPTRIPAEK LPAYYAAYRALDEIIQENVIEFKLKQGELVVFNQRR H257_15508 MDMAGNCTYPLELGPLQINYLEFHYCVMAGHPWISLGVLCMWLL LLFYLVGHTADTYFSPTLSMLCTQLAIPFDVAGVTLLAFGNGAPDVFSSLATSTSGTM ATGLNALLGGVMFVTTVVVGASLHASPTSCVKITPRPFCRDVLALLLTLLVLIIDLPS STTSRTSAYVLLGCYVVYVSIVIVPSWCSQRKAKASAAAAAMADDAQGVLFAFWHAPN LFPLRSKQHSHSYDFVTLSDPHSPPLKTTFATPWTAPPHLGVHPPPTYVDDTYFPPPL PVISSTELARPLLSPHHQHLTSPSSFTSTTLLCRVRYVLFQLVRDVSIPMVLVTTWSR RHAFLSLLVTPPFIAWVLTGDAFTPDVACLTLVLSMPVATAVYATTYSTTPPTAAWVR GLFYLLGFASCVCWIYGLASEVIAVLSAFGDITKLPPSVLGLTVLSWGNSVGDLSTNV AIARGGCAEMALAGCFGGPVFNLLVGLGVPLAVWGGGGGAAVFDVHGLVSVAALGLSL SLTLAVAVVTGFQCPKWYASVLYAVYATLKLSPSAATDTSPWTSKTAAPPPPPHTAKG TPSPTSKLNTGPPKHPARAISAHPPRAIATFVLSWGNSVGDLSTNVAIARGGCAEMAL AGCFGGPVFNLLVGLGVPLAVWGGGGGAAVFDVHGLVSVAALGLSLSLTLAVAVVTGF QCPKWYASVLYAVYATYTLLHGAILFGWVNLASITTDGY H257_15509 MLNSDVDSTSRDNHPVGLTKAQKKKNRKKAKKKGEGGSNVPSLG SIDCSVASSASPALPTYPIVRLDDQAGHISPTPAPVVPSPEAQRHIVHRLLEQCKAHG MTVGDRYYVVSFKWWESWCNYVRFNDSISSQRDGLNAAAASSSSFKPPSVDNSHLLEA TTPSYLVDVGTSGAVLLRPQLVEHVDFILQPQEVWDALASWYGGGPSICRFVVGVGGQ SKSFLNTLKRVELYPDGTDTEEEEEDSQSTHMEPRESATTFQRLPKPERCMVCHRPST HRCGHCKHCNYCSRACQVSHWKYHKPLCTRLKAAHSTVSAVEIGGRQGQAGLRNLGNT CFMNAALQCLSHTTALTVHFLTNAYQTDLNSDNVLGTGGKLATQYALLLKELWLGTAS SVSPGPLKRAIGTFAPQFSGYQQHDAQELLAFLLDGLHEDVNRITNKPYVEAIDSNGT EPDAAVAATAWQNHLLRNASVFVDTLHGQFKSTVVCPHCAKVSITFDPFNCVQLELPH AVTRPLEVIVLPQLTRAAVLAASDVSVLQPQTYGVHVHKRSHVKAIKVALVEAGCPYT KIVLCDVFHHLVYRILPDDDRTARIRPDDRVVAYPQPPPDATCVLFCYHRVYKKGAVP SLFGDPMMLHLDAQTTFDSFLEQVLVQLVPLMGWSAAETSRRLDDPALRLCLASHMYV TNQDGMNATPTTRSLAAFEGFSNTATVVSTLKLADAGYLGIDWEGDMRGLYKATEPYI LPHPSLESLKAKRASSGLSLAECFQKFTSAEQLDEDNLWYCSTCQKHRQATKTMQLYS LPEVLILSLKRFEYRNEVVRDKLDALVEFPLEGLDMAPFCLSTPSTGLIYDLYATTNH VGSMGFGHYTAFAQDQQTRLWYHFDDSSVTSAAASSVVSNTAYILFYKRRGTSSNTSS RPAPRL H257_15510 MMDSTSAYQISLEPDRDDARGYIIHSTESSPFLDYDTLLHHTSL SSSSDPSAVQPVDLKSPSVLHHDLFDAFDDVHSYSSSPCIIIMDVPLTSLDSPSPPYD AALQPSLAPSQQPLPSPTDHVRRLELVKPFNSITVFTPTKAPTVHAPTGWDDHLPTPR THASFHSDGSPVALTSHDIAASSMESDGPSDPIHHHTQLASDLLACLGRALHMTSIVT RTSTLSTTHPSHSFISVEWVLNQPEAPWPAACCLYGMTFELADKDHAITKIGIPLQSF VSSSTVSMVPLPPLQLPHARMPQRGSDSDMESDSNTESDSVVSWWTRVGLTPNAISND NNTSVVSNWVPLNLIPNDPYDPNVHLTTTQSTLTVHWNQWPGVLVWPYIQVKYQQVGL ADTKDRIEWTLAYKGAVSPKDSTVTVHHLLPNTWYEVEVDMHPSPRPDHAAGAPGILW MQNEPQLVPQQEWMAVTQRVCTATLAPTVTGFAGRWWVHVNDNDHNHDMTTELVVTDT STPFVSTRQHLKGGGTVVLLKDVQDYQTYLVQVHRTGLGAPTFVATSDVLHLATDEPV PIPQLRPHPTNAHVLQWHPPTSVLLRLSSHVCLRLEQQLASDGSWVQVAPESIANSET TCTYDTSTSTAVTTNAVTVYRLAAYTIEDLHCLAVGHTVYVVQHFPLAVQGMGRHVTL HWQFQLPLHLQHLNPHAFDMMVDTAAPCRILASDIVVGGGGGAYVVEWAFETSPRAHR IHVAPVFPDSDSSELIVYSQSLDVSYAPTWPSVDVSLGVVTHHNATCQLSIGPWASSS STLSQQAIQCQVDILDSHDEGWRMVWYEVLQQEHQLLQDEGCCCFHINLDNLPNHSVV HVRSRFRMHATHVWEDVATLQFVTACGDLAIAPTDVGGLTFQWREPTPTVVESYAIQC YNASSGVFETWYTTEHSPYTTPPLLKPFHLLLFRLQTHVDMQIPLDGRPHLALTNPNP PTVTTTLPHEMTLAYKPLNLLHLPEHTRRQFASDQPAHLSLETTRVTPSNDEASYSCV LAEFKVLGMACVSQLQSHTSYRSRLHCNFRSNDNITLTCASLWVTYTTAKAPPDPPPL VCVDELFLPYISTIPASVACGYVRVSWQAPNNNGEPIAGYAVEMAWTMDPPKPPHEWT WQGVYVGNATTYCPTSAQLQTMRRPLQTIVAFRVQAANSLGWSAFATSEGLVCVGLPE DTIPPGPERNHLCIRKPSASVVRYKNNTTMLPPLRHTAPTESPCESYMKLRELLQLPQ SMYQQERRKWYLETATRPAKGVLPTTTQSAQSLYQSTTNRHSSKGMQRATH H257_15511 MKAVSVLSVLATVVSVQAWWDNGHQLVGEVATQLLAKEDVATIQ SVLGTWNDDYPNTGEITTATIWADLIKCESVKSTYCPSPTKPAVRYMDDWHYVNLPTN VNGSDWHGLTSKDVDLLIKAILDGRGLYTLQQSLRTIAKSKSAWSANFVLRFFLHVFG DVHQPCHSTVGISDKFPDGDVGGNLYRFVQPCSASNLHAIWDNAAGTFTSNWHPTSLP GSPDRLALTANATALLQKYADKPDVLNYAQYAKLSYADFAKAVATDKVLEKTFLDTYD VARSVVYVGLDLTNWTESKVACPSAAYQATLVATVEARIVLAGKRMAVVLAQFAKQFR AQGLAP H257_15512 MKLTCIGSLVLLAAAAQSVHGWWDNGHMLVSEIATQSLAPSDVT TLNALLSKYDRDFPNTGTVTTASIWGDLIKCSSVVSTYCPSVTTPALNMLDEWHYVNL PVNVNGTDYKNLTSSDGTKLIKEAQGGQALDFLTKTFTTFGKTQSAHAANWALRMLLH VFGDIANPMHNVAGMTSLFPDGDFGGNKFLFKKPCVGSNLHAIWDSVGAKYGSVNWSP TFVPGSADYAALQANATALLSKYGTVPDKLDFGSVKDVDYPKFVTAMNSEPLVKIQRT FLESYDVARQVAYKNIDLNCTLDDKQKCINPCPSSDYVNALIASAEASITVQGKRLSV ILTQIAKQIRALNLLTPVTTPAPPPTNATAVPTTTRSNC H257_15513 MARYQRMASEKKRKRAETQRRYRLSREGRIAMTQIALVSRHTVA KVFSVFINCAFCK H257_15514 MARPSKAGKSAKWTDELDAEFVVLYAEAAAKSVYVASGGKQLKS KGLSDLIVRLVFGDGLVGVSEDTWGELDKHPRSMPLSRFRERPFLHYDAIAEIVGDAM AIGEYIRGIPTAGAEMAASGVFDMSEPDVLSFSAAQKRRKLINDSMKQKRIERDEESA ASLAIKQKNSDTLASMCATMQMITKILAAKNNLQHLLDNEIIFFELFVLGSKYFLVWS CGYE H257_15515 MEGRYGVVQQSQQKFIRPVHGYMDIPTFKKSSRTGATGSFEAPH AATLDVRLVMLVTLQWLASGVSVRSQEQLFQYHNHVTLAYYRQLDVRAIIKGLVDSGF YGSDRHEPDRVRSSCEAFRQEHQTFNKCLGALDGTHISIVVSAEMQDRFQNRKDQTST HVLGVVDELGRLMAVFAGGEGCSSNSFIYSQTEFEGSVPSGYFYLGDPGYRLSKVLLT PYRSQRYHLREWAANTDGRPKTTK H257_15516 MFNPLVTMVWLCVGYIVGRVDVAWYVRAFCREIYTLPPSHKGWV AGCLVVLIGVYVLLLVPLLAIASREAKSSGHNPAIVPTSFRSEIRRRGSYSMNYINDI KSHVLARS H257_15517 MSVTLYVKNEAYSVPLEFDVHESIAAVKASYQKSGKVQGRADYI VLLHKGKELQDEKSIAEHGISDGAVLVHIETLHEPFHGVNYAHLADAIKQRRLHEHSN LRMMQQDNIWAAGEDGTVHQLGFDRSIISRMSVKPSAPPPPKASGRTFFNQLSVLVNG CSYHVLPSMEGRWNGELTSIPPHEEGTQVCSSELAYREEGAWHLRQMRTSISGLTTTQ YCWIKPAADGILKVETDDPSLRGSDITMQEVGLNILIITATSKRTGRPVMVETITSID NARRLRTVQRFDETGGFRSVYIMNEKRVVDAVSGAMERFDAATMGF H257_15517 MSVTLYVKNEAYSVPLEFDVHESIAAVKASYQKSGKVQGRADYI VLLHKGKELQDEKSIAEHGISDGAVLVHIETLHEPFHGVNYAHLADAIKQRRLHEHSN LRMMQQDNIWAAGEDGTVHQLGFDRSIISRMSVKPSAPPPPKASGRTFFNQLSVLVNG CSYHVLPSMEGRWNGELTSIPPHEEGTQVCSSELAYREEGSFMSFVLKSLIVLLGAWH LRQMRTSISGLTTTQYCWIKPAADGILKVETDDPSLRGSDITMQEVGLNILIITATSK RTGRPVMVETITSIDNARRLRTVQRFDETGGFRSVYIMNEKRVVDAVSGAMERFDAAT MGF H257_15517 MSVTLYVKNEAYSVPLEFDVHESIAAVKASYQKSGKVQGRADYI VLLHKGKELQDEKSIAEHGISDGAVLVHIETLHEPFHGVNYAHLADAIKQRRLHEHSN LRMMQQDNIWAAGEDGTVHQLGFDRSIISRMSVKPSAPPPPKASGRTFFNQLSVLVNG CSYHVLPSMEGRWNGELTSIPPHEEGTQVCSSELAYREEGAWHLRQMRTSISGLTTTQ YCWIKPAADGILKVETDDPSLRGSDITMQEVGLNILIITATSKRTGRPVMVETITSID NARRLRTVQVLSQILR H257_15517 MSVTLYVKNEAYSVPLEFDVHESIAAVKASYQKSGKVQGRADYI VLLHKGKELQDEKSIAEHGISDGAVLVHIETLHEPFHGVNYAHLADAIKQRRLHEHSN LRMMQQDNIWAAGEDGTVHQLGFDRSIISRMSVKPSAPPPPKASGRTFFNQLSVLVNG CSYHVLPSMEGRWNGELTSIPPHEEGTQVCSSELAYREEGSFMSFVLKSLIVLLGAWH LRQMRTSISGLTTTQYCWIKPAADGILKVETDDPSLRGSDITMQEVGLNILIITATSK RTGRPVMVETITSIDNARRLRTVQVLSQILR H257_15517 MSVTLYVKNEAYSVPLEFDVHESIAAVKASYQKSGKVQGRADYI VLLHKGKELQDEKSIAEHGISDGAVLVHIETLHEPFHGVNYAHLADAIKQRRLHEHSN LRMMQQDNIWAAGEDGTVHQLGFDRSIISRMSVKPSAPPPPKASGRTFFNQLSVLVNG CSYHVLPSMEGRWNGELTSIPPHEEGTQVCSSELAYREEGAWHLRQMRTSISGLTTTQ YCWIKPAADGILKVETDDPSLRGSDITMQEVGLNILIITATSKRTGIFCNR H257_15517 MSVTLYVKNEAYSVPLEFDVHESIAAVKASYQKSGKVQGRADYI VLLHKGKELQDEKSIAEHGISDGAVLVHIETLHEPFHGVNYAHLADAIKQRRLHEHSN LRMMQQDNIWAAGEDGTVHQLGFDRSIISRMSVKPSAPPPPKASGRTFFNQLSVLVNG CSYHVLPSMEGRWNGELTSIPPHEEGTQVCSSELAYREEGAWHLRQMRTSISGLTTTQ YCWIKPAADGILKVETDDPSLRGSDITMQEVGLNILIITATSKRTGIFCNR H257_15517 MSVTLYVKNEAYSVPLEFDVHESIAAVKASYQKSGKVQGRADYI VLLHKGKELQDEKSIAEHGISDGAVLVHIETLHEPFHGVNYAHLADAIKQRRLHEHSN LRMMQQDNIWAAGEDGTVHQLGFDRSIISRMSVKPSAPPPPKASGRTFFNQLSVLVNG CSYHVLPSMEGRWNGELTSIPPHEEGTQVCSSELAYREEGSFMSFVLKSLIVLLGAWH LRQMRTSISGLTTTQYCWIKPAADGILKVETDDPSLRGSDITMQEVGLNILIITATSK RTGIFCNR H257_15517 MSVTLYVKNEAYSVPLEFDVHESIAAVKASYQKSGKVQGRADYI VLLHKGKELQDEKSIAEHGISDGAVLVHIETLHEPFHGVNYAHLADAIKQRRLHEHSN LRMMQQDNIWAAGEDGTVHQLGFDRSIISRMSVKPSAPPPPKASGRTFFNQLSVLVNG CSYHVLPSMEGRWNGELTSIPPHEEGTQVCSSELAYREEGSFMSFVLKSLIVLLGAWH LRQMRTSISGLTTTQYCWIKPAADGILKVETDDPSLRGSDITMQEVGLNILIITATSK RTGIFCNR H257_15518 MTTSSTWWAIPVTVASVVALAYALVQLTVEPESVQKKRHAMHVL SEVQNISDTVVAKLDILEVDVHHLLDSAKADSPNDVPLNSYYHFDSTGKKLKSKWDSY DVDAELDKVDDDTATSALRRPTSSPDQLRRKVGELEHEFEAILAYLDTVRGDEEVRIV RKQLVGAINDTYLVTLDRLKGQLA H257_15518 MTTSSTWWAIPVTVASVVALAYALVQLTVEPESVQKKRHAMHVL SEVQNISDTVVAKLDILEVDVHHLLDSAKADSPNDVPLNSYYHFDSTGKKLKSKWDSY DVDAELDKVDDDTATSALRRPTSSPDQLRRKVGELEHEFEAVRS H257_15518 MHVLSEVQNISDTVVAKLDILEVDVHHLLDSAKADSPNDVPLNS YYHFDSTGKKLKSKWDSYDVDAELDKVDDDTATSALRRPTSSPDQLRRKVGELEHEFE AILAYLDTVRGDEEVRIVRKQLVGAINDTYLVTLDRLKGQLA H257_15519 MIRDGKLLAPPIALEPLAPANQGSQLAEATPQTDLPQRMDAPLM MNTPARTPSMSRDDRVRQNVFSARAMARRKHALSNSVGLTSSSLNLDKPKETAVDAKR LKDFTMLAASSKRAGRTEQEALAYFSMGIVHDNLDEFGLAIDVYKKALALLHDSDNVP FRALVVSCIGVDYQLSSSSDVAYTGRFVDVDSADLQAALTYHQMHADLTVDDAGQFVA HTNLGLTLGSLGRFSDAAKHHQEALRLAIRLNSAYGQSLAVGNLGLLASRQGDISTAT ACMDQHLQLIQSVQDRSAEVNAWMQLGFLATTDGHHDNAVRYFDQAYRLAQDLNEIGM MKQASCYLGIARGCLHTHTFFSNVLQSIT H257_15520 MLGGEIATQSMATKDVETLHLLLRQWDRDFPNTGDVTTACIWAD LLKCNSVVTTYCPSSLTPSWSLYNAWHYVNLPVALPPSRLPNPVDPAALLASSLDGSA NHVLTGIFETLRTTHSPWTANHALRLFLHVFGDVHNPLHAAAGVSARFPRDDAGGNSF VFRKPCVTTNLHAMWDSLAGVYGSVNWSPDMTTDSADRRAINHSVAAAICRLGGSVES QQVKACVDGSAYLTQLFVESHDVAARDAYTGLNLTCDIASSVRTCQVACPSDAYQHQV VGTAEAQLVRGGTRLAVILTQFARQLRQLKLLETTMAGHNLTKK H257_15521 MTSIKALTLAVAASVALAQNATAVVATTIAPAVEAEATSFFSSP VVSAILTILALLYVFLGLAIVCDDYLVPSIETLCEKLKIPEEAAGASFLAFGSAAPEI LLNAVATAEGKIEAMESSLSAILGSAIIAFALIPALCTLVAPTSLMISWAPMIRDSMV YIASLGTLVYVMNDSQVGTWQSGLLILVYVGYMLIIFVPIWLRPVDPDRHDEFITPAE NHMIDHALAPHNPLLATSSSKGYGAVHAEEGAAEPAWLEPVRKVIDLLSRPFRILFAY TLPECGVDSPTRSYYPVTLVLSIVYVALLSAAALYSTRILTTALNLSTEVAGVTLLAL GSQIPDAIASVSLARAGHADAAVCNAIGSQVINVTLGSGLPWFLYTLFHGEIHIPYEQ ETLLFQLLAVIIFAYLVLNLRGVFCACFSKAKGFYVGLSPRDGIALLVLYVAVNVWLV IKL H257_15522 MSKFPLPPDYFRCPALSADEITRLQALGERTSTDMVHASRLQGG SITWTLASDIDGLQLYSGNDPSAPRGVTSYVGVTEVMGTLDEVAALFKTDTAEGYHEY LRMFGTDHLDGQTLYTLATESTDRPRHHLSLQWSASAMPGLANPRDWCFLESQHDFTT DHGRPGWVRAFKSVKLTCCPDLQTTFNVVRGEYHRSGYIIMESPTRRGALVAMALHQI DWKGSIPSFVTASAVRRRCRAVADLDTHLRSRRLRGLPFLPMHRLVPFALRVKCFVCC ARFGVFGYSKESCRQCGEVVCRTCSRQWDVGTHLDKLQVRICAACTSSSSSTSTSTST PTTGAAPATTTSALCPGITAASNHARGGRLSSKEMTVVPTGYRFGPPQHPPPSTPISQ SQQHLIYFPLTPSARSRTRHAREKSFPAPRGLTSEKLPAPPRSTRAREKPQMFQDWDD AIAARQPRSTKARSKPQLHDVLDGHPHRSTKTPTSSRQQQAVPRNWDEYEEERGSIGK KSHLEEDDRGNIPATRALVRVQSTPTTRSRTTTTTPRGNFPPSNAHFPGNTPTNGQSN NPYFTPRTNLSRQYTHPLVAAGRMEPSDGKSHHHTPRRSPYEGANFPSRYIAPTDISL TRHQSAGEFSSTSHHHRRHPLSLEHKQHPHRLLKASPNTRPCTPRNDLIMIEDAIAPA GETTLAVVTKGRRRPYACSPAGGMLPRGVGAGTAA H257_15523 MLSSASDVLAGERRHSPATLAVELAEVFTHGSQARRLTYVRLRG LLEAHLSFEEAQTLFSESIDNQRVRVLRLASLVETLIIKHHLDTADADTLRVISQDVT GYATPTLLHHAMFTKNIASIFTPEQQAAWGMSIDSFQWLGCYAQTELGHGSNLRSLET TATFVPELDMFELHSPTLTSIKYWPGALASTANIAVVYARLLIRGKDFGVHSFLVQLR EFATHKPLPGITVGHIGNKLAFNTVDNGYLKMNHVRIPRLHMAMKFATVARDGTFSRV DGAKREMSYFTMLQMRFHMMHGSAKALSKAVTIAVRYSAIRLQGLDGSAPRREVHVLD YQSQQHRVLPRIAESFAIFATSQVYSTFCTQATTMVDQGESIDATTLAMAHAVSCALK VVCSELCTRGIEICRRACGGHGYLQSSGLPELGGFAAQFVTAEGENYVISQQTTRTLL KLVRGYRLGAALPPALQIFQSLDQGVPPTLTSSDYDQLFQVRFLVLLKQFEDMAALYP SLDDAIHANLIESHHLALCYGKYVLVHEFSAHLTSLSPSTKSITTSLFDLFCVTQLQE DLADFIGLVNLPASVRGSLHDRLVALLAAVRPHAVALVDSFDHSDISLNSTLGRAHGQ VYESLMQSSLLYAKDDAIPGFTDYLQPLRRLHESRL H257_15524 MAVEDQAKAAARRRARTSASKMPKKTQLFALAEHQVLASHHKDR ERPRTSCLAWGVAFQVEEDEEVLPPTGSNYGPRMDKLVWIDCALRASHAFSTPNMPRH HPRRKLEGRFRTPAAAPTTARVVQRQPQQTAADKPPRPTPTPRHSPSHSSKSLSPWTN QSPALLSLKLVFWTSHVPVAWLECPTAIVDIPHDSTKPRRTMRLHRGDVLTAVNNVRV VPFVDIQAAVAGPPQGDDHHPHRRRLPQVLQFTSSHLQSYTVRWCDGESLGVAFRRVR VGSKSHGDQSTVLTVVSVMLSTVSNPLAGRKHIHEGDILIAINGMDAVHAGFRQTQAD LARLPRPLLLTFRGPKPDDRNENMADCQCVVS H257_15525 MPLTPQFTWEEDVSSIALRVPLKGASRKDVDIYVADLVVKVNFK PYVLLVDLHAAVEPENTTVTITNGVVVLVAQKHERRVWKQLGFDGTKADCLARRKASM ARKEAYEQKLSEARKDLKYRNEKQTLRDQMSLDELERQRLDDLKATEKQREEDAMYRT FRDLQHPPSTQSTKRRSSTPIAVEPPILEMTPEGEFDIPRRSIVVPSPPPREEEQDWG TSSDTESDDYSNNNSPILETPIENGDDDGEDEDVTQWPPPRQQVTASRIVFTPRVFPT PSRESTAVDEENWLVKNRKHLKSHKGLHAAAATAAQDISETDPVWLKAKGDDFYRHKD FRSAINAYGDALAIDPSLTPCLSNRAACFLQVGEYQLCADDCSKALALLPDIGDTPSM QTVQLKVRVLVRRGTAYCQLGLYSQAKADYGVALTIHPQNEALQLDFGRIGQLEACAV WKSKGDASYASQDFVTAKAMYTEALHVDPTFISCLSNRAACSLALLDAKACIADCSVA LAILEDTLSPTMVPYSAIPAPGSAKRRLWVLKTLVRRGAAFTMEKDYAKAEQDYMDAL SLDPPNAQLADDLANVRRLKRAG H257_15526 MEVLADISDLLVDALPPPTPAADAAAPLGFLDDLMPSDVNDLPP PEYAQDEQVEIDDEDDDDGVERVAEPPYIPEIAQWSSDDDFEEVEEEETKSKNSKGRK KRRIAEIIDEEDLEQDTILARNEEQARAKLFKADETPTSFGRTEDGRVCLNPLPDGTI PTGAVGAADAPVLVDDYISSKLKPHQVKGIRFLWSHISSGPKGFGCILADFMGLGKTL QVITTVHSFLNVMTVYDDDTSSEYAKYNTALILAPAICVRNWESEFTKWLSARQLARL QLTTLEADKSISERLNAILSWHNLGGVMVMGYEMYRNIVLQATGVEKMRGKTTADLQR RMKDALACLCAPGPDLIVLDEGHRIRDAKSKLVKALSHVETRRRIILTGYPIQNHMKE YWTMVNFARPNYLGTLQEFKNRFVDPIENGQFEDSSDVDIVLSRKRTFILTKELSTLV LRRDAGYLHRQLPAKHEFVLLCKLSPLQVRIYKAFLRDGVPRNPSSGKIDVLGGYHIS LAIVNHPDVLYNALPGKDDAPPPPPPPAALTAPEDVDSDIEAVEAVVRTMGHRLSFAE PLFGPDYSKGIVEHSGKMVLLLHILRECRRIGDRITVFSQSIPTLNAIQTMLDVYNET KKEYERINALRIDGSTPQALRFTRIAQFNDPDEEVDVILISTRAGGEGVNLCGGNRVV IFDVCWNPCHDAQSMCRSYRFGQAKPVYVYRIISAGTMEKKVYDLQVKKEGVSKRVVD NTALERKFQKLDVKKYFDIHDFKDAQTKLLKHDNNNSTTSITSSSSTSHSSQPTTDQV LNSVLATRSTFLAEWFEQESMFEENMDERCSALEQEEAWKENEISKAARGIRYYQVDS AASIKRVICTQCNQSNPVTDVDLENLSLECSECDTTLDLTDPDRIVTVSTKNHPEVAQ MQYLHQLQQRQRELAMAAHQGTPLQPQAPSYAQQLEALMTRPLPSSLSNLVAAAAAAP YPPRGHPHPNPAYPAVISLADDAARPPQLHRRTRILFLRRGLDRSLVEDLRTRATRLD GVHFTVQLDTQTTDLVTGMSLEAALDWLKLPHLPPSVTLRPVAWLQHLVTSSSQQWHM N H257_15526 MEVLADISDLLVDALPPPTPAADAAAPLGFLDDLMPSDVNDLPP PEYAQDEQVEIDDEDDDDGVERVAEPPYIPEIAQWSSDDDFEEVEEEETKSKNSKGRK KRRIAEIIDEEDLEQDTILARNEEQARAKLFKADETPTSFGRTEDGRVCLNPLPDGTI PTGAVGAADAPVLVDDYISSKLKPHQVKGIRFLWSHISSGPKGFGCILADFMGLGKTL QVITTVHSFLNVMTVYDDDTSSEYAKYNTALILAPAICVRNWESEFTKWLSARQLARL QLTTLEADKSISERLNAILSWHNLGGVMVMGYEMYRNIVLQATGVEKMRGKTTADLQR RMKDALACLCAPGPDLIVLDEGHRIRDAKSKLVKALSHVETRRRIILTGYPIQNHMKE YWTMVNFARPNYLGTLQEFKNRFVDPIENGQFEDSSDVDIVLSRKRTFILTKELSTLV LRRDAGYLHRQLPAKHEFVLLCKLSPLQVRIYKAFLRDGVPRNPSSGKIDVLGGYHIS LAIVNHPDVLYNALPGKDDAPPPPPPPAALTAPEDVDSDIEAVEAVVRTMGHRLSFAE PLFGPDYSKGIVEHSGKMVLLLHILRECRRIGDRITVFSQSIPTLNAIQTMLDVYNET KKEYERINALRIDGSTPQALRFTRIAQFNDPDEEVDVILISTRAGGEGVNLCGGNRVV IFDVCWNPCHDAQSMCRSYRFGQAKPVYVYRIISAGTMEKKVYDLQVKKEGVSKRVVD NTALERKFQKLDVKKYFDIHDFKDAQTKLLKHDNNNSTTSITSSSSTSHSSQPTTDQV LNSVLATRSTFLAEWFEQESMFEENMDERCSALEQEEAWKENEISKAARGIRYYQVDS AASIKRVICTQCNQSNPVTDVDLENLSLECSECDTTLDLTDPDRIVTVSTKNHPEVAQ MQYLHQLQQRQRELAMAAHQGTPLQPQAPSYAQQLEALMTRPLPSSLSNLVAAAAAAP YPPRTCLY H257_15526 MEVLADISDLLVDALPPPTPAADAAAPLGFLDDLMPSDVNDLPP PEYAQDEQVEIDDEDDDDGVERVAEPPYIPEIAQWSSDDDFEEVEEEETKSKNSKGRK KRRIAEIIDEEDLEQDTILARNEEQARAKLFKADETPTSFGRTEDGRVCLNPLPDGTI PTGAVGAADAPVLVDDYISSKLKPHQVKGIRFLWSHISSGPKGFGCILADFMGLGKTL QVITTVHSFLNVMTVYDDDTSSEYAKYNTALILAPAICVRNWESEFTKWLSARQLARL QLTTLEADKSISERLNAILSWHNLGGVMVMGYEMYRNIVLQATGVEKMRGKTTADLQR RMKDALACLCAPGPDLIVLDEGHRIRDAKSKLVKALSHVETRRRIILTGYPIQNHMKE YWTMVNFARPNYLGTLQEFKNRFVDPIENGQFEDSSDVDIVLSRKRTFILTKELSTLV LRRDAGYLHRQLPAKHEFVLLCKLSPLQVRIYKAFLRDGVPRNPSSGKIDVLGGYHIS LAIVNHPDVLYNALPGKDDAPPPPPPPAALTAPEDVDSDIEAVEAVVRTMGHRLSFAE PLFGPDYSKGIVEHSGKMVLLLHILRECRRIGDRITVFSQSIPTLNAIQTMLDVYNET KKEYERINALRIDGSTPQALRFTRIAQFNDPDEEVDVILISTRAGGEGVNLCGGNRVV IFDVCWNPCHDAQSMCRSYRFGQAKPVYVYRIISAGTMEKKVYDLQVKKEGVSKRVVD NTALERKFQKLDVKKYFDIHDFKDAQTKLLKHDNNNSTTSITSSSSTSHSSQPTTDQV LNSVLATRSTFLAEWFEQESMFEENMDERCSALEQEEAWKENEISKAARGIRYYQVDS AASIKRVICTQCNQSNPVTDVDLENLSLECSECDTTLDLTDPDRIVTVSTKNHPEVAQ MQYLHQLQQRQRELAMAAHQGTPLQPQAPSYAQQLEALMTRPLPSSLSNLVAAAAAAP YPPRTCLY H257_15527 MTCLVVLVAAVVACVHAALSPCDSSQIALAIVPTYDSADAVTCA AATLNGKDFNSLFETTPASDDTIKAVSAHPGCNAWYSTVATAFAGMDACEFLGRNVQE YGKLSLTEFLVANNKEIQSFDPSKTLSPVPTDTPTSLAPTNATEATTVPKPTPTPPVT TKASSAAGPTSAGAIVLAFSIAAAGLLHM H257_15528 MLAPTSVLVVVVALCGEASGQSTLKRCDSGKIAVTSVPFMTTPA ADACGAEALGGKSIRSIFESSVTPPDTIAKMVNTPSCQTWWSELSAPFALFKPCMYLG TTIQDFSKQPLQAFLEANNKEIQSFDPNRPADTSPVETDDEGGGAASVESTTEVPPET SLAPPSVIETSPVPVTTSTPPATPTTHQVTSASASTFALTTWLSVAAVTAVASLL H257_15529 MLALEIKLVVILGVLSGEAAGQSTLVECDSGKIALTSVPFMKTP AADACGANALGGKSIRSIFESTVTPPDTIATMVNTPSCQTWWSDLSAPFALFKPCTYL GMSIQDFSNMPLQAFLEANNQEILLSVGPNQPADTSPMEIDDKGSAAPPSPVATAALL RSSLKPPPRLPPKRVIQSPTL H257_15530 MSTPFKRRQYSELQDLMLLRQISDDKPFLARHGRIMEAWESVAR ALAAQVDFDRPHFDGKKAQSRFAILLRDHRDNNNAPMRAVSACTGEDSAEKMDLLEDI SRLERSSTLAASGNQVDEAKEANDVPRSTTDDRDDAVATAAAHVNEEAQASVQDVPRK RKLDDDDDDDGDSSASGKLLKLVGLMNEASKHERDLRRFMFEREVEERKRDRDAQTQQ IQMLQHSLTAVLDALIKKL H257_15531 MSVKLVYHAAMLASLQNTRTRQCWSPPATEAAMNAVWWRKHLAV LAADNAS H257_15532 MDTERPSKVRSPLLHVSPMLAVLVTQGLFDAIIAYQNGIYLDLR PFVGLTDALGPLGDLLKRTASEGIDHWDGITIVAESFAPLGQVMSGWYKANGSARMLK LLACFPQLRDLVIAHAVVNGNLPLLSLLPLEILRAVPYTLLDLAAWDGHLNVLEFLHS VVGHEGCTTWGMNLAARYGHLDVVRYLHERRSEGCSDWEWTCGS H257_15533 MLALAIKLVVILGVLSGEGAGQSTLVECDSGKIALTSGPFMTTP AADACGAEALGGKSIRSIFEFSVTPPDTIATMVNTPSCQTWWSELSAPFALFKPCMYL GTTIQDCSKQPLQAFLEANNKEIQSFDPNRPADTSPVETDDEGGGAASVESTTEVPPE TSLAPTSVIETFC H257_15534 MDTERPSKMRSSLLNVSPMLAVLVTQGLFDATIAYQNGIYLDLR PFVGLTDALGPLGDLLKRTASEGIDHWDGITIVAESFAPLGQVMSGWYKANGSARMLK LLACFPQLRDLVIAHAVVNGNLPLLSLLPLEILRAVPYTLLDLAAWDGHLNVLEFLHS VVGHEGCTTWGMNLAARYGHLDVVRYLHERRSEGCSDWTVVFAAENGHVEVVKFLHNY FDPSTVLVSAALKSAASNNRLDVATYIIQVLGNDERNSTDVIEEAARKGHLQMVQLLH LNSFACTTKAMDDAAVGGHLKVVQWLHSHRTEGCTTRAMNWAASNGHLKIVQWLHANR QVGCTNWGLERAATLGHRDVVEFLVTHRHEVDAKVVLERVKLEYRYDAVAMLESIMDK PSLENRQRDSNTRQNVSYA H257_15535 MASPRVPPSVLPPAAPTKLSSLQDLSLDNPDTRPASPTGRTGAN RRAPINDASVEPDSHPTALSPATFPKSASTIQVILRSLKGHYLFSSLGESEVHDIMLA MSNIQPPAQTDVIVQGSAGNCFYILESGTCEIYVNNEVVGQYVGGDAFGELALLYNCP RAATIRTITPCSLWTVDRTSFRKILATTASTMQLSRVQFLQNVELLQRLSHNQLQKVA AALKLEQFSDGSYIIKQGEEGHTFYIVVEGTVQCTTSATHDGVEKPLMTLTKGQYFGE MALMLNEPRQANCVAKGTVSCYVLGRQDFTNLLGPLRSLLDRQMRIRVLRSVPLLNYL TDDELDILAHALRVVAYEDKQPILRQGDNGDTFFIISDGKVCVHKSGVEIMTLRSGEF FGERALLNNEPRAADCVAVGRVECLCLDRSSFEGLLGKLEHIMARETKRQQVMQEAAL ATSTKGVPATPAQKKYRLADFDKVQTIGTGTFGRVLMMKHKTTGHTFALKCMQKANIV ETHQQRNVLHEKGIVAECDHPFVLKLYETFSDSDQLYMLLELVQGGELWALLYEKAFL VPKGVCGAFDVSSARFYAANVVEVFRYLQSLGVAYRDLKPENLMIDHEGYLKMVDFGF AKHIPFYKGAQLCEKSFTLCGTPEYLAPELVLNKGHDKSVDYWALGCLIYELMSGRTP FQHQEQQRIFEKIIHAKTALKFHAKFDPLAQDLITKLLEPNPGLRLGSLAGGMNDVVN HPWFATVNFDWKGLVNKTLRAPHVPVIKGAADVSNFDRCNEAHSVAKYAGQDYFEGF H257_15536 MANQGTCGYSWASSTAGVVESAHCIATGQLLDLLEQQETSCTTT RGRKGFPWYAIDWMDGKSSVKQRLALDKRVKTTGEAVLASASNGQPVAVPVEAGNVMW NNYKSGVISECPGAQSDQPYRHRRGYNGDSYMIKNSFGAPAQGRCRVYPPPTQRRRQR NVLRPPVAIPQVVADPTPTTTSQSPSTTTAPATTSSVRVTPLPTSCTPVSTERSFVDD ASATPSDKCSNNRNAYVWPLTK H257_15537 MGAVRGSRKKDDGFVSKSESDVGRKASTSVAKSLFESMDTADAR NAASTASAAAETRVTKRELKKQVDDAIDDRNDPGDINDDDQDKPKAAVAAVFQSPKVK RESTADTASTFGSQSTTPVTPSSLHDDFINLMKAQGLERMATDAGLLKDGQFRDLKRE WGESINTSSTTSSSSPFMNEVHGASTPKKKKPRSTSTTPVSDKGSKGLRHFSMKVCQK VEEKHVTTYNEVADELVHEFVTMRPAESVNYDEKNIRRRVYDALNVLMAMDIISKEKK EIRWRGLPSNAKQDLELLQMENAQRAKSVEQKKQQLQELLLQQIALKNLIQRNKQVDK PSALCRISLPFILVNTSKDTIIQCEMSEDRQDIFFNFSGPFEINDDSEILKRMNLHHV AEADAATHIPEKLIRYLPPDYLV H257_15537 MGAVRGSRKKDDGFVSKSESDVGRKASTSVAKSLFESMDTADAR NAASTASAAAETRVTKRELKKQVDDAIDDRNDPGDINDDDQDKPKAAVAAVFQSPKVK RESTADTASTFGSQSTTPVTPSSLHDDFINLMKAQGLERMATDAGLLKDGQFRDLKRE WGESINTSSTTSSSSPFMNEVHGASTPKKKKPRSTSTTPVSDKGSKGLRHFSMKVCQK VEEKHVTTYNEVADELVHEFVTMRPAESVNYDEKNIRRRVYDALNVLMAMDIISKEKK EIRWRGLPSNAKQDLELLQVK H257_15538 MAAASSVLQSRELVTAVLAYQHGIYPDMRPFVGLKSPFQNPMSL RVVTVEGRHPTDVAFAAIHDVMAAWYASVGHSRVWKLFASLPHMRDILILDAVYNGDV SVLELLHASDAKLLQSMAHPLLDLAALNGQVDVLAFLHLVVGHTGCTSLAMDVAAQYG HLEVVRFLHDHRTEGCSVWAMNGAAENGHLEVVTFLHTHRAESSLEWALEYAAADGRL AVAMYLSEALGTDDECSTRAMDGAARNGHLAMVQYLHHKRYDGCTAGAMDWAAGYGHL EVVQWLHANRREGCTTSAMDMASAKGHLEVVQWLHEHRSEGCTTSAMDDAAANGHLEI VQWLDKHRREGATEWALTWAAERGHLEVVTFLVTHRHEGHVADALAMATNSTERGRGV QHVIAYLHSQVLPAP H257_15539 MVKLQYSAQTTTTTSSTTTSSSSSDSPSNKWQSYFRIESWLPLP ASALKPECDPQPTAFTKEGDVDGWVFLGEETDPSHFTYADVASGKREQEAKDIQHVTK EYVVLATTRKHR H257_15540 MTSTQPWTNTQLPILGLATNDSSTCQACLCPIKAGSIRVGIIFQ HLSGFIGLDWHHLTCCETPQLLRHVDGYELLGDDSKATLNDFIAISEQTQCA H257_15542 MPGTTHVNPWKRCELPILGIAKNGASTCQACRDAIQTGSIRVGI IFHHVNGNIGIDWHHLTCCETPATLPEVEGYELLGDHDKEVLHHWIQSCV H257_15541 MAGPEERGGSNGGGDLKDEDVVAVGSISNVLRRAFLDYYTSDFD KNLNAEWADDVAPDTADGSSVTATDSEGREETNQDDTTTASRIAPDHPYLVLAKERVA AIDANLREKVTQASDLMALLESRRQDAAKKDEQQARELIAQGLVVKAQIPIGPSNFTL HRDELLPFGEFATSLIHARDLLQANHERMLDRSGNGPTAQIKAAKAAIQVPGYLSSTA SVSIRKGMTLPKSTAPAHSSYDGNHDEDEEYVSEFVDDDAAVVPSSSSTITTNNNVSN TSNKYKPTRMSKRPPPTPTLSTEAQLLNAKILTRMQSTLQFPRNPRYDKSNDSINELA PSFVVEPNPVEFTSYDMGGIYEQLVLLKNVSSLSGRCRILPPSSTFFMLASVLYPDSS GLIAPGLTCQVRVQFAPDTRADYSDSFVVMIETPSGTDIPLQVPLAAHRDPPRLSLPS TLLASCCLIGGTSTTTISCLNSGGRGRFWLVSDADWGVANVLDNIHALQAQPTPAPAA LSIGPFTLSPCDMDLGTGDAADLTLVYTPTVVGTESATFYIVCDNCLVKPFKVAGRGC EIDIAPVTINRVPIEATVASMGPLDRLLFAPIMTHAMATQTFQVVNHTPLALPFEWVV VDKHQHQLQLMTSRHATTNHHICEEDKQGQLVSARLRVPFEIAPSTGVLGQGATATFV VTFTPTTVASFGALASLTICHVPPCCLPGAARNNGREDSAALTTVDAMQLTLEGASMP SAIHLNPWIVSFGGDTCLNRDIAAPLVMSNHGPTAVAFRWGHHSQQQHDDNDDDAIDF GGKHDLICHVEPSEGTVPAHGTCTVVVHVTPHRTGRFGFPVTCAVEASSQVVAWVQGH VPRPHIRLLAPEIDFGLVSVGNTATQVLRFQNDSATVATYRFSHVGPPGFVPLLKRTN STDSMASSRFSFVTSSDASTTDDKPDVPHKCVLSFHPEDGTLQPGEEGMVSIVCTSGK YPERFRGSVQCEVVDAATTCISARGEIQCPQVYLSQTKVALGTTYIGVPVTRTLALVN VSNLPAPFKWVEPLGKSKAYSVEFHPRSGTLESKQSLHVTIVFTGRAPGLTDVVFSCQ VRGVLVPLGFELVTLQKGLVLSYQLVDDTVSQGTAVVSPDAPVGSSLPKLHFGEHVPL FARKSLRLLIRNHSGIPAKLSLEARKYNTTLPDNATIPPQASSLEPRDKAFQSESGRQ YKSNQANVHQDRMLLSAGLGVALLCAPSTVSIAPWEQTVVTVTALNNMSGRYVDDIVV KLDTMPPVRLSATINVVGCPLSINPNCVGLRMHHDPRFPLLEFGILPLQADIVTRKVQ VINTGPIPAKVTWKLAEYRDPDAVERVVDVTVAVGPRNLVDVRIRLHVQKDDIAVPFT VEPMERVIAKHDHANFVMTFHPQSCPVGVARALVVADAEWLHGENAAVYRPDSSLSNQ SSTSSLMMGAVGKALKAVRLTNALGKAKPGGALNFKSVACVQLAVTGEIVPPALHWDK ASPSRATFTTWSLHPPTHPAMFQTMQLVNRLATKLTFRLDTAGPFSIVQATAAANNHH HPLSASVVSPTSRPLAFNQHPTPSFTLGPSQSVQVHVQFHPPPTPSTTAITLPATSLS TAVSLSGHLGIRFSHGSVQTIALQGRVLRPQLVLAPSQFHFGRVHCERTHTIRVFLAN PTEVDVHFTVRHHHHTPSSHQLQPPHPSAADDDPTVFQIATMSGTLRGPTLPLHTAGA AVPTPSPDYAAAIHPPMEIVVTFAPSQPKKYKSRFRFDVVMGDGFDLVLEGEGTLVEH KQQPSGSRAVPRAAPLRHSHYMLGKVKE H257_15543 MGEPQQRGEQRHKVPSSGNGFEAATPVLHDSDDIKIHSIMGQVV SSVREKIHVNAIRNVFVCMGVGEERPFGIPKPPQIAPRLQHNLQFFLVNYILLFTVVL FCILVFHPWSLLCVLATTGAWGAFAVQRKHLQQLYKNGLKEEHLVYTMLAATLVVFAF FLLPSLITALGVSGGVNVVHAFFRDSSHLVHRTDPTVTDVPSDQLV H257_15544 MPSILRHVHTAKRPHPSNQVHVGGTDTSKAALHVSNQLHTTSPQ PQSLAPLVHHHLPAPPTTTSSATNDGSEPFGTILGTFEGVDVKSCLLPDNKSALDMER ATFQGHCTGVQWQCVELARRYLLQHHRITFDCIPTAHDMFDAAVFRHAASRSLVPTRR VPHGTAKSRPTKGSLLLWKAVGRHAPTGHVAVVVDATDTHVDIIEQNFCFSKWPEGRR YSRRLPLAPPSHDNAYVVATQYDDEEFHGWISVI H257_15545 MGIMFSKVFASLFGSKEVRILILGLDNAGKTTILYRLQAEEIEQ TVPTIGFNVETMQYKNIKFQVWDLGGQTSIRPYWRCYYPNTDAIIYVVDSADIDRLNI AKQELHAMLEEEELKDSILLVFANKQDQRGALNAAQISEAMGLSDIRNRQWSIKETTA TKGSGLFEGFDWIVTCIKGE H257_15546 MAKTASKRRASEDTVPTGAKDVAHVPHVVTAAPPSSSSRAHLGV KKMKSSDQIQPASTTSTPSPMIHMMRGNNQHEHDTYCAPTPYGPSAYMAPTPLSPDMH HPPLQRRKTSMDHHHPIPPASSSGSRSHAASSSYHSQSSGPPPSSRAAPPSTNDVAML EQQTARLWNFDTWECGDQYKFVRPMGQGSYGQVAEAYDTLRDKRVAIKRVINVFDRAQ DCIRLFREIYILRHVHHSNLVTLLDVIPPKDYDSFRDLYLVFEYADTDLQKLFCLPQY LNIRHVQVFLHQLLHGLKHMHACAIVHRDLKPANILLNETLSLKICDFGLARVCLPHE PTATDAATDKDINDESDHHSPTGTPSADSPVAGAATTGTTRKYTRQMTKHVVSRYYRA PELILLQDYGRAVDMWSIGCIFGELLNMQAENCQHYLNRKPLFPGRSCTTLSPTDTVT YKKEMDQLFVIFNVIGTPAEEDIAHMTKFAPLLRQIPKKPPVNLHDMYPGAPSEALDL LRQLLHFNPAKRLTVDEALAHPFLAQVPNMHSQEIMAPASLHIPNEDNDDQFTRDQHK RRIYDEVVKFHEVAVSSIGSDDLRSYNS H257_15546 MAKTASKRRASEDTVPTGAKDVAHVPHVVTAAPPSSSSRAHLGV KKMKSSDQIQPASTTSTPSPMIHMMRGNNQHEHDTYCAPTPYGPSAYMAPTPLSPDMH HPPLQRRKTSMDHHHPIPPASSSGSRSHAASSSYHSQSSGPPPSSRAAPPSTNDVAML EQQTARLWNFDTWECGDQYKFVRPMGQGSYGQVAEAYDTLRDKRVAIKRVINVFDRAQ DCIRLFREIYILRHVHHSNLVTLLDVIPPKDYDSFRDLYLVFEYADTDLQKLFCLPQY LNIRHVQVFLHQLLHGLKHMHACAIVHRDLKPANILLNETLSLKICDFGLARVCLPHE PTATDAATDKDINDESDHHSPTGTPSADSPVAGAATTGTTRKYTRQMTKHVVSRYYRA PELILLQDYGRAVDMWSIGCIFGELLNMQAENCQHYLNRKPLFPGRSCTTLSPTDTVT YKKEMDQLFVIFNVIGTPAEEDIAHMTKFAPLLRQIPKKPPVNLHDMYPGAPSEALDL LRQLLHFNPAKRLTTKPWRTRFWPKSPTCTRKRSWHQRRCTFRTKTTTTNSHATNTNA AFTTKW H257_15546 MAKTASKRRASEDTVPTGAKDVAHVPHVVTAAPPSSSSRAHLGV KKMKSSDQIQPASTTSTPSPMIHMMRGNNQHEHDTYCAPTPYGPSAYMAPTPLSPDMH HPPLQRRKTSMDHHHPIPPASSSGSRSHAASSSYHSQSSGPPPSSRAAPPSTNDVAML EQQTARLWNFDTWECGDQYKFVRPMGQGSYGQVAEAYDTLRDKRVAIKRVINVFDRAQ DCIRLFREIYILRHVHHSNLVTLLDVIPPKDYDSFRDLYLVFEYADTDLQKLFCLPQY LNIRHVQVFLHQLLHGLKHMHACAIVHRDLKPANILLNETLSLKICDFGLARVCLPHE PTATDAATDKDINDESDHHSPTGTPSADSPVAGAATTGTTRKYTRQMTKHVVSRYYRA PELILLQDYGRAVDMWSIGCIFGELLNMQAENCQHYLNRKPLFPGRSCTTLSPTDTVT YKKEMDQLFVIFNVIGTPAEEDIAHMTKFAPLLRQIPKKPPVNLHDVRHLEVT H257_15547 MSDSPPPPPPSPPPASPKAAAAPPPPSHDVPPPPSPQPPAVSGG PPRLGRANSLVPAPSSKLLVYVPLDAPDDLDRNWAWHYMTAQKQLTGDMADLDKWKTV DGFEKHTALVYGLLTDSKEIFWALLRTFWNDNQAIKDKTFQGLHALVDIRFLRLAPSC RANLLWLVEQCIHDSVNVDALLIVLMRYVGVPCADAEPSLLEWLVRVVATPAIWDSIV PHIAFTLLSILPELYATKPNDAVTSQVIHTLLSLHSNHARAMLVAGRELVRLVDDAIP LIPAVAPLQAWLHTQSLGLTPSKFVVGRLTFKVHEHLRFVLDKVTHVAAHRYQKWFQA AFLQGQSAFEGRIADLIRFVCAVHQPSSQAVELKMTPRYHILGWLFLLNKTAAAKTRV LHAMYFDFLHYSPDIPVLQLEPAMMLLLKATKNRNHGMVQDIMTFLVARVDGAPDVAA KVAAAISALIKLGMMRNLLPLVDYLGEFHPALGKQSQAALPLHFTPRAPGHTAITSSP NQSNNSPSHHHRPSPQHSTPPTSSSPQRATSSPQRPNVSPQYDTSPNQHPRHHPPPSE DVDMASNWTEQSFLDDTHPSTPSPDRSHPLKTRADVAALAFPESLQVFREPMLALQLV QSDVDKVIPALSHVFVLWSDLANAVAVSLELGSLVYKCLERSFLTTTSVPQFVLDQCL QRPPHLHLPLLHGMYKQDSVLSSRLLAHCCVHNNLTPYIAFCDMVGLPDVPAAILQDI GLCVHVDQACALACSLLQVPYAGNVAVATTCLGVVPYLLEHAPLACMPRLDALIRSLV SVAPPVVVAKLSMRLLLSEFSIFKDQTATVLLSSLHWNSWEQWGVWELLSAEWQAKHH DKATVAALRKVLACLDPQTHGEALSGILRLLLQICPDMALLQCVLKLSDAFDPFPSSV LAIWSDKWLSSVQPLVVGLLHDTKDAAVDVRRQLSKLPANALLLQEPYVRAALLAESI PGQLSGSASCSETAIDEPVMKKPKVDG H257_15547 MSDSPPPPPPSPPPASPKAAAAPPPPSHDVPPPPSPQPPAVSGG PPRLGRANSLVPAPSSKLLVYVPLDAPDDLDRNWAWHYMTAQKQLTGDMADLDKWKTV DGFEKHTALVYGLLTDSKEIFWALLRTFWNDNQAIKDKTFQGLHALVDIRFLRLAPSC RANLLWLVEQCIHDSVNVDALLIVLMRYVGVPCADAEPSLLEWLVRVVATPAIWDSIV PHIAFTLLSILPELYATKPNDAVTSQVIHTLLSLHSNHARAMLVAGRELVRLVDDAIP LIPAVAPLQAWLHTQSLGLTPSKFVVGRLTFKVHEHLRFVLDKVTHVAAHRYQKWFQA AFLQGQSAFEGRIADLIRFVCAVHQPSSQAVELKMTPRYHILGWLFLLNKTAAAKTRV LHAMYFDFLHYSPDIPVLQLEPAMMLLLKATKNRNHGMVQDIMTFLVARVDGAPDVAA KVAAAISALIKLGMMRNLLPLVDYLGEFHPALGKQSQAALPLHFTPRAPGHTAITSSP NQSNNSPSHHHRPSPQHSTPPTSSSPQRATSSPQRPNVSPQYDTSPNQHPRHHPPPSE DVDMASNWTEQSFLDDTHPSTPSPDRSHPLKTRADVAALAFPESLQVFREPMLALQLV QSDVDKVIPALSHVFVLWSDLANAVAVSLELGSLVYKCLERSFLTTTSVPQFVLDQCL QRPPHLHLPLLHGMYKQDSVLSSRLLAHCCVHNNLTPYIAFCDMVGLPDVPAAILQDI GLCVHVDQACALACSLLQVPYAGNVAVATTCLGVVPYLLEHAPLACMPRLDALIRSLV SVAPPVVVAKLSMRLLLSEFSIFKDQTATVLLSSLHWNSWEQWGVWELLSAEWQAKHH DKATVAALRKVLACLDPQTHGEALSGILRLLLQICPDMALLQCVLKLSDAFDPFPSRL MIHISCVE H257_15548 MSDNQETHNVGIDAMLNEPEPEMKTILVVNDNLQTNINGVVTVF KNIERLAFRDGYKIVYMNPSEFPHFDCPGYPDIKLSLPFDIDNKIAKINPDFIHIATE GPLGLATRFYCDKRKIGYNTGYHTKIPEYLQVMYGIPESFGYGYLRWFHRNSGRVLTT TATMVSELENHGFLSYIKPMTFGVDRSTFKSSLRSVENTKFSSLSRPILLSVGRVSKE KGLDDFCTVKYPGATKIVVGDGAYRKELEHKYPHVIFAGFQTGAALAEYYAHADCLVF TSRTDTFGVVIIEALAVGTPVAGYEVPGPKDILEPGVTGYMGEDMTENIDKCLALDRA KVEEASQCWSWDQCWDIFRRHLVNRSDQLTYEYTV H257_15549 MSLQPIQSPSRPSALSNFAAQNNNTTTPPLSNLKPTELNSLRAA TPKHELTSPTQSKPQTSASASFAKLEPPSNSLMPKHSTALSSFAAHEAAATSHKPPAT SSSALSSFAASEGLAPLKKISALASFAAHDTTLVPSTLPTSSLKSPLGKYGRPLGSIG LNVDIPVEAKEHEQNEVEIDDNCDAKSIEKALPTKVEAKGVRSASRHSRVSRSDFPKE HTRHSSSSDDNDDDDTSDVKESETSDDEPKGTRVASKASMYDDKEIAAAHDHKESSQN GKPRQRRPPPLAFTAKFSIHEPILHAIVKEDVTELKQFLASSSLAHLGTIRDDMNRTV MQYAVGLGNQDICTVVLGYPQTCGCAPASPLKHHDTSPRRRQRPSSPSKPHCSPKSPN SHDTAAGLAILLFGLLEPVDCHRRNVLHYMCHSKNPHVSQFIHDHPCIVRCIRKHIGH FDVQDDFGMYPLSYAALNGHRSSISECFQLGCGTDYDEADVAAILDAAAPDTSLRRAL LDGFELVDRQRKASPKRPDQCAVSIQPRMEYFGQTDINLSSGSVLQTSLHKIAQFGCV DALDYALSHNADVNAVDANGWTALHYCAANLDHEEATAAIASALLACDTVDLNVPSMQ GRTPLHIAASAGRDDVLQLLLLHGANLNAVDDHGMTPLHASALAGHVSVAHSLLVATL DATSLGSNDSKIAITLHHRRTCTKENALHIAARAGHIHMVRLLCAWDVEGRDWSREKD CHGHTPIQVAKNSVTREAFTNVWQAAWDGHPDKLQACLHDRRSRLDDDLAPTPRSHKT LLHLAVMGFAREGAATTKKLGHSRSLDANVEARYGQTIRLARQALEASGQSPSAGDDV GVTPLMLAAACGSELVAQSSNDSVGATDGRGNTALHYAYAYCHGPMARWIERQDADQA AVENDDHLTPLDVSGFRHKIHPSCENSNRGQLPDEESKEGDGHDGL H257_15549 MSLQPIQSPSRPSALSNFAAQNNNTTTPPLSNLKPTELNSLRAA TPKHELTSPTQSKPQTSASASFAKLEPPSNSLMPKHSTALSSFAAHEAAATSHKPPAT SSSALSSFAASEGLAPLKKISALASFAAHDTTLVPSTLPTSSLKSPLGKYGRPLGSIG LNVDIPVEAKEHEQNEVEIDDNCDAKSIEKALPTKVEAKGVRSASRHSRVSRSDFPKE HTRHSSSSDDNDDDDTSDVKESETSDDEPKGTRVASKASMYDDKEIAAAHDHKESSQN GKPRQRRPPPLAFTAKFSIHEPILHAIVKEDVTELKQFLASSSLAHLGTIRDDMNRTV MQYAVGLGNQDICTVVLGYPQTCGCAPASPLKHHDTSPRRRQRPSSPSKPHCSPKSPN SHDTAAGLAILLFGLLEPVDCHRRNVLHYMCHSKNPHVSQFIHDHPCIVRCIRKHIGH FDVQDDFGMYPLSYAALNGHRSSISECFQLGCGTDYDEADVAAILDAAAPDTSLRRAL LDGFELVDRQRKASPKRPDQCAVSIQPRMEYFGQTDINLSSGSVLQTSLHKIAQFGCV DALDYALSHNADVNAVDANGWTALHYCAANLDHEEATAAIASALLACDTVDLNVPSMQ GRTPLHIAASAGRDDVLQLLLLHGANLNAVDDHGMTPLHASALAGHVSVAHSLLVATL DATSLGSNDSKIAITLHHRRTCTKENALHIAARAGHIHMVRLLCAWDVEGRDWSREKD CHGHTPIQVAKNSVTREAFTNVWQAAWDGHPDKLQACLHDRRSRLDDDLAPTPRSHKT LLHLAVMGFAREGAATTKKLGHSRSLDANVEARYGQTIRLARQALEASGQSPSAGDDV GVTPLMLAAACGSVLLAQELVAQSSNDSVGATDGRGNTALHYAYAYCHGPMARWIERQ DADQAAVENDDHLTPLDVSGFRHKIHPSCENSNRGQLPDEESKEGDGHDGL H257_15549 MSLQPIQSPSRPSALSNFAAQNNNTTTPPLSNLKPTELNSLRAA TPKHELTSPTQSKPQTSASASFAKLEPPSNSLMPKHSTALSSFAAHEAAATSHKPPAT SSSALSSFAASEGLAPLKKISALASFAAHDTTLVPSTLPTSSLKSPLGKYGRPLGSIG LNVDIPVEAKEHEQNEVEIDDNCDAKSIEKALPTKVEAKGVRSASRHSRVSRSDFPKE HTRHSSSSDDNDDDDTSDVKESETSDDEPKGTRVASKASMYDDKEIAAAHDHKESSQN GKPRQRRPPPLAFTAKFSIHEPILHAIVKEDVTELKQFLASSSLAHLGTIRDDMNRTV MQYAVGLGNQDICTVVLGYPQTCGCAPASPLKHHDTSPRRRQRPSSPSKPHCSPKSPN SHDTAAGLAILLFGLLEPVDCHRRNVLHYMCHSKNPHVSQFIHDHPCIVRCIRKHIGH FDVQDDFGMYPLSYAALNGHRSSISECFQLGCGTDYDEADVAAILDAAAPDTSLRRAL LDGFELVDRQRKASPKRPDQCAVSIQPRMEYFGQTDINLSSGSVLQTSLHKIAQFGCV DALDYALSHNADVNAVDANGWTALHYCAANLDHEEATAAIASALLACDTVDLNVPSMQ GRTPLHIAASAGRDDVLQLLLLHGANLNAVDDHGMTPLHASALAGHVSVAHSLLVATL DATSLGSNDSKIAITLHHRRTCTKENALHIAARAGHIHMVRLLCAWDVEGRDWSREKD CHGHTPIQVAKNSVTREAFTNVWQAAWDGHPDKLQACLHDRRSRLDDDLAPTPRSHKT LLHLAVMGFAREGAATTKKLGHSRSLDANVEARYGQTIRLARQALEASGQSPSAGDDV GVTPLMLAAACGSELVAQSSNDSVGATDGRGNTALHYAYAYCHGPMARWIERQDADQA VS H257_15549 MSLQPIQSPSRPSALSNFAAQNNNTTTPPLSNLKPTELNSLRAA TPKHELTSPTQSKPQTSASASFAKLEPPSNSLMPKHSTALSSFAAHEAAATSHKPPAT SSSALSSFAASEGLAPLKKISALASFAAHDTTLVPSTLPTSSLKSPLGKYGRPLGSIG LNVDIPVEAKEHEQNEVEIDDNCDAKSIEKALPTKVEAKGVRSASRHSRVSRSDFPKE HTRHSSSSDDNDDDDTSDVKESETSDDEPKGTRVASKASMYDDKEIAAAHDHKESSQN GKPRQRRPPPLAFTAKFSIHEPILHAIVKEDVTELKQFLASSSLAHLGTIRDDMNRTV MQYAVGLGNQDICTVVLGYPQTCGCAPASPLKHHDTSPRRRQRPSSPSKPHCSPKSPN SHDTAAGLAILLFGLLEPVDCHRRNVLHYMCHSKNPHVSQFIHDHPCIVRCIRKHIGH FDVQDDFGMYPLSYAALNGHRSSISECFQLGCGTDYDEADVAAILDAAAPDTSLRRAL LDGFELVDRQRKASPKRPDQCAVSIQPRMEYFGQTDINLSSGSVLQTSLHKIAQFGCV DALDYALSHNADVNAVDANGWTALHYCAANLDHEEATAAIASALLACDTVDLNVPSMQ GRTPLHIAASAGRDDVLQLLLLHGANLNAVDDHGMTPLHASALAGHVSVAHSLLVATL DATSLGSNDSKIAITLHHRRTCTKENALHIAARAGHIHMVRLLCAWDVEGRDWSREKD CHGHTPIQVAKNSVTREAFTNVWQAAWDGHPDKLQACLHDRRSRLDDDLAPTPRSHKT LLHLAVMGFAREGAATTKKLGHSRSLDANVEARYGQTIRLARQALEASGQSPSAGDDV GVTPLMLAAACGSVLLAQELVAQSSNDSVGATDGRGNTALHYAYAYCHGPMARWIERQ DADQAVS H257_15549 MSLQPIQSPSRPSALSNFAAQNNNTTTPPLSNLKPTELNSLRAA TPKHELTSPTQSKPQTSASASFAKLEPPSNSLMPKHSTALSSFAAHEAAATSHKPPAT SSSALSSFAASEGLAPLKKISALASFAAHDTTLVPSTLPTSSLKSPLGKYGRPLGSIG LNVDIPVEAKEHEQNEVEIDDNCDAKSIEKALPTKVEAKGVRSASRHSRVSRSDFPKE HTRHSSSSDDNDDDDTSDVKESETSDDEPKGTRVASKASMYDDKEIAAAHDHKESSQN GKPRQRRPPPLAFTAKFSIHEPILHAIVKEDVTELKQFLASSSLAHLGTIRDDMNRTV MQYAVGLGNQDICTVVLGYPQTCGCAPASPLKHHDTSPRRRQRPSSPSKPHCSPKSPN SHDTAAGLAILLFGLLEPVDCHRRNVLHYMCHSKNPHVSQFIHDHPCIVRCIRKHIGH FDVQDDFGMYPLSYAALNGHRSSISECFQLGCGTDYDEADVAAILDAAAPDTSLRRAL LDGFELVDRQRKASPKRPDQCAVSIQPRMEYFGQTDINLSSGSVLQTSLHKIAQFGCV DALDYALSHNADVNAVDANGWTALHYCAANLDHEEATAAIASALLACDTVDLNVPSMQ GRTPLHIAASAGRDDVLQLLLLHGANLNAVDDHGMTPLHASALAGHVSVAHSLLVATL DATSLGSNDSKIAITLHHRRTCTKENALHIAARAGHIHMVRLLCAWDVEGRDWSREKD CHGHTPIQVAKNSVTREAFTNVWQAAWDGHPDKLQACLHDRRSRLDDDLAPTPRSHKT LLHLAVMGFAREGAATTKKLGHSRSLDANVEARYGQTIRLARQALEASGQSPSAGDDV GVTPLMLAAACGSVRRAHNCSLC H257_15549 MSLQPIQSPSRPSALSNFAAQNNNTTTPPLSNLKPTELNSLRAA TPKHELTSPTQSKPQTSASASFAKLEPPSNSLMPKHSTALSSFAAHEAAATSHKPPAT SSSALSSFAASEGLAPLKKISALASFAAHDTTLVPSTLPTSSLKSPLGKYGRPLGSIG LNVDIPVEAKEHEQNEVEIDDNCDAKSIEKALPTKVEAKGVRSASRHSRVSRSDFPKE HTRHSSSSDDNDDDDTSDVKESETSDDEPKGTRVASKASMYDDKEIAAAHDHKESSQN GKPRQRRPPPLAFTAKFSIHEPILHAIVKEDVTELKQFLASSSLAHLGTIRDDMNRTV MQYAVGLGNQDICTVVLGYPQTCGCAPASPLKHHDTSPRRRQRPSSPSKPHCSPKSPN SHDTAAGLAILLFGLLEPVDCHRRNVLHYMCHSKNPHVSQFIHDHPCIVRCIRKHIGH FDVQDDFGMYPLSYAALNGHRSSISECFQLGCGTDYDEADVAAILDAAAPDTSLRRAL LDGFELVDRQRKASPKRPDQCAVSIQPRMEYFGQTDINLSSGSVLQTSLHKIAQFGCV DALDYALSHNADVNAVDANGWTALHYCAANLDHEEATAAIASALLACDTVDLNVPSMQ GRTPLHIAASAGRDDVLQLLLLHGANLNAVDDHGMTPLHASALAGHVSVAHSLLVATL DATSLGSNDSKIAITLHHRRTCTKENALHIAARAGHIHMVRLLCAWDVEGRDWSREKD CHGHTPIQVAKNSVTREAFTNVWQAAWDGHPDKLQACLHDRRSRLDDDLAPTPRSHKT LLHLAVMGFAREGAATTKKLGHSRSLDANVEARYGQTIRLARQALEASGQSPSAGDDV GVTPLMLAAACGSVRRAHNCSLC H257_15550 MRVSAPGKVLITGGYLVLEPSFSGAVIAASSRFHTSITVESLEG SDDDPSSASSTSVPVRVFSPQFHQSMHGELSATSFRFAHDSVQNCYVEKTIGICVVAL VGLLGAAAFEGRIRDMLRRRQTLVITLEADNDFYSQRDQLRSRGLAVSRTALASLPPF LPSLVDESGQAKVAKTGMGSSAALITSLVGALLGFFDAAQLPTKAGPHDTSTQAGVTL VHNLAQIAHSIAQEKIGSGFDVSAAVYGNQQYNRFRPDEIQPFLADDVETVDPVALAA QLTAPWDNVVRPFCLPEGMHMIMGDVNAGSATVSMVRKVLTWQSHDPVGAAALWADLN AQNMAIPALFEQLHALQAQDSALTNTTKQRSVSELLEELSRMPFHEWTAADAVVGTLL TSLRTTFQGIRALLRNMGELAQVGIEPTDQTALIDATMAVPGVLFAGVPGAGGNDAIF AIVLHVDVLDAVEAFWSTWTATSVSALLVDAAPNGFQGGLLRHNE H257_15551 MEATLRRAVNEIFFRDTWSWPTVATFLATVVGTFYMSNALSRMA FSFIFDGPSQQRRTAPIAVPAHDIDDSDESSHDDESNDDSDSASSSTSSSDEDETCPP TGAFVPIKMRIPSMLDIDPAVAMVREVDHLKSL H257_15552 MKDILCTHFQAKTNHVPKAHDTAYPQVVHPVQHNCKRHEDGHVS AVQLVFSNLQLQRLDCSLEPALPCFPLVTHHLVMQLGELHFQRHDLLLHVQELLLQFR LGCMVIFFLLPQHVVDDCTDHLHGATDKCHFHWGLRWTGLQH H257_15553 MDKMPKGYVDVLAKQHKAKAVASEHRFYGQITPKNDLSTETLRF LTLWNQALADVNHFIHHLYDGTHDQRQPVDRRGHVVSWRSLSAWFRIAYLNATVAAIS SSGVVNPFL H257_15554 MSLNPSEFTDKTNAYLKESEALAEEHNQAQVTPWHLTAVLFRDK EGLARRIAEKAQADSDVIARDALAQVKKMPSQTPAPDNISIDSALTKVLKAAVKMRKD SKDTHLAVDHLVLALFTFSPVATLLASHRLQEKSVKAINDGIRGGRPVTGQNAESNYE ALKKYGQDLVELAAAGKIDPVIGRDEEIRRTVRILCRRTKNNPVLIGEPGVGKTAIVE GLAHRIVLGDVPESIAQCHVISLDLGALIAGAKYRGEFEERLKAVLKEVQDSDGKIIL FIDEMHLILGAGATSGAMDAANLLKPMLARGELRCIGATTLEEYRKHVEKDKAFERRF QQVMVKEPSVEDTVSILRGLKSRYESHHGVAITDGALVAAATLADRYITERFMPDKAI DCIDEACANVRVQLDSQPEVIDALERKQLQLQVEATALAKEKDSRSKDRLKVVRHELS QVQDQLTPLVLQHQGEKEKVNELRRLKDKLNALHLKVSQAERKGDLAQVADLKYYAIP DVERTLKRLETEKANDTQANRLVEEVVREDQIAQVVARWTGIPVDKLTTNASDRLLHL ADRLNQRVVGQASAVEAVCDAVLRSRAGLSRRDQPTGSFLFLGPTGVGKTELAKALAL ELFDSEKHMVRIDMSEFMEEHSVSKLLGAPPGYVGYDDQGGQLTEPIRRNPYNVVLLD EIEKAHPKVLNVLLQLLDEGRLTDSHGRSVDFTNVVVVLTSNIGAEFLLPSPENTSPL SLNGTGLQSPKKKPRLIDEPSRAQFEVQKQLVLGTLQRTIRPELLNRLDDVVVFEPLG KAQLREIVKLQFRSAEDRLRDSHQVTISMSTPALDAILAAAYDPQYGARPLKRYIEKH VITQLSRLILAGKLTSKAHVQVVASKTTDGVDFVVQNAVAP H257_15555 MASGTSDQTRLVVECDAVPLLVRPVMSMNDKICDQVMWAGNIAG DSSVLRDFVLEAGGMLPLLHQIHSDEVSTSMLKNATWVLGNFCRGTPRPRFELVRPAL STLSHLLSSNDPNVVADACWALSYLSDGPTNSIQNVLDTTGIVPRLIQLLAHKDKDVV YPVVRTLGNMMTAGMDVQVQTLIDQGMLPRLLPLFHIRKENIGEGECGTVRTLSAGSM PHIQAIVDAHIVPPMVGLLTTGQFNMQKEAAWVILHATSCGSRVQTQYLVEQGTNYQL CCNVVVKVKWW H257_15556 MQHSDCHMNFEELSLHSIRTTEKDEQLRIIGETDDAAAATKSDR RQNTSTAAEGSSAIDPTLTRLIHDVQSPDVDLQLSALTSIRKLLSNVPPCQALITREV AALLTAFLAHDHL H257_15557 MFRQRWVLQAACGAAAFSASIAVFSLVMETQNVDEEEDENGDSG SAEGAPLLTHGASDTPTTTIAATSSTVSMTSLNTALNGTHLSIVQRARIKSFWDELSA TVSVESAIPVSVAVAQMQLYSQRYPQEFPFPQLLHALSVVTRANVMTLTSPPSPSPPL PPAAPRRRSTLSLVGNKLFEMAVDTKGGMQDAMHRAVRRYLERALDLFAERLKEAIKD KDMPLYLQANIDVAVDQFLPDIKVELSRKTKDLFVATTNSNPPASTGGGILRPPPDHP QTLMEACHERWHRTRAMILYNLFPYDHSIWRCFKNPYWWALTFIGLLPVVGQAWWCLL FVLKDKKNEHQLCQFIIGFKTTQFLTLGLWATCLGIVRFIYCTVHGPSCDIYGPLLSP WNTLFFLGQIALVWVAFFYLPYTDRPASPASPRAASSASSTYQDMFGHILHMDRGGYL MKLWGSPCSKMCSCIPYEWDTMLKAARCGCSPPPPPLQPK H257_15557 MFRQRWVLQAACGAAAFSASIAVFSLVMETQNVDEEEDENGDSG SAEGAPLLTHGASDTPTTTIAATSSTVSMTSLNTALNGTHLSIVQRARIKSFWDELSA TVSVESAIPVSVAVAQMQLYSQRYPQEFPFPQLLHALSVVTRANVMTLTSPPSPSPPL PPAAPRRRSTLSLVGNKLFEMAVDTKGGMQDAMHRAVRRYLERALDLFAERLKEAIKD KDMPLYLQANIDVAVDQFLPDIKVELSRKTKDLFVATTNSNPPASTGGGILRPPPDHP QTLMEACHERWHRTRAMILYNLFPYDHSIWRCFKNPYWWALTFIGLLPVVGQAWWCLL FVLKDKKNEHQLCQFIIGFKTTQFLTLGLWATCLGIVRFIYCTVHGPSCDIYGPLLSP WNTLFFLGQIALVWVAFFYLPYTDRPASPASPRAASSASSTYQDMFGHILHMDRGGYL MKLWGYETITFCITMILALVVNVVVVPTWERQMLMFWIRTLYGLLSFPFLPFKVPVLE NVLMHTVRMGYDAQGRTVRMQSPAAAPAT H257_15558 MNPHQQHRGATFQQVFQCLSREGWFSQWGMSGMSYFKPGTVVGT AVFNQNVFVGEANVEMYWRHSGDWQRVERMIFQAQLNNHMHQNQLNQQRQHHHMQQHM AAAAAAVMPRGIPMPEPPTPSSSTSLPSAAELLDQRNRSLNYPLDMLCDILAVDRDTA TPNGPTTATPRQTRQQQQQHLHEHLHHHLPGMHHPMGDPSRFPMLDPSHPSSILFPQS TTLPPTSSTMHLLPSSPSSPPPPLPSSGDLDLVDHPPISSTTPSSDTVIPSATPKPPT RPKKRSRNLYIRLDQMKTVLRKHGWKWVEGPKGFIYCKPHVQVTGRGKSMTGKDGVDF FSGRGPFETYVRSQKSLMELIQNDLKDKHDGAIFSMDIPPEDQLCAPTYKERAELARR KQMKEKRMAALTLRRSLQVAAAATTTTPSSNSTTTSSSAAATTTPSSSTAVTVVAAAP SQGTSAEAGDIDTDVFDVVATTAATAIETETPATTDTTLASEGHQDQERNTSSEDGVP PSNPVELGSSTSSSVVSTTVL H257_15559 MDTATATRPQAAPATATTTVARVAEPEVRPEVYVLRLDPRPHVR FDEATAIDNEFFGRKKSKRCCIFHKKREFGESSSESEPDSDDSSSSAEARRETWKQKK ERNTNANASPNKKKHMCNDRECPQAST H257_15560 MLALRGGIRAGWPLSKMAPTKFPLPANFFRCPMLTPAEKAEYLH TASENAIDLVAHCIMDATGPLQWTLESASTDINIYISNDPTLPSHVLCYAGVVEVKAS VDEVAALFQTHTTDLYKEFRRRFASDLLDGHNLYTLARPTETHPLKAVNIKWTVNEMP GGGLLSNRDWCFLESMYEFDQNGRRGWVRAVYSTELRCCPDLQASLGVVRGTFFRSGH VFVESDRPGYLRGTLLFQANMNGGFQKGLIPSWVVKAGVRRRIRGISDIHTFLRESRL SQGPLVGAWDFVDKATRQRCYLCSKKFGPLLRKAWCRKCGEVVCHSCSSKWTLSMSDT PVRACSACALGLVAPHTFAMDAVKSAPAAMVAPHLLRRDGSTDAGDKPLRQTIGDPTD PHRGVPSTHSQPTYRQPLASRIEFYDIGSQHKRGISGKKQHQQLLQSVGFEDDAVYST QGPIMLADARFHERDHTARDDFWNS H257_15560 MDATGPLQWTLESASTDINIYISNDPTLPSHVLCYAGVVEVKAS VDEVAALFQTHTTDLYKEFRRRFASDLLDGHNLYTLARPTETHPLKAVNIKWTVNEMP GGGLLSNRDWCFLESMYEFDQNGRRGWVRAVYSTELRCCPDLQASLGVVRGTFFRSGH VFVESDRPGYLRGTLLFQANMNGGFQKGLIPSWVVKAGVRRRIRGISDIHTFLRESRL SQGPLVGAWDFVDKATRQRCYLCSKKFGPLLRKAWCRKCGEVVCHSCSSKWTLSMSDT PVRACSACALGLVAPHTFAMDAVKSAPAAMVAPHLLRRDGSTDAGDKPLRQTIGDPTD PHRGVPSTHSQPTYRQPLASRIEFYDIGSQHKRGISGKKQHQQLLQSVGFEDDAVYST QGPIMLADARFHERDHTARDDFWNS H257_15561 MAVKKLSYFDKLPLPPKYFKCPPLSPDENKHLLFLADSMCMDVV DYATIDGTGPLEWTVEANDCDLVTYKATDPNAPPGTRSWLWTTQVQGTLAEVADMFNP AGLDDPAAYREHCHAFHMEALDGVRLYCLESPPQGDTVGGQYVGVHWTVNELPGLIKN KDVCFVKNRDWCFLESHAPIVLGDGRRGWVRALSSVELHCCPDLKPSLGFVRANYHRS GFVFAESRDFPGYLDVTQLQQIDFRGTLTDLFASIEVAARKRDMRDVDHKLRAHRLSQ VTFLAEHQLVTWQSRSKCHVCRTKFGLLLVHKHRCRKCGEVVCARCSKVWAIKVASIH SNVRVCSPCAVSLKYIAPSSSSSLASRGVLRRGTSTFAALTPRQSSDMDETASMTSRA SEVSSVSGARLRTTPRTPHETHTPQAATPQATPRRRRRVQDIIPPVPTWPRSIGECSR GDEVEEDDDGFSRYSDTTIGGGGGAKDGAVYATSPPSSTMSHHHDMIKVDFSQWSEFS TMVA H257_15562 MTYQQPSDDDRSSVPELAFRSPQLTPAQVEHFQALADATVAKLV RHSELQDSSISWVRAKRTVDGVQMFKGTDAQAPLGTRTEAWTTELFGSVDNVAGMFKA DVADADNFRACHAPHQFQAVDGRRLYCLDNTPRQYIGVHYVVTELPCVLPFKRQSIVK FRDWCFLETHAHFNLDDGRQGWVRAFASVALSCCPDVGVAMDVVRGVIHFGGFVFIAS STRPDYIHVTQVQQLDFNGKLHNNPLGDLLVKLDFQRRAKAMAQLDGLLRGYRLGLTP LPPVLAATPRHFGHTCAHCRAKFGLLVPSDLCRKCGLVLCVKCTRVWTLRDIVGRLQL PQRAEYIASEA H257_15563 MFSPAKAESLLFQTTSGRKPLHHHDTVAFRLPRLTCGDLTNLFC MLVALAGLVILGCSGDYTLVGKTLALVGGCGLGAGMMNALFVHLLFRRVRGCVGAGVF HRQAKEIAIQLQRLMVHTFFSPTTLAGYAKSFDSRQGAVTATVRSRLDQPDSHIALVN AFTSVASTPSGAVLNSFAGMFGGIEPMVPKIRPLVIALAAEWDQQHPSLLSRIQAVTG ASSEDVVLQRLSQEIGNFLHTRAMRLEPAEVAAMLQTLVAPHLTWIVVWGNVFGLVLG GIVALCTLIMSPSPP H257_15564 MSLPAIELAERSAWTALHALLLEYPSSAEECDDYGMLPLHWSCT DPSVTAAVVQTLLDLHPTGAQTKNTAGLLPLHIAVKAEIDVPIVDMILQAYPAALNVR TPHGDTPGDLARKLASSPELCDVLHINYDAPCRISMESVVSKSRSSSCSFGESQYGGS TMSNVHLPPRWQHEKACHVCQAKFGPFRSRHHCRGCGVSVCNAHSRGRMALPNLGLQS LQRVCAACYEEFNPDGPGVGSLTLTSSRNVRRIRSQTEDFLKSSSSSSRSILAHKAAF LLQHPRQPEADRASDATRPSRTSSESSHDIDLLTESIHTLNRTKAMLQEQLLATHIEG QQRSQQQHTEYWPQAQHASGTVVDVAQTQHLLGVALADKGSTAAAIDALRKSLANQPT AGAWYDLGRLLHAIENEDAAEDALRKALAMSLDESKQKILTMLGKVLYAKGDSSAALD VFQQALGDFSHAADESSDEEAVGSATAEF H257_15565 MTGRFVDAHCHLQDPRLRTSLPEVLRRASEHGLTHICTCSCNED EWNNFPSLVQDIASPTPKIVPAFGLHPWYAGDASPSYLDSLRTTLQRYPSALVGEIGL CKSRRGKQVPLHVQEQRCREQLELSEQLGRPVVLHCVAAHGKLFDLLAAAPSLPHAIL HAYSGSADMVKAFTKLPFPVYVSFTARQCVDMVQSDKLRSTFAAVPSSRLLLETDAPD QRPSVLGSSAMAVLLDLELNDPVAVKMAVEVVAESTGKSADVVAAQVYANAIDAFWVE NTGI H257_15566 MKRVTSGQPAKVRSTSTRKLPVTCAHGVSSINNNNHVAISKPKP TSTVVKTTATKRDALNLKINRAADRAVLHVFRQAFLALDVVQTVHALGSTVTWCTTDQ TRNNHPASLFLALTTYTMPLEDITGSLRQVVSFESAAHSRRVNPSTEVTSRMTLKFSG WRLVDTTTDGTALCVYQYTHYDAVAGGSRSCWPSLVAVVWKDGLQVHSLYAIHSIPLV ASALLSRVVTTLQDDIDPCFGLHGYTVAVSLRSFDQVVWDYEGYLVDFSSDLPIGATE TVASLLVPQGALRDRSRVLNEGSSGNLSIRTLAFTTTATNCIVVDVAVWDFERTCRWA TSQCVAYTPSPKRQDALDMDVAKSFEAVQLAVDTPQIGRVRVEIYRFQSKAIVASLDV ALSTAFVNATYGTTYGKE H257_15566 MKRVTSGQPAKVRSTSTRKLPVTCAHGVSSINNNNHVAISKPKP TSTVVKTTATKRDALNLKINRAADRAVLHVFRQAFLALDVVQTVHALGSTVTWCTTDQ TRNNHPASLFLALTTYTMPLEDITGSLRQVVSFESAAHSRRVNPSTEVTSRMTLKFSG WRLVDTTTDGTALCVYQYTHYDAVAGGSRSCWPSLVAVVWKDGLQVHSLYAIHSIPLV ASALLSRVVTTLQDDIDPCFGLHGYTVAVSLRSFDQVVWDYEGYLVDFSSDLPIGATE TVASLLVPQVSCSPSSHFLDSY H257_15567 MNVSVKSPRASAKPGARSVVPSDSLPSRRSVVELPPNARRNFRQ RHRAAKALDQPRNAVGAFVTHIKVELFFISIVICYGIFVLVQMTFESQLKVYQDEFDL VDLVVSSVLTVELLLRLFGFGFVMLLSFWNCFDAVVVVGTLVLSLWSFTSANGTGAAL ATLLRMRPILRIFRIVVVFERIKQRSAALKHAHRGSTLQTPIETVLGTLYELRYQPSI KPSVQNEIDYAIYCIKNNKLYDAGEHMLNGQNIDKDTQDWLRDGLLRKNDASAVTPSP AATDVGGGDDGKAGRPGGGGIGSLRKENSGITDELFPLTESARSHFNDLMTTVADWDF DVFRLQEVTKGNALTHMGYFLLRDLVEEHLLLDAHTLAVFLIEIQQGYIMVNPYHNAM HAADVMQTSNYFSTRNTISPFLRPLDRTLVLLAACIHDYKHDGFNNGFHIASGSELAI RYNDTAVLENFHVAQAFLTMKSAGCMLFAKLNLDDYKYSRDMLIQLVLGTDMAKHFED VALFKANIMPQSLDERMEIKTLGDKKMLMKMIIHTSDVSNPAKTRMTMLRWTDRVVDE FFGQGDKEKTLGLVVSPFMDRTTLALKKMQLGFADFVVSPLFHVWSNISDQVQADGYS TLLDNREWWNQREDNFKHSQIKGVVKDLQQDANVASGLLSHKTSGGSSRGLSMVREGD GGTRAPVSQTDSDGGGSHKLELVVKETKETNKGGGEGGPTTATTP H257_15568 MKLFVAIAAVVATVFAQDFNFTDVSESQEQGASLRVVGGNEAPV GQYTWTVNLRRSAGGPSWCGGTLIAPNYVLTAAHCVANGKPGFVAVGTHYTGGTSDGE QISVVSSTSHPSYRNLFYGFDVAILKLSRASKFAPLPLAKDGVAAQTRVKLLGWGRTS GPSGNPSSVLKENIFVVKSNAECQAKLRTSKKFRGWRATATHLCAGGDVGQAACFGDS GGPLIKSTSAGLALVGDVSFGEPCGKGFPDVYGRVAAFRAFIDQASRGHRWV H257_15569 MDSTTAVCVLLSLLSPSCRSTDVATAPLPSTLLSYPVPRGSKSS PTALTMDGAIRQTDHYGRKFHQHYQGAGSNDHGRSGQLEASRLSQATIPTIDDTRKTD WVQRYDDIHEDLKRAREENGTLGQLVRAHERVYAENQKAFDRMRKRM H257_15570 MTHFPQSLLDIYDQVHKIKPWTRYLRAGSILPDCDGLNALHVTR FNNAVRKFWRLYGQKLWERTYSPYSTNQLDSLIRQVMYLYTELQLLMDNTDYSSSLVH FLCFPHPAWRSLS H257_15571 MATVAQLATRTAHPAVQCKLKCGKLDASSMLASLAATTKTFVDK NCPWRTEPYPFVSVQFADDKLGYSWTNRVVAPATTHTWDGKTAKMAFWNQSPLRAVKA GKINTLDSGDDDENDWTIPTTAKTTPCRTNWTRKIDAELHDTDE H257_15572 MDRLKKFQGYWSRAYDDDIPERLLRRTPSDDNWRPAFDEGDPND ALLASEFLLESSIGGRVQFPDGDLAYMNVESPISKVSMAFVIVRHVQD H257_15573 AGKDLFDAQTFGKQDPFCKVTIGDKTFQTRVHDNGGRNPKWDEA FVFRLTDPHLDQLTIHIEDSNTVSNSSIGTCQLPVSIWSGGRSVEQWYPVNHGGKQRG EILLAVQLVEVSATGGAAVPLALASGKGKAFELSIRVKAGKDLFDAQTFGKQDPFCKV TIGDKTFQTRVHDNGGRNPKWDEAFVFRLTDPHLDQLTIHIEDSNTVSNSSIGTCQLP VSIWSGGRSVEQWYPVNHGGKQRGEILLAVQLVEVSATGGAAVPLALASGKGKAFELS IRVKAGKDLFDAQTFGKQDPFCKVTIGDKTFQTRVHDNGGRNPKWDEAFVFRLTDPHL DQLTIHIEDSNTVSNSSIGTCQLPVSIWSGGRSVEQWYPVNHGGKQRGEILLAVQLME GLKVMAKNVDGSPTDCFDKLHRGCVDKNLRTAQRCGIDMDVVFERADKDKDGMLSGAE FLGALESFECFTASEKEQIRQSLTKRDKSISLGDLRGLYESAIESEWKNIFHHPVEGN SKAKAQVIAKVENSTKPRVIKTSQKTKTTKVPATTAPKDVVCMMTEEQLDRLIDAIPQ FKGPSKSNKAEVVKVAATQAEPRKPVALYKPSKVKDLVTEAPPKSKTTFIREAIPLAL QAMTETMQMSLQRGGDENHSGIWLTSEELDGVVDAFLATTSTFESAAASLVAVPPLDA CEQLLTTLATCHLCLTDGSEFWCCNCSTALCAQCLHDVCCKQLHHHVEVYVPVSNLST PAVTSNAMRPRGDKPRKLAEKQLELAFVPLAAGPALQAQLTTTHLAGCDSKTLKQFCM LLLKQIEMQPMCKVILGFLDRTCITQWSPDDLRCFLDVIHVPSAVLAEQNVTGDHFLR LSVPALHDTYGIVGSFPLHRCLFYRSLLSFVDQWIRSHPPVKPVQRVAATAVVVAPVK PKPKKKLKPTAFVWGPPPDDVVPINQTSRLTTSSRVKLNHTATNTNNPARASDQNLLG RHSNEPINPPRPSKPPAQTKPPTVVLPSALSPVKKLHSSVDEDLVHLMQYEMNDVRTI NAATPATSAVSSLAPRKPVPSQQSMLHLQQTMTDLVQRLEVAQSLPTSDDSVLTRIDV ANTLVQRLLHMAPQELESKHLVESLDALVREIEGKLQRLPRHTTSRNRKASAMAPVQL RETKYMNKWTFQMPEPAAPPVSTATAPGPSDYDTDSHRAFPMHQPTKQPHVSQKPMSD ATRVQTMLSDLGFDMTNTTTTPSTRTNKSTRKATVTTASHEQTSLDESPPPFDIDEFV ADETGGPANVQRHDHAASRLYSTSFLDDPVAPQNIGHSTWQRLRRKQAAPMESVHVSD AMAEMYDGAKVVVPSMVKVKPPKSVKAVKTKTTPRAKTVRVADDVPQEMQ H257_15574 MPTIGPPVACSSATCTQPKTSSCRRCVRCKSQAYCSKECQIQAW PTHRAACAMMTCAQKWRELEAQWWRQLPPNETLSMVAEGLDPLAMAFYGEVYFALCNL KPCVMLTGIPHHLQPSFLQNVIRASNVLDLNMLSLVQAGPVSTLSFDFSGTFVLVTLG HPLASELVVALSTPASMQVSETTVARWLDYPVALDTCVDTSAMVEIGYFDITTDQLVT SYCATFHDPNHRRQILDHFQRYTQTLGSILQLRRDATSV H257_15575 MASGNATVKAVISGDTVVLVGAASSNGPPPEIMLTLSSLQAPKL ARTAEQTDEPYAFASREFLRKLLIGKPVRFKVDYRVSVINRDFGSVYLNGENVGLAVA REGFAKVKSIEQSRDGASPDHDELLRLEQVAQSEKKGIYSDDSVTAALRVNWNGLSSD ELLGRFKGQQIPAIVEVVRDGASMRVILLKTMQIINFALSGVQCPRINPPVGSEQTGP APYAKEAKLFTEVRLLHRQVHVKLEGVDKFGNMFGSVVHPSGHNISIELLKEGLGKMT DWSSEFTSVAIRTDMRNAEKLAKLNKIRVWVNYVAPVLQAAESRLTGLVVEVVSGDCL VVALKPSNNEMRLYLSSIRAPRLGNARREEPNAPYAVDAKEALRNKCIGRVVSIEVEY ERNNAQNDAAALLTFASVFLEPTAAAAGKKKADAAPVERINLGEQLLTDGFGEVVRHK QDEEKSGYYDALVAAEASARAAKRGQFSGKPSPEARVTDLCFDGNKAKQYLPFLQREK SLRAIVEQVYAGNRFKIYIPKENCTVNYVLAGIKSPQPARPSKEAEPFGEEARKFSRR TVNQRNVIVEIEDMDRAGNAFGPLYVGQKDSKTNIGCHLLAAGYGRIDDFSIDRTSTA SDLVKAQDVAKAGRKNIWKNVLPDETVAAAAAPVVRKTADDVWPVVRLSEIVSHTHFF VQNISDRVVATLEDQMRAWTASVGVDGKTVELRKGALIAALFDDGQGPLWNRARIESV SAQAIRVRFIDYGNVEVLPVTRLRPLDPTLVSLPGQAKECVFGFVKQAEPHHEYAADA AHLFNDLAWGKTLTGIVHGRDEAGRFQVSLFVNDKSVSESLLETGVARVDRKSFKAAQ SYQKKVVDGLLTAQDAAKRRRLCLWQYGDVESDDE H257_15575 MRVILLKTMQIINFALSGVQCPRINPPVGSEQTGPAPYAKEAKL FTEVRLLHRQVHVKLEGVDKFGNMFGSVVHPSGHNISIELLKEGLGKMTDWSSEFTSV AIRTDMRNAEKLAKLNKIRVWVNYVAPVLQAAESRLTGLVVEVVSGDCLVVALKPSNN EMRLYLSSIRAPRLGNARREEPNAPYAVDAKEALRNKCIGRVVSIEVEYERNNAQNDA AALLTFASVFLEPTAAAAGKKKADAAPVERINLGEQLLTDGFGEVVRHKQDEEKSGYY DALVAAEASARAAKRGQFSGKPSPEARVTDLCFDGNKAKQYLPFLQREKSLRAIVEQV YAGNRFKIYIPKENCTVNYVLAGIKSPQPARPSKEAEPFGEEARKFSRRTVNQRNVIV EIEDMDRAGNAFGPLYVGQKDSKTNIGCHLLAAGYGRIDDFSIDRTSTASDLVKAQDV AKAGRKNIWKNVLPDETVAAAAAPVVRKTADDVWPVVRLSEIVSHTHFFVQNISDRVV ATLEDQMRAWTASVGVDGKTVELRKGALIAALFDDGQGPLWNRARIESVSAQAIRVRF IDYGNVEVLPVTRLRPLDPTLVSLPGQAKECVFGFVKQAEPHHEYAADAAHLFNDLAW GKTLTGIVHGRDEAGRFQVSLFVNDKSVSESLLETGVARVDRKSFKAAQSYQKKVVDG LLTAQDAAKRRRLCLWQYGDVESDDE H257_15576 MNIQVDRFEAVHVKGKKFVGYITSVEHLASGSKWEIPIRYSVYN AFHAKLVKTDPKAAKLPFPKKSLFAPPNATRRDLLNKFVTQLTTITLSPEGSKLLDAL LDLPFKWKLQWQQHCQLNQARPHRCLWPNPS H257_15577 MALRFITKSMPSAMARSQRRFLAPTTASKHMSTVVGTGEFPGGQ TVPYVNKITVLDPKEQDIIPAYRILDQQGKLVTGGQVPEGLNEEVIVDLYKNMVRLNQ MDNVFYDAQRQGRISFYMTAFGEEGTLFGSAAAIKPRDMVFAQYREAGVLMYRGFTLD QFADQLFSNEGDLGKGRQMPVHYGSKELNYQTVSSPLATQLPQAAGAAYGFKVAKEDR IAICYFGEGAASEGDFHAALNFAATRDCPVLYFARNNGYAISTPVKDQFRGDGIASRG AGYGIPVIRVDGNDLFAVYEVTKKAREMILTGGRPVLIEAMSYRQGHHSTSDDSTRYR EVSEIKFWKDTNCPINRLKLYMLDQGWWSEERDQALKDAERINVLQSLAKAEAKGIPE IQTMFDDVYFEKPRHIQEQEKEMLEHLAKYPEHYSSGGH H257_15578 MSSEEDLRSDDDDEQEDVDDYSDDDDEVTSKKRKGSAAAAPKAK KKKKPKVMSFIDDMADEASDDESDDDYDRGDFLPDERHLAEEEKEELDHQSHHQYNQH RNNAAHNDDDTEDIIRELKKRSKQQSRRGNEDDDEDTVESMQSAVAQQALLPSIQDPR MWVFKCKPGREQHLVMALMNKFLEFAKRGTPLPIKSVIASSSKGFIYVESEREPYAKD AVNGIRDIFPWSMKLVPIHEMTAVLTIQNKKTPVTAGCWARFKRAGLYKGDLCKVVEI VDNGLRAVVKHIPRLDQIVLQGGDQPKYKKGQRPPLKLFNATSLQGTSAEVSRKRHPV LDGMMDFFDNEWFKDGFLYKELNIATMLQLDEVNPTLDEINKFSHTTTDFGDSDTDQP ASSVSKLDLGDADAWKNKVDLTKGDTVKVIEGDLVNLLGVVVGTNSTNDTVRVMPLHD EIKDTILDFQLKQLIKIVKVGAHIKVVSGRYSGETGTVVSLDESLGAPVAIVLVDTQA KEIQVRVRDIQESAEVSQGLDSLKGKELYDLVALPHGEVGVITHVGRDSFTVLAQNGQ TKSIADQEIQRKIFSTRASALDKKGNPITVGEMVQVVEGSFSGQTGTIKHIYRSYIFL HNNRVTMNAGIFMVRNRQLILSGDKVKSNNAPGSGSSGHGGGGSDRYGGGGGRPNDRQ QQQRGGPRGAFQSDLVGKTVKIKRGQWKGYIGMVVDEGDTTVKVEMHCNSKCIEVEKK IVNVAGDRQGVHVDRTHGSSTTMSSAQTPMVSQTPLHHGMTPMATPLHNAPRTPSSRS YSSGSRTPQHDAWSSHNDDQLLEANIPHEREISHAQSFGTPLEPVVPSEFGVASTYKQ SSYNDMNSMLNHPTTPGLLNPTTPGFQGPYSTPGGLQPTTPFVSTPGMPHNSVQTPLQ TPFQSHHSSFGPTTPGINMMHGHHHHPTTPGVMNPTTPGFSAYTPMNPTTPGLFAKGT PAGAVTPHGVGIGATPAPMTPASGQYYDNGFGTSSGGGGEASWMSKGVVVEVATPGEH YKAQGVIVSVGHDACLLDVRGQTVSIHVDDLNHVMPEKGDNVKILVGDEAGKTGVLIG TDANDGIVKMDGTAEIKIYPRSHLAKVAN H257_15579 MSSSTNGVVTPIPDVLVEATDLPPPPLSLKRPSLNEPNPPLPEP SPPLPLPSTLPDLTSQAVTPVSAQSKTTLELEQDELRSKIRAHVGQSLRVMREQKRRE SRRFCGMSRADVFEWLRAVLCCSEADSADTADDSDSKIFTTKKFLGGVEEFSCLTDRE LSQLARLAEMRSFARDEVIVLDEAEADGMYILMSGKATRAYVVAGSVLDDISLPMEPI EYQEAFGMNVPAITKGDERVESTVTAVSEHAECLWLPHLVVRMLNIQPRMDYEFVLIL NNQDGISDADYKQQEVVLSNILRAGIHVTVLANTRNTVGKIILLLNAPLWLLAREDKL MKMERIVEYHSEEDAKSLGDEYGANAETMTAADRIAAFASILTRPAADRPPGAGLRGI ENNQDPVVHDVFPLHDPNVTDFITSSWFKEALSASTRRLFLLRTKDHFGLHIAFYTAF IRLYCASLSTPCLVGIGLWVVWRQVDYHSYMQALGIYGLLVAFVWAPSVLKRWKRYQY SLLVEWDLLQAKEVEYPNQEFKDYVVETINVANEGDPPDYVDVKMYDRRRRYPKYILF GVFSVVCCILLFVFVMLYCQWYIIAVMTPMCDDPRCPAFLDSHNCVNQCELLLQQGKT YNFLRDIRESVRGCQGYCDTATFDPAYYDCDRALVGCFSTERGVVGTARWTYVLVQGI VLGLTLDILFLAIFELIASVFNKWENYATLQENNRRFVEKIFLFNWVGYFYWFFLLAF LYTPYGGEVQEFIRVHIDTNMWFTGNGRFKFSRYWVSGLVGMDSAFVTPLIVTQALNL VINTFVPFLLRRAVVSARDTYLVSKDQLSLKLKNGLKMHFQTAVNLTQSAVNLTQTAT QTAAGSVVRHSKQALRALRMSMPGATEDDLAAAVAAVTDEVDQSNDLTDMEKEELAQF LSEKKPIRAADVEATIESLFANLSSHHSRMNQICLNVKRDDLDVYQVTSYDWIDRVTQ DIEHNKKNESIWEDPLHKAAAASGGRPSFRLRLIKEWHCRNYVYNADRIMEESSMPVY SPQGDLLHMAIQFSYVTMFSVIWPFCALCAFCNNTVASRFDAIKMVIDCKRSVPRRMI GIGPWLGAFTFEALVATMVVPAIFVYVTGQMDSFSPECPISELDYGPVTNCFPLIWRL LAFCVLENVGIAICFFVYLRKSDISTDTSLKIQEHSRRVKYNVRKSVRTIGEHILVRV QHRHNTPADHVPPSGAAQQSDGEWRQGTVAWVKNGRIKVNFYSHGLFGQESHSAEHEV WLQHEQYALKPPIPVRVFEMHMPVLLASAKKGRWLEGHIVGLDTVNRFGKEIVHRPNE MHLSIVEGRNLRSNMMRNVLDPYCVVTCGTFKHMTSIKRHTLNPLWNEQLVFGMAEKD LAASGGKLLLSVYNHDTLSLGECIGEAEIDLKAYLDGGKKDKLWVPLLLKKTMLNLDV LSNAVHKLALGDNYPDTPQILVELQWIDTRFPAQVHVAVKDDGHDAPPRVLNRKHCEK RLCYGIHAHEIKQGRIFDTKDNVS H257_15580 MPTWVKQMATEEWTSSVRKAIKVRRPPGVAHVLPSSNYGIEVTT QGTTHPVTAIEFGYIDSKDSSINHKKKNGACVRGRDATETVSVSNQSMSSTISSVFCL DASHPFTFTIDRPTTNDPQAMIQVRVGLSTELLASLPMGVLETWMIVLCETPPSLEKM SVFDFSTHTFCIGVRLRLAHVHARDVRAMTVHAPSFVPHSLRSIFNLPLPTWTLPRKL LPLHASLTTLVEDTVPRYMPRPTPPRSPRAFFLMQQRLNDIQSYVPFFTLLLEMEEVR MTKDMHSYDQYSVRFRVHHDHSSGRSPPHALLEYMKVTLDVPGAIEGRPVLLQGGSVR LRASGGLLSQVEIHGVVLEVKGTVVTLLVPCTVHSADVASVLPPHLFNPFVAQQLYAS ESFHVRFTFPRYGLRLAYDALANLTSSTMAILHPDAFPATNLFRPRVRLHDMMPFNDQ VNDRQLQAVWHIVNKSSGLAPYIIFGPPGTGKTITVIESILQVLKHNPNARVLAVAPS DAAADILGMRLRAFLNKASLFRFNWPHRKIASVPGPLLGFCHVEKGKDVFSLPDLARL LDFRVVVTTTSLSGVLKFAQVPVGHFSHCIVDEACQATEPETLVALTLCDRNTHVTLA GDPMQLGPSCRAKSSCQFRLVESFQERLMRMPMYDCATADNAMRIVKLVNNYRSHSAL IALSSTLFYHNELLPCADPRLVDSMCQWEGLQGRHQFPMMFYAVNGVQHQSIESSSFC NLMEAIKVADVIGNLLRTTGVSTKDIAVITPYRQQVVKLRQLLRARGHGAVNVGTVHN FQGQESKICILSTVMTSMDTISSCDYVKAERPIPVLSDYKSFNVALTRAQALCIVVGH PAVLSRHALWRYMMGYCVRHGGYQGTDEADDDDVDVFNVTPPSVKFGAISDEPLDDPE WHLFM H257_15580 MPTWVKQMATEEWTSSVRKAIKVRRPPGVAHVLPSSNYGIEVTT QGTTHPVTAIEFGYIDSKDSSINHKKKNGACVRGRDATETVSVSNQSMSSTISSVFCL DASHPFTFTIDRPTTNDPQAMIQVRVGLSTELLASLPMGVLETWMIVLCETPPSLEKM SVFDFSTHTFCIGVRLRLAHVHARDVRAMTVHAPSFVPHSLRSIFNLPLPTWTLPRKL LPLHASLTTLVEDTVPRYMPRPTPPRSPRAFFLMQQRLNDIQSYVPFFTLLLEMEEVR MTKDMHSYDQYSVRFRVHHDHSSGRSPPHALLEYMKVTLDVPGAIEGRPVLLQGGSVR LRASGGLLSQVEIHGVVLEVKGTVVTLLVPCTVHSADVASVLPPHLFNPFVAQQLYAS ESFHVRFTFPRYGLRLAYDALANLTSSTMAILHPDAFPATNLFRPRVRLHDMMPFNDQ VNDRQLQAVWHIVNKSSGLAPYIIFGPPGTGKTITVIESILQVLKHNPNARVLAVAPS DAAADILGMRLRAFLNKASLFRFNWPHRKIASVPGPLLGFCHVEKGKDVFSLPDLARL LDFRVVVTTTSLSGVLKFAQVPVGHFSHCIVDEACQATEPETLVALTLCDRNTHVTLA GDPMQLGPSCRAKSSCQFRLVESFQERLMRMPMYDCATADNAMRIVKLVNNYRSHSAL IALSSTLFYHNELLPCADPRLVDSMCQWEGLQGRHQFPMMFYAVNGVQHQSIESSSFC NLMEAIKVADVIGNLLRTTGVSTKDIAVITPYRQQVVKLRQLLRARGHGAVNVGTVHN FQGQESKICILSTVMTSMDTISSCDYVKAERPIPVLSDYKSFNVALTRAQALCIVVGH PAVLSRHALWRYMMGYCVRHGGYQGTDEADDDDVDVFNVTPPSVKFGAISDEPLDDPE WHLFM H257_15580 MPTWVKQMATEEWTSSVRKAIKVRRPPGVAHVLPSSNYGIEVTT QGTTHPVTAIEFGYIDSKDSSINHKKKNGACVRGRDATETVSVSNQSMSSTISSVFCL DASHPFTFTIDRPTTNDPQAMIQVRVGLSTELLASLPMGVLETWMIVLCETPPSLEKM SVFDFSTHTFCIGVRLRLAHVHARDVRAMTVHAPSFVPHSLRSIFNLPLPTWTLPRKL LPLHASLTTLVEDTVPRYMPRPTPPRSPRAFFLMQQRLNDIQSYVPFFTLLLEMEEVR MTKDMHSYDQYSVRFRVHHDHSSGRSPPHALLEYMKVTLDVPGAIEGRPVLLQGGSVR LRASGGLLSQVEIHGVVLEVKGTVVTLLVPCTVHSGIFVEEVRVKCLSISLGYDVADV ASVLPPHLFNPFVAQQLYASESFHVRFTFPRYGLRLAYDALANLTSSTMAILHPDAFP ATNLFRPRVRLHDMMPFNDQVNDRQLQAVWHIVNKSSGLAPYIIFGPPGTGKTITVIE SILQVLKHNPNARVLAVAPSDAAADILGMRLRAFLNKASLFRFNWPHRKIASVPGPLL GFCHVEKGKDVFSLPDLARLLDFRVVVTTTSLSGVLKFAQVPVGHFSHCIVDEACQAT EPETLVALTLCDRNTHVTLAGDPMQLGPSCRAKSSCQFRLVESFQERLMRMPMYDCAT ADNAMRIVKLVNNYRSHSALIALSSTLFYHNELLPCADPRLVDSMCQWEGLQGRHQFP MMFYAVNGVQHQSIESSSFCNLMEAIKVADVIGNLLRTTGVSTKDIAVITPYRQQVVK LRQLLRARGHGAVNVGTVHNFQGQESKICILSTVMTSMDTISSCDYVKAERPIPVLSD YKSFNVALTRAQALCIVVGHPAVLSRHALWRYMMGYCVRHGGYQGTDEADDDDVDVFN VTPPSVKFGAISDEPLDDPEWHLFM H257_15580 MPTWVKQMATEEWTSSVRKAIKVRRPPGVAHVLPSSNYGIEVTT QGTTHPVTAIEFGYIDSKDSSINHKKKNGACVRGRDATETVSVSNQSMSSTISSVFCL DASHPFTFTIDRPTTNDPQAMIQVRVGLSTELLASLPMGVLETWMIVLCETPPSLEKM SVFDFSTHTFCIGVRLRLAHVHARDVRAMTVHAPSFVPHSLRSIFNLPLPTWTLPRKL LPLHASLTTLVEDTVPRYMPRPTPPRSPRAFFLMQQRLNDIQSYVPFFTLLLEMEEVR MTKDMHSYDQYSVRFRVHHDHSSGRSPPHALLEYMKVTLDVPGAIEGRPVLLQGGSVR LRASGGLLSQVEIHGVVLEVKGTVVTLLVPCTVHSADVASVLPPHLFNPFVAQQLYAS ESFHVRFTFPRYGLRLAYDALANLTSSTMAILHPDAFPATNLFRPRVRLHDMMPFNDQ VNDRQLQAVWHIVNKSSGLAPYIIFGPPGTGKTITVIESILQVLKHNPNARVLAVAPS DAAADILGMRLRAFLNKASLFRFNWPHRKIASVPGPLLGFCHVEKGKDVFSLPDLARL LDFRVVVTTTSLSGVLKFAQVPVGHFSHCIVDEACQATEPETLVALTLCDRNTHVTLA GDPMQLGPSCRAKSSCQFRLVESFQERLMRMPMYDCATADNAMRIVKLVNNYRSHSAL IALSSTLFYHNELLPCADPRLVDSMCQYAT H257_15580 MPTWVKQMATEEWTSSVRKAIKVRRPPGVAHVLPSSNYGIEVTT QGTTHPVTAIEFGYIDSKDSSINHKKKNGACVRGRDATETVSVSNQSMSSTISSVFCL DASHPFTFTIDRPTTNDPQAMIQVRVGLSTELLASLPMGVLETWMIVLCETPPSLEKM SVFDFSTHTFCIGVRLRLAHVHARDVRAMTVHAPSFVPHSLRSIFNLPLPTWTLPRKL LPLHASLTTLVEDTVPRYMPRPTPPRSPRAFFLMQQRLNDIQSYVPFFTLLLEMEEVR MTKDMHSYDQYSVRFRVHHDHSSGRSPPHALLEYMKVTLDVPGAIEGRPVLLQGGSVR LRASGGLLSQVEIHGVVLEVKGTVVTLLVPCTVHSADVASVLPPHLFNPFVAQQLYAS ESFHVRFTFPRYGLRLAYDALANLTSSTMAILHPDAFPATNLFRPRVRLHDMMPFNDQ VNDRQLQAVWHIVNKSSGLAPYIIFGPPGTGKTITVIESILQVLKHNPNARVLAVAPS DAAADILGMRLRAFLNKASLFRFNWPHRKIASVPGPLLGFCHVEKGKDVFSLPDLARL LDFRVVVTTTSLSGVLKFAQVPVGHFSHCIVDEACQATEPETLVALTLCDRNTHVTLA GDPMQLGPSCRAKSSCQFRLVESFQERLMRMPMYDCATADNAMRIVKLVNNYRSHSAL IALSSTLFYHNELLPCADPRLVDSMCQYAT H257_15581 MEKKKNGVGSVLTDDWESDLAAIIEKTNQNLNLLRKIGEKREEP KLTSGLLSRTRSSPLMMNSNANDYRATAESSSSISKWKKVLDESTTMKRHIATKILDS TKTKSDAARGAWDATTLTNHDGQRETTKPTSFQPLVPMDDVRKSLEVDIAARAKTIEN MVNDQRLDFKRLASECAASRTKTDEIVQMIDTKMQRLEAFEMDATKALESLPRVETQT IALLKWKGMVEYDMQSATLKLDSVVSAQDKWTRLERQVSDIELKLQRLPTMDDLVETL TKRVQELERQVSKTNADGHGIAHAVKVAVNKAMQTMDDRVTKRIDDATTMHDTKTASF VKTLNSQREHAEKSMQFALDASISDIHVEIDQVTKRMETRVHDLHVSNDTRVTALTKR IAAVEVAIADVDSNPTSDITDEQHRKLQTRLDSCVTKQQVGAVVAEHIESSVGLRRME TSLAKTQQDHDRKWTEVRQELSTTQDDLARVHGTIASIQSAIHKTSSVENQVLRAKLL QSVTELDELHQVKHDMTAHFDRRSKEHDAAVAALTAQVAAADKKREDDVATLTKALTE KSVQVASTQGKLTGLQECWNRDVEQMQSTRASMLKAQRESADMRTALQKCQGDVARQN VEKQHLVDAIRRLTSQWTTARDEAVQAKGTLHQATQQHTGRVRLLESTIAKLQVVHAS TMLRDATVSSSASREVARLTSDLASTQVELQSVQEELQEVSASKLKVEAQLNTCLLQM QSGNRQDEIGRAAQAARQVALDNAQKKMKELMTREHDVATAVEALLTTLNMTRTPAVE PSTMVDTLQLIQNTVREWHTQKLVGADNAAMDKLQRLVDANQVELTAQQVAWEGKLQA YAGQLQAVLDQKADVEADLAQLKKQLELELARQQQVEVDAAAAIEAHIATERQLQDKV FELEAMVAAITSQKTSMESNLTALQATHMNATRDARGTSDSVIASLKQKLKDAQAKHD DATQQAAALEAKLKGLQDSNKTLTDEYNSVCHVLDQCNVALNATEEDVKTLQLQLTQA QYQVQEREAKLQTALAKIDCVTEDKDRAESTLLQLQTELATVQHAQVDAAKTDQSQLD QVRAKVDVLVQEKQAVEAKLLLVAAREEALHEERAQLKLQSDARELEVQSQVKTLTDA LKAEQDATATREVELNALIEHITITTPSSNHTVKSNGDGNSVATLKAAIDNVLEATVV KTQTLDAVVQLLHKCVAHDDNDGPTTVTSFVLANLPELQPVLCQIKSLHVEKTQTTAR VRELVGELQTRDQEQEQLQLEVDAQRATIAALQHAKDMMETNSAVSIQDLEATKAQWK ESDILHQATIKTLTADYDKVVCREKLVREQLESLVQKCQSELDAMQANTIDDLEEKVA CLEADIQRYATDLVQHEAAQAKTMADIDKEQEGMQRLQRDLAMLRNQLSMAPSVLYHI NHMHGAITAPGNSAVGRDRARIATMQSQLAAEVEVTALQLTLKGLDISYVVNSIQRLP QLAERLAQLSVTINNTTVVRRCEWRGNNIQTAADLSAPSRGNDSLLGRIEASHANETT KSEATALASNINSESANQLASHALHGLYGRTAVVNEVVTSALRQYDGDEEVPSNPDNF DDDYDESVSSMEASVDNDRLGIQSSGDGRRSPRTSSKDGHESVDIRSDLAPMTLMDTS AYLQLDQRVLAQHHEDDSHAKVPNAGNLQTESIAVKQAISESQPNAEDVATSKQHDNG DDDGNEDEVGSSAHDDGDEGQQSRASMDVSMDDMIASSTILESSNERRAERVEDEGAH VLGSYEGNMGNSVEALQVKGGQNRPPTGVEKELQAIHGATTLHATEETAPGDSPMSAR AASPHNSGGDIESNPDDDQVGDDSVAGWVESERPSSHRMDTSWPASSTPPSIHGVDQV EDDFESTNRKVDTVPVLNQSASSSLFEKDATSSSLSSASSAPAPALSPLALRHNFDDD VDLDIVQGLMLEKMQQHSADQHFGHDDSFDDSFDAPASPVHGSSSRHDDSSVEQNSDG EEALSEAFGRDKVAVPPEPFHGRGAPDDTTESVEMSRLPRENIIVSHYEVVDQVQLSA DEQDDGSYVDEMDESLNESSLDQSTETLKPRLGHEVSPQHPPHLGRHDSQERTESNDQ AIHKLHPSTGSKDGSVDQLVQDKPRAANDTDAMRGQNDSEDNSGEVLLSDGESEASMD ASIDEVSPRRVGAMTKVHNDTPGAAITFQVSPIESSDNNIGTPLATTSQLLPISGTAT APTSSAQERPALPSQTNDTDVAGVDVKVQSRYPSDAKEFLDSHEVSFDDSKDDRAIVS KVQTVHGDEGDYLTSDDKDISGDVNQDLDVSQSMSIQPIVPPIHAVEGTGPELGHGEF FTGTDEAKAKAAVVSKLKADATDLEDVERLLTEQSQTATATSKDDTGFDNSFDASFDD DAGHDDETHQSEDEDLSDFEDGDHVGGEVYEIDTKATHVGGQGGPLKSLLGDSGHVAV ELQIAATTLLEPNAPLGGSVHHHDSGEEVDSDVGECDEDMEQSGEESRSRDLESPPRL TSSSDSHPVALHEAGRFVQGSVELHSTTAINGKPSRLHADDVDLDDVARLMVEQGQLG TARQSKTRGASLGPLDDGELSGPDEDDGSVTTDASERGEEFASHPVDPSAVGRADSAA IATRNDHSIHSLSSAVVDTFHSNHVTTSDHSPLSGDSDELGSSTQSILSCREVRLSAQ SIPSFGFNQPGQHTKPNITLSGDALSIHVPSPVDDKDGDILQDSFSDQDESVDLSRGE DGILSGKNDSSLHTSSPLAAADLNQGGKTPTSLHGEAPLDSLRSQTFSFQTGLSSRDV DTDEVERRMMDKTQYSARAFGDASELKGSAPDDDVASADEELSDPDDDGESPDTVGTY DVHGGKALVTQKAGDHARVLSHNSTQSGPVDPRSSANAMESLGLDDHSDDDDNDDEDE HHVMLDNTSDNTGHGVTASRAHQFGLSLNDQDDLDALSDDDDIVDSSPDGRDPTQAGV GERVEDRAITASDVRRPETDEIQRDNLPSVTVEPSKELSPGKSPPRLSPKLAPLDKMS WRGLPRIASDLAHLEHLTLNGSPPLASVPGRDDDDFDEVSGPDDSVEGSVDDNSHEND HEERNQNDVNEDGNDDDALPSFGDAVPPHPSVVTLSTAGLQYVATSDMLLPHDNDGLE NSLDLEHSFDASDDDMDDVTQHSMDNVSDGDEIHHGAAQVDLPSRRYDAALDVSSDGD AMERSRDDSSADDTSPSKPMSISLSQRLIQAKLRTLEPKPDLTQRIEYTEHDSPSCSV EGSMELSHELEPVDATSDDENEDRDDESENDVVTQTPRTSVDSNLRDQTLVPTDIPST IPTRHAHDDDDAEVEELMRGHISGSTVKQAYGVTGMTPVVSSGMTSSPWMQNASTLST QSQRDPYSAVNGRDDLEDSNDDYESYVTQATSGNKIVSSDLATSHVNNESDDMEALMH ENLSTIAFKAHGTQGAASPSISLGPASSISQDGVKNNDKTLSHDLSHDGNVPTGHVRT TTPSTTSHTSDDEDEVEALMRGHIPTPAAKAQRTMGRLEAAQSLTRDALTLPASRQNT PYRNADEGVDLDESQDDVASDHASDDSGPSPLKKLPNGNVAMPTGKRPLSALRSLPQS KLSPLQPLSKLPLPSVVSSLGRRNFADDESLDNDEQPLGPKVIIHSNFDDDEDEFGAS ASDHSIVVANQSMEAADNLSPPLSPHAITTTPSGHDVQILEGTVSPQLELHRTTPSSP PTSPIPQTNAYVTSDRISTATTAAPSSPPRSPSRRHGTTDDDDDGAYSDSFEFEESVA SDEETS H257_15582 MSMSSVYPRKDKTGKAAEEDAVRCTFVNDDVKNRELRAKFKYTN NWVSTSKYTIVSFVPKTLFEFFRVIANMYFLFISIIQLASDWSPTNKYTTAGPLLIVL IVSMIKQAIEDKKRHDADGIQNCRICHVLGSDGSIQDKPWQHVEVGDILFLKDKDEMP ADVLILATSEEEGRCFVETCNLDGETNLKRRTACEPIAKLIGFRALNDPVIDEAKHKQ SCVAFRGSVEYEQPNNRLYNFTGVVKAEALADAAPIGPTNIILRGCSIRSCSYIFGVV LFAGRESKLMQNARATPSKQSNVYKKVNRCIILIFLTQAVLCVISALSFNAWVKRNLE LRDWYIPFIKTDSTAFFTFLILYNNLVPISLYVSLDMVKVAQAKNISTDPEMCHEGFY AIARTSDLNEDLGQIEYIFSDKTGTLTQNIMEFRKCSIGGVIYGYGSTEIAKAVASLA KQNQPPTESTIASAVEYGPGPAADLNDAQIFLDKTIHFDDPRLISEISTGGPNAARID EFLTLLAVCHTVIPETNGTTGVTTYRASSPDEEALVKAARCLGYKLVAPAPLVQVEVS LKAKPSTMQTFTILNVNEFNSTRKRMSTVVQFADGRIVVYCKGADNVIIPRCKLDSSS AQLDEHLKAFASEGLRTLVLAKRELSEADYEAWNKVYQAAATSLTDRDNLLDAAAEGL EVNMDIVGATAIEDKLQVGVPNTIHSLAQAGIKIWVLTGDKEETAVNIGHACRLLNDG MQLLFINRESLAELTEQLEYLYNMDSIQSHYKDKTIADNIAIVCDGKALVHFFPSKAL TVDEKVVAKELRRKLLVVASVCKALIACRVSPAQKADIVNMVRYHSHNKPITLAIGDG ANDVNMIQSAHVGIGICGQEGVQAVNASDYAIAQFRFLQRLLLVHGRSNYKRIAKVIL YSFYKNMSLVIVLFLYNFYNGQSGTSLFESFVMAGWNFFLALPIIAIGIFDEDVSPEQ AMAFPPLYLSGQRNEDLNIKYFSWWIFNAFYHAFISFFLPVYIVRGFTTEAFHVQGTT IYSGLLMTMNLKVIFETLSWTMFSYAFVVFSFLLFFFFLAVYPVVPGLGNDMIGVPTS MLSTALYWLVFFLIPAACMLIDISIKYWVKNYRPTEADILRERGLLQKNQLKIVDMTT NGAVTYSHPRSMEEQRQDEKAGVKNLDMTGYTGFAFSAPEDKVVSDVDSKEAVREIAT MRVDHFNFTGETDAEAERRHGLGSFHGEKRVSSHAGVNSILGSLPLAELMEGAGGVAA KTPSVSSPP H257_15582 MSMSSVYPRKDKTGKAAEEDAVRCTFVNDDVKNRELRAKFKYTN NWVSTSKYTIVSFVPKTLFEFFRVIANMYFLFISIIQLASDWSPTNKYTTAGPLLIVL IVSMIKQAIEDKKRHDADGIQNCRICHVLGSDGSIQDKPWQHVEVGDILFLKDKDEMP ADVLILATSEEEGRCFVETCNLDGETNLKRRTACEPIAKLIGFRALNDPVIDEAKHKQ SCVAFRGSVEYEQPNNRLYNFTGVVKAEALADAAPIGPTNIILRGCSIRSCSYIFGVV LFAGRESKLMQNARATPSKQSNVYKKVNRCIILIFLTQAVLCVISALSFNAWVKRNLE LRDWYIPFIKTDSTAFFTFLILYNNLVPISLYVSLDMVKVAQAKNISTDPEMCHEGFY AIARTSDLNEDLGQIEYIFSDKTGTLTQNIMEFRKCSIGGVIYGYGSTEIAKAVASLA KQNQPPTESTIASAVEYGPGPAADLNDAQIFLDKTIHFDDPRLISEISTGGPNAARID EFLTLLAVCHTVIPETNGTTGVTTYRASSPDEEALVKAARCLGYKLVAPAPLVQVEVS LKAKPSTMQTFTILNVNEFNSTRKRMSTVVQFADGRIVVYCKGADNVIIPRCKLDSSS AQLDEHLKAFASEGLRTLVLAKRELSEADYEAWNKVYQAAATSLTDRDNLLDAAAEGL EVNMDIVGATAIEDKLQVGVPNTIHSLAQAGIKIWVLTGDKEETAVNIGHACRLLNDG MQLLFINRESLAELTEQLEYLYNMDSIQSHYKDKTIADNIAIVCDGKALVHFFPSKAL TVDEKVVAKELRRKLLVVASVCKALIACRVSPAQKADIVNMVRYHSHNKPITLAIGDG ANDVNMIQSAHVGIGICGQEGVQAVNASDYAIAQFRFLQRLLLVHGRSNYKRIAKVIL YSFYKNMSLVIVLFLYNFYNGQSGTSLFESFVMAGWNFFLALPIIAIGIFDEDVSPEQ AMAFPPLYLSGQRNEDLNIKYFSWWIFNAFYHAFISFFLPVYIVRGFTTEAFHVQGTT IYSGLLMTMNLKVIFETLSWTMFSYAFVVFSFLLFFFFLAVYPVVPGLGNDMIGVPTS MLSTYVQSSSPWRPCVDMALTD H257_15582 MSMSSVYPRKDKTGKAAEEDAVRCTFVNDDVKNRELRAKFKYTN NWVSTSKYTIVSFVPKTLFEFFRVIANMYFLFISIIQLASDWSPTNKYTTAGPLLIVL IVSMIKQAIEDKKRHDADGIQNCRICHVLGSDGSIQDKPWQHVEVGDILFLKDKDEMP ADVLILATSEEEGRCFVETCNLDGETNLKRRTACEPIAKLIGFRALNDPVIDEAKHKQ SCVAFRGSVEYEQPNNRLYNFTGVVKAEALADAAPIGPTNIILRGCSIRSCSYIFGVV LFAGRESKLMQNARATPSKQSNVYKKVNRCIILIFLTQAVLCVISALSFNAWVKRNLE LRDWYIPFIKTDSTAFFTFLILYNNLVPISLYVSLDMVKVAQAKNISTDPEMCHEGFY AIARTSDLNEDLGQIEYIFSDKTGTLTQNIMEFRKCSIGGVIYGYGSTEIAKAVASLA KQNQPPTESTIASAVEYGPGPAADLNDAQIFLDKTIHFDDPRLISEISTGGPNAARID EFLTLLAVCHTVIPETNGTTGVTTYRASSPDEEALVKAARCLGYKLVAPAPLVQVEVS LKAKPSTMQTFTILNVNEFNSTRKRMSTVVQFADGRIVVYCKGADNVIIPRCKLDSSS AQLDEHLKAFASEGLRTLVLAKRELSEADYEAWNKVYQAAATSLTDRDNLLDAAAEGL EVNMDIVGATAIEDKLQVGVPNTIHSLAQAGIKIWVLTGDKEETAVNIGHACRLLNDG MQLLFINRESLAELTEQLEYLYNMDSIQSHYKDKTIADNIAIVCDGKALVHFFPSKAL TVDEKVVAKELRRKLLVVASVCKALIACRVSPAQKADIVNMVRYHSHNKPITLAIGDG ANDVNMIQSAHVGIGICGQEGVQAVNASDYAIAQFRYEYA H257_15582 MSMSSVYPRKDKTGKAAEEDAVRCTFVNDDVKNRELRAKFKYTN NWVSTSKYTIVSFVPKTLFEFFRVIANMYFLFISIIQLASDWSPTNKYTTAGPLLIVL IVSMIKQAIEDKKRHDADGIQNCRICHVLGSDGSIQDKPWQHVEVGDILFLKDKDEMP ADVLILATSEEEGRCFVETCNLDGETNLKRRTACEPIAKLIGFRALNDPVIDEAKHKQ SCVAFRGSVEYEQPNNRLYNFTGVVKAEALADAAPIGPTNIILRGCSIRSCSYIFGVV LFAGRESKLMQNARATPSKQSNVYKKVNRCIILIFLTQAVLCVISALSFNAWVKRNLE LRDWYIPFIKTDSTAFFTFLILYNNLVPISLYVSLDMVKVAQAKNISTDPEMCHEGFY AIARTSDLNEDLGQIEYIFSDKTGTLTQNIMEFRKCSIGGVIYGYGSTEIAKAVASLA KQNQPPTESTIASAVEYGPGPAADLNDAQIFLDKTIHFDDPRLISEISTGGPNAARID EFLTLLAVCHTVIPETNGTTGVTTYRASSPDEEALVKAARCLGYKLVAPAPLVQVEVS LKAKPSTMQTFTILNVNEFNSTRKRMSTVVQFADGRIVVYCKGADNVIIPRCKLDSSS AQLDEHLKAFASEGLRTLVLAKRELSEADYEAWNKVYQAAATSLTDRDNLLDAAAEGL EVNMDIVGATAIEDKLQVGVPNTIHSLAQAGIKIWVLTGDKEETAVNIGHACRLLNDG MQLLFINRESLAELTEQLEYLYNMDSIQSHYKDKTIADNIAIVCDGKALVHFFPSKAL TVDEKVVAKELRRKLLVVASVCKALIACRVSPAQKADIVNMVRYHSHNKPITLAIGDG ANDVNMIQSAHVGIGICGQEGVQAVNASDYAIAQFRYEYA H257_15582 MQNARATPSKQSNVYKKVNRCIILIFLTQAVLCVISALSFNAWV KRNLELRDWYIPFIKTDSTAFFTFLILYNNLVPISLYVSLDMVKVAQAKNISTDPEMC HEGFYAIARTSDLNEDLGQIEYIFSDKTGTLTQNIMEFRKCSIGGVIYGYGSTEIAKA VASLAKQNQPPTESTIASAVEYGPGPAADLNDAQIFLDKTIHFDDPRLISEISTGGPN AARIDEFLTLLAVCHTVIPETNGTTGVTTYRASSPDEEALVKAARCLGYKLVAPAPLV QVEVSLKAKPSTMQTFTILNVNEFNSTRKRMSTVVQFADGRIVVYCKGADNVIIPRCK LDSSSAQLDEHLKAFASEGLRTLVLAKRELSEADYEAWNKVYQAAATSLTDRDNLLDA AAEGLEVNMDIVGATAIEDKLQVGVPNTIHSLAQAGIKIWVLTGDKEETAVNIGHACR LLNDGMQLLFINRESLAELTEQLEYLYNMDSIQSHYKDKTIADNIAIVCDGKALVHFF PSKALTVDEKVVAKELRRKLLVVASVCKALIACRVSPAQKADIVNMVRYHSHNKPITL AIGDGANDVNMIQSAHVGIGICGQEGVQAVNASDYAIAQFRFLQRLLLVHGRSNYKRI AKVILYSFYKNMSLVIVLFLYNFYNGQSGTSLFESFVMAGWNFFLALPIIAIGIFDED VSPEQAMAFPPLYLSGQRNEDLNIKYFSWWIFNAFYHAFISFFLPVYIVRGFTTEAFH VQGTTIYSGLLMTMNLKVIFETLSWTMFSYAFVVFSFLLFFFFLAVYPVVPGLGNDMI GVPTSMLSTALYWLVFFLIPAACMLIDISIKYWVKNYRPTEADILRERGLLQKNQLKI VDMTTNGAVTYSHPRSMEEQRQDEKAGVKNLDMTGYTGFAFSAPEDKVVSDVDSKEAV REIATMRVDHFNFTGETDAEAERRHGLGSFHGEKRVSSHAGVNSILGSLPLAELMEGA GGVAAKTPSVSSPP H257_15583 MFRKIVCTKLSTDFRAATEIVTIPRSSLTVAPGTVLIKNVVVGI NASDINYTNGKYNPGVQPPFDCGFEGVGHVEEVGAGVKHLRKGDAVVYTLYGAFAEYI VVPIKFVSKVPFATTAILPLNVCGLTASIALDVVGEMKSGETVLVTAAAGATGQFAVQ LAKLAGNTVVGTCSSPEKQAYLQSIGCDRAIDYTKEDVGAVLFKEFPRGVDLVFESVG GSLLEICVNHLAKHGRLIVIGSISGYSDSSSWSAAAGATPPFSATLLSKSASVRGFFL NHFAKSHGAAHARKLTILVHKRLLNPGVDTATFRGLEGVADAIEYLYARKNIGKLVVH LADPTSSSSDHMTLPRASL H257_15584 MKEYLVFFVHRHLDFRFPELDALLTMQGLKPEDCYTRELNPLSS PLVHVKLPSETHAKFLSQRGILVKGVYEVWGHGHTYAALVESVDAFAEKDAVVSDASL SWKIQVDAFGLKLSMEEQTARRENFRHVLPFAGPVEMKNPALTFLILEDIGVDQQKTT PDRIFFLRALAGGEKNRGRGGARDLVDAQTLKRREYIGPTSMDAEMALIMCNMALVQP GSLVIDPFVGTGSVLVPCTTFGGICFGTDIDSRVLHGKAGKTIKSNFDQYKLRVPDLI RADNSRSPLRCPHYFDAVVCDPPYGIRAGARKSGRRDCAPANSTAPPPPPASVSSDQK PIKPKYGPRPIPDEWLENHIPATQPYAAEDVMRDLLVFAAKNLRVGGRVVYLLPTTYD YTDADLPTHPQLRVVGNSEERLTSKYARRLITMVKTVEASDIPSNLDDGFKSDFSFAK LREKIVASNKKPKTASN H257_15585 MARGDRREWTPPPQVEAKPRGEINYHMYAPLLYGPMIPLLRIGL RGRLPQKQIDAIFLTSVGLALSHAGFVMFSDSSV H257_15586 MTDATAVPPVEAPAITGAKQIVRINDDGTKFELDEAAIDEILHK IDPDMKVAIISVVGAFRTGKSFVLDLFLRYLKYTSSHPAPDVTSREWALACGPQLEGN SNFEATNGETGFSWRAGRKRNTTGIWMWSDPFIRQSATGEDIAVFLIDTQGMFDSETS QMLTASIFGLSTLFSSYQIYNVDKRVQEDNLQHLALFTEYGRMALQGSIDESENKQMK QASMRSIESFARVISDKHLQDLSELDATDVEDDDGTADTTDDTNHVDDVPVIRPFQHL DFLVRDWQDFKRSATLADKHADMKAYASEVLSTRKQQDLADTREQIHSCFASIRCVLL SHPGHAVTDLEYDGSIDEIDGRFLELLTSYLNTLFGPESLEPKTIHGVAVTSRELLVF IKSYAALFKEATIFPEAKTLLEATAEANNANMKDRALAKYKAEMQKKVGPRCSYVQPH HLTEHHRLCLLGSMTVFDMGAKMGRHSAILKVRQQLADEIVAEHARYVEVNAERDPYK NIEFYLVPATVALVLFVVRVVQDLLCFEDFGYDIPVYDCKHVSVTLSHLYWAIITFMC IVTLATGTLMADRLKAVVTVAKAAFLDQSAKPKTD H257_15587 MNNSQQHDGGRLRLNPMELKRQRMAKRLKGQAQSTTATSVNPSA AMASALDSTAAPMSSTPVTIDVDRCMDQADASSSRKKLLDAKLSTVYASTRMDRPMKR RIAGFPTSFATHDRLPLDWTLKSKFVCLTPFRFPPRSTGAAAATSFHRFVQASPSADA ATATSTMSPSEEWQTALHQFVHPASPGMPQDAAVDDDWTAHRLRTWQDAFRHLYYTFH HNPHHDAFYVATAQSVVCFYRATTASTTMSMRSLFTKFLPHDDATSRATTSPTKPTSV RQHISVVISHATTTLRRDLMSAGVNFHVPFAPQDAATTGRPSAVDALHTSIRGLDALL VVHDPCNVHGIYDLLLNQPGLLAASTDVPLLCARFPFQHAGIVPLQVTPCGKMSGRPT PTYKLEVSGCILPRTVHDLANAVRAAAATESDASFHVVLEPWASSTRLNVCGWDMEVP SSSFQDADRLDAIKRHMHDIRYHHTTGYQVGIEK H257_15588 MVGDTAKPETRTVFVNNDVENERLRMQFKYTNNWVSTSKYTTYN FVPKTILEFFRVVANGYFLCISLLQVLTDWSPTNQYTTAGPLLIVLMVSMIKQALEDK KRHDADGIQNCRICHVLTTDGTIRDKQWQNVEVGDILFLKDKDEMPADVLILATSEEE GRCFVETCNLDGETNLKRRTACEPIAKRIGFRALNDPVIDEAKHKQSCVAFRGSVEYE QPNNRLYNFTGVVKAEALADATPIGPTNIILRGCSIRSCSYIFGVVLFAGRESKLMQN ARATPSKQSNVYKAVNRCIMLIFLTQFTLCVISTVSFNAWVHHALKLHSWYLPFIRVE VSAFFTFLILYNNLVPISLYVSLDMVKVAQAKNISTDPEMCHEGFYAIARTSDLNEDL GQIEYIFSDKTGTLTQNIMEFRKCSIGGVIYGYGSTEIAKAVASLAKQNQPPTESTIA SAVENGPGPVADLKDAQIFLDKTIHFDDPRLIAEAATSSSVNGGRIREFLTLLAVCHT VIPETNATTGVTTYRASSPDEEALVKAARCLGYKLVTPAPFVEVEVTHQGAVPTIAGY AILNVNEFNSTRKRMSVVVSAPNGRIVVYCKGADNVILPRCAPTANDGDLDEHLKAFA SEGLRTLVLAKRDMTKADYEAWNKVYQAAATSLTNRANLLDAAAEALEVNMDIVGATA IEDKLQVGVPNTIHSLAQAGIKIWVLTGDKEETAVNIGHACRLLNDGMQLLYVNREDM TGLMEQLEYLYNMESIQKHYKSRTVAENIGIVCDGKSLVHFFPSKSLSADEKVVAKEL RRKLLVVASVCKAMVACRVSPAQKADIVNLVRYNSRNKPITLAIGDGANDVNMIQSAH VGIGICGQEGVQAVNASDYAIAQFRYEYA H257_15589 MENYENLGTIGEGTYGVVLKCRHKETGQIVAIKKFKESDEDEQV KKTAMREIRILKQLKHENIVSLIEVFRRKGKLYLVFEYVEKTILEEIEKNPEGLDPTV IRSLMWQLVRAIQFCHQNNIIHRDIKPENLLVSKNGVLKLCDFGFARTLAAAGAKYTD YVSTRWYRAPELLVGDVSYGKAVDIWAVGCMFAEITTGLPLFPGDSDIDQLYHIIKCL GRITSRQQELFRKNSLYVGVKLPEVSDVETLEDRFPQFDKVAIDFLKQTVQDEPLDRW TCAELLQHPLIADGADEFDLQLRECIAKDIVDNMVKPTRRQKKPTPLSRPPMQPQPTP GKPPPTSTSSVSNEYAPAPNKHSPHNGIAMATTAKSSKQQQQYSTTTTPDTPKKHHHH GDKSSGGKGNLFAPSNGGQRFLPNLCISSPFGDSPSNNEEHLPLPTSSRRLKPPMKPV DQQQQDVVISDENAKLIDHHMATRMSLTSHGSRGGNTSTNATTKPLRKCTVPPSPKRM LAPPLDKKPLATPPRTSQANSRRDRAY H257_15590 MSKRAQAPSPTPTSNAPTPSASTSSLASNPEEAAEKELIEEYLS LHGIENALNVFLNQVVRDRPADPYLVLGGLLQHRATSSKGIFAVSAREIFDANGLPTL LAIVHTSKGRFEGSTSSHTAAIYDTDDATRCRGKGVRSRDLTLYVQHLLEGVDPCEQT SCDEKIASMEDKLGRQACLALSIAICKAGAAHKDVPLFEHIASLADVPIENACVPVPI FSVVQGGVHASNKLFLQEITVMPIGCASFQDALRWGAELHLALRHLLDAKGVGHANRG ALGGFAPLVNSTEEAIVLVKDAIAKLKDAEPGIEFGLGVHVAAHAFATVSDGEAVYNL DKWVVGSKGLNKAGDEVVDLLREWCRVHQVMTIVDPVDIVDIKVASALNRSENEVLPD AATPPGGVGGDPSVKVQVVGHAFLQHTKLETLHEERACNTILLHVSQFGTVTAAMQAV VQARVLGLSIIVGCDAGTLDDVFPVDFAVGIGCGQVKMGGLLSAEGVSRYNRFAGIAA DDPRAPPYTGATFRR H257_15591 MAWCRWEDAKTCCEYLITRNISNEIDPRNLLRVNAANYETSLNA MSMQALGDVIVKGLQGAIQCSPAFGPVASLAPTRLAPAPATKPATIPRRQATLDSFVL HAVVPTARSAMDAWNQWFTGDPQLGLFQPLRSFTKEMIRADRPKYSEHLTLSKALAKY VSYDMFESAYEGHTSSYSNTLSEVRKRKPEGRL H257_15592 MTILCCMCGVSIESNLANMCAPCLSTEVDITDGISKECSLVQCN GCLRFQRSTGAKGTSGIYAECPLESLDLMALCLKKIHGLNKDVKLIDASFIWTEPHSK RIKLKLTIRKEAMRNAILQKSFIVTFIVENLKCTDCSKQYNNSTWKAVVQIRQKVHHK RTFFHLEQIILKHGAHTRAIGMTAEKDGMDFFFNEKNSAERFAQFLSQHVPTRSKAAR KLISSDNHNNTANVKLTVHVELAPICKDDLVIVDKRLAQLCGSNLVLVTRVTTQVHIL DPLTGRRAEIPSDRYWKTPFEALDSASSMVDYVVLNVELVDEPRRHRPSTASDVALVG PDDVMAVVEVARVSDLGVNDTTFSVMTHLGRFLSAGDFVKGYDLGRCNFGSQQLYHLQ ADLPDLVLVRRVYPKKDSGDQKKSKKGTRRKSMMARSGKAKDVERVEAEYEAFMDDYE EDDEAQDVGREEVGGDDEEGSAAATE H257_15593 MSLVADYGSDSDSDGSPVVPKTAAPATSAQQASDAPLTRGPAIS IFPDKPPVKSSIPHKPTSSAGPPKKKAKKTLHLPPEIQKLLESGRALNSDDDSDTDTK KQPAAVRKQSTATKPPTDALLSFLPPPKVALPVRQEPPHSKRHASLPEATPLPATPVS SSAAAPPAYNDPKYSFVAEHDADDYGHGKRRRNHERNLERLLQQGKFDAVAGKITEVK AAAPEAWQPPIDGRGYAHDREAQVLASMAGTETEGGYVIASYKPSRLQRQRHQLNQLT FDAKLREFDLMDSKSQMVKSKKETQAKYGW H257_15594 MKFLVALVHAIAASQLLFDNPAPIIQEGRYSYPFNSANHLGLRF RAPSLGDYGNHPGFAVISTLTLDYFKFSVETPKNIPTNASIWLRPELCPSVNDLPACN EPIASSRIPINGNVDKVNFQWTPKSPIILTPNTMYWFVLGSSSETKDIALGWFRGDNS FSPQNDHKVDVRFVRYEGNTVKPVIGTIAPSLQVYAKSTLVLQCHC H257_15596 MEAQTQRRWLALTCAAALLGRTLVSATECPNSCGNPDGVGFCKH ISFATCRTEDSWEAQDIAAATGFINMMNPDTNRTTFVDAGGRFSGFASVFAQSNKFLL NETDPCHIIMRRLQCALHFPVCEIGSDYNRLCLKSCTESVKKQCPQMAGFCASQRADE FEPAPKCFQVDYKGPAVGMWIAGFSISLVFSILNSVGINLQKYSLSQNANSTKTSFQQ PLWVLGMVFVILGSILDFVAFGMAPQTLLAPLAALSLVWNMFIAPFFHKEKVTRRNLV ATAIIFVGVTITVIFAGHSTPTYDLDDLILLYQTPVMYAYITCVVLFLLTMFAATRYI ETNHVYEDGFFHIVCYGGIAGTFGGQSVLLAKSTVELLKSAIWGDGADAFAHVTTYVI IAGLVTCLLCQISFLNGGLKRFDALVVIPVYQSFWILMSVLGGIMYFEEYVSMTSVEK YMFTAGGVITISGIVYLLQGSSSGGSGGGGGSSGKHLELAHTATPSDAWNTDDSDEEQ FQQQADDELDEVVLDSPNKHINGSPKNPKTQVSPTDGLFDKQPDKSKKDEFI H257_15597 MVAAMAAGSVAVAVLIVLIVATWSTYSPSMMGRDRMDGLTADAL ATQVGAIVSASSTTFILVRSLGAKEKQYRRHPNPLLFWKAAVDGVYALQLCAQTLHIR LVPAVLSAAMIQSALYASESWFVLISYDLYACGTNPFQNTAYSIRWYHAIAWSVGGVA GLVSWLYIAPSDRDDIVEHARDVIGATEWTLGLFYIYVTLCVLCACVFLLLENQSRPP VGGIKEALRTRSSMLHASRTFTILYGVYQFVAIVLWVLLTLSSVAASWQVHVAFNGLV GARGFMDLVTWHAINSRSFVLHSPSSSLPVDATNRSVSGGSDSFLDGVMSWNPEFNVA LRNEVLHFTTRGIVAASLSTTNTASNQSHNYHRRIQLNLHELGMHLRFDSYYPHLFQD VRRGYRLDEASYRESFMSTCHERVGHGGSSGAFMFYTADYLFLVKTITKAERRVLLKM LPAYIQYLKRHPRTHLTRYYGCHAIHMYRQRFYFVVMSNAMGRVSMHQSFDLKGSWIN RHAKTTPPGDPEVCTYCGIAFPSGSRLPCGLSIHGMHLPHRVLKDNDLQRKLQLAPST AHEIVAQLALDSNFLRDQGITDYSLLLSVHTTQFTVDADSIHMTPSSRHQHRHLHHPR HPSSPHSSGYMSTATALCFPVDTTQTATTSSSAVTATCTSSHPVCSCLGTSSGHSRGG SVETTTSSSIVLENCDTSPTVDSFHRSCRYSIHIADEDDDVTTPLAASYRKSSLDFGT FEKSPWMGLRDTTKSGVKASAVVGPDFYTLGIVDLLQTWTWQKRMERWWKVYVLRLDG RGISAAPPAPYAARFQTKMRDILLPHGATATIEKPNMATCSSSTYAQVEDAYDTSVT H257_15597 MVAAMAAGSVAVAVLIVLIVATWSTYSPSMMGRDRMDGLTADAL ATQVGAIVSASSTTFILVRSLGAKEKQYRRHPNPLLFWKAAVDGVYALQLCAQTLHIR LVPAVLSAAMIQSALYASESWFVLISYDLYACGTNPFQNTAYSIRWYHAIAWSVGGVA GLVSWLYIAPSDRDDIVEHARDVIGATEWTLGLFYIYVTLCVLCACVFLLLENQSRPP VGGIKEALRTRSSMLHASRTFTILYGVYQFVAIVLWVLLTLSSVAASWQVHVAFNGLV GARGFMDLVTWHAINSRSFVLHSPSSSLPVDATNRSVSGGSDSFLDGVMSWNPEFNVA LRNEVLHFTTRGIVAASLSTTNTASNQSHNYHRRIQLNLHELGMHLRFDSYYPHLFQD VRRGYRLDEASYRESFMSTCHERVGHGGSSGAFMFYTADYLFLVKTITKAERRVLLKM LPAYIQYLKRHPRTHLTRYYGCHAIHMYRQRFYFVVMSNAMGRVSMHQSFDLKGSWIN RHAKTTPPGDPEVCTYCGIAFPSGSRLPCGLSIHGMHLPHRVLKDNDLQRKLQLAPST AHEIVAQLALDSNFLRDQGITDYSLLLSVHTTQFTVDADSIHMTPSSRHQHRHLHHPR HPSSPHSSGYMSTATALCFPVDTTQTATTSSSAVTATCTSSHPVCSCLGTSSGHSRGG SVETTTSSSIVLENCDTVQMASSTRTLTWFACVVADCRFVSPKLPVLDPYCR H257_15598 MASRYAKNIKVPAEFPEMLRNFAREVLRHQDKIKTRDDILQYGI RYFTEVTEKNAGVNNGAQDEKEVYMTMSDDEIEEYMWQIFRASDPGSVGNLDECDFKK VFHEMGDYLHLSALERKKCAAEADELDNSTFSYAAFIPSALRVISTIKKKRNIESHDV AGASKELVVETSNSLSHGLLREEFESLLREILHNIDIDNTGTLTRADFMGCLQDADLG LTRKETNLVLFDTPSDESGRVAYGDVIPIVFDVLVHAAANDLLDMPRTEDQIEIVLTR ALSSGDEDGTGLLSFAAIKTLLRAAGLGLTRIQIIALMSEAQEEEDDSVAYDRFVKNI APMALSFIDYDHQAKMAQIVPAYRSTEEYFTVQGMNQQEFENALGIAFEAIDETHRGS VPRHEVVEAIQNALPKISSKHVSTLLALGDVDANGDMEYAVKIHNGFQALQWLQEYEA LGKQ H257_15599 MCHTAQVSVRSTPAAIEAMAARASLHAHDQGKDTIPCAMVVQCR NHSTTDDVAVNRFRPCEYMPVVHPVNFLTNLQLHDMDYSVMLVDCAHGFHATFPHVAI LSKQLPHPFGTIYMSYVDIPLRVSSPTSRQDDILHQWSHEYYIATIAVVLVSLMYLTS SWLRRRQAPAASGPRAAVTSCSCILCHLSTWTASPSHPINDEDAPELSLLPSISNAKR DEPRVGAGTPATNLNTPPRHVIAADSSSTSLSTPMPSSQPSDSRRPVVALDDDVIAIT PLQQPSLDHPTNLMLLARDIGLIVDDSSTLVTYDVEGSDVPTVAPLLPEEDEVSWREF FDKHIYAKNYNDGGGDTSALDDKSSTQRKAQKLTLATTATRCSDHDDEHGLSDLW H257_15600 MSVRRNVRMRREYLYRKGLEGQERAQYERKVQLKKAIESGKSIP TELRGVEDKLRAELAYDDDKHIVPKSHIDDEYQNAGMFDPKIAVTTSRDPSARLKKFA QEARLIFPNAIRLNRGAHTVGDLVDSARANEFTDLLIITETRGEPDGLVVCHLPYGPT AYFSLQNVVMRHDIEDRATISEAYPHIILNQFETTLGQRVGNILKHLFPVPKPDSKRV ITFSNNNDFLSFRHHVFKQTGRNVDLLECGPRFELQLYQIKLGTFDQKEAENEWVLRP YMNTAKKRKVI H257_15601 MTKEADTSVMEAFRRNVLEKPEVFFAPSTELATTISHVTKYLYD QAKKRESSSSVDTSSLEALYVDGFDADQIWEQLKLQNEPLAKEVGRQIKKFAKNPSSV AFFTPEEDGGAAAAAAGSADDEEDASENEQSPDDDVVDGDDDDDDKGLEDEGDVDDDD MDDDDMDDDDDDELTSAPKKTLAKKRKRRDLEDGFFDWDEMEKAAEEEELNDDDDDED GDENDDDDDDFEDEDDDGLNEKTATYKDLFDEPTEEGDEEDEEEEEEEEGEDTTRSLK RKSAELTDDQAEELAERGILSTHQRRSTHLHDQIKSLEDEALGDKPWALKGEVKGMAR PENSLLEADLEYDRPTKVAPIITVEVTQELEELIKTRIRDENYDDVVRKLAVNDGAQK EAAELSMEKSKEGLGDVYEKEFMKSAMGFEDTSELKKEQDEIDVMFQKLCWKLDALTN FHYTPKPVVREMHVTPAAPAITMEEAVPIAVSDANLHAPEEVYDKKHKRDGVVRSREE MSQDERKAARNAKKHARRKSRQQKDADEKLVAKVNPGMGNKYEKKKLLDSLQAKNVST GKAIEGSTRQFSNSSEFFSRLQDEQKAAAAGQPPRPSFKKDDAKAGRGGAFYKL H257_15602 MSERKTGRRQRKPSGGLTNLNRERWKFLSNVEELPIGGLKKSRS KSNVAVPRGSMMATEGGSTKPPRGSNQPMAVDIDGDGMIDVREMRMAKYLHDITSKMT KPDGSAPTDAELHDMHVTVGRYTLAQDFVHRNKGKLWRYGPTFADKSDDECVTFVAEH KNFKKMLPYLEFMERRRTIRSSSNIRSCLNEKSAFSSGSGQEVTDNVADDDHRQTWVY TQRKLKTPTHMKMMALHKAVNSTYASRLEEPDDPLPPSLDALDEPPVSPPNKPLGLDP LGSTHKTVFQNNFGVIDIDGDGVIDDFEMHLHVQLQDSQAHHAEAVDLNGDGHIDAVE AMLHEKAKSVLLQAEGRHLMAKDFVKRNTGDMWLYNPVYRNKSDDEVVELLANDKGVF SKTMNKLRAKERVLGLKSSKGVTACLVDPKELKYRPDPANILTPRQVNSKTELDMAQR QFSKHLNSHGGHEGGSVFVKHLRLDTLQQHEHQSPPEKNQGGGLGRSHSTPVIGLPKL YASPIKLASVREFRITKWKTGDAQK H257_15603 MGSSDNAKPTFHVEAAKKRVKELTKETMVSVPEGTGGSLALSDH QLGDPFSVHVSLAVEWNASLLTVLDVSFNKFADGLARALGDMTTPHLPVLHTVNLSSN NFTDASSDALVRFLNKAPALTHVDLSLNHLGMSCAKHLAAALPPQSLKALVSLDLSSN KLLDDGCDLICHALTSRKNALQSLTLSMNLLTDAAGIGLANMVAASSIRQLVVSGNLI GDYGASAIAFAMDRSTHLDALYLDGNAIGPPGVFAFLNILRSNPGKSYRVLCLDDNPT DQALIDQVSQTRLSHMLHACHPSCPVSGSLDLHGPHLFQQLDAYIDDALCKTTVRLLR SFPQLLDVNLSDNVIADSGALAIGFYLALNPTLTRLNLSGNRITDVGAFGLAKGLLVN SSLTELHVGSNRLGDAGVSSIYATSFDNKKSVLATIHVKGNLHSSEGDTIVHAIVQSK ALATQLREATPVVLDLSDLGLRRFGADVLAEHFQGDGCDDALPTSTSTTTSNTNACEV LNLCRNGLGDDGARSIASLFLSNATITKLDLSCNGIGDVGATALAAMLRVNKSLRAVN LRAAYGASSASSCVISEVGMLELSAAMQVNTGIQVLDLRDHCANPRVVTSWVHMLQHN TGLLKFNGGTPAAFLARHDHRRD H257_15604 MSTTRRLMLRGGIEAALVVLYGAPQYILLGRVIHDVELFRLTCS TLVTAVAFMSSWNAGVIAFLHCMLHIFTALTLDGSWNNSSVVSTIILILRVFSFERLL SIALFPRMSYEAKLRENTLKLQKFFRLHDPSRVNEAESLLLGFVGNESLLFVQLRQKY AAVSQFRGRAS H257_15605 MQVVYDVGSWRITEEGRVFRRGKLRFANGDLYDGEWVDGKRHGQ GTFTYHDGLQYRGEFAANLFEGFGVLVAVDQKHPITGVWVKGSTYEGEFRGGKKHGKG LMVFGEGGSYDGMFADNVFSGRGVRSYANGDRFDGEWKHGMWWGMGHLCRVDGESYVG ECKRNLFHTTSLMGGVGRRTFRGGAGSYEGGYRHGLQHGKGLRVFADGSTYEGDWTDN IMQGSGVWTTAAFTYIGDFAHGRPHGHGLFTFTNGDVYEGSMRDGYFYGRGKFTFKDG SWYDGEYEATTNASCTTLKTKKTLPLPNGLKHGKGRRRWVNGNEYDGDWLDDAMHGQG VLQSTLTTNGNALRMEYDGAFEYGRPSGYGTLRAWNPDGIRMEFPAGSGNWYGGRGSC TYTGMFANGTFHGQGTLVTCDGRRYEGAWHAGKRHGQGRADLIPLAERGDEARMHMKG CNSLYRFASYDGMHENDKRQGFGTSYYSNGEGIEGTFKDGQVDGVATYIYMSGKRRRG MWIMGQRVSWMSEEDEATWATVDKAMGLAASRRRSTNGDLVLSSI H257_15606 MKSKRSSAEIVAVSTELRHRQAMRKKGKSKAGKDDDKRELEMDE HQKSIAELCADLTTSATLGMTNADADARREVDGVNRLTPPRQTPEIVKVFRELTGFFS LLLWAAGILCMVCYALQGDVNNLYLGIVLFCVVVITGLFSYFQNRKSSNLMESFKNMM PTITTVIRDGRSQKIDASLLVRGDVIAIKGGDKVPADIRIIECSDDLTVDNSALTGEP EPLKRVVHCTHDSPLETKNLCFFGTFIPQGSGKGLIVRTGDKTVMGRIAKLATSTKKE QTPIAKEIEHFVHIITAVAVSIGIIFLVIGFIIGTDTITNIVFMIGIIVANVPEGLLA TVTVCLSLAAARMAKKSVLVKNLEGVETLGSTSCICSDKTGTLTQNIMTVANIVYDNA IWDAECSLTPVGSYKLTDVSFQRLQRCATLCNNAVFDEDSKFQKVLEGQGANVQVVRG APIAFSEMVVASDGSRCPKVLWETIGDASESAMIKFCQDKRDVVDYREANRKLKEIPF NSRNKYQLSIHLQENDETKPMLLVMKGAPERITARCSKILINGEMEDFTPERLAQVEA LQLALSKKGMRVLGFAEKELDLATYPHGYEFNTDNCNFPLGEKDVDYDANPVPNKKIE EPLVFIGLMALIDPPRPEVPIAVAKCKTAGIRVIMVTGDHPITAKAIAHKVGILWGPT KEDIEEENAEKGVRAGEPGYKNPDNAPAIVVPGWTISVDTDEAEWDRILNHTQVVFAR TSPQQKLIIVENCQRRGEIVAVTGDGVNDSPALKKADIGIAMGIMGSEVSKEAADMIL LDDNFASIVCGVEEGRKVFDNLKKSICYALAVNIPELIPFLVYVLIAIPVPLTTVLML LICLGTDMIPAISMAYEDAESDIMLRSPRRPSVDRLVTKKLVFWAYVHIGFIQAFAGH VVYFTVMNDYGYPAQILPALGQKDSFGKQVLWCTTSGGQYCTPGGGYKDNTGNVVPLG SSDKPVCDLEYNAAISPTGSIYDAKIFYNPGEDGVVEDCVFPYANYKGTTNKPANYDR YDPTTYGDFTNGNSMITVQSMEALWNKNYRPYYPYKGRRSSFFDKSWLSYDVASDNGV DGFGKDLEDVALLLYQPIGVFSIVDPSKKDKDAVLTSGRPRKALNGATATLTDASLKQ VASTITLSDADLYTNAAAGLSVKLSTGAAPCSSKAECLLDYRTGFTYKEGSTTYSNVL SRMMSIYTLIHAQTAYFVGVVVMQWSNILICKTRLLSIRTQGLRNQVLMFGLGFEFLI CALICYSSGFQSVFKTQTIRLSHWFPAMPFAMFLFVYDEMRKYILRSTSITTVDPQTG KYVRIPGWVERNSYY H257_15607 MSGILVGVVGVVIASPFVALGTFLLIFHVVGRLKRRRDIGFFHP YAASGGGGERVLFCALQTLPATSTAVIYIGEPITPTKLLQDAQSRFNFSASDVKCKIV VVELAYRTLLEAKHYPRFTLLGQSIGSMLLGLEAFLRHPVGVWVDTTGCAFTYPLAWL GGATVVTYTHYPTISMDMLDVVARRDVAFNNDAAVAKSLTKSTAKLVYYRFFAALYGF VGGFAKVVMVNSGWTLNHIKQLWRSALPLVVYPPCGTTSFQTFPLADRQPWALSVAQF RPEKDHSLQLRAMRVLLDTHPDAMATTFRDFKMILLGSCRGDEDEARVSVLRQQCADL GLGDSRVQFVVNAPFSDLTSYLAKASIGLHSMRNEHFGIGIVEMMAAGLVVIAHDSGG PREDIVKPGTGYLATTPEEYATYMYDILTQPNVADDTRQAARVAAGRFSDEIFQESFA TALAPVLALSAASTI H257_15608 MDLDLDHLHNDDDFHVMDLNVMHKNLYPDSPTNESASTHMRRRA LSLNKITIEDFYDFFDKPIVAVAREFGVCTTLFKKICRKSGIHRWPYRKISSLSKTID AIEDTLHQDLSAIERSKMMSQLDDLQKKRDYVRRNPNSKVALVKPAEALSLASRLKPT KPQPENQGFDDSNSEPYSVESPRDDIHQSHRTAYDFDDSIEDEFSQFPNLYSHHHLLP PTDLALDDYSCVVEI H257_15609 MLIMLAITSVLVGVSGWIGAYVEEPARYALYCTTALVAVVALST VQHQHADPKIASDDLLVESLPPSTFDVDGLATEKGSIRAAVDARVHDTTVLNSSIDGV KRGLILTSLVDHPVGCIRILVGPEGASGGRLAKNEIILEENVVSRVHFHLGCHSPSRH SSGPSNETAPFFFLQDCGSTAGTFLYMAPWEKRRLHVHDAVKVGNTELVVIAMQEDHW AHTKPFLRVQFISGPMAGITQTVGLSPVTLGRRTTCALCLESDVTVSGQHCTLAYLES SATIVEAGFYITDLNSTNGTGLRLSPPGTKSTKVRLHDKDVFSVGATKFLVEYQATQD TTTETIGGQEPVRIA H257_15610 MTGVEFAGRLLCSTTDAGVGSMLWPRSKTSFCYWNDHSLPRRRP SHRHLTWEKPARQSSNMSKAAGIPPHDIAAYCGEAHQIFERELSLGIALPPYVRGNVN VVAVEDKTTVRPCVNM H257_15611 MPKQGDARSPRKQDQVGSPAKKAKVGSPTKAASSPGKVSSSANA KLHSYWRSSCSWRVRIALSLKDIPYDYVPINLLKGEQVSDEFGAVNPNKRVPTLEIDG HVLNQSGAIIEYLEETHPEPALLPSDPFARAQVRNLVGIIGCDIQPVQNLAVLKRAAV KLPAADQAAEKIAWGHEWIERGFDVLEEELKKTAGVYSVGDSITIADLYLEPQVYNAN RFKVNMDKYPTIARIQAALAALPAFKASHPSAQPDATE H257_15612 MSVVALYDGRRKKIAVTAGTYMSDVLKKACEGFGLDNIERLELR HKDKVVDLSIPFRLSGVSVNAVLEVKEVANAAQSDVRVGIQLVDGRRVQGSFRPSTPV IEILVQLGIPTDITLNFMRRDLNPHEFSSVTLQQLGLLTGSAMFRVKATPPPSSASVA PVAPSPQTTSSSVNSIPSARPSNTETISPGTTTVALPRPSVEHVITADDVDMQDATAL APPTVLSSYDALQLVRNTCFDAVSTEVVLTLMKIVCNILSKPDEPKVRSIRAANPKFH DAVGKHQGGVMFLQSLGFQQLTNEGDGSSTSHFVLPEDADTALLRRGLALLQDQADDL RLPPTSRPSVVVPAASPVEFDAYKAVITRVQAQPRGLSVTEMRLEDLKRKEEALLAMI HIPARNPRVFFPHERLPPLATTAAKAATAASSDSQLLAQAMRARQEEAEKNQNFRTLA MRELDDMQKKTVFQSTVLRIRFPDQVTLQATFHPKETLAAVVLHLNESLADSGRRSFS LYVTPPRQTLALDGSATLSQLNLVPAALVYLQWTTPPVDGALSHAGSYLRASVIEALC EETKDDDGDGGTLYYPAAQTLDDKSNKVAKLNPAAPPHTTKAKASKPSWFKL H257_15612 MSVVALYDGRRKKIAVTAGTYMSDVLKKACEGFGLDNIERLELR HKDKVVDLSIPFRLSGVSVNAVLEVKEVANAAQSDVRVGIQLVDGRRVQGSFRPSTPV IEILVQLGIPTDITLNFMRRDLNPHEFSSVTLQQLGLLTGSAMFRVKATPPPSSASVA PVAPSPQTTSSSVNSIPSARPSNTETISPGTTTVALPRPSVEHVITADDVDMQDATAL APPTVLSSYDALQLVRNTCFDAVSTEVVLTLMKIVCNILSKPDEPKVRSIRAANPKFH DAVGKHQGGVMFLQSLGFQQLTNEGDGSSTSHFVLPEDADTALLRRGLALLQDQADDL RLPPTSRPSVVVPAASPVEFDAYKAVITRVQAQPRGLSVTEMRLEDLKRKEEALLAMI HIPARNPRVFFPHERLPPLATTAAKAATAASSDSQLLAQAMRARQEEAEKNQNFRTLA MRELDDMQKKTVFQSTVLRIRFPDQVTLQATFHPKETLAAVVLHLNESLADSGRRSFS LYVTPPRQTLALDGSATLSQLNLVPAALVYLQWTTPPVDGALSHAGSYLRASVIEALC EETKDDDGDGGTLYYPAAQTLDDKSNKVAKLNPAAPPHTTKAKASKPSWFKL H257_15612 MSVVALYDGRRKKIAVTAGTYMSDVLKKACEGFGLDNIERLELR HKDKVVDLSIPFRLSGVSVNAVLEVKEVANAAQSDVRVGIQLVDGRRVQGSFRPSTPV IEILVQLGIPTDITLNFMRRDLNPHEFSSVTLQQLGLLTGSAMFRVKATPPPSSASVA PVAPSPQTTSSSVNSIPSARPSNTETISPGTTTVALPRPSVEHVITADDVDMQDATAL APPTVLSSYDALQLVRNTCFDAVSTEVVLTLMKIVCNILSKPDEPKVRSIRAANPKFH DAVGKHQGGVMFLQSLGFQQLTNEGDGSSTSHFVLPEDADTALLRRGLALLQDQADDL RLPPTSRPSVVVPAASPVEFDAYKAVITRVQAQPRGLSVTEMRLEDLKRKEEALLAMI HIPARNPRVFFPHERLPPLATTAAKAATAASSDSQLLAQAMRARQEEAEKNQNFRTLA MRELDDMQKKTVFQSTVLRIRFPDQVTLQATFHPKETLAAVVLHLNESLADSGRRSFS LYVTPPRQTLALDGSATLSQLNLVPAALVYLQWTTPPVDGALSHAGSYLRASVIEALC EETKDDDGDG H257_15613 MGIVDKIKEIEDEMKRTQKNKATEGHLGHLKAKLAKLRTELLDG EKSSGGGGEGFDVARSGDGRVALIGFPSVGKSTLLSQLTDTVSETNAVEFTTLTCIPG NLMYNDVRIQLLDLPGIIEGASHGKGRGREVIAVSKSADMILMVLDAGREEGNRHRTI LENELETVGLRLNRNPPDIYFRKKAGGGVQFNATVRLTKLGDDPAKTVYKILHEYRMH NCELLFREDCTVDDLIDVLEGNRKYIKCLYVYNKIDVVSIEDVDRLARLPHSVVIACE HKGRPALNFDHLLATMWNYMGLTRVYTKRRGEQPGLLEPVVLSSERKGTTVNSACLSI SKDMLDNFNFALVWGTSTKYNPQRVGKEHVLQDEDVLQVVTLTVTQQKHAKNYNQKVQ AHWDKYKAKKKALKT H257_15614 MIVRQGGRIKAVSSDGNSSAPTGSPTLSSVITHARDAFIDEGVP LLERLLQGSSSTTPFVLLIQNARASALVIAAAAILKRVCVLVPLSRATTLVPYVAEYT GISLVINPETNQVSHHHVVPSTTTPRDAWLQHSQVQDRGGVCMLTSGSTGLPKIVFCT WTSMFLQGQATHELLFPQGPSRVVCASSIAHAYAINALFAIYTSPYGHLCELHLDIHV EAIRLPFSCTSTPCPTILYGTPGTFTKLTTLDTTSSACSLSHVMAFSAGTALPLDLRH ALRDKFGLTVLQNYGSTETGGIATELLTTASTNPFGGSLQAVGQLWQGSQVHIAVPTN PCRLLDGEERGEILVLTPWQCIGYVEHGTLSPISRTGFYHTGDGGDMTNDGILYVGYR LRDPIHVRSQGMDVFVPPQQVERAILNNPHVTDVLLPLLIKVPSKVGYVKPVALVVAP KSTLDELTAWCTAHLPTMLQDLDIRLVEYLPCSPAGKLMYSLHVD H257_15615 MRRENQEQDEDNVRPPSSAKGSRLGSRSTYVMGVPKSNPILPTV KLHFPSFKLTAGSPTTDIADSVVPRTPRPPTSTGSNVRPRSSAAHRVITRPATGNMHL SKQNGRKERPNTSSGVKRRPTTPCFLTEGSIAQHDSTTDEPSYSFDDYFKSNWFHDRE EWLRISQSNHTYGKSMYFEGAGDITGHWADCQVKEYNAKSGMFSIVFTHSGIEKQVFR VNLLLPAVDDEAAFLRQRDIYFKETRLIYELVRCERFVCNDAGDDDGDLWVLSLKTKG RITQLAIRRHHITQTLAVHGAMLTAMLRGIDADYASVMRRSKIDVVGEGNNSITTPLH STLVKAYLRQQRLTHWCHKPQIPHRLLAFSKARDKVVATHLDATRASLHLLHRIMTIS QRVLPTIRLFHEIQSDYDAAKHRLRPPYSLEDYIALQQDNLNEAFDTLKFEWRQEIIQ TIEGATIPRTLQSSMHRFTNRIDYILANDLSQALATAFGDYHDMLFGRWCKLVTDSSD ATRPVSTIRRRNWSAMFKPRLKPPLIRLHIVSTVVDNEDNNSLRTVQLHPTLSDVQQQ VEQVISRAIARCMDIHCVHGEDSLTDNDGATSLVRIPLLNKSRDVTSLGSKVMDDSRV SFDRATAMPRQLAEAFQALCDRLHERRTALRALCQPHRNHPFLPQTEFDEIVRELRWF GHTLHDVRHLACDVEQYPLVEISTADIKATLVMDIHRTMQASLEFLSLNCHHQERRIA EMYDQDMKTLAVPPENEATLDVLWTFLSDVDSVVDDRQAQVDSIADRLSSLDAVGYLI NHPVGVSTTKFHWTLRGYPSTILLEVKTCQDACEARKKSLSASLFMEKRAFECDVVRL KDAILVLTTKTEWSKDNVEMYADDAQTLHESVEACLRRLQDFARRDRIFGWTPMESTN VTLLQALLEPYFAFWTTSSDFTTSTTTWSKTPFEQLAAKDVVTKVAAWQAQLRVLTVQ LGKQSTLQPAIATLHAELDAFCVGMPIVHMAATGAMKGRHWETIVDLLDVDARLIQDD RLLFTLDDLVRGGILSVLEFAHNVHQKALREFQLEQCLQTLKKDWCKPVVHVDKYLLK DTYVVVNFAPLLAMVDDQLITIYQMCSSDDVDPIEADVDEWKVKLLYTQQLLQTWSHV QQSWRAIECFFYKQKEGDSAWQDFAKVESTWRSVMELVRGNPGLAKIADSDNVKEPLE KCLRMLRSVHKVVTCMLDAKRSAFPKLFMVSNFDLAHILSAQRIQDVPPKLNGLFEGI DIFQVDHECIQGITLKTGDTLVLVHPVACTTPERDGWFVDIVHVLMSVDASIKLSIEQ LIATTCQNSDGMQVAYKLDLPLQVILVVLQIKWVAMMEAEMDNQPLNVTGHDHVLEVL LALEKGLIHTIRTGPTDVKVATILAKVVSLVHHTKALAQCSTHSFDWLSQPRRYLHYA RPKPAHVIQVLDTEMHYQNEFLCIRQPQSNVSTDKMLYSVFMSMRHLRGVMLQGFHMV HTAVYLTQSIGASLVVEVLSKESKWTTLGHVLKGAAASGSWLLLHRMNDAPSPLLSIL TQVMVHFAASHALHVLHLPQYPKAELHPSFALLCTLNSHRTCAPLPTSLSAAFMPCSV VQPCLLQYTMSTLYVFGFTHFETMAKRIVRFLETMCIEKLNLSSDIPMTALLSHGYIR VLVRTASCDLASHMIQAAKMTSLHDEVVAMTQAIESVFLPRLSHQDVQSTRAVLDHTF RSPSSVSATSSSKDEAIRTAISTWTSSSSSSHSIDVSDYMTRKLHQFLVNTDIYLCTL VSGATLSSKSAMLTAAAAVKIVLNPSTKVHVLRVYTSSVENLVGSFYGDTRCGLLQQW IHDATVVAAKVETWIVLDGAASQDMTDAVVSFLEQHMNMRLFNMAKGIQHTWRLVVET DMLAQVSPSFAAVSGHVYMDADCLTWRQILSTWCQRPGSNGKVHSKAISDTAVWVMTV TFGLLKQPTTTRQTHHMLSVCRLVDMVVEADGGWPAQGHQSVVLAEGYFVFAAVWCIG GGLEDGDVKQAFSDSLKEVLAGTKPHMRRSAVPFPPGTSKAVFDFVFDSPTLSWRLWE ELPPPSTASPPQPPPPSAGIVVPHSNVAIGHFFSRMAVCNHYPATRVPIVFTGPTACG KSMVLQAMLLRPELDPQLLAACRHRSSYTTKQLILEPLVEIRQHVMGTRTESTHLVLL DDVHVSADTGLDFIREWTEDKNHSWLVDTRSISVPHAVFLATMRHSSKPCVSGRILRH FVQIRLAAYTDSFLLTICTVVAQHAIVACSSLFPFGNQVAAATAKLVHHLRHNTALGW NVLARGLDVLRVVCGMPGEVYASSGVAMLWSHECQREYEDVFRSVDDVEWFRQHLVSC CASVFGNDDADKLTTTFRLNPLMYSPNIHQPQCYEEIFRTKYLTDTLGSWLKSHRSGT NHRDTFISSSQAGLLARVLRVLTLPLRKHPRLTYIFTYGPSGGHTVLASTFELAGHIL DVPFHVEPHDRILTYLRTNKPPQHRPRIVFVHNATSISQSDWNDLQVITAVSPVFVVF AFDVSSAHEASCGSTYTVLCDRPSLYAHSHILWLVTKSDGTDVGQICRSTLKHHLIDH PMRDTLMQMYAEVQVLNEAHLASGSVDHAASLTRFHFSECVAALLSHTTHAIKDAEKL LSNALIHASHVTTSIHDIAVAIGLLSKRIHDKTQRAADCSRDLVPLQQSIHDISTQLG VGVDEIRRESAECDLLTDKLASHMDPYDKELHRRINDVLSFSDDWLDEPRSSKLPSGL LSQMTDVVAALGAQVKGIKDSVAGTIDMDDKKTAKKGSVRFTLQRMLTHFPGAPASIL AKIKFMSNMAKADMHTQSPCVQTLMACLDYVAKWQVGYDASKKIAVKIQDSRARIQSI QARNAALHDMHCVVANQLGMTQADMDSRKRDLDVLERQMEHRREARRVVESSQEYLDH ELIKWNDALEAEQLKLAWLPGMCAVAASTFVYLKPYPWPTRQKVLDRIHSVLQAHQIL LPSDTSLGFFAHDRTDVEGWHLHGLPRSSFYVSNAVLTVNAIPALVKWTVLIDPEMVA KTWLASMYRGHLICTSSMETVLQRAILRAIKLHHPLLIENVEGQFSGSLSHFLKVRCS DGVRYLRVDDRMERLQADWAVYFTTPIRYPTFSAAVHREFHVVNFSLSSADASDFFDV MCLDMWDDKECSKQRQASVDYADSCRDLREAEHALLVQLASSSPTKEDQPHVLLELLE DINRKQVATDAKKDEVMVDHASKHQYADAVVPLATLMFDCVVGMGLVNHQYTISLDEF VRPLRAFVTKLRSEWQVATLRGGVDARTTMLQRSSSRRLTSNAASSTANLRKKPMKKI LKPDVVYTTDQKALLGAFSASMTKLLHPNHVLLWRFLVAATRILHTPAWMTPCAATTS LYMDIACILDNQCYAFPVSGVDHLRRPAWVSKAAWANLCVITKHRGFEYMYEYVLTHE HAIVHDDWEHAQALGHLNNVAAALLHQFVLLLCLQSPRRWAALNDLVQFAWQDIAPSS PPTSVVRTLAHVLDATDQTTPIMVFCDDMPTMLMVLQQQHSNTIAPGRTTNPLVSVLS GENDAVSTLLDELDRATTTGTWMCLFECNRTSVDTWEKLSLAWQEAINQKPQPQHRLW FITDMQRPISSCIATNAAKRWFVHPISDVKMAIESCAAVLEKEAATVADITQWDVVAN GLVILCHFHHVIKMNAAYFDWMHHAQVDDNTLETAAKELVVVVAKGLASSIRDCLVRV YAAYIVNKHQLDRLQYLFDWNLHACQSVSKPSPSDVVVSPTTYAACQDWLAAQVPYEH TITAVPVPLALEPPVNVFSQYRQCFPGVAGYDVAHNDQALIHDLGAFSHDLKMSFYDV LASARTFPKTSELHRYLHWEWLQFSSQVSHIQRALAQYLQHISDWYDEAQQVLLGKFP SSWYLTPQFRMKTCAQLLTHVKRGRKFFHSVEDGTFTTYWLPALTDPHRAVELLTHHV IQQHPDMLSSWEHLTASFFVADSLLVRSPLIYRLAKQRIKLRKAAAADTTAQDIHLSG ILAYNATWDESTRTLVKPCKINMIEELPPIHMTVTKAADVTDPLSYDQDATDVHEMPV HVVDNKTSPPTQHVVFSVWIKLPDDDDDRRWLLANAYFVIG H257_15616 MVGGPYKNTNDLILAAESSLALLAQMDDGDVESAPHDLFTDDHS SKHDAPSSYADPESSWELSELAELSTTAPVESSVLDGRAHVKVQFPDGTVEYVDVHAA STTVGTTLALAWKKRHHTSKFLDKKHLRGFFQSREVALDWFLLDCGLALEGTLQMHIT QASAEWTSLASSSTNHNLSISSAYQVESERHSLAQVNTSIPSVASEPAAEWLEHQVRP EEQAFAAALERFFLKVDMTDDPNALCKHILTEYTNILQSEMAKQDALSATSPFQLRQH HPLDINVGDELRNERNTWRLLYDLRQLSVLRSSQPSPINDDYIDATSSELDAVAGLDL RPIHATKQTVLSWLESIASEHVSVTSELRNMQHSRTLGRVKQRSLSFSMDPDSTLREG DHHVDKDDVEDDADLLKGVWQLVRAGRPKEAADLCIQLGQPWRAASLSGGTVCGNDDD DSELSRWGNPLRILWKQMCWQFAEARPTSNLRKGKSLEAREYEAIVYGALSGNSAALL RSSLCESWEDHCWALLSAAIQYEQDGKLLHLLRLKANATDLFVENQPDYLHLYESFVE QTKSVARFSSNLSTLFNEVAASSSDVVRRQASHPHRRLQSKLIVSDVESIVSSILKPL FQDPSAEDFSWDLRLNTSALPSDALPPQLVRFASHFVLFMTATGETFDTSTGYLIQKA YIRHLIKHSQHNLVALYASRLPEDGQASIYVQFLTSIRNADARQQGLQSIAKYCCETC PRLFAQITKDAVQVLVQLNESSDDMSRIQALRLLCLDPRHRGELLHQANRLARHFVAE RKPSRVKSVLQAVPDDSLAVVHDACRRHVESVDGDASSFSWQHYLDENNPQLDQVVRE FLSWTAFVAATDAYDAWRHVLSHSTGGGLPCFDDEEKRVKVLTYHATNAIALLYDVLQ FEGGWLSSTTGQDDGTDVSSGAMRAACLPFVVFSLYRVCTDAIDSFADLQHYPTQAQQ ELTLPFAQKALQLASVVANDQYKVYESFDVDQVKQLLHLLQQSASSMLDLQGRIVL H257_15617 MLALTSLVGMALMGQSLALRESNPKADPAAVVLAGHARFTVLTS QLIRLEWSASDFRDASTVVVNNRALPVPKYQVTKKDQWVEISTDALHVRYDATSSVSF SDANLRVQVKSVSSSNRTVTWTPSLTDKDDKRLFGSLRTLDMTNGTVDLNCNAGGPDT IADSHCTYGLVSRSGYVVVDDSLSTELDNDPWPWVVETSAKPATSCAAIPPLERRVCG YNVVSQDQCDANGCCFDDLASLPNGFSCYYGAQAYQDLYFFGHGLEFKQALHDLTLVA GKIPLPPKFAFGVFYSRWWAYNDVDIDGISHEYATRSIPLDVVVLDMDWHLTFYKNNS ADQSGQPKGWTGYTWNKELFPDPKAFLAHLHSNGLHVTLNLHPASGVQPWEDSYEAMA TAMGIDPATKAYVPFDLTNKSFATNWLQLSLQPRQDEGVDFWWLDWQQGEDWFVAHNQ ASPNLNPTLWLNHVFFTNPFQWRNDTRPVLLHRFGGLGNHRYPLGFSGDVVPSWQSLQ FQPYFTANAANVGFAYWSHDIGGFQQGHDAQLYTRWIQWGVFSPVLRTHSQKDSVSDR RIWTYPNANYEIMRHFINLRRRLVPYIYTQSRLTHDTGLAMLHGLYYEWPEFDEAYSY THQYAFGSSFVVAPVVQPVDPTTQLAAKSVWVPPGVWFDLTRGSLVTGPIVYKRWYAL DEVPWFAKAGSIVPFGPEATASSLGQAQTAPDALVLTIIPGTPHGSGAVYDDAGNSSA YLRGDQHSWTHFTYDSQDNASMVVTIYPANGTFAGRRPRQRYLLELRHAVPARHVQVD GRDVAYCAFGNDEATPEGGGWTYDPSHLTLLVHLDVAVTSTTRVRVQFDTPASLSTKV DLNGVVGTMARLQHVKTLLDLQFAYAEDYPYLRHAYGMSRRIQYNLTSFFQEVALWPQ WIKLGVAEVRKLKLAPSVQAQIVHLLADDTAVPHESRVDKVFADDQVADVLVFN H257_15618 MLRVIQNLGQRPLYCRSSIFARPGVKYSTSPAPVRVRYAPSPTG YLHLGGLRTALFNYLFAKAHGGAFLMRIEDTDQTRKVDGSVEALTHSLQWCGIHEDEG PTAGGNFGPYVQSERLHLYKSYADTLLQDGHAYRCFCSQERLKSLRDAAARSGSGTMY DRACLGLDAVQVEEKLARNEPHTIRLKVSEGKTTLKDLVRGYVQFDHSVIDDQVLMKS DGFPTYHLANVVDDHLMGITHVIRGEEWLSSTPKHLLLYQFLGFEPPKFAHLGLLLNE DRSKLSKRQGDVAVEDFQKKGFLAPGLVNFVALLGWNPSDGNTQEIFTLDELKHFFSM DHVNKSGSVVNVERLRWINSKHIRRLFDDPSNKADVVAMLRPYLLNHVKNIDAFDVEF VWAAASLMKERVGALPDFGPLIYYFFAPPDLEASTAVEMKAKYWNDHTTDAAVADVTS RLQALPEGGGFTSNAVMALIKATAKDRNLNLKSLLMPLRYHLTGMEVGASIGDTFQLL GKDVALGRLVGAPSTTTTA H257_15618 MLRVIQNLGQRPLYCRSSIFARPGVKYSTSPAPVRVRYAPSPTG YLHLGGLRTALFNYLFAKAHGGAFLMRIEDTDQTRKVDGSVEALTHSLQWCGIHEDEG PTAGGNFGPYVQSERLHLYKSYADTLLQDGHAYRCFCSQERLKSLRDAAARSGSGTMY DRACLGLDAVQVEEKLARNEPHTIRLKVSEGKTTLKDLVRGYVQFDHSVIDDQVLMKS DGFPTYHLANVVDDHLMGITHVIRGEEWLSSTPKHLLLYQFLGFEPPKFAHLGLLLNE DRSKLSKRQGDVAVEDFQKKGFLAPGLVNFVALLGWNPSDGNTQEIFTLDELKHFFSM DHVNKSGSVVNVERLRWINSKHIRRLFDDPSNKADVVAMLRPYLLNHVKNIDAFDVEF VWAAASLMKERVGALPDFGPLIYYFFAPPDLEASTAVEMKAKYWNDHTTDAAVADVTS RLQALPEGGGFTSVRNIHVNELLYMA H257_15618 MRIEDTDQTRKVDGSVEALTHSLQWCGIHEDEGPTAGGNFGPYV QSERLHLYKSYADTLLQDGHAYRCFCSQERLKSLRDAAARSGSGTMYDRACLGLDAVQ VEEKLARNEPHTIRLKVSEGKTTLKDLVRGYVQFDHSVIDDQVLMKSDGFPTYHLANV VDDHLMGITHVIRGEEWLSSTPKHLLLYQFLGFEPPKFAHLGLLLNEDRSKLSKRQGD VAVEDFQKKGFLAPGLVNFVALLGWNPSDGNTQEIFTLDELKHFFSMDHVNKSGSVVN VERLRWINSKHIRRLFDDPSNKADVVAMLRPYLLNHVKNIDAFDVEFVWAAASLMKER VGALPDFGPLIYYFFAPPDLEASTAVEMKAKYWNDHTTDAAVADVTSRLQALPEGGGF TSNAVMALIKATAKDRNLNLKSLLMPLRYHLTGMEVGASIGDTFQLLGKDVALGRLVG APSTTTTA H257_15619 MLRRQAKTSSKKVAKDRPRRLSDDGDSGRRTSDADLGGATYDDQ YENGDVHNTGEGSGGSSGGGWWINCECFLSLLLVAVLVALPFNLHNAKDLLQSRDSYI VKAVEMIRVGACDDAVTLYERALIVEESATIHNQLADALSQCGRTNDALKHYMSSIRL EETGKRKVPSLMAVGDLYLKNTDYSLASKSFETIVSILQDEPTKDLVEAYMKLAKVDI GLRRFSTAYSHYTAASDLIIGDSMQAEVHYRMGHIALVMNRLPLAIDNFNVATTKGTP AQRSLFTHSLAYAYLEHGMLVEGNHALASLFASPSMYTEERYIRASFQDHHYPSGRTP SQYLSQLFDLYAYRHSIAAASSSSSSTVDTFRHLHGLLFESSVPAVMSAALRLRIGIS DGDHWLDVVDFGCGSGHAMAWFRSLSSFVLGIDVSPMSIENARQLSLYDDLQIGDILD VGGHLPDASYDLVLAINALPYFGDLRQVFELAHRILRPEAIFAFNVDLLPRPDDDEQE HVDDAFSLRFSGRWTHNDAYIKQIVQQHGFVLLHEEQVDDDQPFFQPAPGVIQRRPYG KERSNVYLVQKLADHGV H257_15620 MGNEISKFVDHDQSIRRTPQTVVDNEHRYDFVATWSTANVHALL RAYTKRATPDGTLGQDKSQRLEDSYQDIVRLETLVESMRLEDAAADVIDTNMTDTPIP HSHVETGTDVCPAHTLHDIKDTMTPSTPSSQPSTKPLKLKTKPTPKTPEQLQEAFLNS TEAEYVARRHDILLELEAKRRAYRTLAQLPTSLDGIDFSLPVQWSHYFQSVMEVDADA HAGAADNARRLWHPSSIGHIMERDPGAPGQLRAFALATAAAAASSANAHAGGDGHTEN ENASVSDDEDMNSDDEDDKTAAKADGDDAAVETTATTPPEANVVEPRGVLTKRSLDQH TLETAVAAVPLPPLFDPSLRSPVFFGYSVPPPGGPTPSTGPTGSSILPGTHRHDDDDD KVRAAQYFESRLQEIAHRFHDANAIETGKLNALSDRRHAQLERRQKDAAVRQRELDDA RKEGVDPTADFFRTAEVTQRQVDIQERAEDDLYESHKNSITSRMHAARVTCDQDTQFL QGLQSPDKPMGAARAAFHTEQLRLHHQSLLSAKNDLVQASTVLDQTKSRSLLQLTSDA AKQQTARGQLLFAEDQVKAAEHTIAVLLRQIDDEEFMLDRGETQIAQEITYFPSFQVF SQDGDTLLDVAFALVVMCAAPLSEKLEYVSQLSETNLLTKPALVQILTVFFRILNRMD QLRTPKDRQTWPVLDEFHLANLVDHMVGSGGFKSYVTTFEFVSIATDAIQRSKYLSTI LRVPWKYQHVSRVQQQAMSALRQFECGLISASDLKYRLARQSIQSAPRGGSSAKEALH VRALAMGSNDPLKADYSKYLKHRRRKVLSNVVPLDHACYKNLIVYRSEVAEKAAIRLQ TTWRARQGRVDATMAARKQAFYHAKGTALADARASVEADWRRQEGTTTTLDKMKFDAK IRMRQVKLRTKGLAFSRDDVLRVMTEEAVQDTLEEVDHRFREMEEAAGYCPRVLRFDP LDDAHFSEIAHSLVDQLQRARRPAPATAKLMRAIAEKEIKKEVPETSATWCEPTDKTA VERFHEAVKDLKVQREASHDHMLRGIEPVQATSSERREWMTLMCSNPPLKEWCARLVA ICDGMTQLKLTELLMELPSKRHAIAYVRGFHNDIMGAVDRDALVADLMGHFRVLRGVE PLADALIHMATTDMETTWRDDILYRMNAQEAFLVDYVHKSHIKHAGQAARDARQRGRG NTDAKLNMDTQRSMAVDAKARLDDAMVKWKDAEFSYAQAQRRMQLVRDSAVTLVDRRD RMLWAERLKRALETTDKGAHTYIEVVHVCQDFVEVARHVATQIVREYYLPVHEKTVMP VAGPFLMDGRNDGAVRSSHGKGLKFEAHNIRFQVALDDHDRFDHSDELAAKFAGAECR NSSLFLPTMLLTPNVLVPLQCCVDYHGMRVLCVSKLPIECYDVSDKGVVQNVRTEFVY GTNNKGKTIVSHSKTLDASIAKVNASLNLGAHCVRGSLDLTAKLIHGAGDMHGYIGQG ETFCLLKFRRMMPPEDPEETPHLPASTRGMSILWRQLRPALVKTHPVPLSPDALSLLT YQTPDWENQAARVKDCTRRMLDDVLPLFARKLAEREDYVTSPTFSLVGELHRHGINVR HLGLLRSLFYFQLDGAVALAFNQAVLCPTVDLTRELTRGDTIYIQGQRFKVSEHAQDV VSDKVVTVDRKYTGDSCQNVPLYKGDIQSKHDLRRLILVEMLQRTIKNLVRASLRSML QARQLAMGPTTQTSLFVVVLNYISGSGAGSDAFWKNQVFDGVRARFGSIAVSFVDRLN LRQAPVEIARYLSTAIGFELTRDCWTRFTQHPHGFLFTIDDVHVDVPCRIRHNLLVLH FAAASMLLDQASTVQRTTYPAAILFDAPCGYWPLNERKGSIVAKNMVSSTDHGKFSPH CTLEAAGPIANDDLGRSVEFSKDGCITFVKAKKWESSATLEAWVLVSASGHGIRAIIS HGRYTLAVLKRNVWGAWINMNNIDVVVAGPPVMPDVWTHVATSFDGTALQLYVNGVLY GDLDVRSEVNAQLERREQRFQAMQRDIDDQERHAKDTCMKDVDRECQALYQTKEGKRL LKDLAKKLTDEIEFKARLKKGASSTASPVTDPVDKPIQEDAAIPRKKADMDTMAKKQH AMQMFFKKSESITASYRLLRDELKAKIQVELADALSQDNRPLRIGCVSNACSNSKFFV GRLCHVAFYARSVDRDVLLRHFVTGTLDRAWTSDALFELSAVRFTKALEFAPTDGPML ASFAVNICSALKYDLEQRRSQNMYKAKVRRALRAFRSTENVEGCAEILRNLPHDVRFS DLFREAYACVNELHPTYWTRQSNVSLLELDALPPHFFMSGETKSSSMAGLSRDEVTVY ADIIRRVIVEYPTHYGDGLTDLKWICDLETDSVVLYFVLWIRAGEDSRRFDLSGVPDV TDVDMDVVTGANRSCLAFNLHGCVHLTSKTLCTLSLRCSALETLDVGQIPHLTDDVLV ALSKTCPNLKAIHMDRCPLISDVGVEAFEACRDLREVGFGFCVRVTDDALLSLASHTR LSALNVSGCLQLSDFVGLANILPASTTLTSLDISGCRRLTDHGIIAIGTRCTKLTHVN LAFCDKVTDRGIWAITHNCLDLVSLNVQELHLVTDNVFSFDQQGDGRAVVSKLLLAKL RTVVLADCKLLTDTGIAYLLHRARHLQSMDVSGCYHLTNQGLEFITTDIFNGSITGEH LTVLDLSYCMSLSSDGVRFVRERCKQLVTVSLTGCILLQDAEVIELVKACGKIANLGL GFCRELSDDVLIAISDCLWLDSLFINRCAKMTDVGVCAVASQCTGLLAVNLSSCKRVT DVAVDRLYDNCPKLAHLDVTYCPHVTRGAASRFSIHRPDMMLRTDFESQGSQEQGEGS EAAAEKLFRQHSWKQHPVAVVGTSSHEQVMVDKPLRDAALLR H257_15621 MNREAPSSGYENNFNDNGYNGSVDGRLYGYPNGATTQFNHMYVY GQDVIPTPSTMAVPRAYYVDQNEMYIHEGQQGDYTTNSGSSPNEQFQQESYAYQPERY PSHLRHTGTRASRTSFTYPALTEAAIEALAASSQYVASSSTRHDGQYRTNNNRSNTRP RQQRRKVLRFRDQMIEEFTTETYFQTPSRPAMYPSGASFRLYHPEELPCPVLDQLPRS DAVTKHLRNCMLISGELGVTTRWTLMPSTKFTVCELYPNEMLICREKTKNKVHEPVAL TGIMVEILSPVSMSLRVAHTQKEMVRLSVREGQEMLLQEWYWMLHVAMAMKVDVADAS GGGIGASAAKKKESKTRSVWVVRSIDEDNEPSYSNKAARYISTALAGAEVQVLHNGDV GSRLLAERINEYVHGRTLRESVFLGRHVLGEDQIASRAMRRKLAYDLFVQRGRIKRLV LVVEEELLAAMVARAQPPTDQDELGNGFTTSMLDMCNGVEFAVDMKTGDTKIVAAFG H257_15622 MSTADDGGGSSARVVSLKEDEVAPCEATRATSATETPNGRRSVS YDGRRVSTTTAATSDGRQHRPLSTNEKEEVGLLKMENAMLRQKVLALEQQFDDYAKKE TADEFENMDDINDLLRMAELAKHEAKAYSQNTSRDKMRKDIQVLQTILKKAKAERSEF KKTIKQSEDRLRYECEKKKEEGLKNEIDKEIFTKIIKDDRDRYRHQVMELTDRIKRLE REKYDMFMWAKQNQDHCLLEMRKLTRALIKAKKMADEQSHDSKELYELVVRVKQVLHV AADST H257_15623 MSESKAGDDDEYLAQLPQVDILRVDVSPNPTHISDELNLEVDFT VNKDVLDGWWEVQYLVDSVLERHIIKLGKTDAQDYLQGENHFQFSVPQINVASIKPSN LANCGLLIASFKTRANDIMDLKMVVQVSKQGDTLQRIIYNPLE H257_15625 MFAWGKPSAALARPVDLLGLNAASDDDEAWPTLSSGRPTAASKK RTSSSRRKRHGDGDFFLPLTPPNMQAPPAPPVSSIVMNLPTKLPVLEVPTIETNWSTR SKQWESDSEDSPSSPVRSHSIEEPPHLSGSHRIRRAMSEESVLKPARYESTPPSSPSS SRVDDATALESQTQAREHIWNMVFNNHASSSSPSSSSTPRASRAMSLPGWMPTMKFAS DLQEDDTLNKPVPPYEPSIDDDDDLDEVCSNGSSDTTVLSSYNSSPRTTSTSVDSLKS PPPPPLLDPHLSLPSPLPSCHCPMASDSTQGSFMPQSSRMSAAIPSSKCTCAPSNEDV DPVILARLADDFRAQRLANQANALMWSVEQATARGVVSNSTEQTLYHLIGRGAFEEAR DILYRHCHLTPAVHNLGSKATAATAPDNTPLSPTVPVDVVANSALTAFVDAMAPKDSV AGRRHKQTVLRALSTLVASWVKRVGVERGLSPEHIALTSGALFAAGSYRLAIDDPSSD IDTVAVAPWHVTHADFFGSFVDLLRSCPAVSSLTPVSNAFVPLLALVYDNVALDVLFA RVPMPTVLPSQDIDSDYILAGVDPASMKSLNAPRVSTLLLRLVPQPAVFRAVARAIRA WAKARGIYSSKWGYLGGVSWTILVAFVCQMYPQETDCESVFVRFFHVMSEWAWPQPVM LNVLYDAGLGLESWDPRLNVFDRTHLMPIITPAYPPMNSAVQVSHTTFKVMYDELWRA RHFADLAAHAANDADVKRAKWVDLFAPTNFFVRYDVYLGLSYSANTRDDMCIWSKFVH SRVRKLVDGLQHVEGMCNVHALPTHFPHPVGNSSPDGGEGGSDGQCLHRESVFIGLEF KARVPGNRSIFLDPQMEATIGQTIRFFEATDLQQFGVRAPGMTLSTTLLQWESLPEFV FAHGREAARDDRVRLATSPASHPAPPPPPRSRFVYPAPFGTTTATMNSHHRPKSKTTK KAKRKDKQHTSSI H257_15624 MGNNACSKGPIQRDVRPFLPTAWDELMSLERWATSRNLHFIRDK ATLVEWFGPKRRQDVVDAVDRRKDFFAFPLCVNDFLAGFALVQQAPVLRKFEFISKLA NTGAMCSKPELLLAMWFGVRGIAKLKGSKLPPDKALRCIANNVLNSKPSLDWAAVIQK LMVVPDVVFFLCDLDMVLVENLETLIADQLHGMKQLAAIEYEIGVAAATRNTTTAQSD PSPCDYAIPPPPPRRPVLEWLGLSSNLRTTTSSGQSKRVQVPNVDKCCRRIQNAFKAA NTPTSDSTRTLSLETSLRFNVRRLQELVLMATENSIAMSDVEAEHHLEHFSKDQLERI ACSDMLRWFKQWTADQNRETPPKWQIAATSCVDICRSASSWWRRLVSSVRDTFGKGGQ PSVEQRHDVIDLEAPQESNYSFLVHIEAPRSTPFQGRPDEATTTTTTNDHAAATLSCR FEFKEKAADDKHPSTAPNSVDILDQMQLDDDEVLETGFPMAFTMEFLLWKSVTDESAA LLARVVGQLLNDYIVLEACSFLWQKANVSIVYGYREHATKSMPQTASDKYYLRMTFLM RHSVFGDVESALHDKLQNLFQTLHFELTLENSFDELLAYSTSAAAAMMTSLWPPHAPD HHENDTNSSVQHLFQRMDSNGDGELSLDEVNAFQQSMDQSVLGTAAQYQHLITSNNLP HNPQTGNLTAPGLDAYFEKFGGLQSAYQSLHIGCLNDILHGTLSVATSLNRHALSKLQ TFFASCSPLHNIAAKWGLFTALQLTDVSMELTFPNAMACLRFLWPHARCPLLEVPGWL AAVVADFRSLLSTQHTHMDASPSCVNLLCAIETSLQRYMTQQATGSKAAIASVEPSIA LTMQTIEKFRDAWQMWESSVCGLQQLVLSNRDVACRVELDHFVRPPRV H257_15626 METPTPPQKRPRNAAASAAGSSAKAKSKAKALPSAKAAQASSTD TSTPNNHAASTSAAGNVPPMYMGLPMYMPINPYLKRPPLALHGMGLDPSSAMTLNTKS SAPQKGNDGKGGQSSSPAGTRYDSSLGLLTKKFVSLIQNATDGNLDLNQAAISLGVQK RRIYDITNVLEGIGLIEKTSKNNIHWKAGAAGGGSSCLPGHDESDSDNEGGATRAAPS RVEEVSDLKESTLKLIEEEKLLEHYIRHMTMSVKHLNDEGGGDGSANNPGGLSFISHQ DIRAMESLSEQSIMAIKAPPGTTLEVPDPDEGMPAGSRRFQIFLKSNEGPVDVYLVSQ ASTVPDEGVKKEATAASSASLLGHEADHGAGVGDFEDSDFDSGLFKLAPLKADPDFCF NLDENEGISDFFGSNSFLNHHDVAQAGGGGGDDDDDF H257_15627 MHPIRRKSVVLEEDENEPKKTLQQKEVISAQMIDVVQTRLDSLR SVAENRIYGLECDLKANLNHSSRMVPGLPDPYETNHVVATGRLRTSAALAMNARNQLL MERLFGPDEKLRTRNKTMKKSKADMLKSETRRNKRAQLEKLLQVSIERHVTRTVDSVL TSADSNNKRNIDLNAKLLLSHTIEKMRIVQGKKTFKRFVLTEISCTQFEQIFWLVFTR IFQKNADDADQMECICALYVKMLSVLRLNKDLIFRIYPYAIAGSVCSGFHYLFPGSRH LYVHDFKHQVFVIVCELLLGLKLCPISVQMMRKQYFPEDQLDELAGKMKYLDSAESTP PGQLPGSSNSLRKSASDFTLSMLPTSLRVHQVRASFNAAQLSPLMKEYLATNKEGLKK PCFLRRTTPVDRCLVGGEDTYRKAFTKKDQAVNFAQQSSELYGEYRKDVLKYQQNAHN AIKLIDETKDIVLNGGKAAIKAYKAILQNHSILEEAADQVPVQNSNVVATTRPTKHGL H257_15628 MLSKVSLDAYKSPNDRRLYRLTTLPNGLQVLLIQCQSSDHSHVR GTSQPFDDDSQGDHSSSEKEDLMYARDSVQAAACLTVEVGSFADPPHLQGLAHYMEHM LFMGSAKYPDENAFESYLSLHGGYSNATTDCESTAFVFETNASGFEVALDMFAHFFVS PLLKKDAMDRELCAIDSEFQLASQNDHVRLQQVLCVLSPPTHPYHRFSWGNQLSLRDS PAKDNVNVYEALRNFFRQYYTASHMKLVVCSPHDLDALEQWTARSFGAVPSSETAAPS YELHGSPFQALRSQLIKLIPLQDMHAMHMYFSLPPLVGLYKQKPAEYIRYILSHECNQ SLLSTLTDAGWATAIVAGISDTDGYESGSYGCQFEVQLTLTIDGLDHWDEIAAHVFAY LNMLNQCPDLPEWIFSEFKASSELAFRFPDEMDPLVACKELSQRMQARYAMPPQDWLQ ATVFQGAFQQHLVKDLLPSFSAHSVRVVLVSPSFDTEIDGGMTPWKTEPWFGTSFTCD FIDPGLVESWHQSHHSSHWPLPNPYIPTDIGYIATPATTTAAPFQVRPNLWVLPDLSY HTPRINACFNVALASVRRSLEAHACALLYCEVANDALRELKYLAQCADMDFDIGLQDG SDLEVVCYGYGQHLSAIVSQIFQTLARGDLDPTRFEAIRHVLVQNLHNKCRHPTDKSR YLRLLVLETTALFELEDICAAVEALTCDRLMSFVRDDMWVGVCFTGFFHGNIDDASAD TIFRLAAHPLERLHPLCSPSSCTHRSEVWMPQALALPSSPLGRGILVRQPSAHVDEVN TSVEIYYQLGKRDVRSNVYANLIQCIMQEPLYSSLRSRDQLGYDLSCCVRHTHGVLGF SVSLVSSAYSASHLAMRVDAFLHGEFPAHLAGLDDDTFERHVAARKAAWTGSRPSTLR AATAEFWAEITSQRLEFHSKSLFLDELNDVTKDECVRRFHDWFVNKPKKMRVHVVGQS HRRTHVPFESTVQEHVAPFLVNDLLGFKSTLNYW H257_15628 MFAHFFVSPLLKKDAMDRELCAIDSEFQLASQNDHVRLQQVLCV LSPPTHPYHRFSWGNQLSLRDSPAKDNVNVYEALRNFFRQYYTASHMKLVVCSPHDLD ALEQWTARSFGAVPSSETAAPSYELHGSPFQALRSQLIKLIPLQDMHAMHMYFSLPPL VGLYKQKPAEYIRYILSHECNQSLLSTLTDAGWATAIVAGISDTDGYESGSYGCQFEV QLTLTIDGLDHWDEIAAHVFAYLNMLNQCPDLPEWIFSEFKASSELAFRFPDEMDPLV ACKELSQRMQARYAMPPQDWLQATVFQGAFQQHLVKDLLPSFSAHSVRVVLVSPSFDT EIDGGMTPWKTEPWFGTSFTCDFIDPGLVESWHQSHHSSHWPLPNPYIPTDIGYIATP ATTTAAPFQVRPNLWVLPDLSYHTPRINACFNVALASVRRSLEAHACALLYCEVANDA LRELKYLAQCADMDFDIGLQDGSDLEVVCYGYGQHLSAIVSQIFQTLARGDLDPTRFE AIRHVLVQNLHNKCRHPTDKSRYLRLLVLETTALFELEDICAAVEALTCDRLMSFVRD DMWVGVCFTGFFHGNIDDASADTIFRLAAHPLERLHPLCSPSSCTHRSEVWMPQALAL PSSPLGRGILVRQPSAHVDEVNTSVEIYYQLGKRDVRSNVYANLIQCIMQEPLYSSLR SRDQLGYDLSCCVRHTHGVLGFSVSLVSSAYSASHLAMRVDAFLHGEFPAHLAGLDDD TFERHVAARKAAWTGSRPSTLRAATAEFWAEITSQRLEFHSKSLFLDELNDVTKDECV RRFHDWFVNKPKKMRVHVVGQSHRRTHVPFESTVQEHVAPFLVNDLLGFKSTLNYW H257_15629 MPSTNIDATKSPNDTKQYRLLTLDNDLQVLLIQFQPGDDSPDDD YSADGSSDDENDSEDEDGSEDDDGDESCSDDSDMDAGSRGRPSDVSMGSHPSKGKSSS RRAGACLTVGVGSFSEPQELGGLAHYLEHMLFMGSEKYPDENEFESFLSAHGGYSNGE TDCERTSYLFEVGPDHLQHALDMFAQFFISPLMKADAMDRELCAIESEFNQATTSDQI RLQQVLATTADAHHPFHHFNWGNTKSLRDIPAARGIDVRARVRSFYDSHYSANLMKLV VCGQDSLDDMESWVRTSYSPIQNKQVPPPSFATLSQPFGRGVDASPMQCQIVPLKTIH TVSLLWCLPPLSGHNHLKPHDYVASIVGHESEGSILFLLKSLGWALSMSAGLTEDHGY DYGTFGSVFTIEIKLTAEGLAHVHDVVTVVFQFLTMMRASPLPAWIFDEAKAITELNF QFQEEQDAIEKCEELAAIMQEMFQVCAQDLLRYDVLKGDFEAAIVHSTVLQHLTVDNL RLHIVSSSFADASAVTWKEEEWFGIKYVIEPIAPDVLSRWSTCGVHPNLHYQAPNPFI PTDLSLIHVSDSQQNAPRRLPTDDGPPVWYHPGVPFRTPRAHVVCSVTNPTVIQSAKA MMASGLYLRLVKDALNAYAYQAQVAQLSFDVHVKDAGFELFACGFNDKLPQLVRVMVH TLVGLEFADSRFAVLKDELVRQYQNALLKVQAKAKFTRLDVLLGTSFSHQALLDEAVQ FTAHDMRQFVSTELWQRGASVTGMVHGNMTAHKATDLIHQVATTIATVAAPAQNQWIP RLVRAIAPSSNTILWQKSDHDQDVNTIVEYYFQMTNHTIETMALTDLLQQIMEEPLFD SLRTKKQLGYEVSCTARETHGILGFGLAVVSSSASAAAIAVEMDAFLEEFRHTLANIT ADQFSQHVQSQVQLKREPDVTMMVATERFWTEISNQRLAFDLNRDVANWLESNRCTKE TLEAFYSDWFGPTARKLQVHVVGQRSSSPNIPPTNGVTTPHAIAQFKASLAMHPESKT IA H257_15630 MDEDPYAQEEAREREALMRVTFQPMKKWYVEQSEHAKTYGPFPL FWQDLKTWSPYRAVQRLFLKATEKTTEKTGDDQPSHEEEVVPVEVPVEEDAETKGKRR RKSKWDQDGDVQSNEAATTTTAPPTRKSRWGAAVETTEIVDEAPKKKSRWAPVGQAGG DGGPALLSAAEQQSIVLRAKLDALALKMATVVQDAAIIGKDPNRSPSPPPVYDSMGKK LNTREARMRASYESQRMDIIGQLVEVNPLFKPPPEYLRARLQRKLPIPHKEYPTYNFI GLIIGPRGNTQKRMEKEFNCRIAIRGRGSVKEGSKGKKMMSDDHEELHVLITGDREED IARAAVEVARLLEPVEDTNNSHKQKQLRELALINGTLRDDDYCHICGEKGHRQWECPN RDKSFKPVEVRCANCGDTSHPTSDCTQKSGGEDKATIDKEYLSFMEQLGESKPNVISH ANVVAAPSNTPGTAATPAPAAAAAAFGSTPETAFPPPTAAAAAVDYAGYYAQQQWGQQ WAASPEVAAYYAQYFAAGWTLQHGVWYDAMGVAQGYAQEVPQEAAAAYAQVDQTQPPS TDAIKDSTDTAQDGVTSS H257_15631 MGLRSRKRRLAGIADEDAFDVESSSYKSSSRLSDHLRQSHDNAE AFNLIWKQIIAGLAYVLVVYELCVLWKTVTNAAAVSTTLVVVLVLMKLLSCAAIYSVK GLVSTDARGHTSCVLLCALHTSMWLLLRVFDVDATSVRNSIPLCTLYYLCATLTVWFI GNNAKSEQARAEQLQQLETKFATE H257_15632 MGRVAAPTWADDDDSGVSFVQGHGQVNLPDGTTHYSILSLPRAD AKRRDDNRHGRTTSVDGVIDALQQVRLNCEHGALQATRRNPHSIEAPPRTSEEARVTL VSDASNITRKNALMQQTIDAELEKVRRSRADMLSKIAQNVKRLEIQLKAALPPKPVVL VPSPKQTPIETAPPSSPPPTPPASPPTRATNASPKAAAPSPVAPTKPHEAVRPDVPDE FVLVAKSRMEKLKQLEASIVPFADSQDPNMKRIRVLLKKKLGEACNQIANSLSSIRLV VDKIRQSFGEAKAAGEIYFHFALHFVASKLTAQVRVIAEISSCFPVANVVAMCCVHTP DLTDVFLAHMHTMCPYTIPTTPVRSEGQSDDAYRLSIGMEQDTNHSFESFDKYTQRMA MAVALLLAVMQTSPFDGQPQPAHLAISDAWTWMARVVNAAPHPLTAPILLAALETAGF ELHRQYKSQFLKLASVIQSNVVPILSRDVKSGAAATLAQLTTFVTQRSFVTEPEGRRP TETSVTAADEEKSTKDDNNSSNRNDQSYNSGYGQRGGRGGGRGGYRR H257_15633 MVKKHGPSVPVHAAAPKKDEDEDDNPFSQYYGMLLHQQNMLQDH VRTSTYERAMMQNASDFHGKVVLDVGTGSGILAYFAIKAGAKRVYAVELSAMAECARK LMNHNGMANKVVVIKGKMEDVELPEKVDIVISEPMGFFLVHERMLETYVLGRSKWMKP GGLMFPSTGTMFVCPFTDDSIYQEQMAKVAFWRQQDFYGLDLNCLVDEAMENHFSQPI VGYFPPSILMSATPIQHVLDFRSMTVDEFHTFDIPFRFECNQTAIMHGLACWFTVDFI GTAATVVLSTGPWDTGTHWYQCRMLLKQPIAVNRSQTVFGNLHFTANNKFSYDINMEV ILDGTSISSANTIRLQDQMYHYMHNAA H257_15634 MPRDLLHPTATEERSKHKLKRLVQAPNSFFMDVKCPGCFNITTV FSHAQTVVLCGSCSVMLCQPTGGKARLTEGCSFRKKTD H257_15635 MTSSRPKIILFSGDLAATDSPGQPSTNYAELGYDINTKKAAAKK FDAHDDTSAFHQQHYQQAQPPQQPPHKPGPDYVNLQAFGQAYAIGSKHMSIAQYRRAT KLMAYGAAAGIVLGAVLINWGSINEDSSISANVGRWIGLVGRLYLRAVFCIVLPMVLA SMALSIAEIMRIGKGGRIAWRVMGYFLLTKVFAVCIGLAMALAFKSEFTSLAVKAQPT PGLMYLRCGQSANTYVVASSTGAVSCENKTLENTAMATFVVKDTNNVFARNIDPNAVP SVKLAKSVLALTNDIIPDNVVRAMVSTNILSIATFAMIFGAAVCKNYRQNSTGTHYVM DILRQVHFVCELFAEWLLVLSPLPLCLLIASAAAMGQSNAQVNFASSCVYFVIAYLSA VAIYSGLVLPLLLYAATKTNPYVYLSAIVPAQVFAFSCSSSSATIPFTMRCVESSLQV SSSLNRFVVTLGAPLNKDGTAIYLPLAIVFLAKVSNITIDGASYPLILVLSILASVVT LPVPNAAPVTLYGIWAAVQSENFPAAVSFLTGINWLMDRLTTVVNVTGDAVVARIVAH HVDEMAVSDSDTACQTLY H257_15636 MEGGDSNILERLLQDICHRPSASTIPAHVVSCEESFKVTPQLKR TSTLLLNASFHCEKSSSASLILKLLDTFLTADAAFYAVFSERLLAQVQTFQHSFASAR VIAIRMLSRLIRHDNAITSGSSPALKPLIHAQVSILEATSDTDNARLKLSGHKSILQL VKSSPAYFELYFDAISTTSTAADSATFQTRFHLTKLLVDTFAATFSNAQRLRLLTSYT YWALEAKVRPTDSQLQSYAGFVSTISADEFASIVEPVLSRLLKRSPDSLLQAVRVMTQ SLRIDLGLYLGPMFVPVFTAKLRSQKDDVRINCIGLVDAVLRRCADFAHVQTILTEVL GVLDGKHGILAQFYQREAVFTTLYNASLHAPAWEGAAADLAALVLPSLVQASTKEANE GTRYIGLQTVSQWLSLLHPTTNTLPADISAFFTSGLQHKVDSAVVAHAYALLSARETV STALAQDASIVRELVRVVDTANKKPNVLHLDGVLALSVLASLHESHPDQIASVLDVPS ALVNDSFFATSAALLLQASSSSPPSVPAAGIDSPEVAVLKALPQTITSVLTRSTPSNH RLFGLLISGINHANASLRSTFQAKISSFVSANTWSSVHFLDAFAQGLLDLNDLKIVSP EDNVPDKSVGTSMSGVLRKNLRTLVPATVYDSDLAVELLPRLLLLTHHPLLVFGAQEV KFSREWNVIKKRFVEHVDEDLTVSDAVDDLFEFIPRLKSATVDAVLASLYSDVHNTRL SGHRAIVTLLNFAGNGVGEHLVLHGLLKDQVAHRLGRDDITAVTADDVAIYHTPDDEL YVAKKADESAAAATYRDHGTADERWEQQVRAEIERKRGLLGTKAADAKKKLTKDEVDL LHQQKQVRRRVGAAYTVVARIDSLLTFLSVTSPDEIQAALPYLVQPVLSLLGSPLLST FAQSSLRSICRCVVPTHLRVYSNQLAKGLEIAFVATHGPSDQAKATLAASNDLFERLF KALVNAVFGYEMDSETDFELDGEYNLLPPSTFHLVYPILAVFLDTSSTSRFKQFILPL FSVHAKMIKEEDEMEVGDVAAQRLLRESMIVLTLSWLARAENDGWVAAAQVLAQLTAG SPLSSAEFAPLLGDAGLLSSKVFSRRATLQAIRQGSDITDAPEPAFSSTIFMSCYDKD DDNKEVAQHIWATLALDLPDNFTEPLLQLLSHPNACIRESAGTALAHGLKRHRDQVRS VLDIVRARFIASLPVPTDASQVDSFGIPIVHRRPNNHHDVLVEAQATLLTRVGAGILF EHTALETILTPSEVVDVLKFIIERGLGDSSADVRHHMRKAGVQVIATYGAANIAELVA ILEAPYAAPGTSPEDIAAFDHQKEGMVVFLGSLARHMDKADPKVASIVQRLLDSLKIP SEPVQRAIALCLSPLIPAVKDQSTDILNSLLTDATQGETYGDRMGAAFGVSAVVKGLG IAALKQHAIIPRLEEAMKSANSNSRQGALTVIECLCARLGFLFEPYVIVILPILLKSF ADTNASVRDAASLTSKGIMKNLSAHGVKLVLPSILRAVDDSQWRTKQAAIQLLGAMAF CAPKQLGSCLPQIIPKLTESLSDSHPRVKEAGHSAMHDIAHVIRNPEVSSISSVLLAG IQDPNRKTNEALQALQSMVFVHSIDAPSMALIMPILQRGLMDRLSDTKKKAALIVGNM CSMVNDAKDLVPYLDTIAPCLLAQLLDPIPEVRTVASKALGMLVKGLGQSHFPTLVSS LLSAMKADSSAVERSGSAQGLCEVLVALGMDSLDTFLQEEIFPIARHPKSAVREGVLW VIAFLPPALGQIFSRYLTRVLPMVVAGLSDEVEGVREVAMHAGSIVVTAHALTHTKDI LPSLEAGIFDDNWRIRQSSISLLGDLLYRISGTSGNKVVYANNAADSDDEDDSVGSAA GERAILRVLGKTRRDLVLSSLYMVRSDNSAIVRQASLGVWKSVVSNTPKTLRSILETL MNTIVEALAGSHFEKQAVAGRTLGEIVRKLGERVMPEVVPILRSGLAPANSDGMRQGV CLGLSEVIGSSSKKQLEDFVDTLVDALEEALCDFTPEVRAAAGQAFNVFHKNMGYRSI DEVVPRLLRRVQSTEGEAQRRALYGLQEVLRAKSREVLPYLIPRLLTTPLTQAHVRAI AHIASVSGHVIHYHIDRIMGVLFSEYVSFAGKHDDIMTTAIKTTLQALVLSVEDQGVQ WLSSEMCKFCDSDIVEARYLACWLISAFCQATTANYNEQVPTFIRYILHRFNDADASV VQAASHSFNSLNTTIRPDELAKHIDFIRNNLNSMVSDARHRKGGVGSGEFLLPGLTLP KGLEPFLPAYQFALMTGTPEARQSAAAGIGELVLLSNDVCLKSVLIKLTGPLIRIAGD RFPPHVKSAILSTLEILLVKGGASLKPFLPQLQTTFVKALNDPSGEVRTRGGTALAQL VKFSPRVDPLVSELLDKLGSSTGGVKEANLQAILATLSLVGSKLSPATLQALYESLRD CLDSEEDVLRTVASSSIGLIFDLSDEIGASHFADLVLTSVSLSTWTRKHSSALLLQYA LASTHTWVNDALAGQIQDRLVVLARDDKPLVRIAALEATTAAISRFPAIFADFVPSVV AGLGDANKDVLRAALRVVKKVSKHTSATTRPFLGQLVAPTFVHIKSPNIGVKFAAERA LLYLLEVHSRPATIADFAAVSDQGKLLTEYVRRVLSKLNANSDSENDA H257_15636 MEGGDSNILERLLQDICHRPSASTIPAHVVSCEESFKVTPQLKR TSTLLLNASFHCEKSSSASLILKLLDTFLTADAAFYAVFSERLLAQVQTFQHSFASAR VIAIRMLSRLIRHDNAITSGSSPALKPLIHAQVSILEATSDTDNARLKLSGHKSILQL VKSSPAYFELYFDAISTTSTAADSATFQTRFHLTKLLVDTFAATFSNAQRLRLLTSYT YWALEAKVRPTDSQLQSYAGFVSTISADEFASIVEPVLSRLLKRSPDSLLQAVRVMTQ SLRIDLGLYVILFQMDKYRGHLSCKCMCRYLGPMFVPVFTAKLRSQKDDVRINCIGLV DAVLRRCADFAHVQTILTEVLGVLDGKHGILAQFYQREAVFTTLYNASLHAPAWEGAA ADLAALVLPSLVQASTKEANEGTRYIGLQTVSQWLSLLHPTTNTLPADISAFFTSGLQ HKVDSAVVAHAYALLSARETVSTALAQDASIVRELVRVVDTANKKPNVLHLDGVLALS VLASLHESHPDQIASVLDVPSALVNDSFFATSAALLLQASSSSPPSVPAAGIDSPEVA VLKALPQTITSVLTRSTPSNHRLFGLLISGINHANASLRSTFQAKISSFVSANTWSSV HFLDAFAQGLLDLNDLKIVSPEDNVPDKSVGTSMSGVLRKNLRTLVPATVYDSDLAVE LLPRLLLLTHHPLLVFGAQEVKFSREWNVIKKRFVEHVDEDLTVSDAVDDLFEFIPRL KSATVDAVLASLYSDVHNTRLSGHRAIVTLLNFAGNGVGEHLVLHGLLKDQVAHRLGR DDITAVTADDVAIYHTPDDELYVAKKADESAAAATYRDHGTADERWEQQVRAEIERKR GLLGTKAADAKKKLTKDEVDLLHQQKQVRRRVGAAYTVVARIDSLLTFLSVTSPDEIQ AALPYLVQPVLSLLGSPLLSTFAQSSLRSICRCVVPTHLRVYSNQLAKGLEIAFVATH GPSDQAKATLAASNDLFERLFKALVNAVFGYEMDSETDFELDGEYNLLPPSTFHLVYP ILAVFLDTSSTSRFKQFILPLFSVHAKMIKEEDEMEVGDVAAQRLLRESMIVLTLSWL ARAENDGWVAAAQVLAQLTAGSPLSSAEFAPLLGDAGLLSSKVFSRRATLQAIRQGSD ITDAPEPAFSSTIFMSCYDKDDDNKEVAQHIWATLALDLPDNFTEPLLQLLSHPNACI RESAGTALAHGLKRHRDQVRSVLDIVRARFIASLPVPTDASQVDSFGIPIVHRRPNNH HDVLVEAQATLLTRVGAGILFEHTALETILTPSEVVDVLKFIIERGLGDSSADVRHHM RKAGVQVIATYGAANIAELVAILEAPYAAPGTSPEDIAAFDHQKEGMVVFLGSLARHM DKADPKVASIVQRLLDSLKIPSEPVQRAIALCLSPLIPAVKDQSTDILNSLLTDATQG ETYGDRMGAAFGVSAVVKGLGIAALKQHAIIPRLEEAMKSANSNSRQGALTVIECLCA RLGFLFEPYVIVILPILLKSFADTNASVRDAASLTSKGIMKNLSAHGVKLVLPSILRA VDDSQWRTKQAAIQLLGAMAFCAPKQLGSCLPQIIPKLTESLSDSHPRVKEAGHSAMH DIAHVIRNPEVSSISSVLLAGIQDPNRKTNEALQALQSMVFVHSIDAPSMALIMPILQ RGLMDRLSDTKKKAALIVGNMCSMVNDAKDLVPYLDTIAPCLLAQLLDPIPEVRTVAS KALGMLVKGLGQSHFPTLVSSLLSAMKADSSAVERSGSAQGLCEVLVALGMDSLDTFL QEEIFPIARHPKSAVREGVLWVIAFLPPALGQIFSRYLTRVLPMVVAGLSDEVEGVRE VAMHAGSIVVTAHALTHTKDILPSLEAGIFDDNWRIRQSSISLLGDLLYRISGTSGNK VVYANNAADSDDEDDSVGSAAGERAILRVLGKTRRDLVLSSLYMVRSDNSAIVRQASL GVWKSVVSNTPKTLRSILETLMNTIVEALAGSHFEKQAVAGRTLGEIVRKLGERVMPE VVPILRSGLAPANSDGMRQGVCLGLSEVIGSSSKKQLEDFVDTLVDALEEALCDFTPE VRAAAGQAFNVFHKNMGYRSIDEVVPRLLRRVQSTEGEAQRRALYGLQEVLRAKSREV LPYLIPRLLTTPLTQAHVRAIAHIASVSGHVIHYHIDRIMGVLFSEYVSFAGKHDDIM TTAIKTTLQALVLSVEDQGVQWLSSEMCKFCDSDIVEARYLACWLISAFCQATTANYN EQVPTFIRYILHRFNDADASVVQAASHSFNSLNTTIRPDELAKHIDFIRNNLNSMVSD ARHRKGGVGSGEFLLPGLTLPKGLEPFLPAYQFALMTGTPEARQSAAAGIGELVLLSN DVCLKSVLIKLTGPLIRIAGDRFPPHVKSAILSTLEILLVKGGASLKPFLPQLQTTFV KALNDPSGEVRTRGGTALAQLVKFSPRVDPLVSELLDKLGSSTGGVKEANLQAILATL SLVGSKLSPATLQALYESLRDCLDSEEDVLRTVASSSIGLIFDLSDEIGASHFADLVL TSVSLSTWTRKHSSALLLQYALASTHTWVNDALAGQIQDRLVVLARDDKPLVRIAALE ATTAAISRFPAIFADFVPSVVAGLGDANKDVLRAALRVVKKVSKHTSATTRPFLGQLV APTFVHIKSPNIGVKFAAERALLYLLEVHSRPATIADFAAVSDQGKLLTEYVRRVLSK LNANSDSENDA H257_15636 MTQSLRIDLGLYLGPMFVPVFTAKLRSQKDDVRINCIGLVDAVL RRCADFAHVQTILTEVLGVLDGKHGILAQFYQREAVFTTLYNASLHAPAWEGAAADLA ALVLPSLVQASTKEANEGTRYIGLQTVSQWLSLLHPTTNTLPADISAFFTSGLQHKVD SAVVAHAYALLSARETVSTALAQDASIVRELVRVVDTANKKPNVLHLDGVLALSVLAS LHESHPDQIASVLDVPSALVNDSFFATSAALLLQASSSSPPSVPAAGIDSPEVAVLKA LPQTITSVLTRSTPSNHRLFGLLISGINHANASLRSTFQAKISSFVSANTWSSVHFLD AFAQGLLDLNDLKIVSPEDNVPDKSVGTSMSGVLRKNLRTLVPATVYDSDLAVELLPR LLLLTHHPLLVFGAQEVKFSREWNVIKKRFVEHVDEDLTVSDAVDDLFEFIPRLKSAT VDAVLASLYSDVHNTRLSGHRAIVTLLNFAGNGVGEHLVLHGLLKDQVAHRLGRDDIT AVTADDVAIYHTPDDELYVAKKADESAAAATYRDHGTADERWEQQVRAEIERKRGLLG TKAADAKKKLTKDEVDLLHQQKQVRRRVGAAYTVVARIDSLLTFLSVTSPDEIQAALP YLVQPVLSLLGSPLLSTFAQSSLRSICRCVVPTHLRVYSNQLAKGLEIAFVATHGPSD QAKATLAASNDLFERLFKALVNAVFGYEMDSETDFELDGEYNLLPPSTFHLVYPILAV FLDTSSTSRFKQFILPLFSVHAKMIKEEDEMEVGDVAAQRLLRESMIVLTLSWLARAE NDGWVAAAQVLAQLTAGSPLSSAEFAPLLGDAGLLSSKVFSRRATLQAIRQGSDITDA PEPAFSSTIFMSCYDKDDDNKEVAQHIWATLALDLPDNFTEPLLQLLSHPNACIRESA GTALAHGLKRHRDQVRSVLDIVRARFIASLPVPTDASQVDSFGIPIVHRRPNNHHDVL VEAQATLLTRVGAGILFEHTALETILTPSEVVDVLKFIIERGLGDSSADVRHHMRKAG VQVIATYGAANIAELVAILEAPYAAPGTSPEDIAAFDHQKEGMVVFLGSLARHMDKAD PKVASIVQRLLDSLKIPSEPVQRAIALCLSPLIPAVKDQSTDILNSLLTDATQGETYG DRMGAAFGVSAVVKGLGIAALKQHAIIPRLEEAMKSANSNSRQGALTVIECLCARLGF LFEPYVIVILPILLKSFADTNASVRDAASLTSKGIMKNLSAHGVKLVLPSILRAVDDS QWRTKQAAIQLLGAMAFCAPKQLGSCLPQIIPKLTESLSDSHPRVKEAGHSAMHDIAH VIRNPEVSSISSVLLAGIQDPNRKTNEALQALQSMVFVHSIDAPSMALIMPILQRGLM DRLSDTKKKAALIVGNMCSMVNDAKDLVPYLDTIAPCLLAQLLDPIPEVRTVASKALG MLVKGLGQSHFPTLVSSLLSAMKADSSAVERSGSAQGLCEVLVALGMDSLDTFLQEEI FPIARHPKSAVREGVLWVIAFLPPALGQIFSRYLTRVLPMVVAGLSDEVEGVREVAMH AGSIVVTAHALTHTKDILPSLEAGIFDDNWRIRQSSISLLGDLLYRISGTSGNKVVYA NNAADSDDEDDSVGSAAGERAILRVLGKTRRDLVLSSLYMVRSDNSAIVRQASLGVWK SVVSNTPKTLRSILETLMNTIVEALAGSHFEKQAVAGRTLGEIVRKLGERVMPEVVPI LRSGLAPANSDGMRQGVCLGLSEVIGSSSKKQLEDFVDTLVDALEEALCDFTPEVRAA AGQAFNVFHKNMGYRSIDEVVPRLLRRVQSTEGEAQRRALYGLQEVLRAKSREVLPYL IPRLLTTPLTQAHVRAIAHIASVSGHVIHYHIDRIMGVLFSEYVSFAGKHDDIMTTAI KTTLQALVLSVEDQGVQWLSSEMCKFCDSDIVEARYLACWLISAFCQATTANYNEQVP TFIRYILHRFNDADASVVQAASHSFNSLNTTIRPDELAKHIDFIRNNLNSMVSDARHR KGGVGSGEFLLPGLTLPKGLEPFLPAYQFALMTGTPEARQSAAAGIGELVLLSNDVCL KSVLIKLTGPLIRIAGDRFPPHVKSAILSTLEILLVKGGASLKPFLPQLQTTFVKALN DPSGEVRTRGGTALAQLVKFSPRVDPLVSELLDKLGSSTGGVKEANLQAILATLSLVG SKLSPATLQALYESLRDCLDSEEDVLRTVASSSIGLIFDLSDEIGASHFADLVLTSVS LSTWTRKHSSALLLQYALASTHTWVNDALAGQIQDRLVVLARDDKPLVRIAALEATTA AISRFPAIFADFVPSVVAGLGDANKDVLRAALRVVKKVSKHTSATTRPFLGQLVAPTF VHIKSPNIGVKFAAERALLYLLEVHSRPATIADFAAVSDQGKLLTEYVRRVLSKLNAN SDSENDA H257_15637 MTERYMYEQPNKLKADGSSYREWCVKTRAKINQQKLGKYLQPCY DPDGKYKSGLEMDDDLVALSYIQLSVHNDHLKYIQHVETTYDTWNALKAIYENTSEVS LVTLQMKMYKLDWSERIGLESFADQFQELTRKMTAAGDGTPERSHVTRFLCLLPPRFA NTVSYITRESRDTTKFATMRSVLEELKLDDERQQLSNPSLRKNADRSDDALNATVNGE CHYCHKAGHFRSECRRRQNDEAKGVQRRNVRDKPQGNGGGRGSYDGGRNGGRFSGRGR GRNSGNGRGGGRPNWRGADHGNYAEEVEMEDIFMIEEDLPVTSCPDDEDTWWQTDVDP AIEPETDDVSTELCQYATDETDECNAAAMYVREAIIDSGATAHMTGDIDLLHSVVACA RGVRLADGHPIPVTAMGDLKIKSDETGRTATFKNVLYVPTLKKTLVHAARATAVESKR SASRNKIIANATTAQPERKASDRGQSPHKTMRTEGTRGVATKVPWQHPIDQDDSSDAA NAAYDVCFNATDVDEDVPATFREAMQSADATGWLEACRKEIGNLEAMECYKLSQAPDG TRTLKSKWVFKRKDMPDGTQIFKARVVIKGFAQRYGIDYDETYAPVIRGDSLRLILAI VAATGMKCRQGDATNAYIHAKSDRELYMVPPDGFEASGMVWRILGALYGLKQSALLWY QHFKAILEDLDFAATSSDSCVFTRRVNGRLQIVTIYVDDVLVCASDDNEITSVFTHLQ QRIRLNDLGPISKLLGMEIDRNEGDKSMYVTQRTYIERMAVKYGMDKSKRVDTPIPAG THMTEDAGLPLDDDKPFRQIVGSLLYCAMSTRPDIVHAVTQLSRHLSTPHHMHMLMAK RTVAYLLHTKDIGITYHGQPAGSSELIGFSDSSWADDRPTGRSTCGYLWMLACGPISW RSKLQALVTLSSTEAEYVGACLSAQHGIHLDNLMDELGIKDNGKAITLYLDNQSAIAI GSNQSSVQRTKHLALRFYFLRDMVRGGKFKLTYLPTNIMPADVFTKHVTGDKLRGAMR FMGMGGYCGFCP H257_15638 MDRARKAQPHANEAIWTREVSRQLENHLTRINHAQPSIDTSVPK TAANVSTGSKKNQLKEDRLDAIEIENRRLLDRIASIALSTTKDSVDAPSNHPTVKKSL HEGQRKREQQKIWLENQALLKRLQSAKSTMKRVSDAKAAKWKLKFVKSKEHKLTAQDT IDHQHDSTADDHAAMTAKPRHCKKGGKPSNKVFIDVSTYRPHSVTDVTAPTFVKLPPL QCDPPVTNDITSNDRPVPQPTDDELLPTSQPHNGAAYTLPSIVLTKDGVSSAPGSSPA PWSPLSAIDSPPVPPMSSYNDDESDAALLLPLVSTDPKEDWVTVVTTSAPMGCSREGS AAEYLLEQTPSVEAQPLLSSQETALEPRIQQASDVTTDIIASHPITPQPSHEPDHDLG TDDTDATQHGSNAHELDNPFGPGPEDPSCQANSSTESTRHPSQDAVASEDLHGNQVDA MVEGIASHPSPASNAEDTSNISSRERVVSADAVGYVDSARNDNVPLNPTAFLMRCDSL TSGHFLTPRHPSFESTSPTSFTSSPAEYFASHQADNFKREDPVYPDLETAPKDNDGHD YYDEFEEDAHEAEPPVAMIAAEVADVRGRDLPVDLLADNGTSTATAASTVTPLDSKAE LDVKFERIHATAIAIVTAATDEAVKTKSVHKEKATQHQHALDHTIVSTNHHDIEAQPA VDCTDETSIGDGLATANTEQLLPAAFDPSSNLVHATYTSEGAKVAGVSTIGTTNQDGP TTDENVTSDYADIKGDGGSRIHDSNDTQSMGGGVSSVNSTDETTYEQCPPINVDNSSR NLDGRSMPLPTAFLMHCDSLTRGHIANHEPLENALDSSPSTSPAEYFSGHDDDGFEPE SPPEKSPSPLSFRHDVTDDEQDYNDEFEEDAAKVSDEAPVPPSEPGDIATSWALEESV TKHEYYDDDFDNK H257_15639 MRNHSFAKSRRTLQETNEPLPVIGKKSQSGKCINQRKPVNQEAL AGANGQGVLVASQTTRPDKSLELQLMAYEDLDVTVPLSFEQNGPAPILKTRHQLRFFE RLLVPTCIVRGLKLGECDANVVIICKKAQGYTPSGLLFLVVGYQASPSVLSLRLSDTE YDAWGYGRAVSGFELFCRWLCVVYVKRKRRFNLVWCGSACPRPLRARVDDEAVVCIHK EGMKLPSSTSSFGHEYALVAIYLRQTTSTLTFVVASMGNHSVVEVSTNIDETIANDFE VCASSCDSAVDVTFRQRRRQRVVSTAVTTYSIGDLTSCPIVFAGSVALTDGPSSHVQV YDSGMNYVVRSTSGGEATHYATVCKDDVNPLGISLGTQELRAMFADTRVYGVNCAVNV PWLQHCICTYARMFSVARFGIQQHGRYYLVTVVVMQDKLEYRSGLVFRAMQIRGPLGQ GAAAVSFSTVLRTTNGLSSVVLGLSAPCSEVTSDDQNSLHASSQPAECGEIMHCQSCL NRICNVLRGGGAVDVCFHQAIPVRVCFNVQTVEDANFGLTGRSVQHYLTEGHWRGLAV FVVPTEEFHLGGRESQDVDLVHRIHDLVQQQHDVAACDASSIDAPNFENYLIAEALVM EATMMCYSASSLKKPSTITGASSWKFACQLLTNPHRFYERFNRHVAWATMSESVATTL LQFQSHPEWKLVDQIDQMKAPFQALYRWLDNGMHLLQAIRDRNGLLSHDFTSPTNAWT VVAV H257_15640 MAPKKSSPTKKKAKEVKQKLGASDTAQDAVGDAPGPAADIDDAD LYHEPIKKIVIPDNQLRLSEQELKEEFSRVLTANDPNVPNNITKYNYKERMYKVDPPG PGDNLYLHLSSEGSMIHKESEDAKVQAAYDMKKAEEEKEARDAAIKQAKEEAEAKGEK IDESSLQFESGKNQFNYSERAAQTYTNPLRQRSIETEPPPVVNYMATVTQWDIYDTYM ESYEQHQREQIQLQKAAQKKEKEDKDVADVVVVTPSKNKDDDMVHSAAMGKILRVIER MVNQNAEDEIFQDFKYWEDASDAFRDGEGSLLPLWRFSSERAKKKQVTAVCWNPCFSD LFAVGYGSYDFLKQGSGLVCCYSLKNTSHPEFIFATESGVTCLDFHPQQPALLAVGCY DGTVMVYDVRNKINRPIYTSTIKTGKHTDPVWQVNWQEEDLAKELNFFSISSDGRVAN WIMSKNELKMEPVMQLKLVNTAKDDPEETSLSGLAGGCCFDFNRFSEHLFVVGTEEGK IHKCSKAYSGQYLENYTGHHMAVYAVRWNPFHERCFLSCSADWTVKLWDHSIPEPIMS FDLGNSVGDVAWAPYSSTVFAAVTSDGKVHVFDLAENKNEPLCEQKVVKKAKLTHITF NTKDSIVLVGDDRGGVNSLKLSPNLRKVEPVDEAADSTKGSKERLKAEFDKVDKLLNT NDNKA H257_15641 MGDVGLLVVGFHVRVDPSRLELQHRLDELEVLGRVLLHEEEVEV AHGDLILQQGLEPSRLTHKVPAFLRHEPELVLLLGQGCCDFADHILDVVHRLGLAERV QTDPKVGNRLVVEPGSAGDAPQMGLNDGGRVVGCGGGGALRGISDTLEGKVQLHYVVT VDFSAKGSKMFTANRRF H257_15642 MATPSREKAARNILKQRHVTPYKMNNRDALIKQRECGWNDHYLL PLQPSDEASAKAKNGTNYVSASFTDLPGPENQNHLRSGGFLNKAKNPRPRKTPPNGKL EPIQADHGDAQLRRRAVASIEYREEIIKQLSDFITCIPVKVRQKGHVHVHGAKPHQSL PANLKPKDITNIIKQISTELQVAGIRCVESIVAWMLHQRNASETPPVFVWKQQNYFVH MYSDLDFLVDELRSRGIPIPPEFTKSNPLLVNSNQRLGRVCAAQSIVVEMVEMAKVHH RPSPSSPPTSKLSTPPSAQRARNNSRSLVRGDVGSGSNALVNSTSSVDKSQENSTPTT TRDRECVLDLMHSETGALHQDVPCLKDDSKSSLRRDISHEGATSNAQTHLQEPPAPDA SFSQDNNSHANLGSIQNQEMHALDEAIQDTLPSHPNHDIPAFTGIPVITRYPAPRNHD EGNNQPKSHPMSWQESLANIPIPASAIAALSSCLEPNEWNSTSVLHILHDSRAWFAAD LIGIVCVVDQNLRIVCASVLDVIDTAMAQLLVSLQCFVQSNSCSAAEIEVDDILDALT SSVHPPDVLVSMALEVAQQLAMVQPSMVERLRSPKSAELLRYLYPFIMSDKSKASSCC QMFAMLAPIVDFAVHPYLLDYLGKGMLVMANISIDSTLSKLSIVCDRTHPLTTSIHSI DDATGWNSLFDLYPFFASAYGEKMVNHTLVEAGEGRGPLKEWFSLVWRELSSSWARSS SLPTPNRTLTIHGRTVHAPNMVDTWGVQTGHRVDVTMPNRASTSCRVVQLVDGNSVVV DQTLSTDDVVVDSADLTWFTPQAPIFLYIQDSESYFLNEVTAQARTKDLEFVGWLVAM AMFHQVTLECRLNVVWFDLLLGAELTLSHIHALDPSLHSSWTQLSSMDNLHAFLEMEE LPATMTAVEYVNHALQVKATTFAWQIHAVRRGFTKVLPLAGLKQCMMSPQDFQFLVHG EIPSDPGHINLRSIFLVSMDKEFEECKHFHDAFWQVVDAMTPVETRKFVKFVTGVDKL PSPGTEFLRIEIPHTAIGSSERRKQLLMLPQSHTCDNILELPNYWDGLRATYDGHSPP LDELVTVLRHKLMYSVDNGGDYGLDVTAEGPGRAKREEAQEEHDASTDSLQLPSLMED TAPEIPELAIDVSVLLPQNNVVQATLDTPSDESATKGTSNAPSIQPISGVDDNTPRAS HHDGDDEYSFDDFEQVE H257_15642 MATPSREKAARNILKQRHVTPYKMNNRDALIKQRECGWNDHYLL PLQPSDEASAKAKNGTNYVSASFTDLPGPENQNHLRSGGFLNKAKNPRPRKTPPNGKL EPIQVPKPIREADHGDAQLRRRAVASIEYREEIIKQLSDFITCIPVKVRQKGHVHVHG AKPHQSLPANLKPKDITNIIKQISTELQVAGIRCVESIVAWMLHQRNASETPPVFVWK QQNYFVHMYSDLDFLVDELRSRGIPIPPEFTKSNPLLVNSNQRLGRVCAAQSIVVEMV EMAKVHHRPSPSSPPTSKLSTPPSAQRARNNSRSLVRGDVGSGSNALVNSTSSVDKSQ ENSTPTTTRDRECVLDLMHSETGALHQDVPCLKDDSKSSLRRDISHEGATSNAQTHLQ EPPAPDASFSQDNNSHANLGSIQNQEMHALDEAIQDTLPSHPNHDIPAFTGIPVITRY PAPRNHDEGNNQPKSHPMSWQESLANIPIPASAIAALSSCLEPNEWNSTSVLHILHDS RAWFAADLIGIVCVVDQNLRIVCASVLDVIDTAMAQLLVSLQCFVQSNSCSAAEIEVD DILDALTSSVHPPDVLVSMALEVAQQLAMVQPSMVERLRSPKSAELLRYLYPFIMSDK SKASSCCQMFAMLAPIVDFAVHPYLLDYLGKGMLVMANISIDSTLSKLSIVCDRTHPL TTSIHSIDDATGWNSLFDLYPFFASAYGEKMVNHTLVEAGEGRGPLKEWFSLVWRELS SSWARSSSLPTPNRTLTIHGRTVHAPNMVDTWGVQTGHRVDVTMPNRASTSCRVVQLV DGNSVVVDQTLSTDDVVVDSADLTWFTPQAPIFLYIQDSESYFLNEVTAQARTKDLEF VGWLVAMAMFHQVTLECRLNVVWFDLLLGAELTLSHIHALDPSLHSSWTQLSSMDNLH AFLEMEELPATMTAVEYVNHALQVKATTFAWQIHAVRRGFTKVLPLAGLKQCMMSPQD FQFLVHGEIPSDPGHINLRSIFLVSMDKEFEECKHFHDAFWQVVDAMTPVETRKFVKF VTGVDKLPSPGTEFLRIEIPHTAIGSSERRKQLLMLPQSHTCDNILELPNYWDGLRAT YDGHSPPLDELVTVLRHKLMYSVDNGGDYGLDVTAEGPGRAKREEAQEEHDASTDSLQ LPSLMEDTAPEIPELAIDVSVLLPQNNVVQATLDTPSDESATKGTSNAPSIQPISGVD DNTPRASHHDGDDEYSFDDFEQVE H257_15642 MATPSREKAARNILKQRHVTPYKMNNRDALIKQRECGWNDHYLL PLQPSDEASAKAKNGTNYVSASFTDLPGPENQNHLRSGGFLNKAKNPRPRKTPPNGKL EPIQADHGDAQLRRRAVASIEYREEIIKQLSDFITCIPVKVRQKGHVHVHGAKPHQSL PANLKPKDITNIIKQISTELQVAGIRCVESIVAWMLHQRNASETPPVFVWKQQNYFVH MYSDLDFLVDELRSRGIPIPPEFTKSNPLLVNSNQRLGRVCAAQSIVVEMVEMAKVHH RPSPSSPPTSKLSTPPSAQRARNNSRSLVRGDVGSGSNALVNSTSSVDKSQENSTPTT TRDRECVLDLMHSETGALHQDVPCLKDDSKSSLRRDISHEGATSNAQTHLQEPPAPDA SFSQDNNSHANLGSIQNQEMHALDEAIQDTLPSHPNHDIPAFTGIPVITRYPAPRNHD EGNNQPKSHPMSWQESLANIPIPASAIAALSSCLEPNEWNSTSVLHILHDSRAWFAAD LIGIVCVVDQNLRIVCASVLDVIDTAMAQLLVSLQCFVQSNSCSAAEIEVDDILDALT SSVHPPDVLVSMALEVAQQLAMVQPSMVERLRSPKSAELLRYLYPFIMSDKSKASSCC QMFAMLAPIVDFAVHPYLLDYLGKGMLVMANISIDSTLSKLSIVCDRTHPLTTSIHSI DDATGWNSLFDLYPFFASAYGEKMVNHTLVEAGEGRGPLKEWFSLVWRELSSSWARSS SLPTPNRTLTIHGRTVHAPNMVDTWGVQTGHRVDVTMPNRASTSCRVVQLVDGNSVVV DQTLSTDDVVVDSADLTWFTPQAPIFLYIQDSESYFLNEVTAQARTKDLEFVGWLVAM AMFHQVTLECRLNVVWFDLLLGAELTLSHIHALDPSLHSSWTQLSSMDNLHAFLEMEE LPATMTAVEYVNHALQVKATTFAWQIHAVRRGFTKVLPLAGLKQCMMSPQDFQFLVHG EIPSE H257_15642 MATPSREKAARNILKQRHVTPYKMNNRDALIKQRECGWNDHYLL PLQPSDEASAKAKNGTNYVSASFTDLPGPENQNHLRSGGFLNKAKNPRPRKTPPNGKL EPIQVPKPIREADHGDAQLRRRAVASIEYREEIIKQLSDFITCIPVKVRQKGHVHVHG AKPHQSLPANLKPKDITNIIKQISTELQVAGIRCVESIVAWMLHQRNASETPPVFVWK QQNYFVHMYSDLDFLVDELRSRGIPIPPEFTKSNPLLVNSNQRLGRVCAAQSIVVEMV EMAKVHHRPSPSSPPTSKLSTPPSAQRARNNSRSLVRGDVGSGSNALVNSTSSVDKSQ ENSTPTTTRDRECVLDLMHSETGALHQDVPCLKDDSKSSLRRDISHEGATSNAQTHLQ EPPAPDASFSQDNNSHANLGSIQNQEMHALDEAIQDTLPSHPNHDIPAFTGIPVITRY PAPRNHDEGNNQPKSHPMSWQESLANIPIPASAIAALSSCLEPNEWNSTSVLHILHDS RAWFAADLIGIVCVVDQNLRIVCASVLDVIDTAMAQLLVSLQCFVQSNSCSAAEIEVD DILDALTSSVHPPDVLVSMALEVAQQLAMVQPSMVERLRSPKSAELLRYLYPFIMSDK SKASSCCQMFAMLAPIVDFAVHPYLLDYLGKGMLVMANISIDSTLSKLSIVCDRTHPL TTSIHSIDDATGWNSLFDLYPFFASAYGEKMVNHTLVEAGEGRGPLKEWFSLVWRELS SSWARSSSLPTPNRTLTIHGRTVHAPNMVDTWGVQTGHRVDVTMPNRASTSCRVVQLV DGNSVVVDQTLSTDDVVVDSADLTWFTPQAPIFLYIQDSESYFLNEVTAQARTKDLEF VGWLVAMAMFHQVTLECRLNVVWFDLLLGAELTLSHIHALDPSLHSSWTQLSSMDNLH AFLEMEELPATMTAVEYVNHALQVKATTFAWQIHAVRRGFTKVLPLAGLKQCMMSPQD FQFLVHGEIPSE H257_15643 MYVHWKHAMCRMEDIFEAEPGAKPHDHDRPYDRHVIESFDVVFR VLGPQLTGRNKKRKRDETYLKVATVSGILHKMKRSAYWIV H257_15644 ISHQAINVEPPMPPNDHPRHTARDDALLHPITAGPTSDVPMKDI KDAAPHPIKYRADIDGLRTLAVVPVILFHAYPEIFPSGFIGVDVFFVISGYLISSILF KECTKGTFTYGSFYSRRIRRIYPTLLLVLSLTFWLGCLYMFSAKLQALATTMLAGTMF SANLQVMLLDRGYFDDDIKENPLLHLWSLGVEEQFYIFWPCFVSILTRLSVRSAVVMQ VVLLALSFTCNIFFLGFHGTNKFSFYFPLSRFWQMGVGGLLAYVNHIRLTQTQYASVP TSSKPVSKPTSDHQTTALSWVGLGCILLGFACLDESSAFPGYWAVLPTLGAALLIFVG PTPWFNQSVLSLPSMVFVGKLSYALYLWHWPLLVFAKLRFPNPDLRPNYMTPMAMLGL AFVLSLSSLYHVENSLRRHKGRWVVPALMGCMLGMSILAGIILASPDSFSYSQQAMDA RAVLTPNVTVGLVPDIPNVSRENAPKEPTHASISAAELDILPDIGLPIPKQHMVEYSA EGRLINPGQEDRALVIALGDAHLDMVKPRFHQLALHTNPIDFPTIAFKSSVNTTLTKC IWWPDYDMIKKVQPNVVFMSINWLAHLHPGGAASQPAHENPPCCLQGYGDSCMGQSPK DVEAILTRFQRDLTDMVTLGAKVFVTTITPEGNEFDPKFILDSSVRSVNRSVFRTNHK WLIDLVEGAITGASATVIDYSENLCWEDTCRVVDSSGVPVRRNSNVFTPKFAAKYLSV LDQVVAAAVATPDDIIGSSDAPNSNRFSRIANPSYDKIMAAPKDFIVDVGFAPAPADG PFGTTHLTMIMNPNQSNLVFAYGDSHANQVKPRFLRSFQDRHGARNRSNFPTVVFKTL DGTPALTCQEHYDSVMAVVEKVRPKVFLHSMNWPQFLRPGGLDSDQSVAPTPKCCKMG YQDKCTYQRPKDVVTIMKKFQQDMTKLTGLGIKVFVATINVEGEQFNPYHMLSGNDVG DVSPVSKAAFRLKHKWLLGLIEKATAAANATLIDYSDNYCWNDSCGVVDDLGRPIMKD TNHLTRTYTHTYLGVIDQIVDVAMKI H257_15645 MSDHEVQPPAEMVLDVATPKAKAGKRKEKKASSETKRYVRWCDE SVATLFRLRYDSHLAKRFESKNNAEKKTAYVMLAAELSVAMESDYTVAQVQDKFSKLK TTWAMTKPSNPSDTGNAPLAPLPPHYDIMLEFWGSKKGYQRESLMSTDAIIWADATNS SDGRNLS H257_15646 MCSRSPFIVRLYAIVVRLKDVNSATPTGATNLPPVPDDNDTGQR DKCGFVYNALALPDRPSFNGSTVSEQ H257_15647 MICPKCVPGKSERLLNANKSLGREANIEGIVSVTTTLLDTASEV TLVTSGVMKSLERAAVEVTMISPEPSVIQPYGQAPTLKGDGQVQFKLVTLDTPCGPLS LRGLKAWVDTSPNAAQLLSSREMMQWLGFSEVDLLSHAFANQEGWDVIDVDKPPANVS RMTQGPPQATSAVMTGLRGHHQHPSLICRRRRRRAKQATSSG H257_15648 MSSIEHRIERSSKLLVAGLQARVAPGPSPAIGELWGAMQPHFHG NAAYGVCHDFNDGTYFYLCAIQVTEAELNTLPEGWISLEIPEHDFAVYEHTDSVDTIG DTWTSIMHDKSVTRDHAIPSVEKYPATFHVSGGLTIWIPIATTT H257_15649 MTTLHQMHTSHNDSVNFLCGEFIQSDRAVFVMLQVHTDDDRTDI QSDRAVFVMLQVHTDDDRTDIQSDRAVFVMLQVHTDDDRTDAHRMRDRMAWYGVALSS ARVLSAAQRPVGAKATVSLVPDITRDGVVPLEDEAKLWGLDLADVATADSRQARFRQY TMAMARAVYNM H257_15650 MILSLWEQLRSTVSLTGKQAYGVYRDFNADVYTYVAAVDVDEGA ALPDGWVAVEIPAHDFAVYDHGGPLATIGDHWNAITTSGQVTHDYSVPSLEVYPLDFK EGHALTLWLPIVTSAT H257_15651 MSSIEHRIVRGSKLLVAGLQARVAPGPSPVIGELWGAMQPHFHG NAAYGVCHDFNDGTYFYLCAIQVTEAELNTLPEGWISLEIPEHDFAVYEHTDSVDKIG DTWTSIMHDKSVTRDHAIPSVEKYPATFHVSGGLTIWIPIATTT H257_15652 MGIWTDELDVTWLQELVYQVTVLGKKANSGYKKEAWTVVLSKLN RSHNVALKMSQIKSRHDIVKGMYGVLSKIVNSSGMGWESETCRIQCQATTWDAMLQGK PKSWAMWRNK H257_15653 MASKLSNDFMVVQASATKELELLSEVLRPASTQHGLDQAKAIDV LQSEFADILDIDDMVAAFDIMENETRAAMFLRMTGAPREKWLQHHLQLTRRNALI H257_15654 MRREMILLLLRRRASTRRCLSMMMHLSYHYHAYLYKTPKRDSIL TGDLWMREMMMGNHNAFVETFRMPRETFCRLLDKLTTDGGLVGTQHVSSREMLGMFLY FSGHKASSANLQQRFQHSGDTITRHIHSTLMSIVQLASQYIQIPNEGSPTPSQIASNP KFAPYFNKCRMAIDGTHVPVWVIATETAPFHGRKGITMNVLAACNFDLMFTFVLAGWE GTAGDGKVYADALTKGLTLPTDGFDILDAGFALTTKTLTPYRGTRYHLKEYASGRLRP QTKQELFNLRHAQLRNCIERIFGIVKMRFPFLFQGVRYSYKFQVQLVMALCTLHNFIR LHGGRDDEVERQASDVIRAESQAPINGNDRANFDDAPESEEAKVWCDGIAQSMWEQYQ QYLVQRRELRR H257_15656 MDTTKADKVQARKARKRAYLRQFMQGYRVKVKDATLLLREQVTA LEAEYARRSFPTMMPWHEVADALQTERSKSETEAHQLRRKLRSVETQSRVMQQWVVAH LAAIPRSPDAAVQTWRNVTLLKDPTSRQLGKDWILQQMYHNTDRMFASHGFPAIASMQ AMYEMDVQASHTNDAECMYYHAKRQMESAPPDAIMRFMYRHHLCRILMADGDIPVTYP V H257_15657 MLFFNTVQEQTKTTALHQLTNSKGEWFNVLVGDFSTPTRCVVVA QQIHDDEAYGMVGSRQRTRMLWYDFEYIASAGRWMYRTLYINSQTFVRDGTLSPLSVE ANDFDMPKHMHPQDEAAFRRQAKTHIQHIYDLSCDTLQKIQI H257_15658 MLEAELQYLAARHSTSTSSTLELSWKEVARAFKDERHQAVVEQA EVKAVVLEYQSLARDMQHWVTVQMSVPDALNVRIPAVEACAPSVESSIKNSREGMDHT THVAQPGASVQGPPHAAPPYFEPRVL H257_15659 MHMLWHVKIVGFHAQRGQRPVSNKRLAVDIQSPIHPPPRARNIL KVVPKSDIYHFSCLRDIPQHARPLPRPDHPIRLVVVNLLRHHHTPRGCAEVPHQHIEP LAFGVGQLVQRRGLGLLLHRLQIR H257_15660 MRARSKQKCPAEAEKMRVLVAYKEYKDWKLVVKDNGVAMTTVRR VVNKGHVNKKLSGGARMGRSKVIPAIRDALERNVNDNCSYTFTAMKKFIAKDFPGVEL SLQTISRHLLGMLYTTKTLRIEPATCNNDVNKTKPKAFVETLLTHQQDGDYIVYYDET NFNIYCHRTLGRAKKVQRATLVPPPSKGPNLQVQCAVSAEHGLVCHRLERGSIKMAQN AAFVEEIYQAMKCYMERQL H257_15661 MYHNSNRMFHQYQFPSTTSSHDLYDVEVVTCPDTGALEYVHRRQ FDIALPASFLLQMYRDIIGYLLVQENYTPVLSESNGPTTMHSTPLHVPDHIERLHVVT ATFQDHPSRHVFVAQQVHRDPQYTSYLHNQRNRSLWYEVLALTPTSSRLRCLFMYSQL FDGCGDPVAFPDEAKCWGFYPSMDQSDGLQRMRFRDQKRAAAARHLSVAQARVLAYVH GRHHAASTK H257_15662 MVKCLLACHFETNKEYFDGNKSKAQLVAAWRRTALLFNLKMMIA IEVPKLKNKFQAVKSEFSTLRWSMDNKTGNATERLFDLPTYWDSLVEHFGDKTGLGHH EFGTSDPPPPAKSVDDTSTVDGLRDDVTNVDDTCHGSNGLEKRKLEVQLEMQRQRERR KKGKIDVASGLVSMGEIMAKGWMLQPCRTTVV H257_15663 MVSKPLDFHKRPVEDTHNVRHPTHALERLGVTCAFIIRRWQVDD LVQIRQNNLRYFLDVVICHGNPVADGEDCVVMQGLVKLAPSVLDFGRAHTKRRPAASE VSVKPTIELFVVLHTSPVRVVHLQTSLCHLPRLDLMTNILAFLTVFATVASATANQND DHELDAATEA H257_15664 MTRVVLDCHSICPPELVDTGLFCRKKDYGRGVGYPWKFGDGVSN STGMFQRCEKDNGQDECEI H257_15665 MELDTPNTSRGGRRHVYAMSLKKRGITLLPHKSIRKVAGDLSVS YSVVRTWKRVSDKIDQLAWSRPPCSTQPIKPLRVRIKPGAVPLEAAGLVYRNNRATWA SASRIVPKKDPGDFRITHRQPAHQRVYGAYAMAYDYWQLALHEDSQMYYSFIYFIYSP WSVHAHKSTYGSDRRGGVLSSAVDFMFADLLFRGLLAWLADMLGYAETPERPSPHDLL LLLPEAQPEQIRLLPDQGRLHSPTRIQGLCALAPPVTAADLQQFVCATTGCGPASPTT PSLWCLSANSPTHRPNKLEVQRRRSSPASNSLPLAGTLTIWPALTILRKIYSRWFPPT GRHDGLPLHRRKRRLWGAITTQVPFEDMALPLEEQRYQPLPFLSGAFTGASERWPILE KDAFAVVESCNRLDYIFIRPAGFRLFTDHKNQQNIFNPQAWQDNVWGDLLSRWDAAQA QVPTKSVRRLLALVSPLFLDKKDRIWIPPSATDLQQRAPRAIDGSKPQPRPSLPGVVD LSARLVWLLPTLLFGRHGHISQSQSILAINGYKDLRQRPLTAVRVELSHPRVQTNDAA ATANGFLDWFTTFGYVHTWVSDSGSHFKNEVIDKIRKAAGAHHHFTTAYCPWANRTIK VVNRLILRAVMSLDGCEMKLRATDWHLVLALVQGAFNHMPSNRLSGMVPVTAVNDLPA KTPLSALVNTVTEEVTDINWLDSSRTKQMQKLHEAMEQLHREVIQLQKFAIGDFFLLG QVSRQRNKVSLQWRDPGKIVRVVTEYVMAEYVMETQHWFHVAKLSEVRQGSGEYQALI YWLGLDEDEASWEPSYWDAYLDMLPPYIDGPTIILADNFGGPYRGRAAQPLSPNCTSI CQPLDVGVMGPFAQIMRKLSLDEVPVTTAAEKRLAMSKDCPFDQSVGNYFL H257_15666 MLRCVLNWAVLPVTSLLAAGQSGVFYSPRHIPSFPLEPAATIDV GQIAQAIDADLASIKPYFGVVRTAQATYFGVDIAPIAKKNDLRLYLGVNSGQDSPESY EDQVAAAIAAVLAYPDTIQAILVGSDNVRPYGEATAADITARVLDLKKRVEVTTGSVV PIGTSQRADAWLSLDTDLSALASVVDIIGVETYPYLDPDFSTLDPLALLESTWVSLAS RYPEDKLLITATGHPTGSENPPVRVELNPENAHLYFVAFAQSTFAGFWAAFFDLRLDD PITRIGMPQVKSFGLVTDAGDSKDMLPSLEFESGAMAQFTPGVCYSPFHNMEYPLHGG SSALLGGAMDEDFRVMAQYFSVVRTYYSSYMGYQVAKYAAKYSVGLYLGVFMTRETWY QSQLDDAVAAAANYPSTIKAILVGNENVIPCGPYKADEIIASINSIRTRIRQGTGRNV AVGTVQRATEWLNPNIRGDMLRLAASSDIIGVNIYPFFDGGYNPDNPLGLLDAIWNQM LALYPASKLRLTEIGYPTGGTAPSFAPKNTPSLQNSVNFYNAFQRWSPKAGGGEAFWY SMFDLRADDTTQPADLEKHFGFLTADTRQRKVANYPLLLQTTPPAAPIPALTPAPTPA PPPAPVNGPRGPQGVLYSPFHADEYPNDLKNVGAAISLDLQLVRTRFSSIRTEYSNFY GVDVTPFAAAVGLKLTLGVGMTRETWYADQVASAVSAVKYFPRTISALTVGSENAYRG DNFNANDIIAAIRGIKARIPNVKVGTVQRAAEWLNPALRADMVRLSQDCDVIGVTLSL YDTDNLTTPAAVATAIDQWWRSLAALYPAEKLQLMNIGFPTSGATSAKGNVAGVDQAV SFYNAVRNSAWGQGNSWPTWGADFYDDKPASVSPTKSYGYFTAQRQAKARNFPLTQAG H257_15667 MADRTVEDAIAKSNATIVDFSDNLCWNGACNVVDPSESPLMFSS NEFTHAYAATYLNVVDQVGADAAVHVESAELPLDEALNSSKGSTASWTPDLGYTPLTN APPLPHWTATDVVLNVRVQHEYKALMEMFLWARPKVQQYIHVGGKSTDELDAPHAAAS RCTVTSATTSAQGISSRSWQSFKPKWAGCTTVELRCMWPRSTPSNIQR H257_15668 MKSYSNNLAPPPVVEEGGGHGLMVCTQEALVFKMAFFINDTASF FAFVSAMRPLQVLGPLDRYVMPLYTLRPELTMKQDLNNMDESSRLHLRDIAKYVTQVT VVDWTDLAWLHHHYEQWRLLRITRVIASYIDLADQFPSSLAPHLSHLTSLTLTLTAAP VEHLYFRNWKLQDLDNVALKQAIYAAVFNCPTLKALAYEEFMANLQSLTLVAGKLNTR SIQALAGKFPMSPMSQLTLHNPGDKDNRGLECLLQALLTP H257_15669 MNVSKSNWVNPYGTDDAPPMSLRYLNEFVGKLQCASHLLQLQIF PDAKEISESMGLFNAARRFLDLDDADDSVDGIVVVGDGSTPRTAAMFAYRTKGWTCYS VDPEMRLSTDAERVPWDGIQKVVPIRAKIEDIQIHLRRAIVVLVHAHVTLDQALASVH ADTIVGVVTVPCCNWYGNQEQLFQRHPDIVYDDYSILSDHREVRLWRHNAGIDSVGLN QSTKAMTGCVVKSFVSPQDSIDAKSAALQLLHDDTLVKMVRGSIGTSELWGPLLSILT PLLVLRDNLRIGVLGDASKEFTSHFHQANNVGGGTTLIESFQLNGQYDLVLDLGMLHE CMYLIESRVSSALVLKLCQSFQSTLTNPNGVFVCVTPRRKLKGTSYFANPQLKWRLES HSVGTSFVLVCRQQAPKPTAPSRDQVLADLNAVATNFPDQAAPRIVGEITGVRIKSRK LTFLDVSVNGVAMQVVLSRANMTQGHVANPDDVARHLRVGDTVCAQGALESPEKLDAH VISIVASRPVPVKPRYGIQ H257_15669 MNVSKSNWVNPYGTDDAPPMSLRYLNEFVGKLQCASHLLQLQIF PDAKEISESMGLFNAARRFLDLDDADDSVDGIVVVGDGSTPRTAAMFAYRTKGWTCYS VDPEMRLSTDAERVPWDGIQKVVPIRAKIEDIQIHLRRAIVVLVHAHVTLDQALASVH ADTIVGVVTVPCCNWYGNQEQLFQRHPDIVYDDYSILSDHREVRLWRHNAGIDSVGLN QSTKAMTGCVVKSFVSPQDSIDAKSAALQLLHDDTLVKMVRGSIGTSELWGPLLSILT PLLVLRDNLRIGVLGDASKEFTSHFHQANNVGGGTTLIESFQLNGQYDLVLDLGMLHE CMYLIESRVSSALVLKLCQSFQSTLTNPNGVFVCVTPRRKLKGTSYFANPQLKWRLES HSVGTSFVLVCRQQAPKPTAPSRDQVLADLNAVATNFPDQAAPRVSTYY H257_15669 MNVSKSNWVNPYGTDDAPPMSLRYLNEFVGKLQCASHLLQLQIF PDAKEISESMGLFNAARRFLDLDDADDSVDGIVVVGDGSTPRTAAMFAYRTKGWTCYS VDPEMRLSTDAERVPWDGIQKVVPIRAKIEDIQIHLRRAIVVLVHAHVTLDQALASVH ADTIVGVVTVPCCNWYGNQEQLFQRHPDIVYDDYSILSDHREVRLWRHNAGIDSVGLN QSTKAMTGCVVKSFVSPQDSIDAKSAALQLLHDDTLVKMVRGSIGTSELWGPLLSILT PLLVLRDNLRIGVLGDASKEFTSHFHQANNVGGGTTLIESFQLNGQYDLVLDLGMLHE CMYLIESRVSSALVLKLCQSFQSTLTNPNGVFVCVTPRRKLKGTSYFAKYVPRGMGLV L H257_15670 MHDSDSDAGQGGVSVESLPAALPSTDNGIHEEAWRAHVSFGSWL SVFSNGQWRRAQVVDETDNLLCVSFDDSDEEDMWIDRGSQDIAQDNVEDASLVAVDTS TWQPPLSSPRRPSGLTNVGNMCFLNSLLQQLYWRPHFRDLILCTPPTNAIEHALVECF EGLHLNDGTSIDASAVLAASGLEAGQQQDIQQVFLLLMNLLESHPAVGCVSGAIRHTL RYGGARRTSIAAFHCLSLDVVGVTSLEESLAEWTATEQICDFDWDERATGVTISKQST VETMPSLLVCHLNRFTLNYHTWATDKVPSRLAFPIKFPLDDQYILRGVVVHCGDQASS GHYKAYIQDNSTSLWMEFNDTIVRPWDVHTNVEADCFGGPCSDQCAYLLVYEKQL H257_15671 MMITIGSSVAMAPLPPMNVIEKILCHHAVGLSVPCVQEGDVICV KSDWTLASELTFQGMDTLYSSIGRPPLHRPDRFWLAVDHTVDPRVNHLPKQKALIQVA TDFAEEHGLTDFWGPNTTIMHTEFARQRAQPGQIVIGADSHTCSAGGMGAFATGLGAG DVVMPLVTGQTWFKVPPVVYIELVGTPPLGMGGKDVILHILGALKRNTVAFERAVYYG GDGLRHLSDDARFAIANMTTEFGGIAGVFAADAVTAAVCAKRSSHKDEGLYFTPDADC SYAAHHVIRLDDVRTTVAIHPSPDNCVRIGEVAGMALDGCFIGACTTTQEDLILGALV LQQGLLAGLPITPGNKRVTPGSLQIVSHLNQLGLLSIYEAAGFTVGVPSCSFCVGIGA DVALPGEVWLSSQNRNFRNRMGKGSIGHLASAAAVAASSFRMQVTDPADLLGQIDFAL FDAYRQWNTSNDTASHSFTVSQPSPDLSPVVFTRPPPRVATTSPSEADQTSEPHAIIC GRVQVFEDDVDTDAIIPAQFMGLNPSSPLWPADCRTEEDVLASYSFAYTRPEFVQRCR DGATIIVAGTAFGSGSSREEAARCLKALGVQAVIAKSFAYIYARNQPNNALLGIVITD PVFHKLAVEGAQVSVDLPRRVVLVAGQSFPFALTALEEAFLVEGGLTQLFKRYKTDLF RAAMQPKTSNKSCQATCGDSVEAW H257_15672 MDLYTAKVEAAASGDRKSCVDLLEYVRKEKIRVPEISLKVGKKL IADGGLPSDVLYTVYEQTILAALDLNDLDVVNSFIPVLKSRFPDSSRVLRLELMVLEA NGKYSQADAFYRDMLQKNPSNMLIVKRQVAILKAQGKIDEAIEQLNKLLKNFQTDAGA WSELADLYLTVGNYTKAAFCFEELILTNPLNAFFHERLAEIYITIGGYDNLKVARKHL AHSLELNDTNNARALMALVVCTSTLATLKTKADKDDRELNQRLHQFALTKLKGSYNAQ ANSDVTSVVEQVLDSTKEAFEE H257_15673 MDGIAWPMLSWPVVAGIVFLNVFIDSSGAGLIRDSSSGQVKGLV ASVSALSAASLGAAAGYLPQDEDSQLYVLVAMGVLSGPAINFIVEKVSSVAESLVGGK AKEEAKED H257_15674 MRTTFAAFWLLGLVNDIPYVIMIAGAKDIAAGGVGLVYVAEVLP TLLVQFSGPYWFQLVSYRCRLYVAGLCMALSFVTVACGLGTSLFLQLVGVCFNGIQSA IGESSLLAYASRYDDPRLCLTAWSSGTGFAGIAGFAWLTGLSSFVGLQTTLLLALIFP MCYLTSFEWMLPHFRSPEAMPLVSSSKLRIGLRQGMRLTFSLWPYMAPLTLVYAAEFA MMTGTWAAIGFPLTDPIARGSFYRSAGFTYQIGVFVARSSGGWIRLCRGSLLLVAWLQ VTYFCFFWVVAQFQVLYNWTLLLPCFVVGTLGGLVYVNAFALLSEEVTKHTEFALAAA TVAMNIGVLVADVAGLWVQGCLYSMHHLLGAALHVDCSK H257_15675 MKDSVVALHWFRKGLRLHDNPALHAAVDAGAKRIYPVYVLEPAL EKRDIGINRYTHLLETLVDLDASLRRENSRLFVVQGDDTVDQLEQAFKRWDINLLTFE FDSEPASMALGAKVTDLARTMNIHVVTKCGHTLFHPEEYIAASTRHRLSFHTYGAFCS LFRAMGPMREPLPVPSLPAATFDDDNAYTVPTLRELTYPPRTAPLLYPGGESHALTRL NDQIVQRAKWVEHFEKPKTSPNALTPSTTVLSPYLSHGSLSVALLFQRLEAISKAAVK PTLPPVSLTGQVLWREFFYLQGATIPNFDSMEGNPVIRQIPWERDVSVISKWRNGQTG FPFIDAIMRQLKAEGWIHHLARHAAACFLTRGDLWQHWEEGAKVFELYLVDFDWSLNN GNWQWLSCSHFYFQYFKCYSPVAFGKKTDPQGLYIKRWVPELRHFPQKYIYEPWKAPR EAQTMYQCWIGRDYPTPMIEDHAAVSKQNMAKIKVAYAKQAGVTSVKKRKADI H257_15676 MAPTKLTTVASKKTIQDSFHGASTRRAYETYHNQFVAFLKSTKR GAGPREAGTVECTDFFHHMYTQGRKSRIIDLAKSALVAYFAPMRKYNKQHNVDEDRAR AIDTHERSGAPPPFRSYRISEVLTLRWNDVDIVGDANGRYLSVRLRWHKKVNMEEDCQ VYHLVDEMTFRCLRVCGFYDEHIAKVRNAGAGVNISSSTFVFPNFVDQQSGRTPILPI AISLHSLRRGGTFFRVFESKERHFNFRELMAWCRWADAKTCCEYLITQSISKEIDPRN LLRTGSDPSHIQWQTGSVAVPVGLGFSVDDLGQALAKNLHGQVPTARSALEAWQQWFV ADPAIGLVCALKDYTKEMIRMDRKKYSERITLGTAFSKYQT H257_15677 MHSKVSSNQSDCAMRQFATDHASKEVLDDGHSVGVGVVYTRFSN NKFGSSFMPWSYLFARSEYEEAYSQLLRVSAEAFDTFFDYHLEVATCSIHHTQYIQTA LKKQ H257_15678 MEPPLPSNDHPPRTLHDDDASLLPTTAGPTSDVRTKAIEEAAPH PITYRADIDGLRALAVVPVILFHAYPERFPSGFIGVDVFFVISGYLISSILFKECTKG TFTYGSFYSRRIRRIYPTLLLVLSLTLWLGCLYLLSAKLQALATTMLAGTMFSANLQV MLLDRGYFDDDIKENPLLHLWSLGVEEQFYIFWPCFVSILTRLPVRSAVVMQVAVLAL SFTCNIFFLGFHGTNKYSFYFPLSRFWQMGMGGLLAYVNHIRLTQTQYASVPTSSKPL CKATSDHQATGLSWVGLGCIVLSFACLDESSAFPGYWAVLPTLGTALLIFAGPTAWFN QSVLSLPSMVFVGKLSYALYLWHWPLLVFAKLRFPNPDFRPNYMTPMAMLGLAFVLSL SSLYHVENSLRRHKGRWVVPALMGCMLGMSILAGIILASPDSFSYSQQAMNARAALPE TVLTPSVTVGLVPDIPNVSRENATKEPTHASIIAAELDILPDIGLPIPKQHVVEYSAE GRLINPGQEDRALVIALGDAHLDMVKPRFQQLALHTNPIEFPTIAFKSYAHTPLPKCI WWPDYDMIKKVRPNVVFMSINWLAYLHPGGAAWQPAHEEPPCCPQGYGDSCKGQNPKD VEAILTRFQSDLTDMVSLGAKVFVTTVAPEGNEFDPKVILDSPVRSVNRSVFRTNHKW LIDLVEGAITGANATVIDFSENLCWEDTCRVVDPSGVPVRRNSNVFTPKFAAKYLSVL DQVVAATMATPDDVIAPPDAPNSNRFSRIANPSYDKIMAAPRDFNVDVGFSPAPADAP FGTTSHATMMMNPGQPNLIFAYGDSHANQVKPRFLRSFEDRHGARNRSNFPTVVFKSL DATPALTCQEHYDIVMAVVERVRPKVFLHSMNWPQFLRPGGLDSDRSVAGTPKCCVAG YQDKCTYQRPKDVVTIMNKFQQDMTKLTGLGIKVFVATINVEGEQFNPYHMLSGNDVG DVSPVSKAAFRLKHKWLLGLIENATAAANATLIDYSDNYCWNDSCGVVDDLGRPVMKD TNHLTRTYTHKYLGVIDQVVDAAMKI H257_15679 MDSEVDKEKEDDIGDEFEGREDRPTTNAPHTTAPNPTTPGTTTK APGTTKAPATTTKAPATTTKSPATTAKAPCTTKAPSTTKAPSTTKAPSITTKAPETTQ TPATTSKAPGSLLHQASCYHHQGYCNHNQATFYYHSFCLYHIGSSHHHHLPSPPPIRL LPRRRLQ H257_15680 MVIPTSRVKSKRFITKVMFLAAVARPRYDFHNKAMFDGKTGDGE DTESMGTNDYKLPHLSKDASIKDLTLFNVKCDQLIYDRAVDHLSGR H257_15681 MMYRLSLSKPSALPSNELDDSWVQEIDMVRGESSKKTSKVGGTF ITSAYNELLQAQAAAKPKRKKKKTGQGEVALPVHPATATRTRAHLMVTNITLQALAMG AREDN H257_15682 MGKDLDDVSEGEWIAWFKQGYDVDPRALDTLKKRINAAVVFDMS IPAADSRIGRVGDREESQAIVKIITDAAKPASLHCAVTEQMALTRNKPLKKDVYRFVR WLREYAIGHRQRRDGVCNARAGKCDDGPERIVLEAKVAEAASAGMPTAAVEQLRNVLM EFRDVFRLKFGRDPPLKMEPLKVRLKKGVVPIALGLGPEDWVFAAVLTPDSQEYYSFM TPVGVVTPTRVLMGQTDALDYLLGYAQTTDVLLHLLRKVLEICQAYGLKLHPGKISAD GVAHAPERIQDLCDLGPPQAAADLQQLLCATNWMRGNIPQYTELVAPFMKQLDIVAKA ADSRKKTALMRVALSSVGWSGDHLECFDRYGPVVPPDPDKMRGVLRSCCDTEVPVEDL ALPASDQRHEPLAFLSESFRGTRGRWPIVENEAFAVVESCKCLEFLLIRPGGFGLFTD HRNLEYIVIPLGSNSNMAKYQTHKLQRWALSMTTFLYVVECVAGEENLWADLLSRWES PRGPDRRFPVEFRSARMSRLALVSLLQHEDFVRPTANAITELHRGHPTCEKYASWSAE KKCFLTASGKIWIPGDALDMQVRICVVAHAGVAGHRRVEATTASGPFQVVKVVSNYLV EVQQLVPPGATPLHHARGLRLYCEGGRGVNEDLKAQIAFGDEGFYVEDLRDLRLRDGV WEVLIKWLGLDDMESSWEPTLSI H257_15683 MGRTVSNLVVSCCGHFHDDRSPGLCSIPNATQTSKKSALRHENL VSTPPPQVPPKPPTSSYNSVIMSDATTNDKSITDLKFNGWKVMFTT H257_15684 MMDECGFITPYTHFTSHVKAKHKHVRNIGTYMPGPSTKCNDAKP MFDPPGTRSLINQENHDIAIQLAKNNAVGVGALRLLLFVYRKLGGNPNELLQPFLRYR DDESGDFDDGEQPSGDDYGVDGDDNEHGGELVPPVKRAVSSVLDGAELEAPTPKRPVP LNDDAMVNRR H257_15685 MSGSRCFLGRGDPVVQKVAETRGDFVTVGAVPRGSICWADNNAL DRYWRYGRTEGRAEEFTGLAAVKPCSKLLHPRLSETGSTPVPTLAAHIGPACDALSEP VVPVVGWVLWGRHCGPPFANRDGSNAVPARGGEQVSKSGVFCASSWCRLV H257_15686 MESLEAYQLDSGLTDHPLAFRIPPPVADTTILGTMLRDLATFQP ALTITTHWQQPAASLPRRPNDRPIWAYLTPTLGTTLISINRLHTTKVRWVGDITNDKG TMLLSLESLRTKFGRSRHTLQRFAPIWDAIPTAVPPNPPPALRQQTLPWAPRPAGQPL PLPLPPPLRSSLPYFAHPLGGTFFVPTPGYETLHIPVHAMLVIPHHLTHQDGQPPTLS YRIGQRNSIQTRQSPAGTEIAVTFWHELRKDSDICGKNNRSLIASTAGGTIDRTQSPT GHQPAQQATPACNACHRLADTTLCPDCGQWHHSAYIPHCQVVPQYTTPTYGQHTLPLR AARTYAVGDGSVTHQSTPATHGTWSYMGRDGTTLAGILQVHANHITPTRCELHSLLVG LHHS H257_15687 MSIPDADSRIGRMLDGMAAALRRDRQEWVIREESAAIVKIITEA VKPVSLHRTVTEQMALTRNKPLRKDVPRAFMKKKRSRPGSLTRRGCRKEAAKDLGQLQ RRRNREWPRHHLRLRHRLEAVRLLKAHGQKRGDWSPTMRTNAVKVGREDLTATVDGVV PVRASLLDSGADLSVASAGLVSALLATTGASPEIIVMGPTTLRPYGTDSRPITVTKQV RLGRLEFNTGCGPLMLRGLRVWIDEAEAAVELTLGLPVMQKLGYSEQTLLENARRQQA V H257_15688 MKYSTDGYAGDALKQRLKAVIFLKQDFTRKFMDEYIAGLRVQAK AFFFNSLTSILQQDMVAETLFATLDDQIKRQPPPANADNLKARDGHMKTFEHFRTLFY SKAFAVYTDVRDSVCQLQFKAREDGFECTPVVVKATIRHTFIVPRSTLILSDQGSCDL ESRRRQVAGGTLYFFLPLDRLHMSPSETAPLGLINVFLLQHLPAAPTPLMADPTLVLE IRYPRRSSPHSRARLSPPRRPPPYHVHPPLLDGIHLKPFILPQPSSALYIGATCEAPT PFQYQLSTPNDPVVVSACFVHNDAYSILCYVKHSRLAYHHGTRHPATSPIPTKLHSTS YIPLPSDSTTEDDPQLSPIFNCPHYLINPPRTSSPSSTNVTTQPPTPSTSQPSW H257_15689 MPPNDHPPHTPREDASLHPTTAGPTSDVPTKAIEDAAPHPIKYR ADIDGLRTLAVVPVILFHAYPERFPSGFIGVDVFFVISGYLISSILFKECTKGTFTYG SFYSRRIRRIYPTLLLVLSLTFWLGCLYLLSAKLQALATTMLAGTMFSANLQVMLLDR GYFDDDIKENPLLHLWSLGVEEQFYIFWPCFVSILTRLSVRSAVVMQVAVLALSFTCN ISFLGFHGTNKYSFYFPLSRFWQMGMGGLLAYVNHIRLTQTQYESVSTSSKSVSKATS DHQATALSWVGLGCIVLGFACLDESSAFPGYWAVLPTLGASLLIFAGPTAWFNQSVLS LPSMVFVGKLSYALYLWHWPLLVIAKLRFPNPDFRPNYMTPMAMLGLAFVLSLSSLYH VENSLRRHKGRWVVPALMGCMLGMSILAGIILASPDSFSYSQQAMNARAALSATVLTP SVTVGLVPDIPNVSRENATKVPTHASIIAAELDILPDIGLPIPKNHVVEYSAEGRLIN PGQEDRALVIALGDAHLDMVKPRFQQLALHTNPIDFPTIAFKSSIYPPLAKCIWWTDY QHQMIKKVRPNVVFMSINWLAHLHPGGAAWQPAHKSPPCCLQGYGDSCKGQNPKDVEA ILTRFQSDLTDMVSLGAKVFVTTVAPEGNEFDPKFILDSPVRSVKRSVFRTNHKWLID LVEGAITGANATVIDFSENLCWEDTCRVVDPSGVPVRRNSNVFTPKFAAKYLTVLDQV VAAAMVAPDDVIAPPDAPNSNRFSRIANPSYDKIMAAPGDFNVDVGFEPAPADAPFGT THATMMMNPGQPNLVFVYGDSHANQAKPRFLRSFEDRHGARNRSNFPTVVFKSLDGTP ALTCQEHYDSVMAVVERVRPKVFLHSMNWPQFLRPGGLDSDGSVAGTPKCCVAGYQDK CTYQRPKDVVTIMNKFQQDMTKLTGLGIKVFVATINVEGEQFNPYHMLSGNDVGDVSP VSKAAFRLKHKWLLGLIEKATAAANATLIDYSDNYCWNDSCGVVDDLGRPVMKDTNHL TRTYTHKYLGVIDQVVDAAMKIEPVM H257_15690 MFDLRYKSDLATRFDSKNNYGKRVAYVMLATELSIAMKREFTSE QVQDKFAKLKTEWSISKPSLPSPTGNSALHPIPMHYDVMLEYWGEKAGYQREALMSTD DLSDDDNAETKIETSEVENVDAPEIRTEGPKQSKTEGPQRKKVKKSSPDKKSKSNSES LESGFNAIKEGLMFLGTSMAQQPPAQTAQGATLDDVLDAIKAQSDTMAQLVAIMVAQT KKQQ H257_15691 MERTPTKQTIQDSYHGASTRSTYSTYQRQFEAFCAHHKNGLNPN AATPEDCTDFLHPLYSMGRKSRTVDSAKTALVSFFKESSISPNPAQDMHAKRYVIGLQ KYNRQSNVDDEKKAHPLTVHELSTLINLFANHNPFDESGRYVSIRLRWHKKASVEKEC QVYHLVDEASYPCLRICTLYEGYLDKVRQTQVNVSQEACVFPSLSNQYDSVRVDWFKP LDQNYVRRLLQDLVHAGLTFDSIVEAVQKGMGIEQPKPVLPPARQRQLSLRECVVTKS IPTARSARDAWDQWFTACPKSGLFCAIKDFTKDMIKCDRRKIHAQ H257_15692 MADDESRNVISTRQQATTVQDLRDNRLSDGYKKGYRSGLRQIVA WLCAAVQTGSINPDGIINLDVFTYEDFTEFVLHNVVERYVHYDGLVVAGLPLGSGDFA VLPPHFIAGSDDAVTASGQLVFPRMWRHEELRGVLKSCLATLIYHKTFLENALPSEHP LLSSVLFGDPLMATRLPPKVTLASTMMQSTGIPPQTWPLYVTFQEKSELSSRAFLTKR VSHRATSHIHSSSDFSTTPSQGLLAQAVVLLSPLLWTTMLQSARSTFRAEDGTSYQWT SS H257_15693 MMVTAHDANKSCRRERKRKMMVSYRKEKKLEECELKMAYRRLEM EIHALRASTHSAGNASALPWKDIALALEEEVKPSHVEYQSLKEKVKATSRLLRCMEQW VICNSTIAASPNPVSPTWQHCMLPTNLASRQLGKLWITQQMYHNIEPTFAKYPFSRHV TEAFSATVEFTDAGNEYLLSWQFVTTKSDVIDRCRDHMCDVLMTNLFYPIESTTIREP YENTLLHQMISKTGDHVNLVVGIFPSPTRTVLVSRQILHDEAWGIVPKQRNRLAWFEF VTTPLGYIHIRAVLQCSHRITNHGPVDMPVEASMWGCDLSGVPPPLWESRLRRDVLGL MPISLAKVKTILGV H257_15693 MMVTAHDANKSCRRERKRKMMVSYRKEKKLEECELKMAYRRLEM EIHALRASTHSAGNASALPWKDIALALEEEVKPSHVEYQSLKEKVKATSRLLRCMEQW VICNSTIAASPNPVSPTWQHCMLPTNLASRQLGKLWITQQMYHNIEPTFAKYPFSRHV TEAFSATVEFTDAGNEYLLSWQFVTTKSDVIDRCRDHMCDVLMTNLFYPIESTTIREP YENTLLHQMISKTGDHVNLVVGIFPSPTRTVLVSRQILHDEAWGIVPKQRNRLAWFEF VTTPLGYIHIRAVLQCSHRITNHGPVDMPVEASMWGCDLSGVPPPLWESRLRRDVLGL MPISLAKVKTILGV H257_15693 MMVTAHDANKSCRRERKRKMMVSYRKEKKLEECELKMAYRRLEM EIHALRASTHSAGNASALPWKDIALALEEEVKPSHVEYQSLKEKVKATSRLLRCMEQW VICNSTIAASPNPVSPTWQHCMLPTNLASRQLGKLWITQQMYHNIEPTFAKYPFSRHV TEAFSATVEFTDAGNEYLLSWQFVTTKSDVIDRCRDHMCDVLMTNLFYPIESTTIREP YENTLLHQMISKTGDHVNLVVGIFPSPTRTVLVSRQILHDEAWGIVPKQRNRLAWFEF VTTPLGYIHIRAVLQCSHRITNHGPVDMPVEASMWGCDLSGVPPPLWESRLRRDVLGL MPISLAKVKTILGV H257_15693 MMVTAHDANKSCRRERKRKMMVSYRKEKKLEECELKMAYRRLEM EIHALRASTHSAGNASALPWKDIALALEEEVKPSHVEYQSLKEKVKATSRLLRCMEQW VICNSTIAASPNPVSPTWQHCMLPTNLASRQLGKLWITQQMYHNIEPTFAKYPFSRHV TEAFSATVEFTDAGNEYLLSWQFVTTKSDVIDRCRDHMCDVLMTNLFYPIESTTIREP YENTLLHQMISKTGDHVNLVVGIFPSPTRTVLVSRQILHDEAWGIVPKQRNRLAWFEF VTTPLGYIHIRAVLQCSHRITNHGPVDMPVEASMWGCDLSGVPPPLWESRLRRDVLGL MPISLAKVKTILGV H257_15693 MMVTAHDANKSCRRERKRKMMVSYRKEKKLEECELKMAYRRLEM EIHALRASTHSAGNASALPWKDIALALEEEVKPSHVEYQSLKEKVKATSRLLRCMEQW VICNSTIAASPNPVSPTWQHCMLPTNLASRQLGKLWITQQMYHNIEPTFAKYPFSRHV TEAFSATVEFTDAGNEYLLSWQFVTTKSDVIDRCRDHMCDVLMTNLFYPIESTTIREP YENTLLHQMISKTGDHVNLVVGIFPSPTRTVLVSRQILHDEAWGIVPKQRNRLAWFEF VTTPLGYIHIRAVLQCSHRITNHGPVDMPVEASMWGCDLSGVPPPLWESRLRRDVLGL MPISLAKVKTILGV H257_15693 MMVTAHDANKSCRRERKRKMMVSYRKEKKLEECELKMAYRRLEM EIHALRASTHSAGNASALPWKDIALALEEEVKPSHVEYQSLKEKVKATSRLLRCMEQW VICNSTIAASPNPVSPTWQHCMLPTNLASRQLGKLWITQQMYHNIEPTFAKYPFSRHV TEAFSATVEFTDAGNEYLLSWQFVTTKSDVIDRCRDHMCDVLMTNLFYPIESTTIREP YENTLLHQMISKTGDHVNLVVGIFPSPTRTVLVSRQILHDEAWGIVPKQRNRLAWFEF VTTPLGYIHIRAVLQCSHRITNHGPVDMPVEASMWGCDLSGVPPPLWESRLRRDVLGL MPISLAKVKTILGV H257_15694 MSIVTWRAHATSFPWTLVKSAQTIADMTLASSAPPDRTNCIPRS DMKRTALVGGRPYHGEAVGIETSASSYHCDGQEIPPMELVW H257_15695 MCPAWHSHRPTGFAGGSGSSFTSPHQRWPYHRHVVSSRSSHVRS PPAVHIMLHAPQYPSSEQSDPPTQPQVTGDGVGSFLGSSGVGSGVSGTRNDDTAPSTV RTGPALVLSLHEFL H257_15696 MTRLSLIVSNKFRSSSLTTKKASTILSSLPRFNVQVKTAKTSLP PRKLNESLAFVVPTPVKPTFTTGTAIENAFAR H257_15697 MAVADKMKSTWQQNKMVAWAIVGGLAIGAYLYTKPKPKHDDFHG SDSWNKNMDKNSPKK H257_15698 MAILDKVKQVWGSQQSQGFKLAAWAIAGGIALAAYEYTQPPKHD DDFTDAASWNKKILDKNAAKK H257_15699 MATRRPPLTDATACEKSDQKTRRRAYFKTMRQMYRNEEKQEREY LLRKIRELEIDIAPLKKHATGGNLTKSILPWKDVAMGMDEGVKIASSQLKVLKTQVDA YAEQVWAMKKWVVTNSVLKSALDSRVPTWRDVTLLAHPTSRRLGKEWITLQMYHNTDR IFQQYGFPAIDSHETIDWDTESVTVDGGDYTVYRRQAKMTESLEDAIAYIDSTLLSVQ STYVQQHATSAQAVVEIEGNTKQFAIVTPRQEYVNLLCGEFCTPNRCVFVLQQILDDE ACSHRAYRQRNRMFWHDVQDLPNGNTVVRSVAIHTLCYTKEGSIAIDDDAQVLQVNIN GCPYMNARKPQFPSW H257_15699 MATRRPPLTDATACEKSDQKTRRRAYFKTMRQMYRNEEKQEREY LLRKIRELEIDIAPLKKHATGGNLTKSILPWKDVAMGMDEGVKIASSQLKVLKTQVDA YAEQVWAMKKWVVTNSVLKSALDSRVPTWRDVTLLAHPTSRRLGKEWITLQMYHNTDR IFQQYGFPAIDSHETIDWDTESVTVDGGDYTVYRRQAKMTESLEDAIAYIDSTLLSVQ STYVQQHATSAQAVVEIEGNTKQFAIVTPRQEYVNLLCGEFCTPNRCVFVLQQILDDE ACSHRAYRQRNRMFW H257_15700 MEAVEEACLAFAAGRTTAERQAAESVLHHFKQSPQAHADSIHLL THSAVPMAQFHAVTTLCELSLLERVSVSQRKETIGFLLHHATSSSSMPSFVASALIST IAILIKRNWLQESPTDRTAILSHITQLASSSSNTPSNLVGIKLLLAFVTEMRGASDKK TRAMFQPVAFHTSCRQALEKDGLVQILALAVHLITHQPSPQALEHVYLLTVELLQWFE APSDSTSILLAVDPRWKPYLVQASFVQAIFQAYTTHRSHGLWSHTIRQVLILLASVTG SIFDSPDHHVAYITWIFHGALFIFHHPLPSNVEREVIDMCQLTYRLVSNWSSLNDPAL ADPLVSEVARLSCLLLQSALQEADEDGGEVWQMEGLDVLMDAWSLLTAPFATAALPPP PTIQAASAQVVRLYLQVRLRLVCRSDDNDAEEDEDIELNVAKTLEEQLGLVASLARLN APQNLSLCLSLLVETANERRTHTTKSLDDESFRHVLDKLHFVILFTGIVIADEYKGEK PAVPWSMEATLSVVEQLVHGVLTLLAEEIQAVQVAPQRESPYLSEQLLSTATRLHVTY FDIFPSKSADMVALYCQSATVYLTHWYMQPHVIQNVVELLLALPKTPAAVGLCMQSAQ FQWLTHSILAVQGALLYIPSQRRGLMCEALVRIIVPDAPDQLAPFVSEWHRCLDMMTQ SSTTTHSHIQVESILELYAGLARSSESRSFAAVEPLVLPWFPLLLRTLETFQSVPSIV PLVLKCACDFVEANLAYLSLDKAMDLYGHCDRLIGTFCVTNSVTQRSAISDDELQFED MFMLLTLLSHLVAKDVIDFADDTANSAGVVADVVFSGLNQVIPLMTHDLLQYPKLSVQ YFTLVSYLVDVYPDKVVRLNPSLLHQLLQSLVVGMRHTNTDIVRYSFQSVGELAACQL RQPTAASTGLLGQFIPVVLQMLVFEAAAAVVVDGAALALYSLFLVERPNIESIAHAFC AGMDSPLQDTMMHALGQLMHSLPPAQPQTAASVGHARKHRAHFKAQMYAFVAHVRGYV QIK H257_15700 MEAVEEACLAFAAGRTTAERQAAESVLHHFKQSPQAHADSIHLL THSAVPMAQFHAVTTLCELSLLERVSVSQRKETIGFLLHHATSSSSMPSFVASALIST IAILIKRNWLQESPTDRTAILSHITQLASSSSNTPSNLVGIKLLLAFVTEMRGASDKK TRAMFQPVAFHTSCRQALEKDGLVQILALAVHLITHQPSPQALEHVYLLTVELLQWFE APSDSTSILLAVDPRWKPYLVQASFVQAIFQAYTTHRSHGLWSHTIRQVLILLASVTG SIFDSPDHHVAYITWIFHGALFIFHHPLPSNVEREVIDMCQLTYRLVSNWSSLNDPAL ADPLVSEVARLSCLLLQSALQEADEDGGEVWQMEGLDVLMDAWSLLTAPFATAALPPP PTIQAASAQVVRLYLQVRLRLVCRSDDNDAEEDEDIELNVAKTLEEQLGLVASLARLN APQNLSLCLSLLVETANERRTHTTKSLDDESFRHVLDKLHFVILFTGIVIADEYKGEK PAVPWSMEATLSVVEQLVHGVLTLLAEEIQAVQVAPQRESPYLSEQLLSTATRLHVTY FDIFPSKSADMVALYCQSATVYLTHWYMQPHVIQNVVELLLALPKTPAAVGLCMQSAQ FQWLTHSILAVQGALLYIPSQRRGLMCEALVRIIVPDAPDQLAPFVSEWHRCLDMMTQ SSTTTHSHIQVESILELYAGLARSSESRSFAAVEPLVLPWFPLLLRTLETFQSVPSIV PLVLKCACDFVEANLAYLSLDKAMDLYGHCDRLIGTFCVTNSVTQRSAISDDELQFED MFMLLTLLSHLVAKDVIDFADDTANSV H257_15701 MQDVDVAKQAQKRLKRRGYIRKMMQQYRQKEKMEVVFLQSQAEQ LELELKHLLNHHNPLAMLPWKEVAAALDTDKKLAKSQKQALADQVTEVQTLIRDMSKW VTAHTSIPTSPSSRPAGWRNISLLGNHTSRVTGKEWITKHMQHNATNMFRQHGFPSLE AAPFQDTDVVFSEHHFTFVRRAQYDVPVGFPLEMHLRVFHEFLCDALMVNGLSGVSVP TVVEREASTTLHRLITCRNEGVNLLCGRFTDGADRGLVVAQQIQHDDLWPHDLPQRNR MLWFERITLPGGQRSVVRMLYMMGQTQSPRDGYVSLHEEAQEWGGNLQNLPQDMQEVR YRQDSQAYGQLLSAQAVERNRALVAALMAPPPKKQLASDWSTQPRLAK H257_15702 MTELGQHEVAAEYGPTAKKPRASKPTIKSSPVDNKRATWTSEMI ADLMAISFSKTAKRKFDACKTTIKMLHATEETGNVEESIDYPDHWQILVEYFQECSRM NSLPIMDSIQDNFDETLGDSDDGTSSPPSTAAKIRPDAHSPMIPRAVGAKKSLGDSLE AGLSRMADALVTMANSRICLHL H257_15703 MVKSEDAAVSKDSDEKSLQKRLKRRPYIRSKMREYREKEKLELA YLRAHAQALDAELRHFTKQHQSTRSKHQPSVLSPLSWQHVKDALHGARKAIEADRESL TNQVEDAQEVVREMTTWVAMHRRIPPRPNAATLTWQDVTLLANPTSRQLGKLWIAQQM YFNATNTFKQYGFPAVESTTSAPYLEADCILNDDMWTYCSKNQFIMDARLPFQLLLAT FKQHLCHTLLLARSDAQVTLRESEGPTSLHQTVTVNNEAINLLCGCFADEGRAMVVVT QIQQDEMWVHDLPQRHRIMLFELNRLGDGRTVMRMLHSLSQKHTSSGVLPLVDEAKFM GLDLSGIDSEALQMAKYRHARLEYGQTLFYQTRAKTIQLAMANMRTPGASTTI H257_15703 MVKSEDAAVSKDSDEKSLQKRLKRRPYIRSKMREYREKEKLELA YLRAHAQALDAELRHFTKQHQSTRSKHQPSVLSPLSWQHVKDALHGARKAIEADRESL TNQVEDAQEVVREMTTWVAMHRRIPPRPNAATLTWQDVTLLANPTSRQLGKLWIAQQM YFNATNTFKQYGFPAVESTTSAPYLEADCILNDDMWTYCSKNQFIMDARLPFQLLLAT FKQHLCHTLLLARSDAQVTLRESEGPTSLHQTVTVNNEAINLLCGCFADEGRAMVVVT QIQQDEMWVHDLPQRHRIMLYVATN H257_15704 MVTFGQYEVESEPIYSSGTSVVVKAVDAGLCNRVFDKVVRRKTK GMTDKEFFAAMNLLVLASSTLPTFLQDPKVPHQRVWGDQFDDVLSPDGSPISLDAFSS YTSSIFGPLTVAIKFMSDSTAYDKETNLRRMHKDMSVLPLLPTESLEWFQDATKSLVL QGDSLASYPYAIVMPFASMTLADVLSSESVQRKCDVLKELSIALSAFHQEQHVHGDVT PLDVVFVHGKLYVIDGDASARIADRQLPVKFSTSILPPEMFCKLDTAKDVDSVTAYWH GHVDGSRVFQCGSSSSPPFTVRAACAAKGAAGLPYPPVLVSQKADSWAFGCLLFTVVT DQHLVPVIDRYDLAHLKCAASWTPESIRHHITKFVLDPHAHALLVALLHPDPDNRMPL RNVASHPFFTGAVTAAELEAMVAVALDNARQLERQATDLLDQARRNNRTILASMAAME AAVDDMKRALFNAILEANDVDVPSSFILLTTKLQARSSTKNDLEEEQELSTFVAFLAA TGAEFVQSLSSTAATARALQRMAGGRPMYLYLVDEVTRLPVVPDEEEDDVYPIELVAN STQYVEFMTTCMPLIQGGFKLVQRGNNIARLLSWFGVPSVDRDTFEAVDAVISAMTCT PALLADQLNAPPEMGREEAVEAVRGAQLRMLKGLLEAQDPAKTFAGMARAHDEHGNAV WTTAERAEMLKNRMSVADVRRLAEEDAEKKAEQEKKAEQEREAEQEREGEQEREKEAE CQRNDGMLATEKKDEESCDAFLERVGGDVQRSCVSLCSIM H257_15705 MANVSLVSNPTSSKLGKQWITQHLYHNTALTFEKYEYPHNVVDF ADKGIEYVHLGCFGVGCSLPVLLHVLRNHICDWSLVDGGHPMYINTQAEVTEATTLHQ IVTPRNQQHLNLSSQGAYNKPCELF H257_15706 MSMAKQEIPASNKGYKMLAGMGWKAGEGLGVDKQGRTEPVPTCF KRDRAGLGKKKLRLRVTHTLVVSTVATKPSPPPQPKLTSTEKKRIQQDKTAIEKKHQQ YARDLYGDIADGYEAYFQS H257_15707 MASASSFAWSLVLAMYRSEDRADLDSAAVVGRFSRLFWSQWRSN SKVYACSRSNAAAFLSLARYICAFRRMYMRFLSRDSVGDDDDIDDAVTITPAWNIGK H257_15708 MSAASGACSAADALDVMMLESADKKLKKREYMRNIMRFYREEKR DELDALKAQVAALQSEYKSRLRASKRDLLLPWRQVAIALRDDLQDVQNERASLRAKAA ALASLIRTSKGWVSTNLTSMHAITPTWRNVTLERDEQARELGKAWITKQMYHNTDRMF GQYAYPPVTSSDEFFDIGVDNTDVSFQYHHRRQYAVDVPMECVLDAYKRHLCAVLMMD WYGYQANPTLTETSGNTTLHQLSADEWMNLVTGEFHDHDRCVFVVQQVQHDAANPTTQ RQRNRMIWLDMRPIAGGRTLVRVLYRFDQYFDPSGFVPLEEEALSWGCDLQHVRDDQK ERTFHSYCTNLLVTINANHQAKINAHLTTIMHASLQ H257_15708 MSAASGACSAADALDVMMLESADKKLKKREYMRNIMRFYREEKR DELDALKAQVAALQSEYKSRLRASKRDLLLPWRQVAIALRDDLQDVQNERASLRAKAA ALASLIRTSKGWVSTNLTSMHAITPTWRNVTLERDEQARELGKAWITKQMYHNTDRMF GQYAYPPVTSSDEFFDIGVDNTDVSFQYHHRRQYAVDVPMECVLDAYKRHLCAVLMMD WYGYQANPTLTETSGNTTLHQLSADEWMNLVTGEFHDHDRCVFVVQQVQHDAANPTTQ RQRNRMIW H257_15709 MTPSTRGSDSVSTSTAATDAVRRVYFREKQREHRMKNRSKHSRL MQRVHDLEKLLRLATMSTTKLPPRKRPLSNQSDLLLPWKVVADVMKQELEASEACQAI LRDRIDMSVAVIRDMQRFASLHDSLLQPSWRHMTLFANPESRHLGKLWITQHMYLNKD AVFQHFAFPPLSSPERVQEYDLVFTESDCYYLLQRSHLTFDVPMEALRRMNHEHLCSL LLLADSTSNDEKIDEPDMRYQVTPLGECVNVVLGEFADGHHQCTHVVQQIQDDERRPH SHRQRNRSTWIELTRISPRQTKVRALSIISQSRRKHGLVPLDEEATHLGLVDTTDVSD RRFRTQLLARTSLNSDSVNNNIPAILAQIMAELHDSRYPIRAQHDNV H257_15709 MTPSTRGSDSVSTSTAATDAVRRVYFREKQREHRMKNRSKHSRL MQRVHDLEKLLRLATMSTTKLPPRKRPLSNQSDLLLPWKVVADVMKQELEASEACQAI LRDRIDMSVAVIRDMQRFASLHDSLLQPSWRHMTLFANPESRHLGKLWITQHMYLNKD AVFQHFAFPPLSSPERVQEYDLVFTESDCYYLLQRSHLTFDVPMEALRRMNHEHLCSL LLLADSTSNDEKIDEPDMRYQVTPLGECVNVVLGEFADGHHQCTHVVQQIQDDERRPH SHRQRNRSTWSEISIYTGNDIVLQD H257_15710 MSERTPLFVVSEDNSNSRAKKAALFAIGIVGALGTVGYFHHAAT NPIAPLSVVSFDVEEPEVPISSTTPGNCRRTSDCTKYGAEYSCVAVESSIAGLTLLSQ CVRGAVCTGNVNGLCPSFNSWTTKFRQIQPVCAFAEVKNCDNALNADGTSVNEANANK TVTCFAATFSNKDGDEKEVNGIYKCVDAKLYAEKKLGFLDLTTPQLLSCAGNSSAFVS STGIKRVAPLCNGRGTCAPTTQFNSTYACKCNGGYSADDNCYEPVGNVCDGFGQCGAL GSCDPKNGACVCKVGAKGDQCSKCDVAAPAENVCSGQGVCGVDASCQCADGFEGLHCE TRSKSNGTAIADSDDAPKVSSATSFSLSTLAAVALLATSFLL H257_15711 MEVVYLRSLQEMLEAELQYLAARHSTSTSSTLELSWKEVAHAFK DERHQAVVEQAEVKAVVLGYQSLARDMQHWVTAQMSVPDALNVRIPAWRLVHLPSNPR SRALGKEWITQRMYHNLEQVFKDHHMPPAHASNPESFEFAMSSDNTTLDFLHRLQFVS YYPPSIIVSTFRHMLCSMLLVDRHDPA H257_15712 MEEAIEDALQAEFASLDAEMRTYDLWPSVKTKSRCLVEYSNGKC AGAICGSIHWTNLMPNHVSNKHHDVWLNTENKAELYERLSKEDAFPFLKEVARKRVAR H257_15713 MWSGRRSVPRRAQASFLRWMSTPPPQVYVPLRARDTKIMCTVGP ASESVDILKRLITSGARIFRLNFSHGDFDSHAERLHSIRKASEQLRIPVAVCGDLQGP KIRVGYIPSSIQMSSTPPALGGLIVVKEGDTVILSASAEESVIVDGVATLALTYKDLV HEVSPGHLVLINDGAIRMEALSAQGNDALVCRVLLGGVITSGKGINLPDSDIKAPAIT AKDWTCVEWAKANHLDYIALSFVRDAAEVVELKAALGGDSNSSMHVISKIEKPQAIHN LNAIIEASDAIMVARGDLGVEMNLATVPIIQKQIVARCQLYGKPCIVATQMLESMIEN AIPTRAEASDVANAIWDGADAVMLSAESATGRHPELVVQTMADIIEQAESADSHKATS VPPERNAPAHKLTAALAYGAWHVVNKTEVKVVVCWSQHGNAARYLSQSDFRVPIVAFS DDPRSVNQMALYNGITPVAMDVPATLSDFIDQASKILLENRWVQPSDLVLFLGGRPLG ASKSTNSMTVHEVGSNVFAD H257_15714 MFEYGRVVEGDHAAVVAFGSFFNWQLQMHRFLVPGLLTVGTLPS TRAAIEWASTADGHQLIGERMALAESAYDIVPGTGSETRRPDNYGQCVWGPSGSHKYD FAITKDNKNSDGETSRTECFANVFEAGKALAYPFPRSSYHYDTDPNHAITEDSLDKPH ISLDIEFDVSKNNGASVVDTQYFDFENFETNAASILYKSTGHSAGRYKYNLKAYDFEG VDSKDCSTCLSVTDMYRPTGVKGSCQNAYKDGFTKANVDELKYQVDKLVEYRQKADNN KCSDDRCDALGLVRTNFFGVESERDSKADTKVDDALQSWKSCLSDALTDAEWAKLTTS VFATSPSSPSVFTCARSCKYDVTLKEFYTPYSCATDYGVEGQDRRQCAGDATQFCNFT QTVTAKASDLVSKVQVSLKPSPVKPPIADPKTVFPGSTYDAPSTTSKELHFDVTCDNN APEFATYCTSQLQVKVSDLFQLEATLNTDNDAVKALLVNRSTTTANPIVFWRVKNTLA GTWQEISSATGDLKDSTAVLTFPQFKSELIFEAYTACGKVGDSITWTIYVHRTEVVHI DDWWYSMWDCGAAGKCNVEHTDFRVCKFKFDPQCDTYLSMLNPSDAKNIPIDPLTNDA YKVCEYKDENKNKKTCSEGCWWHYASCDTASSEEACTNRIREEGSRFVYCGKDGAHAP QVIEQETLAMQMLLQDTLTTTAAPVSTTAAPVSTTAAPVSPTPAPSPVEVRIKWQFYG MTCSWKYQNSKAAAPFLSTALLGTAQPAFNKEVAIKMQNVDVTEVTVSCDFFFKSNAA AADVKPTKRSRSKTVLIQNCDHPRWNAERPTDQGRYIKDTCDVVSWYSDITGLKPRQP APFQACKGALVYPSDPSIEDGKATTIYVETNSTGLTCCNPKAPTTVAPFTCQTLPGST SIGLCTDSKDPNIYYTRSVKFFGESMADAAQSYARELLVAGGLLAAVAVVAAVSAKKS SAAGVDLDDAYMQLLA H257_15715 MTTAAVASSSVEEGSCLPSRYQCPLCLDLLSSPVQLPCCRKHLC LACFERAVALTSANCAFCRKRIVSFARRQSKKIDDIFWTEIQSMTEGMDISTLTFESD DIDSRSRPVNSAAPGELHTYYEQCKAEREHERHLREQDQLAATLRFLEAESPSSARPP RPEQQQQPPPATTMPPVYSIFASAASASIHRASKGPVKKKKKKQPATFKRITSPNITL ALKSKQPVKNRWSCASCTYVNAATQAPVCAMCHTKRLME H257_15716 MSSGILATFLRARGLPLLGLAAAAIYYYDKSSDVIAFMQVYGWF VVLGIVGLLYLDHQLAIQLTHWDRQQSLDAANDPNRVQILEVEARRARERQQAIMEEQ IKAKQAEAALKKKANKPSKPSTTTSRDSNPLQGQGGSARYKPSGFQRPRGG H257_15717 MFRHLVRTVALPLSGAVLAASSFSHNKGTAHRVPSKTDGARVFS WGSNGFGQLGQGHEVDLSTPTPIKIDRAAQSVACGGNSSAIVTTEGHVYTFGAGGSAR LGHGDAIDTPNVSTPQLLEVPDLVFQKVAIGEYHMAALTADYRLFTWGRHNSPQLGHA NVARGFPNEVAELRGNVQDIACGRQHSVAITTDGKLYVWGLGHEGALGHGDKANVDRP KLVAALAKESIVQAACGREYTLALTKEGVVYAWGANDYGQLGVAGSMRYQRTPLPVTS LDGLDVVQVAAGEYHSAALTASGQVYTWGLGKDGQLGLGTNDDRNIPRKLSELDGLHI VQVACGGGHTVCVSADGNLWVFGRGRSGQLGRGDQLESIAAYRNTPVQVQLPLKQRAS QVTCGRDHTLAI H257_15717 MFRHLVRTVALPLSGAVLAASSFSHNKGTAHRVPSKTDGARVFS WGSNGFGQLGQGHEVDLSTPTPIKIDRAAQSVACGGNSSAIVTTEGHVYTFGAGGSAR LGHGDAIDTPNVSTPQLLEVPDLVFQKVAIGEYHMAALTADYRLFTWGRHNSPQLGHA NVARGFPNEVAELRGNVQDIACGRQHSVAITTDGKLYVWGLGHEGALGHGDKANVDRP KLVAALAKESIVQAACGREYTLALTKEGVVYAWGANDYGQLGVAGSMRYQRTPLPVTS LDGLDVVQVAAGEYHSAALTASGQVYTWGLGKDGQLGLGTNDDRNIPRKLSELDGLHI VQVACGGGHTVCVSADGNLWVFGRGRSGQLGRGDQLESIAAYRNTPVQVQVCHTNTIL LYGTCIKLYIYWRQPIICKGMKELK H257_15717 MFRHLVRTVALPLSGAVLAASSFSHNKGTAHRVPSKTDGARVFS WGSNGFGQLGQGHEVDLSTPTPIKIDRAAQSVACGGNSSAIVTTEGHVYTFGAGGSAR LGHGDAIDTPNVSTPQLLEVPDLVFQKVAIGEYHMAALTADYRLFTWGRHNSPQLGHA NVARGFPNEVAELRGNVQDIACGRQHSVAITTDGKLYVWGLGHEGALGHGDKANVDRP KLVAALAKESIVQAACGREYTLALTKEGVVYAWGANDYGQLGVAGSMRYQRTPLPVTS LDGLDVVQVAAGEYHSAALTASGSNISRIDWRSPPGL H257_15717 MFRHLVRTVALPLSGAVLAASSFSHNKGTAHRVPSKTDGARVFS WGSNGFGQLGQGHEVDLSTPTPIKIDRAAQSVACGGNSSAIVTTEGHVYTFGAGGSAR LGHGDAIDTPNVSTPQLLEVPDLVFQKVAIGEYHMAALTADYRLFTWGRHNSPQLGHA NVARGFPNEVAELRGNVQDIACGRQHSVAITTDGKLYVWGLGHEGALGHGDKANVDRP KLVAALAKESIVQAACGREYTLALTKEGVVYAWGANDYGQLGVAGSMRYQRTPLPVTS LDGLDVVQVAAGEYHSAALTASGSNISRIDWRSPPGL H257_15718 MPRPRISKASIGYLLNAPFVDMHAKCSQGGGGYKMALDTQHVFC RVAHVIHTDKLALSRYNIVPCKFKVPHSELYPPHLRGFTLNFGAFRHAHKRHRLDPAV VASLDAIGFVWDAAQHKWELQLLCLQLYKLQHGHTNVPRAFDIPSTDAWPTHLWGLPL GVMVNDLRKAMNTLAPPKLALLDALGFAWNAHDVIWTRQLAAVTTYAALYGHTNVPRM FVVPTSDPWPQDTWAMKLGFVVHNLRTKASGLARERKAHLDRLGFHWDARWSRPRTDA TTLTSIEIVEV H257_15719 MQVSILRQRVQKAYSLGDRIKLLCAYENSPLSTCAICAIEGIVW STLQTWLTKKAKYLATTRNKKLSSLGGQGRQVHMTFGTDLLAYMRNVRGDSHNLTTSH MITWLKNHQPEWLESYLGSKTNVDRAYKCLLVMCQRFTHRHGFAQRVPCFSKLKKAEL QELQMSFSASFWTKYGEQPLRDIVNVDETAVNYDMPPRRIWCEVGETSEVEAKEKHSD QLKAVLAICADGTKLPILFIVRGTPGGAI H257_15720 MELYRVRSRKATFRLHHCWLIQKDAPKWQETMPSSVSKSTKACI VSLSESNGVRFECSVDNVIKAPTLTSIRSLGNKKAKAKSQVEIYSIEIAESGILKVQT DMVKAIVERNAIARDNGQLKMFTVRVDPDDVEASAFIAAKRRSAMLDVELEIETKRQK LIALRRANDDASSSTNAPATESTEPNSDNDDA H257_15720 MELYRVRSRKATFRLHHCWLIQKDAPKWQETMPSSVSKSTKACI VSLSESNGVRFECSVDNVIKAPTLTSIRSLGNKKAKAKSQVEIYSIEIAESGILKVQT DMVKAIVERNAIARDNGQLKMFTVRVDPDDVEASAFIAAKRRSAMLDVELEIETKRQK LIALRRANDDASSSTNAPATESTEPNSDNDDA H257_15720 MELYRVRSRKATFRLHHCWLIQKDAPKWQETMPSSVSKSTKACI VSLSESNGVRFECSVDNVIKAPTLTSIRSLGNKKAKAKSQAESGILKVQTDMVKAIVE RNAIARDNGQLKMFTVRVDPDDVEASAFIAAKRRSAMLDVELEIETKRQKLIALRRAN DDASSSTNAPATESTEPNSDNDDA H257_15720 MELYRVRSRKATFRLHHCWLIQKDAPKWQETMPSSVSKSTKACI VSLSESNGVRFECSVDNVIKAPTLTSIRSLGNKKAKAKSQAESGILKVQTDMVKAIVE RNAIARDNGQLKMFTVRVDPDDVEASAFIAAKRRSAMLDVELEIETKRQKLIALRRAN DDASSSTNAPATESTEPNSDNDDA H257_15721 MDSDSDCGSGSDSDIIDAAIQVVFGPVLSRKHGGSCPGKSQNID RQCSLYSNLLMQDYFAPNCMYPDDLFKRRFRMSRDLFLHVMNTVEANDSYFTQRKDAV GKLGLSSYQRVAACIRHLATGTAMDDLDDRYRIGESTMRETLHRFCLVVQAEFGPTYL RSPKTADLRDLLTRSKSVGWPGMLGSIDCCHLAWKNCPKAWAGQYQGKNGEPTVVVEA ISDTQGRIWHCFFGMPGANNDINVLDNSPLLFDAIHGTSPMVEFSVNGHKYDRPYWLV DGIYPKYSVFVKTINNPSTAKEANRKSTGVATKGRRADVWNAPSSVAHAHEPVPTLEQ GRNEGRCCDVLYLAQHDT H257_15722 MRKTAATSGELHLTVVEGRNLRRPWSVFGRLSPVCIVHVGRQQR TTEVHEGGGSSPFWNALFTFDVDEHSTDVDIQVMTPSVCKLACLGSVTLPIELSRWQD REFKDLWLPVTIGKQPCTTSLKYGELRVRIEFKLATTLYKHPSVIALPVRRHNKDKAI STTTITT H257_15722 MRKTAATSGELHLTVVEGRNLRRPWSVFGRLSPVCIVHVGRQQR TTEVHEGGGSSPFWNALFTFDVDEHSTDVDIQVMTPSVCKLACLGSVTLPIELSRWQD REFKDLWLPVTIGKQPCTTSLKYGELRVRIEFKLATTLYKHPSVIALPVRRHNKDKAI STTTITT H257_15722 MRKTAATSGELHLTVVEGRNLRRPWSVFGRLSPVCIVHVGRQQR TTEVHEGGGSSPFWNALFTFDVDEHSTDVDIQVMTPSVCKLACLGSVTLPIELSRWQD REFKDLWLPVTIGKQPCTTSLKYGELRVRIEFKLATTLYKHPSVIALPVRRHNKDKAI STTTITT H257_15722 MRKTAATSGELHLTVVEGRNLRRPWSVFGRLSPVCIVHVGRQQR TTEVHEGGGSSPFWNALFTFDVDEHSTDVDIQVMTPSVCKLACLGSVTLPIELSRWQD REFKDLWLPVTIGKQPCTTSLKYGELRVRIEFKLATTLYKHPSVIALPVRRHNKDKVG RSI H257_15722 MRKTAATSGELHLTVVEGRNLRRPWSVFGRLSPVCIVHVGRQQR TTEVHEGGGSSPFWNALFTFDVDEHSTDVDIQVMTPSVCKLACLGSVTLPIELSRWQD REFKDLWLPVTIGKQPCTTSLKYGELRVRIEFKLATTLYKHPSVIALPVRRHNKDKVG RSI H257_15723 MTKKHVSFAATKTVAEFHIAHNPTTVPHVGPSVGLVGPPICISS VPILDEDEVVATQGKKRRALYMEPVRRVVMLRRQGYSMEDIGRICIQADYVKQCRKET ALAYLVEKRLLVESKSTDLHVKPNTPGVLV H257_15724 MASSVDVVVGATFAVDDIHAAMQLLFRETLPSITASLTWIPYGQ TASFLAQPTQLAASSPTRHAVTLVFVRLVDLWHSHPELRQEAPDMSLMHRFCAAIDTH IALNPSRHIVLVLCPSPPELVDDNAYIANEHAFLAQLPRKVVTVTNVFACYDPPSPYY DVVADTLSHSPYTTAMAHLLALVGTRSICRVFRTITKVIVVDCDHTLWTGAVSEDGLD GIAIPPAYAALQRFLVAQFTKGVLLCVCSRNVPKDVHAVFANHPDMVLQWDVHILLAK INHELKSANIQALVTQLNVAMDSVVFVDDNAVECGDVEQNCPGISVVQVPMQLTPEFM PTCWAFDSPLGTFDATVTAEDARRTAMYRHQLLACAITNHPSTLSQIPSIVSGTPDTL KLSLGMQIDIQLVDSSATVSSTLSRLVQLCQRTNQFNCHTDAARAFTSDAHVVAFRGS ILYVHVTDRFGHYGLVGMAAWTELDDETSRIDVFVLSCRVLNRGIEHAMLQYVATHTT STTIHIAFVSTVRNVPAATFVANLPHVTKTKHGYAVPRASVLALHNQPVVTTSTTNHA NLTTGGRPRGLPTTNFPTLAALSSFIESFSSAPSTVQGGVDVVQVTTPVASQDVDIAD ACKFRRQQREALKKLQKEATGTAIPIWTTNNVSERKPCPQCKVHTLALTSKCTFERCR SCCYNIQKWLQRAHDHPHPKARHVALDLLQQANIDILRSQRGCNVHTNQRRANDF H257_15725 MAPSVGRPRTRRSLATHKATSITTTRSASRATSSLAPLKLPTDV VEISDDDIHNDSQNDDGDGDSDDDVVLEKVVPAPRNTPFAEYGGYYSPTGGAVKPAKK KRKVVPAAAAITPKTPEQELQDTTALAARMFEQHASLVPEITSMYSQVAWCRLRGWPY WPGYVCSPQMLAVDADTMETFVPLMKTHYWIYFYHCNKSAAVPHSSVVPWDDQSKPYR DGYPTGGVNRTIGLAEAVDVAEKEYKLAADDRVAWVVTRVRKKESAQAVLKTRRRLMP SNDLRDEDLRASDGPTQLKGAAALREMAQAASSCSIQPSTLLSTTNLGHDSSSSAEEG H257_15725 MAPSVGRPRTRRSLATHKATSITTTRSASRATSSLAPLKLPTDV VEISDDDIHNDSQNDDGDGDSDDDVVLEKVVPAPRNTPFAEYGGYYSPTGGAVKPAKK KRKVVPAAAAITPKTPEQELQDTTALAARMFEQHASLVPEITSMYSQVAWCRLRGWPY WPGYVCSPQMLAVDADTMETFVPLMKTHYWIYFYHCNKSAAVPHSSVVPWDDQSKPYR DGYPTGGVNRTIGLAEAVDVAEKEYKLAADDRVAWVVTRVRKKVLIYCLLKSF H257_15726 MTVSMDGFAWLPIMSVLCLGSITFFIVKKRNDIASSIFGVRSSA TNLEDESVPVAIETPVKNL H257_15727 MSTVSMEFENLDFQYVEQFAGVNYTAGHVLKNINCKLHAGQRIL LVGGNGAGKSTLLKIVGGKHLPTHGMCWQLGRRDSFRDTRLNLQRTMAAADWGTRSIA FATHAAAYAADIAVDEMMVVLQKTYPERRLELLTCLRIDTSWRMHKLSTGQRCRVQLF LALLRPSQLIVLDEVLGSLDIVSRVNVLEFLRRESEGPLKATVILASHVFDGMEEWAS HVMYLRVGRIHFFDAIENVPLVGRSYLSLYHTTDKWLRDENDVPENEDKASGTLEHAQ NRAGGFANGRLGEYISLPTV H257_15728 MAATATSMIGLNVMSTVSVATAAIPTADMLATLKVGCVKKTVTL QSTRLDRVRKALLTSEALAHEFTQSHVHAALHPIPGEVVLVAAQLLEDNTNYQQVWHS RADGRRLRGIVFNSSEYNVCFGNADALPVTTSRTKTYMKSLQGHIPHDEKAVNIKIKY LSMYFVEINESIDIEPCNESPLRTEQEVSDDVSADF H257_15729 MTPKNYLNPMWMYVVASSVVLKRLAATAQLATFVTALHFAERSC NGSLHESVFEAMVHKLAHIGLLQLSITVNHLFGSEVVTVPTTLPVTTGHMTWDDSLKF LAIDTTESMYWYPTYLPLPVVDSVIYYLKMTLDENRDLDWAKMQEIHNAVSDNPNLQY HDFKYVVVAPATSPSKDIVGQECGVDGVPMCHGHGVKAAGMEELMSFVAKL H257_15730 MLSTRNVHKLEEVAVKGHPDAIVATTNGIAGNDTTTIVTANRLA AAVYRIANTTTLLIAAATATIATTWTIADTAAANGIITTIANGPADPSTTTTRLFAAA NGIDDTASIPTTWKNVTATIATTRNNANIATGINTATNGHTKATMLDTSERTLGPHYT RGVDATSTLHRHDSSGNGRLASPRQNAVVQPKLSCRKEPLVLGRTIEGGSEFMQATRV ELIGTHLLTKVMDRNPSPA H257_15731 MSVDPDLQLLGCSHFQLAQDLRLHAWLWPHGYSLGQITHALRSR VAQDLLQVYTGAILSLQPKKKRVYTQLTLSAPPSWSKKPETVKRPVKRPEAVHSTRSR RLGKENAERTDIMMRYTQALQAPPTFNEALRIEEAKVDHMRKLIAFEVDQVVLSVLED VIASIEANEVHLPVAKLKENCDVFRIDRAVLIVLIEDAFDAINKENVKENLRSASYVW IDFCL H257_15733 MVTLECLQVNNGRDFAIEINNTECVQTLKELLAQQMEYTVHPDD LKLFLAKNDDDNWLQQNSGVVEALKRGVATNVVKKVEKPQMIGSYEIRALGVPTEGSR GNIHVLVVVPPKEWGDVRPSKQVFVMLQTSVVDLNDVGEGEVQSTAELSQLLQLYGDF LSSLFVRQEVKMVWGILCNNYIGSSPPNNAYVLVGSPGVGKSALLVLFCCYLATHHAY NIYLARTLKHAGRASSPHVLLCFRGKEVTAYPDCRPHHTEALWATFRTEHVHNLKFLI VVMATAKQTSKAANWTSSTHATCYQHRRSTD H257_15734 MNDEVATDVTESQQARKWWSDADDISLLTQVNIDLPFKEAKNTT KAWDAVANKLRQFHGFGRIGLDGKKASSRFYQLLRVHRKLQESSKYLSGVEQDETGKI MLLDQLIQLFDEASDKRQAERATTAAKATEKEAAAGYVREQAMMRGRRKSNEGDDSTD SDVASRKRKAIFESQDREIALEHEGLEFKKYKFEMELQESEKDRMERIQQREDERKRN DDMMDLIRHLLHRKIENTLLHIRFTSCHHLVAVNIPLKMAV H257_15735 MRLVSLVAAAVSSVVDAACVYSSLPATQVVISDRSICPAVNYTC IVDKSTCAVLPWGSYWDAIGNFKDAPLHYYSWFFTGGRSVISLDMATFPDRFSELSFT NISLPVDSKLPPWPTNLTKLTMQNGNLKSISVLYPFPAKLTALFLGANYLSSVADLRT LPRAITRLSLQNNDYTELSNLDWTKLTLVYLINCDMLRSINMVKFSTAIVHLDLSSVT LTKWIMDNSTFVALNSTLRPNNTADDSTKDDGTQSYTGYGYYNTKISTDLSECVAANG VLHELWPDKSMRNYKYAAEVFTVCVVKDIAIAPTPITTLPRVAPPPTSNTGIVVGGVV GGVVVLVGLIALFCFVKRRAPTNNHNSPLPESTPTANYYRQSPHHIQPPNHKASMNHP SPNPHSIDNPHPSTSSSTRQSSGSTHPVNNSHTANSSTAPSLLSSSEEANSTINLHAL ALVRVNARDVVLGPKLGSGAFANVWRGTFLGDDVAVKVLHPNRVTVSQIQSFVGEIEL MSWFASPYIVKLVGASWTRPSDLQCVMEFMDGGDLKEYLDTHSSQEFAWADKYRHMYQ IVEGLVYLHSLNIIHRDVKSRNVLLDSTKGTKLTDFGISKEDIQATMTVGVGTFRWMA PEVLQDQGYTISADIYSFGMILSEFDTHHVPYVDMVNPINGQPLVDSAIILKVVSGQL KPSFTDDCPRWIYDMAQQCLAHDPDQRPTAMQLSFIIANRLKDLTKSRLSLPPQA H257_15735 MRLVSLVAAAVSSVVDAACVYSSLPATQVVISDRSICPAVNYTC IVDKSTCAVLPWGSYWDAIGNFKDAPLHYYSWFFTGGRSVISLDMATFPDRFSELSFT NISLPVDSKLPPWPTNLTKLTMQNGNLKSISVLYPFPAKLTALFLGANYLSSVADLRT LPRAITRLSLQNNDYTELSNLDWTKLTLVYLINCDMLRSINMVKFSTAIVHLDLSSVT LTKWIMDNSTFVALNSTLRPNNTADDSTKDDGTQSYTGYGYYNTKISTDLSECVAANG VLHELWPDKSMRNYKYAAEVFTVCVVKDIAIAPTPITTLPRVAPPPTSNTGIVVGGVV GGVVVLVGLIALFCFVKRRAPTNNHNSPLPESTPTANYYRQSPHHIQPPNHKASMNHP SPNPHSIDNPHPSTSSSTRQSSGSTHPVNNSHTANSSTAPSLLSSSEEANSTINLHAL ALVRVNARDVVLGPKLGSGAFANVWRGTFLGDDVAVKVLHPNRVTVSQIQSFVGEIEL MSWFASPYIVKLVGASWTRPSDLQCVMEFMDGGDLKEYLDTHSSQEFAWADKYRHMYQ IVEGLVYLHSLNIIHRDVKSRNVLLDSTKGTKLTDFGISKEDIQATMTVGVGTFRWMA PEVLQDQGYTISADIYSFGTVSIYIYICWF H257_15736 MTFLAALVLATATSVVANVVLNNPAPVLEGVSIYSNEKWAVGFR TPALEDDVILPGIIRKRSPSLGYFKFAVSTYENIAANASMFLQLELCPSVNDLPDCTE PTASSRIHIDNNGGGVDFRWFPDPYIVVAPNTRYWFALGSTSETSHKLPTWLYGTKDF STANNSTGDVRVAHLKGDGDSWTLLPLYENRVPSLLVVSTYTN H257_15736 MTFLAALVLATATSVVANVVLNNPAPVLEGVSIYSNEKWAVGFR TPALEDDVILPGIIRKRSPSLGYFKFAVSTYENIAANASMFLQLELCPSVNDLPDCTE PTASSRIHIDNNGGGVDFRWFPDPYIVVAPNTRYWFALGSTSETSHKLPTWLYGTKDF STANNSTGDVRVAHLKGDGDSWTLLPLYENRVPSLLVVSTYTN H257_15737 MKFLAALVLATATSVVANVVLNNPAPVLEGVSIYSNEKWAVGFR TPALGTDEVVLRTTRTRRPPRITRKRSPSLGYFKFAVSTYENIAANASMFLQLELCPS VNDLPDCTEPTASSRIHIDNNGGGVDFRWFPDPYIVVAPNTRYWFALGSTSETSHKLP TWLYGTKDFSTANNSTGDVRVAHLKGDGDSWTLLPLYENRVPSLLVVSTYTN H257_15738 MTQVYLSRNRKLGSLAHITFSSKIKNLNLEHVALTSWIMDSPTF DALNTSLQPNNTADDATLTDGSRAFTGYNYYNLSITTSMTDCSINKGTLTELWPDKRF RNFTYKDAMFTVCVVPSTTTTTSAVPIRPTPSKTNQGLGTGAIAGIAGGAVVVVVVVL LCWYRRRPNPVVKSPEYYHTLSTPATVTTVSATPIPTTTTNLPQVNLSALQLVRVANV EVYINRMIGTGAFANVFHGSFQGRAVAVKMLLKRRTWTVSQIQSFVHEIQLMSQFDSP YIVKLVGAAWTRVDDLKCVMEFMDGGDLKEYLDTHSSQEFAWADKYVHLLSIADGLCY LHSMNVIHRDVKSRNVLLDSTKGTKLTDFGISKEDIQATMTVGVGTFRWMAPEVLQDQ GYTISADIYSFGMLLSEFDTHRVPYEDMKNPITRQPIADSAIVLKVVSGSIRPTFTSE CPPWILELAAQCLAWDPTDRPTAMQLSYMIRSKLKEMSSRLFSI H257_15738 MTQVYLSRNRKLGSLAHITFSSKIKNLNLEHVALTSWIMDSPTF DALNTSLQPNNTADDATLTDGSRAFTGYNYYNLSITTSMTDCSINKGTLTELWPDKRF RNFTYKDAMFTVCVVPSTTTTTSAVPIRPTPSKTNQGLGTGAIAGIAGGAVVVVVVVL LCWYRRRPNPVVKSPEYYHTLSTPATVTTVSATPIPTTTTNLPQVNLSALQLVRVANV EVYINRMIGTGAFANVFHGSFQGRAVAVKMLLKRRTWTVSQIQSFVHEIQLMSQFDSP YIVKLVGAAWTRVDDLKCVMEFMDGGDLKEYLDTHSSQEFAWADKYVHLLSIADGLCY LHSMNVIHRDVKSRNVLLDSTKGTKLTDFGISKEDIQATMTVGVGTFRWMAPEVLQDQ GNALVGI H257_15739 MTITAALVAVPWTTIAAVCPYATLPSSFNSILVSDTALCPAANM TCVVDRACRLLGTPDTLSWNAIGNYSGLPASKTSWVFNGGQACTHVNVAVFPSTISSL KLSNMTFPPEPVKPSWPPKLNELFIEATNITVIPSAVDVDLAIPWWQLSR H257_15740 MTTSTTTASPLVGAIDQGTTSSRFILFDTTGNIVASHQMEHDQI QSEPGWCEHDPNQIWDTVHECIAKTMEQVPDAVVSAIGITNQRETSLLWDKVTGQPVY NALVWHDMRTSDIVHELLQGHDINRFRATTGLPLATYFSAVKIMWLLRHVPGLRAKAD AGDVLFGTIDTWLLWKLSGGAVHATDVSNASRTNLMDLHTLQWSDDLIRICDIPRAIL PTIRSSSEVYATTAADFVLPNVPIAGMLGDQQAALFGQTCYEAGQAKNTYGTGCFFMM NTGTKPIPSTKGLLTTVGYQLGTSPCVYALEGSVAVAGKVVQWLRDNMKMISKPSEIE SLALAVPDNGGCYFVPAFSGLYAPYWRSDARGIICGLTGYVTREHLARASLEAVAFQV MDVVHAMQEEAGIELSSLRVDGGMIENNLLMQIQADLLDSKVVRPVVSETTALGAAFA AGLAVGVWKDTEELVNTWHVAKVWRSEMHEDARAKLTSEWKKAIDRTLNWAD H257_15740 MTTSTTTASPLVGAIDQGTTSSRFILFDTTGNIVASHQMEHDQI QSEPGWCEHDPNQIWDTVHECIAKTMEQVPDAVVSAIGITNQRETSLLWDKVTGQPVY NALVWHDMRTSDIVHELLQGHDINRFRATTGLPLATYFSAVKIMWLLRHVPGLRAKAD AGDVLFGTIDTWLLWKLSGGAVHATDVSNASRTNLMDLHTLQWSDDLIRICDIPRAIL PTIRSSSEVYATTAADFVLPNVPIAGMLGDQQAALFGQTCYEAGQAKNTYGTGCFFMM NTGTKPIPSTKGLLTTVGYQLGTSPCVYALEGSVAVAGKVVQWLRDNMKMISKPSEIE SLALAVPDNGGCYFVPAFSGLYAPYWRSDARGIICGLTGYVTREHLARASLEAVAFQV MDVVHAMQEEAGIELSSLRVDGGMIENNLLMQIQADLLDSKVVRPVVSETTALGYVMT MMTHT H257_15741 MTTVEKTGVVRPFGIKTGLKSATARNAALTPRRFKKFSISETWL PLKKSTPAFDCLFPENHSSDVKKIKYATYPYHFWGLALILAIGASIFVYALSSTSNFR IKKGFWWQYVIIVLLFLFAGISFFVPRIEVFRMNHTFVTVCRPKTYQYLLCLTRALEL ERKLTEVVSIGVEESGEKMGEVDTRLYSIRFDFTDGTVETLLEQCSKRVAIRRCRSLN FLLAAYTPGSPLKPNKANASSVDLSPAVVT H257_15742 MVEDSTDALEQEWAASVVQRTWRQYTERQWSNWRTQSAAQENTS SNDDIPPWTSSDELVQALGANSSDNGEENEVVLDDATRNCPNSGPSSSVEFDIGVMES QPANDIMTPPCTGSSFTKVSSVDIPKRGDSSVIAIPAAGLGVVEARLEADESYQTLKA KESVLDLQVHILVQQQQALVAQQRKLRVLKSQQERDAKARKKMANLRAKQCQELEARR QEETDKFRALETMAIIKNVDNHPQPIPKPHKKRIQPPAAPTPSLHQHTDVPIPKMKTY PRLPGLQGSSHEDFDADTKPKAIADKTSSLSSERKAVACYAQDLTPLVTGNKPRRLKV TGPRNHQPHNERTRTNKAKGKIPHPSKIKGVMSSSTNERIGNQFDDLDTPPPITIPPF YTHTISSKAPPTKYMMMSTPLTAEIKHIPWASTYSKELETPLSTMQQDFTLQCILKQH STTPSSGLSGLTATPVMTGAETSSSPSVSLKTTSASAATGCSDGKLGLVPTLSIQSNG GGPTVSRRVYLLNKYGKTDADGVAFPTPAIVPNSQPLHTSNNSNPPADPNWQYSSDRL QSILAKYKIATTSSTTAEPKQSRATELLLAKYAPTAT H257_15742 MVEDSTDALEQEWAASVVQRTWRQYTERQWSNWRTQSAAQENTS SNDDIPPWTSSDELVQALGANSSDNGEENEVVLDDATRNCPNSGPSSSVEFDIGVMES QPANDIMTPPCTGSSFTKVSSVDIPKRGDSSVIAIPAAGLGVVEARLEADESYQTLKA KESVLDLQVHILVQQQQALVAQQRKLRVLKSQQERDAKARKKMANLRAKQCQELEARR QEETDKFRALETMAIIKNVDNHPQPIPKPHKKRIQPPAAPTPSLHQHTDVPIPKMKTY PRLPGLQGSSHEDFDADTKPKAIADKTSSLSSERKAVACYAQDLTPLVTGNKPRRLKV TGPRNHQPHNERTRTNKAKGKIPHPSKIKGVMSSSTNERIGNQFDDLDTPPPITIPPF YTHTISSKAPPTKYMMMSTPLTAEIKHIPWASTYSKELETPLSTMQQDFTLQCILKQH STTPSSGLSGLTATPVMTGAETSSSPSVSLKTTSASAAVPSMTGCSDGKLGLVPTLSI QSNGGGPTVSRRVYLLNKYGKTDADGVAFPTPAIVPNSQPLHTSNNSNPPADPNWQYS SDRLQSILAKYKIATTSSTTAEPKQSRATELLLAKYAPTAT H257_15742 MSSKFVAAGLGVVEARLEADESYQTLKAKESVLDLQVHILVQQQ QALVAQQRKLRVLKSQQERDAKARKKMANLRAKQCQELEARRQEETDKFRALETMAII KNVDNHPQPIPKPHKKRIQPPAAPTPSLHQHTDVPIPKMKTYPRLPGLQGSSHEDFDA DTKPKAIADKTSSLSSERKAVACYAQDLTPLVTGNKPRRLKVTGPRNHQPHNERTRTN KAKGKIPHPSKIKGVMSSSTNERIGNQFDDLDTPPPITIPPFYTHTISSKAPPTKYMM MSTPLTAEIKHIPWASTYSKELETPLSTMQQDFTLQCILKQHSTTPSSGLSGLTATPV MTGAETSSSPSVSLKTTSASAAVPSMTGCSDGKLGLVPTLSIQSNGGGPTVSRRVYLL NKYGKTDADGVAFPTPAIVPNSQPLHTSNNSNPPADPNWQYSSDRLQSILAKYKIATT SSTTAEPKQSRATELLLAKYAPTAT H257_15743 MSQVHFKCSEAAATLRELLLAAIERGISVEDSFGHFDPTGEGVV DLSQFILGLRTLGIPLSVEAASLLLRQLSDTSTTHLTVQDFHRLCIQLPKQPRKKPPK HPVVANAKAKRHKLSQDNHTRPTQASTEQRVAQAQGLPQWAHDRSKRALKELQQLSKN LKPSPLHLGPRNDFKDGLFSEDEDGDDTGLNGPATSPPVRPSTPPPETTYDGTRCQVY AVNDHVDLRYAILTAPVDAVEDSMRWTGEAQALDHSQVTRHLKTPKSQLGVKLIAVID VFQTLDVVEAALQPLFQMYTSAKVLVIGHPCRMDSATVVNNVMLATWMGQLITHLLHS HEWTVQPKYGPGGTPQFLVGFGSGASVAAHFTLITAPQQPKLHVLNQALHGLVLFNGF CCTDGIKAKVQQLLHSLQHAKHVTESHQQLAAILFSDSYLNHETRDKALTEFFRHRRG FFDSPSKRIFTQLLKGVLKHQDLRPVLSNLHMPLFVVHGSHNSWIPPAQVSYFQDKRQ LALSLDDELTSSGGVVHVSWLKGGHELLQERPTFLHSFLDQLVTAAQRAMQAQSTSAD DKGGADDKLVDDGTSAVAGGANIAMDRLVGDGDVDELTVGQSPTITRDTRDMDDDNIP QLLPKVQEMYQTLGLNGIRQELIDRDIDVPLGPPDQVLWLLNRTLTAEADAARAADIK KAAKRELELDHARQVERQHEEKHQKKLRELARQQARFQKESMAFKAKEEARLLQQTIA QAEWTERSAMEASDQQSRRREAHDAKMLQWRETNSNALSTVDVLNEERQDKRDEQVAI QQGLDRAAQRAKLQADLWTLQRQMEANQVTLRGDVEGYAIDCLIRSHEIPTLVRGVHC LIQDVHAVRSQKQSSLARQRSSQANQQSIQATLDDTSRMYHNLLRVLQRAEDENVIAK PEAGGTVRLIPATAQGMRLLRDKINALHQEMTHLTSVVAVANAEVVMFDRAMQSLAVL QKRTDVAMSTLQGKVKAMLAEANEDLAVLREEQESEALADSKRLTAINSTEARVHKIT VELERVDTLTTPYIDTDVYIAGTLQRVERHILTSNLQAERMKLQQQLETLQTQAATAK VHRTNLRAQGIELTDALVSLLQADTMLADVLAMDGPSTSSSLSSPLAFESRNDDPSKL NALQPPIVLASSVDVSTTIRRKGVHERSLDEKKWVALDRLLSPALYLTLSEPDIQEMR LNAHYNTSLTAVQISRLLQLPERANLALPFLKSTEEVQAHKLLRQYTKGDGESFFNAL DVQFAPPRELIQDLDTAIHKQMGAALRLKPIEACSPVERAWRDCDRVLQEDKEGGAAD RVVASLPLGLTSIRELKQLTTLSQSDHPAWKVLHLYGSLMPPRVVVVHTLADIVAAPE HCTMLVDSNQQSKLMELTDCRLRARQSATHEFQLHTTALHLTVSIVFEGKFTSMGYQV GRLAAMLYYMSGDAPAPIGQVLYSDIALNTRESLGRVVLRHKPSQVPIAQGSYHIVVG CPSETKYSIIVSCHLVSPVAAFVKQAKQLALTHQARLPMGRQEIDMYWQSMRLAERKL NLVKLAAADAMAKAKEAEMVVASTQELLHSFQSNSEIATDSSNRTHLLTKMREADRMF TKQCKLHTIRQEECRDIHTALAHLASLHADLLLERARLETSLREYRQYLPDATGRLEG HTAGFKIGYALGADYHVVKTAKMRWRDLAALKGQLRTLLTSAQRVRRKYKKSPLSLNP TERQWILLDRIRFPDFYLWEQEAVHATEMLHGSSLAPPGMDLTAHERSLLAWTASELE RVLTAPVNQLRNKELQLRKAMLVFRDTKVAAVPAALLASWRTKLPSDLKPEQREWVAM ERVLHPDLYSTKLTPAVPTHWTKDKLLSLIQTPEEQISILPPKERHVRDLLWHYDNVF CLELVAPKAVPVSHHAVNHTQQGMKVEVDIDLRCRLVQQELDRAMANPNDMMDSSILH SAPQRFPTQVLRLELEKELDRLLLSQLYEREMAEWKALAASLDKTDDGDSSDSDPEAQ IARLAKAKAAGKPQSGTKKATKPSFQKQKRAIQDALVPKTIEREQLDVERKQLGPGGC MACKANPCMWTPYLDDRLPTIQHRVHLLQDEIERVKRSKETVVSSATCLTALRSGGGA VSFRKMDLFSELTMECRVWEKHLRLRAIDTELHAAYNWPGDHFETVALHGFTQMQQTE KVKAALTREQNTLVAQLVANEVMEDMLEFMLEGWVFGERESRRQVQGYVPSVYKEGPL TVHALRSLAFLDRDTLASDADELKDMNEAKAKFGTPFDKWTPIEVDAQATQRRGKAVQ AGSAVATVLNETEQALKFGLFCMTLMYFRGLSLLQKQKNVWNTHASKPPPPTTKLDKP SVLQGERARQANRQRRLDAANVKAKIGLDRKYLREQERMAAYRQKLYAQHRLAKQETR ASTHIQRVFRGYLGRGAAAKWKLRRAELEAQMALELAAATTMQRAYRGRLGRLAAEAR RIELAEFIAQIRADEAIVEEEEYWKHHMSERLRRRINGFLQRKAA H257_15743 MSQVHFKCSEAAATLRELLLAAIERGISVEDSFGHFDPTGEGVV DLSQFILGLRTLGIPLSVEAASLLLRQLSDTSTTHLTVQDFHRLCIQLPKQPRKKPPK HPVVANAKAKRHKLSQDNHTRPTQASTEQRVAQAQGLPQWAHDRSKRALKELQQLSKN LKPSPLHLGPRNDFKDGLFSEDEDGDDTGLNGPATSPPVRPSTPPPETTYDGTRCQVY AVNDHVDLRYAILTAPVDAVEDSMRWTGEAQALDHSQVTRHLKTPKSQLGVKLIAVID VFQTLDVVEAALQPLFQMYTSAKVLVIGHPCRMDSATVVNNVMLATWMGQLITHLLHS HEWTVQPKYGPGGTPQFLVGFGSGASVAAHFTLITAPQQPKLHVLNQALHGLVLFNGF CCTDGIKAKVQQLLHSLQHAKHVTESHQQLAAILFSDSYLNHETRDKALTEFFRHRRG FFDSPSKRIFTQLLKGVLKHQDLRPVLSNLHMPLFVVHGSHNSWIPPAQVSYFQDKRQ LALSLDDELTSSGGVVHVSWLKGGHELLQERPTFLHSFLDQLVTAAQRAMQAQSTSAD DKGGADDKLVDDGTSAVAGGANIAMDRLVGDGDVDELTVGQSPTITRDTRDMDDDNIP QLLPKVQEMYQTLGLNGIRQELIDRDIDVPLGPPDQVLWLLNRTLTAEADAARAADIK KAAKRELELDHARQVERQHEEKHQKKLRELARQQARFQKESMAFKAKEEARLLQQTIA QAEWTERSAMEASDQQSRRREAHDAKMLQWRETNSNALSTVDVLNEERQDKRDEQVAI QQGLDRAAQRAKLQADLWTLQRQMEANQVTLRGDVEGYAIDCLIRSHEIPTLVRGVHC LIQDVHAVRSQKQSSLARQRSSQANQQSIQATLDDTSRMYHNLLRVLQRAEDENVIAK PEAGGTVRLIPATAQGMRLLRDKINALHQEMTHLTSVVAVANAEVVMFDRAMQSLAVL QKRTDVAMSTLQGKVKAMLAEANEDLAVLREEQESEALADSKRLTAINSTEARVHKIT VELERVDTLTTPYIDTDVYIAGTLQRVERHILTSNLQAERMKLQQQLETLQTQAATAK VHRTNLRAQGIELTDALVSLLQADTMLADVLAMDGPSTSSSLSSPLAFESRNDDPSKL NALQPPIVLASSVDVSTTIRRKGVHERSLDEKKWVALDRLLSPALYLTLSEPDIQEMR LNAHYNTSLTAVQISRLLQLPERANLALPFLKSTEEVQAHKLLRQYTKGDGESFFNAL DVQFAPPRELIQDLDTAIHKQMGAALRLKPIEACSPVERAWRDCDRVLQEDKEGGAAD RVVASLPLGLTSIRELKQLTTLSQSDHPAWKVLHLYGSLMPPRVVVVHTLADIVAAPE HCTMLVDSNQQSKLMELTDCRLRARQSATHEFQLHTTALHLTVSIVFEGKFTSMGYQV GRLAAMLYYMSGDAPAPIGQVLYSDIALNTRESLGRVVLRHKPSQVPIAQGSYHIVVG CPSETKYSIIVSCHLVSPVAAFVKQAKQLALTHQARLPMGRQEIDMYWQSMRLAERKL NLVKLAAADAMAKAKEAEMVVASTQELLHSFQSNSEIATDSSNRTHLLTKMREADRMF TKQCKLHTIRQEECRDIHTALAHLASLHADLLLERARLETSLREYRQYLPDATGRLEG HTAGFKIGYALGADYHVVKTAKMRWRDLAALKGQLRTLLTSAQRVRRKYKKSPLSLNP TERQWILLDRIRFPDFYLWEQEAVHATEMLHGSSLAPPGMDLTAHERSLLAWTASELE RVLTAPVNQLRNKELQLRKAMLVFRDTKVAAVPAALLASWRTKLPSDLKPEQREWVAM ERVLHPDLYSTKLTPAVPTHWTKDKLLSLIQTPEEQISILPPKERHVRDLLWHYDNVF CLELVAPKAVPVSHHAVNHTQQGMKVEVDIDLRCRLVQQELDRAMANPNDMMDSSILH SAPQRFPTQVLRLELEKELDRLLLSQLYEREMAEWKALAASLDKTDDGDSSDSDPEAQ IARLAKAKAAGKPQSGTKKATKPSFQKQKRAIQDALVPKTIEREQLDVERKQLGPGGC MACKANPCMWTPYLDDRLPTIQHRVHLLQDEIERVKRSKETVVSSATCLTALRSGGGA VSFRKMDLFSELTMECRVWEKHLRLRAIDTELHAAYNWPGDHFETVALHGFTQMQQVR QMILYIYRIVCMSCDG H257_15744 MSPSRTAAELDVVVARLEADESYQTLKAKESVLDLQVRMLVQQQ QSLVA H257_15745 MWSKFVAAELDVVVARLEADESYQTLKAKESVLDLQVRMLQQKL RVAEEPAKARCQSKETNGQPARKMCQELEARREKETDKFRALETMAIIKNVDNHPQPI PKPHKKWISHSIIAPAH H257_15746 MKLFAALVHAAAAASQVEVDKLAVVFDSLAPIDAGAKGSPISKG KSVAVQFPTFPASDLECFDAAVTLEYVNFTVNTLNIATDESLWLQAELCPSANGLPHC TKSDTPERIPIQTFDEQVKFQWYPPPNFVLDPATTYWFTVHSNGETKDKLPIWLDGAK TFSTANDPRKEVLVAYTESEGGNWGVAFPVENRLVPSLQVYSS H257_15747 MGEEMTEVRGARLRGRPVSKGGRKKLPKKFVYAYATYKKRQDVI ESFDAVGMASTLDKHFHHLHGVQRETARKKVYAWTKQCEHIKAKALNPRTAHHKCSRE LGMGTTLPRGKRKSDWRGGWYQCERMGCQ H257_15748 MPSSRCESIGPTQSRVKAQILTDAERQAMINMLLASSNGGKRKY GSVKGVADQFNCHRTTVSAVWNSWKAACTESCSKMIAPPPTSRMKWNCGTKTKWSAEA IEAVIKSVPFHKRQTTRALAFHSGVPRSTILRHMKHNRRLRCKSSYLRPLLTEDNKEE RMKFALSFVKNHVFDDMQDVGHVDENWFFLTKNKGKFYVYDDETLPHRQSKSKRFITK VMFLCAVARPQRNTPHERFGFTVKNTEK H257_15749 MSQLAANGDRFTNYPYARYATDVTFQQTNPAGSYAEKKSYYSGK HSLYGHKVEVSVLPNGLAINCTKSIFDDNLEFHANDLAKQGDDDRLDDPARGYKEKFA RCFLPRSQLEAC H257_15750 MARRASLQGSQAAPPLASRNISQGLALLTNLDQQRQAKRARYST VRAEEPDKNLDSTSPIYDAFVDNQGPDGILTMTNFSPSEFNILWADIRQYLSKHWNTG SGRKFEVTGRDLLLMMLTSLKHCGSWDIVAAVFKQKCATFQ H257_15751 MVAARLNLDAPRWDQSTFEGRARHFFTTTNPINVLATDEQLDAA KALVQQYQGGAEPAGTTPEQVWAAKHLYDSAFHPDTGEKNFIMGRMSFQVPGNMVITG CMMAFYRSTPAVVFWQFMNQTFNSIVNYTNRNASTGVTTDQLLQAYAAASTMSVATAV GLNKFIASRPSLSGGIVGRLVPLMAVAAANWVNIPMMRQQELLHGIAVETADGDVVGK SQAAAQSAVLQVVPSRILMAVPGMVIPPLVIASLEKGPLKRMPALSAPLMVLLTGAAL SFSTPLCCALFPQKSAIGTTSLEPELQEIIRKRFPETTELYYNKGL H257_15751 MGRMSFQVPGNMVITGCMMAFYRSTPAVVFWQFMNQTFNSIVNY TNRNASTGVTTDQLLQAYAAASTMSVATAVGLNKFIASRPSLSGGIVGRLVPLMAVAA ANWVNIPMMRQQELLHGIAVETADGDVVGKSQAAAQSAVLQVVPSRILMAVPGMVIPP LVIASLEKGPLKRMPALSAPLMVLLTGAALSFSTPLCCALFPQKSAIGTTSLEPELQE IIRKRFPETTELYYNKGL H257_15752 MEALQPALIARPTARCLPSAATLAASLPKIHMSHEEKERIQSIQ HQSFRRLQENHVRCAQILHGTMQWRAVEFMDANEESACLNQQEVLRRDIKALESANAK LVAAHKAATAASADRRSKRPREPETSPSKRLKMLTSLASAAAKVTFKITLTSDPKLPF RVMSAPEEAPFTAVLKYVAEEFRVPSSTSAIITNDGMGINPSQSAGNVFLKHGSDLRL IPRDRVGGSKSPALY H257_15753 MALVLSDAVVQSYGIARVFPGEEDVLTTSVDFHRTGGYCATARR GVVSHINCTTGMLNKNIHTKQYGAEIVRFTHHPDCMIWSSQNDFDDHAIRYHSVYDNK FLRYFSGHTKKYDGSPSRSSFITRCPVIISLRVTSLMMHPTADEFLTASMDSTFRMWD IRVKTATGLLNCGENNTAISAAYDSDGLVFGVYTGDSLVRMYDARNYNDGPFAKFSLH EESIELALRPLLQSRQFKAKLDVHAIQFSPDQQHILLNTNAGLLVQLDAFEGKLTRIF ASHSNSTGKKLGASYSPDGAYIACGADDGSMTIYNANTGAVTAAAKPGHIGPVMDVQW NPQRHLIASVHANTIFWMPPATPAA H257_15753 MALVLSDAVVQSYGIARVFPGEEDVLTTSVDFHRTGGYCATARR GVVSHINCTTGMLNKNIHTKQYGAEIVRFTHHPDCMIWSSQNDFDDHAIRYHSVYDNK FLRYFSGHTKKVTSLMMHPTADEFLTASMDSTFRMWDIRVKTATGLLNCGENNTAISA AYDSDGLVFGVYTGDSLVRMYDARNYNDGPFAKFSLHEESIELALRPLLQSRQFKAKL DVHAIQFSPDQQHILLNTNAGLLVQLDAFEGKLTRIFASHSNSTGKKLGASYSPDGAY IACGADDGSMTIYNANTGAVTAAAKPGHIGPVMDVQWNPQRHLIASVHANTIFWMPPA TPAA H257_15753 MALVLSDAVVQSYGIARVFPGEEDVLTTSVDFHRTGGYCATARR GVVSHINCTTGMLNKNIHTKQYGAEIVRFTHHPDCMIWSSQNDFDDHAIRYHSVYDNK FLRYFSGHTKKVTSLMMHPTADEFLTASMDSTFRMWDIRVKTATGLLNCGENNTAISA AYDSDGLVFGVYTGDSLVRMYDARNYNDGPFAKFSLHEESIELALRPLLQSRQFKAKL DVHAIQFSPDQQHILLNTNAGLLVQLDAFEGKLVRSIVRW H257_15754 MKLSQTPHAGRVQAAFTTAMLREGLVMTDIEVNAGNTVVGLKGN VAAPYASGLGRSQVNSEQDSEQVLRPFRGSSSQPKHPFAPHRPLQLLLPDLPTSQPPP VLLGPHRSHRHPQSNLAMRLMWAHLHTSAFAAAAMVVTSTPNATYVYPSSYQEKNSAT QATVLSLNRYLVLGLAGLILLAFFTVLIGLGTKRPTWWYATLTRWFNITDHDTTSNLL VISGQNNGRSHRPQQTSSNPLTMRLDAWRTFQEEKHVPPPHIDELRHLHYLPPPVTET LDHHTMNAAAANKSTTATSIV H257_15755 MSNLIRQRSRQPNMLGWPHVGMPRPITSICAMNMPPVVIHLEMI KRAAAANVLSTKKSIRRHQSKVNQRRYRQEQTHVTDQLNQSVSQLRADVARMEGSLDA LRLAIPPSLQTLDLECRIGNEYFRVFANGNFLDPSTTEHAFQTDFLTNVMREDLVIMG SVGREKLIQQWTLYMTTFEAFSMDLHTLHVAIRSPNVVLYTESTLHLRLSYHSVQLLF PHLHDKEPLVQNMVGRTLHLPVQVHFAFDKNRIVQVLGTFANTTHALVNVVGNAIDTV AVLGDFQMSEEAELLVT H257_15756 MKTCYEHIATCPATGAQWSVSKSYTQVCELHASLVAATENLPDD HPLSWLLHRAIVALPLYDVLHEAADTATGRRQRNAAMQALLTILMYVRVVVDGYCRAQ AAAPDTVTMYDSTSFVQIKHVVDAFLSTIQRRRSTNNYIDDATPCPFIPPKPSSNPPV SIVSSLQQLVAHIEIDDSRLGYLAWMLHQFVLASSSAFDMEGHCRINSECHKWIVQLR WLAHISGGHCVDMDGAANPALHQALRHVGHLLDDWTDPSVAGDDEDEACAICWEPMDH PDQVDILECFHQFHSTCMEEWVQQRKICPICCRALNRPGPPGRLEQLPGRIPLRHLQD RRRPWRFWEACAVISVATTLSFITSFYFSPVLLELVDPTLY H257_15757 MAATRVVVPAWNCVAPVLRRLDDAELLKLQRPAPLNADPVTEWI SFSKDIVPVHSSEVVPDTSQTLCLHTAAPPLGRMVTSTVEVGVGELVFATPAFAVALS RQFVATHCHMCFHKLRGKIVQCADCHFARYCDRGCMAAHLDLHTIQCHILLPQLYPPR STHDQTNDDAADLHTRLVLAVVAMEITMNNPNEIQDLCTYNATAQDAAFLATGTTLHA AMKNTPAWITPSHIADVYRAIRYNSHPIVVDLHMSALGLGLFPDAAKMINHSCAPNTF PRFNAANHALEFRAVSPLLPGSVVTYSYLDVFGFALLQPTPTRQSLLYSAFQFDCRCS RCISSFSTSHEQSDAWNVDANLAQLDSAQNNQDWVAVTDVCDQIMTHWTSTLDLPANY PLMYVLQKKMDLAATHGPASRQRGNVSADEILNVCGFGNNPR H257_15758 MERTKVTAKGKAKTKLTTTASSKGKTKLKARPRITATVQQLPNT AADGEQSLPDAGSEVHGELMQRIKLAYPIDSDDKTKGSTSHCESKIGDGSCEEEGVSA NQAREEIPYPFQPLEDPTAIHGEVQFNEEALHDIDLGEDPPFLNIPSKMRFKCGLHAE TL H257_15759 MPLDQPNHPATPPNTEPRHPDGPNHLRLEDRHGVYETLLSVAQG DVLPRGAITKAAERFRCHRRTISRLWTRARQSLRSGRRDADVATKDCQISLSSVWVTG YDHFAPQEGDTKIACEI H257_15761 MKYTHGLIERIESKLTFTFPDKLPLVFDKRSFGSTHYVALFATI PSDDSIGYSQALLAFAPINEKDSLSATVHLAFASFVLEFHRFNFFVSDLLSNDDDVIQ KVNISMLKQRKILPAARLRRIRPRQANTLNATPWISVSSMLTRYSDIKMFIGQMGDND IDMLGLSPVELEECTPFDVQRNLSDIEDYLDVARRLGETADIVHYIGFESGVVKILRG NEEGMSATEVVDVQPLASNAANQVAAVQPILSLTNSRCRSNALFESSVGGSICGIYSL H257_15762 MTLTSLLCSDPASYDQDMQEDDTTAEAGDDNGHQNSSSSSSPSK TDQKMLDYFMEFSNSSDENRKKLTRRVKHRINNKRHRQRKQVELDQLRRDVPDLERQL RGLEAVVDSRRTTAAQTSLWHQQVQAEKKKLDDAVEERDLLHQTIKAHEVLVALYESQ LHRPHTVVPWLQENNRPSPPVPPPPPPPPSSSASSNASTASTTYPPSLSSSSSSPDST TTTHCFADDNHAARQPPCT H257_15763 MKGEPTSTTLRPPPTTSFGGGGPSAFRSARMSRRAAASAAAWRS RDADVDEAVVTPASSTSRLDISRVCRVAISRHGTVRDLLLHPDMPLEELYGCLRAIFP HVNTTPIALKNEANTLFPLSLLAHHPSTFSRAKKEAAHVSFELVCLGDPDVSYSELTR PVRVGWHAHGPHELTQFTLPQLIRAFRHAAPTGALDRSTFHKTLPSLVPSSSSSAPDD ENGYALLSRVFDVFDKERTGVVDVVEFVSGLSVLVPGDRDDKIQATFSLYDHATPGFI GRDDMTTYLTSVYLVVAELNPDVFATNHVDPIQLGHVTAAQCFEDADVNHDGRLSYAE FQTWYSKTHLHNHQPHARKLKKQQHDISQRHQPLQVKWTLSAVRDLTGLGDYTVDEML LWFPNSMSVTEADFLATMQRILQRQRKPVTVQTSALLRTLFGLFDADQNGVLNALELK IGLSVLCHPDPYGNSVRASFNLMDSNGDGHISLPEMTLYLRCVFRVLHGVTNAHVPVA PDVLAALTAQNMFDEADLNHDGKISFDEFYAWYTAAAPPTTALPTPPPLFPGHIRLAH QHQLPSKGPIARSSNSTALERVGILTNLNWRHPLDVFEVVALYVNADGVLTKTAFDHA FTHLLHGSTTPPAQARLVVDQLFRAFDGDGDGVVDFCELSSGLSLLCAGSQEEKVHAA FTLYDVNKDSFISHPELVSYLTAVFRVIYAFGSDLPQLPPMELADATAADAFARFDSN HDGQLSLAEFTAWYQTTTPTLSTQPFDLACLRDVRRVTRLGQYAVADIFSFFQASATD GAKNHLTKAQFFRCFNKLLSKVDEDTSRPPPQQLKATLDQLFIVFDSDGNGVVDTKEL AAGLSLLCGGTHQDKVQAAFSLYDTNGDGFISRDEMVAYLTSVFKVLLQTSPALQAQL HHVAPSQLAVATTSQAFATCDVNHDDKLSMEEFTAWYQQQHHHQIPSKQQQSDQVSSF KQQSDQQEPPLSQTRTRELLDLGHLTVDALVDLCRDRLSAPVTSEKEFTALFDKLLLH STNEAKKATALVQYRLFASLTSAFASFVPGLTYQDVACGLSVLTNDQNKVRATFSLMD RDGDLALSADELLRYFTAVFAVMYVVEPPTAMTTGNVSPAELARITAQHTMDNADTND DHTLSLAEFQRWYTQDDKNAILPESALPLSLQQIRHITALGYLDVEDAFEHLADCADD AVDSGGCVTLDAFAVCLTNLAAEFHGHVPPLLASVATALFRAFDQDRVEFAELAAGLS VLCKGTRQAKVQAAFSLYDYNSDGYISMDEMTRYLTAVFRVLYVLHPNMAADTGVSAV ELGQLTADEAFAFQPDSRRLSLAEFAAWFAKHEPNTCASLCDVKVAPKREVPWTLDAV RRHTKLMFHRPQVVFELFAQAAHDDGHLDQAGFDKCFRYLMGNCADQSKHDMSTGADQ SQDDTTETQFLRRLFALFDSNEDGRVDFSELSAGLSILCAGSKEDKVQAAFVLFDLNG DGSISLEEMTQYLTSVFRVLFELSDQPRQLNGVSPVELATVTAAQAFHHVDLNPDGRI RLDEFKRWYSSPHNTTAVLDDPRPIPDNNFHMGFSLQEARRVTQLERHAPDVVLELLA DCADASGVLTKLAFTECFQVHFLSSGADDTRALAVVHRLFDIFDTDGNGTVDYAELTA GLSLLCGGGREDKVRAAFALYDYNHDGVISLDEMIRYLTAVFKVLFATNPALLPQMQV TPLELAQVTAEQAFLECDINQDGKLTLDEFHAWYTQSNKPIKSSALSIPLPSLAQVHH FTDLGTCSPADVIERFRQFATANQLTRAAFSIGLQSFAKPAHKEAVDAIASHVFDLFD TDGNGVVDFVELGAGLCVLCGGSQEDKVRAAFALYDLNHTISRSEMALYLSSVFKVLF HINPETHRQMQDAGITPEELGTITADQAFIHAEKESDGVLSLDEFRRWYQSTASQNIQ VPSLQRVKQVTNLHKFSPSQVFAHLTTYVTDPRGLDRRAFDASFATLRQLTCV H257_15764 MGRNSRSGQTTAAAADLPSELPRVYYIEAGFLAVGNLLRPGHWV KDECRSHCNICMQQFHAFRRRHHCRTCGEVLCHSCSKHKKIRLLQLNYDLGVRICTFC VMQATDATQDVRRRCKAPLMRPESRETLACRILGPHGGNNEIQDLPDSVVDDKGSDMT WRVTSQMSMCPAWPPTEECTAMSILDCDRQADTVASGDNTLNVLVHLVANSLFCHMVY IGIILPRPFSSPSTTNSHFQVSIGLPPSLVSSDVCSLMWSQEGKYTVVLHDTLDASDE YCPPALLAHGIRYYAGTPIHVRGSMVGIVMAMDVVPHTQTSLEQRNTLELVARIAAEV LEEREAVNEMAKQRRLSINNASPCPDEREQRNTYVPIKDDDDVVDSHEPSTLPSTTAP SIGDETKEILVTMSRRRRQSTISNVFDHGIHLAMTFYRALLRSAWELEREVDGTRLFS LDERFEWGYLKATKVLRVDGKADWNKILDLRHVKVYGDIVASSTKRKMLDLYTTLDVV RFHPATLSRSSSTSIPDEVQLLCHRRQYPGGAWTMIAMDTSDMTFCLAWLISPHSLDE VLVSVVVPHVAAGLTDILLVRLLSRVQEVTTNMGDLRLSACSGTADILETRGMRAYSC SVIPTTTTKREGNGATVQRSQFWDLLSKTILTQQLLTQQQSSMMQTLNENSEKLKRLS NAVDRVESRLDAKAS H257_15764 MGRNSRSGQTTAAAADLPSELPRVYYIEAGFLAVGNLLRPGHWV KDECRSHCNICMQQFHAFRRRHHCRTCGEVLCHSCSKHKKIRLLQLNYDLGVRICTFC VMQATDATQDVRRRCKAPLMRPESRETLACRILGPHGGNNEIQDLPDVVDDKGSDMTW RVTSQMSMCPAWPPTEECTAMSILDCDRQADTVASGDNTLNVLVHLVANSLFCHMVYI GIILPRPFSSPSTTNSHFQVSIGLPPSLVSSDVCSLMWSQEGKYTVVLHDTLDASDEY CPPALLAHGIRYYAGTPIHVRGSMVGIVMAMDVVPHTQTSLEQRNTLELVARIAAEVL EEREAVNEMAKQRRLSINNASPCPDEREQRNTYVPIKDDDDVVDSHEPSTLPSTTAPS IGDETKEILVTMSRRRRQSTISNVFDHGIHLAMTFYRALLRSAWELEREVDGTRLFSL DERFEWGYLKATKVLRVDGKADWNKILDLRHVKVYGDIVASSTKRKMLDLYTTLDVVR FHPATLSRSSSTSIPDEVQLLCHRRQYPGGAWTMIAMDTSDMTFCLAWLISPHSLDEV LVSVVVPHVAAGLTDILLVRLLSRVQEVTTNMGDLRLSACSGTADILETRGMRAYSCS VIPTTTTKREGNGATVQRSQFWDLLSKTILTQQLLTQQQSSMMQTLNENSEKLKRLSN AVDRVESRLDAKAS H257_15765 MDVKAAVHSFHDLVKVMEDGYSHTTQHHHMDPRTSAPSSTIAMD GLKKKRKLKKCIVHACTSGARSRGLCKAHGGGKRCGTGGCNLSDQGGGFCIRHGGGKR CEHDGCDKSAQSKRFCKAHGGGVRCDVSGCIKSSQGGGKCRAHGGGPKWMRPSGSTSE DDASSTTGSESVSSQRPSVNSSSTTTATSRLSLSVIEKIKLKSSLAKSSANVGTMSPL RKDMPTLKTPAETLLRFKLPPLSIALQDKPQHDASSYFTPTTEDGSFSHPNLYASSAD HLSCIYLTCKQDQASSSGFCAFHAAQFCCQVAGCVDKPVRGASLCASHHASSTLAKCL LHLTH H257_15766 MVGSPWSTRRTFDFTRSIHHHHVSKCSSYRPVRPQLDRYRPRQV PQLPKGVPHPSQCLRFHILLTRLPKQRHVHGNCPRAREECDAHHRLCGRLLNGPSLNG PSLVAGVEYAMACISSTTLPRDMSRSLGPQEVTRMRIVLASSMSTAMAHAT H257_15768 MVKLLSGLGLLVGAVLNATLVTSAPDEAVLPVLLIPGYASTQLH AWRSERCSGLGHDVAVGDRVWVNLAHLIGQQECWLKCMSLRVEDQADISCKLRAGEGI SSIAELAPGLLTGPMSIVWRNVIETLTGHFDLGPHQLMVASYDWRLPPSKLQERDHFF YTLQQKIEDAVVQNQSQGIVVLAHSLGNNVFRYFLEWLQRQLHTPDAYQTWLDSHIAS YFAVGSPFLGSSESIEALTSGSSVTLPLAKESLRQLQVTFGSTQWMLPFPRSPDDPKA NEVLVTVRPLTNTATYTSENFTLADITNGRFHRAMQAWDPHFNDLAYLFDRYYTNDPV LNPHTPWTRPPIKAVYIIYGTGLPVRHRFTLTQTEVGRWETSLVSAEAPDPTTCFKTG DGTVGYDSLSWGHTWLGNPGDVIGITRIPQAPYFTAQDVVTTQSNRVQFSSYSHDQPP PTGGFGCSNQSGRAFLSDIMWPGGADPSITFYEYTDSHTHTSVWELDEVPHREILSHP SFLRELKHELQQTFATGRAHAPKAFRPPHHDADCYWNYLWAQCAFEEYCQYEYKFGDV TLDQSCRIKRTSTGPAQSSREMPTTLTDAPLFKHVLHEYAGQCKALDTQNE H257_15768 MSLRVEDQADISCKLRAGEGISSIAELAPGLLTGPMSIVWRNVI ETLTGHFDLGPHQLMVASYDWRLPPSKLQERDHFFYTLQQKIEDAVVQNQSQGIVVLA HSLGNNVFRYFLEWLQRQLHTPDAYQTWLDSHIASYFAVGSPFLGSSESIEALTSGSS VTLPLAKESLRQLQVTFGSTQWMLPFPRSPDDPKANEVLVTVRPLTNTATYTSENFTL ADITNGRFHRAMQAWDPHFNDLAYLFDRYYTNDPVLNPHTPWTRPPIKAVYIIYGTGL PVRHRFTLTQTEVGRWETSLVSAEAPDPTTCFKTGDGTVGYDSLSWGHTWLGNPGDVI GITRIPQAPYFTAQDVVTTQSNRVQFSSYSHDQPPPTGGFGCSNQSGRAFLSDIMWPG GADPSITFYEYTDSHTHTSVWELDEVPHREILSHPSFLRELKHELQQTFATGRAHAPK AFRPPHHDADCYWNYLWAQCAFEEYCQYEYKFGDVTLDQSCRIKRTSTGPAQSSREMP TTLTDAPLFKHVLHEYAGQCKALDTQNE H257_15768 MVKLLSGLGLLVGAVLNATLVTSAPDEAVLPVLLIPGYASTQLH AWRSERCSGLGHDVAVGDRVWVNLAHLIGQQECWLKCMSLRVEDQADISCKLRAGEGI SSIAELAPGLLTGPMSIVWRNVIETLTGHFDLGPHQLMVASYDWRLPPSKLQERDHFF YTLQQKIEDAVVQNQSQGIVVLAHSLGNNVFRYFLEWLQRQLHTPDAYQTWLDSHIAS YFAVGSPFLGSSESIEALTSGSSVTLPLAKESLRQLQVTFGSTQWMLPFPRSPDDPKA NEVLVTVRPLTNTATYTSENFTLADITNGRFHRAMQAWDPHFNDLAYLFDRYYTNDPV LNPHTPWTRPPIKAVYIIYGTGLPVRHRFTLTQTEVGRWETSLVSAEAPDPTTCFKTG DGTVGYDSLSWGHTWLGNPGDVIGITRIPQAPYFTAQDVVTTQSNRVQFSSYSHDQPP PTGGFGCSNQSGRAFLSDIMWPGGADPSITFYEYTDSHTHVRRSELAV H257_15769 MMELSFREGLAFGWEESAVDRSSWSDVSEAALKRLEVAKEKFTT FAAMPATRDGSYHQVLSPSDHLTEVTWSRFKKYCEECGWLARRRKATDAEKQQARETR KAAVYFVDAKLRHVTMTAKRKLMQEVVSPQHMMSMEKKPRVGAGVKCKQCDREALLKN YGFCGHHRPTSSFYSILPPPAAPNQANPSTDQDGARNGLAMATPMCKGARGTTCKKCD QPPLEKNYGFCGVHRAKTSAPTSAATTNNSNSNSNSHHSAAALAGIAV H257_15770 MHGHLWGVALAAFNLPASFSLPAQRVAGIPVLNDLNDTSAATTT TVIVNATTNTSTTVTTCVHLNWSKPPRTSDPTVAKILAGIEDWHPLDGYEGYTEPLTE DTMKVLNRRGTSLPNVIRLLELTVAADPVNGAAKFPTIMFRSANGSPPLACNSRHGGD VALVRDLQPSVVFYSSNWIQFWRAGGGAGSVPSATGRPAASGTRTRPGTQVCSRGGAM ADMFQAELAVLVQSGKKAFVATVNPEGPEFSGRNMVNGNAVGTVTPIKRSVFRQTFAS VISILERAVTNAQATLVDFSANQCYQDLCQVEPMAEGEPVYKDKDHMRPYYVKSLFYW SCVSIQ H257_15771 MSKAHEDARDAYVSMMVPTVTMSPRRPLVYLDESFVHHHYSSHA DSQYHTDDPMTKPKHNGRRYCCIAGILDDGSDVAHLLGLDIFVGGKKSGKIVKDYHAM SNHDYFVDWFGKLLDEVEELGWSSAVRHGQCKIPQGQAEINTEGVMEEDENPIFVRVF H257_15772 MWQSFVVAFLLVALGAVGVYFIRRFQAPVHETYQPSPSQLLLGY RAFLVVLFAVALFGYNTLPFAWVYYTVWNLTLQLVYLVWATVHQFRHRAVTGTAVPTT AESRALNTLFDVCFSVSFLVCMMYWGVVYPSQVDKTIKIMSVFQHGINCIFLVGEFAL NGFVVRKATLGYILILPVLYGAFSWIGHETWNDGFWPYKFLDVSSQASPLWCFGTVLI HSVLLLGTLGLSKLKSRWRPALCPVAFHPTLSLTPKDEDSITPA H257_15773 MTNFTVTEFESLWAMVEDAMNPAWMEGRGRRSTTSPKDALFMAL TAPTFEKLFMRVLHAVQPMLYGELIRVPSMSDMSNSNRLFDHFTYALYAVDVKFQPAQ RPTGRLAEQKHYFSGKHHQYGYKIEASVSLEGQCVAMTESFLGSVHDLTILHTRTAIH ATNLLKSAGEQDVPNYGGFSTQYWGLWECLVDMGYIGIAHSLRGIHPKRREVHGVLDA HDMDRNHDISSDRVVVENFFGRICTLWKISLATYTWGDKNYNTIQRTTFALTNFHLSL MPLRAEDEEFYMSVIARYEQMANEKKRKRSEAQRRYRLNRQERLSIDSNRATRFLSPS MNRSNSNY H257_15774 MRCDATSFSQPDGWQSRQGNSHRSVVVDETNTARVIGVPTPTKD PPIAHAAVDPAINKLMLVRCSTHSNMALPMAVNIEAATPKAATPNVVTTMAVIQTMAT PKAVIDNETMPKAAKKVAYSRST H257_15775 MHWRRSQGSIKGLTRSFRVLDADTKKLRRHIDDEVLRPSSEPPL LPPVLDDVAPKLIDPIMEYLAEQRSVKFLKARAVKAALKQLIKTEHADVERSIVLVYA LLSEAVRRGRKIREEQKFASAVDVYTKLHSFKFERYCSIDGSLATLDKLRKAVEKRES TPGIGVANRVA H257_15776 MEVERDAEEGRGAPLPGGMESSVQVETTGDPHTAVHHSSAIPAA SSATANEDGSSEGYVLVEDGSTTTAKKGEAATETSTSKATTTASHAISYRPDIDGLRT IAVVPVVVFHAYPALLPGGFVGVDVFFVISGFLISAILLKEGAKHTFTYASFYSRRIR RIYPALLVVATSTLVVGCCWLLKTPLRTLSATLVAGTLFGANLQLLTVDEGYFDASVK ENPLLHLWSLGVEEQFYIVWPFLVSVLLRLSVRRALLTQVVFIGCSFACNVLLLGVDG SNKYAFYFPLSRFWQMALGGLLAFEHAHLKTVAIFVAAKPVVASTLSLMSLGVLVVGY AVINETDAFPGFWALLPTFGALGLLAAGKDSPINQYVLGNPVMVFVGNISYSLYLWHW PLLVLAKAHFPNDLSRPWYMQPYTMMILSVVLSILTLYLVENRTRRHPSPLLVPCLVV CMVVLGGVALAAFNLPASFSLPAQRVAGVPVLNDLNDTSTATTTTVIVNATTNTSTTV TTRVHLNWSKPPRTSDPTVAKILAGIEDWHPLDGYEGYTEPLTEDTMKVLNRRGTSLP NVIVLGDSHANMLMPRFKRLLELAVAADPVNGAAKFPTIVFRSANGSPPLACNGRHGG DVALVRDLQPSVVFYSSNWIQFWRAGGGAGSVPSATPLCCTPGYQDACAYQTLADVQA MADMFQAELAALVQSGKKVFVATVNPEGPEFSGRNMVNGNAVGTVTPIKRSVFRQTFA SVISILERAVANAQATLVDFSDNQCYQDLCQVVSMAEGEPVYKDKDHMRPYYARNYLS TVDVVVEAAMLLP H257_15777 MIPVITASPVCNSMAPQQAISPRHLQDPHSSRMSPPVDDLDAVA LVPPTADGISSSDDLEQAGTTTDGAKKPPKPPITYRADIDGLRTVAIVPVLLFHAYPE RFSSGFVGVDVFFVISGYLISSILFKEMANGKFTYANFYARRVRRIFPTMLVVLTTTL WLGCLYLLADKLKALAATMLAGTLFGANLQLVSLERGYWDADIKENPLLHLWSLGVEE QFYFVWPCVVAYVVKQPATRALGVQLFLLVLSFIVNVALVDVDGSNKYAFYVPVARFW QMGVGSFLAYLHHAKYSPLMSTPDGLKTRLFSASGVLLLVLAFVSLDESRAFPGFWAV LPTFAAALLIAAGPHTLLNAHVLSATPVVYVGKISYALYLWHWPLLVFAKARYPNEDF RPFYMTPAAMLVLAFVLSIATVTNVEIPLRHNKSKRVLPALVLGMVVLCVVSATVYGN PGSFSFTQQEIDALLAPSSTSGGSTIQVGGGLGDKDGVVLASTTAPLVAGASAGTTMS PYNVEQGTVTTGSPLLEGPPETNVGPSAAPVATAAPVVEQPNSSRGPRVQQPTYVKLA QAAGDWNPDVGFEWVPEGSPFGRDDHAKILNPDQFTLIVGLGDSHLDQVKPRFNKLFE DAKVEGKPFPTMVFKTHDGTPALSCASSWHPFNMNMIKAMMPKVVLHSMNWPQFLRPG GADSDPLHADALRCCIPGYVDSCDYQSPKDVVELLRIFQAQMTELTALGIKVFAATTN PEGPSFDPNHMKHGSGVGDVRPVIRSAFRQAHRDLLDKVEAAIVASGATLIDYSDNQC WHDVCEVVDQQGDPIMKDSNHFRPGFARNYLSVLDQVIAAAYAS H257_15778 MSTSPSVPTPPPAPAKSPVVQTTGTTIDGMKALQEAQRKLEESL AKVEGQIRENEANYLDDTAHGNIIRGWDGYADLRGKKDALLKKVRPYADNEKLFSTSS VHTGMKSAAASSTMSATTASTDQGDDADTNETGDEPKRSKKKASASLSSAAAESGRRA VPGKTPTVKKSKKRKLLDEDFEEFDETTS H257_15779 MWLRRGYYGGVVLRVLFVLSMGMVHPDEFFQCPEVMAKSVFGLS HAFIPWEYQLPLPNRSVLFPSVVAGLPYSVWKALGFDANGVAFLLLPRLVLLALSFVY DVTIAMLCRRVMKVDPWGPLFAFSTSWTSWTLLTRPFSNTCESFLLLLSFVLLFHRAA STSRTALLGMTLALGTFTRFTFILFFLPLGLYLVWDNDQDMQLVASKKSSKVSGAHGC AQGHATSAVPLPRRLGGVAHTAAVGAASFAVTCVAIVLLDTLYFHDGILPSSSSGWVV APWNNLVYNLDPSHLAEHGLHPRTNHWLVNMPLLFGPLVVLFVFQLVQYLTNLHSNAT PPFHVMCMAAVVVPVTALSLAPHQEARFLLPVVLPLVLATPLGTSRRLVLALWVGFNL ALGLWFGVLHQGSVVPMLLSSGGSLALNPFCKSSKGGDLYTEILTTGTYMPPRFALSP LNVPLHDTPLPDLHAALATQKANDVTRVLLLVYPDPVAAKVDAILQSLDAHRTPMWTC WPFLSTETPPNQIWNSTEWTLHAHTVTWT H257_15780 MWQSFVIAFLLVAMGAVGVYFVRRFQAPVHETYQPSPSQLLLGY RAFLVVLFAVALFGYNTLPFAWVYYTVWNLTLQLVYLVWATVHQFRHRAVTGTAVPTT AESRALNTFFDVCFSVSFLVCMVYWGVVYPSRVDKTIKIMSVFQHGINCIFLMGEFAL NGFVVRKATLGYILILPVLYGAFSWIGHETWNDGFWPYKFLDISSQASPLWYVGTFLV HPVLLLGTLGLSKLKTRWRPALCPVVFDPTLPLTPKDDDDNVTPA H257_15781 MFLQTQKGGIDPTTSGTEECTDFFHYLYSQGKKARTIDLAKSAL VAYFNARRVAPNPAQDTTARHYIVGLQKYNKQNNVDEEKKAHPLTVQELSTLVNALAN LHPFLGSMLRFLLAVSFLGCFRMSEVLALRWNDVQIVSDQIGRYLSVPLRWHKKAGVE EDCQVYHLVDETSFPCLLVCGFHDDYTAKLRAYSQWGAKG H257_15782 MQHNMHGRDGCVPERRIGRRQVHEEVRPRLMPRDVVDPGHDGVE HGEEHDQAHAFPANRKRVQRELNQKNQDIRAVLDVHIPVEDKRRVHGMYRDPEEHAYE GADRDGRVEARVQVPQLPVHRHVAAPGRPPVPLIDQRPHKVDGLQGKAPYSVVNPSIR QPRVRQRCDEKHHDRPSKAKHWPKPMDQRCRLKCALG H257_15783 MGLRLNPWGNVYSSLELEQITFVHRVYLEAMNIEAKDLPNVLQM NATFTEPVYSPKKPDFDEHTFMRQMQGVVGLLRQPAEEIISCICGYQKERLDRFQIGT AFMNDPRTLLLEEFKIWAMNRLAAAACTTEAFEKEVEKRKNYITQLQYGGGNLFKPGN AERTLMTTLKDVREILELRILPMIACERAQASAKEHLTIVEARGTDALIHGIQFLFNV FRNTQNAPADCTITNLQSQQHTAMKESMATKSGQMLLLLLSTPSLRTLFPESHHHVTG GASQLLALTSDTQKAALADAVFADSSAAAIIPSVLLSNPTVSWTPKAFLTQSNGGIVN FLAPDTYDLFVKMHAMLKLMADLLVSCRQARLLAGTGGDLLVYGPGGSHLRLLMETFQ AVEGEVMNLATELKKRGVAELDKLKSSYSEKAWRTCFSRVLALETYMINDVAATQDPI RRIIDATNPVMNFQMAKDFKASTNKWVVENSNTCGHIAQTLKLEGIAAPPPMLPPSTT SA H257_15783 MGLRLNPWGNVYSSLELEQITFVHRVYLEAMNIEAKDLPNVLQM NATFTEPVYSPKKPDFDEHTFMRQMQGVVGLLRQPAEEIISCICGYQKERLDRFQIGT AFMNDPRTLLLEEFKIWAMNRLAAAACTTEAFEKEVEKRKNYITQLQYGGGNLFKPGN AERTLMTTLKDVREILELRILPMIACERAQASAKEHLTIVEARGTDALIHGIQFLFNV FRNTQNAPADCTITNLQSQQHTAMKESMATKSGQMLLLLLSTPSLRTLFPESHHHVTG GASQLLALTSDTQKAALADAVFADSSAAAIIPSVLLSNPTVSWTPKAFLTQSNGGIVN FLAPDTYDLFVKMHAMLKLMADLLVSCRQARLLAGTGGDLLVYGPGGSHLRLLMETFQ AVRYIQDDMWNYDGRTYVGGRGSDELGDGIEKARGRRAGQAQVVVQ H257_15784 MANWGVRWNPWDQGYSALEVDQMTFLHKTYCEVYNKDPKDLPHI LKMDDAFKVPVYMPVVGDFDEHTFVRKMQDMVGLLKNPAEGIISSICAYQTERHERKS FHVSYLNDPRTLLLEEIKSWALNVLASASCTTEYIVNEVENRMQFIKKIQYGQQKLFT SGSGERSLMATLKDVREILEYRVLPIIETERAHASAKEQLTVLEAKGQDALVHGVQFL FYVFRNSPNAPNDCTIGNLQSQQHAGMRDAMNTKSGQMLQLLLETPGFKELFPTSVYA TSQKEKSSHQLLLTNTPDEKKMLAQTAVFCDDHQNAMVPALLGPLTNPAEYLKQSNSG VVALPADTAGPAFDKFCRLHAMLKLMADLIVSCRKARLLAGPGGDLLVYGPGGDAVRR LLQSWQAVQGEVRQLVTEMTTLGVQELDKLKTNQERNWRNCFKEVLSIQGYINNDISA CVEPIQQIYRNTDPAKVQRMLKEFREATGQWVEENSSICSQISGTLGLPGPQVARPLG KAADIELIEDDESAVPSALVLSSSDAVAPALLKPSARAKAPENNFIDSIGKSVVSIFG GNNNNSNVNPTVTSEQHAKTDATTSVPIASKATPVPPKDIANDPPLGTLDSLLMVRHV VQAMGSLSWSTRSTVQTCVSFENDREHDAFVILCAVYEAVGVETAPTLWGQVTMYRHE LLHALQLHLTFLLHVMQILQHRMVVCSSRPTTAADNVLAIKGMRLLFEKLKPALLDLR SQLHSLESTWSKMVDACDVMKHGMEAAVVHMPSLDPKEEADGMAMYALNGIGTISNIG MNKNDTSASAIQSHMAYMEQLESQLDNHKRTLVTLQNSVATGEGTVSTALVKLQALEE DMVYRKMLSEARQQQQKQADIKGGAPPPANLMADIGYIFGAVYEHGLANDAVVSACVD DFFQSEMKHLKAVVAQIN H257_15785 MPSPRTARVEAPAAAPSNHPDMYDMSGLEDFSYDEVMRVTQSEE ECVKWCIKVGLLRSPMMCPKCAKPMKLRQQRWRCQRSACGSAQLSVKA H257_15786 MAIETTLATRPSAIIEVVTSAVDWVQRSVDVAFALLGSGRAVFF KACTVKASTHDVADII H257_15787 MEHVPNLSSFFRLPPALHISTACETTTPSHYRLSTLNYQGIYSA YLSSNARRCTFPTAVCVNNVLVNAGTNAVSTVIIAHVRVYPFRPWSLLGLHQRQNAPF ASVAAAGLAAAEFGVERYLTAAARLAAAGFGVERYTLFCRNGLFETQVMWLLCAMGHM TILEIPLAEFVDWSYDAPRVVRYQNETMFEYIIVFVLVLLKRERGGQRQLGLWPASGR VPVEIVSGSQSAFECGALLRWPVLDQVLAASRRLTCAKHCRGVRWGRYRLRRQSRLTC RSVLRGLSAAVHGMWTRGEAAGLPDAGYCGTSRVAPVKWEWKQEPVSSAEDGQVTEES EEDFGNEPRGVQVTAFRRCTVVGLTTFLTAKGPCHALRSLMLC H257_15788 MEGRNRRSPTSPKDAMFKALTVLKHFSSWEKHAADYGFEAPTFE KLIMRRFAHFLYALYAVDVKFQPSNLPAGRFAEQKHYFSGKHHLYGYKIETAVSPDGR CVAMSTADAGSVHDLTIMNSRHHSLLPDHGEQDAQHRGSWACLVDMGYNGISNSLRSI HPKRRPAHGSLDASDLERYANVSSDRVIVENFFIPVCSLWRVSCAT H257_15789 MTQGRLDLINMVREAILREVLLKCQDGVVGRLPRGFGNDLATKY GCHVSSVRRSIARAKAQGIASGNMQVCVANRKKVRVGRKIKYTASQAREKLLQVPSTA RAYIIAIHLRQNRYGTLHRYLKRGVFRAHSNAIRPMLTDVNKYCRLKFELNFMAPGQD MCEMLDYVHLDEKWFYLTLVNRKFYLVPGEKPPERKCKSKRFITKVMFLTAVARPRLN EDTGVWWDGKIGTWPFVKQAAALRSSVNREAGTIETKVISVTKDVYRAYLLGKVLAAL VQKWPSRGRTIMLQHDNARAHVTTSDAKLQESFRQYAVQGWSFQLAPQPANSPDLNVL DLGFFAALQSLQHRESARTIDDLVANVAKAFKDYPFERLDHTFMTLQSCLLETIRVAG DNTYKIPHLRKQRQARLGILPRNLICPTEDYRDGTAKLSAIDAVAYERAMETELDELR TADELSTYLKSMTLDSDVTAALEAAGLEANSDRALPSCGQMKCILQE H257_15790 MPTGNCKARLSFILAAPDDDDTTYRDPWPKIEHDGLRWRAHHHI DNDDAAPYDDNAQPTRASRRLVLPPLSKKLRFILSDIVASPIDETKNPMVMCCCSPDK QTTTVQQGLCKHKTSSAPPDDTCAKEVKHEPETSKTLASSTKRRYNRERQQRLRRHEL HQRHSLRAHIDQLEATRLQLRQQLKSVSCTPSSQSKGRVMDSMLHQQALTNRSAQYAA FIHDVAQWASWLQHESQRDTPWLQTHTPQAHDDSASCRHVQLPTNMPILKSSKSLAHP PSAKPAIDEDLSQLPPKSRKRMYNRLRQRLYRQRELEEVDALHAHARDLTDVLRRLKT NQHVASLHRLRGGNVSTTRRTLQAVLEQNEALKAKLRRHCDLSAQLDQWVHHVSSHVQ KDVQLYL H257_15791 MKVAAALVAAIVAVLGVATAAAYPDEPSQSSYGVVYDPRDKLGV CKHEAVLRREVDVIGLVRLNSMASGCVPQLLHAIADDSTSRVWLGLKETSAVEELEFA ALQAVAPHLMVSVAGIHVSNEGLLDHGQSWHDLAAYVGRVRAYVGTYLPHVPVVVSDA VTHSSRRVNHGATNATNSSATDDVTTPTTTAAEQPSSESSAADDFETDDGVATDPTTP APTSYYGAGCPETEIKRGDSSRAILTRMCPGYKGPCVSTGAPTMWTTLDNRQCPKLLR IGDSVRVCCTIPLY H257_15792 MGACTSIEDDDVRTSTSSVPAWMTEPWRYHRLPRQTSTFRKTHK VLSVLLNVDLLRQMTVFQPGMCRMVRYLLCRWQRQTGPYAKAHFIVYALPSDHPVDRL YLVSELHHAHPLVLSRTLMDLFAKAGYVECVQFMHDHFRHGCTRNAMDLAAAGGHLDV VTFLHTHRFEGCSTDAIDFAAAGGHLEVVAFLHTHRPEGFTRHALHFAAAHGHVDVVA YLQTHRNCGVASTATSTTSSAQLVAFPVTVSAPSVDASSQLVPLTSGYRHEWLTLTNH NRRARRLVLPVTDDNTTGDNNGDNALGRASREHGEAVAMELNAMEWAVLHGHVDVVAY LHRLNTEPASSTSSSSTSAWYGASVHVLELASLRGHDATTVAYIHAHNLVLAT H257_15793 MLARIAPRCMQRWPSAVVASMKPRSFSAFEKDEDGMVNINSKQH WDALVATGNPIVADFWAPWCGKCRQIQGFVETLAEDHPHVTFVRMNTGDEGVEPIKAA LNVTVLPSFRFFNGGEEVGAPVTGYKKDQLEDQVTKLAL H257_15794 MLATLSRRLSRVPRVRLSPCYRQMSTSFEKDEDGIVNIDTQADW DTILATGKPIVADFWAPWCGKCTQISGFVNNLAEDFPDVTFVKLNAGEEGVGPIKAAY NVEVLPAFLFFKDGEQVHTPVFGYKKKPLKEKVQLLAAP H257_15794 MLATLSRRLSRVPRVRLSPCYRQMSTSFEKDEDGIVNIDTQADW DTILATGKPIVADFWAPWCGKCTQISGFVNNLAEDFPDVVGTFPCCYVPSFSSVERRY VIGLLVVLRRL H257_15795 MGGGNGQKSAAARDRNNAKKAKDAKAKNAPEIRAKMEADRTAVK CKICMMTFMINVGRNQLNDHWVSKHQDKGFTLEQAFPNLAAA H257_15796 MGGGNGQKSATARERNNAKKAKDAKLKNAPEMRAKMEADRTAVK CKICMMTFMVNVGRNQLNDHWVSKHQDKGFALEQCFPHLAA H257_15797 MDMDAELAKLLHEQEQFMQSGKASSAKLHTAKPKVSPSPSQAAP LPPTIMRAQVMERSTPVKTMPMSTLPPTDGGFPSAKKTSLFGRRRNQQAARSESSSPV PSTARREPSSKSSSSPIPNDIQDDNNAAIANMSVEEIRQAQAELHASLPPEILDMFKK RRNFPAAAPPAVACSSSRPDLPPPSFQTLPIQNIPMLAPQTDESLRRAVSELPKEERL KHEWMQSLPPSHQQNQDPVSEKLAQQHEQRRVDLQGHAIKATADTVPLHSGLFHHGLE PELAGYTMEELLMLARSTVSSQRTMALSVLAKSIRAGTSEPTTATALVARSACEESNA SVLAGGIDALHACLVGFDLMTDVDLVEWTPRDAQGRVHMVTYIDEDEVSDVKEIADPI QALLFTQFQSRLLQLIDPQQAPLVPKHARLQLLEIGVVIAMHSPRAAAQLLEGTAVFS LLNALLSVASVDSLVQNLEATATTLLWILRLCQADKAHAQQLMDHHVLASTKVFLAMR HPSALLPLQTLTMRIWRVCLSYKLDQPSIAYLFPLLCGYAAQALTQSVDSVPLEPWPA VMQDAIWDALVFLVDQSAAVAFLPFFIHQASQAAGPRASALRFLAATYPLVFTFPSLD VTPFVAVWPTLKLSMSDPPSLIEAIVAFQRVVAAHPHPKLQVDISDTVVFTQSYVSTG GTLFMPRADVATVLAALVDFVALSTSANDVADWARATAYQLLQHTQPGQHTSVRQLLR SVLVHPSLVAIYEALLNPHASNTKTWTCHTLVQSSSTPAAPSLPPPSDWVFMLASRLH SIDSKEESTVLRLLVEFLTTVETRHPTLLAEISPTDKILHLAHVYLWEADAWRDCSAG LHALLERYIREQQQGNSLMDATERIVAVQHQSVANLLSSLVQVFCNESYGDKGLAWIL TLYFHPSQVSVATHSAVWNEIAQFQCLHLLQMVTDDLEGYVVDDVLLDAYLQSVLRQH LTADKGAAMYRWVVHHIGHFCFSGPVGSSRKHSMLRRLLEAPSTQLVRDVVLNPANET TTAMLAHVQDLPPFAAYRDTIQLLMSACT H257_15797 MPILFQHLEFTVMCCSYTMEELLMLARSTVSSQRTMALSVLAKS IRAGTSEPTTATALVARSACEESNASVLAGGIDALHACLVGFDLMTDVDLVEWTPRDA QGRVHMVTYIDEDEVSDVKEIADPIQALLFTQFQSRLLQLIDPQQAPLVPKHARLQLL EIGVVIAMHSPRAAAQLLEGTAVFSLLNALLSVASVDSLVQNLEATATTLLWILRLCQ ADKAHAQQLMDHHVLASTKVFLAMRHPSALLPLQTLTMRIWRVCLSYKLDQPSIAYLF PLLCGYAAQALTQSVDSVPLEPWPAVMQDAIWDALVFLVDQSAAVAFLPFFIHQASQA AGPRASALRFLAATYPLVFTFPSLDVTPFVAVWPTLKLSMSDPPSLIEAIVAFQRVVA AHPHPKLQVDISDTVVFTQSYVSTGGTLFMPRADVATVLAALVDFVALSTSANDVADW ARATAYQLLQHTQPGQHTSVRQLLRSVLVHPSLVAIYEALLNPHASNTKTWTCHTLVQ SSSTPAAPSLPPPSDWVFMLASRLHSIDSKEESTVLRLLVEFLTTVETRHPTLLAEIS PTDKILHLAHVYLWEADAWRDCSAGLHALLERYIREQQQGNSLMDATERIVAVQHQSV ANLLSSLVQVFCNESYGDKGLAWILTLYFHPSQVSVATHSAVWNEIAQFQCLHLLQMV TDDLEGYVVDDVLLDAYLQSVLRQHLTADKGAAMYRWVVHHIGHFCFSGPVGSSRKHS MLRRLLEAPSTQLVRDVVLNPANETTTAMLAHVQDLPPFAAYRDTIQLLMSACT H257_15798 MSSRRAPRADTSAPTSANASVAPTPNRPPPSIAASERSSVSSDG DDSDLISDTLSEADSKRRNERPRFDSSVSYADSTRLDRFDSRASMLSNRERSDSRASS SSRASISSRRSARASELRTTTAKTPDLDAIDEEDYQFVSPFADFDAHDQPIREQLQKG LEIVNEHTSKLKEIEDALSAAMSEVWGYWADPIQLHLHPAERVDVQDLIRTDNELFNK VLTVFAVLCDEISELKVTVEDNFYPALIMFGQAKHGDKGDVKPGEDEVHIGRMLPFFQ DISNFVDRCNSIATNLVHQLASLYQSFQKLWKSTFKHVHLTSVFDAFGHVLEILLTLD LIVMDNPNIVTSWDKYKRMMQYVRADPARYNVTADQVKAFERLLVNLDGTFMGAKVFQ TCIDQDFETTFGYEDSDDEEEEEEYDEQLNKIDVRNNRVFLDEFYHCLTSRIQAIETA LGTSSETNERIQVVGVAGLYAVFRKLLPNNVLPDVGLYDKLWQLQLKAPVVVLCGRLS WYLPEFLIKYAPLQSKTAVPVDVVQARRDYLAKFDDVFQDDVVYVQSEVRAWLVRFES FFQLSTRGGGDAARILGIRGNLIVKGLILAKRVQTMMQTLLQLHLQLNIPMPKRILRP LYHCVEMNKAIEFMLARKNPILAESAALMLRQVAHALTLLLRPIKAKLEASKRFDDTK LDILAAVSVVEDILHTGESFSSTRLTVLSLAIQIALISDDEPKDKKTITPSGEAEARK LVWKLHVLCDFQRKIRLATDCSFLYWSRELLTLFVQDMYSVPENASRIQYVLAGFLDA IKVLKTAGHEENAVAYYVEAFASFVEEVVEDDLVVPLCMDIENDLRLHVHSVHLEHME TPNPINNADFKVLHYYMDLRPIRIWGKCVDLRDRVTHYLESTFYNLTTVALHDWKTYG EMRQLANDKYGLHLAENHLPMGSLDQGLDVLQIMRNIHIFVGRYNYNLNQQFFVERRS DKGSRHLNAISIHSIASSIRTHGMGILNTTVNFTYQFLSKKFDIFSQFLFDDYIKSFL KREVRWYKSHKTETQDHKYPFQRAFDFNKEIRQLGVSDAGRTFLDQYRILITEMGNAL GYVRMVRSAGMNYCSNAIQFVPDLNTRNFEFEAMVSPELSNETAVAAKNVDAVVANLS RNFSENNDYFHVLVQVFQQVVASQKHLGLFYQIVPALTINFIETSVQAKDLMYKNTRR RESYFTDDGFAIGIAYLLAILNQGQAFDSLHWFEEVERKFEADEAAFIVKQGERDARK HAMADKKETAADLIEDEEEVHTLQLTAKRIELHRHEFDLLNWSLNGARIFFKD H257_15798 MSSRRAPRADTSAPTSANASVAPTPNRPPPSIAASERSSVSSDG DDSDLISDTLSEADSKRRNERPRFDSSVSYADSTRLDRFDSRASMLSNRERSDSRASS SSRASISSRRSARASELRTTTAKTPDLDAIDEEDYQFVSPFADFDAHDQPIREQLQKG LEIVNEHTSKLKEIEDALSAAMSEVWGYWADPIQLHLHPAERVDVQDLIRTDNELFNK VLTVFAVLCDEISELKVTVEDNFYPALIMFGQAKHGDKGDVKPGEDEVHIGRMLPFFQ DISNFVDRCNSIATNLVHQLASLYQSFQKLWKSTFKHVHLTSVFDAFGHVLEILLTLD LIVMDNPNIVTSWDKYKRMMQYVRADPARYNVTADQVKAFERLLVNLDGTFMGAKVFQ TCIDQDFETTFGYEDSDDEEEEEEYDEQLNKIDVRNNRVFLDEFYHCLTSRIQAIETA LGTSSETNERIQVVGVAGLYAVFRKLLPNNVLPDVGLYDKLWQLQLKAPVVVLCGRLS WYLPEFLIKYAPLQSKTAVPVDVVQARRDYLAKFDDVFQDDVVYVQSEVRAWLVRFES FFQLSTRGGGDAARILGIRGNLIVKGLILAKRVQTMMQTLLQLHLQLNIPMPKRILRP LYHCVEMNKAIEFMLARKNPILAESAALMLRQVAHALTLLLRPIKAKLEASKRFDDTK LDILAAVSVVEDILHTGESFSSTRLTVLSLAIQIALISDDEPKDKKTITPSGEAEARK LVWKLHVLCDFQRKIRLATDCSFLYWSRELLTLFVQDMYSVPENASRIQYVLAGFLDA IKVLKTAGHEENAVAYYVEAFASFVEEVVEDDLVVPLCMDIENDLRLHVHSVHLEHME TPNPINNADFKVLHYYMDLRPIRIWGKCVDLRDRVTHYLESTFYNLTTVALHDWKTYG EMRQLANDKYGLHLAENHLPMGSLDQGLDVLQIMRNIHIFVGRYNYNLNQQFFVERRS DKGSRHLNAISIHSIASSIRTHGMGILNTTVNFTYQFLSKKFDIFSQFLFDDYIKSFL KREVRWYKSHKTETQDHKYPFQRAFDFNKEIRQLGVSDAGRTFLDQYRILITEMGNAL GYVRMVRSAGMNYCSNAIQFVPDLNTRNFEFEAMVSPELSNETAVAAKNVDAVVANLS RNFSENNDYFHVLVQVFQQVVASQKHLGLFYQIVPALTINFIETSVQAKDLMYKNTRR RESYFTDDGFAIGIAYLLAILNQGQVCFAFNSDV H257_15799 MAATHVHSTHVGPTRTIRQSYQRPVAEWTVHDVVTWLHQTQLGS HEAAFRQAQATGEYLLQLTANNLTTLGITSLKQRKELMKAIHALQEDAHRRRCAQEKV PSVVEPETAEFNEVESHQSFLEALHHWRGNTPSELAHVATETTPRPTSSTAKVCWQCF QSLKTSVFRKDGHTFCSLQCEAAHVTDTQREKLAAKAVQSTATLHHQHVQSIWALDIK LS H257_15799 MAATHVHSTHVGPTRTIIVGQSYQRPVAEWTVHDVVTWLHQTQL GSHEAAFRQAQATGEYLLQLTANNLTTLGITSLKQRKELMKAIHALQEDAHRRRCAQE KVPSVVEPETAEFNEVESHQSFLEALHHWRGNTPSELAHVATETTPRPTSSTAKVCWQ CFQSLKTSVFRKDGHTFCSLQCEAAHVTDTQREKLAAKAVQSTATLHHQHVQSIWALD IKLS H257_15799 MAATHVHSTHVGPTRTIIVGQSYQRPVAEWTVHDVVTWLHQTQL GSHEAAFRQAQATGEYLLQLTANNLTTLGITSLKQRKELMKAIHALQEDAHRRRCAQE KVPSVVEPETAEFNEVESHQSFLEALHHWRGNTPSELAHVATETTPRPTSSTAKVCWQ CFQSLVR H257_15800 MGSVLPKFVDKGFSGRPGTVKPADELQLELVLLGSAEDMCDGAA EKAPKSVQPRVIPMVAVKKKLKARCQSKVNHVRPNALSSVFWASHNDETIVATSIEVL GMLVEGPRRQIFAQYARNLLVELLRNLSDRKSNVLAATCQASNCSSSKRSLSTR H257_15801 MVYGHPNGVNCVKGEIHNVLSVMRVNARWATAARFKREVPTHTQ SALLRRFKDLHVSLEGVIDLSDVDTLNVLEPFVHVVESEKTSGFITGAAISSLNKFLL YGLIPPDGLRATEAINRIALCVSRCRFEETHRDVDEMVLMKLLELLEFCLRCEAGPLI SGDNVWNMVHTCYSICTQARASMHLVHMAENTLAHVILTVFDRIAEMDAPLLPPSAVA SSQDDDNDNADEDALEGDDLTVDVVLPYGIPVLEQLLLFLSDLIKPKGKEDTIIFGLS LINLVLETAGTGLGAHPSLVSVLQGDLSKYLLQNSETSELQVLSLTLRVVFNLFNSIK DHLKVQLEIFFTSVHMRIMDSPTCSDEQKELALESLLEFCREPALMLDLYINYDCDVH CTNLFEVLCTALAKTTQEWCVVVTYFPDLPPVFNILNLLALDGLLAVLESISRRCPLH LANASKVDVTGSDLAALVDPSPNSFAGALPAISPMSSIQEVMHLVMSDTESDNGDANS TIPPNDSLAWLHTARERTAEVLQQRKQNKKRYFLAAEKFHTEPKNWIAYSQQLGLLPN PITAESVATFFHHTPGLNKTSIGDYLGDGPKEDKPFHEAVRNAYVSMFDFRHAPLDGA LRMCLAKFRLPGEAQKIDRLMEAFAKEYFNQIQAEKHPFVHEDCAFILSFSIIMLNTD LHSDQIQKKMTIDEFVRNNRGINGGQDLPREYLELLYHNIQSNQIKMQTDISDMQVVT TVDRYSAQWDGILKRQENVVGASFTSNASILKLRAGRHEREMFSLVVDSTTESILNAF ERTCDETTMQKALDGFTNCIKIAVYFNMLPEFNKFLSALAAYVVEFAHGVLNGDKVHV PLQASPDQIDDDRASRLKTTRTLKALDVLFDVVLQHADVLTVDSWTAVVECMLLFNTL DICPASLVEMDDFVDMRGVPFPPTQLSPKALHSKSGKLRERTRRLAERQAAHRQTTTT TMPSSTSQSSSSFWDSLSYWWGDEYDDESDPDYPLVSAALREAVAKCGQGLLERDMWL KFCRKLGSGSIEALLAALLHRKTSSNENERDMAQENAMLALEWATNVILVNLHRFNTL WPLMHQHVAGVLADASKPLLVERAVVNVLRVCIRLFHDADSRPLLLETLVLLKTLDSP LWQVLAERVATGMQLLLKANLMYMHSLSVPTWEMLFGLLGQVGQYPAGWSATLEAIRQ LHQDGGVPKELIGLWTGVCMRLINQRTGVEGDALKLLYAVANSEAAEGSWIDLMRILL SYLQDERPAVAKMAWDCLYRSLMVPGVKIASTVWKDCFEEIIYTLDDRSRHGTSKDTR DMSLYSTTLLSKVFLYNIHTLAELDCFADLWLNVLARLSTKLKQQQTHPPHQDLEVYE TTLHSLHNLLVVMTAEGVFDQHSTLLSQSHDVIRSICPHVMATLDTNDGTAEATAEDQ PEVAASKPTCG H257_15801 MVYGHPNGVNCVKGEIHNVLSVMRVNARWATAARFKREVPTHTQ SALLRRFKDLHVSLEGVIDLSDVDTLNVLEPFVHVVESEKTSGFITGAAISSLNKFLL YGLIPPDGLRATEAINRIALCVSRCRFEETHRDVDEMVLMKLLELLEFCLRCEAGPLI SGDNVWNMVHTCYSICTQARASMHLVHMAENTLAHVILTVFDRIAEMDAPLLPPSAVA SSQDDDNDNADEDALEGDDLTVDVVLPYGIPVLEQLLLFLSDLIKPKGKEDTIIFGLS LINLVLETAGTGLGAHPSLVSVLQGDLSKYLLQNSETSELQVLSLTLRVVFNLFNSIK DHLKVQLEIFFTSVHMRIMDSPTCSDEQKELALESLLEFCREPALMLDLYINYDCDVH CTNLFEVLCTALAKTTQVTYFPDLPPVFNILNLLALDGLLAVLESISRRCPLHLANAS KVDVTGSDLAALVDPSPNSFAGALPAISPMSSIQEVMHLVMSDTESDNGDANSTIPPN DSLAWLHTARERTAEVLQQRKQNKKRYFLAAEKFHTEPKNWIAYSQQLGLLPNPITAE SVATFFHHTPGLNKTSIGDYLGDGPKEDKPFHEAVRNAYVSMFDFRHAPLDGALRMCL AKFRLPGEAQKIDRLMEAFAKEYFNQIQAEKHPFVHEDCAFILSFSIIMLNTDLHSDQ IQKKMTIDEFVRNNRGINGGQDLPREYLELLYHNIQSNQIKMQTDISDMQVVTTVDRY SAQWDGILKRQENVVGASFTSNASILKLRAGRHEREMFSLVVDSTTESILNAFERTCD ETTMQKALDGFTNCIKIAVYFNMLPEFNKFLSALAAYVVEFAHGVLNGDKVHVPLQAS PDQIDDDRASRLKTTRTLKALDVLFDVVLQHADVLTVDSWTAVVECMLLFNTLDICPA SLVEMDDFVDMRGVPFPPTQLSPKALHSKSGKLRERTRRLAERQAAHRQTTTTTMPSS TSQSSSSFWDSLSYWWGDEYDDESDPDYPLVSAALREAVAKCGQGLLERDMWLKFCRK LGSGSIEALLAALLHRKTSSNENERDMAQENAMLALEWATNVILVNLHRFNTLWPLMH QHVAGVLADASKPLLVERAVVNVLRVCIRLFHDADSRPLLLETLVLLKTLDSPLWQVL AERVATGMQLLLKANLMYMHSLSVPTWEMLFGLLGQVGQYPAGWSATLEAIRQLHQDG GVPKELIGLWTGVCMRLINQRTGVEGDALKLLYAVANSEAAEGSWIDLMRILLSYLQD ERPAVAKMAWDCLYRSLMVPGVKIASTVWKDCFEEIIYTLDDRSRHGTSKDTRDMSLY STTLLSKVFLYNIHTLAELDCFADLWLNVLARLSTKLKQQQTHPPHQDLEVYETTLHS LHNLLVVMTAEGVFDQHSTLLSQSHDVIRSICPHVMATLDTNDGTAEATAEDQPEVAA SKPTCG H257_15801 MVYGHPNGVNCVKGEIHNVLSVMRVNARWATAARFKREVPTHTQ SALLRRFKDLHVSLEGVIDLSDVDTLNVLEPFVHVVESEKTSGFITGAAISSLNKFLL YGLIPPDGLRATEAINRIALCVSRCRFEETHRDVDEMVLMKLLELLEFCLRCEAGPLI SGDNVWNMVHTCYSICTQARASMHLVHMAENTLAHVILTVFDRIAEMDAPLLPPSAVA SSQDDDNDNADEDALEGDDLTVDVVLPYGIPVLEQLLLFLSDLIKPKGKEDTIIFGLS LINLVLETAGTGLGAHPSLVSVLQGDLSKYLLQNSETSELQVLSLTLRVVFNLFNSIK DHLKVQLEIFFTSVHMRIMDSPTCSDEQKELALESLLEFCREPALMLDLYINYDCDVH CTNLFEVLCTALAKTTQVTYFPDLPPVFNILNLLALDGLLAVLESISRRCPLHLANAS KVDVTGSDLAALVDPSPNSFAGALPAISPMSSIQEVMHLVMSDTESDNGDANSTIPPN DSLAWLHTARERTAEVLQQRKQNKKRYFLAAEKFHTEPKNWIAYSQQLGLLPNPITAE SVATFFHHTPGLNKTSIGDYLGDGPKEDKPFHEAVRNAYVSMFDFRHAPLDGALRMCL AKFRLPGEAQKIDRLMEAFAKEYFNQIQAEKHPFVHEDCAFILSFSIIMLNTDLHSDQ IQKKMTIDEFVRNNRGINGGQDLPREYLELLYHNIQSNQIKMQTDISDMQVVTTVDRY SAQWDGILKRQENVVGASFTSNASILKLRAGRHEREMFSLVVDSTTESILNAFERTCD ETTMQKALDGFTNCIKIAVYFNMLPEFNKFLSALAAYVVEFAHGVLNGDKVHVPLQAS PDQIDDDRASRLKTTRTLKALDVLFDVVLQHADVLTVDSWTAVVECMLLFNTLDICPA SLVEMDDFVDMRGVPFPPTQLSPKALHSKSGKLRERTRRLAERQAAHRQTTTTTMPSS TSQSSSSFWDSLSYWWGDEYDDESDPDYPLVSAALREAVAKCGQGLLERDMWLKFCRK LGSGSIEALLAALLHRKTSSNENERDMAQENAMLALEWATNVILVNLHRFNTLWPLMH QHVAGVLADASKPLLVERAVVNVLRVCIRLFHDADSRPLLLETLVLLKTLDSPLWQVL AERVATGMQLLLKANLMYMHSLSVPTWEMLFGLLGQVGQYPAGWSATLEAIRQLHQDG GVPKELIGLWTGVCMRLINQRTGVEGDALKLLYAVANSEAAEGSWIDLMRILLSYLQD ERPAVAKMAWDCLYRSLMVPGVKIASTVWKDCFEEIIYTLDDRSRHGTSKDTRDMSLY STTLLSKVFLYNIHTLAELDCFADLWLNVLARLSTKLKQQQTHPPHQDLEVYETTLHS LHNLLVVMTAEGVFDQHSTLLSQSHDVIRSICPHVMATLDTNDGTAEATAEDQPEVAA SKPTCG H257_15801 MVYGHPNGVNCVKGEIHNVLSVMRVNARWATAARFKREVPTHTQ SALLRRFKDLHVSLEGVIDLSDVDTLNVLEPFVHVVESEKTSGFITGAAISSLNKFLL YGLIPPDGLRATEAINRIALCVSRCRFEETHRDVDEMVLMKLLELLEFCLRCEAGPLI SGDNVWNMVHTCYSICTQARASMHLVHMAENTLAHVILTVFDRIAEMDAPLLPPSAVA SSQDDDNDNADEDALEGDDLTVDVVLPYGIPVLEQLLLFLSDLIKPKGKEDTIIFGLS LINLVLETAGTGLGAHPSLVSVLQGDLSKYLLQNSETSELQVLSLTLRVVFNLFNSIK DHLKVQLEIFFTSVHMRIMDSPTCSDEQKELALESLLEFCREPALMLDLYINYDCDVH CTNLFEVLCTALAKTTQEWCVVVTYFPDLPPVFNILNLLALDGLLAVLESISRRCPLH LANASKVDVTGSDLAALVDPSPNSFAGALPAISPMSSIQEVMHLVMSDTESDNGDANS TIPPNDSLAWLHTARERTAEVLQQRKQNKKRYFLAAEKFHTEPKNWIAYSQQLGLLPN PITAESVATFFHHTPGLNKTSIGDYLGDGPKEDKPFHEAVRNAYVSMFDFRHAPLDGA LRMCLAKFRLPGEAQKIDRLMEAFAKEYFNQIQAEKHPFVHEDCAFILSFSIIMLNTD LHSDQIQKKMTIDEFVRNNRGINGGQDLPREYLELLYHNIQSNQIKMQTDISDMQVVT TVDRYSAQWDGILKRQENVVGASFTSNASILKLRAGRHEREMFSLVVDSTTESILNAF ERTCDETTMQKALDGFTNCIKIAVYFNMLPEFNKFLSALAAYVVEFAHGVLNGDKVHV PLQASPDQIDDDRASRLKTTRTLKALDVLFDVVLQHADVLTVDSWTAVVECMLLFNTL DICPASLVEMDDFVDMRGVPFPPTQLSPKALHSKSGKLRERTRRLAERQAAHRQTTTT TMPSSTSQSSSSFWDSLSYWWGDEYDDESDPDYPLVSAALREAVAKCGQGLLERDMWL KFCRKLGSGSIEALLAALLHRKTSSNENERDMAQENAMLALEWATNVILVNLHRFNTL WPLMHQHVAGVLADASKPLLVERAVVNVLRVCIRLFHDADSRPLLLETLVLLKTLDSP LWQVLAERVATGMQLLLKANLMYMHSLSVPTWEMLFGLLGQVGQYPAGWSATLEAIRQ LHQDGGVPKELIGLWTGVCMRLINQRTGVEGDALKLLYAVANSEAAEGSWIDLMRILL SYLQDERPAVAKMAWDCLYRSLMVPGVKIASTVWKDCFEEIIYTLDDRSR H257_15801 MVYGHPNGVNCVKGEIHNVLSVMRVNARWATAARFKREVPTHTQ SALLRRFKDLHVSLEGVIDLSDVDTLNVLEPFVHVVESEKTSGFITGAAISSLNKFLL YGLIPPDGLRATEAINRIALCVSRCRFEETHRDVDEMVLMKLLELLEFCLRCEAGPLI SGDNVWNMVHTCYSICTQARASMHLVHMAENTLAHVILTVFDRIAEMDAPLLPPSAVA SSQDDDNDNADEDALEGDDLTVDVVLPYGIPVLEQLLLFLSDLIKPKGKEDTIIFGLS LINLVLETAGTGLGAHPSLVSVLQGDLSKYLLQNSETSELQVLSLTLRVVFNLFNSIK DHLKVQLEIFFTSVHMRIMDSPTCSDEQKELALESLLEFCREPALMLDLYINYDCDVH CTNLFEVLCTALAKTTQVTYFPDLPPVFNILNLLALDGLLAVLESISRRCPLHLANAS KVDVTGSDLAALVDPSPNSFAGALPAISPMSSIQEVMHLVMSDTESDNGDANSTIPPN DSLAWLHTARERTAEVLQQRKQNKKRYFLAAEKFHTEPKNWIAYSQQLGLLPNPITAE SVATFFHHTPGLNKTSIGDYLGDGPKEDKPFHEAVRNAYVSMFDFRHAPLDGALRMCL AKFRLPGEAQKIDRLMEAFAKEYFNQIQAEKHPFVHEDCAFILSFSIIMLNTDLHSDQ IQKKMTIDEFVRNNRGINGGQDLPREYLELLYHNIQSNQIKMQTDISDMQVVTTVDRY SAQWDGILKRQENVVGASFTSNASILKLRAGRHEREMFSLVVDSTTESILNAFERTCD ETTMQKALDGFTNCIKIAVYFNMLPEFNKFLSALAAYVVEFAHGVLNGDKVHVPLQAS PDQIDDDRASRLKTTRTLKALDVLFDVVLQHADVLTVDSWTAVVECMLLFNTLDICPA SLVEMDDFVDMRGVPFPPTQLSPKALHSKSGKLRERTRRLAERQAAHRQTTTTTMPSS TSQSSSSFWDSLSYWWGDEYDDESDPDYPLVSAALREAVAKCGQGLLERDMWLKFCRK LGSGSIEALLAALLHRKTSSNENERDMAQENAMLALEWATNVILVNLHRFNTLWPLMH QHVAGVLADASKPLLVERAVVNVLRVCIRLFHDADSRPLLLETLVLLKTLDSPLWQVL AERVATGMQLLLKANLMYMHSLSVPTWEMLFGLLGQVGQYPAGWSATLEAIRQLHQDG GVPKELIGLWTGVCMRLINQRTGVEGDALKLLYAVANSEAAEGSWIDLMRILLSYLQD ERPAVAKMAWDCLYRSLMVPGVKIASTVWKDCFEEIIYTLDDRSR H257_15801 MVYGHPNGVNCVKGEIHNVLSVMRVNARWATAARFKREVPTHTQ SALLRRFKDLHVSLEGVIDLSDVDTLNVLEPFVHVVESEKTSGFITGAAISSLNKFLL YGLIPPDGLRATEAINRIALCVSRCRFEETHRDVDEMVLMKLLELLEFCLRCEAGPLI SGDNVWNMVHTCYSICTQARASMHLVHMAENTLAHVILTVFDRIAEMDAPLLPPSAVA SSQDDDNDNADEDALEGDDLTVDVVLPYGIPVLEQLLLFLSDLIKPKGKEDTIIFGLS LINLVLETAGTGLGAHPSLVSVLQGDLSKYLLQNSETSELQVLSLTLRVVFNLFNSIK DHLKVQLEIFFTSVHMRIMDSPTCSDEQKELALESLLEFCREPALMLDLYINYDCDVH CTNLFEVLCTALAKTTQVTYFPDLPPVFNILNLLALDGLLAVLESISRRCPLHLANAS KVDVTGSDLAALVDPSPNSFAGALPAISPMSSIQEVMHLVMSDTESDNGDANSTIPPN DSLAWLHTARERTAEVLQQRKQNKKRYFLAAEKFHTEPKNWIAYSQQLGLLPNPITAE SVATFFHHTPGLNKTSIGDYLGDGPKEDKPFHEAVRNAYVSMFDFRHAPLDGALRMCL AKFRLPGEAQKIDRLMEAFAKEYFNQIQAEKHPFVHEDCAFILSFSIIMLNTDLHSDQ IQKKMTIDEFVRNNRGINGGQDLPREYLELLYHNIQSNQIKMQTDISDMQVVTTVDRY SAQWDGILKRQENVVGASFTSNASILKLRAGRHEREMFSLVVDSTTESILNAFERTCD ETTMQKALDGFTNCIKIAVYFNMLPEFNKFLSALAAYVVEFAHGVLNGDKVHVPLQAS PDQIDDDRASRLKTTRTLKALDVLFDVVLQHADVLTVDSWTAVVECMLLFNTLDICPA SLVEMDDFVDMRGVPFPPTQLSPKALHSKSGKLRERTRRLAERQAAHRQTTTTTMPSS TSQSSSSFWDSLSYWWGDEYDDESDPDYPLVSAALREAVAKCGQGLLERDMWLKFCRK LGSGSIEALLAALLHRKTSSNENERDMAQENAMLALEWATNVILVNLHRFNTLWPLMH QHVAGVLADASKPLLVERAVVNVLRVCIRLFHDADSRPLLLETLVLLKTLDSPLWQVL AERVATGMQLLLKANLMYMHSLSVPTWEMLFGLLGQVGQYPAGWSATLEAIRQLHQDG GVPKELIGLWTGVCMRLINQRTGVEGDALKLLYAVANSEAAEGSWIDLMRILLSYLQD ERPAVAKMAWDCLYRSLMVPGVKIASTVWKDCFEEIIYTLDDRSR H257_15802 MLQECHGTTTPPRATTPSTFGLHIQVPVVHHEPNHFQRMLYPPS SSSTDSSSDDGESPASREMAPFLRNLRNMLDVESTEVLRWNKDGSAFEIHDMDELTRT ILPKYFKHNKYTSFQRQLNYFHFKKWTKSRANVCTFSNEFFLRDDVDKSLWITRKKGL NSRSATFDDMVTTPRTAAVMVAEGFDPRDMRRPPPDEFMMQEDLEWLANLESVPLSNL KGDPAALDWIQPTPYPDMWVVNV H257_15803 MLALETLSSELVVLVVGLSVLHVAALVFWATRAFNEGNNKKAID AKKRH H257_15804 MDIVHRIGQYFAQHEQNMSDVMKQALERSQSLVPDAPHVKPPRA VRGSFFSAKKTSKPPPSSTSPSSPRPVFTEDSSLCCPKASTTNTGPTPPTPTNRVKAK RKGMSRPKQKKTSYSTPPSTTAADIALKQSTALALQEAWRLTCERAKKIQADQEAKLK AEQELERQEKAKLDSQLQQIETARSQAFAKQPRPPPPSAPKSLHCAEAWCEPRRVGRK YQRHVKPSMPALRACEQPATSLRSSELTTATTPRSSCNNNNDHPGMLQVFTNLNPVTK FGPVPSSTPSLDELETSQRTKLLEYASALSKVREKLQHQRSVATFEATQNAQCKRREA SNASLVATIEQLQLEKERWEQSLRTLQTDEAALRSERHRLTMERRMARRAAKTLKCLE TPEAIEMWAQEERVRAAQAKEARDRAKRRVQQRTGDATIVPETFDEAADEQHKEGWHV SPLSSSRFQKAFFTYSSSSDDNN H257_15805 MLISSSRVDVKDDVMSTALYSSAASYASSELSVDDAANVVSLPS SFDHLVAASSVELPRKQGVLQVPKASRWTMTPWRKPVATLVDCWVTFNGRDLSWFKSS GHGVYKPTPVCTLDLSSSMYIQFQDLAADTFAIVESIPTDILHNQPSSSMSRNRSSST SSRLATKTRHVFTARDVADKLAWLQVLVDALHIQDWYSGFRIGRLLGQGGSSSVHLLT DVSTGSTFALKSIDTNGQPGHAELATNEVTILQHVATCAGTSPYLKSHVTKLVKVVGD HKGRVGLVMPFHRGGTLADRIGGLLSRPHCIRREAQTKRLARTLLSTLLALHTNAGVL HLDIKPSNILFRNMANNDDDNVATIVLADFGFAHQLVDVVNYSPDATAATTTTITSTT PTRGTIGYMAPELVEFQFGHGRATTDDHDDHRPPPLTPAADVFSAGVVLFQYLIGCMP FPGSHPDKVVERMLRGHMVRPQAQWELVSPEGQQFVLSMLERTPSQRPTVADLLALPW LETS H257_15806 MLPVYVPGRGAVDSFADDVGMPHNAVRLVVGLVLGCVLAPLSHV VVAPTSRHALNTLLGVSIGWFVFDFNLVHSLVPTLLTYGLMSFAPRELVGPVASAILF MHLIACHAYRELLGADIIWDGAQMVLTLKLAGTAISYSDAVLPLNQKTPSILRNQVTA KPSLLSVLGYVYFFPTFLVGPIFELNDYLAWTDDAQVAPVAVILPKLGTLIVCIAGHA ASEVLFPIMTMDAPPYYASYAFPARFVLQLAATMFYKFRFYMVWQFGEVGGVLAGYGY DPNTHEWDGLRNNNLLLVEFPVNLRVAVNNWNIKVATWLHTYIYQRVGHKQGKPTFVS ILSVFVASALWHGLLPGYYAFFIVAGLGVEVGRHIRRHVRPYFHYTEDRQAHPWAFFG DFLDPSKGSRLAIVYDLGGVALSWVMINYCAPSFVWLDMTRCFNWWATVYYAPHVMIV VALVLFTGTAAKSTKKSD H257_15806 MHLIACHAYRELLGADIIWDGAQMVLTLKLAGTAISYSDAVLPL NQKTPSILRNQVTAKPSLLSVLGYVYFFPTFLVGPIFELNDYLAWTDDAQVAPVAVIL PKLGTLIVCIAGHAASEVLFPIMTMDAPPYYASYAFPARFVLQLAATMFYKFRFYMVW QFGEVGGVLAGYGYDPNTHEWDGLRNNNLLLVEFPVNLRVAVNNWNIKVATWLHTYIY QRVGHKQGKPTFVSILSVFVASALWHGLLPGYYAFFIVAGLGVEVGRHIRRHVRPYFH YTEDRQAHPWAFFGDFLDPSKGSRLAIVYDLGGVALSWVMINYCAPSFVWLDMTRCFN WWATVYYAPHVMIVVALVLFTGTAAKSTKKSD H257_15806 MLPVYVPGRGAVDSFADDVGMPHNAVRLVVGLVLGCVLAPLSHV VVAPTSRHALNTLLGVSIGWFVFDFNLVHSLVPTLLTYGLMSFAPRELVGPVASAILF MHLIACHAYRELLGADIIWDGAQMVLTLKLAGTAISYSDAVLPLNQKTPSILRNQVTA KPSLLSVLGYVYFFPTFLVGPIFELNDYLAWTDDAQVAPVAVILPKLGTLIVCIAGHA ASEVLFPIMTMDAPPYYASYAFPARFVLQLAATMFYKFRFYMVWQFGEVGGVLAGYGY DPNTHEWDGLRNNNLLLVEFPVNLRVAVNNWNIKVATWLHTYIYQRVGHKQGKPTFVS ILSVFVASALWHGLLPGYYAFFIVAGLGVEVGRRT H257_15806 MLPVYVPGRGAVDSFADDVGMPHNAVRLVVGLVLGCVLAPLSHV VVAPTSRHALNTLLGVSIGWFVFDFNLVHSLVPTLLTYGLMSFAPRELVGPVASAILF MHLIACHAYRELLGADIIWDGAQMVLTLKLAGTAISYSDAVLPLNQKTPSILRNQVTA KPSLLSVLGYVYFFPTFLVGPIFELNDYLAWTDDAQVAPVAVILPKLGTLIVCIAGHA ASEVLFPIMTMDAPPYYASYAFPARFVLQLAATMFYKFRFYMVWQFGEVGGVLAGYGY DPNTHEWDGLRNNNLLLVEFPVNLRVAVNNWNIKVATWLHTCTNMLLFENIFLFYIIY HQYIYRWFG H257_15806 MLPVYVPGRGAVDSFADDVGMPHNAVRLVVGLVLGCVLAPLSHV VVAPTSRHALNTLLGVSIGWFVFDFNLVHSLVPTLLTYGLMSFAPRELVGPVASAILF MHLIACHAYRELLGADIIWDGAQMVLTLKLAGTAISYSDAVLPLNQKTPSILRNQVTA KPSLLSVLGYVYFFPTFLVGPIFELNDYLAWTDDAQVAPVAVILPKLGTLIVCIAGHA ASEVLFPIMTMDAPPYYASYAFPARFVLQLAATMFYKFRFYMVWQFGEVGGVLAGYGY DPNTHEWDGLRNNNLLLVEFPVNLRVAVNNWNIKVATWLHTCTNMLLFENIFLFYIIY HQYIYRWFG H257_15806 MLPVYVPGRGAVDSFADDVGMPHNAVRLVVGLVLGCVLAPLSHV VVAPTSRHALNTLLGVSIGWFVFDFNLVHSLVPTLLTYGLMSFAPRELVGPVASAILF MHLIACHAYRELLGADIIWDGAQMVLTLKLAGTAISYSDAVLPLNQKTPSILRNQVTA KPSLLSVLGYVYFFPTFLVGPIFELNDYLAWTDDAQVAPVAVILPKLGTLIVCIAGHA ASEVLFPIMTMDAPPYYASYAFPARFVLQLAATMFYKFRFYMVWQFGEVGGVLAGYGY DPNTHEWDGLRNNNLLLVEFPVNLRVAVNNWNIKVATWLHTCTNMLLFENIFLFYIIY HQYIYRWFG H257_15806 MLPVYVPGRGAVDSFADDVGMPHNAVRLVVGLVLGCVLAPLSHV VVAPTSRHALNTLLGVSIGWFVFDFNLVHSLVPTLLTYGLMSFAPRELVGPVASAILF MHLIACHAYRELLGADIIWDGAQMVLTLKLAGTAISYSDAVLPLNQKTPSILRNQVTA KPSLLSVLGYVYFFPTFLVGPIFELNDYLAWTDDAQVAPVAVILPKLGTLIVCIAGHA ASEVLFPIMTMDAPPYYASYAFPARFVLQLAATMFYKFRFVRFILIFLQLFTLLIWYE FSDPVQSRIAPILVNLKMHVADWLHYFSFDFVLSADSGKPMKNFAASIVNGFSNRAEL GILWYIQKIFFI H257_15806 MLPVYVPGRGAVDSFADDVGMPHNAVRLVVGLVLGCVLAPLSHV VVAPTSRHALNTLLGVSIGWFVFDFNLVHSLVPTLLTYGLMSFAPRELVGPVASAILF MHLIACHAYRELLGADIIWDGAQMVLTLKLAGTAISYSDAVLPLNQKTPSILRNQVTA KPSLLSVLGYVYFFPTFLVGPIFELNDYLAWTDDAQVAPVAVILPKLGTLIVCIAGHA ASEVLFPIMTMDAPPYYASYAFPARFVLQLAATMFYKFRFVRFILIFLQLFTLLIWYE FSDPVQSRIAPILVNLKMHVADWLHYFSFDFVLSADSGKPMKNFAASIVNGFSNRAEL GILWYIQKIFFI H257_15806 MLPVYVPGRGAVDSFADDVGMPHNAVRLVVGLVLGCVLAPLSHV VVAPTSRHALNTLLGVSIGWFVFDFNLVHSLVPTLLTYGLMSFAPRELVGPVASAILF MHLIACHAYRELLGADIIWDGAQMVLTLKLAGTAISYSDAVLPLNQKTPSILRNQVTA KPSLLSVLGYVYFFPTFLVGPIFELNDYLAWTDDAQVAPVAVILPKLGTLIVCIAGHA ASEVLFPIMTMDAPPYYASYAFPARFVLQLAATMFYKFRFVRFILIFLQLFTLLIWYE FSDPVQSRIAPILVNLKMHVADWLHYFSFDFVLSADSGKPMKNFAASIVNGFSNRAEL GILWYIQKIFFI H257_15806 MLPVYVPGRGAVDSFADDVGMPHNAVRLVVGLVLGCVLAPLSHV VVAPTSRHALNTLLGVSIGWFVFDFNLVHSLVPTLLTYGLMSFAPRELVGPVASAILF MHLIACHAYRELLGADIIWDGAQMVLTLKLAGTAISYSDAVLPLNQKTPSILRNQVTA KPSLLSVLGYVYFFPTFLVGPIFELNDYLAWTDDAQVAPVAVILPKLGTLIVCIAGHA ASEVLFPIMTMDAPPYYASYAFPARFVLQLAATMFYKFRFVRFILIFLQLFTLLIWYE FSDPVQSRIAPILVNLKMHVADWLHYFSFDFVLSADSGKPMKNFAASIVNGFSNRAEL GILWYIQKIFFI H257_15806 MLPVYVPGRGAVDSFADDVGMPHNAVRLVVGLVLGCVLAPLSHV VVAPTSRHALNTLLGVSIGWFVFDFNLVHSLVPTLLTYGLMSFAPRELVGPVASAILF MHLIACHAYRELLGADIIWDGAQMVLTLKLAGTAISYSDAVLPLNQKTPSILRNQVTA KPSLLSVLGYVYFFPTFLVGPIFELNDYLAWTDDAQVAPVAVILPKLGTLIVCIAGHA ASEVLFPIMTMDAPPYYASYAFPARFVLQLAATMFYKFRFVRFILIFLQLFTLLIWYE FSDPVQSRIAPILVNLKMHVADWLHYFSFDFVLSADSGKPMKNFAASIVNGFSNRAEL GILWYIQKIFFI H257_15806 MLPVYVPGRGAVDSFADDVGMPHNAVRLVVGLVLGCVLAPLSHV VVAPTSRHALNTLLGVSIGWFVFDFNLVHSLVPTLLTYGLMSFAPRELVGPVASAILF MHLIACHAYRELLGADIIWDGAQMVLTLKLAGTAISYSDAVLPLNQKTPSILRNQVTA KPSLLSVLGYVYFFPTFLVGPIFELNDYLAWTDDAQVAPVAVILPKLGTLIVCIAGHA ASEVLFPIMTMDAPPYYASYAFPARFVLQLAATMFYKFRFVRFILIFLQLFTLLIWYE FSDPVQSRIAPILVNLKMHVADWLHYFSFDFVLSADSGKPMKNFAASIVNGFSNRAEL GILWYIQKIFFI H257_15806 MLPVYVPGRGAVDSFADDVGMPHNAVRLVVGLVLGCVLAPLSHV VVAPTSRHALNTLLGVSIGWFVFDFNLVHSLVPTLLTYGLMSFAPRELVGPVASAILF MHLIACHAYRELLGADIIWDGAQMVLTLKLAGTAISYSDAVLPLNQKTPSILRNQVTA KPSLLSVLGYVYFFPTFLVGPIFELNDYLAWTDDAQVAPVAVILPKLGTLIVCIAGHA ASEVLFPIMTMDAPPYYASYAFPARFVLQLAATMFYKFRFVRFILIFLQLFTLLIWYE FSDPVQSRIAPILVNLKMHVADWLHYFSFDFVLSADSGKPMKNFAASIVNGFSNRAEL GILWYIQKIFFI H257_15806 MLPVYVPGRGAVDSFADDVGMPHNAVRLVVGLVLGCVLAPLSHV VVAPTSRHALNTLLGVSIGWFVFDFNLVHSLVPTLLTYGLMSFAPRELVGPVASAILF MHLIACHAYRELLGADIIWDGAQMVLTLKLAGTAISYSDAVLPLNQKTPSILRNQVTA KPSLLSVLGYVYFFPTFLVGPIFELNDYLAWTDDAQVAPVAVILPKLGTLIVCIAGHA ASEVLFPIMTMDAPPYYASYAFPARFVLQLAATMFYKFRFVRFILIFLQLFTLLIWYE FSDPVQSRIAPILVNLKMHVADWLHYFSFDFVLSADSGKPMKNFAASIVNGFSNRAEL GILWYIQKIFFI H257_15807 MSAANNTNPSQEAPSPLLALPASSSSSTAETSAVPNVTVGGTSV ALEHLGPIVVQPDGSLMRITDWATKTDHEKETISRVIAKRNKTRMEALQASQNADLD H257_15808 MKLPLPPNFFKCPPLSTDEVERLKQQAYATAMDVVQKSLVQSAS PSNRSGVSWTLASNDHGLQIYRGTVNAGGAGASDQLKLSVGVAEVAGTIDEVVALFRN DTTELTKEYVHRFGRGLLDSANLYTLVEPTRSHPNESVSINWMALKSPLKQVILERDC CYLEGHYEFEVHGKRGWVRSLKSVNLMCCPDMQHALGLVRMVQVGTGHVFVESDRPGY MRIAYVVHADFRGAAPDWAIDVAIKRRCKSLLDIDTFLRENRLAQGHFLTSSQVVSKH LRRRCFLCQKKLHGGLGFTKKLNCVKCGEVFCNSCSHPWTVRVCGIPTRIDACAKCAL AAPTPTKALLFNQFDSSDTHSLSFKSSYSSQRSHHQQSHSCVHIVTSTRSKDKEGRFC RSDSSRSSSSIHSSHSVEALYYPRFEEVTTPPTFSQHKQVSKDTWTNEMWIQDLIANT SSLLQVTSPTKSKQGHAKYIEMKPTPLDPISRPQDTRRQAGAAPEDEVEPGSIHVTYI NMHSLSSTRYSSRGGSSSTTNALQRSLSNTSPSVLK H257_15809 MTDNTLKWAITLSLTPRLVKDFHRRWFTTHWTTLHAYWTHMCHE QYLATAQDGDTALAQAVNTVIKQRRRAHDDTPPAMDSNLRQAKACQTLDIDTWLGQRA QGLLGSTNAPHAQQNATPTRRRRPPRRPPETRSARHQQL H257_15810 MADLPSRDEIPFILSFEIVPGDVLTWVRAWGVTSRGSKSPVLKE LNVATWDQTMTSAAVYPSPLLNTELGARGESREGICNGDSGGPLTIEENGTARLVGLA VWVIRCGLGGKPGVYERVSTSRDLIEPYLPK H257_15811 MSKVRSIFMRSDNGGDPKTTSRISPATELALDGDVEAPRVISSP SLTKQPTLPMSTSSTFGRLTIRVIEGSDLSLAKLDPAHEALSTSTTYRWVKAFRRTSL TGRKPTADTKLPPTAAAVCVVVHITGESPHLYSTDCILASHDSWNEEFMIDTVCCDEV LTLYGIDRMRNPPYYDLLAEDMDHHPGCIGKVSIPLSRLPENEEIEQWYSFTGNTTSQ TFTRAAIRVSLKFTAVAPSSPLHHHPPRHPSFIGHPSSDPSTHVDEDMEDEAATTDLC LPTGLVDYVLLVGPPSLRCPDADKTPTDNVILKRYPPHDRTDFPLPTKIEWFCFPGGY EATLSEEHCRHPPHPKQFTFVLSGGADGLSKCYGSCVWVYEPIAAASLPTRWHPQCLC MLSRVPNLLEFMQDAAIALMWQYMHGVDLAEAYCTHLTTTIPRPIPNLLDVSITLAGL TWRLSMPRRQQRNPTANKHPELPSSLSAADRGRSEPPSSVLLPPLPYSMSVFFDCFPV AVIVQLVILGLCEHRIVVHSTQLSLLCPVTETLKALMYPFRWQHPYVPMLPRILSEYL QAPLPYILGVHSSWLPSLFEGGRPDHLVLVDADRGTITSSFTTHSDTQPPVLPLGLTR DLYTRLKRFKAEAWSPVVEHNIRLSVATYITTMLTGYRDCLFFVNSSFPVFNKRRFLA NYVKPDAMPFMTKLLTTQTFEAFLENHGSTHMDAFHSMYTLLCKAQDQSPPLLWMEVS AQSSIIKDGLCDKVLVVPLDQPPILPGSLYAMAKAIQSRSLVIVHDDAVAGGDMGPVV PILKVWTLDDAAAAVGLDKATLEEAVYPKHSSGGSHDTLSHTLHRQLSTEEEKVEQSM HKCLVGIFSSDDTLLDGVREACKASFKAPYARELFVLILMQPHHHHRSSTSSESYNGA RGSGSCLGDVGFRLLVSLASEMLEQCHVHEDFTNARGLLQVSAQFYRVVPGTTHPHPQ HHPLQPNQLGTLGGKREYLQQSLKAQPICRSLDMWHHAFSRDVEAAIKSDEAAAIVTD DMFFSHMGSLVYDMLSVDVPVVKVHTFVCGMCSTYSKPKDLHETLATLVDNLHRALVL SMETSSLPSSPLLLSTCHAPMWTTSSSNNMELHASSAPSSQYDLDTVIRRKLSNVSDA SSSSDAANALIATSSPISCLAVQGQHVVAGCIDGSMHLYASDAVTHHLDGHGAPITHV QVRGHAVVSTSYDATVRVWNLHAYGTSPRSRPKPPFLRFLAATDTESACRVLRGHTSP VVALELGKQLAVDRVLLATGSWDKSIRIWDSTKEASVLHLAHASAITCLKFLATSSVL VSGDAGAGLDVWDVVHGGRKKGHFTAHRSGITELQVAGDRLVSASNDRSLKVWDVHFR SGQSCTHVLMGHSGPVTCVALGGPADPTICSGSADGVVKVWDLRASGKGARLELTGHG GRITTLQRDFTKVISGSEDGTLRVWNMYTGVCGQVLAGHRSGITGVGFHDPNLLTGSW DGTVRVWDVNVDS H257_15812 MTYMPVCAQADLPNNSRRCVLLPSSGRSVLLVHHQHVIYCIDQA CYHHGGPLATGDIEDLGGVATIKCPWHNYKIALHNGEGLYMGLEPGKMTQPVLKSKGV KQRTHPVKVVDGMVLVQDSSDDGEEYVVIASDVYAFDTKCIPDLERKKDPDEVKIHSR MS H257_15813 MSKAASTAAHADDNESDVEETAQERVIAEEYKIWKKNTPFLYDL VMTHALDWPALTVQWLPNVHTHSGNDYSSHKLLLGTHTDNESQNYLMVAEVRLPLEDT EIDARNYDDDSSELGGFGGASGKVEVQIKINHAGEVNRARYMPQNDLIVATKTVSSDV YIFDISKHPSTPAEGSGCNPDFRCIGHTKEGYGLCWDPHQTHHLISGSDDGIVCEWDL ANAGKQVHPLNKYTGHTDVIGDVAWHMHHQKLFGSVGDDKKLLIWDMRLKSFDKPLTS VNAHDAPVNSLSFSPFSEYLLATGSSDKTVNLWDMRNLSSKLHTFAGHSEEVYQVEWS PHNETILGSCSQDRRLHVWDLSKIGEEQSAEDAEDGPPELLFIHGGHTAKISDFSWNP NDPWVVASVSEDNILQIWQMAENIYNEEQDDDDVADDALE H257_15813 MSKAASTAAHADDNESDVEETAQERVIAEEYKIWKKNTPFLYDL VMTHALDWPALTVQWLPNVHTHSGNDYSSHKLLLGTHTDNESQNYLMVAEVRLPLEDT EIDARNYDDDSSELGGFGGASGKVEVQIKINHAGEVNRARYMPQNDLIVATKTVSSDV YIFDISKHPSTPAEGSGCNPDFRCIGHTKEGYGLCWDPHQTHHLISGSDDGIVCEWDL ANAGKQVHPLNKYTGHTDVIGDVAWHMHHQKLFGSVGDDKKLLIWDMRLKSFDKPLTS VNAHDAPVNSLSFSPFSEYLLATGSSDKTVNLWDMRNLSSKLHTFAGHSEEVYQVEWS PHNETILGSCSQDRRLHVWDLSKIGEEQSAEDAEDGPPELLVRSPFLLTCSTCAENHR VVCSLFMEDTRPRFPTFRGTRTIRGSSRPCRKTTSSRSGKWPRTFTTRNKMTTTLRTT H H257_15814 MHESKQKLVQILMVNGALIDTEFKSTCEELFDQEFSQHDLDELR ESIAKELRSYSLDIKQTMYDNGHMYIGVVNLSNDSLAAMSSNFKPWEIVFFRKAIVHI VENEDGEIDRLELSNLRDGTNKVSEVRALVDQLLQQKWLAFSIFNDDQITLGIRAFLE LSVFIRGLGVLECMICHADVLQCVRCKTRDCPTRVHESCLQEHQKGGRAYHCATCRKP LR H257_15814 MHESKQKLVQILMVNGALIDTEFKSTCEELFDQEFSQHDLDELR ESIAKELRSYSLDIKQTMYDNGHMYIGVVNLSNDSLAAMSSNFKPWEIVFFRKAIVHI VEKYVLHCPHARHSSIHRCASEDGEIDRLELSNLRDGTNKVSEVRALVDQLLQQKWLA FSIFNDDQITLGIRAFLELSVFIRGLGVLECMICHADVLQCVRCKTRDCPTRVHESCL QEHQKGGRAYHCATCRKPLR H257_15814 MHESKQKLVQILMVNGALIDTEFKSTCEELFDQEFSQHDLDELR ESIAKELRSYSLDIKQTMYDNGHMYIGVVNLSNDSLAAMSSNFKPWEIVFFRKAIVHI VENEDGEIDRLELSNLRDGTNKVSEVRALVDQLLQQKWLAFSIFNDDQITLGIRAFLE LSVFIRGLGVLECMICHADVLQCVRCKTRDCPTRVHESCLQEHQKGGRAYHCATCRKP LR H257_15814 MHESKQKLVQILMVNGALIDTEFKSTCEELFDQEFSQHDLDELR ESIAKELRSYSLDIKQTMYDNGHMYIGVVNLSNDSLAAMSSNFKPWEIVFFRKAIVHI VENEDGEIDRLELSNLRDGTNKVSEVRALVDQLLQQKWLAFSIFNDDQITLGIRAFLE LSVFIRGLGVLECMICHADVLQVRSISPMMCR H257_15815 MFGLRLRAVHGNRVGLSRASCRGLSGFTIVTDAPATVNASSMEL TPEQVVYQLDQHIIGQTDAKKAVAVALRNRWRRRQIEGPIRAEISPMNILMSGPTGSG KTEIARRLATLTASPFIKVEATKFTEIGVFGANAESMVKDLVEVAVEMERTAVLKKNA TAARDRAIDRLVAALTVRTELVSEALRRRLREDIASGAADDKLVTVKLKPVQSSSQKS RMMSDMDMPPELDQAMRQVSEMLGKLNGSKSTNSKGSSTANSHQTLTVKEALPKLQAE EAASFVVEQDIVRRALENVQSNGIIFLDEIDKLASERHSHTSSFRKGEGVQKELLALT EGCAVTTRHGVVYTDHILFIASGAFHNVSPSDLMPELQGRLPIRVNLTPLTAQDFERI LTETQFNLLDQVRALMDTERVAVTFTPDAVHEIAHVSAKVNSQTDNIGARRLATVVSK ITEHMSFHAPALAGTDVVIDKSYVQAHLKDILKQTDLTKYIL H257_15815 MFGLRLRAVHGNRVGLSRASCRGLSGFTIVTDAPATVNASSMEL TPEQVVYQLDQHIIGQTDAKKAVAVALRNRWRRRQIEGPIRAEISPMNILMSGPTGSG KTEIARRLATLTASPFIKVEATKFTEIGVFGANAESMVKDLVEVAVEMERTAVLKKNA TAARDRAIDRLVAALTVRTELVSEALRRRLREDIASGAADDKLVTVKLKPVQSSSQKS RMMSDMDMPPELDQAMRQVSEMLGKLNGSKSTNSKGSSTANSHQTLTVKEALPKLQAE EAASFVVEQDIVRRALENVQSNGIIFLDEIDKLASERHSHTSSFRKGEGVQKELLALT EGCAVTTRHGVVYTDHILFIASGAFHNVSPSDLMPELQGRLPIRVNLTPLTAQDFERI LTETQVCIVVLSWSV H257_15816 MADSDNESYDSSSPRSSSYDDWTKEPLVGEKLCVYLLHWDKATQ DLPDIFDTTLIKPKDPTTIYRCVSECVIHYHSTTLTRAQFELEYGMPPRSRDEEIMRL RHAMLAQYLTEVEMDRKKRHALNQDDMHEVDETAALRTADMSPLDRLKYVTSLWPTNM PNFPDTEDSLNYYGFQVGIYIDTNDETKDETSAASTHNQDETTKAADPNENDHDTIDT PLDDAHQPEANSSKQPLMKAAPAAPVKEENWVQCDKCQKWRKLPDSVDVSALPTTWYC RMNKWSRKFNKCSAVEETTTAPAASHGGSDMHSIRERKFVHQFAQRLKRMEKALGELK YADMKEDLGERQHVSCVECGKKRPLLGGMDPIKVPQPFVCWMNRWDEIHASCSAPQGV LFERGTTDTPAATAAAAASGGAAAVDKKSLKQPRRTSHARSNHRSTKPKKKAATAEPL DVAAPPPPLYSSTSEEEIAPKKPRRDDMASTTRKK H257_15816 MHEVDETAALRTADMSPLDRLKYVTSLWPTNMPNFPDTEDSLNY YGFQVGIYIDTNDETKDETSAASTHNQDETTKAADPNENDHDTIDTPLDDAHQPEANS SKQPLMKAAPAAPVKEENWVQCDKCQKWRKLPDSVDVSALPTTWYCRMNKWSRKFNKC SAVEETTTAPAASHGGSDMHSIRERKFVHQFAQRLKRMEKALGELKYADMKEDLGERQ HVSCVECGKKRPLLGGMDPIKVPQPFVCWMNRWDEIHASCSAPQGVLFERGTTDTPAA TAAAAASGGAAAVDKKSLKQPRRTSHARSNHRSTKPKKKAATAEPLDVAAPPPPLYSS TSEEEIAPKKPRRDDMASTTRKK H257_15816 MADSDNESYDSSSPRSSSYDDWTKEPLVGEKLCVYLLHWDKATQ DLPDIFDTTLIKPKDPTTIYRCVSECVIHYHSTTLTRAQFELEYGMPPRSRDEEIMRL RHAMLAQYLTEVEMDRKKRHALNQDDMHEVDETAALRTADMSPLDRLKYVTSLWPTNM PNFPDTEDSLNYYGFQVGIYIDTNDETKDETSAASTHNQDETTKAADPNENDHDTIDT PLDDAHQPEANSSKQPLMKAAPAAPVKEENWVQCDKCQKWRKLPDSVDVSALPTTWYC RMNKWSRKFNKCSAVEETTTAPAASHGGSDMHSIRERKFVHQFAQRLKRMEKALGELK YADMKEDLGERQHVSCVECGKKRPLLGGMDPIKVPQVTTTSRRCGVGRRLVICRSRLC VG H257_15817 MAAGTQSKYAAFLETLKTQGATGPPTEICVSGLTWRKATSGGRE FVLFDVTARLKVGSMTLVLGPPGCGKTSLLKAIAGVFPTAPLHVSGSVAYNGIPTARL PSGELRHLVTFAGQKDEHIPTLTVHETLKFAHACRSYDGSTLNTADGTDAIIDMLGLT GCQHTLVGDDLVRGPRAEFTTGLDTTVATDITQKLRDMCTALQYTAVAALLQPPPEVF ALFDNVLILTEGHLAYFGPATYAVDFFKSLGFQRPPNTDDADFLQEVTSMHGWAFKDP NMLRVPATAIEFHQAFKESSCVTKLHFPRPVEAVVADGHGLKVAPSSDEQPPPPSTPP SGAPQSCRHRRSSFQIVRRVFQRQFKLVSRDTKFNMIRFGQSIVMGSAIGSLFGKLGY DPPNVPSKIGLMFLTLLFTSVTTLANIAYTIQLRGVFQKQALFQLYPAWAYAAAESVI EMLCTAFQVFLFTVTTYWMCEFSPTNHGDQYGVYYAIIFLNSACVTQVFKCIAAFAPS AVSGLILGAAVCFILIIFSGFAIPGPSVPSYFVWLFNINPGSWAFWAVMLNEYQSSLP EYDTMHIKLPLRMGDYYVQLYGIPVESKYVGWAILYLGGVYIGLAGLTAIGYRFVRYR KQYVSKARRQVDLVSSAVATKNASSTTASFVPVTLTFQDLHYSIPHGFTLWYFMDLLC GIHGQFVPGTMTALMGTSGAGKSTLLDVLAGRKNSGKIKGLMDMNGNALMRSVQKQFG YVEQFDLHCLTATVQEALEFSASLRLPASEDVTRIIQATLDILELHGDRGKRISDLSN EQFKRVTIGVELVANPSILFLDEPTSGLDVHAAKVVLDAILRIARSGRTVICTIHQPS FVLFAMFDALVLLRTGANMVYVGPLNGGNAIVEYFEAIPGIRKCRDRENPASYMLDVM AANPRTDFSSIYKASALYTDNERTIAAASAPTQLRMPTRRRQSNYATQLYYLGLRTTR KYWRTREYSLGRVLITVFVAGIFGVLYRRGDGLQYTTQLQSQALLVFVGPLFMGIISV ITGLPVVDAERMVFFRERASGMYATLPYAIVFALVEVPYVVMNSLVFSGLFYILVGLR ATADAFAWFCGYYFLYNLFATYLGQLLVVILPDLRTAVMATGGLNSLMSLFAGFFIHK DNIPAAWSFMYWMSPLHYVLEGMMCTQYADNHASIALSSKGTILSRENTTVSAYVFEM FGGGLSADNNVPNVGFLGLCIAVVKIGTFLAMKFVSFVTR H257_15818 MQLWTEPKRIMLGTFVYPDPDTTIGYVMIGVGVLSAAVGYVLVL GPPQCVKAAFLKTLAGVFHYKGGGTLSPHISGSVSYNGLSPRDIHVEDIATFVGQTDE HIPTLTVLETFEFTDACRGPSNSTEWQRSTPAMVHALGLATCAHTRMGNAMIRGISGE QRRRVSVGQMMTGQTTLLLLDEFTTGDGHNCGTQFDDQAVGHVHEAPVHALVLAVAAP ARSVCPVRQHPAIERRPRVLLWTAVACVPYFHSIGYIRPDRVDAVEFNQQVSTVLGKL TRDPTFPGAVPGSPLDFQTAFQTSPFASALVLPTRIATAKRRQRQPIVAQGLRLCSNS KSSRYNGTTDSMKFAWGKVQCLG H257_15819 MDDFTTYLQHLQDTRLADDPFEDNYHSWWDTNTSDTAAANIVQA AQVLAEALFASSAAMPGSELLASIAVNATLASSLWTCISSPSGGDHERPVTLYSDNRM PTIRLIKLYVVADLADTKRDDAFKVNLYPNACETFTRTFQVSAL H257_15820 MKVHNANKKASTGGTKDQPTRLNTGQLIKSYWSFITKNDVAGLE VFLARHGHSVDMDSRLAAAMQATGLHVAVQKNNPAMASVLLEHGVNVNAQNKVGSTAL HVACKQGFPDMLRLLIDADADFGLLDGVAL H257_15821 MAVDWRADPKAPEQTPLPSCYTTFGLAKVTPVIETYANNPDIVL WGIRLLRNLATRDAVARDQVNRSGIEHILSSLAKHRGAPALRVSILELIYVAFTTNYT RLNQVDELSTMVLNCVTLLLLDHEDHHAVQVLALQNLVTASQHASNLEHLMVSKQVIG PCVLSLLQLAAAHAFPATADRDTIFVWGLRFMVVMGQYDRVRNPVEFVDSATSSGLVA YLLASIQSVDDRIQNLVNVLRRMLQDAAVVTQVAATTSGR H257_15822 MSDEAEYSVDTPSEELWNAIDELRQKRDKLMTISRAEEMGFNQR QDMYKRQVTNPAMKANAETQLRMMQQQRTFAEQNLRRNTQKIDVQIDTINRLLEEKKV RDQRELEVGLTEVKSDVTNLKVVTSALTGQINALKAEAQERKKEFDASIADVRKQAAS SAEWTEDVYAKIYTLQAQSQVLMAEYDAKQKALREKQYIDENPSYSLVYSTVFSKMNE IFIASKAIASGMVTREAYSDGEKMASYMTLIGEQIPFPPAQMVMQCLTNKVEGIAAKR EEDRVNNISSNANSFSEMDEICDWIARGLVFAFEEQIQAMTAKGVAAFSECLVRGVME FLSTPAAERDIGLPHPSSADSPLDPGALLVSQVLVFLCRSDYHKIENAVERVSSGCFS FFEFSFLAAFSQRSGTATTLERSKTPVTIDTRLPGVEWTDVGIFHLSGLRTATGMTWY GDDARPDLYGYRLGGLRDAAQLQYVEYPTRAPSKVLPLTDDQLDRNPEAHRKFWEGR H257_15823 MSTTSSESTVYTIDTPTEALWAVVDELRARRQTLLVNSRNERRN YKQRVEMFRKQLASNPAMRSNAEMQIQMMRQKKDFSRDNFERDTDSIISQIEAIERLL DQQKVRTAGSSLPPQSSKDSATLKASIDRLSLQWGELRSEVNIFKSEFLRQLDQLRQV VATLQK H257_15824 MTITTRSRRATSFTSGHTPAVVGPGSYAPENLIQANVLPSYSAF ASSNKRNLNKNTGTSAITPGPGAYITEVSPGNNPGTPNGQSSNVFATKVARFAPHAPG STIYLASTVLDNPGPGAYSCPSDLEHTDKITAMRPTSHQFSNLIKQGVPTIPRKDQSY GYSTNGEGDLHRHSAPASTYSGLGQDTVGPACYNVRREPGGDITSTVASLKSTTKREV WDELSPRLHIPGPGHYNPKVHPAITFDKADKPSAVFASKVPILPEPRRIQQDDEKALL LALHQQTHVQKPKFRGVKTEQFGSTSGRTDIASNIYTPYVTPTCNVTPGPGTYVDKKK NRYTIDRMHTRPTLRDDGVGFQAVSERPCLAKTRVANPMGPGAYTPTGHDQTLEKKVR QRQGIGRMGQFGSTTERFLWNMTPESMEPDEQTPGPGTYEQPLQNSAAPPPARGRRPR VYTSSAFRSTTGRFPKGNNNHVPEFHIVGACSAPAVGEYDLINPPQHKVATNPHLKIP FLSQGHRSEVGQDTMYMKVPGPGQYEVTSPRDLAVGAQSRTRTNGVRSTLASKPRFDK NPSKPHQLLGPGSYAIPSTIGTKSFNVTMKPKIT H257_15825 MEMEYLRSNVQLPTLTMTTTTPIKSDPLKTKVADDVGTLPVDLE GEADALADEDDEEDNQAIHIEGDLVPLHSAFVSWKSPTHANEDRAVIYRGSRFSVFAV IDGHGGDLASEFVKTHLVDILDEEPDLTTASLRHATATLERRFGELATAANDFSGACF VALVVVEDGSRTRFVINCGDCRISALERLKKHRREYKALSTDHKASCPLEKQRIARAG GYVIMDRVAGVLAPSRSIGDLDMKVPGMEGWVVADPEINEDVLCRDSLYVLATDGVWD VMSDRDVLRLAETSWLDNQVAHDGTVGCASSCEAIAQDSVARGSRDDITCIVIRTGDW H257_15825 MEMEYLRSNVQLPTLTMTTTTPIKSDPLKTKVADDVGTLPVDLE GEADALADEDDEEDNQAIHIEGDLVPLHSAFVSWKSPTHANEDRAVIYRGSRFSVFAV IDGHGGDLASEFVKTHLVDILDEEPDLTTASLRHATATLERRFGELATAANDFSGACF VALVVVEDGSRTRFVINCGDCRISALERLKKHRREYKALSTDHKASCPLEKQRIARAG GYVIMDRVAGVLAPSRSIGDLDMKFVRAGDRRCVGRHVGPRRAASCRNVLAGQPSSAR RYRRVREFMRSDRSR H257_15827 MAGVAATETTNVFVYGTLKRGFPNYALYLGPAVDLKKATFLGDA ITCTPYPLVVGGDRFVPFLLSVPGEGVPIAGEVYAVDASTLEALDILEGISSGYYKRV AIPVRIGSDVVDNCVVYMRIVGQDGDDDPLLQLERVPSYTKDAAQHYLSRTKLPNVAI LALIHGLDAPRQLRVQAKLEAGESLPDALRTSLL H257_15826 MMLVARRWWRAPASLRPSTSVLRSRALSSSGSEEDADALLPLFA SSTREALRRGLESDGFCILRGFASNAVALAMRREAERLFKDGYMFQSMSVDEHGNSFP KHNVFASELDGHEWDIAPTILQYTRSIMLQAPDMLNTLFPELQISSRAYASKLAVSLG DGASYPKHCDTAGMPDQRKVTMVYYLNPHWEPAHGGQLQVYTKDGGILAVEPVSDTLA VFWSDQVVHDVLPCTNDPNNERAQRYALTLWLVSDDPSQIVNPSHPLYSLRCEHFGA H257_15828 MADVTDVHVELEEKLTVEEAQAARAAQEVVAATKPSKKAAAAAP IVYESRLHEVRDWKKSALSLDERFELCKSVGEECIKEEELRVLLEKKEHPICYDGFEP SGRMHIAQGVLRMINVNKLTSAGCIFRFWVADWFALLNNKMGGDLKKIRKVGQYMIEI WKAVGMNMDNVQFLWASDEINSHADEYWTRVIDVARKFNVARIQRCCTIMGRKEADDM SAAQMMYPCMQCADVFFLKADICQLGLDQRKVNMLAREYCDEAKIKFKPVIISHHMLM GLKQGQEKMSKSDPDSAIFMEDTASDVSRKIKKAYCPPAQVDGNPIMDYMKHIIFPMF PDGVVVKRKEDYGGDKTYVEYDEMVVDYLSEAIHPGDLKPALTEYLNQILEPVRKHFE QGEAKKLLAEIKKFKVTR H257_15829 MSDRKGLYRLRRLSELLDHSDEEDDDSSMDVDVHVPASQVVGTT DVNAVVDNEEELDASVCVECRHQAAEVFCEQCHDHFCRLCYGGQHRKGNRKTHTFQPI LHSAIPSADKDNAVVAAAPSLHESSRLTEGDDSSSNDEAHDDSSTVATPSSRYFRGTA SRKSICNLMGSLFLGSKSTSTTTTSSTDAPSSSSSSSSMRDRAKYIPLRLTYEERKRL RTLEAALNVCHYTDKVDAAMNAPAKRTRMQLQEIAGFLSGLVVSIDYTAGQTLLDEKQ FDPLAGFFGELFELGRRYKIMNPEKMRGEYGILMYLLQDAVSPAIQDLLGFSPIQPLK TVYALLEAHDSLGLLDHPLIDVATMVVAPDNRNKSRAKIQQQIKAKDQAIRTITHRFV TATLRADDIQQCLYSIADNNYHLYFERDPIDRMIALLRTHFSPDITNDDSLYSLAIVS GSDGARLSHTHTRQYHYVLQSLTLWREIAHDMFRLWCLTDEDLLSGSVGYQLTDTGQG LHRIQPSPLISRAMHVLLHSTQAKLDHWVGSSVIHLGDKNVPNALMFIDKYAQVGHIL RPIVRTLDEIDKLVDTSAELRSYVQTSFGGPETLKKDILVDFFREAFDGSGADNFFDA GSCIDGRLTSAWNWCSRLHAKPFFPIFKLAGFVGFDGKFG H257_15829 MSDRKGLYRLRRLSELLDHSDEEDDDSSMDVDVHVPASQVVGTT DVNAVVDNEEELDASVCVECRHQAAEVFCEQCHDHFCRLCYGGQHRKGNRKTHTFQPI LHSAIPSADKDNAVVAAAPSLHESSRLTEGSKSTSTTTTSSTDAPSSSSSSSSMRDRA KYIPLRLTYEERKRLRTLEAALNVCHYTDKVDAAMNAPAKRTRMQLQEIAGFLSGLVV SIDYTAGQTLLDEKQFDPLAGFFGELFELGRRYKIMNPEKMRGEYGILMYLLQDAVSP AIQDLLGFSPIQPLKTVYALLEAHDSLGLLDHPLIDVATMVVAPDNRNKSRAKIQQQI KAKDQAIRTITHRFVTATLRADDIQQCLYSIADNNYHLYFERDPIDRMIALLRTHFSP DITNDDSLYSLAIVSGSDGARLSHTHTRQYHYVLQSLTLWREIAHDMFRLWCLTDEDL LSGSVGYQLTDTGQGLHRIQPSPLISRAMHVLLHSTQAKLDHWVGSSVIHLGDKNVPN ALMFIDKYAQVGHILRPIVRTLDEIDKLVDTSAELRSYVQTSFGGPETLKKDILVDFF REAFDGSGADNFFDAGSCIDGRLTSAWNWCSRLHAKPFFPIFKLAGFVGFDGKFG H257_15830 MGQNVSADATDIIQFRKMVKYTYYNNLDKLQKETFDQAVGFQIS RASYLELCNRTEGRIDAIADSRTKAAKLDKHLNEKMDFFAAVEEGKIVLGDTLLHVAV RLGHVEIIGYWLDNGLKENVPNFRGEFAHQVCTHPAIQLLMDDVVLVHDVLGFDYEDE AKVHRIVRSLRRMWPMWMFDTTETALLVKVVGDVRSSHPFLNKYLKIANTLADRYRSR VIHLCLPVAIDLLRENDTKAYDAKKALLAWPTTEKLHLMWDVLQATFPQWKHQNDVEK DVAYLRFVEDAMSACIAMADDLRLYHRDAAPVTSDVLQTFDRQIWKSRLAPDADAVDD LCAHIDGVQAFVRATNLKA H257_15831 MAIAPEFAADFESIPDALVDVCLADDVHLVDTFLHEGGLQHIDG YGKHERTALMLSAAWNASLAVGLLLRRGANVHLKDPDGNTALHLAAENNAKQCVQALV HGGALLDDQNAWGFTALHLAAAAGHMACTKELLVAGARMDLATADDGDTALDLALREG KQPVVLLLRGWDPQWSSRLCLHRQQPAMQTWTEAQVADFLRSHAMSQYVPVFLLHNID GLALSELTEARLENELNMDRPKHRVRLLEAVLFTQLHASSTSHPPSSSGVADNDADTA IKSKTTMESLPPVSPPRRPRPQSPSPYLAPPWLE H257_15831 MECEPGRGSSIAVGFLIHTSKRGANVHLKDPDGNTALHLAAENN AKQCVQALVHGGALLDDQNAWGFTALHLAAAAGHMACTKELLVAGARMDLATADDGDT ALDLALREGKQPVVLLLRGWDPQWSSRLCLHRQQPAMQTWTEAQVADFLRSHAMSQYV PVFLLHNIDGLALSELTEARLENELNMDRPKHRVRLLEAVLFTQLHASSTSHPPSSSG VADNDADTAIKSKTTMESLPPVSPPRRPRPQSPSPYLAPPWLE H257_15832 MAPKRKVSAIENAAIKKKELQDVLTPVDLTPKQSGYVNKQRVLV FASRGITTRYRHFLDDLRKLLPHHKKDVKLDAKDTLHVVNEIAEIKGCNNTVFLEARK KQDLYMWVSRTPTGPSAKFLVQNVHTMDELKMTGNALMGSRPLLTFDAAFDETAHMQL IKALFIQVWGTPKGHPKSKPFIDRVMSFYFADGKIWCRNFQLADEADTKKLEQAALHR GEELTNLIEIGPRFVMTPIRIFDGSFGGVTLYQNPNYVAPNETRKTAKYDKRDKYVTR KLAEDTRASRNQVNVHPEDQFADVFAGAESP H257_15833 MRRLDRPDVPNDVGVIQGFGDAQLRYDLLEPALDAGHGCVLDLD VVAEDGLADFDHLRFVEHLDGHAFTRRVIEGRVHRGCCAVANHPLKCELTDVTLHRQH TRSMIVSSLPS H257_15834 MGSVLLTHDFQVKLIDFGLSERTADALHSVCGTPLYCSPELLFL PTNFRDEGVAGAPADVWSTGILLFAVLTGCSPFDDSSFSSLRQEVYRNSIKFPSHLSE LVQDLLASILTSDPAARPTIPTLLSHPWLVKNVALYRSTIRLVRMKSLSRGSFHSETE DSSDAASSLDDAGASYRDLLYDQLAEQEEQSPPTR H257_15835 MSSPVLTNDDDIPQGIPLALESDVQDKHLYSTRAKDMAVVCDEE EKTNSSAEKLHAARSALMAADIEREAAAREAVLKSEEEAALASFRAALEAKRQRKRDA ELVHYEKVVEAVCAASLLEFTERQRIEAQMELDETSRQAAEAAQREDFAASATAARQS KTVAIQSVLDLKSKAHAAKQAARKAIEDYEAKERERQEKLTNLTELEGQRELELQLEA MKQRQEAATARRLESERRAIEAKERAQALRQLALDAAKHMRDTAKVGMAAVLESEDKV REQHYAKELQAVQAVKDEATSRREESERRAAAAAQRATSLREQALQAAKELRSTSKRG MDAVLEKEEVLRGQKFQSQLSAVQAERDEATRRRQECERKASDAAERARVLVKQASLH VPPPPPPCTPAIDTTLQSKLHDRIDFT H257_15836 MATTILPSTSPAASSSVISIHDFEYDTLDPSEIERLSMEEKRRE RRAAIELAHEREMEAIHAISRAEQEERERIEAAAAVDEERWQQEELAAMAKFRAALEE KRRIRQEDDALHDEKVMQAVLQASLMEFNARGQIENHAGEEDLFRQFESDKALRNMER QKALAHADKFNKVQMVLDTKKRAHAAKESARLAIEEYQAKERLRRELLWQQEEDESVR VHIEQLAAVRKRQDEAVVKRVEAERRAEEAMSRAKELRQKALVAAKLVRQTSRQAIEK VLQQEEVVRSEDYRRQMEALDKDREIASRVRHEKEMVAKEKLEHAIHLREKALDAAHN KLASLSLSLDGDSWSRQPKP H257_15837 MGKTSKDKRDIYYRKAKEQGYRARSAFKLIQLDETFHLLDGVTR VVDLCAAPGGWTQVIAERLHPAENPDVQIVAVDLMEMAPLDHAIQIQGDITAQSTADA IIGHFHGGKAHLVVSDGAPDVLGLHDLDEYLQAQLVLAALNISLHILEEGGTFVAKLF RGKEVSRLYAHLGRYFRHVVCAKPKASRNSSFESFVVCQGLHFSHGPPTSMALNLFDL PYEHDHARLAGSTPVLFKSCGDVDGWDADQSYPIDDNNTYAHQEPLQKPINPPYALAK KSKTK H257_15837 MEMAPLDHAIQIQGDITAQSTADAIIGHFHGGKAHLVVSDGAPD VLGLHDLDEYLQAQLVLAALNISLHILEEGGTFVAKLFRGKEVSRLYAHLGRYFRHVV CAKPKASRNSSFESFVVCQGLHFSHGPPTSMALNLFDLPYEHDHARLAGSTPVLFKSC GDVDGWDADQSYPIDDNNTYAHQEPLQKPINPPYALAKKSKTK H257_15838 MSQRGNNNGREGLSSPPFTPRTMSHEAHSRVAQVEEYVVETVAE VGATAKAIVQELKVDIEHIVEVSTSPRESPSKGSFMEKIQTLKNLSPTKADMVNSVNK IKSMWRYRHSTVTTDGGEGGFDGDDGYSSSEEDEEEWSVVLDKPIETILADYSNRKWK DAPDYLLRTLHIHTLRQLPIPHTRKLYLILTGPGYTLFITVANWALASSIFVMYAVLV GFGSAVYCSAVVTGVLPRPVLRSWKALFKLEWEALKLFLRLEWEACLDYRVRQPRIDE LVTSPDKETKKRVLAQVVDTVLDNIRADVAGSMRYKDLSSAVSLGSVVVCGTLYVLFV AFTFIGTNMDFTQMNTKNFDGLAIILPFLLAEELAVFLARVTLVIKWFSVFLHDNAVV QMLVDDNEPQEKASLSEFINDARTMCKSIGVGAWDMTGIASAMTAVWTIFFLYQSYYV DLKSQGLEPGPPGLADTMFWSMVLYSVTIITLCALPFTSWFGGAVADDKYTAMLKSCQ TFMMMNRLSTVQQEVSLALRKEIARQRGVPVGQHVSSEEKRVLRSFRAGILKTLDKAD FVSFFLYTICMALVDFEASDGENAYYRDTSNGGKVPTSIKRLRHRGTYTHCTKLEIPI DQLQAVEIALFNASAARYDDVDVVDASSSPSKEKAQGAVVSSMYDVVVLDTTVGASFI DKQVYDLSQVLDNPSVRYHAVYRNPYTNQLVLGLEMKMMERRDKLRQTTTNVLGSVYL LAVTNAQNQFEIGNGPIFLRGAGLEKKTLKLEAVDLLFERVGGRVLPRYIRRCTLAMH HEATLFAQADDYLRGNLHAVPPTSQGSSTTTSLSRKGSTSGPEPSRSTGSASHLTASD YRTAKTTTDGGGGGSQRRASGLKLSTHSWKEGSSREAATTTPPPPTESQHVQPAQRVG TDAALQRVHSVTSNRDLSSWTSNP H257_15839 MDANDTAVREDAYFMKQALEEGVKALDRGEVPVGCVFVLDNEII GRGGNRTNELFNATKHAELVAIDAMLEGDQYTAATLRDCTLYVTCEPCIMCAAALALV QVKRVVFGCHNDRFGGNGSILSLHDPTAFPGNDHHIGYPITHGLMKNEAIALLKDFYD RGNPRVEHSKKKRKRRAD H257_15840 MSAAYVSEKAVLHDAILEQMREVMMGLHTLNQNLERLNDVGGEL QSIAHAWTTFCSNITRSPTDVDVGIDVEQQGNMQAS H257_15841 MSLMTSMWTQTLAQASRVEERNHFLFTPHVENDIKNVVKKNMAH QSRDLSGWDFEKKVSVLAELQDMVEAPCFKYVDWGGAISTKAKGISLLPLVLGQEALL DLWAYIMEFCDVVPVRTGARGLFLRLAGCICRRHEFVNDVEMLGMPLKMDTTIEARYY SLLEQSLQYCATKLAKSRILTQDHAYFASHIYTAAFYRCSSVAAPLILHVIEAYSTQL EDAHTSTKGTNHAGLSSSSPGAIHACWLVQSDPSKELSSAAAVPTSSKEPSLEDEAHT AAEAPEPEDHPPTPHDQPPHLSDSLTSSSALPLIERRDAVIAHFRQFKAAASIALGLD NNSTLTSNFNSNNYIQRPSILPVQATPFATLDLVFQHGLRSSSDDDDVAFLGSCPQLY VDAPLLHAEVVRTALGPFLDRLKTPSRDSVNLVLAFISTFIEDSSAFLSNDRATTVLP WHVVPGYFVCVRAFVGVFRKVCLRRKSRRHVITKGADVVLNPWFPYWTSAELEPVYDG LGDVLAHGGGALLNVFVQVILENTNMYDPQSVDYAFNVLQNVFETAAASTHDRPRPSG DHPPPPPPRGTLPMELDLEYFLLALRQALTSSHFQILLKVLAFVYATADLFESKRRQK LLAGVMLHEHFFPLFLHWNEEVRRMFGHLVVHKLFLSSRLDLPLVSDRVLLASSPFFR PQHEPAPHVLQGLASYFAPPPSVKKLSAQAVAEDHNAALERLIVWDTTAAPRQSNQKQ KQERLFRDSLSNDDLMLDLSVTSKLDAMLKMIAEQVQSHGGDDDTTAVYFPRHLQVYA HKALSQYVGLLWMYYKVAFDDLSVAPSAPTLEFNVQNFFSSD H257_15841 MSLMTSMWTQTLAQASRVEERNHFLFTPHVENDIKNVVKKNMAH QSRDLSGWDFEKKVSVLAELQDMVEAPCFKYVDWGGAISTKAKGISLLPLVLGQEALL DLWAYIMEFCDVVPVRTGARGLFLRLAGCICRRHEFVNDVEMLGMPLKMDTTIEARYY SLLEQSLQYCATKLAKSRILTQDHAYFASHIYTAAFYRCSSVAAPLILHVIEAYSTQL EDAHTSTKGTNHAGLSSSSPGAIHACWLVQSVGTMRHVDPSKELSSAAAVPTSSKEPS LEDEAHTAAEAPEPEDHPPTPHDQPPHLSDSLTSSSALPLIERRDAVIAHFRQFKAAA SIALGLDNNSTLTSNFNSNNYIQRPSILPVQATPFATLDLVFQHGLRSSSDDDDVAFL GSCPQLYVDAPLLHAEVVRTALGPFLDRLKTPSRDSVNLVLAFISTFIEDSSAFLSND RATTVLPWHVVPGYFVCVRAFVGVFRKVCLRRKSRRHVITKGADVVLNPWFPYWTSAE LEPVYDGLGDVLAHGGGALLNVFVQVILENTNMYDPQSVDYAFNVLQNVFETAAASTH DRPRPSGDHPPPPPPRGTLPMELDLEYFLLALRQALTSSHFQILLKVLAFVYATADLF ESKRRQKLLAGVMLHEHFFPLFLHWNEEVRRMFGHLVVHKLFLSSRLDLPLVSDRVLL ASSPFFRPQHEPAPHVLQGLASYFAPPPSVKKLSAQAVAEDHNAALERLIVWDTTAAP RQSNQKQKQERLFRDSLSNDDLMLDLSVTSKLDAMLKMIAEQVQSHGGDDDTTAVYFP RHLQVYAHKALSQYVGLLWMYYKVAFDDLSVAPSAPTLEFNVQNFFSSD H257_15841 MGVHHGILRRCARAHGCTRSVPSPGRLHLPAPRVCERRRDARHA VEDGHHQYVSLFSRVDVVICVRMMVVEARYYSLLEQSLQYCATKLAKSRILTQDHAYF ASHIYTAAFYRCSSVAAPLILHVIEAYSTQLEDAHTSTKGTNHAGLSSSSPGAIHACW LVQSDPSKELSSAAAVPTSSKEPSLEDEAHTAAEAPEPEDHPPTPHDQPPHLSDSLTS SSALPLIERRDAVIAHFRQFKAAASIALGLDNNSTLTSNFNSNNYIQRPSILPVQATP FATLDLVFQHGLRSSSDDDDVAFLGSCPQLYVDAPLLHAEVVRTALGPFLDRLKTPSR DSVNLVLAFISTFIEDSSAFLSNDRATTVLPWHVVPGYFVCVRAFVGVFRKVCLRRKS RRHVITKGADVVLNPWFPYWTSAELEPVYDGLGDVLAHGGGALLNVFVQVILENTNMY DPQSVDYAFNVLQNVFETAAASTHDRPRPSGDHPPPPPPRGTLPMELDLEYFLLALRQ ALTSSHFQILLKVLAFVYATADLFESKRRQKLLAGVMLHEHFFPLFLHWNEEVRRMFG HLVVHKLFLSSRLDLPLVSDRVLLASSPFFRPQHEPAPHVLQGLASYFAPPPSVKKLS AQAVAEDHNAALERLIVWDTTAAPRQSNQKQKQERLFRDSLSNDDLMLDLSVTSKLDA MLKMIAEQVQSHGGDDDTTAVYFPRHLQVYAHKALSQYVGLLWMYYKVAFDDLSVAPS APTLEFNVQNFFSSD H257_15841 MSLMTSMWTQTLAQASRVEERNHFLFTPHVENDIKNVVKKNMAH QSRDLSGWDFEKKVSVLAELQDMVEAPCFKYVDWGGAISTKAKGISLLPLVLGQEALL DLWAYIMEFCDVVPVRTGARGLFLRLAGCICRRHEFVNDVEMLGMPLKMDTTIEARYY SLLEQSLQYCATKLAKSRILTQDHAYFASHIYTAAFYRCSSVAAPLILHVIEAYSTQL EDAHTSTKGTNHAGLSSSSPGAIHACWLVQSDPSKELSSAAAVPTSSKEPSLEDEAHT AAEAPEPEDHPPTPHDQPPHLSDSLTSSSALPLIERRDAVIAHFRQFKAAASIALGLD NNSTLTSNFNSNNYIQRPSILPVQATPFATLDLVFQHGLRSSSDDDDVAFLGSCPQLY VDAPLLHAEVVRTALGPFLDRLKTPSRDSVNLVLAFISTFIEDSSAFLSNDRATTVLP WHVVPGYFVCVRAFVGVFRKVCLRRKSRRHVITKGADVVLNPWFPYWTSAELEPVYDG LGDVLAHGGGALLNVFVQVILENTNMYDPQSVDYAFNVLQNVFETAAASTHDRPRPSG DHPPPPPPRGTLPMELDLEYFLLALRQALTSSHFQILLKVLAFVYATAGTVQSRTRSS HDPFVYLHLWSSCLQTCSSRSAAKSCWQGSCSMSISFPSSCTGTKRCAACLDISSCTS CFCRRGSTCPLCRTACFSRLARSSGRSTNQRPMCCKAWRRTLRRRRRLRNCRRKRSPK TTMPRSSG H257_15841 MSLMTSMWTQTLAQASRVEERNHFLFTPHVENDIKNVVKKNMAH QSRDLSGWDFEKKVSVLAELQDMVEAPCFKYVDWGGAISTKAKGISLLPLVLGQEALL DLWAYIMEFCDVVPVRTGARGLFLRLAGCICRRHEFVNDVEMLGMPLKMDTTIEARYY SLLEQSLQYCATKLAKSRILTQDHAYFASHIYTAAFYRCSSVAAPLILHVIEAYSTQL EDAHTSTKGTNHAGLSSSSPGAIHACWLVQSVGTMRHVDPSKELSSAAAVPTSSKEPS LEDEAHTAAEAPEPEDHPPTPHDQPPHLSDSLTSSSALPLIERRDAVIAHFRQFKAAA SIALGLDNNSTLTSNFNSNNYIQRPSILPVQATPFATLDLVFQHGLRSSSDDDDVAFL GSCPQLYVDAPLLHAEVVRTALGPFLDRLKTPSRDSVNLVLAFISTFIEDSSAFLSND RATTVLPWHVVPGYFVCVRAFVGVFRKVCLRRKSRRHVITKGADVVLNPWFPYWTSAE LEPVYDGLGDVLAHGGGALLNVFVQVILENTNMYDPQSVDYAFNVLQNVFETAAASTH DRPRPSGDHPPPPPPRGTLPMELDLEYFLLALRQALTSSHFQILLKVLAFVYATAGTV QSRTRSSHDPFVYLHLWSSCLQTCSSRSAAKSCWQGSCSMSISFPSSCTGTKRCAACL DISSCTSCFCRRGSTCPLCRTACFSRLARSSGRSTNQRPMCCKAWRRTLRRRRRLRNC RRKRSPKTTMPRSSG H257_15841 MGVHHGILRRCARAHGCTRSVPSPGRLHLPAPRVCERRRDARHA VEDGHHQYVSLFSRVDVVICVRMMVVEARYYSLLEQSLQYCATKLAKSRILTQDHAYF ASHIYTAAFYRCSSVAAPLILHVIEAYSTQLEDAHTSTKGTNHAGLSSSSPGAIHACW LVQSDPSKELSSAAAVPTSSKEPSLEDEAHTAAEAPEPEDHPPTPHDQPPHLSDSLTS SSALPLIERRDAVIAHFRQFKAAASIALGLDNNSTLTSNFNSNNYIQRPSILPVQATP FATLDLVFQHGLRSSSDDDDVAFLGSCPQLYVDAPLLHAEVVRTALGPFLDRLKTPSR DSVNLVLAFISTFIEDSSAFLSNDRATTVLPWHVVPGYFVCVRAFVGVFRKVCLRRKS RRHVITKGADVVLNPWFPYWTSAELEPVYDGLGDVLAHGGGALLNVFVQVILENTNMY DPQSVDYAFNVLQNVFETAAASTHDRPRPSGDHPPPPPPRGTLPMELDLEYFLLALRQ ALTSSHFQILLKVLAFVYATAGTVQSRTRSSHDPFVYLHLWSSCLQTCSSRSAAKSCW QGSCSMSISFPSSCTGTKRCAACLDISSCTSCFCRRGSTCPLCRTACFSRLARSSGRS TNQRPMCCKAWRRTLRRRRRLRNCRRKRSPKTTMPRSSG H257_15842 MGNSSRSSLRQHGKVIHLRAHDAGEDVDLDLLSEYSFGLAMDHE VQHYMPPQLPLLPMLTKARIDICTRTWDKIRTAGTEKMKSYGKPGIVLFYDEFFYRLF QRDSTFRTVFANAKERAEVLIKALMFMLSMRGDSPQSIANMQNRCRFLGHKHRGFPLV RPHHFATYTMTAIEVMMYWMGDEASVSVADAWSNVVGFVLRYLLEPYLCDRTDPYEYY QNTTIAAVREITESSNGGGSSVASSVTSMHRPDSKMSSLRDAAKPVVATTIQQTSAQE QGSLRKPSQMRTAFT H257_15843 MAAPDAEFERFLDTAESLGLAVRTSSGLACPTNLLDSKGDMADS IMQLAVQHRFQVRQRHSGSTLSYLCKSFPECPFFVRGKLTKSGQVKLTKSNFTHNHAL GVQKLPKNARNSMLCTKTLAQSVLAADIDYVNATASQLQDHLRTAFATEVSTSRVYSL KKALESGVLGNDVHRFPKLPKFTPVKRPLEGEDMADVDDDDDDDYRPLPTEVEREKDE LKRQDREHRWEMDSRRMRMQEITNMRLDDEAKVTRRVLELEASVAEVQAKLAHAKARH ALVQAGISADEVLLLL H257_15844 MNAQDIDDHHAAAAAVELPPPDGRMPLAMSGISTEEEYFEVAKT YGIMKQTGMALSSAQTPFPSDTDLTERVSGFAHATGFQFKTGTTGGTTRVYTCKSSSN CPFLITVNISKSTGITRMSRRLNCFRHNHPLGFTTASFQWGEIEPPLPPRRISVAAHD DHDHDDHSTHPTLATPSPPPVLSEAEYFATARALGLCKRSGPTSVQPVSKVFDNKEDL LARIMFLAVKVGFQLKHRDGTFQYSCKSVEDCPFTLSVNVRPSGTCKMSERLCVFPHN HPFGVLGQPATGKNTTLNSAIIAHSIAASGMDWFRATHAEFRNHCIATFGVPIGPTRS TTVRRELESPDIEKHAIKFRQMDAAMRGALERVRATLAANPTPPSTDDIRVMRQEMHH RWAMDRRKLAMEEQAHLREEMEARLVQRSQGAKLREAEMALKVALAKARHELTALGLS ADEIDAALALDQ H257_15845 MDEAKAVAEVAKEPSVAPKRSRGPPKNEDIWQHFTKLKDAGKYH NYWYVECKCCRAAFVENAAGTNEGDIPAPSPIVSRIHDMRRHLSNCAYVSDYVPDDNT SPRPTKLLKTKDDKKSVKSSTKGGSGSTSSSSNNAIVDKEDKELLRRDMEHRWDMERR RMALEEHKNARIDQKLARQEEEAQIHRRLLLAKAQEAELQLKVAYAKARHELLQAGMT PEHVDHALLTRPASPSSTQSPHPDHIV H257_15846 MTTTTDTPVPSKATASSSSPTVKTVKAYTPQLAHLTGCPLRESM IHFLGNLRSLDSWKKEEEELAPQLAHLDLTNSPFVKSPMSRSSDIPRLLEFMAESAVQ MNFLIRLPSIERCLAEWWQVIAPGTATLVTPPMLTTLYTGLASVMLEARTPNLQQSGV KILMRCKWCKWDKWVATADPPLTETDVHQLVFQLGYMCVQSDLLHDYTAFFRTTLHKL TVYLDKHGGASKASISERRTSRLQRLESRRRQSNSIVLHPVGNGGSSSSLLSSASDHP SANSRGSGDNDSSPLSRMSSGLVVPKMIPMTITQFQHLRTNHVSSQVLVADLGLLLPQ FQNKFHVVETMANSIQPLEYAPPLRIHAVPWMNPKASYNPPPADHQDPSALDASQLGS GTTLGDIERLVNHCTRAMHRSSLRLDGNARTNQQQGRNDDELLRRSMSNPSLRQRLQQ QQQRGGALT H257_15846 MTTTTDTPVPSKATASSSSPTVKTVKAYTPQLAHLTGCPLRESM IHFLGNLRSLDSWKKEEEELAPQLAHLDLTNSPFVKSPMSRSSDIPRLLEFMAESAVQ MNFLIRLPSIERCLAEWWQVIAPGTATLVTPPMLTTLYTGLASVMLEARTPNLQQSGV KILMRCKWCKWDKWVATADPPLTETDVHQLVFQLGYMCVQSDLLHDYTAFFRTTLHKL TVYLDKHGGASKASISERRTSRLQRLESRRRQSNSIVLHPVGNGGSSSSLLSSASDHP SANSRGSGDNDSSPLSRMSSGLVVPKMIPMTITQFQHLRTNHVSSQVLVADLGLLLPQ FQNKFHVVETMANSIQPLEYAPPLRIHAVPWMNPKASYNPPPADHQDPSALDASQLGS GTTLGDIERLVNHCTRAMHRSSLRLDGNARTNQQQGRNDDELLRRSMSNPSLRQRLQQ QQQRGGALT H257_15846 MTTTTDTPVPSKATASSSSPTVKTVKAYTPQLAHLTGCPLRESM IHFLGNLRSLDSWKKEEEELAPQLAHLDLTNSPFVKSPMSRSSDIPRLLEFMAESAVQ MNFLIRLPSIERCLAEWWQVIAPGTATLVTPPMLTTLYTGLASVMLEARTPNLQQSGV KILMRCKWCKWDKWVATADPPLTETDVHQLVFQLGYMCVQSDLLHDYTAFFRTTLHKL TVYLDKHGGASKASISERRTSRLQRLESRRRQSNSIVLHPVGNGGSSSSLLSSASDHP SANSRGSGDNDSSPLSRMSSGLVVPKMIPMTITQFQHLRTNHVSSQVLVADLGLLLPQ FQPLI H257_15846 MTTTTDTPVPSKATASSSSPTVKTVKAYTPQLAHLTGCPLRESM IHFLGNLRSLDSWKKEEEELAPQLAHLDLTNSPFVKSPMSRSSDIPRLLEFMAESAVQ MNFLIRLPSIERCLAEWWQVIAPGTATLVTPPMLTTLYTGLASVMLEARTPNLQQSGV KILMRCKWCKWDKWVATADPPLTETDVHQLVFQLGYMCVQSDLLHDYTAFFRTTLHKL TVYLDKHGGASKASISERRTSRLQRLESRRRQSNSIVLHPVGNGGSSSSLLSSASDHP SANSRGSGDNDSSPLSRMSSGLVVPKMIPMTITQFQHLRTNHVSSQVLVADLGLLLPQ FQPLI H257_15846 MLTTLYTGLASVMLEARTPNLQQSGVKILMRCKWCKWDKWVATA DPPLTETDVHQLVFQLGYMCVQSDLLHDYTAFFRTTLHKLTVYLDKHGGASKASISER RTSRLQRLESRRRQSNSIVLHPVGNGGSSSSLLSSASDHPSANSRGSGDNDSSPLSRM SSGLVVPKMIPMTITQFQHLRTNHVSSQVLVADLGLLLPQFQNKFHVVETMANSIQPL EYAPPLRIHAVPWMNPKASYNPPPADHQDPSALDASQLGSGTTLGDIERLVNHCTRAM HRSSLRLDGNARTNQQQGRNDDELLRRSMSNPSLRQRLQQQQQRGGALT H257_15846 MLTTLYTGLASVMLEARTPNLQQSGVKILMRCKWCKWDKWVATA DPPLTETDVHQLVFQLGYMCVQSDLLHDYTAFFRTTLHKLTVYLDKHGGASKASISER RTSRLQRLESRRRQSNSIVLHPVGNGGSSSSLLSSASDHPSANSRGSGDNDSSPLSRM SSGLVVPKMIPMTITQFQHLRTNHVSSQVLVADLGLLLPQFQNKFHVVETMANSIQPL EYAPPLRIHAVPWMNPKASYNPPPADHQDPSALDASQLGSGTTLGDIERLVNHCTRAM HRSSLRLDGNARTNQQQGRNDDELLRRSMSNPSLRQRLQQQQQRGGALT H257_15847 MTKKILFDRIRHILDELFVDVSDDKFEFSALGDLFQDSHFRLQD SFVKSDVFNALPLPFTLKVAYIGHIRIEGFWGVMTSGSALRCTISDSLFVFGANQHID WTDELQLRYAHELAVALLHRLWNRYHIEGKGPQKNESTKTWIKKRVTAALHNMTIQVE NTQCRVEIDADGSGGSDAATTDVVGFIMPKLSVFSTDVASAILCRRALPPQVPVLTEG MSKVVAIDGLQVYTSRIPTTQRSPDQWKALFHRQWPAEVHVAVLVPTDIKVKVDLEEC HVTRKLKTRAVDLHVNQLNVGLDPQQIDVLGRLLQHLDTHERYTRYRKLRPTQVVRAD VDPAPLVIVSFNVLPHIQPVEPTSASTSPIRAPFPARAMWKYAVRCVLQDVFPKRRDG QDNVLWLSKLILKYTDLYKRKMGSTYVEYVVRDLLVQHKQGNPSLPPVLPTYEPLSRA ELWHLSDLMYQLTPDRQLYCRALVDRIIRQEFVKRNAKQALSDLSRGSSSSVVRRGSL ERLGRVHSFLKDESAWNLVDVEAVLFDKSTKHLMPLAPSAAAVAASAQSSHKTDVMTD VVQKYQSKPMVHVDIGHIRFAFRHVDAAHAPRKKEWEFNCEFLRGCAAVAASPLLFVL LEVRLGSVTASIVGAPDSHDCLVVVDCISDPNDGCLYAGLRYDPSNIASEYAWKGKLM TGAIKASLSPCAVIKFQRTLSSKLHDSSLSLEEIATGRHKTFFCKPLHSVVKPPTTKK DRAKAFLEHALQLPTMLEVQTQAVAVEVRAPTHHVVANVLATPAWIPLVRDIALDAVE IADLHVPANRWAIAHDVAANTLQVDGLQHQVKFRNTRAGHAAAIQRLLHQLFPDLV H257_15847 MTKKILFDRIRHILDELFVDVSDDKFEFSALGDLFQDSHFRLQD SFVKSDVFNALPLPFTLKVAYIGHIRIEGFWGVMTSGSALRCTISDSLFVFGANQHID WTDELQLRYAHELAVALLHRLWNRYHIEGKGPQKNESTKTWIKKRVTAALHNMTIQVE NTQCRVEIDADGSGGSDAATTDVVGFIMPKLSVFSTDVASAILCRRALPPQVPVLTEG MSKVVAIDGLQVYTSRIPTTQRSPDQWKALFHRQWPAEVHVAVLVPTDIKVKVDLEEC HVTRKLKTRAVDLHVNQLNVGLDPQQIDVLGRLLQHLDTHERYTRYRKLRPTQVVRAD VDPAPLVIVSFNVLPHIQPVEPTSASTSPIRAPFPARAMWKYAVRCVLQDVFPKRRDG QDNVLWLSKLILKYTDLYKRKMGSTYVEYVVRDLLVQHKQGNPSLPPVLPTYEPLSRA ELWHLSDLMYQLTPDRQLYCRALVDRIIRQEFVKRNAKQALSDLSRGSSSSVVRRGSL ERLGRVHSFLKDESAWNLVDVEAVLFDKSTKHLMPLAPSAAAVAASAQSSHKTDVMTD VVQKYQSKPMVHVDIGHIRFAFRHVDAAHAPRKKEWEFNCEFLRGCAAVAASPLLFVL LEVRLGSVTASIVGAPDSYVLKLQLLLLLKHDCLVVVDCISDPNDGCLYAGLRYDPSN IASEYAWKGKLMTGAIKASLSPCAVIKFQRTLSSKLHDSSLSLEEIATGRHKTFFCKP LHSVVKPPTTKKDRAKAFLEHALQLPTMLEVQTQAVAVEVRAPTHHVVANVLATPAWI PLVRDIALDAVEIADLHVPANRWAIAHDVAANTLQVDGLQHQVKFRNTRAGHAAAIQR LLHQLFPDLV H257_15848 MRKSARQSEKSASSRSQQLQHLQNARRAALGLGVNADDEEEDND EVEWHPDDEDESSVSSGGEDDEDDLRPSHTKPRSGNLTRKRSLNAMQDGTISNDITAA AKRRERTDVTMSSNPKDPRQRRQAPVKSELTIPRQEKNPKDPRQRRAVGPRATDQPSS TNVKVALPIYTDSSDEDEVLLKKPKSILKRSQHTKSQLPLAPPSNATSQVVVSGGIGW AGSTVSQTTKPLALHKRPNRQRQAQLLRPVMSSSNALSQFYEDIMDWDVGAALVQDAH PSATAKQPDKNCCDDVVPSTFESFEHYFDVWKPLAVQEVHAQSINGLSSDLPPALPVT TRTNVLATVGVSTIKVSVLINRGANPTKKQLLQLDDIRKDDLVLLTPDNTYLTRRMRG PSKPDDMLLTTSCGILGIVDSQRSSREGLVVVVTSKKWRELSGGGHKDAAPPLFVFKL NNLVTSFREFRALCQCREYKLMPLLLSGKAQPPSTKLDSLGMAYVQWLRKTFNESQQE AIAAAATSHGFTLIKGPPGTGKTTTLKGLLNSLHLREYNRYYNAVLDVARRPDHETSK AWAAIGDEKPHILVAAPSNIAVDNIVAKIMEEGFCDGEGRQYFPNIIRVGRGANVNVK SVVLEGMVESLCSQPQEAVEMRCRQLQHELSVVQNDAVVLRNEFRAIIKWIHDLVDDA NKTHAAAVAIVVDDVAPPPIVDHHSAAAPPDATPAALAVYRSDSEDDDAAHVPFSCDE VTVHVGDDDLDEDEMDEPFVPVECGDESDEVDEPFANGATAAAAAEMHQDGDEEDVDE PLVPVDLAAGSAEDGECSDIEPPPPPPSDDIVTAIPRLPPSHEPVIIDYNAYKPYKDM AQRINLCLERFHSLKLELQRYAMVRRSMEAHGRVVKETQDTLESSFLESAHIVFTTLS SAGHRALDDSSRYDILVIDEAAQAVELSTIIPMRFGSRQCVLVGDPQQLSATVFSRTS AQSLYERSLFERLESCGHPVHMLRTQYRSHPTISAFPRQYFYGGLLQDGDNVRQPTYA KMYHGLAPAFKPLVFWNLVSSREAMSSMSRTNPMEVKLAVNLYLTLRNSCPPDAIRGK VGVITPYAAQMDELKRAFTVACNGEFHHDVEINTVDGYQGREKDIIILSTVRSDPRKG VGFLNDIRRMNVALTRAKFACYVLGSEAALQNSTPWAALLDHARGTGCLVNVPNPQEN LFTLVPAPPGPPRGVVIPHNNPRHHPGGRGGGPVHRNHHSFQGGTHGGGRVSPANRRG GGRGGGGRGGRGRGRFGGRGGRESHQSPHPQHNPPPHQLHQQLPYTPHQQLPQPPYHP LAPPPPPPHRM H257_15849 MIKFVLMVNKQGQTRLAQYYDFLPIAERVALEAEIIRKCLGRNE NQCSFVEYRGYKVIYRRYASLFFIVGVNNDDSDNELGVLEFIHALVETMDKYFESVCE LDIMFNLEKAHFILDEMIMNGQIVETNKLSILKPIHLMEKSS H257_15850 MGNGISGSLGGGHGNMGISLSLLPENEKFFGLENFGNTCYCNSI LQVLYFCLPFRTHLLTHVQHNGLAKKKLDVKDKSLLDCMAELFHKISMQKKAVGYVTP KTFVTRLQRDNEMFRGPMHQDAHEFLNYVLNAMCDQVESELKAAAGLSSPQSSVPPSP TSPSSASPSPYKTWVHEIFEGILTNETKCLGCGTITCRDESFLDLSVEIDPHTSLTSC LKKFGATETLAGNDKFFCDTCDGLQNAQKRMHVKRIPHVLAVHLKRFKYMEETQSFEK LFHRILFPAELKLPSVLTEAASLDSSKRYQLFGVVIHIGNGTDHGHYVSMIRCQDTWV CFDDESVQMVDDDMLEHCVGRGNTEDRTNTATGYLLFYHLIE H257_15851 MRLSECMCVFALCGWCVYILSYFITSTNVDIVRSLEKKSKSKAL AAQLHDPPKLGSHQHPTSLGFVVMGDFGTGTKLQAQVAASLGDFVEVTQPRASFVLST GDQIYDHGLISADDPILISKFEKIYKHPALQLPWYISIGNHDCEGSIDAMLGYAKRTK TLWRFPQRYYHMDHRIDNSTIVRFVVLDACDLVCGQEAPEFKDFRCTPNMDAQTSIAS RRRQYEWMENVLSMPPPKALGRDDDDVSRMWTVVVGHWGVYSFAGNGDTPELISNLVP LLEKYKVHAYFNGHDHAMQHVRKGNIQYFTSGAGGYVLHDLKPQARARPELVHVDMTN GFMWVQVSHDTFRVQFVDGATTEILYTTDVPFSHPQSL H257_15852 MQNSTSAPPSSSGEQRPAAGRRSPERRNKSRISVASRSILFSPP AFHQPPTPGAAVVAGGGSIGNGKATEDGGQQSTTTPMSLPRWKRKKKVDEAAPSPVHK SKKVVTNDRFIPNRSAMNMAASSFKITAHFETKAKPNSRDASTSSTPTPKKHASSSTT NTPIKPTFQACLAESLLGTSDLEHHRILTFKEKPVPPHDPASFQGALDVMYRHKAAKR GVCDKEKLVRHIPTAATKVLDAPELMDDYYLNLLSWGNNNVLAVALGPSMYLWNAATG EIDELMSLEGDDYICSVSWIQDGHTLAIGTSDATIQLWDAHAARHLRTLRGHSLRVGS LSWNQHVLSSGSRDTTIKHHDVRIQQPLVATLNGHDQEVCGLAWSPDGTKLASGGNDN MLCIWNHPSGSSSSARPVHKLHHHVAAVKALAWCPWERHVLASGGGTADRTIKLWNVQ TGSLLQSVDTGSQVCALLWAASDKELLSSHGYAQNELCLWEYPSMVKIKELTGHTARV LHMAASPDKMTVVSGAADETLRFWNIFAPPKKPAKKTAGFWDDKASSGKHPNRLSSHH PFTGIR H257_15852 MNMAASSFKITAHFETKAKPNSRDASTSSTPTPKKHASSSTTNT PIKPTFQACLAESLLGTSDLEHHRILTFKEKPVPPHDPASFQGALDVMYRHKAAKRGV CDKEKLVRHIPTAATKVLDAPELMDDYYLNLLSWGNNNVLAVALGPSMYLWNAATGEI DELMSLEGDDYICSVSWIQDGHTLAIGTSDATIQLWDAHAARHLRTLRGHSLRVGSLS WNQHVLSSGSRDTTIKHHDVRIQQPLVATLNGHDQEVCGLAWSPDGTKLASGGNDNML CIWNHPSGSSSSARPVHKLHHHVAAVKALAWCPWERHVLASGGGTADRTIKLWNVQTG SLLQSVDTGSQVCALLWAASDKELLSSHGYAQNELCLWEYPSMVKIKELTGHTARVLH MAASPDKMTVVSGAADETLRFWNIFAPPKKPAKKTAGFWDDKASSGKHPNRLSSHHPF TGIR H257_15853 MSKSKEMHGLDDVHQQAELLVLDYLRGSRCMKTMDALSKWISDK KKHRSSSALMSPTASDIFAKDVAANKATKEKANSVLEYMIGKRKSGSKSPKVSCSPEA FTDGKVDETTMASTTKGASKEWTKDDLSKLKKEAKKTQTIADKTERWKSVGATLGRSK RDCYEKYKELKKKPSSSSSKPSKKAVTVDVRSMLDLNAIDVAGAGTDGRASDDVEPSG ATAVPTNPNEQDMGMSSSPTIHAVNVHYAKDKTGPKSTGKNKIVDAANMVDDHPSEMW CGEVALSKPKARGSSVAPGDVRASRDYDDVAVMEDCDNLDEQDHAQADSAPPSRSSSS FPSRVSLSNSVIDSKQGRALPAAEVTSLRKLLFNDSKKKLGPHWTHQGFDFAQVEGLK YGIVQHEGGPCGVMAVVQAYVLHFLFQEDISTWDSVETPLVTKALARALAHIIWQAGS GSTCKVALIGGATPSLEHMAVSQLNSRHDLDQFIARHIDQFRESKGYGVVLVVASVML TRGLATVEADMDTATGTVPTLIGAHDYCTQEMVNLLLLGYACSNVFDGTKDLGGGDDA TSSSMVLRGVQKRSAVGFLTLFEAYDYMVVGDHLKVPVDNIWVVCSESHYSVMFADPA IAPSTSLAAFDLFYFDGLANQDEVIRLTISPMGLATKPDKAAQNRGDLIPPLNLVIQT KWPQATVDWHSVEPLL H257_15854 MPPAIATDVDFVLRLAKRDAIKSNHGIVEAEHFVRALYSTSETL LPQAIDGQANPVLEPVTADKDDDNDTSHATISPHFQLVLDNAVNMTLTEQLSTEAVVF SILMNNGKQKEKPLALLSPTAGAKKEEPSRRAIVGPVDAQVMPRFGGAPTFARLPRLD QVTFCDVAILGLPYDSGCTYRPGARFGPSAVRQASRILRPYHTTLKVNAFHDQQCVDA GDVCCNPFNVTETMTTVVDHVSELLQLPSRPRIVAVGGDHSISYPLLKAHCEAYGPVA LVHFDAHLDTWDAYFGETHTHGTPFLRAAEEHLFRPDASMHVGIRGPVYSSDDYARDA TLGFSMLNMDAIEDMGVAGVVKQIRDRVGQHPIYLSIDIDVLDPAFAPGTGTPEAGGL STRELFGMLRGLRGLNVVGADVMEVAPAYDHAEITALAAASIVFELISLMATSSAKIL SATCV H257_15854 MPPAIATDVDFVLRLAKRDAIKSNHGIVEAEHFVRALYSTSETL LPQAIDGQANPVLEPVTADKDDDNDTSHATISPHFQLVLDNAVNMTLTEQLSTEAVVF SILMNNGKQKEKPLALLSPTAGAKKEEPSRRAIVGPVDAQVMPRFGGAPTFARLPRLD QVTFCDVAILGLPYDSGCTYRPGARFGPSAVRQASRILRPYHTTLKVNAFHDQQCVDA GDVCCNPFNVTETMTTVVDHVSELLQLPSRPRIVAVGGDHSISYPLLKAHCEAYGPVA LVHFDAHLDTWDAYFGETHTHGTPFLRAAEEHLFRPDASMHVGIRGPVYSSDDYARDA TLGFSMLNMDAIEDMGVAGVVKQIRDRVGQHPIYLSIDIDVLDPAFAPGTGTPEAGTL TCMIYEMID H257_15855 MEVRQADTTDAAMSSLGLGKGDSVPYPVTDEVEHIEANKQVAQA AAEEERQRRMDEVRCLESESAIVREINIEYAIAAMETERTRRVSQHNLASVHNELLRE KSKKEVHQLVEEERGRRMSQGNLAAVHDEIHREQAKQVAVMQMEQERSRRMSEGMIAQ VHTELLRVQSKRAVVEAMEAERTHRMSQDAIAEVHTHLLRRNSIDKVVELAEHERSRR VQELGDQVVANQSLRLAGQAAAVEAAESERRRRLSSIEHVTTMAGISAVEGSVAPVDM KKEEEVASMDMSAPVVAMSYPDLPVASATPDVEQKALHDLTVALADVVPLPTNSNHND DDVSKLQRIRLLRFLRGHKGNVEVAAAKYRANLVVRQEHNLDTIRDNILLGHIMTELD FPHNDKIRRYVPVVAAYDVQDDQHNVFVFEKLGAVDVHGLVVNVSDAEWLAFTLHELE FRSLALDRRSLEQGRLVRFTVLRDLDGFSLARLTRPALARLQRTVALASTCYPEYIHK SVFINTPWMFHTAWKGIQLWLDDAQRQKMIFLKRGDASGTLDKICPPASRPLLFGGTN HRIDLPATGLLGKDSYAMLRENGATEAEIRARGTLTVPFRVNANDTLCWEFCVQQYDV DFLVKFRTQGDGGAVELNVDGWDKARFVHGQVEAASWTAPSAGVAVLCWDNSFSWTRG KTLCYKASVAKLTVLTNDVADDAAALDLSGHTSL H257_15855 MPQCLPYAIDSGLGKGDSVPYPVTDEVEHIEANKQVAQAAAEEE RQRRMDEVRCLESESAIVREINIEYAIAAMETERTRRVSQHNLASVHNELLREKSKKE VHQLVEEERGRRMSQGNLAAVHDEIHREQAKQVAVMQMEQERSRRMSEGMIAQVHTEL LRVQSKRAVVEAMEAERTHRMSQDAIAEVHTHLLRRNSIDKVVELAEHERSRRVQELG DQVVANQSLRLAGQAAAVEAAESERRRRLSSIEHVTTMAGISAVEGSVAPVDMKKEEE VASMDMSAPVVAMSYPDLPVASATPDVEQKALHDLTVALADVVPLPTNSNHNDDDVSK LQRIRLLRFLRGHKGNVEVAAAKYRANLVVRQEHNLDTIRDNILLGHIMTELDFPHND KIRRYVPVVAAYDVQDDQHNVFVFEKLGAVDVHGLVVNVSDAEWLAFTLHELEFRSLA LDRRSLEQGRLVRFTVLRDLDGFSLARLTRPALARLQRTVALASTCYPEYIHKSVFIN TPWMFHTAWKGIQLWLDDAQRQKMIFLKRGDASGTLDKICPPASRPLLFGGTNHRIDL PATGLLGKDSYAMLRENGATEAEIRARGTLTVPFRVNANDTLCWEFCVQQYDVDFLVK FRTQGDGGAVELNVDGWDKARFVHGQVEAASWTAPSAGVAVLCWDNSFSWTRGKTLCY KASVAKLTVLTNDVADDAAALDLSGHTSL H257_15855 MEVRQADTTDAAMSSLGLGKGDSVPYPVTDEVEHIEANKQVAQA AAEEERQRRMDEVRCLESESAIVREINIEYAIAAMETERTRRVSQHNLASVHNELLRE KSKKEVHQLVEEERGRRMSQGNLAAVHDEIHREQAKQVAVMQMEQERSRRMSEGMIAQ VHTELLRVQSKRAVVEAMEAERTHRMSQDAIAEVHTHLLRRNSIDKVVELAEHERSRR VQELGDQVVANQSLRLAGQAAAVEAAESERRRRLSSIEHVTTMAGISAVEGSVAPVDM KKEEEVASMDMSAPVVAMSYPDLPVASATPDVEQKALHDLTVALADVVPLPTNSNHND DDVSKLQRIRLLRFLRGHKGNVEVAAAKYRANLVVRQEHNLDTIRDNILLGHIMTELD FPHNDKIRRYVPVVAAYDVQDDQHNVFVFEKLGAVDVHGLVVNVSDAEWLAFTLHELE FRSLALDRRSLEQGRLVRFTVLRDLDGFSLARLTRPALARLQRTVALASTCYPEYIHK SVFINTPWMFHTAWKGIQLWLDDAQRQKMIFLKRGDASGTLDKICPPASRPLLFGGTN HRIDLPATGLLGKDSYAMLRENGTVLCFPSF H257_15855 MEVRQADTTDAAMSSLGLGKGDSVPYPVTDEVEHIEANKQVAQA AAEEERQRRMDEVRCLESESAIVREINIEYAIAAMETERTRRVSQHNLASVHNELLRE KSKKEVHQLVEEERGRRMSQGNLAAVHDEIHREQAKQVAVMQMEQERSRRMSEGMIAQ VHTELLRVQSKRAVVEAMEAERTHRMSQDAIAEVHTHLLRRNSIDKVVELAEHERSRR VQELGDQVVANQSLRLAGQAAAVEAAESERRRRLSSIEHVTTMAGISAVEGSVAPVDM KKEEEVASMDMSAPVVAMSYPDLPVASATPDVEQKALHDLTVALADVVPLPTNSNHND DDVSKLQRIRLLRFLRGHKGNVEVAAAKYRANLVVRQEHNLDTIRDNILLGHIMTELD FPHNDKIRRYVPVVAAYDVQDDQHNVFVFEKLGAVDVHGLVVNVSDAEWLAFTLHELE FRSLALDRRSLEQGRLVRFTVLRDLDGFSLARLTRPALARLQRTVALASTCYPEYIHK SVFINTPVHSPFGLSTSTTSM H257_15855 MEVRQADTTDAAMSSLGLGKGDSVPYPVTDEVEHIEANKQVAQA AAEEERQRRMDEVRCLESESAIVREINIEYAIAAMETERTRRVSQHNLASVHNELLRE KSKKEVHQLVEEERGRRMSQGNLAAVHDEIHREQAKQVAVMQMEQERSRRMSEGMIAQ VHTELLRVQSKRAVVEAMEAERTHRMSQDAIAEVHTHLLRRNSIDKVVELAEHERSRR VQELGDQVVANQSLRLAGQAAAVEAAESERRRRLSSIEHVTTMAGISAVEGSVAPVDM KKEEEVASMDMSAPVVAMSYPDLPVASATPDVEQKALHDLTVALADVVPLPTNSNHND DDVSKLQRIRLLRFLRGHKGNVEVAAAKYRANLVVRQEHNLDTIRDNILLGHIMTELD FPHNDKIRRYVPVVAAYDVQDDQHNVFVFEKLGAVDVHGLVVNVSDAEWLAFTLHELE FRSLALDRRSLEQGRLVRFTVLRDLDGFSLARLTRPALARLQRTVALASTCYPEYIHK SVFINTPVHSPFGLSTSTTSM H257_15855 MPQCLPYAIDSGLGKGDSVPYPVTDEVEHIEANKQVAQAAAEEE RQRRMDEVRCLESESAIVREINIEYAIAAMETERTRRVSQHNLASVHNELLREKSKKE VHQLVEEERGRRMSQGNLAAVHDEIHREQAKQVAVMQMEQERSRRMSEGMIAQVHTEL LRVQSKRAVVEAMEAERTHRMSQDAIAEVHTHLLRRNSIDKVVELAEHERSRRVQELG DQVVANQSLRLAGQAAAVEAAESERRRRLSSIEHVTTMAGISAVEGSVAPVDMKKEEE VASMDMSAPVVAMSYPDLPVASATPDVEQKALHDLTVALADVVPLPTNSNHNDDDVSK LQRIRLLRFLRGHKGNVEVAAAKYRANLVVRQEHNLDTIRDNILLGHIMTELDFPHND KIRRYVPVVAAYDVQDDQHNVFVFEKLGAVDVHGLVVNVSDAEWLAFTLHELEFRSLA LDRRSLEQGRLVRFTVLRDLDGFSLARLTRPALARLQRTVALASTCYPEYIHKSVFIN TPVHSPFGLSTSTTSM H257_15856 MKSKAKTRMSMVDKHELYSRHFNDPGASYSDLAIWAATTFGPRR SRPNQPSETLLSTTKQRVFEPTAMLYHMSAAELIRKQASNYAAQLDVPNSDIPSYSKG WLYRFQQKHVLTSKIEHGEAG H257_15857 MAVESMEAERSRRASLDNIAQVHNQLVRVSTQKKVHNELVRRNS VNDVTEAERTRRMSLDIKAEVHAHLLHRASVEETVELAETERLRRIAVGRFQ H257_15857 MAVESMEAERSRRASLDNIAQVHNQLVRVSTQKKVHNELVRRNS VNDVTEAERTRRMSLDIKAEVHAHLLHRASVEETVELAETERLRRIAVGRFQ H257_15857 MAVESMEAERSRRASLDNIAQVHNQLVRVSTQKKVRQEVEDERS RRMNHQNIIEVHNELVRRNSVNDVTEAERTRRMSLDIKAEVHAHLLHRASVEETVELA ETERLRRIAVGRFQ H257_15857 MAVESMEAERSRRASLDNIAQVHNQLVRVSTQKKVRQEVEDERS RRMNHQNIIEVHNELVRRNSVNDVTEAERTRRMSLDIKAEVHAHLLHRASVEETVELA ETERLRRIAVGRFQ H257_15857 MAVESMEAERSRRASLDNIAQVHNQLVRVSTQKKVRQEVEDERS RRMNHQNIIEVHNELVRRNSVNDVTEAERTRRMSLDIKAEACWLPRRPHTDILSDQVH AHLLHRASVEETVELAETERLRRIAVGRFQ H257_15857 MAVESMEAERSRRASLDNIAQVQPNEHVTQLPILACLVHNELVR RNSVNDVTEAERTRRMSLDIKAEVHAHLLHRASVEETVELAETERLRRIAVGRFQ H257_15857 MNHQNIIEVHNELVRRNSVNDVTEAERTRRMSLDIKAEACWLPR RPHTDILSDQVHAHLLHRASVEETVELAETERLRRIAVGRFQ H257_15858 MTTPVAAIVDLQERLAKLAPKLALQPMPKIAVAKGKHGTKTSSA LRGVDLLLALCATDDQREQVVELVEKYNPSELELALTGAFDAKTNKFNLTKAVFLTDD QLVVHRFVELIELQLEDPESAAGSVALLLTENGHSAADTHVAEECLTIAYALQTLLRA FPSIPLAIQGLAVEIDDATNIVDVFGPLFFAPKKAKISDKKHTMEASHTPKKRKNRV H257_15859 MGAMISQLVFQPPRPTYTSCRQYTMLATSLHNRIATFYIKQEGA KYTLLFSHGNAEDLGMVYDWFREVSRRINVNVMAYDYTGYGISLGIPSEEAVYSDIEA AFAYLVNVKKTRPEHILLYGRSLGTGPSCYLAAKQSRLQAPVGGVVLQSPLLSIYRVA FQFRFSLLGDMFCNIDHVGHIESPVTIIHGTRDEVIPFWHGEELFVACQAAWRSLPLW VQDAGHNNIEAFLGTQGDAFFVHLRDFIALCHATNEVRAADAKLGGDPAPPTATQTTN VFTSMV H257_15860 MHLSYLCRMLGTRDVQRFMCRAGIQVGTDASMQKVQQAFAEFVR DVQKAAIASMEADRRLTVYAKDIATALSVHPSRIQLEDENDASDQDDDDICESSDDEC SDDEGTVDGEEYFDDSAYGEGEFSDADDSGAHDAEYADEDAMWDTSDDGYVRLDDAQM QVALSREIATPYVVSRNVLLQMWMALTTLPITRPALSALHSAVEHFIGRELVDGKLGS QLLYTVMERLVVQQTDETARLEAEVAECRAALAKFTKKRGRGGGLRQGSGQHNENIPP SSPPVGTNKSAKVVLSMDLDSTTPSKKHRFMNVAM H257_15860 MHLSYLCRMLGTRDVQRFMCRAGIQVGTDASMQKVQQAFAEFVR DVQKAAIASMEADRRLTVYAKDIATALSVHPSRIQLYGFDDIVEGEDSARDSEDENDA SDQDDDDICESSDDECSDDEGTVDGEEYFDDSAYGEGEFSDADDSGAHDAEYADEDAM WDTSDDGYVRLDDAQMQVALSREIATPYVVSRNVLLQMWMALTTLPITRPALSALHSA VEHFIGRELVDGKLGSQLLYTVMERLVVQQTDETARLEAEVAECRAALAKFTKKRGRG GGLRQGSGQHNENIPPSSPPVGTNKSAKVVLSMDLDSTTPSKKHRFMNVAM H257_15861 MHKPMPRTELHPTACTTLAVVQYTYTRDATQHVTSTNDRRKCAF QFSGMCVHRDCTVRPRRRVGSHRQNNSPTRTYATPMAVARPANCWMSCVEPRYTCRRD SKAMAPNMTFLMRRTSSLLAGLTDMPLSTERGAGVPTSKPHRCSTNALWGSCWIH H257_15862 MATWPIAVWVQYGLMFLYVATSIPFFIDIRSIPRSNTCGMTYSA PIYKSLPFHAVDPSMAHTYAKYTFAQLFMDGADKRNDSQPLSGVPVLFVPGHLGSYKQ ARSLGQHLADLHANIDLFLLDFNEEATGMAGQFVLEQGFFLNEAIKEILAMYTSLPAD RRPSSVVVIGHSMGGIVTRTALTLPNYNPQSILTIVTLSTPHVAPPFNLDAAMTAVYA TVNSLRQPPPPSCTNATSPSCGHQDDVVIVSLAGGLKDFVVHSSLASIAHVVPPSNGF AALTSLLPAVKSSMDHLCILWCHEFMRVLSTALIRLVDPVTHQLRTSPSDRVVVLHDA LLGPDGDVWDHHLHVSRGFTTDEVAQTGGVLSFELPLYIFRTQYLVPFPLLALVALAM LATQVEWWQLDRPDTIPTFLALLAPSVHWSALVNHSLRQAHLGHQSRGIQMAGVGTAA VALVATLYALDQLYSAYIVLLCYLYLLGFLHVVTFALTAFVRMMPPSRVTISSPRLLT LLTSRLALAGFGAAVVAGGHVFFRAGVHPTRELALAVLACLAIHVLLVLSALVAPTSS RSVQHVTYQRTLFAFYFGVSPCWIGDLVYFADVVQFPRSLDLSFVLHVLRSLALLLPC VAHVYLSRQWMFPLPPDAVFARLQGGVRQRPPTSGSTSTQIVLAPDQCTECFVEDGGV GAVFEAVDTVDTIHLSDDVVVGPTFRVVACDCGLRNLPSRDFCLFCKRLCSTCGGGEG ARRQAHAFRDYMNNVQDQVVAHQGIPLFLWIVLVVGFGLVAQTPHVLLYVGTAVGAIF SLYHTGLRGPLDTVKATGASSSTPWMEDTRK H257_15863 MAPPPPPDRILLEGVLTCASAGPLPSRIPSRPVADSVQSEQGSG QVQLLQLGSSGRFVLRMLDLVVPNVKRQHLYVLLCRTKVTSLTKSFLSTFERSAERLL LADGPDGQLKGCHLRSFDHPLDHIMDVRRIQSIVVCKPATAKPPSVVADLHLFANLVP YAHMTPALEQSLADKASLLAAKQAQDEDIAHEDVNNLQHAELDIPTLQACAHELFRMF DRDRSGTIEFAEFQRMLAYRHINLLEPQAKRFFALCDQDKGGSIDEEEFVAALYMTNY LKTRRVTPHLTPDDVFAAFDEDRDNCLNLLEYELALKTLRVQAPKAAILRRFPSTTRL ITLEAFQLAWVALVDVPAECAKRKLLPLPPPPRLMNRVLHPRQRTRQLQAHLLAALAA QAAEEVAAAVAARDVVLEIGRLRAAERQAAQRQILHLKRGEEVELKTKEALREREEKM QRRRARNAKVKLVQEEKRLVAQVDADRERRKQMLMSVRTEALVRRRDDTAARRAARGD DELLLANRRLADIPPELFHGKAALLELSNMVIVDLAHNKLTHLPDNFAYNLDSVQKLD VSFNHLEVLPADIGQLHALRLLNVRGNRLTSLPASFSRLDQLEIADLSSNTLQSLRLA TDHNPNQVTKALVSWANLKMLHVLYVGDNALATLPMDLSAAPKLAHMDLVGNPLSRLP LTFRDCASLVTFDVSKCSLKHLSTEFGSHPLLQVVDMGHNMLSHLPPSVAGLTAMQQL SIVHNELLALPDAVGGWGELVMLDASSNRIRVLPDEIGCWRQVEALHLNHNSLVSLPR QIGCLVHLHTLHLRDNALVELPLDIGALSALRHCDLSRNKLTALPSQFGFCHALRTLD VSDNALETLPSSVGMWMALETLHLQYNRLMSPLPDTVVDWMALRVLDLSHNNLTHLDR AICALTRLESLNMAKNRLEFLPADIGGMAGLQMLDLYHNALHALPMELSSLLPTLEVL HVDGNPMSTLPEKWCTRWRLQDRYRTQFAHGYSQPEALEWTQDHAIYYPVVVATWNAH ADEYMAHVLLVGAFLDAVRTTLSDSWQPRFEKPVKTHFFEFKYQGHPTLYDDADDDVR EAHRQQEAAQEAARDAAAAATSSETNALEHVLDERYAVNVVEAEKKSAVKREIYRLKR ADDQHRTAKALQGYIQAHAGQRNDEEARRHQLAQHEFAERLKLEALQQEVELQRQYSV PDQTKWAFYHRTGRYLDDSMTTTVVAAHDSRVEEEQSHRGY H257_15864 MLLRSQSSSIEGSYHVGSSGDAMTPPLSSTYNFGTDDMLIDPRL FVSQAQWVDKSTRSRCLVCVRDFNPVWRKKHTCRMCGDVVCSRCSVHKHVDLPLKDNL FRICTWCFLRVRQSPPTLVAVAAVKEIDDDQFLDSDYTRSTEDVDDDQSEDDGMMYNV VEDFVDPDLELLKMREQELEAQVKASRLKVDALEAQIQESERHVNLTTKQQRELAEAR ALIADLQRQLQEKETAHYTARMTIHEDAFHHRMSLTGSTSSSRRPSSAVAPPLRHPPP PPSAPSATSVNTTSHEEDAARLRRQLAKMTRQMTQAGLNVADDIPYEEAKQRVAEISR RMQEIGSAEVVVLDNPRQQAALRKEYFQLEQDMEKYNTALMVSDEYMAEQAAVAKRWE DRHAVANEAALRTLRSCVPVDMAMLSEKQLTAQLNSPDLARKLKRANVLGLCRMDPST IQRMHPSLVEGYRVVGLSVLERRALHVVLAAPMAEWKKQAKDELAKRKLAWAVKLRDA LVAAMATYDSHLGHATEATHVCNQRHQCPVTLEAKARALYATDLGYPQDAVYYVHEVV QATSSSSPSDPPSQRDDAATEGGTQERLALVKRHYKGNVLQVTQAMGAIEEMNATLLA LAAAETALVLQHGKDTERLAALVLSARDAIASMAKRSGICLVGKRDRAKDKVDCRSSV EASVAQMLAAYVDDMRDEMEVHMLCVDPVHKCVAWLQALASLVPDVKARNAAKMIETG EAMAGHSAGVKRTSWKDIKVGATGVHADGKARLRSCPATLPPHKAAGNGVKPGPPLNF LEELKKAKAAKASTPANDMLAKIRARRNSKAESTEQSSSDRTTKFANTA H257_15865 MTPSQVAARKERPSLDPSTAQRLANLLKYPFKLGTSGQSYTRRS MSVDVDHRAQERWASPRHSRSFHLDSLVDSVCIDEGVEEIDFLNGTTVALRDGSHIIV PPSHVPSSVPSIHSMHKHPTNVCILTVQWQSGALGLMLQNIENRPVVKAFTDEVDWHT NPQLTQLLPRDELVAINETSVILLGFDAAIDRLRHVEKPATLQFRRVLVHDSTSQGVV PHASPSDESFLLSSPIWGYGNTSSSRTSLYTPPTSMVPILQQHSMPASDVDIDDARES LGKTELFPLSTTHNVFTMLRWSGEPLGIALQKHPTSGHLEIKLCTGGGLSASHGCLAV GDVLVSVAGVPMQTFGLATCLDFLNATQKPVSMVFRRHGANPPPPRTEPPNSANNTLL TDDDDQAPQEYTVEWDVNMSTRLTLQPMFCKHNLVLIVLNVHASSMPQERVQVGDRLM AINGLVVNELPLDTVKAMLAVTAQLTFHHHISTWTV H257_15866 MQNYRAMKKKPSRGGGGSSIPEKDKSNPSNGLPVRSADSSFLKE NDRLTSSASNDSRRHARSNATSRSDRSLNDSGMSDRRVVSNGSWNSNAGNNTDRRVAS NASMNAADNDRGVRRPPRTNALLPQDMPRTKPVGPSVDMQEPEPTPSTNQYDLIWHQG DLALQFSLNAKHRIAICQIETNLPKETTAGLASASLGDVLVALNWEDVTALSPGDVQR RLNHADLPMTLTFQSLSQQPAISRLKRAPSLSRMPPLQANEFEAVWTSGRLGVVIACD AAGRPVVREYAKEASTDPGVSEIQPKDELIFVNDIAVGEIGYDQAIAALRHAQKPVLL RFRRDAEGGQPRRQRELAPTSGRPSHPPQPPQPGKPSHGRSSSPKIDTYAVVWREGPL GLVLKKNDVDDIYIKELKKKGVALLHQAIMSEGDVLVSITGVLTKSLGFTGTVDFLKA VQKPVELVFQPIKQTAGQQEHTHRRESSVAVSNDVAAKYMTSRSSKHIPSATATQTYD VIWHRGKLALSLRYASSNRTVIRKITSGDLETTANVEAAAVGDVLVAINWDDMTSAPY EHVVARLKERDFPLTLTFKRSTSDQTTSQMLPPGEFQVTWRDGKLGVRIHCDPDGRAM VRERTEPTTDPDLAQIQAGDELAFVNGLRVRTIGYSDALVEMKKPKPIQLRFRRRRVS SSTRLDTATPPSAPASALEPAEVDLDSVYPSVESPRQSLAVAAAVQDEMYSVDWTGGS LGISLRMNDQSEIFIAQLTGRGLALECPEMAVGDLLVGVMGVPTTPLGLAGTVDFLKC IQKPAVLTFLRRTSNALPPQEMPAKDGAQTPVLESGPLAPEPPLSPPPLVVSAPPKPP LSPPPLVVSAPPETLPSLPSPLPTTEDKAEHSSWSFARDSSLDHGSMPLSPSNQLNIS LGASSSRTGQIVMSPHEVPDRTTPPETPPMTLLQRPVSTPPQLMLSPLQHVSFGPQTT PPTSASSVDPTPTSPAHHAMPPPHATPPDSPTTHRAPSIVIFRPIPTRQVVASPTHSV SSDPVVSPQISFNEPTPQSTSIVVSQSANTTSSSAWGQEPATPPGTPPTQSMMEPYFT YADPTQPPSALVLPSTPALPPAAQQQTPPPTLLRTEPPSVGAIEPPAAAFAAPVGTTI LPTAGTPPITPPPSTSSLPPMPTLDLSNPPDVSSPRDVYFDDRGASIADLPDFPRDTT FSEDSFAYKLPRHDRVFEVPYTDVSSQDLTQTPSFEYDRAHRNDADGGEYGYEVVESE FSSPRELPTPPSTSSVEWDIARENLTPTHHTPTAATSMVPLYGCQMSTSQSDASSLDS LDLSDYQSSSGSFSSSSAADILSSRSMTIDFLPGHAPLRRSHSDSHYATATTAAANAA IPPHLSTVSLEDDDVDIDDIDDDDSIQEDYSTSAPEDMPILSTSSRSMSARSISSVST PPPSTHATAADRDSTTNDPKTLYSILWRGGPLGLAIKRNKNDEISVKALTGGGLAGNS DIIQPRDVLVQCGTTIVQYSTLPETSRVLKHAKTPVSLVFLRRQQQTARHSGASSASV PTLDMSTSSYDNALGGIFGGGSSSSSVSDGLLDPATPRRGSIYMPKRLTSSNKHSTVY SIVWEGGPLGIAVTKNSDDENCVMRLTGDGLAAQSTIIRVGDVLMAAGDVQVSKVSLT AAMHVIQLARKPTFLVFRRMGDDEDV H257_15867 MARMASEGDEYEEEEEKWSQWFCGLPGNEYFCEINLAYIEDSFN LYGLRAMVSNYQDALNIILDLTDIPYDDDVPSCAAELYGLIHARYIITSHGLDAMLKK FRDGDFGFCPRALCDGQPVVPAGMYDEPKKAEMKVYCPKCRDLYTPSVDFESTTIDGA YFGSTFPHLFFLTYSNLEPPPSTHLYVPRVFGYKIHRKGPNRHRLATTAAKALENGDQ RPGGGDVQPDKDDGAAIQANSDQASRSKKRVKHET H257_15867 MARMASEGDEYEEEEEKWSQWFCGLPGNEYFCEINLAYIEDSFN LYGLRAMVSNYQDALNIILDLTDIPYDDDVPSCAAELYGLIHARYIITSHGLDAMLKK FRDGDFGFCPRALCDGQPVVPAGMYDEPKKAEMKVYCPKCRDLYTPSVDFESTTIDGA YFGSTFPHLFFLTYSNLEPPPSTHLYVPRVFGYKIHRKGPNRHRLATTAAKALENGDQ VEDNDTL H257_15867 MARMASEGDEYEEEEEKWSQWFCGLPGNEYFCEINLAYIEDSFN LYGLRAMVSNYQDALNIILDLTDIPYDDDVPSCAAELYGLIHARYIITSHGLDAMLKK FRDGDFGFCPRALCDGQPVVPAGMYDEPKKAEMKVYCPKCRDLYTPSVDFESTTIDGA YFGSTFPHLFFLTYSNLEPPPSTHLYVPRVFGYKIHRKGPNRHRLATTAAKALENGDQ VCRSLSDVD H257_15868 MDIEIAAPSLHRRKPTDDLHVDCSDAVLAKSSEGVMSPATACSS SDGDDTCNVFVCDVCLNECDLSDAVTLICGRSCSATMCTACTHTYITVRTASVIPGVL AKLNCPTCLVPVNLRRWHNRLAAFAPKFDDVLSQFCDKVERSCDVKCPSCHINRSQLP PHVSSVPPIKMLPTLAAHIPRLRELGRAYCNHQLSAADVVAFAQTTFGQLGDIILEYL VQLIDDRERRATLYLRLRRTNPLILTLCCNAAVCFSCHVAGHHDGGPCGGVAPTDQVV ECESCGLHLVKGDGCDWVQCYCGLEFGWTSAAMATKMRRLEPRHLAALRCMIQPFRRL VFKRKLFRQVLSKVPELHLAARQRSIEAELSRNAELKLALRHRLCRWVQRIRKHRVFR GMMSDVLVMQAKYFWVNHWATHADEWHELDDETSSFMAMDV H257_15869 MRGEKRKRTDVNNDGIHPRNMYRNPPDFKALAKAYPSLQPYVHE SNIGHTTLKWSDPQAARELTRALLHRDFGITTWHVPLNRLCPPLPNRLNYIHWIEDLI LFSQSSYVSTEDAPIWGVDIGTGASVIYPLLGHSLNQWRFLATDIDAESIEYAAANLR SNHLEHVIHLETVADGHDASILPTASIQRRCRHDGPVLFTMCNPPFFDSIDQADTNPR TACTGSASEMTTPGGEVAFVTRMIQDSLLLTSQVRWYTSLIGRKSSLRPLLAILRSHG IPNMRTTEFLQGRTTRWGLAWSFTTYGSTTPNEHGHKVLAKRREAKRRQALTFHLPQS FPAVSTGHCASMDDVHRRIVDSAALLESAEMGIAIREEHVGDDGSLSTPCRTYELVAT TTNEQGLRRTVLFHALARAQVSTDDKQHAIGFDISLEWRHGVARDRFWTFSDKWKAAI LRTGRRWRKQASCESNTKRSVFGCITIVSSELDDMEAQDMSEHAHNQAADDLESPVEE QHDDVKRPQVDLTEVGGGETTKHGDTEPAEPASVEHHVHVNDNDATVDNAPTPLNSSS SPSVPVDAWEEGEVEEEVPYVKPTTATSHTSASLDPVDETELGPSVAAAGILAQAPPG TLTPLLEYQQGYHGVSYESTSTQLPKVVIRDPSKSIKTSDDIRRHFEAIGGVQGLTWS ADSGSGDVTLADLATLNAVFALPEHTIGDTTLIVSPMPPPVDPTLVEQLRQTYEGTGV HLSNVMHGMTEDVIKAEMSCFGVITSIRRCLKPIEEAPYGYGFVNYATSRQAAHALSA GTLTIHGVEVKVSAIKKDYQRYPGGGRGGRGGRGFDGGRGGGRGGRFFGGRGGRGDGF RDGGRGRGGGRGDFGGRGGGRGDFGGRGGGRGDFGGRGGGRGDFGGRGGGRGGGRFGG GRDGRGGRGEFGRGGGEYGRGSGPGYAPAQPAYGGGGGPAYNAPPPGSYDAPSYPPHH EPHQPYGGYQPSSYHQQHQAPPPSYNAPSSYPPPQYPPNHDQHQHHHPPPPTSSYGQP PGGYGGGGYQQTSPPSYPPASSYNQPPPHVYDAPQRSYESSQPHQSYGRSQEYAPPRQ PYATAPPPSSSSPGYYNAGPTTQGYTQQSPPPRSYGHPSSEYGGYPSYHQPDHRNDPP PPSYGPARSQGSNYRAGPYDPPPPSSSYGYR H257_15869 MRGEKRKRTDVNNDGIHPRNMYRNPPDFKALAKAYPSLQPYVHE SNIGHTTLKWSDPQAARELTRALLHRDFGITTWHVPLNRLCPPLPNRLNYIHWIEDLI LFSQSSYVSTEDAPIWGVDIGTGASVIYPLLGHSLNQWRFLATDIDAESIEYAAANLR SNHLEHVIHLETVADGHDASILPTASIQRRCRHDGPVLFTMCNPPFFDSIDQADTNPR TACTGSASEMTTPGGEVAFVTRMIQDSLLLTSQVRWYTSLIGRKSSLRPLLAILRSHG IPNMRTTEFLQGRTTRWGLAWSFTTYGSTTPNEHGHKVLAKRREAKRRQALTFHLPQS FPAVSTGHCASMDDVHRRIVDSAALLESAEMGIAIREEHVGDDGSLSTPCRTYELVAT TTNEQGLRRTVLFHALARAQVSTDDKQHAIGFDISLEWRHGVARDRFWTFSDKWKAAI LRTGRRWRKQASCESNTKRSVFGCITIVSSELDDMEAQDMSEHAHNQAADDLESPVEE QHDDVKRPQVDLTEVGGGETTKHGDTEPAEPASVEHHVHVNDNDATVDNAPTPLNSSS SPSVPVDAWEEGEVEEEVPYVKPTTATSHTSASLDPVDETELGPSVAAAGILAQAPPG TLTPLLEYQQGYHGVSYESTSTQLPKVVIRDPSKSIKTSDDIRRHFEAIGGVQGLTWS ADSGSGDVTLADLATLNAVFALPEHTIGDTTLIVSPMPPPVDPTLVEQLRQTYEGTGV HLSNVMHGMTEDVIKAEMSCFGVITSIRRCLKPIEEAPYGYGFVNYATSRQAAHALSA GTLTIHGVEVKVSAIKKDYQRYPGGGRGGRGGRGFDGGRGGGRGGRFFGGRGGRGDGF RDGGRGRGGGRGDFGGRGGGRGDFGGRGGGRGDFGGRGGGRGDFGGRGGGRGGGRFGG GRDGRGGRGEFGRGGGEYGRGSGPGYAPAQPAYGGGGGPAYNAPPPGSYDAPSYPPHH EPHQPYGGYQPSSYHQQHQAPPPSYNAPSSYPPPQYPPNHDQHQHHHPPPPTSSYGQP PGGYGGGGYQQTSPPSYPPASSYNQPPPHVYDAPQRSYESSQPHQSYGRSQEYAPPRQ PYATAPPPSSSSPGYYNAGPTTQGYTQQSPPPRSYGHPSSEYGGYPSYHQPDHRNDPP PPSYGPARSQGSNYRAGPYDPPPPSSSYGYR H257_15870 MGKAQKEKAAAKAAASATMFGRPPPPPLAPVSASAPPRPTLAAA PREFTTTSFIPGSGPSTPTAASRSTSILSSASEDEPDDDSYRTLLTDEEIAAFVASDV PSRMDFVQNLLDKVSTPASRSIRQSAIDDLVKAVELLGVGSYSGIFVLNEVQRFVTDK SANQREGGLLLLIGMSKCDLISSAIEPILVSLFVPIMERHSDKEPQVRDAAVRTVMAL TNTVSPLATRTILDEVFKGLTLKAWQSKAASCDFLRHFAGAAAHEISNSLPEIIPQLS NVVWDTKPQVKAAALAALTATCSTITNDDVLPLVPVLVNVIANPEQSLKAIDSLLATT FVSNVDAPTLALIAPLLNKALRDTTTNSSALRRKASRIIDSMCRLVSRPVDIAPFVPL LLPQLDSVIERIADPEVAEVAADARSCLKRAAGEGRAQEDPSKARTALQAALMQGLHD ALDIATHPGLTEVALHYITDICAELVTMNRGKEWRGFVMPYLLPHMQDEDADHVCKAL RKAGGGLGDDREVSVDPNDVCDIDFSLAYGGKILLRNARLRLTRGHRYGLVGKNGVGK TTLMRNIANHTIEGLPTHLRTIYVQHEDQVPDAGSMLDSLCADPDLAHNDRQAVVNVL SSVGFTQEHLDGTVAALSGGWRMKLSLCRAMLYNADVLLLDEPTNHLDVHAVAWLVSY LNSLDTMTVLLVSHDTGFLDNVCTDVLHYEQKQLVLYPGTLAHFVEIHPEAKHYYELA ASDCQFIFPQPGRLDGITSTTRRILSMENCSYTYPGAAKPQLHDVNIKLCLASRVAVI GANGAGKSTLIKMLVQETSPDSGEMWKHHNLRVAYVAQHSLHHVEQHLDSSPVEYIQW RYGGPGGIDRELDSRVNLAQTDEEKSLVGKSTGQVEKIMSRRLVKKTLEYECKLVNMT ERHNKFYTLDQLIEMGLGKLAELEDIRQATLAGGGDLRSTTTREVQKHLDDFNLLAEF GTHGKILGLSGGQKVKLVIAAAMWTRPHLLVLDEPTNYLDRAALGALADAIRVFAGAC IMISHSDEFYNSLCTEKWLVESGRVRVIGEAQETEYRLGGGKKIMEEEEEVDPSRSGF GSSNDKMKVTGVIINPKSLRAMSTKDVRKMVKCAKAAGRSIEDHVAQLTRESPEWKWL PY H257_15871 MKKAKGKIKFCAQSLQAKFALRRMYMSGVDLSQQQLEFVVDFLE RETIVHRMQWWQRLTHTERLALAKDIKLKYVHKGETVDIRTNELKVSYVVLRGSAEGY ILDRPNQVPVHLRDGSVFGNLHFNNAVHAHTSHVGWVGDPTGTSGPKSKFQKVVLRGP VDCLVLSAENLVESATALAHVTTHNCLHLFGMSILEPYVRYRSFDAGATIVHQGDAKS NFYIIVQGTAKATFKDDSIVAADRPVVSSLQLPGASPRAPYPKELEVAILGPQSYVGD ISSLFDLPEPVTVKCTTDVDAVYFMLDELYEALKPFPTVHHRMQYVAFRTLEFIVERL QLLFGMAWSSHSKTHDTLTTALADFHLPPLPPLSNDEVPVADAPASLKPGSPTTKSMS PQRQSPKKLPTATSTDPGFQHVQVDTRDMTPIEPTKDMILAMHSMHSSFRKSAASTML VQPPLLQPTDYLQFRQQDPHSTTAPLPPCIPPPRKAKAKRTTNQLFLFPTMTKQRQYH TRSLPLLQKCSGIETPWCQPQK H257_15872 MIRFFLLQNRQGKTRLSKWYVPPPPDLEKPRLESEIHRLVVARD AKHTNFIEFRSYKLIYRRYAGLFFIVGVDLHANELLCLETIHLFVELLDQQFSNVCEL DIVFNFNKVYSMLDEYILGGEVQETSKREMLDRIRELEKLE H257_15873 MVKNVRITYRRRHSYATKSNRIVPVKTPGGKLVAHYVKKHSHGP KCGDCKQALKGIKHLASKAYKNIAKSEKTVSRAYGGSRCAGCVRQRIVRAFLIEEQKI VKKVLLEKLSKKKSEKTA H257_15874 MWTPAVISVLALLPFCAPSSTSFEASSPAPPQFPLQFEADLEIT AHLLDATVAYPPSLRKMKIRYDYTQGLARADILAGYDKGKSYIRRYDTKQEYKVKYGK YANCERAYLGETMPRPELPQELVYKGNENVLGQECELWTYSIPHTVLRVNVYNSIESH APIRLTQESETDGGDWAPIITYDLVNVKLEPQNPTSFDIPGGYTHDTCTRSVVGFPYI HIFDHYARF H257_15874 MWTPAVISVLALLPFCAPSSTSFEASSPAPPQFPLQFEADLEIT AHLLDATVAYPPSLRKMKIRYDYTQGLARADILAGYDKGKSYIRRYDTALRRSKSTRS STANTPTANAPTLAKPCHDRNYLKSWCTRETRTFWAKSVSCGHTASLTRCFASMCTTA SKATRRFD H257_15875 MPRRDPKKPSDDAKSVDDDEVEGIDDTQEDQVTASAASSEGLNA TEDDGNGAPNSTEGDAPKVASDDESGSKTPPAKTMRRAKGKRPASTPANESDNEHDVE QEKATPGAEVEEGNESTPAPAVPQRRSARAHNPTPLYTSPSVAASKRDAESPADTSSQ SKTPSGRPPRPTKRTLSVHAGKPQPQSKKTVKQVDTEANDDDDEGEFMLYEAVKSGSA NMATLVREWRSRFEASADAASRELINFIMNACGSTSICVEEQDDLDELDLMSFVDTVV VSLQASNQLVYPLASKVKGFRKFKDSFATFWGQWIEECWDSDLIHNTDIVEKVVDWLN SLSSSEVRAIRHTTTFAAYAIGNALVARARQLTDQLVPINRQCAAVADTSKTPKSSKK NNPKLARLLELKGTYDEQLANTQTYLTSLFNGVVVHRYRDTMPELRLESVQTLGHWIH TLPEEFLVDNYLKFLGWMLNDKSAKVRVAVVNALQTIYSHEDNADKLALFTSRFMRRY LEMCDDVDDDVVLSIVELIAKIDRLNLLDGESDLTVVERLVFDADSRIRRSAAEFVCL QYDAFGVTDDDMTEAQLIVQAVALVEFAEEYNDKDNDDSIDWLVSAFWDNEDCQVLSN WRLLTVLLGSDTHEPSLSSHQQTLLIRLLTGVVKQLVAAGATSKKPRQKRGDDSEPMT VFFCREIPTLMLRFQSEPAKLCLLLQLVSTLDWDDATIMNQHKKHVEDLLGRLKHAYS THSDERFLEELSRCIHGLTASKTALTRQAAVLEQELMRDAIDHTTALLAQDTKTKDSE FALQAWLARLHFLSGYINVKDVEVVGNVPAALVALVTERTNLLDVAGPRLSSSCVRYA AHILFKEFLWSSVPLFDAIEDVSSPVDDALTTAMDAFVKRRDVLDVLLFRLLSVHLSE PVTPFTDHVLDTPELELTDDQIPYVNGLHETAFLLLSDLRCLCAQRFEAAVAPFNRLA YQPHKNLLMLSQAYYERIMEQEDDGNDGVRDRVVVALACTSLCNPQNKRQAASVLQQM TSDAFQPLVKAFGKRLMGVSVVKYLEIQMLSLQQSFEEASSPTATVDLAKVLNQSLGT KVPASVRGSLLKFMSEGLRYGLETPENGSFLAALKPYLARLDKAGTKALHGHFVKLFA AKQGGDDEDIRLDPAVADMEALLSGEKDLVVAPPKPAATLKRARDNLSDDEGTSPRWT TTTRRSRNLSDDEADENDPRGTPPPQSFGRQQSPKARKPRAAAKPTSAAKEKDTQAFS NKAHAAATPTPSKKESDDDSDNDAAAQPSKQPTKTPPSKTASGKKSHVTPTRRSTRSK VVVDLNYGEDSDEEPSRSARDEQEEESSPSRKRKPIDESATATTSKDEDDIAQGDDDD EVVGFRPKRRRQ H257_15876 MVVPRPGIASTTQLATTQLHHRSLHTKKCVEVGFAYCPPNVSLT SLIKTNKLPDVTSIAGFQSMQLHHVSQVTTLLNTDHAKFDLSLHWTEASVAHWLLPRS NVVDAFVVVDVGTNRVTDFCSYYHVPMSVLNHPQHTTIYTAQSFYNVATSVPLPDLVR DLMVKAKANNMDIFSAADIMNMDEVLAPLGFEAGGGHLHYYLFNWRCPQMTRRNIGLD GQRAID H257_15877 MPAAKRSEIIAPSSASNQSDAADFHAVLKQLNLAPVSAPSSKPP AFKFWRTQPVMTLHDTLSSSQDHGYCDQSIPKSKVRATPLKLPEGFAWSDFDITNPSE ANELYKFLAAHYCEDSDGRFRSDYSLEFLMWALTSPGYVADWHVAIRHTSSGKLMAFF AGTPKAIRIHDDIAPSCETNFLCIHKKLRNKRLAPVLIKELTRRSNLQGVWRAVYTGS SRLPTPVATTQIHHRSLNTKKCVEVGFAYCPPNVSLTSLIKTNKLPDVTSIAGFQSMQ LHHVSQVTTLLNTDHAKFDLALHWTEASVAHWLLPRSNVVDAFVVVDVGTNRVTDFCS YYHVPMSVLNHPQHTTIYTAQSFYNVATSVPLPDLVRDLMVKAKANNMDIFSAADIMN MDEVLAPLGFEAGGGHLHYYLFNWRCPQMTRRNVGLVLH H257_15878 MSRNIAFSRPATYNPSLVKKGSTVDDDEDAARRQQLKEELGLTE DDDDDGGESCRRSGGGASDEWRQRCDDAMAKLKIALANEEKAQSSLSKLQLLSKSQTA ILKSTFDKKLKSKETIVLDLLQVISDHESKLQKSGIPFDAFEGPIKEDVTATVGPDAT VDAFATLKAQVDSLTDENVSLRSKLTSATAFPATTSATGNPKLEKDSPQLLKKIATLE NEKQSLLQLLKDAQLKLSAQKAAKPTSSGDATPPPAPPSVVNTDEFKDLERKLAKAEG DLKKASQKSEAAEKELATLKSSDTSSTDKIKLAEAEAQLTATTAKMTDMKMELEASFQ AKHDKLKQAAEADLAKLKDQAKKSILDLRKKLETTSRSSADVNARLAKAKSDITKHKV ELKALRGDVGALMTTLGAAAKDMAGKIEGRVRAQTDALAGVVENYKREMKERKRLFNM VQELQGNIRVLCRFRPISKSETANGSKVVTKFTGHEEVSLVGDKGKSKAYEFEHVFDM ASTQDQVFAQVKPLITSVMDGFNVCIFAYGQTGSGKTFTMSGSADNPGINPRALTELF ALKDSRAKEYSDDINVSIMEIYNEVIRDLLADNAASTNLSVRQGTNGNFVQGLTMVPV KEAADVFGLISKGNQNRSTHATDMNEHSSRSHSILSIYVKSVNLATNTVANGKLHLVD LAGSERLSKTGAEGLRLKEAQNINQSLSTLGNVIQARANKQKHVPYRDSSLTYLLQDS LGGDSKTLMVACSSPVDYNAEESFCTLNFASRARCVEMGKATKHVAKATKE H257_15879 MGKACRDMAEALRDCMCEKECMSDGTKTLKECLRAKEFRHECKE YRLAYFECKRGQVDMRQRIRGPKGGATAI H257_15880 MMDCRRPASVRARSFCVLGVFDHAHFSSILSWFKDDRVKLEAII MNKYENDIYRPQVIRKPSVTANTLSRMGSTGDGSGIGTNKVALRMLYEAMSCMHDVSG RVTKLEASMAKLTEAMVVLRQRPAIARTLVILALS H257_15881 MSEGNEGVNYLGMIRILRIPRLAGIFRVLKFLKNTEVFMWWLFQ YSKGSIVMYFLRLLATTLCIVLVMACSCYYAMMMVVMGQDILPSLRLCFGNVSILVES LTSDSDTYHDKMEGMYESMQDEGLPHELQQRVHLYYTYLWQQYHTLDGKPAHFVSELS TNLQREIMICLNARMIRSVPMMQECNPEVVQEIVLSARRLCRVGRGNGHGNVWTARFF IQRGECEVIMAKE H257_15882 MQQRAVKVVPVPTVVVPSRRKSSQGSYKGRNLIGSDLSSRSFTV KTSWGLEARAKSIDPSFKAGDSLRKRVAKLESELVGQKGPVSNDTEPPSRAASAVGKL KKLASRRNSMTRRTEEAHHEMRRRSPVSGADETADASSSPPWLPTIHHTSTVLRVWHV TLAFVIFYPMIYIPFNMGFNPTIQGTPWQTVDRVTDMLFVMDILVNFNVAFYESFPDD DQRHILLRYSTDRAAKDKFPTHALRCITFMVWLYPLEAAPFS H257_15883 MPSKVDASLPGRVVCFGSGRVAYPLVELLTRNPTTHMTLVSDDA FQLATFADRIGQLHRTNLTTEVMSAVDTTASLALITDFLKRNYFACVVALVPEDAQYT LARACIATSTPLVTASYVSPRLRHLHQAAVDANIPLLCECGLDPGLDHMGAVSMIASI QASGRGVISKFTSVCGGLPAPESADNPLGYKFSWSPLGALKALQRPAQFKELGRVVSI AGPDMLSHATPVRTVPALALEQLPNGNALPYADLYGIPDVPTIFRGTYRYRGFSAIMQ DCVALGLLSTASLPPNVDIKQWSHLLEIVLHDNNPTDKQLGQHTTAFFAWIGDQVPTQ DATTYLQAFANVLEQRLSMDAEDRDMVVLTHAVEVDIGDGAVEVHSASLMGYGDREGT FMARSVGVTAGVVTQLVVNGKVLQKGILAPTTPDIYEPALEALAKEGITFVEKVTCRK VAKL H257_15884 MSSKHEDDAHVEEVELEVKDGDKSSVELVTVEEQEEFLRVLKQL NLVSAPPAPKKAESEYKFWKTQPVPSITENPVDHAPIDSIKTVDDVRATPFKLPAGFG WYDLDLTDGTETKEVYDLLCQNYVEDDDNMFRFDYSIEFLQWVLTSPGYHKSWHVGVR NDKTGKLMAFISGIPTKIKVYENLMPMAEINYLCVHKKLRSKRLAPVLIKEITRRVNL LDVWQAVYTAGVVLPMPVSTCRYYHRNLNPKKLIDVGFSQLPPNMSMTRTIKMFKLPD EHDLANFGPMQPKHVSCVTGLLRRFQKKFDLKADMNESEVAHWLLPRAGVVNTYVVED PLSHKVTDFCSFYHLPSTIIGNTTHKTLFAAYSFYNVATTVPLTRLMHNCLIMAKAAN LDVFNALNLMENSEFLDELKFGMGSGELQYYLYNWRCPRMPHNKVGLVLQ H257_15885 MDFEELMQEVESAVQDTPPATKAHHRHTIMSHVDKQPSSYSAKT TPLPVNSKSDLDDLLDIVDGPDTISGSKRSSNHPTTSATSTSHIKQPTSSTSNNMAFS ASSKRCNAVLLAGSNVKHGVSTSSVNPQACSNLRCNECDFLVVQFEHTKWADSADYMF FRENAPNEAKLRRKMESAQGAFAYACQCKWATVTTKASPDSLHVKWCCAGH H257_15886 MREVISIHLGQGGIQVGNACWELYCLEHGIQPDGQMPSDKTIGG GDDAFNTFFSETGAGKHVPRAVLVDLEPSWSHFHW H257_15887 MREVISIHLGQGGIQTGNACWELYCLEHGIQPDGQMPSDKTIGG GDDAFNTFFSETGAGKHVPRAVLVDLEPSVCDEVRTGTYRQLYHPEQIISGKEDAANN YARGHYTIGKEIVDLVLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGALLLERLSVD YGRKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVMLDNEAIYDICRRSLD IERPTYTNLNRLIAQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVI SAEKAYHEQLSVAEITNSAFEPASMMAKCDPRHGKYMAACLMYRGDVVPKDVNAAVAT IKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLARVQRAVCMISNTSAIAEVFSRID HKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVSAETAEGEGEEEDAG EEY H257_15888 MPKQITDIRDFLQKARRKDARSVKIKKTDKVVKFKIRCSKYLYT LCVTDTEKADKLTQSLPPGLQRKDI H257_15889 MRSSRNGADFDSNLHQTDGPRSTSRMLRSVLLLSLAVGLIHAQA CEVPKENTLTSTCFGLCAPRPTTGSIVASCLVLGVNDAKNGCTNQKDGTCTENVGGGA CTISCLQPLTSELDSTWAIRVGVPTRQDADVGLVQAINDVDITPSTTTIRIAPRDDVV IPTSELRFVQTSFRQAISVTTIDIERLLVTNFTSLTILSKLKSLRLSNVGLAQAVDVE SPTMSETLDTVDLSGNKLRELPLFLFRNKNLQVLNVSGNPLVNVHLMASELQFLQALQ TFAAPVLTLTMPCQVGFVSTKWHASVLCVQDDAGKESSNNTRQDNPLGYVLATTAPSS AEDAAAASSNKTFLVLIGTGTCVVVGVFVYLAIFMRRPRMRVKHPEFPRGSLTSSAGG GGVDSSMHVMEATDKSYYVAQTVHLPEDDDDDDCITTAPHWSSSRSTWTVQSFRSLFT EIAFPDLVVSEVAPVVLVHDSFDMVRGKFKGKRRVHVNRLKPMAHDKSFVFLSLLSTL RHPRVTAVVGVSWRPVDPSTGGIQVDVVCEYMDGALLQTYLGSTCKDTWRTGKLQIML DVSLGLMHIHEHNYVYEHLSPRTLLVDSARGCKLHTVAIALKAPFPSTSSTLLHVAPE VLKGEQPTTASDMYAYGVLAAHVDKITSEGIAFSPQCPEMVVQVAKSCLQVDPQQRPS ASFVYAMLRRQASFIEA H257_15890 MRYVRSIVQDTRNKAVSPALVLRLRHGIVGMIQTVRMARVVVMD KAFVQVDDRATGEHHHCGDHHAHVRVTGALLMRYSVASTRFPLAYPCLARANTSFPTV RAPVTSRLPCSRRVAWSQSMSNFSSYDKLRLRRNLTNCLIRAAVEASIALTSDSILAP H257_15891 MTYGAKIESDVNAMDASTAARIKQFVKLRRRAKKTVQGVLAEFN TLGDLSVAEPPSNTTNHRTTVLKTRAVRDLVRTFIRDRSVTRTRTVGKDVLALLQEHN VVSVDVSCKKSYGSCLRAVQSYLAKQGYARGKRVGATEYRNEQGA H257_15892 MVKQPQRKGIFMGIVAYLIWGLSAVYWKQLVKVPAMQLLCHRIV WALPVAAAVILVTGHGPNGSFRSFLASLKRTVVGFYAATATLLGVNLFVSIWAANAGF IVELSLGYFTSPLVTVLLGVLCLRERLRMWQWVAIATAGSGLLTVTFVYGKFPWIAIV LAMDFGLYGLLQKKAPLLSVQGICIEFAILTLPSATYLVFEQYQGTGAFTTISVGYDV LMVGLGVLTITPQLLFSTAIKAIPLTIMGLLQFIGPTLNILIGVVIYHENFEWTKAIG FAQVWLGLVVYTYDLLRRGAKNESSAVIIDPTTATRPTITSAADIVSLAKGDTSSSGD HLVVSATENKM H257_15893 MMQRATSLVAVNAPKHVAAFSKAAALVETPRGGPSSGSGAKIAG RSRFYKAVGIEAEGDGYCITLDGKKVRTPSRSLLQLPTKALAATVAMEWDAQREVIQP TTMPIMTLASTALDHWDFEFIIEELMKYLQTDTICFPVESQHQEKLATRQEKKWQPLR KWFETEFGGELDINYGTITKLQHDAVAVNNVRTFVDSLDHFELMAFRLIVRECKSMVV ALALFKRHITAKEAIELGRLEEEYQIERWGLVEGGHDLDRVNCSVNVHSASFFLWLLK ERSP H257_15893 MMQRATSLVAVNAPKHVAAFSKAAALVETPRGGPSSGSGAKIAG RSRFYKAVGIEAEGDGYCITLDGKKVRTPSRSLLQLPTKALAATVAMEWDAQREVIQP TTMPIMTLASTALDHWDFEFIIEELMKYLQTDTICFPVESQHQEKLATRQEKKWQPLR KWFETEFGGELDINYGTITKLQHDAVAVNNVRTFVDSLDHFELMAFRLIVRECKSMVV ALALFKRHITAKEAIELGRLEEEYQIERWGLVEGGHDLDRVNCSVNVHSASFFLWLLK ERSP H257_15894 MDSNQFLIDDVMDSDDLGLIDGSYPFLANMSSMSLLQQQSQMQQ QHNQAMPPMHNQRSKGPLTHSNMPTSNQYLHHNQQQQLRSGHMSPGRIDVDMMNQNNP AQANQSSLFQAYASQFGLKQPTMQMEGGVNNNQHGDTSVEAYAALMGVKLESPRSMHK QHYQANDMSRAQLNAQFFESFSQQSAMPPSAGGPSGHLHGQNNMTSMTLPAGIGGGFH MNHHLQGLVPVDTTSAAAPAQLRAADHHAFDAKHTTADALVDGNVRIKAEKSFQFGGA VGSQTLSPEMLSSMIQSNRQSTADMTSQSFGSGGTWNASDVDFDKDSVVSKADKSRER NRDHSRKSRLRKKAFVECLKTEVKQLQMYKDLCEQNADLIAMVSLDQDRKVTYLTASY NRVLGYHEKKVVHDDVSMFDLMHPDDVARVRSELNRVTKYQDILGVPYQPKHSKGMYW KGELNARMCDQGIVLTTRVQRQPLAKA H257_15895 MLPLRKITLLLLSTFVLAGDGIPRFDSIKRAVPGHGLILESHVA KSHPDRIVNVEMLHEDGHDPAFHLLQDDDVVHSSHSHKVELSGMAPGRGGRYVAASPI AAGEEILSIPMDNVMSAQSAKEGRIHLLVDANPHLPPAVVLALHLLEEKHKGPSSKWH SFISTLPKTLHSTIFLSDDEWDLIQGSHVARLTETRRKAIADFYDALESPLTSNIVDP PFFTPAQFTLKSFQWAMAAVWAHSILVPKLQVDPAEDADQFDAVLVPVVSTLTPCHDC DNRIQVEAGHFTLIASQALAQGDEVQFHLGTNSMALYMLNHGFAPATPSSADAVAVGI QVEPSDPLLLFKNQILAMMNTTMDVSYAPAYGMSTDTILASMLPSMRAKVLATSEMDQ YQRVVDGHIVSLRNEHAVCRALLQTVHTMLAQYITSPDQVNHLLSSAVLETEQTKDLL RTLQVEQEILITTEQAIQHHWLQLLVDDSLLSATTNAT H257_15896 MHWTAIAVVLLARIASTSAFNDPRQWLIQTTVQLHGADGITRDH ELRIPCCVDVQREGVKFCESGDLLPSECGLFKAYLMGLQEGNQACHCPALAPHYVPKQ LKSLPFDVQHATRQRIYFQLSVFEGDTQTLSDKIPAVCTQFDLDVDSCSQFAAAYTQQ VLDAEVAHASDQLVALFPYNPQPLEALQRVIAHLQSALADEQRRHQQVSRAYGALRLE LQLQKNLHATALATDKAKQVNSSPLIATDSNAEVQGSCTAAMAGIKSTVPTIPGNSSS TTSVHDSIKTIPIRRFDSRTLSYAEYRHLATHSVPFILTHAKMLPFTPGVPPWSLHVL NATCGTRSAVLKHQCPHKSTWADTLYFMAGIESVTYAALHEFLYDIHHRKHEPWPKSL SSLYLHDESVTSFCPDLLQSFVIPKYFARDALQHTCTKNTRYWPSLFVGDARTSSGLH VDWGATSAWMGLVQGRKRWRIAPPSARPFLYEQPGADGKFDADLMAPNMTAFPLLRHV PVYDGVLEAGEVMFIPADCPHQVVNLELSVAVAMNLVDHANIDAHTRHVRHQLLEAAG MGHSAVAGQYEHVLASLETTARHWKEDHDQDEPVAITFADFKQTSICRHNFWIV H257_15896 MHWTAIAVVLLARIASTSAFNDPRQWLIQTTVQLHGADGITRDH ELRIPCCVDVQREGVKFCESGDLLPSECGLFKAYLMGLQEGNQACHCPALAPHYVPKQ LKSLPFDVQHATRQRIYFQLSVFEGDTQTLSDKIPAVCTQFDLDVDSCSQFAAAYTQQ VLDAEVAHASDQLVALFPYNPQPLEALQRVIAHLQSALADEQRRHQQVSRAYGALRLE LQLQKNLHATALATDKAKQVNSSPLIATDSNAEVQGSCTAAMAGIKSTVPTIPGNSSS TTSVHDSIKTIPIRRFDSRTLSYAEYRHLATHSVPFILTHAKMLPFTPGVPPWSLHVL NATCGTRSAVLKHQCPHKSTWAGIESVTYAALHEFLYDIHHRKHEPWPKSLSSLYLHD ESVTSFCPDLLQSFVIPKYFARDALQHTCTKNTRYWPSLFVGDARTSSGLHVDWGATS AWMGLVQGRKRWRIAPPSARPFLYEQPGADGKFDADLMAPNMTAFPLLRHVPVYDGVL EAGEVMFIPADCPHQVVNLELSVAVAMNLVDHANIDAHTRHVRHQLLEAAGMGHSAVA GQYEHVLASLETTARHWKEDHDQDEPVAITFADFKQTSICRHNFWIV H257_15896 MHWTAIAVVLLARIASTSAFNDPRQWLIQTTVQLHGADGITRDH ELRIPCCVDVQREGVKFCESGDLLPSECGLFKAYLMGLQEGNQACHCPALAPHYVPKQ LKSLPFDVQHATRQRIYFQLSVFEGDTQTLSDKIPAVCTQFDLDVDSCSQFAAAYTQQ VLDAEVAHASDQLVALFPYNPQPLEALQRVIAHLQSALADEQRRHQQVSRAYGALRLE LQLQKNLHATALATDKAKQVNSSPLIATDSNAEVQGSCTAAMAGIKSTVPTIPGNSSS TTSVHDSIKTIPIRRFDSRTLSYAEYRHLATHSVPFILTHAKMLPFTPGVPPWSLHVL NATCGTRSAVLKHQCPHKSTWAGIESVTYAALHEFLYDIHHRKHEPWPKSLSSLYLHD ESVTSFCPDLLQSFVIPKYFARDALQHTCTKNTRYWPSLFVGDARTSSGLHVDWGATS AWMGLVQGRKRWRIAPPSARPFLYEQPGADGKFDADLMAPNMTAFPLLRHVPVYDGVL EAGEVMFIPADCPHQVVNLELSVAVAMNLVDHANIDAHTRHVRHQLL H257_15896 MHWTAIAVVLLARIASTSAFNDPRQWLIQTTVQLHGADGITRDH ELRIPCCVDVQREGVKFCESGDLLPSECGLFKAYLMGLQEGNQACHCPALAPHYVPKQ LKSLPFDVQHATRQRIYFQLSVFEGDTQTLSDKIPAVCTQFDLDVDSCSQFAAAYTQQ VLDAEVAHASDQLVALFPYNPQPLEALQRVIAHLQSALADEQRRHQQVSRAYGALRLE LQLQKNLHATALATDKAKQVNSSPLIATDSNAEVQGSCTAAMAGIKSTVPTIPGNSSS TTSVHDSIKTIPIRRFDSRTLSYAEYRHLATHSVPFILTHAKMLPFTPGVPPWSLHVL NATCGTRSAVLKHQCPHKSTWAGIESVTYAALHEFLYDIHHRKHEPWPKSLSSLYLHD ESVTSFCPDLLQSFVIPKYFARDALQHTCTKNTRYWPSLFVGDARTSSGLHVDWGATS AWMGLVQGRKRWRIAPPSARPFLYEQPGADGKFDADLMAPNMTAFPLLRHVPVYDGVL EAGEVMFIPADCPHQVVNLELVRSNLRATLAMIGGYE H257_15897 MAAPTTAPPRSPPSVARKDTRALSLHASILLGGICILAVAIRLF SVAQWGSVIHEFDPQFNFRTTKFLATNSFSEFLNWFDDRAWYPLGRVVGGTLYPGLML ISASVYRILHFLGLPVSILHICVFFAPFFAAATALATYALTFHVTQRQRTALIAAFFM SIAPAYISRSVGGSYDNEGVAIFLLVLVFYLWVRSVDSGRMVDAAVTSLAYFGMVLSW GGYVFLINVIPIHVLALVLSGRYSPRLYVAYSTFYILATLFAMQVPFVGFNVIQKAET LGSHGVFGILQIVAFAKWLESLLGVHIHRLRAAIVQVGLAGAGVVVVVAVVLQVTGVL QWSGRSLTLLDPTYASKYIPIIASVSEHQPSAWSGFYMAFGPSLLVVPLGLYFLFEEA PLTSAKLFVLLYSAFAWYFAGVMNRLVLTLAPATCVVAAVGVSNTLDTLFFYLRRDKP ASLSESHGFPGEEAEVAAVARDLERKRAAVVAQYGNANSIPAHLLEQPDVFGDSIALL IHVVSFNRPMPGRNRKTPGGLQAVVVGVALVLVWQLLHASSVANRAYSSTSLVHESRN RTTGAEIVHDDFREAFGWLRHNSAPDAVVLSWWDYGYQLSSYANRTVLVDNNTWNNTH IATVGRVFASTEAAAVPILHSLNVDYVFLLFGGASGYGGDDLDKFSWFLRIAQGVFPD TVDLDKFLVNGYADAGESATAAMKECLLYKLSYYRFDEYVADPTKPVKGFDRNRQRQQ RTSPIHLSHFEEVFTSEAWIVRIFKLRRDILH H257_15897 MAAPTTAPPRSPPSVARKDTRALSLHASILLGGICILAVAIRLF SVAQWGSVIHEFDPQFNFRTTKFLATNSFSEFLNWFDDRAWYPLGRVVGGTLYPGLML ISASVYRILHFLGLPVSILHICVFFAPFFAAATALATYALTFHVTQRQRTALIAAFFM SIAPAYISRSVGGSYDNEGVAIFLLVLVFYLWVRSVDSGRMVDAAVTSLAYFGMVLSW GGYVFLINVIPIHVLALVLSGRYSPRLYVAYSTFYILATLFAMQVPFVGFNVIQKAET LGSHGVFGILQIVAFAKWLESLLGVHIHRLRAAIVQVGLAGAGVVVVVAVVLQVTGVL QWSGRSLTLLDPTYASKYIPIIASVSEHQPSAWSGFYMAFGPSLLVVPLGLYFLFEEA PLTSAKLFVLLYSAFAWYFAGVMNRLVLTLAPATCVVAAVGVSNTLDTLFFYLRRDKP ASLSESHGFPGEEAEVAAVARDLERKRAAVVAQYGNANSIPAHLLEQPDVFGDSIALL IHVVSFNRPMPGRNRKTPGGLQAVVVGVALVLVWQLLHASSVANRAYSSTSLVHESRN RTTGAEIVHDDFREAFGWLRHNSAPDAVVLSWWDYGYQLSSYANRTVLVDNNTWNNTH IATVGRVFASTEAAAVPILHSLNVDYVFLLFGGASGYGVVLIILLSLCSLHCGLVFVL PNVSIIIAGASCTDKATTWTSFRGFSASHKACFRIRWIWTSSS H257_15897 MAAPTTAPPRSPPSVARKDTRALSLHASILLGGICILAVAIRLF SVAQWGSVIHEFDPQFNFRTTKFLATNSFSEFLNWFDDRAWYPLGRVVGGTLYPGLML ISASVYRILHFLGLPVSILHICVFFAPFFAAATALATYALTFHVTQRQRTALIAAFFM SIAPAYISRSVGGSYDNEGVAIFLLVLVFYLWVRSVDSGRMVDAAVTSLAYFGMVLSW GGYVFLINVIPIHVLALVLSGRYSPRLYVAYSTFYILATLFAMQVPFVGFNVIQKAET LGSHGVFGILQIVAFAKWLESLLGVHIHRLRAAIVQVGLAGAGVVVVVAVVLQVTGVL QWSGRSLTLLDPTYASKYIPIIASVSEHQPSAWSGFYMAFGPSLLVVPLGLYFLFEEA PLTSAKLFVLLYSAFAWYFAGVMNRLVLTLAPATCVVAAVGVSNTLDTLFFYLRRDKP ASLSESHGFPGEEAEVAAVARDLERKRAAVVAQYGNANSIPAHLLEQPDVFGDSIALL IHVVSFNRPMPGRNRKTPGGLQAVVVGVALVLVWQLLHASSVANRAYSSTSLVHESRN RTTGAEIVHDDFREAFGWLRHNSAPDAVVLSWWDYGYQLSSYANRTVLVDNNTWNNTH IATVGRVFASTEAAAVPILHSLNVDYVFLLFGGASGYGVVLIILLSLCSLHCGLVFVL PNVSIIIAGASCTDK H257_15898 MLAMKTLVLLLSTTLVMTKDLSSISCGFKVTIAVNNPPYNAQWC AQCSRSTYQCFEVRPTVRIDIVDTTDSVAATTTDDVLMASGSFGTLGDLGPEAPKLVT SLSLRGTSASSRDFQFRFDAVAPLTLLTSLNLTNVTVGQPATPTQLPASLATLSIDRC AFPSTGVTLNGTQLTTLVITNSDLTNTFVLDVSSTPQLQTIDLSTNHFTEIPASLLTF VKTSPTVRINLKGNPINMTNTRQSIDASTLSSFILDDTLPPSSPTPPPSTPAVPSAPG SSNDGAIVAGSAAACAFVILVGLTYLYIDRRRRRKAPPLLGRTTSRRTSSSLGGNDGD DDGGGGASGEYKSEPVTTPRFAPPPLSTSSSDEASFPLEDHRGYAILPRTELRSLKKS SCGLFTAEYNSTIKVLVQKLELNVMADAEASDNLGTSASSRLFVRRLPLLASLQHANV LPLLGAIKLSSTSVCALFGPTSGVSTTSTSNSSTTTAVWSLAALLSQDPTKRKSEAIT WERQKKWCLDVARAAVYLQDVQWEDGSMQVPWTASDFVVVDDSARTCQANLMGYLDVS GVPPVRQFGTNVLAWTAPEVVRHEDPSQGSSSAARVFSMGVVFGEIVTRVRPHAASWR ARGPVRADVAVMHLLTDTITPIVPFEFDANVPVEFKTLVSRCLERDPLKRPMPSEVLF RQGINCITALLPMDRPSSAHPRGSDSRKWGRFNNVAKSNAIKVTAPTPINTPSLKMEN SVQGQLGQTSGGSKMGWSEPQAAAPVVQQQPVATTPETTPVSSKHAVVVPWILKRTLL QQHHHEPTTHPALSPVREHETATGDIFRTTSGRWDDDAVDETHATAPVSPAASARYEA NSGKWGDEAIEDGMAVQHESAKVHIPPHECPQPYDAFTSKVCRAKSCQRSGESLHGRA GNVHHHHDRGQYRPTDAPVPAWTSGASPWRQSTADQPSVDPPVRILKREHNHKMLFDH KSGHMIPTDAKASTNSITSDQRSAKTAATTAEEHAVPTPVVSPNQDPPSKHHHSLPFP APPPSNRVNDLAHNHPLAQPASPTTKKKRMTLQKKANTAKVKDHKTKHKHSSSTATPK EEELAPPVGATTAHVRRRSVTKAGVALVYRVKKNTSVQSAVPSVAIEIHEAARSSTTL HQQQHERNDLDTRINSTGHTASKKHFKGEHKTVSQQLVDGGSQVSRRSRRNSHASPRP KNPSTPTTSSSTTTQRL H257_15899 MLSLTDLFAEHTQLLVGFALAFLIVLYLATPKKHAGKNLPPVLP YWIPFVGSFPSFAKNPIEMVLAGYKLKGPCFTAKMFGQDLTFLIGPLAHEAFYKPNDD YLSQAEVYQLMTPVFGPGLVYDATPKRRAQQMQFMANGLRVSRMKKYVEKIRMETEAY FGAYPAESTVSLKKAFAELAILTASRALLGDEVREHLFKEVSSLYCDLDGGTTAFSFF FPYAPTPAHNRRDAARAKMVSLFTGVIKARRESGKRADDILDTFMNSEYKDTPGVNIP DEHIVGLLIALLFAGQHTSSLTLTWAVIELVMLQPTMIEKILHEQHQVLGDAGNDGLT FDNLNNMPVLHACIKETLRLTPPLILLMRKVMKDITCGEYTIPAGHLVFSSPAAANRI SDYWSNPDSYDPMRFLDGRNEEDKVPYTNVSFGGGMHGCMGQQYAYIQVKIILSIFFR QFNVEVLDKNFPKQDFTALVVPPVGETLARVTRK H257_15900 MKPQLKNNLILAGGLATFAISVFTYTVSQLSKDDFAGLDTVKVN VHESGIKATVTKPIDASATK H257_15901 MSARITKQLLKASTTMIDVPAKKTPKGVAKPGTNVKVVKSSKKP KRARHYLEEAETARSTADNTVKNLRIIKRATNPKAQAVMQKILQASLKK H257_15903 MTASIQVGDVVVAALLKLVRGLSSNQLVYLILVDARRVRTEEGS KEIISLLHTPSVQIRRTEHLFHSTKDETKQRNVEVEKYFAKIAYLLSDVIVLVGDTIV VYKEYFLRRGFADRGTAKLSYKVQGDDFTVVINDESAEGQSLGAVLQPRGSSTTVPTS TACMGGHPPEYSRQVVQSRRNAHPPPQMLIHHLDAFHVRRPTYCTHHMLGDMTSKQRL MRRDISVDERGWFFLLLSIVAGHTSAFVRVYKNRTVRCMAHISILTKETMIKARDRRR AHRTSERVLRSGNVKRLSPPNLPPTYFCLSFWDTSFLADMHRYWFDDSTKERHWRVLF YG H257_15904 MARSCCQSMCLCARCFSRTRHTSEIVPSWNWYLNGSTPMLPSGR SSAIKYLYTERSQTLDHELETMMNEFSAGYKRKIAQLRSTGQHRLTEGKSPIFVSDIL ALGLSVSELGSHSFRKGVATELANCPGGPQAVSIWLRAG H257_15905 MSAAPYHHQAQCTSDVWSLSCPSLEDDTAYALALAAQFEAEDSD ALAMASADAALAHDLAAASSAGSYRSSHGTFSFDDGDSDRDVAQALINSRGSATFDEW ATEYQVEPTPLINEDAASMALAKALADQFDHEIAIELTTQDIDEGDDATDVADHRWET ADLNDEPTPDDTSAAADTPVVRSRNRRVSWFAQSSKSSMRKGVRIALD H257_15906 MTWQAQQRPARRFPVSSPTVVFSDGGPLAAMVLVRVDLWVMVEG IVWSGFDGIGYVLDASRGYVPVCRAAVTSGLAKVVVTIVAAQDVPVTVRFVHPVLNLP SLSTARTCGQKS H257_15907 MWSTESVIPTTFKQKGAYFTSFGGSLMCPETRASGMITLFQLIK RDLACGMVVTANLYPTTDILLISVLASRLSLATQSQVDVACWHNSNYDRCRRWYVTQS TRLVRELVHPTDIALMEALASAASRKVIPLRIALMQYVRDFEASQLQIGRSLDG H257_15908 MGAVTVITEWAVSNLQGVQAPEIPATFATRGHIDGMNMLELNRV GGMVWVGRPLLLLWGFTALCLLSTSMLDVEPAGYTYTAFRVPVVPWYKTSLSAGEKTR LVYILNDVSTIWTKQYTLHYAPMSSSLVWLTTVILTQVNPVVHNVIVDPKCKLEQMNF QMVCQRGVVVIGSSSRLAWLVGIICISSAVSYVVIRVVYGSPLQTKPCSSLLLCSGAK YLFDKRRWTYRDGRRIGIAQWSVKCRNGNTSYVVDIKLWRSFAIDLDDDLPPRIFYAV PLAD H257_15909 MARGHVVVAVSLLFGVATGKSEIAGKDKVNVWDVSFWVLVAALV CYMAKRLVTIYDACHPNKAYRHDDFKYVNFYTHRINKPKALLASST H257_15910 MTTITTKDKRRYPVKEELTNLRVEAWHLETKLMDLQRHHLGSVW KQVAHHEAQARHNALQENAALKQDLDVASHWIHVMQTQPTTGLTLSPLITSRSPPHTN HSHHSHHRHRTNNNVGHAYS H257_15911 MVATFAMIVVMVAAPFASLASADASDDCFSRVKMTLNANASLVA SRSNCFVAAVYMTSEAYFASKPDDNAIDVVAANANCTKWFNEMTAAFRAIDPPCAVQN PPDVFRYAITGSTRDFNLTLVEYLKSMRQAALAPKRKSQAPATGQIIWLSVMASLVVL VAL H257_15912 MPETGHAPQSNHHTTIALLQHLVNAKQGGSCNVSMGYSPSPRGK RLLQEQLNQIGHEPPHRLASPSSRMQTSSPPQQQSTTLQHPTSPRLLASPRPSLPQST YERERKESLFKMQIRQVDEAALGAPRPVTNPTATTGLVVPSPPPSSTLSRRTSHKTLL AEVGAANKASQARFSAQEVTHAQQITHDVLCRSLAEMRNHQRVLGQPTPGQTSTSRRQ SDLQMQLHQTHELALHRVRHGRNIPRTSSIAVKLPPHGFDDADVFHVALQVAEQHRDL RKLSNVVQRQNQDHGGQTVVMSSASLPPSHHPVALPPSQQFPSQQPIASSPSSSGGFA SPRRHSTSPALVTLDLQHHYFQVMSTLHPDRKLPTNDHSVVVTGNEWLSIHEFIPLFC LTFAFSNTEWAAKCFPAYFASKQQYDKSPKLTLVDFAAKCRMLTNGADVDKARFVFSI YDHDRSGTVEVDEVFQTLQSDKEDLWDQVIFSQQLMGLVNPNHDGTMGFQDFCTACQK IPMFFTCFTGPLPVRLSMHPENVKYRLGLNAIRKMWSCGVKESTSNDNHDAIDSAGFK TVISYFFRFARSSAIDQALATRLFQSFSSRGDVVYFGEFIAGMSTLIQGTVEARGRMM HAVLDLDRGGTVTKNEIQMILRSRANILQHQEIKDLHLERNANEIMRALDENGDGDIS VDEFMAAVRRTPHVLEALQDILFSGCRLESDFDTDAWKTQTQKGLDRSSSWHRNLDEV VAHPDNLMHDFKKIFVTAVKKVSAATTTEHTPQGETPQACVSRHCTVVVTSHCAVRHD TTQRHVRVAVVMTQSQYNEIEKSSTTIIDNVLVPFTVTVLHRSA H257_15912 MPETGHAPQSNHHTTIALLQHLVNAKQGGSCNVSMGYSPSPRGK RLLQEQLNQIGHEPPHRLASPSSRMQTSSPPQQQSTTLQHPTSPRLLASPRPSLPQST YERERKESLFKMQIRQVDEAALGAPRPVTNPTATTGLVVPSPPPSSTLSRRTSHKTLL AEVGAANKASQARFSAQEVTHAQQITHDVLCRSLAEMRNHQRVLGQPTPGQTSTSRRQ SDLQMQLHQTHELALHRVRHGRNIPRTSSIAVKLPPHGFDDADVFHVALQVAEQHRDL RKLSNVVQRQNQDHGGQTVVMSSASLPPSHHPVALPPSQQFPSQQPIASSPSSSGGFA SPRRHSTSPALVTLDLQHHYFQVMSTLHPDRKLPTNDHSVVVTGNEWLSIHEFIPLFC LTFAFSNTEWAAKCFPAYFASKQQYDKSPKLTLVDFAAKCRMLTNGADVDKARFVFSI YDHDRSGTVEVDEVFQTLQSDKEDLWDQVIFSQQLMGLVNPNHDGTMGFQDFCTACQK IPMFFTCFTGPLPVRLSMHPENVKYRLGLNAIRKMWSCGVKESTSNDNHDAIDSAGFK TVISYFFRFARSSAIDQALATRLFQSFSSRGDVVYFGEFIAGMSTLIQGTVEARGRMM HAVLDLDRGGTVTKNEIQMILRSRANILQHQEIKDLHLERNANEIMRALDENGDGDIS VDEFMAAVRRTPHVLEALQDILFSGCRLESDFDTDAWKTQTQKGLDRSSSWHRNLDEV VAHPDNLMHDFKKIFVTAVKKVSAATTIRRRNIHHKEKPPKPAFPVIVPSLLLPIAPS AMTPRSATSASPS H257_15912 MPETGHAPQSNHHTTIALLQHLVNAKQGGSCNVSMGYSPSPRGK RLLQEQLNQIGHEPPHRLASPSSRMQTSSPPQQQSTTLQHPTSPRLLASPRPSLPQST YERERKESLFKMQIRQVDEAALGAPRPVTNPTATTGLVVPSPPPSSTLSRRTSHKTLL AEVGAANKASQARFSAQEVTHAQQITHDVLCRSLAEMRNHQRVLGQPTPGQTSTSRRQ SDLQMQLHQTHELALHRVRHGRNIPRTSSIAVKLPPHGFDDADVFHVALQVAEQHRDL RKLSNVVQRQNQDHGGQTVVMSSASLPPSHHPVALPPSQQFPSQQPIASSPSSSGGFA SPRRHSTSPALVTLDLQHHYFQVMSTLHPDRKLPTNDHSVVVTGNEWLSIHEFIPLFC LTFAFSNTEWAAKCFPAYFASKQQYDKSPKLTLVDFAAKCRMLTNGADVDKARFVFSI YDHDRSGTVEVDEVFQTLQSDKEDLWDQVIFSQQLMGLVNPNHDGTMGFQDFCTACQK IPMFFTCFTGPLPVRLSMHPENVKYRLGLNAIRKMWSCGVKESTSNDNHDAIDSAGFK TVISYFFRFARSSAIDQALATRLFQSFSSRGDVVYFGEFIAGMSTLIQGTVEARGRMM HAKSKTCIWSAMQMKSCGRSTKMATATSLWTSLWRPCGGRHMCWKHCKTFCSVDAASS RISTRMRGRRRRRKDWTDPVRGTATWTKWWHTRTTSCTTSRRSS H257_15912 MPETGHAPQSNHHTTIALLQHLVNAKQGGSCNVSMGYSPSPRGK RLLQEQLNQIGHEPPHRLASPSSRMQTSSPPQQQSTTLQHPTSPRLLASPRPSLPQST YERERKESLFKMQIRQVDEAALGAPRPVTNPTATTGLVVPSPPPSSTLSRRTSHKTLL AEVGAANKASQARFSAQEVTHAQQITHDVLCRSLAEMRNHQRVLGQPTPGQTSTSRRQ SDLQMQLHQTHELALHRVRHGRNIPRTSSIAVKLPPHGFDDADVFHVALQVAEQHRDL RKLSNVVQRQNQDHGGQTVVMSSASLPPSHHPVALPPSQQFPSQQPIASSPSSSGGFA SPRRHSTSPALVTLDLQHHYFQVMSTLHPDRKLPTNDHSVVVTGNEWLSIHEFIPLFC LTFAFSNTEWAAKCFPAYFASKQQYDKSPKLTLVDFAAKCRMLTNGADVDKARFVFSI YDHDRSGTVEVDEVFQTLQSDKEDLWDQVIFSQQLMGLVNPNHDGTMGFQDFCTACQK IPMFFTCFTGPLPVRLSMHPENVKYRLGLNAIRKMWSCGVKESTSNDNHDAIDSAGFK TVISYFFRFARSSAIDQALATRLFQSFSSRGDVVYFGEFIAGMSTLIQGTVEARGRMM HAVLDLDRGGTVTKNEIQMILRSRANILQHQGCRVEEIAWHGFFIIIMQIFVCCCIIN TAVFVCTSY H257_15912 MRNHQRVLGQPTPGQTSTSRRQSDLQMQLHQTHELALHRVRHGR NIPRTSSIAVKLPPHGFDDADVFHVALQVAEQHRDLRKLSNVVQRQNQDHGGQTVVMS SASLPPSHHPVALPPSQQFPSQQPIASSPSSSGGFASPRRHSTSPALVTLDLQHHYFQ VMSTLHPDRKLPTNDHSVVVTGNEWLSIHEFIPLFCLTFAFSNTEWAAKCFPAYFASK QQYDKSPKLTLVDFAAKCRMLTNGADVDKARFVFSIYDHDRSGTVEVDEVFQTLQSDK EDLWDQVIFSQQLMGLVNPNHDGTMGFQDFCTACQKIPMFFTCFTGPLPVRLSMHPEN VKYRLGLNAIRKMWSCGVKESTSNDNHDAIDSAGFKTVISYFFRFARSSAIDQALATR LFQSFSSRGDVVYFGEFIAGMSTLIQGTVEARGRMMHAVLDLDRGGTVTKNEIQMILR SRANILQHQEIKDLHLERNANEIMRALDENGDGDISVDEFMAAVRRTPHVLEALQDIL FSGCRLESDFDTDAWKTQTQKGLDRSSSWHRNLDEVVAHPDNLMHDFKKIFVTAVKKV SAATTIRRRNIHHKEKPPKPAFPVIVPSLLLPIAPSAMTPRSATSASPS H257_15913 MEVRHFSSSSRMLSLHAPCIPCCYQDPPSESTGAAYTEARQMLG NTPTLPRLTATDCDGAELCHASNVQKHSAAYRGKHRDILREKNRQWKLANKERVAAQK AAYREKHREKIRAHDRAIYRMKTQATQQVAPSPSTLFEPPRSNVATMGNGGDTSPRSF TELLYPCLQLPHPPASSTISPLDERFHPSTNGSTPLIPPMLTEARVAPPLSHRLVVVA EKVAGSQRNAVDVASVTTYPLQPMVPCSSNKEEVVVCSRPLEREPSLKQQQRRNRNLT KQLEYDRTYRRRHQAKLALKSRQYYLTSKDKVIEYKAAHRDQVLAASREWKARNKERV QAQRKAYRERNLDRIREHDRAMYRAKKAAQRTSGVGVESTGAGVELSTESVVVL H257_15914 MLHVHGLFRRASGHRRFYSNLNLPIKTSDMVEFAVRVKVSEPLA FTLPLPGRPGLTRIDLEHTGGSKSTSERESVGHFVATLKELDPTLTTVSVTTMDGVTV SKYASLRALAPVPLLLRLNTATLELEKEGTVDQDDALNESESSAFGTVKRYIERDTRT SIPIDQFYHMCKNVGADDSTSKKWLAEFQRRNLVLHYDQSKDEALRNTVILRPNKGDS AAAFQSALDPVLYNLKHVRLAKEAQIVQVTDEWRKLAITDTELKAVAKKTPNVKKWTG LAFIASFYTGMGYLVWDVYSWDVMEPISYFVGFTAVLGGSFYHTLTRSDAQYSNMWTR DYNKVLARLHAEKQFDPAAVDATERSIQAMKRDVHVLRLLEGKSAEKKKDDKAAMVVA KLG H257_15915 MASTEPYMEPCVIPTWRYRIEPQASSGGVLITIPSSRTGVTQIL IFMAIWCGAGGFMTSMAMSIAPEAGIGPIVVTLLGATSMTVGVLFRLFASESIAITPT VFTHQWGMLGLNRTKHYSIQHMGPLFLSSTMDAARRGGASPRTAIRGVPIRGNAGSNG VLAFATGSDSVLQRSGAPCPRAVEADRPTPAVLSYGSTCSSSVRHAKCCDRHIQSTNV QRPASGPKRLLTLRKRG H257_15916 MSHEVGTFIWAAPEVLKGSQLTVAADIYSFGMLLSELDTKFHLM A H257_15917 MPEAPTNVGEADRQPLVEGEPCDTNGACKSRCCSGLHNVCLANS TLGANATSSPESCLVDSTTLPPTTTKTFHTLAQDLPRNATFYIGVGVGVVVGIAILVL FVWRTSRPDPIENDRPRTRHAKPPVAAAALVLSDADKACLEAFRLDGSKLELDLHLAT GGYGTVWRGTYNGDVVAVKMLFEDRKSAAGVSKFIAEVGLMAKLGSPYIVSFIGATWT TLEALALVVEYMNLGDLSDFLRQHTPGEFAWPAKRQCAMDVLQGLIYLHDQKIIHRDI KSRNVLLDTAKPSKLSDFGVSREVSSKTMSQEVGTYLWTAPEILRGDRYTVAADVYSF GVLLGEFDSHQPPYHGVTTTDGGPMLGVSIMMKVMQNQLQVQVSASCPRRIASLVKMC TQNDAKTRPTASEVLQSLLVWAVDEDVGNSI H257_15917 MPEAPTNVGEADRQPLVEGEPCDTNGACKSRCCSGLHNVCLANS TLGANATSSPESCLVDSTTLPPTTTKTFHTLAQDLPRNATFYIGVGVGVVVGIAILVL FVWRTSRPDPIEKYVDMSTTDVVTMNGSDRPRTRHAKPPVAAAALVLSDADKACLEAF RLDGSKLELDLHLATGGYGTVWRGTYNGDVVAVKMLFEDRKSAAGVSKFIAEVGLMAK LGSPYIVSFIGATWTTLEALALVVEYMNLGDLSDFLRQHTPGEFAWPAKRQCAMDVLQ GLIYLHDQKIIHRDIKSRNVLLDTAKPSKLSDFGVSREVSSKTMSQEVGTYLWTAPEI LRGDRYTVAADVYSFGVLLGEFDSHQPPYHGVTTTDGGPMLGVSIMMKVMQNQLQVQV SASCPRRIASLVKMCTQNDAKTRPTASEVLQSLLVWAVDEDVGNSI H257_15918 MSSGNLDGVLVPIERPEYENQGSMELGVMVGITLGIVLGIVGMV AYWCRLVYVSENAPEQRRGTNPRAVQAPFSSCLLHVMGQQGSIMPVETTEWMLEYRPS VPLDWHDLEAYRLDIALVERTTRLATGGFGSVWLGRFNQQQVAVKSMVEGRIRTSGSV QRFINEVTLMAKLQHPNVVRFIGACWHSLDAVHLVVEYMNLGDLKDHLDIHVAMPWSR KVQCAHDVAAALVYLHDQNIIHRDLKSRNVLLDTHKPSKLSDFGISRELVSRTMSHEV GTFMWAAPEVLKGGQLTVAADIYSFGMLLSELDTHKVPFDGMTTEFGDVMVPMAVVMK IMQGQIKVAPSSSCPPPIALLLNDCTQFDPMLRPTAVELLHRLQQMDVARL H257_15919 MRTSYLYLQTCINTYYRPARFGIPVAASLGNHHLRPRSNMLSDR ILVETTNDQVVVTLRAYSTPFRVGTLITAGTAATFGVLCICLTPTTLFILGVCAAFIA STAVFVGVQWLVYGFEQFTVAASTLTYEWGIPGTGLGSATAFNADIMGPIQIHADGAL GFTYQGQVVRVGKVLRAREKLEFLDLLLLHLPARLVSVPPVAMGDVYYPVDGKLPAAP SSSVVPSQAQPPLAPIRVTATPAEAHI H257_15920 MPKGDPLTEDEKIEVLVHRREGKSTNFIANELGRSRGCIQGFLD NPAAYGTAKSTGRPSTISSALHRRLIRSARSGKFTAAELVDRHSMPVGPRRVCQLLNI SGKLKYKKRIAAPMMTRAHEKARTDFLSQATSRDLAEFYSTKDYVPQGNRIDALNISK MYLELDQVEHSEHYVVDPTLSETDREARLAQIKAHTTATQREVITRETTNKLANQRSA AHTFLVSAISTNLRRLYQATTCPFELFEHIKTRFESNPMDNNPKVIASYLRTLKFTDE SCIDTLSVELIDLVKRYRVSMTPPSFNPLDPSAISSVDYHNHI H257_15921 MVKVLISLSVLATAVTAGSVTELPESVTKLIDYGTHPCEDFYQY ACGAWYNNAVIPPDEPKIDTSYYKILIENEAVLKKIYSNNTTKLGEFYNSCLDTATLS SLGLTPLEDSFKAIRSANTTLDLLVVAGELAKNGIPAFVDINSSADDNDSTKNALFGF GAPLSLDRSYYTTPSKWDTVEATYKVYIAAVLQLAGYTAEQAATAVPVIIRFEQALAG VALSELEEKEAVVSPYTALTYYQLDQKYPLLIGSWLKANGFNVRDQCGGSNDWVGFYY SAYFDKTEELLKNTTLDDLRTIVEYKLIHASSNHLTPEFRTANWNLFGKKIDGETVEP SREKFCLSETGKTVKDLLGQYFLDEVWSDDAAKKVDELVKALTSSFSTSIATADWLDN STRANAQTKLSKLVHLVGGPEKPQLYPTLTFDSKSYLKNQWKVSQVDIDTNLKLNGQP VDRRRFGVPPHVVNAFHRPYANQVVLPAGILQKPFFDSQFDAAQNFGAIGATIGHEIT HGYDNTGREFDGDGNLNPLWSEATKTAFKAKAQCFIDQYDKFPVWSEVNGVVFGTISG EISLDETIADNGGLKTSFRAYHENLKEFPSQYTEEAGDKLFYLSFAQAECSKNTDYHL LGSVKSTHPPSRIRVTGALQNDAEFARVFQCPTNSYLNPSKKCLLWE H257_15922 MSTPPAPVPPAGSSAPAPDASHSFLVPPGSSSAAATGPSTAPAS SPPGLSGASAPAVESLCASLTRSASECALLSASLDSARAAVQSTLVTARSLLGDGGPG STLRAIQEFVSAKEAAEKLLARVQADLVERETDLVVERNSRVAAEAYVAALRDQLDTE TRRAAETIGQLRGELAVVSNEVVGWSKRFQTVDATEASLRAFIASLKD H257_15923 MVHTAPQGFWSDFYNGEAKPANLTDANGVSITINDLNPIVLVLL SAYWCPPCRALTPSLVQFAADNKDQVSVVYFSRDINSTMQDFNLSTKPTYNRYEWSES NIKVFAQLKAAYPSILGIPTLFAVDRDTGKVLSERAAVSIRSRTATVIEEWKRGEDIT DEQAKEWWETTRGDLPEVDILPHLPLESIVDAKGRPVAVDSLNQFIILYFGAKWAQTE DSLTSAVASFASEHPEDVSAIYYSLDVEESDADKVVAGTDLLRFKWSQDLAKTLYNVD KIMTKDNDRNTLAAPRVLVYEKSTHKLVARHQYGILINPTELLAAWKQGESGVTDDEI NAWFSAKRAADLKKKEAEDAAKKDVAATA H257_15924 MACDLISLDPSELPQGPAQVHPQRKRDGNRAQCNDMDRNLMHEH DRHGGGKRNMHRCPQAQASDVRTHGYNHLNAASSGQWMDCVPCLGLMLLLLGHSIVVA T H257_15925 MGNVVEPVHPSGGATMSCRGSSSSFTIAPKAKRHPAAITTGHIL FVGLDGSGKTNLVQGLANMCTPTTPIDKDQHVWATSNPLPSRFPVIKSVQLNRREVNL LAVPGSRDLRYLCDTIRFPLVALELQRLHEFQHSNNLATSWLLFTKSDCIQVKKGTAV DTYERLKTICTQLKKEPCNWGFTVMPLVNSNLEGSLLDVKNWIQETLPPKP H257_15925 MGNVVEPVHPSGGATMSCRGSSSSFTIAPKAKRHPAAITTGHIL FVGLDGSGKTNLVQGLANMCTPTTPIDKDQHVWATSNPLPSRFPVIKSVQLNRREVNL LAVPGSRDLRYLWYTSLTRDEISAICFCVDISDTIRFPLVALELQRLHEFQHSNNLAT SWLLFTKSDCIQVKKGTAVDTYERLKTICTQLKKEPCNWGFTVMPLVNSNLEGSLLDV KNWIQETLPPKP H257_15926 MLHRRGVIVHSRRGLSTGLHALFQDKIALGKTLPKLEDNAIKLQ MYALYKQATDGPNTQPKPGMFDFVGKAKWEAWTSLGTLSHDDAKKRYCDLIDGLAKGA SGSNDAATATSSSNEAAGGIIHSTQAKGIVTMTWDPKTPLEGEVIDELTSAIQKATDD PATKVLVLKLQQQSTASPAPSTSNNIKALIEVIVDFPKPLGVVVHGPVSGLAVSLVGL ADVVFSHERATFHILPGSHIPAAPALIYKLGYIQANALLFLGAKLSAAAALRHGLITA MLNADLDKWAFTQFQYLAESSNHGNIRRPHLFRHQYKATLVQE H257_15926 MLHRRGVIVHSRRGLSTGLHALFQDKIALGKTLPKLEDNAIKLQ MYALYKQATDGPNTQPKPGMFDFVGKAKWEAWTSLGTLSHDDAKKRYCDLIDGLAKGA SGSNDAATATSSSNEAAGGIIHSTQAKGIVTMTWDPKTPLEGEVIDELTSAIQKATDD PATKVLVLKLQQQSTASPAPSTISLVGLADVVFSHERATFHILPGSHIPAAPALIYKL GYIQANALLFLGAKLSAAAALRHGLITAMLNADLDKWAFTQFQYLAESSNHGNIRRPH LFRHQYKATLVQE H257_15926 MLHRRGVIVHSRRGLSTGLHALFQDKIALGKTLPKLEDNAIKLQ MYALYKQATDGPNTQPKPGMFDFVGKAKWEAWTSLGTLSHDDAKKRYCDLIDGLAKGA SGSNDAATATSSSNEAAGGIIHSTQAKGIVTMTWDPKTPLEGEVIDELTSAIQKATDD PATKVLVLKLQQQSTASPAPSTSNNIKALIEVIVDFPKPLGVVVHGPVSGLAVSLVGL ADVVFSHERATFHILPGSHIPAAPALIYKLGYIQANALLFLGAKLSAAAALRHGLITA MLNADLDKWAFTQFQYGKNPMLKQSISNKSKEIVSSYYCLLGWTTSS H257_15926 MLHRRGVIVHSRRGLSTGLHALFQDKIALGKTLPKLEDNAIKLQ MYALYKQATDGPNTQPKPGMFDFVGKAKWEAWTSLGTLSHDDAKKRYCDLIDGLAKGA SGSNDAATATSSSNEAAGGIIHSTQAKGIVTMTWDPKTPLEGEVIDELTSAIQKATDD PATKVLVLKLQQQSTASPAPSTISLVGLADVVFSHERATFHILPGSHIPAAPALIYKL GYIQANALLFLGAKLSAAAALRHGLITAMLNADLDKWAFTQFQYGKNPMLKQSISNKS KEIVSSYYCLLGWTTSS H257_15927 MPPPPREASDGPLSWHSIATVFKREAHRVLTDRQSLVSQTQEYQ SLTNAMQRFATMNIPPPMSRSNVWHSATLVADARARNLGKEWLTQQLYHNIHEPFTLL PAVSYDEEFFQFDFQASDQPFTCMERMQYTWPGTVQMFRRLVESNMQAVIFHNHVVEE KTANTRLFHTTSRKGSFINWLQGHFVEANRFILVMCQVEHDEAHVCDPVQKQQHYMSW TEVRQVSPTHILLRFVSHISHLFRANDGFVSVDEIAAVRGIDITGIQDEDHKVAYVRR EVFRRGKADLLPWRQHFMDLMHQTAIN H257_15928 MTSSTIDVVTLLSACVDLGAFAGQVIRDVVASGESLQTVNKADT AAVSFDPQTIADTRAQQRIVESLRRHFGPDLIIVGEEGNLDAPAEDDVMVPCTTLLTH DPFPVELADVVVWVDPLDGTRKFTEKQYDHVSVLLGISYRGRPIAGVMHQPFVGQAGG GTTYYGGSAVGGIFKCVSPSIHDHHPSFDRVVFPSENRSKTSIAPIVGYSETPCRHLH AILPLLNMPSLSKGSTGILLLDVALGRIDVYLRHADRTKRWDSCVGEAFLNVRGGILT DRHGRLYSYEATTDPANSTGVVACVDKILHAQVVAQIASYTANALN H257_15929 MALLFRGTAAASLAGRMHVRSIALASRFPETVLELKDPEGKKPL VPLNVALAACKQGAVRKFDETVDIVVELGVDPRKPNQSVRSVVTLPHGTGKVVRVAVF AKGDKADEARAAGATIVGAEDLLADIQAGKLDFDRCVATPDMMALVGRVARVLGPRGL MPNPKLGTVTQDVTAAITAAMGGQVEFRAEKKGIVHAGVGKVSFSDDALLDNIRAFMV AVGEAKPEGAKGKYVKACHLSSTMGHGIRLDPRQLDPSSPQFMRFT H257_15930 MSDHDDESKEDAKNKADKPATEWKAGQAVQVQSIDDADKWSNAK ITRVHRNGNVTVTYHPSGEKEKNIAPSRLEKRKKVKRAKREAAMEADTKDDDDDESDA GIPSKKSKPSSATRVRWQKHQAVVFQSADGRKTGRLTVVRDDDCDVQHDSDKDHESTH VLLSDIHALRWWHRWNTPKTRFKIQARVRYVDGKGRPHDGVVTKRHRDNSYDIRHLSD EETQVEHVEAADIKSLSVWLQAIDSLGRWVLTSGTSFAVGTSVEFQLDDAAEWIPGTI HKVRPDGKYNIAYIEHDDDDKAKVATKIRPNRVRKHQSFQWTRAMLALSTSATLQDGT RVEVTCIKDEAEVFKRGEIVRTHADETKSIRYDDGKVEKHVLPSRLRPLATALCVGTL VDVTVETNHTTVKCAAPKQGTIAWVHRDFTRVVLAIHSNKAHENEEEKEEKEKEEDLL YCPDIPVEALRLQGTTRLDTTSLRGYSLWRQFNMLGNFALDALVYGWFLLGLGNEIAM SVEVLQRTADGRNDAAMQAWYHDASISQPPLVDCLATHPFLQNTTTLLATPPYLLLPE ILMGRYWLIALVLLKALAFAFILVRALRILVNTGNAVAYRAINLQRHAQDQIHQAQLW RVMFGAIVVSSGTLLCFGSLANTLGYYCLLDQYPLDLTYHTFDMVPAIAMTAVAWALV LTSMQLFLAVEHDVLWRLGHLGLTPLHDMALWCIVAATWLSILLFQVFQTVGEYNRLV AAHHLRGASDEVAVKQAEEGMLGVHAQEDAVQIRTEEMQIQVGILQAISATLVPGIGV LVNVLAVFWLLIGVSMLYHNSQVDIPPWMVGTSVPLTCAWLLALTVATFLSCCKERKS WKLLKQAKTSRTRPPVLLP H257_15930 MSDHDDESKEDAKNKADKPATEWKAGQAVQVQSIDDADKWSNAK ITRVHRNGNVTVTYHPSGEKEKNIAPSRLEKRKKVKRAKREAAMEADTKDDDDDESDA GIPSKKSKPSSATRVRWQKHQAVVFQSADGRKTGRLTVVRDDDCDVQHDSDKDHESTH VLLSDIHALRWWHRWNTPKTRFKIQARVRYVDGKGRPHDGVVTKRHRDNSYDIRHLSD EETQVEHVEAADIKSLSVWLQAIDSLGRWVLTSGTSFAVGTSVEFQLDDAAEWIPGTI HKVRPDGKYNIAYIEHDDDDKAKVATKIRPNRVRKHQSFQWTRAMLALSTSATLQDGT RVEVTCIKDEAEVFKRGEIVRTHADETKSIRYDDGKVEKHVLPSRLRPLATALCVGTL VDVTVETNHTTVKCAAPKQGTIAWVHRDFTRVVLAIHSNKAHENEEEKEEKEKEEDLL YCPDIPVEALRLQGTTRLDTTSLRGYSLWRQFNMLGNFALDALVYGWFLLGLGNEIAM SVEVLQRTADGRNDAAMQAWYHDASISQPPLVDCLATHPFLQNTTTLLATPPYLLLPE ILMGRYWLIALVLLKALAFAFILVRALRILVNTGNAVAYRAINLQRHAQDQIHQAQLW RVMFGAIVVSSGTLLCFGSLANTLGYYCLLDQYPLDLTYHTFDFSTLDPRFMSNNSAS VGPLLVHVTATTTFNLYRGVCFLFALVASPDLSIRVFMMVPAIAMTAVAWALVLTSMQ LFLAVEHDVLWRLGHLGLTPLHDMALWCIVAATWLSILLFQVFQTVGEYNRLVAAHHL RGASDEVAVKQAEEGMLGVHAQEDAVQIRTEEMQIQVGILQAISATLVPGIGVLVNVL AVFWLLIGVSMLYHNSQVDIPPWMVGTSVPLTCAWLLALTVATFLSCCKERKSWKLLK QAKTSRTRPPVLLP H257_15930 MSDHDDESKEDAKNKADKPATEWKAGQAVQVQSIDDADKWSNAK ITRVHRNGNVTVTYHPSGEKEKNIAPSRLEKRKKVKRAKREAAMEADTKDDDDDESDA GIPSKKSKPSSATRVRWQKHQAVVFQSADGRKTGRLTVVRDDDCDVQHDSDKDHESTH VLLSDIHALRWWHRWNTPKTRFKIQARVRYVDGKGRPHDGVVTKRHRDNSYDIRHLSD EETQVEHVEAADIKSLSVWLQAIDSLGRWVLTSGTSFAVGTSVEFQLDDAAEWIPGTI HKVRPDGKYNIAYIEHDDDDKAKVATKIRPNRVRKHQSFQWTRAMLALSTSATLQDGT RVEVTCIKDEAEVFKRGEIVRTHADETKSIRYDDGKVEKHVLPSRLRPLATALCVGTL VDVTVETNHTTVKCAAPKQGTIAWVHRDFTRVVLAIHSNKAHENEEEKEEKEKEEDLL YCPDIPVEALRLQGTTRLDTTSLRGYSLWRQFNMLGNFALDALVYGWFLLGLGNEIAM SVEVLQRTADGRNDAAMQAWYHDASISQPPLVDCLATHPFLQNTTTLLATPPYLLLPE ILMGRYWLIALVLLKALAFAFILVRALRILVNTGNAVAYRAINLQRHAQDQIHQAQLW RVMFGAIVVSSGTLLCFGSLANTLGYYCLLDQYPLDLTYHTFDFSTLDPRFMSNNSAS VGPLLVHVTATTTFNLYRGVCFLFALVASPDLSIRVFMMVPAIAMTAVAWALVLTSMQ LFLAVEHDVLWRLGHLGLTPLHDMALWCIVAATWLSILLFQVFQTVGEYNRLVAAHHL RGASDEVAVKQAEEGMLGVHAQEDAVQIRTEEMQIQVGILQAISATLVPGIGVLVNVL AVFWLLIGVSMLYHNSQVDIPPWMVGTSVPLTCAWLLALTVATFLSCCKERKSWKLLK QAKTSRTRPPVLLP H257_15930 MSDHDDESKEDAKNKADKPATEWKAGQAVQVQSIDDADKWSNAK ITRVHRNGNVTVTYHPSGEKEKNIAPSRLEKRKKVKRAKRENLQLFQAAMEADTKDDD DDESDAGIPSKKSKPSSATRVRWQKHQAVVFQSADGRKTGRLTVVRDDDCDVQHDSDK DHESTHVLLSDIHALRWWHRWNTPKTRFKIQARVRYVDGKGRPHDGVVTKRHRDNSYD IRHLSDEETQVEHVEAADIKSLSVWLQAIDSLGRWVLTSGTSFAVGTSVEFQLDDAAE WIPGTIHKVRPDGKYNIAYIEHDDDDKAKVATKIRPNRVRKHQSFQWTRAMLALSTSA TLQDGTRVEVTCIKDEAEVFKRGEIVRTHADETKSIRYDDGKVEKHVLPSRLRPLATA LCVGTLVDVTVETNHTTVKCAAPKQGTIAWVHRDFTRVVLAIHSNKAHENEEEKEEKE KEEDLLYCPDIPVEALRLQGTTRLDTTSLRGYSLWRQFNMLGNFALDALVYGWFLLGL GNEIAMSVEVLQRTADGRNDAAMQAWYHDASISQPPLVDCLATHPFLQNTTTLLATPP YLLLPEILMGRYWLIALVLLKALAFAFILVRALRILVNTGNAVAYRAINLQRHAQDQI HQAQLWRVMFGAIVVSSGTLLCFGSLANTLGYYCLLDQYPLDLTYHTFDFSTLDPRFM SNNSASVGPLLVHVTATTTFNLYRGVCFLFALVASPDLSIRVFMMVPAIAMTAVAWAL VLTSMQLFLAVEHDVLWRLGHLGLTPLHDMALWCIVAATWLSILLFQVFQTVGEYNRL VAAHHLRGASDEVAVKQAEEGMLGVHAQEDAVQIRTEEMQIQVGILQAISATLVPGIG VLVNVLAVFWLLIGVSMLYHNSQVDIPPWMVGTSVPLTCAWLLALTVATFLSCCKERK SWKLLKQAKTSRTRPPVLLP H257_15930 MKLGRLAMIMFTMLHDDTQPATEWKAGQAVQVQSIDDADKWSNA KITRVHRNGNVTVTYHPSGEKEKNIAPSRLEKRKKVKRAKREAAMEADTKDDDDDESD AGIPSKKSKPSSATRVRWQKHQAVVFQSADGRKTGRLTVVRDDDCDVQHDSDKDHEST HVLLSDIHALRWWHRWNTPKTRFKIQARVRYVDGKGRPHDGVVTKRHRDNSYDIRHLS DEETQVEHVEAADIKSLSVWLQAIDSLGRWVLTSGTSFAVGTSVEFQLDDAAEWIPGT IHKVRPDGKYNIAYIEHDDDDKAKVATKIRPNRVRKHQSFQWTRAMLALSTSATLQDG TRVEVTCIKDEAEVFKRGEIVRTHADETKSIRYDDGKVEKHVLPSRLRPLATALCVGT LVDVTVETNHTTVKCAAPKQGTIAWVHRDFTRVVLAIHSNKAHENEEEKEEKEKEEDL LYCPDIPVEALRLQGTTRLDTTSLRGYSLWRQFNMLGNFALDALVYGWFLLGLGNEIA MSVEVLQRTADGRNDAAMQAWYHDASISQPPLVDCLATHPFLQNTTTLLATPPYLLLP EILMGRYWLIALVLLKALAFAFILVRALRILVNTGNAVAYRAINLQRHAQDQIHQAQL WRVMFGAIVVSSGTLLCFGSLANTLGYYCLLDQYPLDLTYHTFDFSTLDPRFMSNNSA SVGPLLVHVTATTTFNLYRGVCFLFALVASPDLSIRVFMMVPAIAMTAVAWALVLTSM QLFLAVEHDVLWRLGHLGLTPLHDMALWCIVAATWLSILLFQVFQTVGEYNRLVAAHH LRGASDEVAVKQAEEGMLGVHAQEDAVQIRTEEMQIQVGILQAISATLVPGIGVLVNV LAVFWLLIGVSMLYHNSQVDIPPWMVGTSVPLTCAWLLALTVATFLSCCKERKSWKLL KQAKTSRTRPPVLLP H257_15930 MSDHDDESKEDAKNKADKPATEWKAGQAVQVQSIDDADKWSNAK ITRVHRNGNVTVTYHPSGEKEKNIAPSRLEKRKKVKRAKREAAMEADTKDDDDDESDA GIPSKKSKPSSATRVRWQKHQAVVFQSADGRKTGRLTVVRDDDCDVQHDSDKDHESTH VLLSDIHALRWWHRWNTPKTRFKIQARVRYVDGKGRPHDGVVTKRHRDNSYDIRHLSD EETQVEHVEAADIKSLSVWLQAIDSLGRWVLTSGTSFAVGTSVEFQLDDAAEWIPGTI HKVRPDGKYNIAYIEHDDDDKAKVATKIRPNRVRKHQSFQWTRAMLALSTSATLQDGT RVEVTCIKDEAEVFKRGEIVRTHADETKSIRYDDGKVEKHVLPSRLRPLATALCVGTL VDVTVETNHTTVKCAAPKQGTIAWVHRDFTRVVLAIHSNKAHENEEEKEEKEKEEDLL YCPDIPVEALRLQGTTRLDTTSLRGYSLWRQFNMLGNFALDALVYGWFLLGLGNEIAM SVEVLQRTADGRNDAAMQAWYHDASISQPPLVDCLATHPFLQNTTTLLATPPYLLLPE ILMGRYWLIALVLLKALAFAFILVRALRILVNTGNAVAYRAINLQRHAQDQIHQAQLW RVMFGAIVVSSGTLLCFGSLANTLGYYCLLDQYPLDLTYHTFDFSTLDPRFMSNNSAS VGPLLVHVTATTTFNLYRGVCFLFALVASPDLSIRVFMMVPAIAMTAVAWALVLTSMQ LFLAVEHDVLWRLGHLGLTPLHDMALWCIVAATWLSILLFQVFQTVGEYNRLVAAHHL RGASDEVAVKQVNLIVFVVLGGSLVFIRVFILCISPKFGLLTHFAK H257_15932 MRVVAAAAAAVTSMVTALAVKEEYLIFEEDFDSTFDLSVWKHDI TLGGNGNREFEVYVNSRNNSYVKDGKLHLRATLTDEAYGRETIENGVMDLWGTSPYSS CSSPQFAGCRKEANGHDILPPVQSARIQTMESFSFKYGRVEVRTKLPRGDWLWPGIWM MAKDNRYGPWPSSGELDIMESRGNGPDYTDDKGNPIGNNRFSACFHFGPAWNKDGYPV AVNDTQALPDHRSYGDEFHTFGFYWDEDDMYAYVDSPENVVTRVAEYGKKSFWDIGLE SGAWNASDSFNNFQEGPINAPFDQEMYLIMNVAVGGTSIAKGFLDSGYFPDDQGDKPW HTNDSYPAANFYHQKDKWFPSWTTNQDGTNSGRASDFSAMQVDSVKVWGIRGLTTFTN SKNAVHRYDDTTTATTTIDCSTTCPYANTVATCFDRSTASCFATSSACFAGTTPCTLP TERLVFSDSFDTLDLAKWQHEITMTGHRTSFMHMSTADQANSFVANGHLVLAPTLSAP VVGFLDNSTVDLWGTSPATLCTSNFNHGCLQTGTGANILPPIRSATLRTEKSFSFRYG RVEVRAKVPTGKWLRPVFRLLPKYDSYGEWPQSGEVVLFEGREDGQAVESRVHYGPFN MSTGSAPQLTRFNRMNNQTNDSDDGFHLYGLYWDEHELYMYVDSRENIVSRVHGYGTS SLWQSQWGPANDTSPYRQQRLQAPFDQHFYLSVGLRVGGTDGFFPDHVDGKPWNDSSS RHKETFDKALPEWGPTWSTKPDASWFEIDQVSVWATSAASSWTYHGSFGQAREVDGDK LLFSDSFATLDMRHWKPEITMNTGGEFQMYVNHRQVGFVRNKTLVLRPALSADIVGNA SLFEGYMDMWGTDVPSKCTAAAASGCGHVSSAADMAPPIHSASFRTAESFAFQYGHVQ ISATMPHGAAYLIPRLRLVPLTRDQGWLDIAVAKTEKTSSQTVVATGFCTNSTACDIA YHDISPRSTHIYGVIWNATDTLVYIDSPAQVVWHRPTPPSMHQDMFVVVQMGVGGVST LPHYSFEHSKSPPWNASAPYPHTQFYHAKNQWWPSWADPTHDDGHTVGDHAALHVHGV HVWSVPGTKWRARRLVGDPDVASALVQVDPPPSPKLTSAVVAFTAEYTGLNAVASEHA LVDKLKSSLSSLFPGSHHVMYGAVVVQSNKVVSATVQFEANGNPPAPNTMFKLHHTQA LVQQLHSIPKKKGMSWLWVGGGGAVLAGVLLLLVAPLRAKVMRRREYTAIPEP H257_15932 MYLIMNVAVGGTSIAKGFLDSGYFPDDQGDKPWHTNDSYPAANF YHQKDKWFPSWTTNQDGTNSGRASDFSAMQVDSVKVWGIRGLTTFTNSKNAVHRYDDT TTATTTIDCSTTCPYANTVATCFDRSTASCFATSSACFAGTTPCTLPTERLVFSDSFD TLDLAKWQHEITMTGHRTSFMHMSTADQANSFVANGHLVLAPTLSAPVVGFLDNSTVD LWGTSPATLCTSNFNHGCLQTGTGANILPPIRSATLRTEKSFSFRYGRVEVRAKVPTG KWLRPVFRLLPKYDSYGEWPQSGEVVLFEGREDGQAVESRVHYGPFNMSTGSAPQLTR FNRMNNQTNDSDDGFHLYGLYWDEHELYMYVDSRENIVSRVHGYGTSSLWQSQWGPAN DTSPYRQQRLQAPFDQHFYLSVGLRVGGTDGFFPDHVDGKPWNDSSSRHKETFDKALP EWGPTWSTKPDASWFEIDQVSVWATSAASSWTYHGSFGQAREVDGDKLLFSDSFATLD MRHWKPEITMNTGGEFQMYVNHRQVGFVRNKTLVLRPALSADIVGNASLFEGYMDMWG TDVPSKCTAAAASGCGHVSSAADMAPPIHSASFRTAESFAFQYGHVQISATMPHGAAY LIPRLRLVPLTRDQGWLDIAVAKTEKTSSQTVVATGFCTNSTACDIAYHDISPRSTHI YGVIWNATDTLVYIDSPAQVVWHRPTPPSMHQDMFVVVQMGVGGVSTLPHYSFEHSKS PPWNASAPYPHTQFYHAKNQWWPSWADPTHDDGHTVGDHAALHVHGVHVWSVPGTKWR ARRLVGDPDVASALVQVDPPPSPKLTSAVVAFTAEYTGLNAVASEHALVDKLKSSLSS LFPGSHHVMYGAVVVQSNKVVSATVQFEANGNPPAPNTMFKLHHTQALVQQLHSIPKK KGMSWLWVGGGGAVLAGVLLLLVAPLRAKVMRRREYTAIPEP H257_15933 MLRTPVAHAEMNDAIAFLQRQIDGLKSKEPQCIEELDAAIDSPD VSMEDLLVFDKGQFPLTRELWNTIGPKVPPALVQAYHEYKALRQLAASSAPHDRVDHD SMVPSTPTASVKNDEVDGDSTPIPAEVSSTPLPAPPRTVEEDDTATVATTTSHPPRTS TRIRSKQLEPPPPPPSPSPSTRATSVAENHDEEEDPDSESDMHAPLVNLRKRPPLQVD DRDNDDTPNDDDGDDSGDDADSASSTKQSKSKRQLGKLGRPRKGAPARGSAVRASARQ VSKPSTDDDNITSSPSKPSVAAASRSRKKKAAAEPITQQDETTPTRRKSARRAAASAA AAAAPPPPTKTATQRKKKHHHDHSDG H257_15934 MKSVLGLLGATAAVASALPPCTKATLDGIKATYDELPTQITSAC DAATPGFKLIDYFTGAGAVPTDAQVDLFTKAEACKVVYYDLTQIVGRTESCESYPGQP IAKQAGFPSLKELVAYRKDQEKTTPQSKTVAPTKDQDKAASPTASVASVPATPLAACT QVSLDSIKATFNELPQNVINACDATTPGFKLIDYVLTKGATVTDAQVDLFTKAEACSV VYFDLVQIIAHTTPNCNFYPGEPLAKQASFPSLVELTAYRRAQDKSSVAIAVPQSTLI APQRTIVPCDVSQIASVTSTIQSLPVYSACTNASGFALDDMLRRTNAYPTMPQLQNFA SSPACQIMFADVQRILSQAPVCVFYDKTGVTFAELAKFSTLDTLMSFQMKQEGYTPTH TPSASASSEASTGSDASSTVESIFVVAGIAAGLGVILAAVMYLRRKWAMSSSKSKTID GDVTTTGSSANQSIFVINANAAL H257_15935 MARTAVLLGSASCGLCAGLVYLNKQLAWIGIFGLLFFVVRTCVV PALSTRYVAWYRSTNDGDAKLLWCNTAVSLVHSGLSAALSITVLAIDPIQDWVHSCSP LAVICLSVSTGYFIYDFYDMVVGALYVRAHGILVHHIMVTTCYVMALHCKVAVPYLVV MLLLEINSIWLHSRKLMSMVGFTLANRVYAMTWHALWLSFYTTRVLLPFAVHVGVTLD RHRFPHVSQFAVAFGGTGVLHVLNYLVYVGCSKAYNKERHLKHK H257_15935 MARTAVLLGSASCGLCAGLVYLNKQLAWIGIFGLLFFVVRTCVV PALSTRYVAWYRSTNDGDAKLLWCNTAVSLVHSGLSAALSITVLAIDPIQDWVHSCSP LAVICLSVSTGYFIYDFYDMVVGALYVRAHGILVHHIMVTTCYVMALHCKVAVPYLVV MLLLEINSIWLHSRKLMSMVGFTLANRVYAMTWHALWLSFYTTRVLLPFAVHVGVTLD RHRFPHVVRRTTIIRIVTRVFV H257_15936 MDDLVKWGAAFALGAASHWYLSPGKSPEKPSNAKAEPVQRQTSH EKEDAEEDSNGDDSDDGARADEDVLKWEPHKMVLVVRNDLKMGKGKVAAQCGHATLGA YKRAVKRTPHAIECWETLGQAKVALKVESEEEMLELARRAKELGLVHYIVVDAGRTQI APDSKTVLAVGPASIGAIDKLTKHLKLM H257_15937 MDLVKWGSAFLLGIASHWYLASESRVKDPAHAGDDNTETDQAND PESHDDSDDEDESDDDCEPHKMVLVVRNDLKMTKGKIAAQCGHATLGAYKRALKRTPQ ALDFWEYSGQTKVALKIESEEEMLLLAGRAKELGLVHYIVVDAGRTQIAPDSKTVLAV GPAPAGTIDNLTGHLKLM H257_15938 MHLSIALFAIFTIATPLVSAVGCTQDQKQQMLEAITKSPQWPAC QQATAPFDFFLALTQEGPTPTPNDLTKFKGNAACTTVYSSFQDAIKQANCDEVADLVG ISVDQLVSATAATTTAAPPVVTLAQITTTVSSSVAPVGAAATMKPTTPVTTLTALDTT TGKLQPSLAAASASMAISAMSLAVVAML H257_15939 MDAARIRVGSRRQTSRGGKRLSSLLAQNGKIFKRSVLTPEMVME EEKMAARMIQRMVRARVARNCFRRVLTEVYTKIYDKTTKQVRYVDNRTGVATETKPLL LLLMKCEGQEQIEPLRPDDAAIRIQHCVRSWRARLLLREMVRDLYQKHMDPKTMEYYY LNTQTKQVFYSKPVFLGDTDIELERFKYRHAACRLSTKANLIGNGVLVLFNRVHCILT DNFTLPDEETARFSRVQFNHCQGSIPFMIRLRSDLFFMTSTYTSYQLVADPTLDFSLC AIDADEFHIAAGDSVEPIKIAFNNRRMCCADDVLRHEEIEMVGHPHGKMAVVGRGHVD KFIPNMVKPKRLQYRNPMESGASGSPVFNFGGRLLGIHHHRSLREPAFDCTFLKPIVD FTREQITPPVPLLQSACLTHDMVNVYWQVPPQYKPWNGLKLEFVLEMCNRTRRGTQGY YDRFETIYTGPKATYTVHNLKPATKYAFRCRSAHFMDKSGWGAVMQVTTLPAATVAWE LKYCTSIADAIAYMVKSNDALVHRQSILWVKERINNTRLSTPEDEVDPAILEWTNMEP QYIACNASAAIRESVDKFHHVDDHVLDCLDVLGQCCQYKTEFRDRMVRSFAWKSWSNV AHSYGQVTIPTFEWIAHLFPHFSSVAAVLEKAVGLLGHLVKDNEAGKEIFMTIQGIPM VLEVIEANIHAEGVVREACYLLAALCQNFRPAQQHMGVNLGIKVMSKVLTTFPYHPQV LYWACLTLGNVACDYEPNQARGQKYHIVECLVQSKITFILKLNALEDAIDKETKLMET MAATAIGEEVRNEMDLHEHRRRSLMDIYNFMQSENVLGVANYALEYMMNATQKDVQAR TKQMATRIVVIRLGAAMAHWRRQADKVLQGHILRRFINGVMEQTLYAAFRTWEMSMRE LRVEQSTLAYRTKQGLILDLRKSKRQDRYRLLVNSK H257_15939 MDAARIRVGSRRQTSRGGKRLSSLLAQNGKIFKRSVLTPEMVME EEKMAARMIQRMVRARVARNCFRRVLTEVYTKIYDKTTKQVRYVDNRTGVATETKPLL LLLMKCEGQEQIEPLRPDDAAIRIQHCVRSWRARLLLREMVRDLYQKHMDPKTMEYYY LNTQTKQVFYSKPVFLGDTDIELERFKYRHAACRLSTKANLIGNGVLVLFNRVHCILT DNFTLPDEETARFSRVQFNHCQGSIPFMIRLRSDLFFMTSTYTSYQLVADPTLDFSLC AIDADEFHIAAGDSVEPIKIAFNNRRMCCADDVLRHEEIEMVGHPHGKMAVVGRGHVD KFIPNMVKPKRLQYRNPMESGASGSPVFNFGGRLLGIHHHRSLREPAFDCTFLKPIVD FTREQITPPVPLLQSACLTHDMVNVYWQVPPQYKPWNGLKLEFVLEMCNRTRRGTQGY YDRFETIYTGPKATYTVHNLKPATKYAFRCRSAHFMDKSGWGAVMQVTTLPAATVAWE LKYCTSIADAIAYMVKSNDALVHRQSILWVKERINNTRLSTPEDEVDPAILEWTNMEP QYIACNASAAIRESVDKFHHVDDHVLDCLDVLGQCCQYKTEFRDRMVTIPTFEWIAHL FPHFSSVAAVLEKAVGLLGHLVKDNEAGKEIFMTIQGIPMVLEVIEANIHAEGVVREA CYLLAALCQNFRPAQQHMGVNLGIKVMSKVLTTFPYHPQVLYWACLTLGNVACDYEPN QARGQKYHIVECLVQSKITFILKLNALEDAIDKETKLMETMAATAIGEEVRNEMDLHE HRRRSLMDIYNFMQSENVLGVANYALEYMMNATQKDVQARTKQMATRIVVIRLGAAMA HWRRQADKVLQGHILRRFINGVMEQTLYAAFRTWEMSMRELRVEQSTLAYRTKQGLIL DLRKSKRQDRYRLLVNSK H257_15939 MDAARIRVGSRRQTSRGGKRLSSLLAQNGKIFKRSVLTPEMVME EEKMAARMIQRMVRARVARNCFRRVLTEVYTKIYDKTTKQVRYVDNRTGVATETKPLL LLLMKCEGQEQIEPLRPDDAAIRIQHCVRSWRARLLLREMVRDLYQKHMDPKTMEYYY LNTQTKQVFYSKPVFLGDTDIELERFKYRHAACRLSTKANLIGNGVLVLFNRVHCILT DNFTLPDEETARFSRVQFNHCQGSIPFMIRLRSDLFFMTSTYTSYQLVADPTLDFSLC AIDADEFHIAAGDSVEPIKIAFNNRRMCCADDVLRHEEIEMVGHPHGKMAVVGRGHVD KFIPNMVKPKRLQYRNPMESGASGSPVFNFGGRLLGIHHHRSLREPAFDCTFLKPIVD FTREQITPPVPLLQSACLTHDMVNVYWQVPPQYKPWNGLKLEFVLEMCNRTRRGTQGY YDRFETIYTGPKATYTVHNLKPATKYAFRCRSAHFMDKSGWGAVMQVTTLPADAIAYM VKSNDALVHRQSILWVKERINNTRLSTPEDEVDPAILEWTNMEPQYIACNASAAIRES VDKFHHVDDHVLDCLDVLGQCCQYKTEFRDRMVTIPTFEWIAHLFPHFSSVAAVLEKA VGLLGHLVKDNEAGKEIFMTIQGIPMVLEVIEANIHAEGVVREACYLLAALCQNFRPA QQHMGVNLGIKVMSKVLTTFPYHPQVLYWACLTLGNVACDYEPNQARGQKYHIVECLV QSKITFILKLNALEDAIDKETKLMETMAATAIGEEVRNEMDLHEHRRRSLMDIYNFMQ SENVLGVANYALEYMMNATQKDVQARTKQMATRIVVIRLGAAMAHWRRQADKVLQGHI LRRFINGVMEQTLYAAFRTWEMSMRELRVEQSTLAYRTKQGLILDLRKSKRQDRYRLL VNSK H257_15939 MDAARIRVGSRRQTSRGGKRLSSLLAQNGKIFKRSVLTPEMVME EEKMAARMIQRMVRARVARNCFRRVLTEVYTKIYDKTTKQVRYVDNRTGVATETKPLL LLLMKCEGQEQIEPLRPDDAAIRIQHCVRSWRARLLLREMVRDLYQKHMDPKTMEYYY LNTQTKQVFYSKPVFLGDTDIELERFKYRHAACRLSTKANLIGNGVLVLFNRVHCILT DNFTLPDEETARFSRVQFNHCQGSIPFMIRLRSDLFFMTSTYTSYQLVADPTLDFSLC AIDADEFHIAAGDSVEPIKIAFNNRRMCCADDVLRHEEIEMVGHPHGKMAVVGRGHVD KFIPNMVKPKRLQYRNPMESGASGSPVFNFGGRLLGIHHHRSLREPAFDCTFLKPIVD FTREQITPPVPLLQSACLTHDMVNVYWQVPPQYKPWNGLKLEFVLEMCNRTRRGTQGY YDRFETIYTGPKATYTVHNLKPATKYAFRCRSAHFMDKSGWGAVMQVTTLPAATVAWE LKYCTSIADAIAYMVKSNDALVHRQSILWVKERINNTRLSTPEDEVDPAILEWTNMEP QYIACNASAAIRESVDKFHHVDDHVLDCLDVLGQCCQYKTEFRDRMVTIPTFEWIAHL FPHFSSVAAVLEKAVGLLGHLVKDNEAGKEIFMTIQGIPMVLEVIEANIHAEGVVREA CYLLAALCQNFRPAQQHMGVNLGIKVMSKVLTTFPYHPQVLYWACLTLGNVACDYEPN QARGQKYHIVECLVQSKITFILKLNALEDAIDKETKLMETMAATAIGEEVRNEMDLHE HRRRSTDIDIVSEN H257_15939 MDAARIRVGSRRQTSRGGKRLSSLLAQNGKIFKRSVLTPEMVME EEKMAARMIQRMVRARVARNCFRRVLTEVYTKIYDKTTKQVRYVDNRTGVATETKPLL LLLMKCEGQEQIEPLRPDDAAIRIQHCVRSWRARLLLREMVRDLYQKHMDPKTMEYYY LNTQTKQVFYSKPVFLGDTDIELERFKYRHAACRLSTKANLIGNGVLVLFNRVHCILT DNFTLPDEETARFSRVQFNHCQGSIPFMIRLRSDLFFMTSTYTSYQLVADPTLDFSLC AIDADEFHIAAGDSVEPIKIAFNNRRMCCADDVLRHEEIEMVGHPHGKMAVVGRGHVD KFIPNMVKPKRLQYRNPMESGASGSPVFNFGGRLLGIHHHRSLREPAFDCTFLKPIVD FTREQITPPVPLLQSACLTHDMVNVYWQVPPQYKPWNGLKLEFVLEMCNRTRRGTQGY YDRFETIYTGPKATYTVHNLKPATKYAFRCRSAHFMDKSGWGAVMQVTTLPAATVAWE LKYCTSIADAIAYMVKSNDALVHRQSILWVKERINNTRLSTPEDEVDPAILEWTNMEP QYIACNASAAIRESVDKFHHVDDHVLDCLDVLGQCCQYKTEFRDRMVTIPTFEWIAHL FPHFSSVAAVLEKAVGLLGHLVKDNEAGKEIFMTIQGIPMVLEVIEANIHAEGVVREA CYLLAALCQNFRPAQQHMGVNLGIKVMSKVLTTFPYHPQVLYWACLTLGNVACDYEPN QARGQKYHIVECLVQSKITFILKLNALEDAIDKETKLMETMAATAIGEEVRNEMDLHE HRRRSTDIDIVSEN H257_15939 MDAARIRVGSRRQTSRGGKRLSSLLAQNGKIFKRSVLTPEMVME EEKMAARMIQRMVRARVARNCFRRVLTEVYTKIYDKTTKQVRYVDNRTGVATETKPLL LLLMKCEGQEQIEPLRPDDAAIRIQHCVRSWRARLLLREMVRDLYQKHMDPKTMEYYY LNTQTKQVFYSKPVFLGDTDIELERFKYRHAACRLSTKANLIGNGVLVLFNRVHCILT DNFTLPDEETARFSRVQFNHCQGSIPFMIRLRSDLFFMTSTYTSYQLVADPTLDFSLC AIDADEFHIAAGDSVEPIKIAFNNRRMCCADDVLRHEEIEMVGHPHGKMAVVGRGHVD KFIPNMVKPKRLQYRNPMESGASGSPVFNFGGRLLGIHHHRSLREPAFDCTFLKPIVD FTREQITPPVPLLQSACLTHDMVNVYWQVPPQYKPWNGLKLEFVLEMCNRTRRGTQGY YDRFETIYTGPKATYTVHNLKPATKYAFRCRSAHFMDKSGWGAVMQVTTLPADAIAYM VKSNDALVHRQSILWVKERINNTRLSTPEDEVDPAILEWTNMEPQYIACNASAAIRES VDKFHHVDDHVLDCLDVLGQCCQYKTEFRDRMVTIPTFEWIAHLFPHFSSVAAVLEKA VGLLGHLVKDNEAGKEIFMTIQGIPMVLEVIEANIHAEGVVREACYLLAALCQNFRPA QQHMGVNLGIKVMSKVLTTFPYHPQVLYWACLTLGNVACDYEPNQARGQKYHIVECLV QSKITFILKLNALEDAIDKETKLMETMAATAIGEEVRNEMDLHEHRRRSTDIDIVSEN H257_15939 MDAARIRVGSRRQTSRGGKRLSSLLAQNGKIFKRSVLTPEMVME EEKMAARMIQRMVRARVARNCFRRVLTEVYTKIYDKTTKQVRYVDNRTGVATETKPLL LLLMKCEGQEQIEPLRPDDAAIRIQHCVRSWRARLLLREMVRDLYQKHMDPKTMEYYY LNTQTKQVFYSKPVFLGDTDIELERFKYRHAACRLSTKANLIGNGVLVLFNRVHCILT DNFTLPDEETARFSRVQFNHCQGSIPFMIRLRSDLFFMTSTYTSYQLVADPTLDFSLC AIDADEFHIAAGDSVEPIKIAFNNRRMCCADDVLRHEEIEMVGHPHGKMAVVGRGHVD KFIPNMVKPKRLQYRNPMESGASGSPVFNFGGRLLGIHHHRSLREPAFDCTFLKPIVD FTREQITPPVPLLQSACLTHDMVNVYWQVPPQYKPWNGLKLEFVLEMCNRTRRGTQGY YDRFETIYTGPKATYTVHNLKPATKYAFRCRSAHFMDKSGWGAVMQVTTLPADAIAYM VKSNDALVHRQSILWVKERINNTRLSTPEDEVDPAILEWTNMEPQYIACNASAAIRES VDKFHHVDDHVLDCLDVLGQCCQYKTEFRDRMVTIPTFEWIAHLFPHFSSVAAVLEKA VGLLGHLVKDNEAGKEIFMTIQGIPMVLEVIEANIHAEGVVREACYLLAALCQNFRPA QQHMGVNLGIKVMSKVLTTFPYHPQVLYWACLTLGNVACDYEPNQARGQKYHIVECLV QSKITFILKLNALEDAIDKETKLMETMAATAIGEEVRNEMDLHEHRRRSTDIDIVSEN H257_15939 MDAARIRVGSRRQTSRGGKRLSSLLAQNGKIFKRSVLTPEMVME EEKMAARMIQRMVRARVARNCFRRVLTEVYTKIYDKTTKQVRYVDNRTGVATETKPLL LLLMKCEGQEQIEPLRPDDAAIRIQHCVRSWRARLLLREMVRDLYQKHMDPKTMEYYY LNTQTKQVFYSKPVFLGDTDIELERFKYRHAACRLSTKANLIGNGVLVLFNRVHCILT DNFTLPDEETARFSRVQFNHCQGSIPFMIRLRSDLFFMTSTYTSYQLVADPTLDFSLC AIDADEFHIAAGDSVEPIKIAFNNRRMCCADDVLRHEEIEMVGHPHGKMAVVGRGHVD KFIPNMVKPKRLQYRNPMESGASGSPVFNFGGRLLGIHHHRSLREPAFDCTFLKPIVD FTREQITPPVPLLQSACLTHDMVNVYWQVPPQYKPWNGLKLEFVLEMCNRTRRGTQGY YDRFETIYTGPKATYTVHNLKPATKYAFRCRSAHFMDKSGWGAVMQVTTLPAATVAWE LKYCTSIADAIAYMVKSNDALVHRQSILWVKERINNTRLSTPEDEVDPAILEWTNMEP QYIACNASAAIRESVDKFHHVDDHVLDCLDVLGQCCQYKTEFRDRMVTIPTFEWIAHL FPHFSSVAAVLEKAVGLLGHLVKDNEAGKEIFMTIQGIPMVLEVIEANIHAEGVVREA CYLLAALCQNFRQSI H257_15939 MDAARIRVGSRRQTSRGGKRLSSLLAQNGKIFKRSVLTPEMVME EEKMAARMIQRMVRARVARNCFRRVLTEVYTKIYDKTTKQVRYVDNRTGVATETKPLL LLLMKCEGQEQIEPLRPDDAAIRIQHCVRSWRARLLLREMVRDLYQKHMDPKTMEYYY LNTQTKQVFYSKPVFLGDTDIELERFKYRHAACRLSTKANLIGNGVLVLFNRVHCILT DNFTLPDEETARFSRVQFNHCQGSIPFMIRLRSDLFFMTSTYTSYQLVADPTLDFSLC AIDADEFHIAAGDSVEPIKIAFNNRRMCCADDVLRHEEIEMVGHPHGKMAVVGRGHVD KFIPNMVKPKRLQYRNPMESGASGSPVFNFGGRLLGIHHHRSLREPAFDCTFLKPIVD FTREQITPPVPLLQSACLTHDMVNVYWQVPPQYKPWNGLKLEFVLEMCNRTRRGTQGY YDRFETIYTGPKATYTVHNLKPATKYAFRCRSAHFMDKSGWGAVMQVTTLPADAIAYM VKSNDALVHRQSILWVKERINNTRLSTPEDEVDPAILEWTNMEPQYIACNASAAIRES VDKFHHVDDHVLDCLDVLGQCCQYKTEFRDRMVTIPTFEWIAHLFPHFSSVAAVLEKA VGLLGHLVKDNEAGKEIFMTIQGIPMVLEVIEANIHAEGVVREACYLLAALCQNFRQS I H257_15940 MTQIDLSAANTLEKVSEFAQLNVGSYFNFIGMGRKGNRVTANTD EWRAKLTDMQTIHMKRNKIPMIFGIDSVHGATYVDGSVLFPQSINTAATFNPVLAQGL GKYMARDTKAAGIPWIFGPTLDVTRHKHWPRVYETFGEDPTVVADMGAVVIEHIQQEG IAACFKHFISYSDPTSGLDQDNSELSSYEILNYFMPPFKAAVEADVWSGMGTFIALNK VPLAANELMHRGLLRGDLGFKGLMVTDYNEINLLQSRHRVVKTTLEAVELSMNTTSYD ISMVPSDDSFIRSGKLLVAQNRLPEARLRESAFQIMKLKLKLNLFDVPVPGADVVSQL GDEASQLAALGIAQESMVLLKNQDNVLPLTPEKAASIFLTGSSADSIGLMCGGWSLWW QGTYMSDVFRLGASVRKAMETTLGGPISYYHGFDTDGLLVNNDGKAGDLETAKALAAK AEYTVVVLGERAYAEFKGNDDKQPLPPGFLTYVQALKSTGTKVILVLVEGRPRLLNGM AELAHAIIFAGLPCEFGGQAIVDVLLGKVNPSGKMAMTYPKTETSINLATPYYKRTTS YCVKDGATFDCPAEWQFGDGLSYTSFTYSNVSISTTSLTYSATESNGYTISVTVQNTG LIHGQETVLLFGTPPATRVNAETKLLKRYTKLSLAAGEAKVVTFSLSHQDLGYYSNDI GHGLKKDAPSGIYTFFIKHDTDCTATSNPLCMALHWDNPTQASFNPTSTSNAVASVDN PAATSTSVSPISMNLIVGLATGVGCALVLMGIAYMVCQKKQQRRRGGRRRANIFDDDV VVVMESKEQRKKDAVLVGGPK H257_15941 MNLIVGLATGVGCALVLMGIAYMVRQKKQQRRRDGRRRANIFDD DVVVVMESKEQRQQDAVLVGGPK H257_15942 MTQIDLSAANTLEKVSEFAQLNVGSYFNFIGMGRKGNRVTANTD EWRAKLTDMQTIHMKRNKIPMIFGIDSVHGATYVDGSVLFPQSINTAATFNPVLAQGL GKYMARDTKAAGIPWIFGPTLDVTRHKHWPRVYETFGEDPTVVADMGAVVIEHIQQEG IAACFKHFISYSDPTSGLDQDNSELSSYEILNYFMPPFKAAVEADVWSGMGTFIALNK VPLAANELMHRGLLRGDLGFKGLMVTDYNEINLLQSRHRVVKTTLEAVELSMNTTSYD ISMVPSDDSFIRSGKLLVAQNRLPEARLRESAFQIMKLKLKLNLFDVPVPGADVPASS LR H257_15943 MSAKKYQVVLARHCIHEDWGFVSEERGRGRIVTSVVKDGPADRS GLKQGSAILQWSRWRIDWGKSVSKNPDEVSHTLTTVQKCEHEGRNQVLRNMYPELRSD CSCLRLGILDWYVSTKILLYNHMRSMIPALGECTHEEILADIHNSDDDDKTTSHKRKR V H257_15944 MKDHLREWITEQPALKAKHLFTKLEAAHARGEFGDVPLPSLAQV QEVVKYITKKFMIHASTVAAVQAVIVQHGMPDHADDANAHEPFVFGVATDNGVTTFDL LHQYRAACLGNPDVRILCHVDTTFKTNKSGLSS H257_15945 MYGAFCTSGTTIVAIAMTTPPPPYSITHALQGHGGAVRAIADVH GTVVTGAMDAAVLCWDLATSTPVQSIYEHSHWVTAIVSLNAAHESLLSSVGFATGGMD THVRVFTRASDAQFACVAVLQGHTSGVISLSWLQTDTTSLLLSGSWDGTCRGWDVATQ TCRFVLPDHENGVCVLGLPNGVVITGSTGKQQGNQVVDARIRMWHQVSPSAYELTRTL TDHQGPVRQLVQVDAIGFASCSNDGSIKVRAADDGAVLATCQHPLNHEGKPGFVLGLA YLPHSQHLVSASEDCTARVWRLDGTLVQTIDHPSGLWCVVALPGGAFATGAEDKQVRV FSSSPSHLNDALEAALAAQVAEAHVQAARGPSAVEIEKLPAYEQRARQVGKSDGMVQM FRRDNVAWACQWSAVSATWVDIGQVTGTGSGGVVDGDAYDMVIPVEIETPSGVKKLYE GYL H257_15945 MYGAFCTSGTTIVAIAMTTPPPPYSITHALQGHGGAVRAIADVH GTVVTGAMDAAVLCWDLATSTPVQSIYEHSHWVTAIVSLNAAHESLLSSVGFATGGMD THVRVFTRASDAQFACVAVLQGHTSGVISLSWLQTDTTSLLLSGSWDGTCRGWDVATQ TCRFVLPDHENGVCVLGLPNGVVITGSTGKQQGNQVVDARIRMWHQVSPSAYELTRTL TDHQGPVRQLVQVDAIGFASCSNDGHVSTPIESRRQAGVRTRSGVPSALAALGLCQRR LHRPGVEIRWYPCADNRPPEWIVVCRGSARGCLCHWRRR H257_15945 MYGAFCTSGTTIVAIAMTTPPPPYSITHALQGHGGAVRAIADVH GTVVTGAMDAAVLCWDLATSTPVQSIYEHSHWVTAIVSLNAAHESLLSSVGFATGGMD THVRVFTRASDAQFACVAVLQGHTSGVISLSWLQTDTTSLLLSGSWDGTCRGWDVATQ TCRFVLPDHENGVCVLGLPNGVVITGSTGKQQGNQVVDARIRMWHQVSPSAYELTRTL TDHQGPVRQLVQVDAIGFASCSNDGSIKVRAADDGAVLATCQHPLNHEGKPGFVLGLA YLPHSQHLVSASEDCTARVWRLGTLYWAPNY H257_15946 MVIPVEIETPSGVKKLEIGYNQGQNPFTVAQAFIDKHLLNPSYL KEIADYISDRSANYQPPLLGDHSTPSTEKATPLPPPTPPAPSSAYFPLKTFATFDTAK IAKLFATVDQFNTLYPVLTAEELTVVSSLISTLQQTSYYHSSVVTKIQVGVVCKLVGQ WPSSHVFPALDLLRLVLVHPVGATHVPDTAASLVLGHAFAPDAADATRFLALRVLANW TPHAPSKVIALVPTVAEGINSAWPAISSWSKPLALSVATLWMDVVVALTTSGNLDENR AATIVATQLAELCMAIADEETLGRVLVAIGSLAVTFPSVGVAGISAAVLQDKASKFLP ASAIHAIVSDVVAVLKTK H257_15947 MKRSLVVVAVVTAAASTDIDMACTSTVWPVLGVLRKSTEAAVCV KALIPESPPKQLTFLDIPYENRTDVIHRMAELKPCQAWFDKATTTIRAITPPCVYTQG NDSYATSTFNLTFIEYLDRFEWPWTGEHDNDQSPRPTLFKPVNTKTPSTGMSTSPTTT TTQVASKAASFAPPLLSYVLVLVLIAIVYGG H257_15948 MYAATAKTTAPAAETDADAVTVGAAVVVVLEVAFAPGDLVVVLE VTLASGDLVVVMEVTLAGLVVTMGFTEALVVVGAGVEAALISPTWLFLARNSLNVQFN LSVSYTGESPTMYVQGGDTPLMADAIASYHLEQSALARSLAWSDDEVVDVNTGVMSVP PITLAQALATGLVKCGTTALAMERVH H257_15949 MKSVSLFTAAIIATATAQQQDTDPSQKCEDSVTTVLAVETVDPA ILVCAKDSGVTIDLSVIPTDADLAKVVATKSCTVWWDGVVSKVKAISPPCDFPVEGGS VVNTAKFNWGLRDFYAFVKEDATTTDIPPPTTTTAKPPATTANVSTVTTVKNTTNATT TVKPDMIVSPKPVTAITTAPPAKTVASNAAVVAVSVAAVAVATMFM H257_15950 MTNAANVTTTTLAAATAALEATVVTGLAVVVATGLTVVALAAAP VDALAYAYMSLRPQLNLAVLTTLPPSTGKSQGGEIALTWTTTPSHHTVQDLVATTLAM SASFGGAVSSMGTPESVEHTCISGMRAPKANTVITDSTHFLDTSCWADALATRAAVNR TRDFMGRIGQ H257_15951 MSLRPQLNLAVLMTLPPSTGKSQGGEIALTWTTTPSHHTVQDLV ATTLAMSASFGGAVRSMVTPESVEHTCSSGMKAPKANTVVTDSTHFLDTSCWADALAT RAAVNRTRDFMGRIGQ H257_15952 MRSGRQSFALGLIVAVLICFTTAKPVPKCDTLFNFRSYFQLVLG DASIVPCMTATGISTIIGSSDSEASQEQVAKFFDSVDCRALYNAMRINFRDSVPDCTI DSFGTSIKQYGSLEFDQIRAVYEQAMPGRSVAPIQRR H257_15953 MDAEYYIQHLEMAPHPEEGGFFAIRHRSTFQVDTPGSRGPPRRP VLSTIHYMLRQPSPVMFVHVNAKSDISHFWHAGEAIAYILVDPSTDELMNVVLGPHLH EGQVLQFTCPAGWWKAATLPVDTISGFGLLSEAVGPAFDYADNFLLQEEDIAHSKHAS IVRPYLRPPGWVRPTPREPQANYGATD H257_15954 MAKEGVRIVSTGAIWGYVIVSLSAIFFITFMYLIVVAKVLPPPT PGRSFPMMDAIRGDQHYCYLVPLTIPVAFIAMYVSWVSLKYFRQN H257_15955 MQATMPPPPPTTSVAIPTWFKDHPHIVPWDDGFQPTGASSASGT PSSGYMVTDQPRALPGVFRVTENAVSESLAAQLYASAVDVRVWGVYVLTTEIFDKHLE AFPDSKEDHARHTLALHAIREFLVDSQALPSQDWDNTHGVVVWVITSDVDDVVAYHID YAEMFRYQTNITYPPLYSGTLHVSPLSADTIHGGAFYAHPDGLSHYKIHGYKGSQQPV TVHDHLVPYTHRQGVLIDGNMPHGSTPVTRLPPGVRRVVVGLNMFNHEIGPFTQAYPE HSAKFNKYVKVAQAAAKTRQPELSVSTIRANPKQAAFLVYLLRKAKEKNLIHNNQFVG LNT H257_15955 MVTDQPRALPGVFRVTENAVSESLAAQLYASAVDVRVWGVYVLT TEIFDKHLEAFPDSKEDHARHTLALHAIREFLVDSQALPSQDWDNTHGVVVWVITSDV DDVVAYHIDYAEMFRYQTNITYPPLYSGTLHVSPLSADTIHGGAFYAHPDGLSHYKIH GYKGSQQPVTVHDHLVPYTHRQGVLIDGNMPHGSTPVTRLPPGVRRVVVGLNMFNHEI GPFTQAYPEHSAKFNKYVKVAQAAAKTRQPELSVSTIRANPKQAAFLVYLLRKAKEKN LIHNNQFVGLNT H257_15955 MQATMPPPPPTTSVAIPTWFKDHPHIVPWDDGFQPTGASSASGT PSSGYMVTDQPRALPGVFRVTENAVSESLAAQLYASAVDVRVWGVYVLTTEIFDKHLE AFPDSKEDHARHTLALHAIREFLVDSQALPSQDWDNTHGVVVWVITSDVDDVVAYHID YAEMFRYQTNITYPPLYSGTLHVSPLSADTIHGGAFYAHPDGLSHYKIHGYKGSQQPV TVHDHLVPYTHRQGVLIDGNMPHGSTPVTRLPPGVRRVVVGLNMFNHEIGPFTQAYPE HSAKFNKYVKVAQAAAKTRQPELSVGCGR H257_15955 MVTDQPRALPGVFRVTENAVSESLAAQLYASAVDVRVWGVYVLT TEIFDKHLEAFPDSKEDHARHTLALHAIREFLVDSQALPSQDWDNTHGVVVWVITSDV DDVVAYHIDYAEMFRYQTNITYPPLYSGTLHVSPLSADTIHGGAFYAHPDGLSHYKIH GYKGSQQPVTVHDHLVPYTHRQGVLIDGNMPHGSTPVTRLPPGVRRVVVGLNMFNHEI GPFTQAYPEHSAKFNKYVKVAQAAAKTRQPELSVGCGR H257_15955 MQATMPPPPPTTSVAIPTWFKDHPHIVPWDDGFQPTGASSASGT PSSGYMVTDQPRALPGVFRVTENAVSESLAAQLYASAVDVRVWGVYVLTTEIFDKHLE AFPDSKEDHARHTLALHAIREFLVDSQALPSQDWDNTHGVVVWVITSDVDDVVAYHID YAEMFRYQTNITYPPLYSGTLHVSPLSADTIHGGAFYAHPDGLSHYKVRLNLIFHAIF SIKIGKFTRFCRFTGTRGLNSP H257_15955 MQATMPPPPPTTSVAIPTWFKDHPHIVPWDDGFQPTGASSASGT PSSGYMVTDQPRALPGVFRVTENAVSESLAAQLYASAVDVRVWGVYVLTTEIFDKHLE AFPDSKEDHARHTLALHAIREFLVDSQALPSQDWDNTHGVVVWVITSDVDDVVAYHID YAEMFRYQTNITYPPLYSGTLHVSPLSADTIHGGAFYAHPDGLSHYKVRLNLIFHAIF SIKIGKFTRFCRFTGTRGLNSP H257_15955 MQATMPPPPPTTSVAIPTWFKDHPHIVPWDDGFQPTGASSASGT PSSGYMVTDQPRALPGVFRVTENAVSESLAAQLYASAVDVRVWGVYVLTTEIFDKHLE AFPDSKEDHARHTLALHAIREFLVDSQALPSQDWDNTHGVVVWVITSDVDDVVAYHID YAEMFRYQTNITYPPLYSGTLHVSPLSADTIHGGAFYAHPDGLSHYKVRLNLIFHAIF SIKIGKFTRFCRFTGTRGLNSP H257_15956 MYSGRHLPLYSNDGGDSPADTLRRLFLQDDIDGMQSVIDASSTR TSLCCVNPSSILLDSLRPWLQPIVASSGTVLSIGSGSGLFEMLLARHLGPVEPSHERM SVLGVDTAPIDVFLWDCFRLVRDDASVIDTDDKHDLHVSALLAVYLRRPSLLVTYLHA YPHVEVIVLIGPRSENPLLDPHTAAAVSAWGHGVAEITDGVARWDLCQVLRKHLDI H257_15957 MPTNAKVLAHEFLKDLERDSYFPPDLVLKGKQLLRQLCDDIEEA KPLTPAGLLDLTHATTESFNELEEEFEARGSMLETVARDAIGSDIGFIAAAYGFDVDV EELISNREW H257_15958 MLVLGGLVALLAGASDAFLFGRNARASTNTTSVPYKDAFDAVES ANLSHSYNVIAQVHLQNFEFKYDSIPGRRQLGLLASPSLKDLLQDGIHVYAERHVFTQ DKHKVTIQNFHAVDKDALFMHNVGATQVLIRRAAEVQMALRSLASEVATAQSQLTLLQ GHLETEASAVLVEQRKIAEAGVKHVELEIEKAKVQGMEDRLSLDVKKENELAVADKQH ALHTERIQYEDIQRRAQNKELVDLQEAANQRMEVQRRNTETILKEKQLAADKERMWVE QNTTLEKAVIDVEGRIKQQRLNHDIEMQQLQTTLAAEQEKILRALQSAFDNIGSGVMT LLADSDKLMHFVGTVVAIACGIYLTRETIRIVGRLVEQRLGKPSLIRETSRQGGLLGW FRSWFQAPVGLESFQDVVVHAALESRLLGLARATRNAKKHNAPYRHLLLYGPPGTGKT MVAKRLAAASGMDYAIMSGGDVGPLGSDAVTELHALFHWAQSSPRGVLIFIDEAEAFL GCRATRKTHMSEAMRNALNALLFHTGTQTKQFMLVIATNRPEDLDTAITDRIDDALLF DLPEPAERLRLMRLYYHECVASLPGGDTCVGVLDQYDKATDGMSGREIAKMMLYLQNM AYAQDVVGIDAALVGRVIVDKIDEHKRKAELKSYKDDTLSSQ H257_15958 MLVLGGLVALLAGASDAFLFGRNARASTNTTSVPYKDAFDAVES ANLSHSYNVIAQVHLQNFEFKYDSIPGRRQLGLLASPSLKDLLQDGIHVYAERHVFTQ DKHKVTIQNFHAVDKDALFMHNVGATQVLIRRAAEVQMALRSLASEVATAQSQLTLLQ GHLETEASAVLVEQRKIAEAGVKHVELEIEKAKVQGMEDRLSLDVKKENELAVADKQH ALHTERIQYEDIQRRAQNKELVDLQEAANQRMEVQRRNTETILKEKQLAADKERMWVE QNTTLEKAVIDVEGRIKQQRLNHDIEMQQLQTTLAAEQEKILRALQSAFDNIGSGVMT LLADSDKLMHFVGTVVAIACGIYLTRETIRIVGRLVEQRLGKPSLIRETSRQGGLLGW FRSWFQAPVGLESFQDVVVHAALESRLLGLARATRNAKKHNAPYRHLLLYGPPGTGKT MVAKRLAAASGMDYAIMSGGDVGPLGSDAVTELHALFHWAQSSPRGVLIFIDEAEAFL GCRATRKTHMSEAMRNALNALLFHTGTQTKQFMLVIATNRPEDLDTAITDRIDDALLF DLPEPAERLRLMRLYYHGTYCILYIYRTYIYFD H257_15959 MSTTSSIECSVTEGQAMLLLSVSLLSLCGCLYVIVTYMYVPALR QHPAGIMFGMSVYGAVYQFMYIVESHATPSAACRDVPFIVDFFLTGQETYMLIFAIDL LLALKNPFTASKGQMNRYHIAGCVWRCDNHFALFGFCWMDVATASLKDKSSARSPPAG LFFALYILLIYTTSLYAILRTWNTLLKGLPDTFTTRDRIHRHLKYYVGCFVFYWTGVL VTYAVYTIVPYESPHKCLLWKCLSSVLLAKGMVNSLIWTRTSNILKIIHQLREFGHVD LPPHDTSINWALRLEILTNTTKGICESIERAEMEAIHGHSTDRHIKYTQIEEIPLSCS SSAATVVFYDYAPHIFRFLRSCANISNASYRDSLQQTTKERVSEGKSGAFFYFTQDRK YVVKTLTHEELKFLLQILPKYVTFMSANPDTFVTRFFGCHALTMYGKTMFFIVMQSVF DTRLPIHERFDLKGSWVGRLEGRKTRGTAAMCKFCGNEYIIGGSHDQLCDVRSNNGTL RHQYDNVGKDLNWNHHVKLPRPVAAQVAKQLHTDSTFLSQINCIDYSLLVGIHHRTFH VGGRKHSTPSQPDTRPVDGPSDTLGACVLDAMATNTTTHTALDESPRYATSFDSDESP LYATSFHHGGMGVEQVYGPGVYFVGLIDILQQWNVRKRLEHFVRVYLCGQDRLGISVV APHEYADRFQKRVVRDLIHDLHEVPPKRHRPRPSMMDNGDDEVDEVSSFASDSTYDTG HFDTFLSVDPVTPRSSLNLFPMLKRPEDTGISSRRQRPGGGEYAIEQETTCHRMGEDG PTNEARRNEEEYASMQTPQGLAMLERSSDSHV H257_15959 MSTTSSIECSVTEGQAMLLLSVSLLSLCGCLYVIVTYMYVPALR QHPAGIMFGMSVYGAVYQFMYIVESHATPSAACRDVPFIVDFFLTGQETYMLIFAIDL LLALKNPFTASKGQMNRYHIAGCVWSLIFAGISRCDNHFALFGFCWMDVATASLKDKS SARSPPAGLFFALYILLIYTTSLYAILRTWNTLLKGLPDTFTTRDRIHRHLKYYVGCF VFYWTGVLVTYAVYTIVPYESPHKCLLWKCLSSVLLAKGMVNSLIWTRTSNILKIIHQ LREFGHVDLPPHDTSINWALRLEILTNTTKGICESIERAEMEAIHGHSTDRHIKYTQI EEIPLSCSSSAATVVFYDYAPHIFRFLRSCANISNASYRDSLQQTTKERVSEGKSGAF FYFTQDRKYVVKTLTHEELKFLLQILPKYVTFMSANPDTFVTRFFGCHALTMYGKTMF FIVMQSVFDTRLPIHERFDLKGSWVGRLEGRKTRGTAAMCKFCGNEYIIGGSHDQLCD VRSNNGTLRHQYDNVGKDLNWNHHVKLPRPVAAQVAKQLHTDSTFLSQINCIDYSLLV GIHHRTFHVGGRKHSTPSQPDTRPVDGPSDTLGACVLDAMATNTTTHTALDESPRYAT SFDSDESPLYATSFHHGGMGVEQVYGPGVYFVGLIDILQQWNVRKRLEHFVRVYLCGQ DRLGISVVAPHEYADRFQKRVVRDLIHDLHEVPPKRHRPRPSMMDNGDDEVDEVSSFA SDSTYDTGHFDTFLSVDPVTPRSSLNLFPMLKRPEDTGISSRRQRPGGGEYAIEQETT CHRMGEDGPTNEARRNEEEYASMQTPQGLAMLERSSDSHV H257_15960 MDDHDENVDGGAPPLILPSILEFYSRLLGYVVVLGILYLMATRC AAAVEDTAPKERPVLRPGLMVDEDEGDNGDEETDDEDEGHVVEEIDTDEMIKGDGTRN RKAKKAPAPAAQSGPFPKELNPLTYKQPENEKVSFRDLHNAMLNRYKDKYPNHGPTVA SPSAADEYDEDMKALLREHLGK H257_15960 MDDHDENVDGGAPPLILPSILEFYSRLLGYVVVLGILYLMATRC AAAVEDTAPKERPVLRPGLMVDEDEGDNGDEETDDEDEGHVVEEIDTDEMIKGDGTRN RKAKKAPAPAAQSGPFPKELNPLTYKQPENEKVSFRDLHNAMLNRYKVRTILVAIHRP SLAHSCRPLYPTQDKYPNHGPTVASPSAADEYDEDMKALLREHLGK H257_15961 MFLARRQRCGLLALRRQPAFVQRWKSTGGGSVVPVEIQLTPAEE KLFEVLQFVRKKYAPSATLRVAGGWVRDKVLGIPSDDIDIALDTMTGEAFVKKIVAFQ KACGVPIKGFYIVKKNAEQSKHLECAAIKLLGHELDFVHLRSESYSDPTSRIPTLSDV LATPKEDAMRRDITINALFYNLNTKTVEDFTGQGLSDLHRQVIRTPLAPTDTFLDDPL RVLRAIRFASHYDFKLHPSLVQAMQSSQVQGALTQKITRERVGIELRKMLSGANPSLA LHWMCQAKLLPIVFPTFFHRPEATVVDGLSTIQFVQAVVAKTQEVKIGVKDQFDHRLM LASALVPFDQRVQNNSENQTVKMDATSIQRMLQCLVHVVFPLVSQTTIHRDTSSPNIN GVRVAEKLVVDDKALVHSALAFLSNSKLLDKQHHNSKQRTDAIKHDLKWSNADASHAS DVMDGCRRFHQLGYISQQLDKQSQQPHNTPDDFNMVVHLCLWYRLHASTNDLLGHILP IVWHDGQEGSLQHNDESSLLNVKSVREVLEAAKSLASQPKNQRTRFPAPELQSYIRKK SSTWQLSDVLHVVAVWEWLHPTASMEDEREFVGNVLALIVNDGASKKPTKANK H257_15961 MFLARRQRCGLLALRRQPAFVQRWKSTGGGSVVPVEIQLTPAEE KLFEVLQFVRKKYAPSATLRVAGGWVRDKVLGIPSDDIDIALDTMTGEAFVKKIVAFQ KACGVPIKGFYIVKKNAEQSKHLECAAIKLLGHELDFVHLRSESYSDPTSRIPTLSDV LATPKEDAMRRDITINALFYNLNTKTVEDFTGQGLSDLHRQVIRTPLAPTDTFLDDPL RVLRAIRFASHYDFKLHPSLVQAMQSSQVQGALTQKITRERVGIELRKMLSGANPSLA LHWMCQAKLLPIVFPTFFHRPEATVVDGLSTIQFVQAVVAKTQEVKIGVKDQFDHRLM LASALVPFDQRVQNNSENQTVKMDATSIQRMLQCLVHVVFPLVSQTTIHRDTSSPNIN GVRVAEKLVVDDKALVHSALAFLSNSKLLDKQHHNSKQRTDAIKHDLKWSNADASHAS DVMDGCRRFHQLGYISQQLDKQSQQPHNTPDDFNMVVHLCLWYRLHASTNDLLGHILP IVWHDGQEGSLQHNDESSLLNVKSVREVLEAAKSLASQPKNQRTRFPAPELQSYIRKS STWQLSDVLHVVAVWEWLHPTASMEDEREFVGNVLALIVNDGASKKPTKANK H257_15961 MFLARRQRCGLLALRRQPAFVQRWKSTGGGSVVPVEIQLTPAEE KLFEVLQFVRKKYAPSATLRVAGGWVRDKVLGIPSDDIDIALDTMTGEAFVKKIVAFQ KACGVPIKGFYIVKKNAEQSKHLECAAIKLLGHELDFVHLRSESYSDPTSRIPTLSDV LATPKEDAMRRDITINALFYNLNTKTVEDFTGQGLSDLHRQVIRTPLAPTDTFLDDPL RVLRAIRFASHYDFKLHPSLVQAMQSSQVQGALTQKITRERVGIELRKMLSGANPSLA LHWMCQAKLLPIVFPTFFHRPEATVVDGLSTIQFVQAVVAKTQEVKIGVKDQFDHRLM LASALVPFDQRVQNNSENQTVKMDATSIQRMLQCLVHVVFPLVSQTTIHRDTSSPNIN GVRVAEKLVVDDKALVHSALAFLSNSKLLDKQHHNSKQRTDAIKHDLKWSNADASHAS DVMDGCRRFHQLGYISQQLDKQSQQPHNTPDDFNMVVHLCLWYRLHASTNDLLGHILP IVWHDGQEGSLQHNDESSLLNVKSVREVLEAAKSLASQPKNQRTRFPAPELQSYIRLA IISSIWLKSTIFDEIKCIDDIYYSYK H257_15962 MSAPNMTIMVHVHDKIVAVCCGSGSQRLQWLGHVAIARYDASNE QGWMQFGTTPPPSMQCMPLTTWTCRTTCGDSKCQRRRISHDRHCMRSPCGQGTHLRRH VADTLTELSLRP H257_15963 MASKRESFSPMKSKRLDEKTTLQELNNRLEMYVLRVKEVQDSRD VAEKELDTIRDRMQMDLSMTKTRLSKELEDTRKLLEFEIDQKTRLQVLEQEQHTELVK LRTQVKEFGDIRVELDAVQGELAKEKESSKAAKEALALQTTSLQSARRKLKDLDKENR KLASSLSDTTNELEQLKQKTSEFSLTRDTEITLVRKEMNAKHLEALAAWRRESEDRLH NIEAEVRSHFEGQIEGLRSQVEEANLELDSLKIEYERTANDYDESLKIRQSLTDKLST IETQYRNERKKFQEDRKTYEINIDNARQARLAKETEFNDLMDIKIALDAEISAYRSIL DREETRVGIDHANHSKKRKASLTPVKSTSSRQHKRRKSHSTGAVRITYLNLEQGRITL ENTGTVALPLAGWQVTSKATNVVFAFPEDYVIQPSGHVSVISGRNAAPTEEEKESMDF YVIKKAMWNSHADVAQLTNPAGDVVSSYAEGMTVDDDDVDAADTPVKEGCGIM H257_15964 MTTDAPKADEAAAFLDANKNERVCWVEPAFHRGTSLVVRTRQTV WGFGPRWCTVGPHWPLMVGTFVIFAGFAIFTMSVVLTNPDASWTEAMGGFVVISSSLV SYALVACTDPGVVPYTPTLQLPTDGYCDYCASFRPTGATHCSECQVCILEYDHHCPWT GKCIGKYNLRYFYLWLITLVLSFVFEMIQLTKYLLPPPKR H257_15965 MTCQLWTRRHFSGIVAMGKGTSVVMALLAVLAAIVYVEEVQRHP LYVQHVAPLVKEHVAPLYRQAEAQYAAHVAPLVHEHMTPLYEAHVAPLVRSLSSSVQS SKDAEPQTTQSFSEAWCNEHAASHLTEVKPIEGFHVLCLDASSDKITGWVYRDGFAST PAVPFTASSSWASFNESVESAANIAPPSTPHEIEYKQPWGLFTPTGTRMDALTKYRGI AYVMEGGQFVWPGIRIGHKRVIPNLHGLGDVVLETLEMTPLVFAVTEFLTNDEIDVIL DLSMDHLAPSGVTHNDDDVGKPATEWRTSTTYFLSSKGHPTLEGIDQRVADLVKVDVS HQEDVQVLRYQLNQKYDAHLDYFSIDQLTKNPAMVASLHHGLKNRMITVFWYMSDVPR GGHTVFPRAGGAPQPSDFTDCSKGLLSTPQKRKVIVFYSMLPSGRGDPYSLHGGCPVL EGIKYSGNKWIWNKQYA H257_15966 MLRQLLGLRVPVIQAPMYGVSTPALVAEVAKHGALGSYGAGVLP PADVRKDLTEIVRLVPDKRFNFNVFVETSMPSAVNDSASNWDAYDRLLQPVRAALHLE GPTVSPEVGSSTATTSFLDEHLALAQEFRPSVVSFCFGVLDRAVIRDLQAFSLVVGTA TSVEEAQVLVDAGVDAIVTQGSEAGGHRGSFLTTSHPIDALIGSMALIPQICDAVGRS RVPVIAAGGISDARHVRAALALGADAVQVGTAFVGTPESGAPAVWKAALGSQANSQST TVTRGLTGRPARMFRNALVEVLQPYEELAASSPRQRHRMRDIFQRKQAQYMALLAGQS HRMCDAHTTVEAVLRRLVE H257_15967 MFSMWSTAAAGGTAAAATTNPFLAAVELHFPLLLRHVINLNPPR TDTPPSSIKFLVCVPQSLSLLTSDVTLADLYSHVLVADAAVGQYQTLDGTYVAIAGSY LLTKPGLDSHPGRTVRIVMTDAYSHPAMTPPDAYQCMILHINRPLVGGVAVPEDMGEM DRATFRRYIAMIRAYPESAPVFSSIDVFVQDLLSSRKPPQHYLTPRRLRHVWTTCVNL LDDNGTLDTDAALLIAAHSQHRQIQLAQAVESYLMEQVHDVAFSAVVARCRSKDDAVH TAWRRLEMATPQDFNISREFQCEQRQAIDLIAHTHIHCHTPLAMLMQLKRALTCLNEA ISRHLARHRRVAAPPKQQLLSTDDVLDQLLYILVQVSKQRPAFPLAAIISYIEDYHFV NSSVSALGFALANFQVALEWFTSSHSPIAPLGRVAMPVSIQGKQSYLASFTRDAATSS SCCHVLGSAASFHDHPFHFPHTIRHVACGARWFGVVTDNGHLFTWGDASGGRLGVADR NNMQVPTRVPQLSHVVQVSCGGWHVLACDLHGHVYSWGMNANGQLGHAAPSDDSIATP VLIDALCGVYISAVACGAVHSLAVTSSGQVYSWGSNRFDQLGQRDVDDTVSQAIPRRI EQDWGGRNRRFERRHVPLDGGGGLDTRPGAAMQVAAGTNHSMVISRDGALFVWGCGHT GQLGLGSYMDVSVPTQVMQLTQNDDDDQEPMVVTAVGGGAGYTCVLLQSGQVLYTGQV LVHADAAPPPSTEFRPLPIAQSDDDAWVPGVAIACGPTHCAIVASTGRVMMWGSNNQG QILPHDGTTDVVHPPMWLPPRVSLVACGESHSILVVPS H257_15967 MFSMWSTAAAGGTAAAATTNPFLAAVELHFPLLLRHVINLNPPR TDTPPSSIKFLVCVPQSLSLLTSDVTLADLYSHVLVADAAVGQYQTLDGTYVAIAGSY LLTKPGLDSHPGRTVRIVMTDAYSHPAMTPPDAYQCMILHINRPLVGGVAVPEDMGEM DRATFRRYIAMIRAYPESAPVFSSIDVFVQDLLSSRKPPQHYLTPRRLRHVWTTCVNL LDDNGTLDTDAALLIAAHSQHRQIQLAQAVESYLMEQVHDVAFSAVVARCRSKDDAVH TAWRRLEMATPQDFNISREFQCEQRQAIDLIAHTHIHCHTPLAMLMQLKRALTCLNEA ISRHLARHRRVAAPPKQQLLSTDDVLDQLLYILVQVSKQRPAFPLAAIISYIEDYHFV NSSVSALGFALANFQVALEWFTSSHSPIAPLGRVAMPVSIQGKQSYLASFTRDAATSS SCCHVLGSAASFHDHPFHFPHTIRHVACGARWFGVVTDNGHLFTWGDASGGRLGVADR NNMQVPTRVPQLSHVVQVSCGGWHVLACDLHGHVYSWGMNANGQLGHAAPSDDSIATP VLIDALCGVYISAVACGAVHSLAVTSSGQVYSWGSNRFDQLGQRDVDDTVSQAIPRRI EQDWGGRNRRFERRHVPLDGGGGLDTRPGAAMQVAAGTNHSMVISRDGALFVWGCGHT GQLGLGSYMDVSVPTQVLTRSFYPNLDL H257_15968 MHVQCSLYLANCVHDKLFQPSYVRCQKSQGQKILRCFPHCCPRH IHYRNCGCSLSLHVQTITTCTPTAITTIDATTAAAPSGAYDNGRILVAYAKFSQLEED VDWAVGDSVPASAVLDDLRSRGTPFRTWIPGHVEWAEANMWSFQFDEKKGDGWHYGWK SGRSRTQRTSAHVLKAFVFDPSGTTQRRDQWRVVGVASSTPFTITSYRGEHNKKQKRT SSNSAKRTSTTRPLQHLDPSSVPSTSGTSSDEDDQADRPPDVDENGAVVRRRKVPSSG YITTSAIACSSRPLSHTTPSAAPPPIPLDPMHRQPTPPSIPEHQDDLAQLFGLLHVLE TGDFPTALWAPFEKSWLAPKGIHTNGQCLLLPHNPRRQPPQSQFHSSGASPHHLEVAL ALISSLRDASGRVQATLIRYGDAVLDKQCALHLFTDCMGILQAQVVSVLLQQQGRNRP STVPELLRHLPSIRQPTNHLYRLFVAQLRETFIVTQVKRPGILPSTILGYSSVWDGTW MYRPQHTQFHQWTLSLSIMSYLRWTSSWIAFTQTRAGNCIQIQSCTPWFASVPMTLEL DQRPHGLRVLPTGESCLAGTLAIDYIGQVSEQLMRVELYAFSLTHVHVVCVQVVPQRG SGNGIELSCRVGIDEVCRRDEEVGLADEASMDAASRIAWAKQLPQRTQVVQLMATYER VPGRI H257_15969 MAVVLAAEVTSSRHPSQQMSALLASFGPTCPTWHGFDYVVATPA DCLPCNVFASTSCLGDHIGFVPFPYSSYAYALDHALFPDGGLDADSADLLVGSTRLPR ITVSTQFSADVVVVPPRVFSNVHPPKAFNWNDFNQHRDTIVWNLLQTQAVQPGLYEIE LDAWDYVQSSGTCDVCLSITDGYRPQSTSVCPEFAATEDHVGWRKYFASVHQLLADVT AFATTRTNNDICSSPLSVDATCTDTSTLTGVDWFDCPIDDVATATQCLTRPLTACAAS KLLANPFADPDVLCNPSEVLGPTQCRRQCSFDYVWREPYVVYGCQDTTSVTQCGSGLD VNRGGDMTDAALSAFGCTQMVSLATIPSDFQVRVAVDANVQELRQNDQFVKTSARQVH FRHVDGYERQLQLPIQDIVTVTSTHDPVNNAILPTTEAPVYWRWSIKHITSGDKHHGG DDIVWHDWSANDLVTIVGDDDTTTTVVMAFEAWTHCGRVCDAVEWTVVLDCTAETTAP PTYTTKPSKPPSYSSTKKPTRASKYITTPTTSAYEPKTPKPTDTPSAPYSTPPDDDDI SSTVSPSPIIRTNKPPYQAPTTTTAMVTTPPPTTSRANVSCPLAGDSLVRPTWDNAPV CAWPSVDVFLTGTDQGCVACNPFDSAACPSSNRQHIPVPTSPYSSCDTVPSRATVDIS VYDRHGSIVTPTLHATDTVPWRDYALGDRRPSILYSLLHSGSKQQLLPGRYDVHLEAH DGFSTSAVCSTCVTVSDRFRPQSQRGSCPFTGDSSVFQFVGWSAHASDIRAISSHLNA FVTSRQNNDACGDVLDDNNVIACDDVINVTVSDWLNCPVDAGYLGLAGVSSSPGNHCV RAALIRNPFVDTTVLADPASFLSPLQCTRTSYVSYQWREVWLDYKCDNAAATAICSSG ADIAPYRGGDLSDATLDQFQCGGTFSLAATADDLVRLADIQPHPALGMTTPSYIANDT DWMSLGLYADDGAPHQLHFWSDSTLSLSVTLSHVQAVFTPIVVLANAAQQGDIPLPTT SDDDRIVFWRYKVGHQPTWRDWQSNDAIALAGPRTIIQWEAWTHCGSKRVETAATWEL HVHLKPTQSSNYSTTQDNNNQPGSSSGATNVWGAMGSRHLVLLGVALACGLM H257_15970 MKQAIASLVATALLVQAGTGPQWEEQDFRALLASQGQTVAINQC PAWATPIKDFHISAATGVCLNPANNVFNFASGVGLNAVPFPYSSYPYSLDHPLFTYAN GAGVAASTVSTLPRITVQVLKNADPTVNVLTPDTETAFHWQDFDENRNARVWSRVGPL VGNPGVYKVDLNAHDYYVDSGVCELCFTVTDHYRPKSSSLCPAAPAGGLVRSGWTAAN VAALDAYLDGAGAFSTSRGNNGDCNTAIPVGAPACADTTVKTPSDWFNCALDTTLPSF ITSDFATTPPGVGTLGCVRNILATNPFATDSTLQSPGGDLNTGKCTRTVTFDYHWYEY WTQFSCTAAPAGQCTSGTDASYGGDESGVTSASAYQCANTVTLSATANNLVTTASLTS NAAALQSNSQFISDPRGVFFEDSYTKLSDGSNNQVHFWSPLVAGQDADLDVRNPVTVP IQSVFSTSATGSALPGLTLPAVSSRVFWRWTTNNPVTASGWNDYSANGNLVLTDLLTT VTFESWTYCGQKGSSISWNVYNHRHQSIQNVDEWFQPQWSYVSKPRCNVPHSDFGVVQ FAFDLDDLNARIVDLGPNGEGDNPNGIHGAPLDDDVLLEDTTHVDWRFSGATCAWKYG NPSSLTDFTVSDYAWAIDASDFVSAGNPPNFVPQTFQFAPKLINAGVTTVTVYCDFAF TDTLNSDVVTVYQGAFGTPLALLSKTYPVGSYNLPNWLRGPILGVSSLRIENGYSVTL FKEFNNQGASITYTTDVPYARIISDNWNNEAKSLRIDALPGTVGYTVPNTVHISTDKT FVFENCDVPTILDPSYPVDNTCVAGCSAASWNVAAAQRLAAPFQASGGNLIFPAGQWD DVTAVATVFQTDTSFGCCTSCNGPAGFGAGITAVSAPWTAGSPISRCQPSNYAVPNPA PTQVDPTVVADPDAVPTVVMMFAESMGGALTSTSALGVLAVFAVVAVVAGLAFQQSKL RQFVVMDDAYTSLTDASL H257_15971 MKSASLLATALLVHTSNGLRWEEHDFRVMLGNLGQVVPVNQCPA WATPVTDLHFTASSGVCLPPSTNLFLQASGNLLKPVPYPHSAYHYILDSPLFTYSNGA GVASSTVAALPRVSVSILKNVDPTSSTLVPSTEWAYHWQDFDEHRNDRVWSLLSPLTT APGVYKVQLNAHDYFVDSGVCELCFTVTDRYRPKSSSLCPATPGGGVALGSWSAANVA TLDAYLDGVSSFVGSRGNNANCNTPLPAGGSACDDTNTVTPTDWFSCPLATALGDFIP ADFAGGATGCVGTILATDPLAANVITPNFFNSPSVCTRTVTFAYKWYEYWSQFSCASS GATGLCTSGADGPNSDYGPNGGDESGVTSSSAYACANTVSLSATADDLVASATVSGNP SLTSNVQFISDPATVFAEPSYTALGDAGNTQIHFWSPSNAAQDADLDVRNTITVPIQS VFSTTALGGPIAGLTLPAAPSLVSFRWSTTTPPTWKRYSANENLVLTDFATTVTFEAW THCGQKGSAVTWTVYNHRHQSIQNVDEWFQPQWSYVSKPRCNVPHSDFGVVQFAFDVD DLNARIVNLDAESDKPIEAILPPTAALLGDTNYVRWFFNSVKCAWKYGEALSAADFTL SDYAWSISADDFASTGSPPNYTPQTFQFAPKLLNQGVTKVSVYCDFFFTDTLKANVVT VYRDASYVGDSKSFPVGAYDLPSGFLGTPTPANGVSSLKVAPGYKVTLYQDFNQRGSS ISLTADTPSFLVGWDNLAKSIRVTALPGTTGINSVTIHTDKNFVFENCDRPTWADAYF PTGETCVGNCKTPSWLNTPGGRVAAPFQASGGNLIFPAAEYTAAAPIGTTFHVGANYA CCSACDGAAGFGASITSVSAPWTAGSPISRCQPSNYAPPNPIPTQVDPTTNADPDATP VLLAFADMMSTSSSSSSLAVLVVMVALVGVVAAVVFHQSSKARQFVALDDAYTSLTDP ALY H257_15972 MAAVGPSKSRALYYEMQLREALLASDNVHALFDKERRVQRCIRL ADELCGDLTVFGPILHTILVELKAAIFRCSVVVMSNCHVETGGADSDESLARGFFGCG VFSDTATVADIQARTSYREIPCFVLLDVAYRERTSVLQAKAEFQSLQEHALAKHTNVL VNERLLRKEVNITMGELADTQAQVARSKLAFESLQMEAKQQRRDMASSTLYLQREMQA MSVAITQYQAQIRELQRERATSDSVRKDFESVRLMASASPPSTHDAATKELLQTLQTD MQLLLLRNARLREYDSDIIRCSPDMRHKFRQDFVHDIGSLLNEHAVLEAYVKEQEAAI GDVASTIQVPHRTLLVHNPPVPDTVLWAPFVKWHPEPIPKCIPTWTVGDLDVLFTQLW VKIAMVERKWVRWTLEHERDKQTSSLDNNHASDVVPITQVCFDFVEDRYGERRVAVLV MASILQAIDRHVEESPQLDLFGSVFAGEVDQSAWWYLMTVKRHVAQLELDITTDQALR VVGQFLLLPQADVNAVESQALDAFVTAVHMQVRGELTTSKFFDWLATRILTADEFHFR TAKHVLCRNDGAKMKELTLVEFSKNVLDCVHLPRALIGRYFRALCGEARDTASVESVV YMLAYIHLVQPGG H257_15972 MAAVGPSKSRALYYEMQLREALLASDNVHALFDKERRVQRCIRL ADELCGDLTVFGPILHTILVELKAAIFSDESLARGFFGCGVFSDTATVADIQARTSYR EIPCFVLLDVAYRERTSVLQAKAEFQSLQEHALAKHTNVLVNERLLRKEVNITMGELA DTQAQVARSKLAFESLQMEAKQQRRDMASSTLYLQREMQAMSVAITQYQAQIRELQRE RATSDSVRKDFESVRLMASASPPSTHDAATKELLQTLQTDMQLLLLRNARLREYDSDI IRCSPDMRHKFRQDFVHDIGSLLNEHAVLEAYVKEQEAAIGDVASTIQVPHRTLLVHN PPVPDTVLWAPFVKWHPEPIPKCIPTWTVGDLDVLFTQLWVKIAMVERKWVRWTLEHE RDKQTSSLDNNHASDVVPITQVCFDFVEDRYGERRVAVLVMASILQAIDRHVEESPQL DLFGSVFAGEVDQSAWWYLMTVKRHVAQLELDITTDQALRVVGQFLLLPQADVNAVES QALDAFVTAVHMQVRGELTTSKFFDWLATRILTADEFHFRTAKHVLCRNDGAKMKELT LVEFSKNVLDCVHLPRALIGRYFRALCGEARDTASVESVVYMLAYIHLVQPGG H257_15972 MAAVGPSKSRALYYEMQLREALLASDNVHALFDKERRVQRCIRL ADELCGDLTVFGPILHTILVELKAAIFRCSVVVMSNCHVETGGADSDESLARGFFGCG VFSDTATVADIQARTSYREIPCFVLLDVAYRERTSVLQAKAEFQSLQEHALAKHTNVL VNERLLRKEVNITMGELADTQAQVARSKLAFESLQMEAKQQRRDMASSTLYLQREMQA MSVAITQYQAQIRELQRERATSDSVRKDFESVRLMASASPPSTHDAATKELLQTLQTD MQLLLLRNARLREYDSDIIRCSPDMRHKFRQDFVHDIGSLLNEHAVLEAYVKEQEAAI GDVASTIQVPHRTLLVHNPPVPDTVLWAPFVKWHPEPIPKCIPTWTVGDLDVLFTQLW VKIAMVERKWVRWTLEHERDKQTSSLDNNHASDVVPITQVCFDFVEDRYGERRVAVLV MASILQAIDRHVEESPQLDLFGSVFAGEVDQSAWWYLMTVKRHVAQLELDITTDQALR VVGQFLLLPQADVNAVEVCLDE H257_15972 MAAVGPSKSRALYYEMQLREALLASDNVHALFDKERRVQRCIRL ADELCGDLTVFGPILHTILVELKAAIFRCSVVVMSNCHVETGGADSDESLARGFFGCG VFSDTATVADIQARTSYREIPCFVLLDVAYRERTSVLQAKAEFQSLQEHALAKHTNVL VNERLLRKEVNITMGELADTQAQVARSKLAFESLQMEAKQQRRDMASSTLYLQREMQA MSVAITQYQAQIRELQRERATSDSVRKDFESVRLMASASPPSTHDAATKELLQTLQTD MQLLLLRNARLREYDSDIIRCSPDMRHKFRQDFVHDIGSLLNEHAVLEAYVKEQEAAI GDVASTIQVPHRTLLVHNPPVPDTVLWAPFVKWHPEPIPKCIPTWTVGDLDVLFTQLW VKIAMVERKWVRWTLEHERDKQTSSLDNNHASDVVPITQVCFDFVEDRYGERRVAVLV MASILQAIDRHVEESPQLDLFGSVFAGEVDQSAWWYLMTVKRHVAQLELDITTDQALR VVGQFLLLPQADVNAVEVCLDE H257_15972 MAAVGPSKSRALYYEMQLREALLASDNVHALFDKERRVQRCIRL ADELCGDLTVFGPILHTILVELKAAIFSDESLARGFFGCGVFSDTATVADIQARTSYR EIPCFVLLDVAYRERTSVLQAKAEFQSLQEHALAKHTNVLVNERLLRKEVNITMGELA DTQAQVARSKLAFESLQMEAKQQRRDMASSTLYLQREMQAMSVAITQYQAQIRELQRE RATSDSVRKDFESVRLMASASPPSTHDAATKELLQTLQTDMQLLLLRNARLREYDSDI IRCSPDMRHKFRQDFVHDIGSLLNEHAVLEAYVKEQEAAIGDVASTIQVPHRTLLVHN PPVPDTVLWAPFVKWHPEPIPKCIPTWTVGDLDVLFTQLWVKIAMVERKWVRWTLEHE RDKQTSSLDNNHASDVVPITQVCFDFVEDRYGERRVAVLVMASILQAIDRHVEESPQL DLFGSVFAGEVDQSAWWYLMTVKRHVAQLELDITTDQALRVVGQFLLLPQADVNAVEV CLDE H257_15972 MAAVGPSKSRALYYEMQLREALLASDNVHALFDKERRVQRCIRL ADELCGDLTVFGPILHTILVELKAAIFSDESLARGFFGCGVFSDTATVADIQARTSYR EIPCFVLLDVAYRERTSVLQAKAEFQSLQEHALAKHTNVLVNERLLRKEVNITMGELA DTQAQVARSKLAFESLQMEAKQQRRDMASSTLYLQREMQAMSVAITQYQAQIRELQRE RATSDSVRKDFESVRLMASASPPSTHDAATKELLQTLQTDMQLLLLRNARLREYDSDI IRCSPDMRHKFRQDFVHDIGSLLNEHAVLEAYVKEQEAAIGDVASTIQVPHRTLLVHN PPVPDTVLWAPFVKWHPEPIPKCIPTWTVGDLDVLFTQLWVKIAMVERKWVRWTLEHE RDKQTSSLDNNHASDVVPITQVCFDFVEDRYGERRVAVLVMASILQAIDRHVEESPQL DLFGSVFAGEVDQSAWWYLMTVKRHVAQLELDITTDQALRVVGQFLLLPQADVNAVEV CLDE H257_15972 MAAVGPSKSRALYYEMQLREALLASDNVHALFDKERRVQRCIRL ADELCGDLTVFGPILHTILVELKAAIFRCSVVVMSNCHVETGGADSDESLARGFFGCG VFSDTATVADIQARTSYREIPCFVLLDVAYRERTSVLQAKAEFQSLQEHALAKHTNVL VNERLLRKEVNITMGELADTQAQVARSKLAFESLQMEAKQQRRDMASSTLYLQREMQA MSVAITQYQAQIRELQRERATSDSVRKDFESVRLMASASPPSTHDAATKELLQTLQTD MQLLLLRNARLREYDSDIIRCSPDMRHKFRQDFVHDIGSLLNEHAVLEAYVKEQEAAI GDVASTIQVPHRTLLVHNPPVPDTVLWAPFVKWHPEPIPKCIPTWTVGDLDVLFTQLW VKIAMVERKWVRWTLEHERDKQTSSLDNNHASDVVPITQVCFDFVEDRYGERRVAVLV MASILQAIDRHVGTAVL H257_15972 MAAVGPSKSRALYYEMQLREALLASDNVHALFDKERRVQRCIRL ADELCGDLTVFGPILHTILVELKAAIFSDESLARGFFGCGVFSDTATVADIQARTSYR EIPCFVLLDVAYRERTSVLQAKAEFQSLQEHALAKHTNVLVNERLLRKEVNITMGELA DTQAQVARSKLAFESLQMEAKQQRRDMASSTLYLQREMQAMSVAITQYQAQIRELQRE RATSDSVRKDFESVRLMASASPPSTHDAATKELLQTLQTDMQLLLLRNARLREYDSDI IRCSPDMRHKFRQDFVHDIGSLLNEHAVLEAYVKEQEAAIGDVASTIQVPHRTLLVHN PPVPDTVLWAPFVKWHPEPIPKCIPTWTVGDLDVLFTQLWVKIAMVERKWVRWTLEHE RDKQTSSLDNNHASDVVPITQVCFDFVEDRYGERRVAVLVMASILQAIDRHVGTAVL H257_15972 MAAVGPSKSRALYYEMQLREALLASDNVHALFDKERRVQRCIRL ADELCGDLTVFGPILHTILVELKAAIFRCSVVVMSNCHVETGGADSDESLARGFFGCG VFSDTATVADIQARTSYREIPCFVLLDVAYRERTSVLQAKAEFQSLQEHALAKHTNVL VNERLLRKEVNITMGELADTQAQVARSKLAFESLQMEAKQQRRDMASSTLYLQREMQA MSVAITQYQAQIRELQRERATSDSVRKDFESVRLMASASPPSTHDAATKELLQTLQTD MQLLLLRNARLREYDSDIIRCSPDMRHKFRQDFVHDIGSLLNEHAVLEAYVKEQEAAI GDVASTIQVPHRTLLVHNPPVPDTVLWAPFVKWHPEPIPKCIPTWTVGDLDVLFTQLW VKIAMVERKWVRWTLEHERDVSVHTVEAWKYDSVMLKLLCVDCNQSL H257_15972 MIGIVRRTSYREIPCFVLLDVAYRERTSVLQAKAEFQSLQEHAL AKHTNVLVNERLLRKEVNITMGELADTQAQVARSKLAFESLQMEAKQQRRDMASSTLY LQREMQAMSVAITQYQAQIRELQRERATSDSVRKDFESVRLMASASPPSTHDAATKEL LQTLQTDMQLLLLRNARLREYDSDIIRCSPDMRHKFRQDFVHDIGSLLNEHAVLEAYV KEQEAAIGDVASTIQVPHRTLLVHNPPVPDTVLWAPFVKWHPEPIPKCIPTWTVGDLD VLFTQLWVKIAMVERKWVRWTLEHERDKQTSSLDNNHASDVVPITQVCFDFVEDRYGE RRVAVLVMASILQAIDRHVEESPQLDLFGSVFAGEVDQSAWWYLMTVKRHVAQLELDI TTDQALRVVGQFLLLPQADVNAVESQALDAFVTAVHMQVRGELTTSKFFDWLATRILT ADEFHFRTAKHVLCRNDGAKMKELTLVEFSKNVLDCVHLPRALIGRYFRALCGEARDT ASVESVVYMLAYIHLVQPGG H257_15973 MEQFTPVRTLRDALYGKVVLCQRHLCDGDDLVAIKMMSLASIAT KTAVRGGYRIKEDGSEEVAILKSLPPHPSIVNLLEHFEHDGMLCLVLEYCSLGELFDH LHRQKLQLPRHQSPQQQPFLSVKAMVGGLVSERQAATWFRQIVDGVAHIHAHGIAHRD VSLENILLDGNQHCRICDFGLSSREGKRCVGRVGKPFYMAPEVYFGDLQRYNGFQADV WSLGILLFILLSGIPPLEVPSEIDARFRIIRQDGIHVLAKMWGLTISKDALDLLSCII RAQPDERPSLDEILCHRWFQSRWLDATVGNEVLVA H257_15973 MVTTRMITIDRRRSEEVAILKSLPPHPSIVNLLEHFEHDGMLCL VLEYCSLGELFDHLHRQKLQLPRHQSPQQQPFLSVKAMVGGLVSERQAATWFRQIVDG VAHIHAHGIAHRDVSLENILLDGNQHCRICDFGLSSREGKRCVGRVGKPFYMAPEVYF GDLQRYNGFQADVWSLGILLFILLSGIPPLEVPSEIDARFRIIRQDGIHVLAKMWGLT ISKDALDLLSCIIRAQPDERPSLDEILCHRWFQSRWLDATVGNEVLVA H257_15974 MMAKRRRARAMMMMKIWWCFQVLWQRRRRQLMVVRWQGVLILLV VEVQVREGRIGHRQVFAITTTSLGDAAAIVSLVKVRGCSRHRHDGFDERHTFVQSFFK KRRVQVLLTSNVRSRRMLVVRVRLLVRRMRIWVVMVMVVVICRRGWRL H257_15975 MLLTTSLLCTIQAGCSNVDLLAWSSHLSTPISVAAVPLASTCEL SNDSPPSDETAEQRLIQHQASVIDYFIDIGRRQEECLQALEEKLQTCATSKRKESDVK VGQVMPNSKGKRSSKQKKYTSKHIVAYMKLFLPDGFVLDEDSQTFRDDVLSTGAAAEI ASLEFLRSNNYKALIDWGISMQGLSITASFVHVDDPPSFIGHP H257_15976 MYPIAFKHQALGLLETMNDYEDAAELGVARRTIRNWQSKRSELL AYNGNKRRIKLKPGRRPEVFPGPTGMLEFINGLRDAERALSVRLPRSMWSPGSSVTGV HGSYRTWPTRSRALDRTPSSSFFDAFPLDMDFQDNDLNFILSIVPMGRKACIT H257_15977 MDKAVHDVLSKVHMASTAAEAYGIPSRTLRKHLAKADPGIIEIP TYFGRAPILPRHSNITKQNAIKIACDVGLTIQVKQQLPTGLCPSSLNKLLYRLSIFKP AEVDDEAVQASWLQRIDLVRTQLLLLPPEKKRKNVTRKTLTVSGKFITAEYHELLQAQ SAAKPKRKKKATQVAASEMANEVNIVEVVEI H257_15978 MVLNVAAMLTRLEDQAISDRVFLDQCLDDYPEVAEHQDNIPDSS CPVLDRVSNDSGEEGVRVMTNFTRREFDVLWAVAELPLKARWNDGRGSKSKTTPMDAL FMTLTVLKHYDTWEKHALDFGFKAPTFQKLILRVVEVVMPVFYAEFVKMPNMSALRAQ GHTFGNYPYALYATVVKFQSTERPAGRHGEAKPYFSAKHKLYGLKIEASVSPQGLLVD MSEAHRGAVADLTIMRSRMDQHIGALAKSDNELSILDHGEQRYYGASVDVRAIHPKKN PPRGMLDPDDVVRNRRVSSDRVVSTKFYDEIQRLTFALTNFHVSLMPLWEADRHWYRS VFARYESMVQATAAKRAESQRKSCLRRMQRIALTVSRGRNHSHVFTSP H257_15979 MGKVVIEHIQQEGIAACFKHFISYSDPTSGLDQGSSELSSYEIL NYFMPPFKAAVEADVWSGMGTFIALNKEPLAANELMHKGLLRGDLGFKGLMVTDFEEI NLLMSKHHVVKTTLAAMELSMNTTSYDISMVPYDDSFIRNGKQLVAQNRLPEARLRES AIRIMKLKLKLNLFDVPVPGADVVSQLGDEASQLAALGIAQESMVLLKNQDNVLPLTP EKAASIFLTGSSADSIGLMCGGWSLLWQGTSLSQVFPLGATVRKAMETTLGGPEKFSY YHGFDTDGQLVNNDGKAGDLEAAKALAAKAEYAVVVLGERAYAEFKGNDDKQPLPPGF LTYVQALKSTGTKIILVLVEGRPRLLNGMAELAHAIIFAGLPCEFGGQAIVDVLLGKV NPSGKMAMTYPKTGTSINLATPYYKRTTSYCVKDGATFDCPAEWQFGDGLSYTSFTYS NVSISTTSLTYSATESNGYTISVTVQNTGLIHGQETVLLFGIPPATRVTAETKLLKRY TKLSLAAGEAKVVTFSLSHQDLGYYSNDIGNGLKKDAPSGTYTFFVKHDTDCTATSNP LCMALNWDNPTQASFSPTSTSNAVASVDNPAAASTPSSPVPVSPVPVSLIVGLASGVG CALVLMGIAYMVRRKKQQRRRGGPPSVFDDDVVVMESKEQRHDVVLVGGV H257_15980 MHVGLVLILASAAKVAAQKLTEAQLDTQAKDMVDKMTVDQILGQ MNQIDLSSADSPTKVDEFAQLNVGSYFNFITMGRKGNRVTANTDEWRAKLTEIQAIHM KRNNIPMIFGIDSVHGATYVDGSVLFPQSINTAATFNPVLAQDLGKYIGEGHQGGGQS VDVWAHSGRNTSQALASRV H257_15981 MVATRATRQENPRALPTSVTEPVVDMSDADMDTQLDADDALIDG DVPQDVDMDADPPVTARVPFLCPDSSCVVGICTWPEEPDENFVASNWPYS H257_15982 MTTSRANRELTTDDKTEVVKYLQDRMSLGKLPHGSIKAGAAALN LNRKTVSGIWKDFLTQGSSPSKKAGRVGRKLRYTPEHVTQLVQELPQEERSTMRDIAT ATGLTMGTICRNLKSGTLERWSSRLKPLLTDENRTERIEFCRSDGSGLAELPFLDMHD VVHLDEKWFNADKDRRKVYLTKGERIGRRACKSKRFIPKGTKCAIFEFAAMTTSRANR ELTTDDKTEVVKYLQDRMSLGKLPHGSIKAGAAALNLNRKTVSGIWKDFLTQGSSPSK KAGRVGRKLRYTPEHVTQLVQELPQEERSTMRDIATATGLTMGTICRNLKSGTLERRS SRLKPLLTDENRTERIEFCRSDGSGLAELPFLDMHDVVHLDEKWFNADKDRRKVYLTK GERIGRRACKSKRFIPKVMFLAAVARPQYDDAGNLIFDGKIGMWPFVTSTPAIRTSRN RPAGTIYGHYSGQRERRGAKMPSVSKRVVIQHDNASPHASVSDGVLDAIQGHFADGWE FRVRRQPPNSPDLNVLDLGFFASIQALQYKSVSRTVDDVIRSTLAAFDELSEEKLDNV FLTLQAVMRIVLEHNGDNHFRLPHLHKEAMRRAGTLVANVACPVSLL H257_15983 MASFQQWGCRARTLQGRRLLANTVMLSLLWHVTAALPVPPAMVQ SWQSMLNRYILGRKIIPTDRYSPLLAASLQFDSKLGLGLPHVASRIRAQRLQILQRAM SLLPLTPATTIQLPVWLMTYEPTMSNGKCAARIVNSPPTRRWCSHGACNRLRCLADIV AVHGRWPTRPEFMVMMCQGNPAAPVERGNDGRSRDSVSSLPCPPLPPQQLGTPSTAWL RTFPPLSSSGHGVWC H257_15984 MVKVLISLSVLAGAATAGSVTKLPESVTKLIDYSINPCDDFYQY ACGAWHNNAVIPPDEHQVDTSYSKIYIENEAVLKTILSDYKPKISEFYNSCLDTATLS SLGLTPLEDSFKAIRSANTTLDLLIVAGELSKNGIPAFVDISSSFDDNDATKNALFGY RAPLPLDREYYTTPSQWKAVEAEYKVYIATALQLAGYTAEQAEAAVQVIIRFEQTLAG VDLNELEEAEAVVSPYTALTYYQLDQKYPLLVGSWLKANGFNVRDQCGGSNDWVGFYS LNYFDTTEKLLKKTTLDNLRTIVEYKLIHASSNHLTPEFRTANWNFFGKKIEGKREEP SREKFCLTQTSSKSTLGDLLGQYFLDVVWSADTAKKADDLVKALRSSFSTGIATADWL DNSTRANAQTKLSKFIHLLGGPEKPQLYPTLTLDSKSYLNNRWKVSQVNIETNLKLNG QPVDRRRFNMAPHEVNAYFNRYVNQIVFPAGVLQKPFFDGHFDAAQNFGAIGMVIGHE ITHGFDHLGRNYDSDGNLNPWWSNATSIAFKTKAQCIIEQYSNLVVKSEVTGAVLGNV SGKFSLGETIADNGGLKTSFRAYHEYLKEFPSQYTEEAGDKLFYLSYAQSACSKNTDA FLLRTLKHTHPRGRYRVTGALQNNAEFARVFQCPTDSYLNPSKKCLLWE H257_15985 MTLDVLKHYNSWEKHAMDFVFRAPTFLKLVLPVAFANYPYAHYA TDVNFQRSKLRAGRQGEAIPSFSAKHKMNRLKIEATMSPQGLPVNVSDANRRAMTDLM IMRSCMEQHFQAPKKTNQELNTIDHGEQVHSHPSMWAALVVEGNYVAMVDIQAINLKQ NPSRRILDTEDVVRNRRVSSDRVIVENFFS H257_15986 MVRQPTGRELSYAKKMEVIRWLYERSTMGKLAHGAINSTASEMS IHRTIVARVWKDFHRDALMPSRKAGRVGRTPIYTPGVVVAMVRQLPQSLRTTMRDISD ATGIPLSTLHRHLKHFDNMWDVLHLDEKWFNADTNVRKVYLTEDEEPEQRPWSSKKYI PKVMFLGAVARP H257_15987 MYDLSALDGFTYRTLLEVTASEDACALWCRRVGLLEGSMACPTC AKYMMLSKTKHGWRWRCQKKPHADRPVEKSIRAGSIFAKSKLPLTTLLRLLYACASHK PAKTVMDEEGVSTDTACNWYNYCRDICSAEMLASEMKIGGEGHVIEIDETSLKKAVVK FTQTDRTKPTLLALIKKHVRLGTLIMSDKFGSYVSTNEVHTLANNRDLQDMSEGLWEN QIKQHIKTMRGMVSDLLPSFLDECLWRSWYFPPDASGTTYFKGLVVGIKKKYGHAEL H257_15988 MDHSSVGMTPPPTARPRGPAATSGVQNDAATSGVQDDDATTVSS TGDLVTLSEQAATPGSGAHASPYSFLRMHTVLSHGIKSGQRWRRPCPYDMSQARHALV DEMEILTATFTEVNIEVLDLWTALDSSRRALDTAHAERDGTNVLLQEAQELLRTLEQG QHDLGEQREQAQARLNDQQAKLRDLASRLTVQTEKATELGRQVQEADQALEIMTAEVN DPRDYTAHSARLVAGKEGDVKLALQNKLLVVAEMSDLRRSLEAERENSEKARQDRDQA LTTAREFQEQLRVAQDRIAQLEAQPLRFSASNPAWDILLAENQDLREAATTSGNKILR SQTETFQADALQELGALESRASHDLEEKLQAFQESERTSARALLNANEHFKTPSQAWT LSDPDRFKSCNESVGIFPTKAARGLTGPLFGRVRGPMFSAWVNTALPVMKDALKEAST PSSGVLGAVVVEAPPSSGAKGSTLPGQAGAKAPEDVPGNETSLVSTLLFPYHPRTRIY PETLMRPIWPWRHRSRGNRTTEDFWENHALAHWNRRFMRGSAEVNAKVEAAMGPLVGI IGCMYSIVRRQGTDLLRFFCYPHSFWPDFLRGGFSLKMMASVQGARAVQEYLSSQGSE FWPEVPSTSRTEPFDPPFMATLAFLKGRSLKKFWLKKFDPLNPARVSKVLAWLYAQAE EY H257_15989 MSLPPATSRAALPFTQSLLGTENFDEWFFELTSVILAGELATHC AQVETATRSGSTACRRTAASTTHAHPPLGVKLTEWLAENCSTDEVIAKSICNHVDELI KFEKTAIARSCALVYASLSPTVQRNAAPGIRDECAHLIISSLRRMFSSDKQKHATAIS LQVNLQAFDKMLTAVEKLEGSPLSDSHFASALLAALPECIAPDLFIKGYAAISRPLER LKTAELFQWDSDCDVTLDKLKRALASPPICLSIFRTSKWREYVIAYASQALNQTQQRR INKTKGSSENECFGIVWATSKFRTYLDRRNFTDHAALAWLFKSGSHSTNAKLARWVCH LQSFQFTVTHRPGVNMGCVDGLSRLKISSIVAGHADGSGQVLGSMDAWGDGLVLVQWL RRSRETWIAQLRKPKKARLVRNESLR H257_15990 MDSYWRRRYGIWVWSPWVGRDDVRPTREQLVLSGRGEGSTWMSD PVGDVGSVVIDLELNELRISHTSYVIKLTPIDDHQKNLTTLALASPLQILPRQRRMVK SSFFTPIPRGTHVLSESLPGLQIHMARSLVIWVQVQNPSEDTIRLGCGTLVGSAVTLP HGYANAGASEFEFCWGRTDSVDTASTLKCDMPGPVKMPAPTKGLKEIPINWEGPDLSL EQREVLCKVLLCDASKAPGRTDLVNVM H257_15991 MKIAMQAERSRLLSLRSELLRVPPPTPNHPNVVSAVGYETAYPF QRLATCVTRRSKYGEPRLVVLRFLPSTVMGSDFTTERTPVHGILPSKGSVTDKLDDIF DTLKTMQAPFLPMSHNIPVHMVGTRPLVMETAPTATSGHCDGGDGNDPRLYGEGVYGS VDTVEAVDQEEMVVIAVVIRLHRHSLVANARKQPLKLESVDNLQLDHFLEHLDDIQIE FELTYIELIRILSSNRTWRSTRCKFYKEFVQKSMSVKMAEITQNSALKAKETVRKYAW RINNAAQDLELRHSQAVQIFNEGCKDTGVASCIRGSETRPHTIQECLDYLRFGP H257_15992 MGCSRNPVERVRRLSKWPKQRTGWRRLFVTETRDPDESPDVSPD ETKGEEELTIKKKNVQGREAAAFTRDYSPPRPQSLKPNNRDIRDEPLSDDGAVDDEDG SARLREKFEPVASMAVAPRVEEPGEANDAVRGQTTNLDGQPVVTRVAHKDLEALVQER RIRSPEETVVSAALSSMERHRQVDAATVSVLQAELGLNCTRVEDDKAHEQSRYDSALV QARALFESEKREMLFGSEKNNCERNSCVSNMNGELQSDLKKIAEPSDLVSREELQSER KVLEETPAGLVSGQAALAQKQARLNEAKRG H257_15993 MLVHAYRYSINDGFTGVDVFFPISGYLITYSRRICHIFPPLLLV LTFTLVVGCVWLPDKSVQSMTITLGAGTQHPAADRPTGLL H257_15994 MASKRHSRFATIGGQGHKQLIPFGPALLEFMRSRQGDEHYVRVF HMMTWVKKNHHAWLVEYLSTKKNEVSGSSPSGACSFASQNAIAFTTERHAPSS H257_15996 MLHLKGRADNWAFSKRLTDRHCFPSFAVFETELKAMFLPPNSDF RYRSQYLACKQGKRSLQEPGAHQLFHAYPDTFEEAVRIALSESFSSFAHARAASSDMS VSMLTQASDDRTCFNCGRPGHFSRACPAPRQVASAAPTSHGSSPAAPAAAPVPADPAS VLHKPPSVPPTEWPSVVIGKAPLSPPIAASILAATLIEETCYLNKLPRTSSETLAMPE MSFQSLVESLHAHNIAALAMITVEEAMDLFSDDSFEDAFPDEVPCRLPVDKGVQHEID LVPGAKYCVTRQWPLPRDQVNAIDAFFVARKAAGHVRESISPHSSPTFGVNKPGGKWL LVHAFNKLNAATIPARTPIPCKDGCP H257_15997 MVELSYFPALLRNNRWWNMDSYENEKHLREPLLCDSSTEDSIES DDDGVSERHMAYKSHVVVMVEPKLMRNLLLRPN H257_15998 MVKVLISLSLLADAVMAGSITELPESVTKLIDYRTDPCDDFYQY ACGAWYKDAVIPPDEHEIDTSYNKIHIQNQALLKKIYSDNKPKLGEFYNSCLDTATLS SLGLTPLQDSFKAIRSANTTLDLLIVAGELAKNGIPAFVDINSKPDDNDSTKNALFGF RAPLSLDREYYTVPFEWGFVEADYKVYIATVLQLAGYSPEQAEAAVPVIIRFEQTLAG VALSELEEMEAIVSPYTALTYYQLDQKYPLLVGSWLKANGFNVRDQCGGSNDWVGFYD LTYFDKTEKLLMNTTLDNLRTIVEYKLIHASSKHLTPEFHTANWNLFGKKIDGESEEP SRPKFCRAESRSTVGDLFGQYFLDEVWSADTAKTADDLVKALKSSFSTGIATTDWLDN STRANAQTKLSKLVHLLGGPEKPQLYPTLTFDSKSYLNNRWKVSQVNIDTNLKLNGHP VERVIFGSPTPDVNAYYSPHYNQITFPAGILQKPFFDGHFDAAQNFGAIGATIGHEIT HGFDNIGRDFDGDGNLNPWWSNATNDAFETKAQCIRDQYANFVVWSDETGAVLGNINA DITLSETIADNGGLKTSFRAYHEYLKEFPSQYTEEAGDKLFYLSYAQAQCSKNTDVRL LRSLRKIHPPGRYRVTGALQNNAEFARVFQCLPDSYLNPSKKCLLWE H257_15999 MLEGFKAIDKAQRMFGTCSEEEVTEQVQILQAIVDRRAAKDEVK KTKKDKEQKRRDSLESTGSQLCVEAEQRVAKRQRSVGPTPKKEDQDIQDLLEFEKQKH TDDHTFRMERLEYEKEEQKLRLAQMAESAKRNEQLERLLLEMGKLIQVVAEKSN H257_16000 MRQLLEQHWPGLVTKYPHYLGPALIAAAISVHGSVTATTVVLRQ SLDCTCTKLSGALHQNAAKEAGVVEKPGHPSSTPFAKATHQAAHQATLPAVIQAKPAW DKASIPATASTTSLIATINVAVYRFFSRLPAIAVTQATSATNSAKTVGLIAPTKTTGA TAAAAAARRRPTKATPNRPPPPSAAKAAARRAALPPTATNSMSSAVARHQRRQPTTKT TRFSPLIADPTSTVLVTQSSAQGTMQWHHRTGPSKSWQAPDFNQYTFVYIMQKRADLY DKYIAFCHETRQRYRSDVIELYYHHSPPIEFEEIQALHMDNTKEYVKLGAYIQSEYDT PLTYTNAYTRPRTLWPSGVWVSSSP H257_16001 MLTFDSKSYLKNRWKVSQVKIDTNLKLKGQPVDRRKFELYSHEV NAYYSHSKNQIAAQNFGTIGMVIEHEISHGFDNRGRNYDGDGNLKQWWSNATTDAFKT KAQCISDQYNNFVSKSEVTGAVLGNDSSNITLCENIADNGGLKTSDKLFYLSFAQTWC SKNTDEFLRASFKTKYPPKRFRVTGALQNNAEFARVFQCPTESYMNPSKKCLLWE H257_16002 MDSARVDEFRATLPEYGITHKNVIKCNMHHDGPDLSLAKHHVMT LVKTKHSHYGAQVTLEMKNALATWIDDDPKHNPKTLFKMLKASIGQERFGGMPCPNLK QVQYAVHYMRPKDLLHKSTVPAAIEELMKWRLTDNVEDQVAHKPFVFGVEEEAGRFEL ATVTTQRRPSYVTTFSKNVLGYPMFVFGYSDMVGSFHLLCVCITSQRTHADVAWLLRS LKEKFTSLLNYAWGPTRLMGDEDKAQFLGITNALQPELPLLEYLMCFFHVLKNCYDKR QGMTSEEWTSVTFDIYLLHMSTSEADLVNNMDTAHANWEGNRTLRKFRTYFFNTWLPY DAVYSTNRGPRFWKWQVFHSHRGCSYTNNPNEHFNRKLKDAIGRVKKHVPHLVQEVAK LRMKKYFKRVRPVTWRVLHLPEEIAEGEQDEDIPRRAWHYNSVSRNVQRLESHNQPDI GWLVQQVPAPVLLQCQCLHWTRDGICSHAIMVATQTGMVLPGMERGAQNLVSRIRRGN AEANVFPLRGDRNMQN H257_16003 MVREHSSDETPKNDRSRVTGSMSREDAPLDAPLRTRSDLPDDDS HMLWSSYNRHVVGLHICHNPRSTSEVMTRSIKPESLKTAVPKQLHLQRNKALKSDDFR YVNWLRTFAAGHQLYVGLDEEPKPSRSAKPVVAPRGGKPQVSKQEVVSEDATKNNTRV GANAETIVSKTAEPPAKKGCLKEDLFLSHTK H257_16004 MTPLPAFKILRNVADDEREGILREVLLLSNGSYMSTLSKGQSQH LADKYNCHVSTIHRVLALAKQQGVGHGNMKVTKVCCNYRTEEVQS H257_16005 MVKVLISLSALAAAATAGSVTELPESVTKLIDYSINPCDDFYQY ACGTWHKNAVIPTDKQRIDNSFYEIDIRNKARLTKILSDNKPKLGEFYNSCLDTATLS SLGVTPLEDSFQAIRSANTTLDLLIVAGELAKNGIPAFVDINSRPDYDNLTKNALFGF RPPLPLDRPYYTNPSEWETVEAEYKVYIASVLQLAGYTAEQAAAAVPVIVRFEQTLAG VAFEEKDADAPRYTAFTYSQLDQKYPLLVGSWLKANGFDIYDQWGGSNDWVGFKFLNY FDKTEVLLKNTTLDNLRTIVEYKLIHASSNHLTPEFRTANWNFFGKKIDGEKVEPTRE EFCRSQTGKTVGDILEQYFIDEVFSADTAKTADELVKALKSSFSTGIATADWLDNSTR ANAQTKLSKLGHLLGSPEKPQLYPTLTFDSKSYLNNWWKVSQVNIDTNLKLKGQPVYK NNFDLLPHQANAQHDPLTNQIFLPAGAFEKPFFDGQFDAAQNFGSIGMLIGHEITHGF DNRGRNYDGDGKRKEWWSEASDAAFETKSECIMDQYNNFVSKSEETGAVIGNISSLFS SNEIIADNGGLKASYRAYHEYLKEFPSQYTEEAGDKLFYLSFAQTWCSKNTDAYLRAT LWKLYPPRRFRVTGALQNDAEFARVFQCPTDSYLNPSKKCLLWE H257_16006 MTHTTRAWAAALTHLHAPHLHTQWLTAHLATLRRHWHSTCTTNV DHIRIAGELPLLTEVNNNIRLKMPLPPWATTDASAPTGPTSQPRCSAGIPEVSPYYHP PSPLPQATPTLQFGHYAFPRTPGSLLQRLKHPFSPGVATTRFSSS H257_16007 MSKGMQSRRRASPRRKPLLKPYRRSTLLAKRKQHSYTIGTIKAL LQKYSTLGPCSSDREFARKENVPIATLRGWLARKEEFLQSKKHTSNATLSGHGHSQAV DFGDALASFMDSVRDHEKFLTTAHLVTWLKNLQPAWLDSYLEGKADPSRSYKALLGWC QAFAHRHGFLQRVPCTSKRTHEDLQAPRVEYAQSFWSKYSANDRGNIINIDETGVHYD MPPRRTWARIGKSAKVDRQQKHSDRVTAVLAIRADGRKLPPCIIVRGQPGGPVEQDEL PTYPRDAIYAVQENAWMDERVWDVYLREIVQYEIEAPSVVVVDNLSEEFLQSKKHTSN ATLSGHGHSQAVDFGDALASFMDSVRDHEKFLTTAHLVTWLKNLQPAWLDSYLEGKAD PSRSYKALLGWCQAFAHRHGFLQRVPCTSKRTHEDLQAPRVEYAQSFWSKYSANDRGN IINIDETGVHYDMPPRRTWARIGKSAKVDRQQKHSDRVTAVLAIRADGRKLPPCIIVR GQPGGPVEQDELPTYPRDAIYAVQENAWMDERVWDIYLRELVQYEIEAPSVVVVDNLS AHVTPAACELVRGDLYSVLEELPANATSTVQPLDVGVMGPFKAKCRTEWLHEVKVTTA AEKRLAMVKRILKVWESIPPAMVIRSFDKAIPCDES H257_16008 MGLNITEIGTHSLRKGVATALNNTPGGPQAVSVWLRAGWSLGGE EHPQVSTSMMLRLLHCPLTSMARC H257_16009 METQQLVPPYEVTVHHACRLKIEVTEDLEVLIAFGDGGFHVERL DEARCVDGQHQVLVKWLSLDDGESSWEPAANLLDDIPVVFRKWAAANKEDPAVAALIK TLDFP H257_16010 MSSTNTTAHQAVLALLRRATAAQKALEEQVAQMSSHGRNLEDSL RVAREKIATLEDQASTMSSHDRALHARFTRASESETPSTSRLKSIKLDVAIFGGVESD KILRWFLQASTAADAQRISDDATRVAFAMSHLKGRAEDWAFSKRFDGPPLLPIFRGKS YLQEFIHDLRFLAANINDEESLPGPLRVTVFMDGLNQGPARTQLFRAYPNTFEEAASD DRACFNCGRPGHFSRVVSRPALTRFLPYCVRYPFASPSERPT H257_16011 MSFRPVPASPRTGTPWGGRINSKLQTEVTYYFYLRPIFPAVQLV LTFTLVMACVWLLDKTVQSMAIILVAGTLFGANIQLLTVQQGYFDASVKENPLLHLWS LEWGSCHEGALWPYFAEQTLPLWVQAPGYLWGSRASRGRSAVPGRSPLRGQAAMSAAA QLQVTPGGFSVLPASAWSSVWTSPTWPREDAQDGQLTAAERQSAADYRAGARAIAAPQ QVVVPMSRTATAGESEAAEEEEPSWDPKDRLPSLHRS H257_16012 MDVVEAAVKSVPPHLRKTFAMLAASSGNPSTTDWRVLQTKKLQC QSRRLKPMVTERHKADRVEFVRLFVHAASNVQMRWDDMLDRVHIDEKWFYLTLVNPRY YLWHDEAVPVRKRSSKRHIIKVMFLTAEARPRFEDALRKMWDGKIGMWPFVSVVPAQR KSKNRERGTPVAVTGVSAGACDLDDQEDVARSTQSTDLHPTR H257_16013 MSEATELTTFNLSRALKDGIMHRCLSRLKPHLTEANKQERLATA GSKAGTMPEVEILGMWDIVHLDEEWYKVFRKTYLVEGEDVGFRACKSNCFVPKLMFLC AFARPCEEDGFDGKIGMWPFVSQAPAVRNSRDRPAGTIVTTVINVDAATYRDYVLNRV VLAVKEKYCNSNKRVVLQHDNATPHRSIDDAALAQVSTDGWTFVVRRQPPNSPDLNVL NL H257_16014 MVCVAVFSVLCVAAHIVTTVEPEPVLRVVCVAVLRVVCVAVLRV VCVAVLRVVCVAVYIVALLCVAAFLMGVHVAVLTFMLLWLEALLRQLCALRCHL H257_16015 MKKGKLPRGAYKQAAEKLNMNPRTLGPTQIYSTDRVQRLVQAVH AGQCSTFRDMAEATGLTLGTLTLMDANSDERTAFCHSLAGLLEDLELWDVLHLDEKWF DADKDRRKIYLVPGEMQPRRSGKSKRFIHKVMFLGPVARPRYDETRGVFFDGKIGMLP FVRLVSATRNSRN H257_16016 MYKSGLKVTCDTWLYTSKSTEATGIPRALAVAAAYSTWLAGTMG SADEYENSLGTAASCWMRRLKLTSSGCQRPTSEMATNSYTRRNGRHDSANAMSDDAYS VTWCWKHTHDRAPSLDKMCGTIAMLVSVDSHAVNLAKLASCTKSGE H257_16016 MYKSGLKVTCDTWLYTSKSTEATGIPRALAVAAAYSTWLAGTMG SADEYENSLGTAASCWMRRLKLTSSGCQRPTSEMATNSYTRRNGRHDSANAMSDDAYS VTWCWKHTHDRAPSLDKMCGTIAMLVSVDSHAVNLAKLASCTKSGE H257_16016 MYKSGLKVTCDTWLYTSKSTEATGIPRALAVAAAYSTWLAGTMG SADEYENSLGTAASCWMRRLKLTSSGCQRPTSEMATNSYTRRNGRHDSANAMSDDAYS GSFVGVESRTIAGTV H257_16017 MPINVPPPLTAKSLPTDNWPTSTTRQQQETESLCTSFFVPLESL PPHELHQLQSAGFLNDRFEVRLLREKHVPYLVRGLDILDGWDAIDEAALAHYIAHRQM AVEGGYQGRTNKLVDGCYSFWQGSVPALLSFDLNFVTWSLGHYLSHTQAHQQYILLCG QQIEGGLRDKPGKSRDHYHSCYVLSGLSVAQTYGGVVVGDGANRLIPTHPAYNIGWDK VHRIHSYFHVVGKTEVDPMD H257_16018 MGVVRALLRRVQSRGVLVTRVLAIPQPPRHHKDDATPHPSSADP PSAEDLPIESTFIKLQKKYIQEMALKESLDEKRHKRRRTLSTFHSPSSKLP H257_16019 MATTSTTPTATAPAPPPPVLSIATPHTSITALEDLKAWMNTCIS SVLDISEGIHEIADSDFLHELDVMLLLLQDVLTGDDDLSRAQALAVDAYGDASREMAA YLQSLHQSHVES H257_16020 MITSEKRFGHKFSTGREEEPGPSAYTLLTDFDAAARQRFRAAAN GFGAAPRSIPPPKLSDVGMYNPEVPGAFPNVYTVEQWENQQKQLQQETRVFRTSSPPP RIHVSAGPGSYHTELDMSKEGHDGYFSKAKRPDLTGYMESLGQVGDSPGPKYLPDSHS IAAKCRRGGSPMQYFPRHNSSPGALDQSFLELDQSFRKLTHALASPALWKPSSRSPMS SDRQQSGPGSYNKGTDFVKRSTKKGTFGTAKQLDMLATLVASGRASQSPVGPGQYDVQ WDVFGGKTYNTRAGYVPKQAMQTALDPVPVKTTVAPSRMFESTDALPPREIWRAMRRT PDPKKLGYDNGVPSLTQKKHTSNRRALVQEAKYETSGGLPPDSITLFNGDGGEDEPHE RKDEEAVMQLELPPESGDISSFEL H257_16020 MITSEKRFGHKFSTGREEEPGPSAYTLLTDFDAAARQRFRAAAN GFGAAPRSIPPPKLSDVGMYNPEVPGAFPNVYTVEQWENQQKQLQQETRVFRTSSPPP RIHVSAGPGSYHTELDMSKEGHDGYFSKAKRPDLTGYMESLGQVGDSPGPKYLPDSHS IAAKCRRGGSPMYFPRHNSSPGALDQSFLELDQSFRKLTHALASPALWKPSSRSPMSS DRQQSGPGSYNKGTDFVKRSTKKGTFGTAKQLDMLATLVASGRASQSPVGPGQYDVQW DVFGGKTYNTRAGYVPKQAMQTALDPVPVKTTVAPSRMFESTDALPPREIWRAMRRTP DPKKLGYDNGVPSLTQKKHTSNRRALVQEAKYETSGGLPPDSITLFNGDGGEDEPHER KDEEAVMQLELPPESGDISSFEL H257_16020 MITSEKRFGHKFSTGREEEPGPSAYTLLTDFDAAARQRFRAAAN GFGAAPRSIPPPKLSDVGMYNPEVPGAFPNVYTVEQWENQQKQLQQETRVFRTSSPPP RIHVSAGPGSYHTELDMSKEGHDGYFSKAKRPDLTGYMESLGQVGDSPGPKYLPDSHS IAAKCRRGGSPMYFPRHNSSPGALDQSFLELDQSFRKLTHALASPALWKPSSRSPMSS DRQQSGPGSYNKGTDFVKRSTKKGTFGTAKQLDMLATLVASGRASQSPVGPGQYDVQW DVFGGKTYNTRAGYVPKQAMQTALESVVLLLVVERVTSCCKVLTVYSIALCQ H257_16021 MLKGGGEVCVICMDVPVDGVSTMCSHTYCRSCLGSWLLRSPSCP TCRHPLTRAPLFQLPEPSRAFNPTLLLYTLAVIVNICLFATDDAPRSLAIAVGDGIFV SVLFQRTWHFALSCHRQLMVSLEEMQDRRQV H257_16022 MRLHNLPLLVVVALATMGVLCRPQYAALIPNGDNVDGYPAVGHT NDAGGGDRNAFGKDFSSAGSWTVALCKLDSDGDGLTNGQELGDPCCVWVKGTTPNQTV ALSNPGLTGSTRDRALATSVTCPDGSPAASLYASSWLVSGIVAGVLAATL H257_16023 MTVDDNYDGVEELDAQTSGPSELEQSVPEVPRVRDERPSFTGLD AIALTLRNLSQQRKRTTPEGGMQFYVAKKRRSIDKFIDGAAEAVKKAATDLMSVMLRC VKKGALNVSCRVA H257_16024 MVPGPPDGTQRITRQDVFFRESAALATISNSFVNGAISSVYCVI SNLWHARTAGDPLPSAASPNHTSPDPFRGLCHAVRRNLFPTPYSPGGVKNTLPGVQLQ SVRLADLKYFTQQGKDHRRSTQGDVRGVRGGQATQETRGKPGLGDVGTRGSRGSRGSR GEFVEGDFEDMQGTGDAHLVHLIEVQQRRSSALQLRTDLWPEICTEDLAEAEIDSTSQ FATATMDNTTTLEYDDDVVTELSSMYSSSSPVYSPVSSVDDLSGCIEFSPGSPELMRK SGAMQLQPTLEEIEGELEDIFDNFSKMLEGRALNVSDGFLRHYETCCVRLFNTAHLLI ARRNQ H257_16025 MVKVLISFSVLAGVVMAGSVTELPESVTKLIDYSINPCEDFYQY ACGAWHKDALGEFYNSCLDTATLSSLGVTPLEDSFKAIRSANTTLDLLVVAGELDKNG IPAFVDIESNADDNDLTKNALFGFRALLPLNREFYTNPSNWEIVEADYKVYIASVLQL AGYTAEKAAAAVPVIIRFEQTLAGIALGKIERPDGVVSPYTALTYYQLDQKYPLLVGS WLKAHGFNVRDQCGGSNDWVAFFDLTYFDKTEELLKNTSLDNLRTIVEYKLIHASSRF LTPEFRTANWNLFDKKIYGEKVQPSRENFCLTQTTATLRDLLGQYFLDAVWSADTAKT ADDLVKAVKSSFSTGIATADWLDNSTRANAQTKLSKMLHLLGGPEKPQLYPTLTFDSK SYLNNRWKVSQVDIDTNLKLNGQPVDTRNFNEQPHLSAAFYSKHDNKILIPAGILQKP YFDSQFDAAQNFGAIGMVIGHEITHGFDNEGRNYDGDGNLKQWWSNATNNAFKTKAQC ISDQYDNLVVNSEVTGAVLGNISGKITLGETIADNGGLKTSFRAYHEYLKEFPSQYTA EAGDKLFYLSYAQAWCSKNTDALLLGSLSWKHPRGRYRVTGALQNNAEFARVFQCPTD SYLNPSKKCLLWE H257_16027 MVKVLISFSVLAGVVMAGSVTELPESVTKLIDYSINPCEDFYQY ACGASRADENDSTKNALFAHEDPLSLAYIFYTNPSDWKFVEAEYKVYIATVLQLAGYT AEQAAAAVPVIIRFEQTQLGVAHRELKDMEAVVSPYTALTYSQLDQKYPLLVGSWLKA HGFDIYDQWGGSNDWVGFKGLNYFNRTERLLKNTTLDNLRTIVEYKLIHASSNYLTPE FRTANWNLFGKKIYGHKVEPTRENYCISETSKAVGDLLGQYFIDAVLSADAAKTADDL VKALKSSFSTGIATSEWLDNSTRANAQKKLSKLIHLLGGPEKPQLYPTLTFDSKAYLN NRWKVSQVNIDTNLKLNGQPVEKRKFNRAPFSANPYYNPHDNAIVFPAAILQKPFFDG QFDAAQNFGAIGMVIGHEITHGFDKSGRKVDGDGNLKQWWSNATNDAFKTKAQCFIDQ YSNIVAKSEVTGDVLGNISGKDSLNENIADNGGLKSSFRAYHEYLKEFPSQYTEEAGD KLFYLSFAQSACSKNTDAFLLRSLKQTHPRGRYRVTGALQNNAEFARVFQCPTDSTLN PSKKCLLWE H257_16028 MHLQFYLVNSLDFGRPTSFVMCWTRVVSAFFASGLMSTSTRSRK IIASWSPPTTTTPSCAALLTNTTIAPLSMTPWDVAPRQWLRLCAFCGGLATIYTTSVE YDFSILKWDASKMPMSAIFCSKDCKVGDC H257_16029 MTNDPSLEQANRILRRSSYGVQTRCVIFPIFVPGHWMLGILDFT HQCYVFYDSLHSPRPTVLTTLQRFVDTLDGRQGQLHGMEIPGPQQHNGYDCGVFVCIA AKQFIQTYSAGPFEHDDMAVWRLHILNCIAHLLPLAPRL H257_16030 MFKPQGSTEVTLTPGPGGSKRTLKLNLQQLPFVNAIASTIYKIQ GETMESLVVADWKARGSKFNKVVNTCQQGYIAISRLTKRDGFSALKPITNECIRYFQP SSDTIAENLRLEKLFAVYLSRNENTAVFSSGDFNALKTTLPASDAVAPLAKRKLCVLD LMESTPATNMLSMAQLKRSVLDRMEKSPFEVARAPPKSSVLGLMQ H257_16031 MPGNAQSLYFQAQVHAREWITGSSILFSFASILDDIANEKPTAA DAYDLYFVPIVNIDGFESTWNGNRTRFQRKNANQVDLNRNWPTPFENPEHPPQSDETY PGQKPFSELETAGINSWLETKRDEIQGYLDIHAYGGLLLYPCGDTKQPIGDGFDEKFQ VLGRGMQNVMGSYKQAYKLQPASALYLAYGTFVDYAFREFKKPALTIELEGYNFITDA STIKRRGTEVYKGINAFAEEATVFNSGGAAKPPSPPGTKPVTPSPSVTKPVTPSPSVT KPVEPSPSVTEPVTPSPAVTEPVTPSPSVTEPVTPTPSGSKPVTSTPSVSCNGCSGCY SALLSHCFPRGYSRAQCDSFNGAGYQTTWCGNF H257_16032 MMLLTRSLAAGRSVTRAMRPFHVSRQLMRMDDFDSMSRETPVNL GVLVVPQQRAWVVERFGRFHTTLEPGLHFLIPVVDRVAYVHSLKEEAIKIPGQSAITK DNVTIHIDGVLYVKIVDPYNASYGVEDPIYAVTQLAQTTMRSELGKITLDKTFEEREH LNKNIVASINQASEAWGIKCLRYEIRDIAPPTSVKAAMDMQAEAERKKRAEILDSEGE RQAYINVAEGKKQAIVLEAEGSAAAILARATASAEAIKQLSAAIQSTGGEQAVALQLA EKYVDAFGNVAKESTTLLLPANASDPASMVASALSIFANVQSKTSHLNKTSATKPDDY ELDVVPPVDDPLFPHGGVPKV H257_16033 MKFPLLSAIALFAAATAETNNAVRSIDGRGRSLEQEAAFQTDAE TNRACQEKNANYIPSLKAGQYTSSAFHNCFHTIDQIYEFADALVERNPTLLSKFVISK TYNGATIYGYKLTKGNAQSLYFQAQVHAREWIAGSSILFSFASILDDIANEKPTAADA YDLYFVPIVNIDGFESTWNGNGTRFQRKNANQVDLNRNWPTRMKNPSPPPQDDETYPG QKPFSEPETAGINAWIETKRDEIQGYLDIHAYGGLLLYPSADTKQPIGDGFDEKFQVL GRGMKNVMGEYKPQPASALYLSYGTFRDYAFREFKKPALTIEVVGYNFITDASTIKRR GTEVYKGINKFAKEVTLFNGGGDTKPPSPTSTSKPSLTTAPSATTSSPGPKPVTPSPS VTKPVEPSPSVTEPVTSSPSVTEPVTPSPSVTEPVTPSPVVTELVTPSPSVSCNGCSG CYSALLSHCFPRGYSRAQCDSFNGAGYHTTWCGNY H257_16034 MKRLHNVFNVDRLKKCPGQTDRFTNRPIPKATPMLLDDSSHEVF IVEALLKQRQFNRKKEYLLKWHGLPEYEATWELERDIKHVSHFKRLVQDLRAKI H257_16036 MIRSHLILFDAVPGPPATLLPLKLNRDAMYRVVLAGPFTKDQLV KVKEYHLVRQAMIMDILHFYNILHFYKSYNGFYADVSIDGDLIASLPAEDILDGIIDE ALGVDEKASAVDNEQAAVNGFSGDPDEGSGEHECAYVERSVLFTQTASDMAPMNEKVV LEALNSKIHQRTPRADPAVPEFNVHTSNKISNYFEAGIDARMFPHLLPFGRGYTNEVP VSKQQCCRLYCSLSSRRFAQDQYFGLDRGFINSNFSTKVRPSMHTPNKFVNKAVGALL RSVACSSSFVWGSNAERRMHRREVFTTADRFGQPSLFVTITPKVDGTITLAYLAGGIQ VKSLFDVQNLKHMPDKATMQQLVMNDNMVSATLFDRSIEAFIKVVVGFDKSTGRPKKT SGLFGHVKAYFGMVETQGRGTLHLHLLVWVHGAPRSTSEYEARFQADLKYEAMVLKYS EGIVPIDLLETPCKTCEHADPKYEALDPPLTAFENLVAQRASFRKSPLWPNVDIATRW SAPSTWFGKPSCIRGLKCGLWKSVACGVAQAFSACNRQVLGRGSIALEVYGWKETYLA GTVHMESNVDYQELLAGARASLEESNTASNTYVAPDKDTFCLDPIYRELSSMPFGPNE TRLPDETVLYVIATFASVLQVHWWSHCSSCFKQSRNTRGAQMCRYLFPRARVEVGRIG TSAILLVRKLGEEYINGYSDVILRAFKCNHDIQIMIGGAEMAERIYYACKYTTKDQQK VECRTALALAAFDTRIQRELSTAESGEALSDEVKCRRRLASHMST H257_16037 MHTRPTFVASSLVPSTRREAQAPTSAPMNAKRCTKLCLAFHRKA YYPVDPLFVLLRSSSIQQGCMCADVASRIRGKPL H257_16039 MESHGDKAVDLGGTPKSPKDLEDGALSEGGALDMFSREAFALFI QYGAVGIMLNIIPVVQYPIFNIYLNLEGYQTSSYKVLTVIGWSFKVFFGLLSDCVPIY GYRRKSWILIGWTITMICLSVLAFSPFGEPFCNREKTKYCGTPLKKVP H257_16040 MRLNVSSMLERLQDQTASDNHYLQQSLDEYGDAVLEEDEFHETN NPIMDKALDAGAEGFRVLTNFTPEEFEVIWGNAESAMTSRWNDGRGRKSATSAKDAFF VTLMVMKHYQTWIKHAVDFGLKAPILEKLVVKVVGVCSKLLYACFVSLPRMTTLRSKD KVFTHYPYALYATDVKFQPAHRPSGRFKASVSPEGLLVDMSPHEPWSVSNLTMFRSRL DQHTQALAKDDYDDTINDYGQLFREHPTSWAVLVDKGYIGLAASARAIRPKK H257_16041 MDRNKEVSSDRVVVENFFGRVCSLWKVSYATFVWGEKLYDDIQR FTFALTNFHATLMPLRLEDNDHYRVVMARYKSMAAENTSKRAANQRRYLQRQAFTATF MKRLARAKKHLIGIEKIVRPMLDLVQDQLLDQDEFSHKPPF H257_16042 MASHGNNEAADLEERVSYIHSNTAKNDDGYVEAKSPKDLEEGAL TEGGALDMFSREAFALFIQYGAIGIIYNIIPALRYPIFNIYLNLEGYQTSSYKVLTVI GWSFKVFFGLLSDCVPIYGYRRKSWILIGWTITMICLSVLAFSPFGEPFCNREKTKYC GTPLEKVPETELKYFNFSAPDNGTLFILLSMFIAFGYVLAASASDAMVVEYAQREPVA IRGRIQTAIYTVRSMAGSLAYLVSAFGLNGPNYGGSFSFALSPNAPYGIALAPCVLVV LSTIFVLVEKKSEAVSFPLWWGRFWESLKSRVLWQVCLFRFLSNVFNGVYTTASLPIQ TYWAGVEPLNEALSDIIGNLLFAAVLVIVGKWGLNWNWRWTIAAGTLAMNAIDAFVVF LTIWDVVRNQWFFNGVALAEQFPFGIRFIVSSYVAVEIADKGNEGATYGLITTVSNLA GPFASIIYKYVNSYFKVRQNDIKSDTLEVRWDVTYVYLISYGSKIASLFWLFLLPPQK AEAKALKARGGKSKVAGFILVSMFFICVSFSVSSNVMSIFPSTKCYRVAGGNGVLDPN TGKCPLK H257_16043 MALADNDPAGHVCDGGSGGAAYGLITTINDIASPFEEMVPTADL HHFNLDAPPNQSTLFIVLSMFASFGYVIAACASDSMLVCYTQRERITITRGCQRASAR RRWRSHAQYVAT H257_16044 MAQYICKGYQMSPYKVLIVIGWSFKVLFGLLSDCVPIYGYRRKS WILIGWTIKMIILSVLAFSPFGGPFCNREKTKYCGTPLEKVPEPELASDAMMVEYAQR EPVAIRGRIQKANPSTTRWLASWAT H257_16045 METVSTLRFDFGGTSRLACRVNVKSTTLSTRSRIPAYVCVDISA TTMASLGVRAPTWLPRPLCSLPMSWSQQGPQGSTGTEALSKIMFASSPRTRFKCVPSS LQCSLVHIHPLRDPNSKRHSTALSHMQLSLPLGQPRMHGINGLPVTFGSGCFNPCGHS ASK H257_16046 MADLRRLNGGSGGGSGEGTAEWDTEEWVLRLCAVVLLVCLAAIF SGLTLGLMALDKNGLQIVIEAGEDAHATEEEKTNAKNARKIQKVRNDGHLLLSTLLFG NVAVNSILAIVMADMTSGIGGFLITTFVLVVFGELIPQALCSRHALSIGAKSLPIVWF FIYLMYIITKPIAMTLDYMLGHEIGTVFNKRELGKMLEIYVKHNMLDADETDIMKGAM HFKTKPVSSVMTPIESVYTLPGSTKLNLATIREIYHRGFSRIPVWGNHINDIVGIIFV KDLIFADPTEETTLLHFVHVFGRGVHRVWPDSTLGDVLQAFKMGRTHLALVHDVNNAG PGDPYYQTKGVVTLEDIVEEILQAEIFDESDAIDAETHRKNRLSNRSYDTGVSHVLEG SESAKFLAKPEAEALAKHLVMNEPVFTTVDFQGVALDATRVAAILAKSHIVEFDGNNV EGPPPKLFIHGNVATFCLVVLHGHVLVSSSTSGNAVPTMAGLWSVFGANSLIAPDGSF ESDVTVHVPNDVHVRCLRIPRLEFQATLYPMHIAENPAVLAERRLDLHQLVAPTAISV VVDDIPTPTTANFQSDMDATQVPYELCVKSESM H257_16046 MADLRRLNGGSGGGSGEGTAEWDTEEWVLRLCAVVLLVCLAAIF SGLTLGLMALDKNGLQIVIEAGEDAHATEEEKTNAKNARKIQKVRNDGHLLLSTLLFG NVAVNSILAIVMADMTSGIGGFLITTFVLVVFGELIPQALCSRHALSIGAKSLPIVWF FIYLMYIITKPIAMTLDYMLGHEIGTVFNKRELGKMLEIYVKHNMLDADETDIMKGAM HFKTKPVSSVMTPIESVYTLPGSTKLNLATIREIYHRGFSRIPVWGNHINDIVGIIFV KDLIFADPTEETTLLHFVHVFGRGVHRVWPDSTLGDVLQAFKMGRTHLALVHDVNNAG PGDPYYQTKGVVTLEDIVEEILQAEIFDESDAIDAETHRKNRLSNRSYDTGVSHVLEG SESAKFLAKPEVVRLPRLILHWRIYYIYIRVYHRRKPWRNTWS H257_16047 MPCGSVEDCAACLMTLFMDYAYSPAHHYNTRRSPQVAVPSKLRA EILVKETVCARDHNGKRLHPYVFEGDRWSSIRAHIVAHCSTHMSPKASYVPRFLPPL H257_16049 MDSARVDEFRATLPEYGITHKNVIKCNMDHDGPDLSLAKPHAMT VQYTRCVSPLCHPAGGDQVTLEMKNALATWIDDDPKHNPKALFTMLKASIGQERFGGM PCPTLKQVQHAVHYMRSKDILQKSTVPAAIEELMKWRLTDNVEDQVAHKPFVFGVEEE AGLSTVELLRKYHAVFTANPMKTVICHMDTTFSTNVLGYPMFVFGYSDMAGSFHLLCV CITSQRTHADVAWLLRSLKEKFTSLLNYAWAPTRLMGDADKAQFLGMTNALQPELPLL DATTNAKA H257_16050 MEQQILRSLSAACGIPITTIISHMKKNPRFKARSNYVKPHHIPA NVGEWLKFAMSFVRPLPGGRYLFNDMHDYVHVDEKWFYLTKVKGRYYVYDDEEVTVRA VKSKRFITNVMFLATVARPRYDPHGKKAWDAKVVFWPFVQVTPAQRGSKNRPKGAMVT TP H257_16051 MNMGFSCVHWSADCKLACVTDENIMISTYLNKDLSRFLLHPPFL TRYFLPLPAKDTNVPEPPTLDGVHEDGLDAHGTTTYRILNEQARKQSSNPQEIP H257_16052 MTFTAAVWGPRGSAPNMSCAIATLTSSGQVGVYYPSTLDLQWKE VVSVSSLLKRHVAANSWSHVTAAIEAHNATDLLVTVPLSRFPQQQKNKASINAAFDAK RQKLSASFVDKVELQSVTTLAWSTATYDPTTGASMSYVALCGKRLSTVWRYEHNYAGT NGLFTSRLDESPVATAVTGVYGWPTCSAWMFMETDALVVGTSSGNVLMMRLTRQEGSG GRIALEDSLIETLFSENRNSSTVLIRELFPTHTSPGKP H257_16053 MASQDDKAVHLEERVSYIHSNTAKDDDGYVETKSPKDLEEGALT EGGALDLFSREAFALFMQYGAIGIIYNIIPALQYPIFNVYLNLEGYQLSSYQVLMHIG WSFKVLFGLLSDCVPIYGYRRKSWILIGWTVTMICLSVMAFSPFGEPFCNREKTKYCG TPLEEVPEHELQYFNLSAPDNGTLFTLLSMFIAFGYVLAASASDAMVVEYAQREPVAI RGRIQTAIYTVRTLAGILAYLVSAFGLNGPNYGGSFSFSLSPNAPYGIALVPCVLVVL STIFVLVEKKSEPVSFPLWWGKFWESLQSRVLWQVCLFRFLSNVFNGVSTTATLPIQT YWAGVEPLNDALSNILGNLLFAAVLVIVGKWGLNWNWRWTIAAGTLGMVVVDGFVVFL TIWNVVRNQWFFNGVGLAEQFPYGLRFIVSTYVAVEIADKGNEGATYGLISTVSNLSG PFASIFYKYVNSYFKVSQNDVKTDTLEVRWDVTYVYFISYGFKIASLFWLFLLPPQKA EVKALKARGGKSKVAGFILVSVFFFCVSFTVSSNIMSIFPSTKCYRVAGGNGVLDPKT GKCPQK H257_16054 MADAALAPVGALKTLQPQLGIKAILKAILMCFYHCVACVNKRLG VVPTSVKALVARYLFKVHFSRSVGECHLHWNEAKVAWTACDALEGKGFVRYFEGQWVN GEYCNWQVYHTPDGFPNEQPM H257_16055 MSEEVVLRKSDGLFYCPRCIVHYVNERTFRAHCKTKHGLKVTLF KKKSIDEKKAKARQRKQQQKAAREALHPMAGKTFRLKQRALFTFAVARVRGAYQAANS IVKIDDSTVPSAGRGLFANVDLSAGDICTVYDGEKVYEEPTDHDDTPIDPLLDYFMAN AGGSPCEVDVIRTPNPSQAIPTLLRHILWPDTGEAAAPLSPPLHPLADLVENVWEAPR EVDVLRTPSLSHAIPAHLRHILRPYTGEAAAPLSPLLHLLADLVENAEGGSLECDVTG TSSYTWSTLAKQQLFPSYAFDNLVATLGTTQGGGHGNLTGSSRHVTSSPSDALASLVD YTVDGMWEFDVTGTWGDGAYDTPDFTSEGSNVVDTANDNTGDEIDHDGDEMGDDVILV ADFITGPQMSSQARQHEWILVGEFDTYNSARDCVAPSTTHSTRKSRCTVCQGPGVHEM CARYLTCFCREHCLKHLKLLCCSVNGRTLAFKRGQYGDCSAPSTSSATLAIRSQADRL FAEGITPSRVRHRLKDSVPASAMPNLKWFQNRARYYRMRNLHEHSKPVEMARMLATSW FDPRCDETTFFSFGFDLVHGVPQIGYGGASGVFKVGITTKALLRCMHRDPSSFVFHWD ATYKINALAYPVLICGITDPSGKFHPVAFFLIGRESADEYEWAMKQLMPCTKPS H257_16056 MIILVVTTTTVTTAMTAVAEVQAPLVDVVVAPRRSAILLAVVAA TVAEMTAIALGVMKAIEAVAAEAFHRHHSLVVHVVLKLRTTPIVIPTVLMLRHRLPSR SVSLRPTPVIVSISQVVCIVAAVTLFRLLIS H257_16057 MSPHSTSAPLYNGPPLDDHNRTSLEWKPLFISQADGHEFTQFYM NKAYVPSDLERFILSILDDDVQVDKLKHPELYHVDPDLSEDGLAARHKVIADHVQSVK SATLKSETAKSLSRLRALALTFLNSSMVDSLRNDPAVLNAQSQKVKYHDGDCLDTLLA DVKSIASQYRTAMIPSSLEITAAEPPDNHALSRLSTPPSRMPWQRVTCVRLLLVSMGQ LTPLPPDRI H257_16058 MASHGNNEAADLEKRVSYIHSNTAQDDDGYVEAKSPKDLEDGAL MKGYGAIGIIYNIIPALNYPIFNIYLNLEGYQLSSYKVLTVIGWSFKVIFGLLSDCVP IYGYRRKSWILIGWTVTMICLSVMAFSPFGEPFCNREKTKYCGTPLEKVPKPKLQYFN FSAPDNGTLFILLSMFIAFGYVLAASASDAMVVEYAQREPVAIRIQTAIYTVRSVAGS LAYLVSAFGLNGPNYGGSFSFALSPNAPYGIALGPTVLVIVGKWGLHWNWRWTIAAGT LGMVVVDGFVVFLTIWNVVRNQWFFNGVPYGLRFIVSTYVAVEIADKGNEGSTYSLIS TVSNLSGPFASIFYKYVNSYFKVSQNDVKTDTLEVRWDVTYVYFISYGFKIASLFWLF LLPPQKAEVKALKARGGKRKVAGFILVSVFFFCVSFTVSSNIMSIFPSTKCYRVAGGN GVLYPNTGKCPQK H257_16059 MALADNVPTGHVCDGGSGGTAYGLIMTPTTSQARLTNDPTSDRN HRRAGMTSLVGELFFNREKPKYCGRPLEMVLTANLQHFNLDAHHNQSTLFFVLSMFAS FGHHYARMPASERTT H257_16060 MVEEAAGMEKEAHGMEEDVKENKSDLVMCLKDDCMSIHTRKQHT EHYNKFHRGVEELTRRDLTDEEATFWNQKTLAEQRSYRKRVSSQLQSRQMSTRMSMAA NHQSRMRPVKRQLTFLRPRHRSVTYQENETACKIQQAY H257_16062 MEFDNDNNSDADVAAAQASVEDETELSITGVESQTADQQEEESA TVTWLEQRVTEWRCKCPRCIYARPRMTELPDTFECPMLNGSKRCRLQFPSNELLVVHQ LDVHEGVYPICPKQRLTMQNHMEYSAPRPPPTVYMFQQRHNPLIKPDQHLDVSEEVDR VRVQHDVEVAASAKRSFSRKRNLDGFVKMPATHEEPETAMFDVFMEAAERWKRGDKYE RLAQFQEAMLFYQTTKELPAPTAPSQYPLVGQGRNWFKLK H257_16061 MPKKEATAAAAPTADPASNEHGLQFTATSSSFSSEHLPGAPILP GCVHWSADCKLACVTDENIMISTYLNKDLSRFLLHPPFLTRYFLPLPAKDTNVPEPPT LDGVHEDGLDAHGTTTYRILNEQARKQSSNPREISLTKGEASMTFTAAVWGPRGSAPN MSCAIATLTSSGQVGVYYPSTLDLQWKEVVSVSSLLKRHVAANSWSHVTAAIEAHNAT DLLFTVPLPRFPQQQKNKASINAAFDAKRQKLSASFVDKVELQSVTTLAWSTATYDPT TGASMSYVALCGKRLSTVWRYEHNYAGTDGLFTSRLDESPVATAVTGVYGWPTCSAWM LMETDAIVVGTSSGNVLMMRLTRQEGSGGRMALEVERVLRTPQLQPVYALHYSLSRVC VAAGNTITVWPIGGDEGAPLTWQAHSHNISCLDVDHCDDHVLFSSSSDGCVKCWDVRT GDAIPIDYLPANNYPIYGMALSPNSVQLAVGYVCPPAAKPSRITQADTTYARLSSGLE FFAAPNARNATLLANSLEAHSSIDSLGDILSYCHAELSTFHAKRAEDGGSVWSAADAK ASNDDNDLGQPLYHSFCSILEAKYTDQCQAAALSSDPPPPPMYLQVAYQVVVNMPGTS SAKEADAARLQQRIMAYWCDSVLRCRASTTVHQSRRHTGKAALSTLLMADFLGLVSIS PANPHLAALVTSVYATYGTAGDKKRSVAEARPEDLPVRETCGLCAAPVPLTARVLEPV CDNGHALERCFRTLVVIDSAAAVLWKCMVCEAFATGRDKDDNDDDGNGQPQLPVLVCR LCGSYCQRIEY H257_16061 MSFSCGHLPVGSAPNMSCAIATLTSSGQVGVYYPSTLDLQWKEV VSVSSLLKRHVAANSWSHVTAAIEAHNATDLLFTVPLPRFPQQQKNKASINAAFDAKR QKLSASFVDKVELQSVTTLAWSTATYDPTTGASMSYVALCGKRLSTVWRYEHNYAGTD GLFTSRLDESPVATAVTGVYGWPTCSAWMLMETDAIVVGTSSGNVLMMRLTRQEGSGG RMALEVERVLRTPQLQPVYALHYSLSRVCVAAGNTITVWPIGGDEGAPLTWQAHSHNI SCLDVDHCDDHVLFSSSSDGCVKCWDVRTGDAIPIDYLPANNYPIYGMALSPNSVQLA VGYVCPPAAKPSRITQADTTYARLSSGLEFFAAPNARNATLLANSLEAHSSIDSLGDI LSYCHAELSTFHAKRAEDGGSVWSAADAKASNDDNDLGQPLYHSFCSILEAKYTDQCQ AAALSSDPPPPPMYLQVAYQVVVNMPGTSSAKEADAARLQQRIMAYWCDSVLRCRAST TVHQSRRHTGKAALSTLLMADFLGLVSISPANPHLAALVTSVYATYGTAGDKKRSVAE ARPEDLPVRETCGLCAAPVPLTARVLEPVCDNGHALERCFRTLVVIDSAAAVLWKCMV CEAFATGRDKDDNDDDGNGQPQLPVLVCRLCGSYCQRIEY H257_16063 MKRIIRKCIRMAASISKAKSRAGENLGMMAPPLMCPSCLILQGE RDKEERDAPHRCVYRTKLSVLAKRFRLLQRTLEQQQVVIEKQQRRLEHRRSKAKQASR SDLVLAAIKRNERAQKHDGQRDAASSRDQPKPRSSLAVESLAVATKEPMHRHGSENPP RSSSSGQVLQVHNPRKQVEDGFISARRPSDDDDTDLLHRQRFPPQLPSKHAASTSVRT DCFASLTKSINPLGDAAPTNPRSLKRSKLDQPLVSTITRPPSTTAASSKSSHVLSHVP PASSLHRDNVNPSTKPPAAFKYIEVVRKRDERMALPGHACDECARYYAALGDEFDGDQ FACSRHRAKWEPYATPEDFWRLSFPDSAS H257_16064 MARRVKAFDQDAPANYVAGLGRGAIGFTTRSDIGPARSDDPTDI AATGIPGMGRGRGVPLPQQPAPAMMIPPGGRGSGTAGVGGFGREVDASGDRGDYSEFD EFSGYSGAGLFNDTPYDKDDAEADDIYAQVDEHMDNRRKRRRELKQLEDMKKARRERP KISDQFADLKSSLKGVSDAEWEAIPDIGDHSLRYKASQKKSDIFTPVPDHILDTRAST TASNVVLGGDTPLQTGTSISGMTSSLTGLAEARGAVLSLKLDKMSDSISGQTVVDPKG YMTELNSLKITSDAEVGDIKKARLLLRSVTMTNPKHAPGWIAAARLEEVAGKVVQARK VIAEGCESCPTNEDVWLEAARLQTPENARTILATAVRHVPTSVKVWLQAAKLEPTDEA TKLVLRRALEFIPNAVAIWKAVIELEDVDGARLMLGRAVECVPQSVDMWLALARLETY ERAKQTLNKAGATIPTDHTIWIAAAKLEEANGKDAMVDKIIVHAMKRLRKYDVRIDRD TWLKEAEACETADAPLTCAALVKHSLALGVDPEDQKRTWMDDADTSASRGAIVTAKAI YHHALQVFPTKKSIWLRAVALEKTQNGPRVMELLENAVQNCPQAEILWLMAAKEAWVG GSVEQARSILRQAFNANPNSEEIWLAAVKLEWENDEMERARALLAKARSQAPTARVWM KSVLLEREARTHGDGDAKAREEALLTEGRTRYPTFEKLHMMAGQFYEDLGDLDGARKH LRDGLASCPKSIALWKQLTRLEEKTKGEMKARSVLESGRLKNPKNPELWLEAIRLEAR HHNDKGQENLMAKALQECPDAGILLAESIDIAPRTQQRRVSLTALKKKDNDPAVCLSV SKLFWNERKYSKARKWLERTVQLNPDFGDGWAYYYAFELKHGNELQREDILNRCIKAE PRHGDLWCSISKQTLNRKKKVGDVLKLVVASLPVINIPQVIAT H257_16065 MPPTNQGAMDKAKRGMTTRAERLAMLEFLRVPEHFALMTGQATR GKVMKGGQRLTKAHGHSLMAQYVNKIVGDGNRAWSAQDAKSSQTLDNSFVADDSDDND AKDGEQPGNDPDSDEYWAQNDVEDPGRTDADAADGKGNEYGIPGTRLCLGAAAFIPPC LHREFNDTPQTSVLQSFVHYGLHRVWCFCKLLGSWAKAVETFVQEDLVAHRLLL H257_16066 MSTTSLDDGNERHYRQRLEDLQFTRDQERELAMADEGDTYAEPY DEELECGLHVPHRVWTGLFPHQKEGLAWLYSLHKQNAGGILGDDMGLGKTIQICAFLA SLMSMKVLHSVLLACPASVLWHWVREMHKWCPEMRVVLLHSSGTATCTYGTSIKNVYA KGGMIVTTYENLRSNAEFLIPKEWDYVILDEGHKIRNPDAEITLVTKQLRTVHRIILT GSPIQNRLKELWSLFDFVFPGKLGTFPTFEDEFVLPIRHGGYANASKMQILMAYKCAM VLRDIMAPYMLRRMKKDVQIATDLPHKIEQVIFCSLSSAQRSAYKLFLCSSEVRSVLA REVKPFRALTMLRHICNHPDLVSNAAEHVTKPHHISIDNLDDDYGAIDQSGKLQVLDT ILRVWKGQNHRVLIFAQHRRTVDILAKMMRQLQYMYCRLDGTTAVRERQALIDAFNAP DSCLFVFLLTTRAGGIGVNLTGADRVVIFDPDWNPSTDMQARERAWRLGQTKPVTIYR LITSGTIEEKIYHRQLFKQYLMNKVLRDPKQKRCFNHNTLADLFVLTDAADLAKDEHG HAMQTTGTETGDLYLHGHVDVAAEVATLQAQLGDAARVVVRASEEAKKHKVTDGEADE VEDEQDGKDDGKDDNTDNTEILKALMEGGAISGVFSQDSVESDGVHNQEADLIQIEST KVAQHSLSTLRQSCSAIRQQRSDQFEVTWTGRSGSAGLPSTPAKRFGTKIAHTIKRKS VTNGSSKAGNSGLGQHHQEVAVESEKMLKRMKMRRLAVDTGVQYSSSAKASSAVVQYG SVDELTKKLHAFLAHRPDGVPTDVIVQTFCNAVSPKDKDVFRNLLRSMASCTGRVWRL KHEFSTTST H257_16067 MIAVIFEVFPHAHAKQQYLDIAASLPPLLKDIDGFTSIERFQSL SSPDKVLSLSFWRDEAAIQRWRNIESHRFAQAKGRDGIFQDYRISIGGIVRDYGLTER EQPPQDSRDTHG H257_16068 MNVVATKAPRANKRKASSNVALSGGHCKDEGRHAMNRPKKVQAT STLSTRPSKSVDIRENGADVSGQPISFVCKMVLKYIDDMEQSERGYPIVLRANALESS LGLPRRRIYDVFHILQAIGLLGKGPQLFPPIRGHHYYGEDAVVPTIASVKASAVVLVH KKGERRSKPTYHAPSKLRVVPPVPNVNEQAVPNGTKLPALWQATQKLLKCIFARGGSI SLREIYTLDDDNDGSSSKSRRYYDVLAVLSACNILKFNTDSKFDRAKVALMNPALLTA LSTPMSAFTVFELMRLENLSTIAHVPSPHNPKATSDKKPRLWAKQKASQRQLIVHTDT PSAHAASCDGNMRECQDSVSFAGLLDTSLFDNEPFGAFYPTTTGPDDCAADEPHRPVN KNGLRFLLSPCKHMHDHDEFSFALFPLDCDNMDDFTDL H257_16069 MRLAQAMLLWLVLGCLWLVGGTTTCWQADVDAMESCFSNVNGCN SCQLVAATASLRYDDYFTPFLPTNRSCEPAVLGAICPDADNGRCCVLANEAFDLYNPY RHLCSKPYAVNDTQSQHFSPNDRKVALAGAQVFKQQLGIGSNFTLETSWHVGQTITVT LRDNANGTVGSFELNSTSNKATWRDATTTSSIVVTSLAILTAPVQRIQFRVTSSSLQV SVNGWIVPKYPRPVVPISALSVRSDNEAMCVRAVDARFAPLGEDDQASNHSDLQCLTA ADSLTSSTCSVAHIEIFTLPSIQPMSIQVNLLDQDHRGLLLNPSSAVVVLTSFTPSTS FDLVLNPQLYDRTDTTIALLQLNCIAWLASNVFEIHVRVNDMPAPQVFYPLTSFRPVD NNSTTLSQPPSQTFLPVLQDAYLSSDSVVCALTSPGACGYYNAQSNARWYPKLDSATG LPVLTVSSSSRQPTSPSHRVLNDGRSTGSQHVTPTVQWRVKNGLAERNHGVDLAVTWL LPALFQLGFETDNGTMDVMELIDHHSFNTVAIQSDATACNRHWLNLHMNARPLSSVVL RLTMRHNFTGSVNLTLLQRTVSHKRNVTVLGAIMLAWIEPRVRHVVELSPSSEDLVVA IPSCDKVWPNVPTGPVRFILTWDSVHTLTSTNADHTMLLPSTSTFDGTQGLQRAEFFE WPASIYFHGISGYIGTIAVTWQAQWTLGPVDYAYSTYLSLHLHDPVYSPRMAPRVLAN VLEVPSSSPDLPLWRSLNEVPLESAIETPGVAVVEPTVQEIAVDVDSFIRHEAPSSRR HLDAASIMLPGRLFTSMAFFNESVYTFHLSEWIESCVMMFHESMDVVDMSSLSVTLDW TGVSLQNVFVSEVDDDNQPFDWLSGSWTSTTIAANTTISMVPTPHAGTLYVTLHGSFC VFNGSSTYAQSSIVRLLAGETIDTIDALDDVHITTTKVSAMATDKDGIVQLYPLEYFA VGLDLPVVWSEVQVFFSAPVASVATNATTSIIHATTYAGQPSWTWTNLPCATRISITP VDPLTVLIIRLVMKTYSSGHVVVTEITTSQPRDCIPVVPLLIAPYPSAIIASSASTCR TQLSLPVFLLDDPTTTSLDVSVKLAPCSNLSVRWRGSTLSQSYNMSCTYNLVTGTNHS FVNDTLLVQNTTDIPPMISFTLEAVKQSTGGTSSHVALDWMDMLLPCIADSPTNNAIL PSCVANPMHVTLFQADLPGQTPSEFRVSTTNHDWLTTVITFAMVPCIALDINVTSSSG PALSFEYNPTSCDGIVRIPPDIEFMRLMVTPSQAFVGSVDVAFTRTQTMSHTEWLAST CRSATSARVTWYIPMDTQPSVLSRATLLSLPFFTSSHNVAIDFMYRIDSEHASLTTQV VGVVIAVPPSSNTSIVLLTWSSDQAVELGKWQPKHLEFLANESAGLYDAFQLVFTADS YFDDDVSLQNMSIFQSKGGGDIHGELVLEAVTGGAMVLYHQYNTGNADNEQVVEYTVY LGQEGQGDESVAYSGQGIVSTGCRIGNTLALEIPATESATPSSCAADCFTADTQGRLT GLSVLRSLTVFDLPDWTLGMSIWINHTSPSNSLLFMFGQQTIAASCSASGLRIQTCAT RQDIPYILPTNEWLELAFAWSSSTRAVVVSVNGVAIAQVDALPSCATTTTATLGILQI GRDSMYGLEYSALEALVDQVRLWPYNYVSAQAVLQDDNDVARDIVLHLRLAKSNASAD VSGVDSSGHGNHFLVQNVTAVRSRVSQQFSLSRGGVCMCGSGRRSSRPVDALYCNTPC MLDGSLAYGGICDTVARTYSIGRFQLNRLSPATSYSVRLHYETVSGKSFDVSTILVVK TTAPTRPGAVLNVTAQLHQTSARIQWMPEYDTGGLPCLYFTLYVNGFRVSKVSNVYET TLFNLLSNTTYLLSIVATNALGDGPPSETLVLAMNSTTSAKPSAPTSLAALVTTSGAV QVQVGGISDVGGAPLSSITIEQFHWGKYEVVARGGTLDRATNSFEIWVYHLPSNATID LRASVTNTLGLESSMSELFQVRTHVKSLFHPYVPTPYLRQATGGSISISIDRPLDTGG YNIVGFYIYLSTWNSTNWTLAAKTIHTPDESLTFDLFYTNPSQTMPILPHTTYNIQVV AVTDDMVCHSWQDLIGFGRVTSVTTLAAALPQSPPSIHMLNATASTSYIRCAQPHDMN GATLVGYVVYMNNVSVQAISRDVFMASNLTANTNYDAQCAMVTNFGTTRASPSLSLRT RGPSTPGPIQNISVETTASTITVQWLPPVESGGSTVLGFNVYQVLPLSFNLALVQHVT SPRFTLTSLPYNTSKEFYISVLTEAAQSSPYHNSVRATTTGPSLPSAPRGVQVVTAGS DFVVLALQPPLESGGYMAFQYGVDVISIEACYNAELSATGNECSMCDIVMDADGSSPN SSCIGQNGMKCTHTSSGATTLCGKKGFRRSVCLSPSPECNVNDLVASTAYLVEAYVVN NMGYSTTTTTVITNTLGAGIPPDAIQLRNVQDVNGLLMFSWDYPKHQGGNAIFEYNII CTSNSTSITLSTCTPTANMVSSCLLDFAMCNPLSQANVDYSCYVIGRNMYGQTLANLT AVPRVYGNTTVQPSISLLTLNATSFVLQVSPSCQMSSTSSSSSIFECQILDQSTAVST AFPCSPSTLSSVGSLQPGRVYLVRARTVNSSLWTSAQFATLPGIPDPPRVEAQSVTEY SINLCLFAPTQTYGSEVLAYQLDVLSPTSGNRVVASTTYTGVGLGYPSTACAPLSMAK LNVSTSYVIHVASLGVGGIGPATTFNVTTSGALARTFKWKSHTVVGSFGSTVDLTIVR QNGIQYDETVAIALSSDQEYTCDSVSRVCAPKRRSYLFMDVDVVGSDRLQTSQFSADA CAAECVSLDWCQSFTWVPSSACYLKDAVPDATIESGRVTGLITTKCYARLAGVNFAGN DISHTTESNELDCLANCVANSNCVGLYYSSFTNLCYIKYALTTPNLGWWIAALVPNRN CATPQLISFNAGDTEATISVVVPTSATAYTISISLTSVPSGATIANNPTMYLNIDDYS AVHGTFNWVPPSSLSVTKGAVVNATITRDFGLAFSESVSVSIGSNRSSSEAYVCDAMT LKCAVPTSLCGWQVAGTEFLGNDISQKIGISQAECCQLCANTSGCGAYSYVSASVTCK LKAYPLNSVASSNVISGVPSPQCTERYDGVDFTDSNLGTASTLTDVDCYALCHRTATC VGFHFKPSTTTCQLKSKLSSAVSSNMAEYSIVMQPICSNLVTFLPGERSKVVQIRLPV DDGGYILPYSMNASLVRGFGASVLGTSVSYSMTVADPNPPNAMTWSAASVVGSEGGSA ILVLQRYGVYSNSVQTVSIALTNFYACDTVTLICTPCLVSPCVATNSTLTFQAGQREM ILRVLLPSIADYTPAYNITGDILPSSTFTLQTSRVVIHVNDNLDSSVVMFTLLDVTVF ENCSSVSVGVHRDLSSTVVAFTVTSSSASYHLPGGMFQANATFAVGEHVKYIPVPITV SQGFNLPDTITLELGSSSPSSKIRSTPRMQIHVWDSTVGTPDPPANMQSTALSGGSIL LSWDPPKYTGCVSVWDVMYNVSIYPPSYHFTTTNTSYLVRGLKADTVYSAQASAINVN GSSIWTLPLIMQTLPATSPAPPTSATVVGTYATWITLQWSMDSLGDGGVGLRSCRVWN YNLDSNLTTLVLQASVPFQFPYTIPNLTPQTIYTMAITCTNAAQLESTSFQFNFTTAA VDVPYAPTHVDTFRITGGSLGIAIPAPFYDGGAAIENFTVMYRSSICDNATFKVGCSG NTTTEVFPFIGRCIVGGLQQNTSYFIQAYVSNSLGMSRNASHVQKYTTSPPTLPDLQD APHVTFESMGQLVLSWSPPVDSGGVPIVGYGIKQWNPDGTFVLAVDNPADPSTSVIVP NLAQNTRYRFAVVPYNTLSFCSSDEGLSNQLVVLTMNATVPDAPLPPILVNSTGGSVT LQWFPPAQTGGFSIKSYWVYGVLNNDDNWAVLYSGNATSFVQYGLQASTNYPIQVAAV SIVGSSANSSVATVSTSSPSRPGPVTAINQRGNGTSGGAIQLIWTAPKDTGGLLPLTY TIYRGNTSILANIDTTYAEDAIKLSANTSYSYSIVAQNGVAQSVASSSFIGRTSAPSL PKPPTLDAVATSGGSMSWSWSLPNDTGGVPLTNTSAIVLDILTNASTVYTNLWNKSSY YVGGLTALRSYTVRIWVTNRIGNSSVVEVIATTTAPTVPVPPVAGLPTALNVSSGWIS IAFPKRDAALFDSGGTPLTGGNVYLNNLLIKSVAPGNLTSVVLTGLQARSVYNVTTTF TNAVGESVLSGILSVTTLPMVAPSGVPQPLVLRDRSSWYLNLTWSAPVDSGGSLAVVY DIRYKEASSSTTSPWINQTVADTAIILSDLRALQGYLVAIRSTNEAGSSAWSPSVNFS TTVEAAGFATFSLLLTRVSQSNGSVSIAVTRNASGISGSVMFSTQSGTAVAGTHFVES SGLVYFAPTSSSATIHIPFFNDTFGCTPSGRTFGVSIAAAAGSLVRIGPVSNVTVVME DDNSGGLVGFEATSIDQLQLLPAPFYTPLNVTIVRSGHALTTINASLAVNFTMSTATM TRQILSIPSFVVLTPNQSIAVATIYLVNDGIYNLPSLFFILNMTLPNSFSSCSVLNTV AAVTKVTISERNVVSPPGPPTHIAVAWLTGGLGRFSWSAPANSGGYNLPVTFYTVKIV ATGFELTNRVNETSVTIGGLNSTTNYTARIAAETSLLVGPYSDAVTFQTLSPSVPSLP RNVRCSGRAGGSLTVAWDVPMDTGGFPIAEYRVMCADISVRPVTRTVSTTMLCDGLNA SKLYSIGVQAMNAFNISSLFAYITCSTTTANTPSKPFPPELVSSTGGALNFRISPAIN TGGVPILQYAVYMNSPTFPSVFALVYKGSNNTPTVYGLTFSTVYTVTSQTINAIGASD VSSSIQAVTSAVSIPTSPLNLTLSAAPTGGAISLQWIAPVDSGGIPIAGYAVRQYSSL DIITNSPSIAYQDETTKALTNVTLFNMNPNTTYVFAVVALTSMSHCFNPSYIQESNRI VVKTKLTTAPSTPAAPIVTKATGGMVEITWTPPLDSGGSTSVRYVLYALPKIPLYNGT NVSFAIYGFRALSNQSYYVVAFSEGGSSTPSPTTLAATTDVSSPSAPTSIGVTKAMAD RLVFVWQSPIDTGGATVSDYEIRRNEVSIGHSNTTSFEDSGLMASTSYTYTIMARNSR YPSAFSDPVTFRTANATAPSAPLNVRTAVTGGTVAASWDPPYSNGGLASLGTYCLLTR TGALMQDKWIPTTSSTCVFTGLTQNTTYQVTLFARNDVSNGTAAVVNATTSKATPPTS PSIPVVRSQVGAFVVVEMTLPQDTGGLSVSKLTLYRNSTIIGVVPVTSSNVSSYNISA GGLLARTRYVFQASAFNGYEGPLSDGLVFTTAAPSTPSMVDSVRLVEATATSVAINWT LPVNDGGSPDTLAFDIRIQSSQSNTTASIVKNTSMVVLGLAPNTLYNISVRSRNVAGA STWSNVQQMQTLSLAKGTCGWGVSTVQTKIDAGSVSVPLVRRGGTSGAVRYTIRSTST GNVVFTCPSSITLQDLQTQASVVVAITASTAYNPNASISLELIADTSTNAVVTSLFAN VTIFVDEEDNAGAFDFGMSTITVREDAGLVSIPIRRLRGAMSTVVWTPSDATASRNGT ARSGTEYQLLPNQRISFATNVTQASLIVSIINNQRPQFPLLFFCLTLVKVSGGGYLNR EGNDVLCATIYNDWTPAIPSRVPNQLNVVKSTGGLLQLAWLPPPYTGGAGVFITQYSV NVFSNGSLVKAVTTLTNATTASIGGLMASTTYTLSICAINRIGTSSGMNFTAQTTSLP SLPGTVTSVQLSQVTGGFMLLVISPPDDTGGVVDVNYTVFVYSRERSQFERVGTAMPT NPALYAVYLPTANTTYTFQFQAINTMGYSTPSANFTFRTGLPSLPGPPTNVLSRVQTG GAITLEWQPPDDTGGAAIVGYNVYFRVDSATSEYNPFQWALFAAETSATIARLYQKTW YEFAVVAVTSIEAQVLPGLGSISNGRQAITTTTPIPRSAYKTIEMMDILWFPEDEQPD TSEIRLTSPYIGLNVDNFEMVALGSASNVFACSTTQLTLPSSPPVPIRLDYPSGSVLR LQVNSPLDTGGVPITSYRVFVQGDEILNFAIEDASTVLGNLRMDCIMLVPGLSPLTWY NVSVMAVNLKSACESSSAGSRSPVGLFRTNETSLPHPVTSLVDTSSTGGGITLAWQPP FDRGVGMNDTLIFSLYMKPLTSNVWNLIQNDTRTSAWVMNLASETSYYFGVDTTSSAG SAGLASMLVKTFKTSGISVPGPPWSPFFVNHTGGSITVGWAPPSDDGGEKVTSYVVEV QGIDGTQLTSANQFTFYGLLASTAYNIRVAAVNLMGTGSASAYATLSTSAASPALPPT TPKILSQSGGAVTLSFTPPLDTGGVPLADLSYAVYANNVLVTTISHAEFVQSASSTSS TRELSVVTSDLESVSTLHRRRRLDASGSVTVGNLNPSTVYDFQVRAVSVQGGTSGGSP QQSGQTSQPTTPGPPDSPKLDKATGGLLSFSWNAVTDSGGSSITAFVLKLVNVDTHDV KMCEGMLFQCTISGLEATATFATTLQAANAIGMSSPSNVLEVRTEINSAPSTPVNFGM VNMYATAIDLAWSAPRDFGGSVLQMYSVDVTTVGTSTLVTQLVDVPADASQVVLCTVD DLTPLTQYSVTVRAVTFDQLGDVSKTLTLTTPADANAPLPPVDSVQLAWRVSPRAVGY NVDRNSILIYTGTTLSFEDTQNLTPGQPYSYRIQEIRSDQSLSHWSAPAMTSTLTAHD PVIACSNDLALSIVQQGYANNQTKIWKLQHSTGPLVHTYLNFATFSVECDHDQVLVEE INPTTNMSMVLWRGGCTRHQPFGIVSTFANSDMFVTFTTDSSVTLDGITLNVESFDAA KDTPRVTQIPCPSHQNISCANNGICTGASGLCLCSVGYTGEDCSQRILCTNGLVCPDG SAFSGPDEVVMLVDAKQGNDERGTGAVMNATAHGTASKAVRSLAKALDFLSSFPSTKK KTILLYPGEYVGAINCDVQISNAQIDIHGVYGASLTVFTCPEGQWSVDGGMVSVTGVT FQSRETDSAQLSVTGGGVLKLFQSSVRGGVGSSELGGGIYVELSSVYLEQSVISQCSA NYGGAIYAYNSSVTLNNSRITDNLALLDGGGIYADGSSAVSGWSSFIQSNSATRYGGG VFALGLANVLWSDPLGPLEIALNVASYGGGIAINGSLASSNVRLTSNNATTDGGGLYL LTSSSVSDSNSVIMLNHAMQSGGGVFTLSDQWLWFRQSTVSQNSAKWGGGMAIHDTTC VVTGVTIHECTSELHGGGLSVVNSTVELVLVVITHNTANASGGGVYLRTAALTGPVDI AENAAGMSGGGIFIQGESTLQLASISRCTAPNGGGFHAISSPSLQLNSVTVANCTATQ HGGGGVLENVRAVTDSFVVQDNAATFGGGLHVDGAHLVTGTGLGRCVIQFNKAAFGGG VSALSSPSPSSLRSVTLQNNIAHDKGGAMFAQLCTVGLVDVFVDSCSTPGQGGGLYLL RSTVEHSNVLVQHCSATDGGGVFLSSASLEPPGALTGFISLSHNEANTMGGNIHLANH SSMSYVNSVNGTAWRGGGVSGSQWSGTCAFCIVADSEANQGGGMYLYESSIYLTHSSI RRNFGVQGGGMYLNYANVSHDRVVVEHNVAAEGPGFWIGNTTTVSSQTGTYSLLTGNY FDNATAEYFGGANVFIGESVTGFELANWNISAGQAYRGGGAYVSNGASGAFRRCLFQF NLASSEGGGVFVMESTTLTIESCLFDSNVAPSGAALLVNSDASFSYSSANVTVIDTVV ANHKGSRHGAFRVLSANVNAIRCDFTNNSVDGGGFGGAVSFKSTRFAFTASTFSHNSA TQGATVYLESNSQGTFTSCTLSGNCASMNSVPIATHGGVLYIGKESSATLAGSTVVTC GSAVFGGGIYVATATLTIRDESSIVTHRATQFGGGVFLDESTMYLFSGHVGDCDAKYD GGGIYIQRNSKLIGKNATVDGNTVTNDGAAIYIASGKNSVVLNASVVSSNTALHFGSG IYVGRGSGSTFTDVTFTENAAVLGGGLYISDTTSTLIRCTFHRNSADRGAGVAVDRSG NLVASGCVFVENVADDVGGAIVLLSKTVAAVTNQSSFTGNVGRSGGGIYMESASQLSL DSAEFYENQAASNGGGIAVAGNAALNMSHCAFVENVAVDGAGLHLATTSWVQLQDSSF TANQASFRGGAVFVQKSSTTVSQRLSVTSNVATSGGGVFWLIGSTTAPDVFHCSDCSI HSNDMYDKATDSMQFAVSWWPTHATSGTYLVKVDEVESIVPVNVSVDGGESKNLWPRL MVQDYYGQQSVTDNSSTCIIQAATNQTTGILFEPGVPFLSQTGTVTFLNAAVVSDGAN ITYALEAVCSIPLANEGYQYIDLNVTVYPCKPGFRLAENKRCTRCGVGQYSLDGQHCF DCPKGGNCDQFVVNVAFPDVQLAYGVTFPRTVANFMTFEATKTVLAGCVPSTWDSTDP CLQFAAKQVGGVTNLKESSAIDLNLVMNDCAHLKTSIAFQKYWPPERQYSCLTNSSFY KCEVPGSCPADVVADKPQINTDTIPCATGYQGPTCSVCKPFHKRTSSGECASCEDVNA AIRSGLTWQNFLLPGLVLLLLVGVVVGIRGFLADGTDVKILGKAHADRKFQVHNVRVA EKGKVAKYIEAKVSAWKAKNELKAAEKKKHDKSIVFGIAPVNVIVGVYLSPEKIKILI GFFQIFGNLKKTYEVQWPNTVNSAMDSTSKFNLDLIAVAGLDCIMERTFYFDLILLFV ILGFLLGVIAFFYVHGIHSYEKKLSSIPRNCTRCGHPVRESYSRRVYERLPATLAQGG KVPPKLRQSLHRLSCLVDSEPDANAPDDRKIKRELGISTVKTDVLSVYPSRHLQHQCP SDDVLSGKLLDRTLRANLRVWQARTKLRMNYHSYKNKCFKLFMWLLLILYPNVCQYIL NIFNCQEIGNKYYMVVDRSLVCYDAQWGFYSLFGFVGLGAWVAGVPLFFYIVINRVRT ANIRERMIILKNPRYRYLRHKWTRNMRAYFATRGRYIKEHEFYDVENDLLYEYMCYLN MTDSVNRLRVGFIYQNYVPEFWWFEVLELLRKLFMNGLVIFVHNNPVLKAVLSITWSI LLMSGILYYRPYVAWSNNLVSSMTQFQLILTLWVGLVLVLNAQTGLNLLNQQQIVNIM LILNFMAVVATGYIMLDEARSLSKQQIAIQEAERKDKIRHAVTRLWQKAYNHAVYKAM QTNQTGRTFSVPAFLEAVRLHKLELAQAAE H257_16070 MSDEASAMAIKLGLRSAYTHDWKANTYHDNACDLFSTVPSVPAA ARKRQKPGKRHANPVTAAAGVMELVVGKPTHNKSSNLRSSDRASPQAVTTPPPFVIGG PHLPPSLVQTKERRRPHYQKNQVAPLVSSTQIEKPDGDDSIAEPFPKSNPSSRLDTTH DISGERSRATSRVDSRQSNDDEYKPLRPDSDMDNTSRRTSEIDEASIIWDQPTLLRSI TPTSKYYENCERASILPEPIIQKALACKDGILNLSSYSMGDTLLLALSESLGDITSLC KINLKDNRLSDHGISTIVGGLVRSRVRELDLSRNYFNAKGIAHIAPLLTSPSSPLMDL NLENNRLGDRGVVVLAAAVADSRNLTRLNLSRCNIGHQGASALGNALCENTSLIELFL SWNKVRGSGATKLSQGLQLNVRLETLDLSWNSIGSVTSSEALRAMCTTLAENNVLTHL DLSHNRLLEKDCQLVSNALRGNSSLRGLHIGGNDVDIDAYGVVFPDAAQNDPASAHIF SRFGGNSASKPAWEKRSNCWICERWTEVRFTWKQHSTKPSEVLLRAEFDYWTPHHTLP SSHDPTIWEIHRMVPPGTMQFFFVVDGVASTTDAHIVQKFQPRIWGGISNFCRGLDMP ASSQVNMIQVEATDILADIKCKPRSKTPGPGQTDDWFSKSVFCTYQQDTSQHLSNAFE SDWIVSRLPKLSKDPNELDKAKQLLAKNFSMLKAVFKHYATSGGTDPYTLGSNAFFDF ICDCDVVDQDTCTRAEVEMSFISASSSGPKTKWNTRRSLFRFQFIETIAFLAVSKFVK SKRATSVHDAIAMFVQHHIEPHATWHDSQAYRTHKSVDAQPSCLYSPQVDLIFKDHLY LLKEVFASFAGTIDTTPPTTNEKAKRLSFAEFTSLCDEVHLTDESLPARDLKIALMRS KETEIFDPLGDGDDWKRITFGEFLEACARMADGKDYSELYDRVKLAARSAAVDGTSFE FLKVHTSTVDQWRSHTGQFGLKLPVRDMDIYIQLNLDVLVA H257_16071 MGLYESEDQARSRDKAYRGGRAWRPKALALGVVFCFFVTAQYVS TSFSSPVTLNKSSAAETFTSLVVEVVYDGDRSTYPKRGDAVTVNYVGTLASTGAKFDS SFDRTDTFRFNLGVGEVIKGWDEGVAKMSLNETARLYIPSQLGYGASGAGDVIPPNAD LIFDVHLIAINGVQMSPKVSIQEITPGDGETYPSAGDKVTVHYVGTFVSGDKFDSSRD RNNPFSFTLGSKSVIRGWEEGIPQLSIGQVARLSIPYQLAYGEQGRQGIPPKADLVFE VELLAIEKASHV H257_16072 MITRSSNSRSMDDLYSPLSSRRVLIPAVSTSFYLRSPDRKQLTV MDKIVFFSFKTPCILYWVGGLAFLVSSILFYPFFNAPQDPEGMGDAIGSRGFVLGSLT FLVGSCIEVYHAVPGRAMSSHGLLQWAPILSSMMNTVGSAQFVVGSLYFMPVHYDAHP SLGCSLFISGCLCFCLSILTDFTRFSTSELSAAFQWGDLVSVWFLAAVVNFAGNLLFI SGCYFYLPQFLQVGDPAIAAMNLTFATNQFVVGSLCFALAPLLQIYNINHDINRKSIC CRAIK H257_16073 MDVEPWLQNTRVKNVWPLRSLPLPASPFDIGGGPCARVASRVVS MELTEILTSPWSENMHPRRIYVHFYDSWADHVQHFVQHFKVSLAGHGLIQMEADTESS YEADAESAVVVVAERSLLSRCELMGQWSRDDTAMAIELKYQAATRANSASPIVYALEQ VVTSATVEGVPAAAPSGLPHQKKPNNRGLRGVCESKIHFYSPLSCISSQGNIYGVVSS YTKPKLCDNGRRDYEMTVSLIDESRPLRSQALYVNVFAATVELLPNILCIGDILRIHK LILNKYDHHDVGTSSSTVSVNAVIRQDHDGTLKLLHAATTKPTVFTSSDYDRCLALMT WSKQTLAVDTTLPPRNTKGYIHLAQCKSLESRDIVDILAKVIHISQPENTTTSDSGTL DISDGHDDETTIPVHVHVDWAMLTSRWLVPAAAAGLQWVKLRAVELELKDDGAMAFHF RAYSTVEILPNYLYEVRHALERSEPLRRKRSSTVVQPPPTSAHHQQQQPYPTLKLAEL LTLQPPRMAYCSAQVVDVWVSDLPISSTPGPSAFSCVLRLQDSSASVDAILHGLHAKT FFTRVHRGGGDNSKATLTNRLADLVQNKQHLWCLCTYLVRGTDLRVAVVQTVWDVSLV V H257_16073 MDVEPWLQNTRVKNVWPLRSLPLPASPFDIGGGPCARVASRVVS MELTEILTSPWSENMHPRRIYVHFYDSWADHVQHFVQHFKVSLAGHGLIQMEADTESS YEADAESAVVVVAERSLLSRCELMGQWSRDDTAMAIELKYQAATRANSASPIVYALEQ VVTSATVEGVPAAAPSGLPHQKKPNNRGLRGVCESKIHFYSPLSCISSQGNIYGVVSS YTKPKLCDNGRRDYEMTVSLIDESRPLRSQALYVNVFAATVELLPNILCIGDILRIHK LILNKYDHHDVGTSSSTVSVNAVIRQDHDGTLKLLHAATTKPTVFTSSDYDRCLALMT WSKQTLAVDTTLPPRNTKGYIHLAQCKSLESRDIVDILAKVIHISQPENTTTSDSGTL DISDGHDDETTIPVHVHVDWAMLTSRWLVPAAAAGLQWVKLRAVELELKDDVRHALER SEPLRRKRSSTVVQPPPTSAHHQQQQPYPTLKLAELLTLQPPRMAYCSAQVVDVWVSD LPISSTPGPSAFSCVLRLQDSSASVDAILHGLHAKTFFTRVHRGGGDNSKATLTNRLA DLVQNKQHLWCLCTYLVRGTDLRVAVVQTVWDVSLVV H257_16073 MDVEPWLQNTRVKNVWPLRSLPLPASPFDIGGGPCARVASRVVS MELTEILTSPWSENMHPRRIYVHFYDSWADHVQHFVQHFKVSLAGHGLIQMEADTESS YEADAESAVVVVAERSLLSRCELMGQWSRDDTAMAIELKYQAATRANSASPIVYALEQ VVTSATVEGVPAAAPSGLPHQKKPNNRGLRGVCESKIHFYSPLSCISSQGNIYGVVSS YTKPKLCDNGRRDYEMTVSLIDESRPLRSQALYVNVFAATVELLPNILCIGDILRIHK LILNKYDHHDVGTSSSTVSVNAVIRQDHDGTLKLLHAATTKPTVFTSSDYDRCLALMT WSKQTLAVDTTLPPRNTKGYIHLAQCKSLESRDIVDILAKVIHISQPENTTTSDSGTL DISDGHDDETTIPVHVHVDWAMLTSRWLVPAAAAGLQWVKLRAVELELKDDGAMAFHF RAYSTVEILPNYLYEVRHALERSEPLRRKRSSTVVQPPPTSAHHQQQQPYPTLKLAEL LTLQPPRMAYCSAQVVDVWVSDLPISSTPGPSAFSCVLRLQDSSASVDAILHGLHAVV FALLRHQHVIDWRRRKRSLRGCIVVVVTTPRRL H257_16073 MDVEPWLQNTRVKNVWPLRSLPLPASPFDIGGGPCARVASRVVS MELTEILTSPWSENMHPRRIYVHFYDSWADHVQHFVQHFKVSLAGHGLIQMEADTESS YEADAESAVVVVAERSLLSRCELMGQWSRDDTAMAIELKYQAATRANSASPIVYALEQ VVTSATVEGVPAAAPSGLPHQKKPNNRGLRGVCESKIHFYSPLSCISSQGNIYGVVSS YTKPKLCDNGRRDYEMTVSLIDESRPLRSQALYVNVFAATVELLPNILCIGDILRIHK LILNKYDHHDVGTSSSTVSVNAVIRQDHDGTLKLLHAATTKPTVFTSSDYDRCLALMT WSKQTLAVDTTLPPRNTKGYIHLAQCKSLESRDIVDILAKVIHISQPENTTTSDSGTL DISDGHDDETTIPVHVHVDWAMLTSRWLVPAAAAGLQWVKLRAVELELKDDVRHALER SEPLRRKRSSTVVQPPPTSAHHQQQQPYPTLKLAELLTLQPPRMAYCSAQVVDVWVSD LPISSTPGPSAFSCVLRLQDSSASVDAILHGLHAVVFALLRHQHVIDWRRRKRSLRGC IVVVVTTPRRL H257_16073 MDVEPWLQNTRVKNVWPLRSLPLPASPFDIGGGPCARVASRVVS MELTEILTSPWSENMHPRRIYVHFYDSWADHVQHFVQHFKVSLAGHGLIQMEADTESS YEADAESAVVVVAERSLLSRCELMGQWSRDDTAMAIELKYQAATRANSASPIVYALEQ VVTSATVEVPAAAPSGLPHQKKPNNRGLRGVCESKIHFYSPLSCISSQGNIYGVVSSY TKPKLCDNGRRDYEMTVSLIDESRPLRSQALYVNVFAATVELLPNILCIGDILRIHKL ILNKYDHHDVGTSSSTVSVNAVIRQDHDGTLKLLHAATTKPTVFTSSDYDRCLALMTW SKQTLAVDTTLPPRNTKGYIHLAQCKSLESRDIVDILAKVIHISQPENTTTSDSGTLD ISDGHDDETTIPVHVHVDWAMLTSRWLVPAAAAGLQWVKLRAVELELKDDGAMAFHFR AYSTVEILPNYLYEVRHALERSEPLRRKRSSTVVQPPPTSAHHQQQQPYPTLKLAELL TLQPPRMAYCSAQVVDVWVSDLPISSTPGPSAFSCVLRLQDSSASVDAILHGLHAVVF ALLRHQHVIDWRRRKRSLRGCIVVVVTTPRRL H257_16073 MDVEPWLQNTRVKNVWPLRSLPLPASPFDIGGGPCARVASRVVS MELTEILTSPWSENMHPRRIYVHFYDSWADHVQHFVQHFKVSLAGHGLIQMEADTESS YEADAESAVVVVAERSLLSRCELMGQWSRDDTAMAIELKYQAATRANSASPIVYALEQ VVTSATVEGVPAAAPSGLPHQKKPNNRGLRGVCESKIHFYSPLSCISSQGNIYGVVSS YTKPKLCDNGRRDYEMTVSLIDESRPLRSQALYVNVFAATVELLPNILCIGDILRIHK LILNKYDHHDVGTSSSTVSVNAVIRQDHDGTLKLLHAATTKPTVFTSSDYDRCLALMT WSKQTLAVDTTLPPRNTKGYIHLAQCKSLESRDIVDILAKVIHISQPENTTTSDSGTL DISDGHDDETTIPVHVHVDWAMLTSRWLVPAAAAGLQWVKLRAVELELKDDGAMAFHF RAYSTVEILPNYLYEVRHALERSEPLRRKRSSTVVQPPPTSAHHQQQQPYPTLKLAEL LTLQPPRMAYCSAQVVDVWVSDLPISST H257_16073 MDVEPWLQNTRVKNVWPLRSLPLPASPFDIGGGPCARVASRVVS MELTEILTSPWSENMHPRRIYVHFYDSWADHVQHFVQHFKVSLAGHGLIQMEADTESS YEADAESAVVVVAERSLLSRCELMGQWSRDDTAMAIELKYQAATRANSASPIVYALEQ VVTSATVEGVPAAAPSGLPHQKKPNNRGLRGVCESKIHFYSPLSCISSQGNIYGVVSS YTKPKLCDNGRRDYEMTVSLIDESRPLRSQALYVNVFAATVELLPNILCIGDILRIHK LILNKYDHHDVGTSSSTVSVNAVIRQDHDGTLKLLHAATTKPTVFTSSDYDRCLALMT WSKQTLAVDTTLPPRNTKGYIHLAQCKSLESRDIVDILAKVIHISQPENTTTSDSGTL DISDGHDDETTIPVHVHVDWAMLTSRWLVPAAAAGLQWVKLRAVELELKDDGAMAFHF RAYSTVEILPNYLYEVRHALERSEPLRRKRSSTVVQPPPTSAHHQQQQPYPTLKLAEL LTLQPPRMAYCSAQVVDVWVSDLPISST H257_16073 MDVEPWLQNTRVKNVWPLRSLPLPASPFDIGGGPCARVASRVVS MELTEILTSPWSENMHPRRIYVHFYDSWADHVQHFVQHFKVSLAGHGLIQMEADTESS YEADAESAVVVVAERSLLSRCELMGQWSRDDTAMAIELKYQAATRANSASPIVYALEQ VVTSATVEVPAAAPSGLPHQKKPNNRGLRGVCESKIHFYSPLSCISSQGNIYGVVSSY TKPKLCDNGRRDYEMTVSLIDESRPLRSQALYVNVFAATVELLPNILCIGDILRIHKL ILNKYDHHDVGTSSSTVSVNAVIRQDHDGTLKLLHAATTKPTVFTSSDYDRCLALMTW SKQTLAVDTTLPPRNTKGYIHLAQCKSLESRDIVDILAKVIHISQPENTTTSDSGTLD ISDGHDDETTIPVHVHVDWAMLTSRWLVPAAAAGLQWVKLRAVELELKDDGAMAFHFR AYSTVEILPNYLYEVRHALERSEPLRRKRSSTVVQPPPTSAHHQQQQPYPTLKLAELL TLQPPRMAYCSAQVVDVWVSDLPISST H257_16073 MDVEPWLQNTRVKNVWPLRSLPLPASPFDIGGGPCARVASRVVS MELTEILTSPWSENMHPRRIYVHFYDSWADHVQHFVQHFKVSLAGHGLIQMEADTESS YEADAESAVVVVAERSLLSRCELMGQWSRDDTAMAIELKYQAATRANSASPIVYALEQ VVTSATVEVPAAAPSGLPHQKKPNNRGLRGVCESKIHFYSPLSCISSQGNIYGVVSSY TKPKLCDNGRRDYEMTVSLIDESRPLRSQALYVNVFAATVELLPNILCIGDILRIHKL ILNKYDHHDVGTSSSTVSVNAVIRQDHDGTLKLLHAATTKPTVFTSSDYDRCLALMTW SKQTLAVDTTLPPRNTKGYIHLAQCKSLESRDIVDILAKVIHISQPENTTTSDSGTLD ISDGHDDETTIPVHVHVDWAMLTSRWLVPAAAAGLQWVKLRAVELELKDDGAMAFHFR AYSTVEILPNYLYEVRHALERSEPLRRKRSSTVVQPPPTSAHHQQQQPYPTLKLAELL TLQVTSETVCALFTYLYT H257_16073 MDVEPWLQNTRVKNVWPLRSLPLPASPFDIGGGPCARVASRVVS MELTEILTSPWSENMHPRRIYVHFYDSWADHVQHFVQHFKVSLAGHGLIQMEADTESS YEADAESAVVVVAERSLLSRCELMGQWSRDDTAMAIELKYQAATRANSASPIVYALEQ VVTSATVEVPAAAPSGLPHQKKPNNRGLRGVCESKIHFYSPLSCISSQGNIYGVVSSY TKPKLCDNGRRDYEMTVSLIDESRPLRSQALYVNVFAATVELLPNILCIGDILRIHKL ILNKYDHHDVGTSSSTVSVNAVIRQDHDGTLKLLHAATTKPTVFTSSDYDRCLALMTW SKQTLAVDTTLPPRNTKGYIHLAQCKSLESRDIVDILAKVIHISQPENTTTSDSGTLD ISDGHDDETTIPVHVHVDWAMLTSRWLVPAAAAGLQWVKLRAVELELKDDGAMAFHFR AYSTVEILPNYLYEVRHALERSEPLRRKRSSTVVQPPPTSAHHQQQQPYPTLKLAELL TLQVTSETVCALFTYLYT H257_16074 MSHSTKNLGEYRKIYLNDAAKNAESAFCDNVVVTSKYTAVTFVP KFLFETFRKFANAYFLVVSMMQVIPSISNTNGLPSTAPTLLFIMVIDAIFAVLEDRKR HIADAVANSRVTRALAKEIEQFKAIEWKDLRVGDIVKLSNRDQVPADLVILAVAEQPS TPPTGLCYVETKSLDGETNMKVRQAIQCTMTKCQSPGSLLALKGMIQCEHPNNGINTF QGVLHLDSGDKESIAHKSILLRGCTIRNTDWVLGLVVNTGQDTKIMMNNTSTPSKMSS MDVSINRYIVALVCVLFVCCAVGATGSVLWETKNSHTWYIHGKTPSQSSWVVMFFYFF LLMYQFIPISLYVSMTMVKHVQSIFLQWDVQMYHDDTDTPALVRTMSLNEELGQISYI FSDKTGTLTCNIMEFRKCSIGGVSYGHGTTEIGLAAQQRAANDGSFSAIAEEKHHSTK AKCVPYVNFDGVELYEHMAGSGGADQKERIHRFFLHLAICHTVIPEYRQGTTEVTLSA SSPDEQALVSGAAFFGYEFINRMPGKVSVRVRGQDVQYELLDVLEFSSARKRMSTVVK TPDGDILVLTKGADVVVFERLKAQNDAQMVTHTTQHINSFAAEGLRTLTIASKQVDAT FYGEWSGRYHDALNNLEEMDKQKSELPNAIDECMEELETDLDLLGATAIEDKLQAGVP ATIASLAEAGIKIWVLTGDKEETAINIGFACNLLHSRMRRVVINSTLFDSAQKIEAEL LLQVAVLCKEGSSADEFVDVALVIDGDSLIHALRGSCRTALLEFSQLCKAVIACRVSP GQKAEMVALIKDNIPGVRTLAIGDGANDVPMIQEAHVGVGISGQEGLQAVNASDYAIA RFSFLGRLILVHGRWNYMRMSQLVLYMFYKNIMLTAAQYTYTWMSGFSGQKFFLESIV QLYNVLFTSYPILCLAILDQDVHDTMAVNFPKLYVAGPQNDLLNASVFSAWVASALGE SVAITLTVMWSFRDSSHSSESPGMWLVGNVVFSLVMVVVTIKLTLFQNSWLGINVVLY AISILLWISIATIASNWYLVSGWPWMDMMASMSCLVPSWCLLLFAPITFLLPTYVCKA MKSELYPEYNQLAMEVATFGLPLDLLTWNYAPSDRRQRMVHHKPNGNARKLSSVIPVS KRRSMTVKILSNDSQHSGFAFSSDNQARRAEAQLAIGKYIPLKAHHT H257_16075 MTMWAILTLAVLAATGADGCTVIAVGKKATADGSVMLTHTNDAG LNPADLRMVRVPAMNHSDGAVRHVFNFQRNGYPRLVTMQRGPLYEPVDDQPLSEIIGQ IPQVPYTHGYFDHDYGMINDVQLSIAESTCAAKTVGWPKSLHYGHNLFGIEELSKVAL ERCDSARCAIKLMGDLAETHGFFGEYGKNQRANYGSSSEALGISDKYGESWIFHILTG PNNSSAVWAAQRVPDEDMAVVANSFVIRTLNLDDSDHFMASANVESFARDMGWWDPAT GPFDFSAAYSWAKPGPTKPLYGGRRIWRIYDVFAPSKHFDATLGQHPQVKTYPFSVTP DEKVTPKRLMDIMRDHYEGTPYDMTKDAASGPFGSPVRFGGSNKGVDGGWERSISMHR TTHSFVLQARGHLPDDVGGVAWYSLGAPHGSVYAPFSCAQHSVPSSYLVSRRHQFDTA GAWWAFQFVNNWSNLRYDLMHKHIQPVLDQIQDEAIALEAATVVEVANMTDTRARVDF IERRNNEFAQKMVDRWWSLAFTLVGKFNDGYVIDGDRSGDMHVPGYPAWWLQSTNYAA WPAKDAYNPPQEALQSNAMATSLTFTIVSAFSYFTIFAVGLMVGVLYLKHRTRSREYH RLV H257_16076 MSLLASKHVDFDIVWREIEPSVEVLVSGCHDPFSYDKWQSVYRG VYNICTNPGTPQAETLFQHLRDVLVQRVENIAEVLSAEHGEAEFLGQYCSHFNMFSTG TSYVSELFCYLNRYWIRYAHSEYGQAPIQGVYPIPELALRIWRDVLYARLKDRVIASV LHLFQRDRESEGDQFEHGDLIASTVQIFVVLGLNKQDPLKLYREDLEVPFLADATRFY AALAQDLLERVSIADYLVLVETLCRHEERRCDGKMHRITVQQTRQTCCRVLVEAHTER LCDHAEAFFESNQTQHLRRLFGLFSELPTPHALVTLKNIFKTFVERSGMAVVKQFEHH DTMRHPEEYIEALVAVRDQFYDVAKRAFGLDPLMRTALDQACRSFTNSHPSLPELLAK YTHVLMTQSAKYASDECIEKRVEYVGVVFCLLDDKDVFKAMYSKLLSKRLIQGGAMSM DVELSLIQKLRDICGCEFVSKLQKMFSDKIISTNAHLAYKIWKEERDQLGSSTVECWF DVLTAGVWPIPTPTQDIELKLPAVCQQQVHLYATFYGTQSTGRRLHWVHHMSHGVLRM VLPSRSFEVHANYHQLVMLLLYNTRDSCSVNELTTLTGFSKTDIQYHMQPLVKLKLFS ANNQHDGTSAEALSYSLNTMFTSKRSHLKVLPKYTQLSAPKAKAAATSHELSDDRKMT MQAAIVRIMKARRESTFANLLSESAQMLAMQFVPTPAFLQQNLDILVDKEYIRLAPQQ LEHADSATPPAASRSLAAVYIYVA H257_16077 MQRYKVTVEYLGSAFNGFQAQVEAPTVQVELEKALRRLVGAGNA SRVVVSSRTDAGVHAMGNVCHVDLQRMHRWARTSTPSHDATTCSDEEPPHSPEVVRNA MNSFLRDHKQPIVVRQVEAVSMDFHSRFHARGRDYLYQIYAPRELVHDRHSAKRSLPS ALFTRDTAWHVPVPLDIQAMSEACEYFVGKHDFTSFRGVKCQALTPVKTLDHVGVEVV SLPDTYPFGQELQLINVEVSAPSFLYHMVRNIVGALVEVGRHKLKPQDIERILHGKSR QLAPRMAPAHGLYLKHVKYDM H257_16078 MDENLSATDLPHKSYCPSKSTLYVSMLPYAYTNNDVAQLFTGYG KIARVTLLRHKDTRASRGVAFVQFSQPEDCEKACKAMQGAKVELMTLSCSISNDNGRS GDFIKKRKYSSKKVCFECTEAGHYSYDCPRNMLGAREKPKPKKSSKRRTKFEHGEAAD YFDHTQDDHSDIGNVGVAALAYQSKPVHGGSHHPTDSSAPPRRQVKKDPYFSDEDESR H257_16079 MPSAADAAVMVMVLSYVGVATLFSVHAPHAYMDEVFHFPQTEHY CEGRWGVWDPKITTFPGLYVVGTGFGSAVHHALSTFQVNVVAPSLCSLNVLRSINVLF NIGSLFLIVKLRCMKYAPDAITTGAVHGIVIALFPVHYFFSFLYYTDAGAVFFVLAMY FFAQRGRADRLRHGAMTMSHLVSAACGAVAVSFRQTNVIWVLFVLGIEIVHDLESTHD AALYGNSTETPASNALGLSTYISFASLLLKDSRRLAGLFWPHGSIVVAFGVFLVTNGS ITVGDKTNHVATFHVGQLLYFSVVCATGLGVSCFWNPLEFVMTFAQHFRRRALVSMVL SSGFLIAIYSFSDVHPFILADNRHFTFYIWNRFFLKHRLMKMVPAPLYAYCLWLLWKH IRTASSPLRTSVYGLATALVLIPTPLVEPRYYIVPFVLYHLNADKQPLPQLVLTALCF GAVNALTIYVFLFKPFRWPDGSEARFMW H257_16080 MQVRRRGSVSSLWQFARPGGGCSVHLRRIESTSPDTVYAVSTLP HVLHLLQGSKIKCTFHVEEAIVDVASFDGSIAIAGDRGSIWLVPWPTLTDRSITLCSR RHDNLHHGDVRDDVVRDDVAALGQSRHQVRANRKRSLDSTSDKGQPHVPILHIPGVRS ISCHVDDSLSVVVFSMGVLAPTAASVLSPRRNKLAEPIEYADLEGEMPTCMLYVSKAT ASQSFFDNACDSKCDGWLVQGDVDGRVRVVAVDVRKAVLSAFTLWSFKDQAIQQLLAV SSDAIAVLGSLGRLVVLGALGRVLMDVQLHFPVDSIVYHSIAKKFVYVARGQCYAHAL SSSSSAALHHIPRDAKRVVACAEPSRIGVLSKLCRLFTTVLEPEGSNDRASVPQGSDK ALQMQVAKITRASADMTAAASTALSLSASIHKMNSTRRFLQFCQVQDVRKYFHCKVSV TNLGRESQAYNGVLELSMHVNPKVAPLDLVLTDWNVLVVLRERSKSHQYTFPWRDSLS EHIRLDAFELFAAGAAKLEVHVYLVYDDRPCAFHYTVMATSLNALQGAHVFEEIPWTD EASFNQVSTQYAAENSTWWKRCHSEYCDAAQIAQADISVTPPTVMSMGVLLGKNESSV LAALLSKPQTMVQSTLNGLTISRVDRRGNNDDDDPWLVSVRVSDSSTLATMRANIVEI FTQDSSQSFGIASPAPNAREWVPAMSALGFKWVVLQGSLASLDLKSTSQAEVLWLVGQ ILTLELDLSSQYWSARKLITPFN H257_16081 MLTLLTIKSHVELQNATGETVQKRPLRKHKLALGTSLACLQDQV LGVHDPEIQEIRRTGLGDFTHEFLLCDMDGDWVVLESDDIVQARGFLQIKVLLRLNII FPRGDELFVSRHQHLAPLHVDLVKCGPTTTTNGDERSIEDAVLTQNFDSFSDSDMTTT VPFSSQELSHLGAEGPSTATDDTCPSMLDDIALRCRRFTHCDFDCDRGRFYWVDQLHG DLGYIDVDSDECCVLMQRLQRPSHVKLLARTSAFYIEQGRDSNGGSISCVNLETREVS VVVTGLTNPTGLCIVFHPTTLVFGQTTVDHILTISAMSYDTSSPTKDARTDTTIKLLV TKAFTASVQPTAISIAADGSLCTGFSSIALPPSRGQLSLWIPSTKYITSTPGLYDYNL ATAVDMATPHSVRDVMCHPSRAFFMFCMADPDTMTHSSALGTVHLDSAPPQLELDPER FVQTTCLAGTHDRVYYCASVGAMDTIVYTFLHAESGGRREVDDVEPARGTPLLVCTQP IGACLDTTSDLSTVEKHGRLDGPVNIQVILRSRPLLRHEIDRGVQSVVTCSGCNALHV APIRSYQSSKHFTFDRVYGPASTQDDLYQTSILPLVHRVLQGYKCTVLAYGQTGSGKT HSMEGSAGDRGMIFKSIATIFDQLKGKADCRVRMSHVEIYNEELTDLLSTSQRAERKA SHVDKFFVHRVKKAHPGIRGLSKMKRCDELEDFEDKVDDLPKLSIIRHPCHGVIVQGL EEVSIQSAADAQTLLERSFYCRQTAATQCNKMSSRSHSILTVHTEVTAMDGSGDQSIS YGQLRLVDLSGSENYDRAGAQRDRQLEAANIGQGLLALGRVIRALVEKWPHVPYRESK LTRLLEDSLGGTSMTTLLLAVSPGDEAHEETLNTLNYATLAKRVTTRPRKSCSSKKPN TNTLPQCKSQPSLTPEVISPWQGHVPIRTSTSAMKHLVRATDRTMHVPTTEWSENVLW EVNAKTLTSKARRILKTIFHTFDSKKGGALCKHDAQKVYHDLFQLPKAAAPPQLLLDD FLATFDALVATNPMLARHIFTSQGYTLNMEKTTPKQSTASTPIKTSASSLSSLDVYTS LSDEPNILVQEIRVPVGSFRGLRDVRMKKSMAATRPSSAPVHRDMILTQSSNYHQQCT ERSLTR H257_16082 MKVVNQRKQRRQQERQDKKQHKNSRAQQKKSVPATHAKANSQVA AFKGKRKAPPSSTDPAKRPRVGIQNKFMEMIQAQADDGMISLSTTTKKGAGVKKLRSA EDDALKKLEANLGISKTNGRTKLNKEFENDGLGTDFADFLTSLDDLSKKIQGSDHSES GDDSFDSGDDGSGDDQDLQDEINMLATEDAGFLQGFDDLPTDDEDEVDDLAQYESDDE DMSDDEDEDVARNTKSNSQTTVEQDDSIDDDDTNSKPTDLKIEEDIYGRPKMAPSGSS EASRYVPPHLRVASTSNENLLREIRRRVNGQLNRLAESNMESIAMEFESIYRAHVRAD VNRVLLETLLATCCHETQVMTQLITVNAALLGALFHSIGTEIVGFFVENFVQLFHKKL EALHQSRLATSDDDTPSKETSNLLLFITNLYGFETVHCTLVYDIFRYLSDSFTPIDVE LLHLLLQHCGPSLRADDPNALSEMITSIQAKSATHEEKRVQFLLDLISNLKKQKAKSK GTSALSSDRFLHLRKWIGRVKTRVGHANNPLRVSLSELLAADAQGRWWIIGGTWVPPS QPTAKQEGTSRTSSLLRLAEKQRMNTDVRRAIFCAIMGSSDFIEAHDAVLKLGLKDKQ EREIIRVLLHCCGKEAKYNPFYALLGSKFAQSDTRYKFTLQLAFWDIFKQMAEWKPRQ VYNLGCLLASLLESDAMGLSCLKVLDFTELSPSAVLFLKVVFEKLLLIEDESTVLVVF ERIALQKVKTPALRDGITVFLHQHMLPYKFKDSKGKSRAKMVCALLDAMGKK H257_16082 MKVVNQRKQRRQQERQDKKQHKNSRAQQKKSVPATHAKANSQVA AFKGKRKAPPSSTDPAKRPRVGIQNKFMEMIQAQADDGMISLSTTTKKGAGVKKLRSA EDDALKKLEANLGISKTNGRTKLNKEFENDGLGTDFADFLTSLDDLSKKIQGSDHSES GDDSFDSGDDGSGDDQDLQDEINMLATEDAGFLQGFDDLPTDDEDEVDDLAQYESDDE DMSDDEDEDVARNTKSNSQTTVEQDDSIDDDDTNSKPTDLKIEEDIYGRPKMAPSGSS EASRYVPPHLRVASTSNENLLREIRRRVNGQLNRLAESNMESIAMEFESIYRAHVRAD VNRVLLETLLATCCHETQVMTQLITVNAALLGALFHSIGTEIVGFFVENFVQLFHKKL EALHQSRLATSDDDTPSKETSNLLLFITNLYGFETVHCTLVYDIFRYLSDSFTPIDVE LLHLLLQHCGPSLRADDPNALSEMITSIQAKSATHEEKRVQFLLDLISNLKKQKAKSK GTSALSSDRFLHLRKWIGRVKTRVGHANNPLRVSLSELLAADAQGRWWIIGGTWVPPS QPTAKQEGTSRTSSLLRLAEKQRMNTDVRRAIFCAIMGSSDFIEAHDAVLKLGLKDKQ EREIIRVLLHCCGKEAKYNPFYALLGSKFAQSDTRYKFTLQLAFWDIFKQMAEWKPRQ VYNLGCLLASLLESDAMGLSCLKV H257_16083 MPQQTNMSPKVASAVAPARVRGKKHLTLAQRHRIYELLLEICVQ GELHRGAMLYVAQQFQCDSRIISHIWSRGRASSRNGRGVADIASKIPGNSGRKRTRSV DEIEAATRQVHQESRQTMRALAFKSGTVKLH H257_16084 MPRSMTKHDLPDDQRLSMYHELLEHKQNDRQAKAQAKELLLKTC RCGIEEEGLSGTSAITTFALLAASSGIPSTTLWRLLQSKSYGVGRLKPMVTDKHKADR VNFIRSFVRESPRAPIRWDDMTNRIHIDENRACPQVLLERHINKVMFLTAVAPPRFDY VRKTMWDGKLGMWPFVSVEPARCKSKNRDRGTLVTTPLTVTKKV H257_16085 MTSRSSFTIEEARRNRISGDTRTGYASGINQVIIWIKLVNKLHL LKQSADGTAGESLDLSQFQYLDFLDFLVWTVRNKPTIKPGTLSGYRSAIKSLYRDESL AVPIEFGDDMKEIFSGLRKTIAQDLQSGRLQDSGKRPLSWSTFERLCYDSMLLSDGGF THLFLIVTWNLMCRSQSTETIR H257_16087 MDQSVSRTAATAQSPTGPGRSTGQQACSPGCHGQPVQDTEAYSR QDLDQDPLNRGCHATRPAAPEARQAAHKQLGQRGSRHAMEEPALWLEEERRGGQGY H257_16088 MVQKLLALAQDETCDDDSLLRAIAEATPYKQKVGTATFWVETGT SLASFKYDQIIAAIFEANQDADWACHLDNFVMVNQPRGGDLVVTVAEEATKLAMIGQT MRILDTSYSVITPPTGAGGASRGLDNRFYVDITNIRYNFDSVELMKALRRLKTMPLFQ GFRSTIAGTSCHTNAWRIYFCTDDIPTNLIINNHPVDQLRFQGVTYAVYAKLYTPSRH VRHCTCCAGADQFIQTRGHGGGIQSRPSPAEPTPTPDGQLPNPFEDPTLMEVEEYTTP KRTTKRKEREPTLTLADWVTPNFFDDLHSIPDIAKWHVDKWGYTKPITTYRVAVRDVD CSTVSDSLVKRMHVKNKRLEWHPDNMTLKQVISALQEADQPEVSPASQQVQLQEAIQD MTPESLTLAQRVKPEELWLGLHRSPLQGNVTLSTLYSDHPAGFDQTLYTTFPQGFRTI FHDKPSSAYMQAICGTSDFTPTAPAGANATTLLELEDVLSVFELWLAVQMNWLHKSDA WLLYLTSKPVHTVVGLQETKLATKFQTATFRFHLDHAIGRDNYFLAINDHGQSAVVAE AHRSSGVMLFFHKSTPGFEHLYHESHLDVPDKYMVVSTRWGDTPVYFHNVYAPIPNGL REDYFSSLPRDFSPHAKHFIMSDFNLPMDRLLDYEGEASNHHGGRTECTDWLQSLRIS TIKRLSPNGPHGGLTLQSP H257_16089 MDQSVSRTAATAQSPTVQDTEAYSRQDLDQDPLNRGCHATRPAA PEARQAAHKQLGQRGSRHAMEEPALWLEEERRGGQGY H257_16090 MVQKLLALAQDETCDDDSLLRAIAEATPYKQKVGTATFWVETGT SLASFKYDQIIAAIFEANQDADWACHLDNFVMVKQPRGGDLVVTVAEEATKLAMIGQP MRILDTSYSVITPPTGAGGASRGLDIRFYVDITNIRYNFDSVELMKTLRRLKTLPLFQ GFRSTIAGTSCHTNAWRIYFCTEDIPTNLIINNHPVDQLRFQGVTYAVYAKLYTPSRH VRHCTCCA H257_16091 MYDNYLDESFIHNNYKLQHDSLYHPDDATCIPPKERHKGERLCF VAAIIASGPTTGKFLGFQIFTGGTRQPKDYHAMFTHDFFVDWFADLLDEIESMNLHGV IFAMDNAKCHKGLPDGTPKATWKKPQLLDTCRRLGVDGAVAASKTYVDRNIEPDVVQM AREHGHIVASTPPYHSDLQPIEMVWAWVKGRVVRQYSTSTTLANVRLRLDAAFDALPS LVIYNCIRHTDTKVTAMYEYLEALDMADDDAGQASSSSAISEKKSVLDSLATVK H257_16093 MRRGGNNADEKVHPEDVKAPSTSRAASPVVLSSLPSPPLEPSTA FERPYGSGREVVCYVLFLVAFVIVTVYNQGTMSTFYYTDTIRRAIVETPFSSSVGSLA QVQTALPLANNNNNAVPPAAQSPPLTFDTMQTIPDVWDWLQGPLVATLYPSSPPSGGV ALTNARMGGLRLRQVRVQPNSCQVQDEYVNLISYCFGPLSAATESSRGFGPVQNSLNV SHVSAGVFAKSFFGPSPTYNDLMQCIQSCAVSVGSLYGLDKAQYAAEYTNECSLSCTC FYSDSGNANCKVASATAPPSLQYMYTWTEAVADTIDGLLGPIPGSGYVVDLPTNGTNA QNILQTLRANHFLDVATRAVVIEFAVFNPYLQLFDMVTGVVEFPPTGGTVATMRSSVL NVYGYGPSTGAKVFFEVALALAVTCYAGEILVQVYRRPVMEYLSSSGWTQLHGVNIAL FITVITLRLVAINAVYGNNNTATINLNTAAPTDLFRYLTSLAKMKDLERSINAVNATL MWLGLLKYTQISRRMYVLVQVLSQAMADLLSCVVLFFICLVGYAQAGFLAFSTTAPSF RTFGQSVVSVLEALTFRLDYAELVHANAAIAPVYFVSFYIVLVLVVVNIFVAILQDAF TTVHKQQTALKTKQPAVRFPFATGVWPTVVFYIKRQWVAVKYGAGAAAKLAMPSDTKG GAGDDADFGSAGGALHPYMAMEMQALSEKLTTMMRAHDEKRGKLDKIEVMLRSIEDTC VELKTEKDKLATSL H257_16094 METLVERSYSKIRKLTGRAQKELRDALDGVLAKIAGKTARPDEA EIFYPLCLAILGRQPKQASQALDCIEKLISYGYLRGAGPVDAATMAKLPLKEKDEDAA KVTLMDAIVTCICSCNDHHDEEVQLQVLKAVLQAVTSRTCEVHEHSLLKSVRACYHIH LVSKNTMNQTVAKATLQQMVNVVFQRMEHMEETLHVNDVAAVPPPPEAVASVEAREDS NHSLPDDAADGVDAAEKAESDHAMYPDVARTLHLHAAVLHRVHTRLANSSTADLVSDD VDPSSSPAPTTTTTSAPTSTSHAPSTFPSLYHKDAFLLFRSLCRISMRSLAEDSAAAA TAMTSSSSSAATTSAGPPQGSDDPFAFQSKLVSLDLLLSILNGGGPTFRDRFVTLIKQ YLCVSLLQNCTSNYTQIVELSLRVFVVLIAQFKAHLKSEMEVFITHIFLGLLESDNSS LEHKLLVLEVLKQICLDGSILGEIFLNYDCDWNSMDLFKRIVDAISKIAKGGKKSFES TQPTSSNAAKQASKVQDTALVVKGLECLTAVVGSLKKVANFTDEKRKMDKMLQEDDDD GADDDVAEDVTVVAKQPFGMSAVEAFDKKKRLQEELAEGILKFNLKPTDGVKFLVAKK YMENTPRHVAKFLHDQSNRLDKTMVGDYLGKEVQYQNGFCLKVLHEFVDMMDYTGLQV DEAIRHFLAGFRLPGESQKIDRMMEKFAERYCFQNPGIFPSADTAFILSFSVIMLQTD LHNPSIPEEKRMSKEGFVRNNRGINNGEDLAPEFLGGIYDRIKSTPISLKEDVDLKKR IQVQTGSVQNNDRMRREAYSKEREAMVKSSEALFKRRVPATPQSSGSGAFQLITDDTE SSYVRPMFEIVWAPLLACCSVIFETSDSASAISLCVDSFKHAIHLTSRLNMPSERDAF ISILAKFTGLATSSSREIRWKHVEAVKAVVYVAVHEGNYLGDAWRDVLQCLSHLARLQ SIAQGALSTDQPFVTKQTSTPTTSKRLARGSSSPMSLSFPSSSTSNGINGGTSGDGDR SLEEDNAHRVADEVDPLQVDRVFSSSVHLTNGAIQDLVLQLCVVSLTECAGISGRGVT VRETSNAPRVFSLQKLVEVADMNMHVRSRVVWASVWKVLTRHFTTIGCHDNLGIAMYA IDSLKQLSMKFLEKDELRDFNFQRLFLTPFEIIMANAVATEIRELVLSCVQNMILGRV RNIKSGWKTIWGVLRVAAETYDPADGDAARPVVAMGFSIAQMILTTHFDRVVSVFVDA IECLLAFAVCGCDDPTDAFMLKMAHDSINVLAVCLTQLATGHVIEQVLTDSPAKRTTF RSHLALRRLRQEDVLGHRYQKEESADDLISDAPISPRVTPITAIYTDSQLHTRLWWPV LTALATLGCDKRPEVRQVSLDTLFASLYLHGPKLSPGLWNIVFKGVLIPLISDLRQLE TAAASVDVGPVKCCRVALCQVVGIFGQFYDAIGFLPELLFCLGACMTTESNSGLACAA ATTLENLLVSHGLKFPENVWGLIADEVRHVVSQIQPTWLLSGAPTSSTSDDVAPSEEL LSPMAQFRSANFDPPGPPSVISMYPSVMQCLQFDLNAVPRNHLTATTDVIRPTSGYPS TTHLLVLLTLQSLLGNVLTARLKGPLALSPGHAASLLGALKESYAFCRQVNDRLDVRT TCTRAGWMYPLDKPAAMSSSMLPQELNGKWEYLRVLFAMVNKHVAVADSRHQLTELVT RTIGEYLLWSRAHSSLNLTTVTTPISPDEQFRSKSYVPVIVDILKELTAMPPAELKVH LSWLYPLVVDLVRSNESAIRNAVHHLLSSTVSQLLLA H257_16095 MMDSDDAASALDKGLTMLLLPTKRRRPMKRKRGGQCDRDQDDNA LTPEEAVNLVKSGIRYAANPVASCRKAVSAILHALSLNDPAVCNIHRIWECLFDRSKA FRIELVGQLHPICRVLHVKQLYNIDILRLFRAWDVKFGGSMPQLRAAVSAIPSKWTEM LATHDHNMHVHATTTRTDTRMLAMQFDHMQREVDMHGAAILAHVDSMQHTCGLLVPTV EDCFAALVRPAPLLYDDDDNGDGWEDVMPSVAAIPLPEIIASCGLGSAAYSISIPIPD IIDTHDIHLLRTTLQEQAAEVRKSLLPQVQAWAAIARTSPTSECAQTVEHMERSLQCA LAKALEFAKT H257_16096 MGGIFTRATTSFAAVHSDDYAPSQHMSSERSLNLNRYRQKAGQA YAVPEPTAKKLHRINSVPPDCVRPFDSTPTLPITYSPPVSAPMTPLAEHQATKDKKHI QAGNALVEIKYTQPNGERKLVKAATESALRTSLSNPAKYRRQSICSDLHTNLSMAFTV DVLAEPVTHKLMIQFADKIPYAPQRLLFWADAQHLRTLPSSQYTDKVLRKIYDKFLSP SAATPICVPTGMLKVIRAAFNSPNGIQSAGVYSDAQALCLRDLEKDVFPRFRRHKLYS EMLVALEEKPLSKLSTALGALHAPQLRSKEMRESFQSVLTNEVKLRYFKSYCVENMTL ENLMFHLDVEDAKRLPNQSFVQARARKIIDTYIRHGAKMHIHLRQSIHMDLIQKLDRN EIDPTMFVDSQYVAMEAIKADVWPKFSASGTYVHYIQESSLDDDELESYVPIVSASEI DALLSNLDATSSSIFLQKAMELPTSLMANIAKAHTIQHDTALLLLQDKIGHRVFKRFL QIRGKDHYVAFIDDVEEYTNLPGIEYMQHTAKKLYKKYLSDNARLQVDMSTKMRQDIE DKLVMPTMDMFKPAIVKVKTGLLQDSLLRYLSSPIHDELQTDLEIPQLVRDMTAARNS GKLELPHLDSVLGHPKYMSNFKKYLASQHAAENLIFLEEVEEFRRLPSSQIVLRNAKK IVDKYINKATAKAPLPLAKELHDTMVMSTDGMEKSFFTNAVHDIMHLLRQDEAPEFLD APMFMVLVGAWASLDETYARKQLVGDLELAYFRHRFHAICETKRDRPKS H257_16097 MSPLVGAFSRFVGGPAVSVIAISTFPMSRTHHQYLDQDMLPPLH AWLPSSTPVFPLPTPYIQRDDPIDDIHNHHHQQYRPSQASRRLPSISDMLNPIEHSLP PLSILPHDHVTSFEVTHEHAMLSPATSSAASTHTPEHVEGDRRQPQSIEVKGECLDVH CHETVKHRGYCKQHGGARRCAVAHCAKGVQGGSLCIGHGGGKRCRIPDCNKATQSQGL CKAHGGGVRCKFEGCNKSSQGGGFCRRHGGGKRCSVEGCPRGAQRGSTCAQHGGKSRC LVDECVRADRGGGFCEVHRRGKVCTLSYCNRLAKVQCDGYCAQHHRERSLAFD H257_16098 MGSGASSAMHDEAAFHVVHDAYLKGLESNLDDHDLFDLIKAKLI QHEHHDEDSHEEHHDYVHELPIASRNSSRSMGLLSTGAATPKELGATMPAECRLHTPP PAAKPAQAVVPRVVLSETELSSAIHDERRRLHTMKSAHLHDPTKFRRASIVQESVIDS AFVEFSTDVLLDPVTLKMMTEFCSSIPHAAERLMFWADVQHLRTLPSAQYTDKMLRKI YEKFLSPHAATPICVPTDMIRGIQDEFDRPGGIQSAGVYLEAQALCLKDLEKDVFPRF EKHKLFDKMKALCEARSETGHATESTNPRSDNPYSFHAVLTDDTKLRFLKSYCVENMT LENLLFHMEVEEAKRLPNQSYVHASARKVYETYLKPNAKKFVALPPHIHEAFAAKVDA DQLDSVAFTDVQYFVLDYVRTELWHDFSVYPPYVARIHESALTPRHWDNYVPNVSAAD MTAVLAKLDKMDPADILAKALTLPMDQLANVALAHKIHRDTLLLLLHDKIAHRIFKKF LASRMKDHFVVFVDEVDEFINLPGIEFMQHTAKKLFKKYLSEHAKLQVDMSTKMRQDI QAKLNTPSIDMFKSAIVKVKTGLLQDSLVRYLKSPIHSEMKQEFPDLVRNLMSAVDKG KVDLPQLESILSNPTYLTNFRKYLKTQHAAENLIFLEEVEEFRRLPSYEIVVRSAKKI LDKYININTARSPIPIAAHLHDDMVENVDKAGKRYFSDAIQDVVSILRTTEVHDFLES PLFMQLIGSWVVLDETYAIRQLIGEVELAYFKHVVPLTKSVRQGQSGAAS H257_16099 MEFREYEEMLWNLMSAENTVRNHAEMMFESMKADSDRTLLYLLQ VIRSTLSDDVRGLAAVLLRRVLIRDEVSLWTNAAASTQHSVKTDLLHVLTYETNRSIR RKLCDTVGELASSILEEGEWEELLPCMFQWITSTNVAHRESALRVFEMISLYMATCMT AYFDTTIQQLFQTSLRDGEGHVALHALRALGMLLLSLDELDERDRFQPLLPAILHALH TMHVDGNEEDLVEAMEVLIELLEPHASFFKPMLKELVPLMVVLVSTGASDGSFGRRQL AMEVLVSIAENAASACRRFPQNGFVEQVFPLTFHLMLDVNEDTTGDEVDDAEDDISNV DVGAEALQRMAQAIGFKKALPVSFGLVSQYAGHPDWKYQYAALMALTQIVEIVPSSQL HAVVKHVFAHVHPDGHHPKVVSVAIDALGQLATDQGPLFQEQYHEQTISVLLEYMQVG VVRPNVPAKWTWHFLTHALTSLRQFIDTCHPEMVEPYLESLLGSLFALLNLGHATAES RSVQEYAVSALSSIAGCCGESFGRFFNMVFPPLKQLLYTSLQELQLDAASTTTAPVST TLCGITLECISLVGLAVGPAVFGGDAAEILDVMTQMQHSSQYANDEILRSYLLQAWAR WCKTLTHHFANYLPVVMPSLLDAAMQQAEFEMDDQDDMEDDDDDDDDVQIAHINDKCV SIRTSILEEKATACQMLSSMVADLKESFFPYVEQVTQVLTPLMTDSVHTEIRAASISA MPWLVLSVSKHLLQQGPLDTLSPVVQMLEFTLGRLLNALSTEPELDLQMTIMQSIKMC ISHAVHPQHDGESPPPPVTAATNVVELLNHAQLSQLVEGLLIVLAESFQRRAVRRARK AIEEFDDEENEEDLDNDATEAQLQFILADCLGNLAETHPSRFFPVFQDTLLDKVLEMV QPHCLPEDRKLAVYLVDDVLEHCEPARGHLGTFVPLLLNCVASEYPPLRQAASYGLSL SARLGGAAFAPYVNPTVELLWTLVHSADAWEPFMVNATDNAVSALGSILLHFDSLPST LFTQWLALLPLRGDVEESAALIQRVCAAVLASHKVLSEDPSNVPRVLSLLAEVLSLQL FEPDQPVAKDMQAALHALRTMVPDHVMKSVWQSMSAAQQAALHALFA H257_16099 MEFREYEEMLWNLMSAENTVRNHAEMMFESMKADSDRTLLYLLQ VIRSTLSDDVRGLAAVLLRRVLIRDEVSLWTNAAASTQHSVKTDLLHVLTYETNRSIR RKLCDTVGELASSILEEGEWEELLPCMFQWITSTNVAHRESALRVFEMISLYMATCMT AYFDTTIQQLFQTSLRDGEGHVALHALRALGMLLLSLDELDERDRFQPLLPAILHALH TMHVDGNEEDLVEAMEVLIELLEPHASFFKPMLKELVPLMVVLVSTGASDGSFGRRQL AMEVLVSIAENAASACRRFPQNGFVEQVFPLTFHLMLDVNEDTTGDEVDDAEDDISNV DVGAEALQRMAQAIGFKKALPVSFGLVSQYAGHPDWKYQYAALMALTQIVEIVPSSQL HAVVKHVFAHVHPDGHHPKVVSVAIDALGQLATDQGPLFQEQYHEQTISVLLEYMQVG VVRPNVPAKWTWHFLTHALTSLRQFIDTCHPEMVEPYLESLLGSLFALLNLGHATAES RSVQEYAVSALSSIAGCCGESFGRFFNMVFPPLKQLLYTSLQELQLDAASTTTAPVST TLCGITLECISLVGLAVGPAVFGGDAAEILDVMTQMQHSSQYANDEILRSYLLQAWAR WCKTLTHHFANYLPVVMPSLLDAAMQQAEFEMDDQDDMEDDDDDDDDVQIAHINDKCV SIRTSILEEKATACQMLSSMVADLKESFFPYVEQVTQVLTPLMTDSVHTEIRAASISA MPWLVLSVSKHLLQQGPLDTLSPVVQMLEFTLGRLLNALSTEPELDLQMTIMQSIKMC ISHAVHPQHDGESPPPPVTAATNVVELLNHAQLSQLVEGLLIVLAESFQRRAVRRARK AIEEFDDEENEEDLDNDATEAQLQFILADCLGNLAETHPSRFFPVFQDTLLDKVLEMV QPHCLPEDRKLAVYLVDDVLEHCEPARGHLGTFVPLLLNCVASEYPPLRQAASYGLSL SARLGGAAFAPYVNPTVELLWTLVHSADAWEPFMVNATDNAVSALGSILLHFDSLPST LFTQWLALLPLRGDVEESAALIQRVCAAVLASHKVLSEDPSNVPRVLSLLAEVLSLQL FEPDQPVAKDMQAALHALRTMVPDHVMKSVWQSMSAAQQAALHALFA H257_16100 MLLITAFPRRFITAKARSKVLVVSTMAPSPSHRRQVHSTSAAVS DLVTSNRFQDAARKHVHARTKPVNERPQRHHHHDDLHPSSTSSSMSSVNLTFSGLYPE PLWYTTSPRTPCNDPSFPGLVDGQLHSIAMPNLTTCTRQDVVDYFDNTWALTDMLFSS FQSEAAFVQPPPHQLRHPMAFYYGHPTCFYINKCRLAGLLDQPVNAAFEDLFEVGVDE MRWDDMSKNEKEWPSVACVQAYRRQVYGVIKGLLESHADFADGHGPITAASQSWALFM AMEHERIHLETSSVLMQEHAVDNFVQPDTFPSYHPSASRPRSAKVPVEGTDYPVNRMI QVATHDGRPHVVTLGKPSSFPSFGWDNEYGAKTVAVASPFAASSQLVSNGAFWQFVKD GGYLNQKLWSVTGWAWRSFRNTKWPQFWRPDGPQGSHEYRLRALFDEIDMQWDWPVQV NLHEAQAFCRWKNQQDDNIASEYYHVITEPMHQLLRNDLDKSNPITSSTTFQDAILNH LPRHTTMATTDDAPQRNTNVSFASFSPVDSMAPNDQGFHDVFGNAWEWCQDHMSALPG FKVHPVYDDFTLPCFDGEHHVIMGGSFMSSGGNGASKFSRFHFRPHFFQHAGFRVVSS AVTTTTDGDQVVDVMTSCVNAPEPHTVVNPFRTSSTFVLHPSKVSSVFLTKLEAQAAT QWRDFGAGYDNVHVVLPGDDSIQVEKLERKGEHVGSVLLVVPSALDGQLDKDDEWERV KEGVLPHVEKEGSDVRRVSMVHVSAWSQRSTSTS H257_16101 MLPITAFPRRFITAKARSKVLVVSTMAPSPSHRRQVHSTSAAVS DLVTSNRFQDAARKHVHARTKPVNERPQRHHHHDDLHPSSTSSSMSSVNLTFSGLYPE PLWYTTSPRTPCNDPSFPGLVDGQLHSIAMPNLTTCTRQDVVDYFDNTWALTDMLFSS FQSEAAFVQPPPHQLRHPMAFYYGHPTCFYINKCRLAGLLDQPVNAAFEDLFEVGVDE MRWDDMSKNEKEWPSVACVQAYRRQVYGVIKGLLESHADFADGHGPITAASQSWALFM AMEHERIHLETSSVLMQEHAVDNFVQPDTFPSYHPSASRPRSAKVPVEGTDYPVNRMI QVATHDGRPHVVTLGKPSSFPSFGWDNEYGAKTVAVASPFAASSQLVSNGAFWQFVKD GGYLNQKLWSVTGWAWRSFRNTKWPQFWRPDGPQGSHEYRLRALFDEIDMQWDWPVQV NLHEAQAFCRWKNQQDDNIASEYYHVITEPMHQLLRNDLDKSNPITSSTTFQDAILNH LPRHTTMATTDDAPQRNTNVSFASFSPVDSMAPNDQGFHDVFGNAWEWCQDHMSALPG FKVHPVYDDFTLPCFDGEHHVIMGGSFMSSGGNGASKFSRFHFRPHFFQHAGFRVVSS AVTTTTDGDQVVDVMTSCVNAPEPHTVVNPFRTSSTFVLHPSKVSSVFLTKLEAQAAT QWRDFGAGYDNVHVVLPGDDSIQVEKLERKGEHVGSVLLVVPSALDGQLDKDDEWERV KEGVLPHVEKEGSDVRRVSMVHVSAWRQRSTRTS H257_16102 MTNAYQSMPTPNDQKNYVNDVGQVEWGAIPLNAALDKLKATREG LSTGEAEKRLEEHGPNKLPETKVNKLMVFLGFMWNPLSWAMEVAAILSIVLLDYPDFA LILALLLLNACIGYFEEIQAGDAVAALMGQLAPEAKVFRDGAITRIPADLLVPGDVIR IRLGDVLPADVKFLEGDSVKIDQSSLTGESLPVTKSTGDEGYSGSVCKQGEIEAVVTN TGVHTFLGRAAAQIAGAESHGRLQEVLTTVGNFCMVSIISWCIIELVVQMGSRSEENP CLIISDGCLGVANILVLIVGGIPVAMPTVLSVTLAIGSSALAKENAIVTRLTSIEEMA SMEVLCSDKTGTLTLNKLSVDLDNLIAYNGFDKAQIIRDAALAARVENHEAIDVVCYE TYPEKATMWDTYTLLHYTPFDPTTKRTIAKIRENATGRIFRTCKGAPQVCLDMDIAAD SLRDEVEGRINEYASRGYRGLGVSISEGDVPLDKAEWKLVGLMPLFDPPRHDTAETIR RAIDLGVAVKMVTGDHRVIAVETCRQLGMPTNILDTSFFNQAPPPGMNLAQLVYETDG FAQVFPEHKFEIVRHLQSLGKVVGMTGDGVNDAPALAQADIGIAVDDATDAARAAADI VLVSPGLSVIITAIRMSREIFLRMKNYAMYSIAMTVRIVCTFGLLTVCYNWYFPTILV VVLAILNDGTILTISKDNVTASRTPDSWKLKSVFISSICFGLWLTLSTIVLFALTYQT NAFQGFIGAENLCVNCIKSHCNEYFTDVVRTCALTSNSSGCGELDGSVMKNSDYVALG KARQLDIQGYWKAYEAEYKKSQADLFEHLQVNHINNFTNLEPEAAATYEQFVYQYTLG QSGTPFQGKPYLVNTSAAIGDGVAFVGRDYLPLTNGVGFCDYVWGYSNFNSTWSKGFK LIGPGVQKKDGILRGLIYTQVSVSGQALIFVTRTAGINTWFFAEKPCNLLLIAFVIAQ VAASVIGAVGFNGYPSDRVAVIGCGWGYLVLAWLWSILWHFPLDLIKFTVNYILNNGS YTQTAFTSRINAGHPSMAHSKVSSVARSIRASRTVG H257_16103 MANAYQSMITPNDQKNYVNDAGYIEWTAIPLNVALDKLKTSREG LSTGEAEKRLEEHGPNKLPETKVNKLMVFLGFMWNPLSWAMEVAAILSIVLLDYPDFA LILALLLLNACIGYFEEIQAGDAVAALMGQLAPEAKVFRDGAITRIPADLLVPGDVIR IRLGDVLPADVKFLEGDSVKIDQSSLTGESLPVTKSTGDEGYSGSVCKQGEIEAVVTN TGVHTFLGRAAAQIAGAESHGRLQEVLTTVGNFCMVSIISWCIIELVVQMGSRSEENP CLIISDGCLGVANILVLIVGGIPVAMPTVLSVTLAIGSSALAKENAIVTRLTSIEEMA SMEVLCSDKTGTLTLNKLSVDLDNLIAYNGFDKAQIIRDAALAARVENHEAIDVVCYE TYPEKATMWDTYTLLHYTPFDPTTKRTIAKIRENATGRIFRTCKGAPQVCLDMDIAAD SLRDEVEGRINEYASRGYRGLGVSISEGDVPLDKAEWKLVGLMPLFDPPRHDTAETIR RAIDLGVAVKMVTGDHRVIAVETCRQLGMPTNILDTSFFNQAPPPGMNLAQLVYETDG FAQVFPEHKFEIVRHLQSLGKVVGMTGDGVNDAPALAQADIGIAVDDATDAARAAADI VLVSPGLSVIITAIRMSREIFLRMKNYAMYSIAMTVRIVCTFGLLTVCYNWYFPTILV VVLAILNDGTILTISKDNVTASRTPDSWKLKSVFISSICFGLWLTLSTIVLFALTYQT NAFQGFIGAENLCVNCIKSHCNDFFTTRVQSCSLTRNSSACGELDGSIMKSSNVVELG NSRQADIDSYWEAYADKYRTSRTDLFTNLQGNHINKLEVEPAAETGYQQFVYQYTVGQ GFGSDKPYSVSLAAGQGNGVAFVGHDYVPLTNGVGFCDYVWGYSNFNSTWTKGFKVIG PGIQKKDGILRGLIYTQVSISGQALIFVTRTAGINTWFFAEKPCNLLLIAFVIAQVAA SVIGCFGFTGYPADRVAVFGCGGAYLVLAWLWSILWHFPLDLIKFAVNYILTNHTYTQ TAFTSRINAGHPSMAHSKVTSVARSIRASRTVA H257_16104 MAGGQSIGSDWFYVAWCALSLLLAILCDSEALLHDPATYGKVEP VVNWPPPVVVRALHQWGNDFDPLLQARPLWFKVCFWMEMTFQVPYYIVAIYGFINRSE WLRVPTLVYAAQSITVMAIVLTEQFVGEFKTSAPLVILGSYLPFAIVPFFFLIRASGP TMFGKPLKSKNA H257_16105 MDQILAYPPYFTHQNTATGGDMTVQLQTSQSHLAAHFHSMQQRT ASLYNVVVIEMYPDVKTSVALAHTLMELMHEIYDATSVLLMDGAPLYLYLLDEATSYP IDTTPPICVKFLTTNKLVVQSGFELLKASNEVVATCRGLPGVELDTIKVMTSAETTSV EPFDVL H257_16106 MPKPNLTDDERNGALHQLLALMAPDGTMPRGAFAQVAERFGVAR HTIRRIWHRASVDVTNPRQLCQDVSSRQKGRSGRKPKHTSIADVIKDVPMAHRTSFAS MAAATNIPKSTLHAYFKRGAFVKSSTPAKRLVPVPKKVARDTMANDANKAAPGTTTES SGVL H257_16107 MSTSSCQCPRRGDLAHEPGHTRTAIAAQTLTGPWVSLASWQTRH HHARRSPPCSPDTTRPDLSFVLDGNKGRYALWPKKALDKFRHVGCPRQAAMKMRTCTK SLWKAQSELVA H257_16107 MSTSSCQCPRRGDLAHEPGHTRTAIAAQTLTGPWVSLASWQTRH HHARRSPPCSPDTTRPDLSFVLDGNKGRYALWPKKALDKFRHVGCPRQAAMKMRTCTK SLWKAQSELVA H257_16107 MSTSSCQCPRRGDLAHEPGHTRTAIAAQTLTGPWVSLASWQTRH HHARRSPPCSPDTTRPDLSFVLDGNKGRYALWPKKALDKFRHVGCPRQAAMKMRYVCV CDDNSMTIPFARTCTKSLWKAQSELVA H257_16107 MSTSSCQCPRRGDLAHEPGHTRTAIAAQTLTGPWVSLASWQTRH HHARRSPPCSPDTTRPDLSFVLDGNKGRYALWPKKALDKFRHVGCPRQAAMKMRYVCV CDDNSMTIPFARTCTKSLWKAQSELVA H257_16108 MRTGSFFSCGTHTDHFQMAYLFRLGQYSVALILLALAAQPALQQ SCPPIEVDVDYPGNDIHGIPSTAAENCCGICAATPNCLAFSYAYGTCYLKSAKGSSVR TPGVQSGTVATCPSIENDIDYAGGDLKAVAASTVNDCCGHCKATTDCKLYSYAYGTCY LKHTQGTRLAKAGVHSAVVVASVDPPTTTTAPPPITTSRPLPGQCYPPVQDIDYAGMD VATIPGSSSTAQCCDACSLFPSCNVYVFYQGNCYLKSAKGRSSALPGAVASARSTFAP TCAAIEDNVDFPGGDLIAAAKSTAEECCDVCRATSGCALFTWAWGTCYLKSTQGARQV SWGARSMVVVTTPPPPTTFTPRPTTTPPLTTPPPTTTTPTPTPSPPLSPPTPTPVVSC GKRQRKAWSASSAAEKELYISAVEESMKRGLYQRFLSVHNDLKANKEAHGTCVFLFWH RKFLVAFEDMLRSLAPAYACMTLAYWDYTQDYVRFQTSQCKTIADCSVATADLGGSTH GRDPQPADPGHSTLCVTSRPLNASVGGCVRRGDWHATAMPDWSISNARSSLFDVGPSI AAVSYDLEIGIHGSVHMELRGQMGNGFLSPQDPIFYLHHAMVDVLHTVFYHCKVEPLN LDPIGQQTHPSSFQGCTVNYGDGAPQPVGPTTAILMRSHVDLDDNVPIPVDDDPLIGH FFKPLPSEYFKLTDARTLGYSYNLVGLLGDLYAKCDSTRQVVFESEQFADEHTITAPL IDSANAKTLRFEEAIVAAAIAQGLSSDAAYVEVKKINLLLHVNCFGGQDIQDYPDELK QHMHWGTSQKPGFVLWHQLKTNQTTVAISGWQHITQAYYNCSGAMKH H257_16110 MATTTPSSASSVPLDLVKTFTDEFQAEPRYIIAQNGLTSTHWRN IVCNRRVIARQTNVFSKKLPDMDACNQNETGRCWLFATATLLRRALHTKYNLDKDFEI SQNFFYFWDKFEKVNFFLENILATAHKPVDTRIVAHFLSDPTTDGGQWDMIVNIINKY GACPKNVYGESFQTVDSERLNYLLGAKLRDFAKQLRDLHATGATPADLQAAKVPMLQV CHRILSIHLGTPPTTFDFEAHDKDEKHVRVPHLTPRTFVTSVVPIDVNDYVSLVNDPR NALDSILTIDRLGNVVEGNSIRYLNVGVSDLWKYAKTQLDADVPVWFGCDCDADSELD KYGLYSTDLYDLNAVFGTTLTLDKPDRMRFHNGSMTHAMVFVGYNAVDDMERPAAWKV ENSWGVGRGAKGFDVMTADWFDEHMYQVVVLKKFLSPKHLALWDTGTPTVLPVWDPMG NCLH H257_16110 MSSPRSFRTWMPATRTKRGGAGCLPRQRCFVERCTPSTTWTRTL KSRKTSFTFGYIFTVHRSFWHTYTCLSLQDKFEKVNFFLENILATAHKPVDTRIVAHF LSDPTTDGGQWDMIVNIINKYGACPKNVYGESFQTVDSERLNYLLGAKLRDFAKQLRD LHATGATPADLQAAKVPMLQVCHRILSIHLGTPPTTFDFEAHDKDEKHVRVPHLTPRT FVTSVVPIDVNDYVSLVNDPRNALDSILTIDRLGNVVEGNSIRYLNVGVSDLWKYAKT QLDADVPVWFGCDCDADSELDKYGLYSTDLYDLNAVFGTTLTLDKPDRMRFHNGSMTH AMVFVGYNAVDDMERPAAWKVENSWGVGRGAKGFDVMTADWFDEHMYQVVVLKKFLSP KHLALWDTGTPTVLPVWDPMGNCLH H257_16111 MPPSLTKRSKKGENSATEALVCDNFIHGVFTPAKGGEYLDVESP TTGDVIGKVALSAKADVDAAVAYAKAAFGPWSSLTVKARAAIMLKFHELIRHHVDELA DLVVLENGKNKSEAVASVLKGNETVEYACSLPQLLQGRVLEVSRGITCHETRDPLGII VSIVPFNFPVMVPLWTVPIALTTGNCVILKPSEKVPATMTRIAQLLAQAGVPPGVFQI VHGQAPSVTALVDHPDVHAVTFVGSSPVAQLISRRCRAIDKRVLALGGAKNHLVALPD ASVEATARDVVASFAGCSGQRCMAASVLLLVGNCSALVDAVVAKATALTRGTGPGQVG AIIDGASKRRILKYIDEAEAGGATVLVDGRGWAHESKGYWVGPTVLVHTNPSDAALHH EIFGPVLSIYQVHSFDEALAIENASPFGNAACIYTSHGGNAEYFQSRFRAGMIGVNVG VPVPREPFSFGGMYGTQSKYGDMDITGDGCVEFCTHRRKITAKWSPSEGQTTAVSAGV TDRANFAGHM H257_16112 MTRSRSTSPKDKRTAPKGRRSERLKPNAVTEQEGAETEEEVLTA ELAMVPTSGTSTMQPVEDETKEEKQSPKTSERSAPHSMPKSLEGGYIELDNYDDEKTD LVRHQERQQAAKARQGGETANAERRRRNREAKPKNKKVDYVAQAKAEHARRLQLLLTH PCGSQRRRNGQHNLGTQDGLQ H257_16113 MSWKMLQRYYHFPSPTQHLFKPVVQFTTEDKKAQTSHVRVSKCC TLGKAQYAIAEFLGIRMQDIDNTKYEEIVTAHEAVMMLYGRVDAIKSVELAVTLSNKV VAALTAGDVEVVACLGDFASHVLES H257_16114 MSSETNGGGLPLAQALHHPPYEDPDQVNHRPFEFPKHARPRGPN QHRQNVLATERKKTHSRVRREAFTATVHPSKQPRLSTIPKASKEDSEMDTTEPQTFNT QAYPEPAQPPINPPPAPRQGKPSRIRFASKARGTHPLDIAIPPHTQGLPRRTRSAKHP VQPGQPTCGLLAPENWKSGMLFLPAPYPSTPLQNSSSKF H257_16115 MRQYFGFLQIPIRASNRLYPDMTSVSLARLFSPINPGDNKEAVR VCDSLRKDMHSCYLHQEGRQLILQFNSKAKAATWRNQPVPFRGEITWLRHFRRPEDPI TDLDTDETQQTETYSFRLLQVPARIKLMQVLHMLRLLGWGYLVVTDNANVLDDILGKS RNEMGPITVHIFHFQD H257_16116 MATQTPPEQDADHDRILFPREWVSPEQEVQEAGIIRIEDLFGRA PTTIDALYSLGTTWITSLQAEQPTPGNPGAASGELCQPDFGEEDTVSSDMTVTETALA PPAPDMESPPADDDLMGTGESESEPAPPESSGEYEDIDMGTSATLPLASDCPSTRALG LPPGRTACDNDTHLEADAVSPAPVANEEGVVAARGQCCILALYCAKMHHEWSTRSSLD PQVVSGITDLKHSIRDVMQTNPAFLGQYNPRWMQRLTDTSKASQETVEAFIDKFFSRL LAAHPAQGVDKLDWCGYPEIAAASAVWQQPVYVLQEQETEHTWWLWRVGFMPGQEDIE KTPIPVGNWTTIFITLTSSDVLLVHKRGTHFDSIHLTPPPRTSAALTPGRRIKAPPKL PVRYTRRLTKWLKDNTDSVPAGLLTTPINEAAQLEPLLEQFPLTARSMIWEVGTPEVL LSRCSPPILVTWGKQLLAAMARVTLTQIASLHKKPELTGLLDTWKEDIVRAASVDAAV QLATNQPRWGELLARAETPPSEGEIPDLPSLRGVLQLVETGNLLNIWDHLPLGGWKQL SPWVAQHAVRPPVTPTRQFSPSVPTPSSALLPATHTAQSPRGLPSSPFRLLTQNVAGF RHDTVAAWMASWKRKPLSGTALGTFMEPQRRRLPWSFWSVTPDRSQEVAILLNPFSDQ HFTLDTAHAIPGRYLQISSVTFTLASIYAPSGSTGERREFFSTLTGIPPTPTRMIVGG DFNCDSAPQWDVTPPHPADGKHRSVRPWRPGSLLWRAT H257_16117 MGPPEHTPHRRSTSPEEVRQGKHGAGSMAQRCCTYVTPDGRGYQ VYPPSLIAQSQLDGGLSAIRTTSCKSGTLADAWPRDQVDRDAIQAFLLTARTACTYVW ERDGRCHCYMPPELAEAARIVHLQLARVTPPVAANAMAPTVGTQRVDPRSPGQDPHSP GIRLFCARFRVMLGGSLDLRSPPFPDEHGQGTSAQAIHAQHRPGNARPRPHADSPQQI MVPAPTASNSANPPPSLCETP H257_16118 MAPPGLPFPDISTSSYLSLLRPRRQHRTYLLAVLESTGYVERLV GYLVRATDSTHPTTPGLAALASAHVTALATLHAKRRQRTPASHSVQNNRGEMFQCTPS RAFSPVGPLPGSIAMPYGESLACYFLARFLPDPTTNSQAEYDGLLGALRLAQAMNLQD LEICGHSNLGPQPSPPPSFRHVESSCARVLLNGLLAIRWGPATRLELSNNINPPTDPT WQYSSDRLQSISSKYKIATASSTTAQPKQPRTSQKFLAKYAPTVT H257_16119 MKLLAALVHAAAAASQVEVVFDSLAPLDKGDKGSPISKARSVAV QFPRFPASHVRCSTAGLTLEYVNFTLGTLDIPTDESLWMQTEFFLLGLPHCTNSDDLE RIPIQTIAKRVTFQVFPPSPIVLEPATTYWFTVHSNGKTKNKSPIWLDGTKTFSTKND PSKEMLVAYTEGDTWAVAAAPTEDRFVPSLQVGLVRSC H257_16120 MLISMAQPDHDASCLYHILLLLESDTTAILAGVCFECYFQSNCG LVTYVATNPKIDTKGQVFGRFLVDNALEQLQRQGQCRRHMRCRAVFLESNSDLVVHDV MGPVVLKL H257_16121 MVRLYPTVMRFPGSSIPWEIHSNPKLFPFSRAASAPLTARTYRR SLRHLEQSRFEIERFFYPGIARIPA H257_16122 MDVKYLRDNSGFDETMPSAFLQPQKRSTRTTKKFRTTPFPLFTN LALLLDGDMVTGAFSLPPPGIAHMANNNIINDADVFPPADVYPPTDQYIQSDRMDFEE YQQAPVTKGRGGRKISALDDDMSSDSDDSDNMMPRKKKPRQQ H257_16123 MRTRRRGGVKDDVGERVIEINDSPNVDILQRLPRRRQQTVRYIE SLDAYSHAPAEEEDPNSSHDETASPLYQRHSSARRTSSPPSADEDEDDQVDGEVDDQG SSDNDPDTAEDESEAPSPDVKLERPESARQGHASSRRNVRSAAEPTQDEIRHSTTRRR LRSSIEPEEVLDTSATVESGDATARRQSTRHRRSGVGDDSPDPPLGSPSAALVGRGHA EDATAFSSRYPSRRRVQSSDADDASVSALETLPPPNSLRHATRYTLRDRSRHGPQRRS FDDPPKSNQPSSFESRAAAQVMDRATRYALRTGQRDMPTTTTSPKQNDKNGFHRSTKS YSLRDRSTLRRHVASNTNLFDDFGDVAPPPKRVKHHDRAPSKQTYALSSSSSSSSASS SDGEMQQIKRTSKKHSRRSAKKARHEYSDKKNAKKGAPPADISPLDIDPSITWDSIGG LKSHIHALQEMVLLPLLYPEFYAKFALTPPSGVLFYGPPGTGKTLVARALANSTGNQR ITFYMRKGADCLSKWVGEAERQLRVLFEQAKKTEPSIIFFDEIDGLAPVRSAKQDQIH ASIVSTLLALMDGLDSRGRVIVIGATNRIDAIDPALRRPGRFDRELAFSLPNAKDRAA MLGIHTKKWQPPLSTSFKDQLAKDLVGYCGADIKALCAETALVAFKRAFPQVYDTPAK LDVDLSQLHVLRGDFHAAQAKIVPAAARSQSAVATPLPPLLAPLLEEPLSQLVQLVQS SFPTGLFAPPPTLASTSAPIHDLSSATCSHCLTSLSTNAIQSCVSCHASFHTSCLLSA SLCASCVPAYTYIPPSLVRCLVFGSSGAMGQSVVSSALLSHMDGFPVIEMDRFSPPSQ WMAKWTEALARVPCVVYCPHVDQSWADHPTEIPAMLHHWLQSSAQHLPIVLVATASTT HLPPDLLALFPHQFQVTPPPESARRAFWSVLRTWASSPPPVPPPPPAPLRVLAPPLAT DKPTPVVTLNDQEQHHLRELRIFLEAVVSYCIRQKANAPFVVIETVLDHGDDDDNHEH VTIHRHMDLNTIRDKLHDGEYTTWEKFMADVHEIVQDAYAAYPKYSPLRYIAHAAANM QDNVMSFAHRFRKEQGYDLFATCRAIQHAKLASRPVPAPMRLKRPGVQPPSIAPPPAD LTTADDSVQIVDVFVVGDPVFVAKRTGPGMNKLGGAGRVQQVYEEDASGSGLKYDVKY ILGGFEKEVDATYVRRLTEDTVQASVKMHATSTLTSARKAAPTADDLFDATVWPHLYQ AGWEYLPPPIGLWVVDPPSTTYGDDVDDSGKTRDEWKATCQCFESRDDVVAFVKQSPD YARLCFGQRFVEMNIADGLVPKPVPWTPQEEMYYMMLEDKPPANPTNAIQPPSALPVF ELDEELLCGIVDDVVAATEGWSVDALRQELVKFNHIVLAHRYEYDRRAMVEALRAHVD QLASNQPA H257_16123 MRTRRRGGVKDDVGERVIEINDSPNVDILQRLPRRRQQTVRYIE SLDAYSHAPAEEEDPNSSHDETASPLYQRHSSARRTSSPPSADEDEDDQVDGEVDDQG SSDNDPDTAEDESEAPSPDVKLERPESARQGHASSRRNVRSAAEPTQDEIRHSTTRRR LRSSIEPEEVLDTSATVESGDATARRQSTRHRRSGVGDDSPDPPLGSPSAALVGRGHA EDATAFSSRYPSRRRVQSSDADDASVSALETLPPPNSLRHATRYTLRDRSRHGPQRRS FDDPPKSNQPSSFESRAAAQVMDRATRYALRTGQRDMPTTTTSPKQNDKNGFHRSTKS YSLRDRSTLRRHVASNTNLFDDFGDVAPPPKRVKHHDRAPSKQTYALSSSSSSSSASS SDGEMQQIKRTSKKHSRRSAKKARHEYSDKKNAKKGAPPADISPLDIDPSITWDSIGG LKSHIHALQEMVLLPLLYPEFYAKFALTPPSGVLFYGPPGTGKTLVARALANSTGNQR ITFYMRKGADCLSKWVGEAERQLRVLFEQAKKTEPSIIFFDEIDGLAPVRSAKQDQIH ASIVSTLLALMDGLDSRGRVIVIGATNRIDAIDPALRRPGRFDRELAFSLPNAKDRAA MLGIHTKKWQPPLSTSFKDQLAKDLVGYCGADIKALCAETALVAFKRAFPQVYDTPAK LDVDLSQLHVLRGDFHAAQAKIVPAAARSQSAVATPLPPLLAPLLEEPLSQLVQLVQS SFPTGLFAPPPTLASTSAPIHDLSSATCSHCLTSLSTNAIQSCVSCHASFHTSCLLSA SLCASCVPAYTYIPPSLVRCLVFGSSGAMGQSVVSSALLSHMDGFPVIEMDRFSPPSQ WMAKWTEALARVPCVVYCPHVDQSWADHPTEIPAMLHHWLQSSAQHLPIVLVATASTT HLPPDLLALFPHQFQVTPPPESARRAFWSVLRTWASSPPPVPPPPPAPLRVLAPPLAT DKPTPVVTLNDQEQHHLRELRIFLEAVVSYCIRQKANAPFVVIETVLDHGDDDDNHEH VTIHRHMDLNTIRDKLHDGEYTTWEKFMADVHEIVQDAYAAYPKYSPLRYIAHAAANM QDNVMSFAHRFRKEQGYDLFATCRAIQHAKLASRPVPAPMRLKRPGVQPPSIAPPPAD LTTADDSVQIVDVFVVGDPVFVAKRTGPGMNKLGGAGRVQQVYEEDASGSGLKYDVKY ILGGFEKEVDATYVRRLTEDTVQASVKMHATSTLTSARKAAPTADDLFDATVWPHLYQ AGWEYLPPPIGLWVVDPPSTTYGDDVDDSGKTRDEWKATCQCFESRDDVVAFVKQSPD YARLCFGQRFVEMNIADGLVPKPVPWTPQEEMYYMMLEDKPPANPTNAIQPPSALPVF ELDEELLCGIVDDVVAATEGWSVDALRQELVKFNHIVLAHRYEYDRRAMVEALRAHVD QLASNQPA H257_16124 MLHGTTAPLQQSRVGRENQRYDGDVRLIVCIVPLSPTGQVLLIS SSKHKDGWILPKGGWESDESVEACATRELEEEAGVEGSVLRPLGEVDYVSGNSGAVGK TSRLLGVSVRVTKEYDEWSECKDRKRQWVDVDTARTLLGSRPELLEMLQRATS H257_16125 MNTIPLVWAPGNRGRGVVVASIDSGVRGTHEAVKNNWRSDFGWF KPTGKFPNPMDFSSRIMGQCWLKYTQGTKSTVFGVKSAMVFKMCIQERNVDYSGGDVA NTQGESTDFCCERLPSDAKLQALHVDILQRRHVLVEAD H257_16126 MVHARYAALAATAAMGHAKISAQVHRELQAGGTAQDLVVNFRRV DIEALVLPESTDYVHQLVQTLSDHSNKSKQVVADLLGARVESACEDKFFYIDNTYFPC DKLTEAQVRNLAASPHVASIAKAAVMELSPVQSTSNNATVIATANQWGVDKISSPLAW AKGKRGAGVVVANIDTGVRQSHNAVKNNWRADYGWYDPSGGASVTPKDYQGHGSHTMG TIVGQVNGIGVAPDAKWIACVGCSSSSCAQADLTACAQWLLCPTNSQGVQDCTKAPHV INNSWGSTNGQATWFLPMVAAWRAAGIIPVFSNGNSGSACGTVGSPGMSPDVIGVGAS DASDALAYFSSRGPTYDNRIKPDISAPGTDIVSIDFNTDNGYAYMSGTSMAAPHVTGA VAIYLSANPGASYAQVYAALTGSVDKGSLTPNNQNCGGVSDSTYPNNNYGYGRLNIDR ALTVLTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPTPTPAPT PAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPA PTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPVPTPAPTPTPSRTPQ STWQRTPRPTTTRSCMNPEDNADYPGFDLTSTARGSSHLCCADCKDTIGCKLYVWTNF NGGTCWLKHSKGPKSYLAGAKAAELKPSTCSTVVDNVDYFGNDITSTSQASANACCAD CEATPGCKLFVWTASNGGTCWLKHTQGAPSTVVGVKSAVLTNPSKSCSSPELNVDYPG GDVASTQRASPDACCDDCQSTQGCKFYTWTNYQGGTCWLKATKLSTVLSVGAVSSSA H257_16127 MARLEVLIVALVASVVSAKISAQVHRELLVEGIVQEVVVNFVPV NLDSMVLLDAFDANRSGLVDALIAQSKKAKRVVDNVLGIRINGHCDKFFYIDNTFFPC GSLTTNEIRALANSPSVQSISKAVVARVNPLKVTAFESDAAAAAANQWGVDKIQASAV WATNATGTGIVVANIDTGVRLTHEAVSSNWRSDFGWFDPDAGSTTPSDSNGHGTHVMG TIAGQVNGIGVAPGAKWIACLGCPNSSCPQATLTACAQWLLCPTDALGNKDCTKAPHV INNSWGSTDGASTWFEPSISAWRAAGIIPVFSNGNSGNDCGTVGSPGMSPQVIAVGAT DSTDGLAYFSSRGPTYDNRIKPDLAAPGVNIVSAYAATDTTYAYMTGTSMAAPHVTGA VALYLSANPTATFADVFMALTTTVDTNELVIQSQSCGGISDAKYPNNNFGYGRLNIER ATKTIVYVRTTQAPTPETTNTPEPPPSPTPSPTLAPILSPTPSPTPAPALSPTPTPTS SPPPAPTSSPSPTPTPAQTPPPTPLPTPTPTYAPTPSPTSSPTPVPTSSPSPTPTPSS SPSSTPSPTPTSTRSSSPTRTSAGTPAPTPARTPARTPSITPILPSTTKSVGTLPLAS TPTLPPFPTTRAIPRPTPVPSPSSTPRPTPRLTPSSTPRSTLTPTRAPTPRPTPRPTP DPTPARTPRPTSSRTPDPPPALTPPPTPALTPAPTTSVRVSSNLKHQLLFQTNKIRAV HNIGSVTWNDGLAIQMQAWADTCPGFQHGGPSGWQNLATYDRCGLQECMAIAGAAWLW YDQEETLWNYDTNQCSTGAWADCGHFSNMMSPQVSSMACGWSECGNGNYVWCNYVTPV IYPQVPLSTISKEQLAASLVG H257_16128 MQVGGDIGKGTLPRGVTMMSTNMVEARGHWNGSDAATNTKDRAK TSERALECKPCISDLPKPNSISQELHTRLVAFTTVYGFQKLHAIVVSSNIEDQLALLF QLYQLPALPTFDKPCHDALKPFYRWSFLGTSGLRSVCAEIAAPSSTVDNITLLRHILV AGLYLTHVNCRLSLSDQLPSVDAICLVLTECRLLYVKQDSLQHLDTISLLVCRFFHHG IFKWPEMASPDTTYMLAYPWTPDSEFI H257_16129 MTDFASRNSLSISTDFNNGGGSGGGEAKDTTASADVSFFDKAAM MIATKFAKDGDDAPHANPTWGSVIKRDKFQDMDLLESGNAREYITEDSLSPTSAKSWT YSTVHRINKTQYKMFWNNDQFLLSAKQHNGMFYISQYEHFGESSADSSSAVPTSHYCA VLKRTTDNSFKLYNCGCEGCDQGFSKYTCSNDHETSSNFGFTEEAGEGADRQLLADIS HFTKVVSGISSEMRCLSVVIPAVMEDSRSRVIWCPRMCRSDTPSGGTPRTSAKTELVT SPSPHGKKTKFTFETPVSDRVKLVSKLPSWCSEVNSLIMKFHGGRIREASAKNFMLVL DDDDDKAVFQFGKFSKSKFSLDFRYPLAPIQAFAIGLSSCSWSAKSKLNN H257_16130 MRPAMQKALVAMAKDGHCKEFLRVFAAECLSEKNEDHSLEWKEG LDAMSTAQWQHLCEYMRLPLVDLHITACLTCLCWSLRDSLPTSVVFALSDVIVHLHGH LLQATPDAQDAIAQCCEAFWISHASRAEAVIPQLIPYLVVQALDGETVSAVKRLRDVQ DALSLLDFEDTSSRLLKDLLLRCFVSPAFLKSNDGVAILSDLFHLDASFMDDIHETIR NQVPTQKKSVVKRYGLVYFKAWHTAYLNELPSLLKLEEDCIQPYLYHAIYVSTASLCT KLHALLEAFFEAKQVCDPMLYRLVEPMLWRGLSATNDQVRKQAAIVLFQGFPYQKFHL DGNKSASKEDTDALLQKQVDGMLLLVQDTSPATRVVAVHGLAKVLSLYWEVIPHESIQ QFLFWLFELVQDTASTAVRVAVLQGLPLILDNHLSHAVLKSLLPKLAPYLNDKQETVR AAFCRLLVRVKSIRNMHFYDIAPVDSCLLRLVMDAARPSVAKPITNLFLRSYFPQGAS DDAQVARTLSLIEEHPVASRVFYRHVVHFSSVGAVCKLVVLLLHFVSCQGGSDNSDLA NGVVWVVVDLLESIQKPLMHSKRYADCRRFIQDQVHPDRLHTILESFSAYPSVVAGTW HVISHVPTSMKDQFVLRALDAMAEFECSSNKLLLVGVLQCLVRWGEALTFVDSLLDQL RPKRIHRTNVALLLVCLNELAKLCDLSDVASSLVVKLEQHWPTFQGQMEPTSAILYAK VVWTLHQLVVAGAAAAGDTPPPPMVLCAIYEWMSTLKKRKGPAAATSDQPSGDANGSE FRLEVVPVLCEAMFVALQSATAVTFMQRVLELAMDEPALNSQLLSLLVAVEVDLEDDI LGALLAALLETNASSCVWLTQLPQSTGLCRCLWMLLKQDAHVGQAINATKWPEDALQR VLSVGLQQDEVLPLHVVQILKSCRSLDWATKVASPTVLGQLPNMLNT H257_16130 MDDIHETIRNQVPTQKKSVVKRYGLVYFKAWHTAYLNELPSLLK LEEDCIQPYLYHAIYVSTASLCTKLHALLEAFFEAKQVCDPMLYRLVEPMLWRGLSAT NDQVRKQAAIVLFQGFPYQKFHLDGNKSASKEDTDALLQKQVDGMLLLVQDTSPATRV VAVHGLAKVLSLYWEVIPHESIQQFLFWLFELVQDTASTAVRVAVLQGLPLILDNHLS HAVLKSLLPKLAPYLNDKQETVRAAFCRLLVRVKSIRNMHFYDIAPVDSCLLRLVMDA ARPSVAKPITNLFLRSYFPQGASDDAQVARTLSLIEEHPVASRVFYRHVVHFSSVGAV CKLVVLLLHFVSCQGGSDNSDLANGVVWVVVDLLESIQKPLMHSKRYADCRRFIQDQV HPDRLHTILESFSAYPSVVAGTWHVISHVPTSMKDQFVLRALDAMAEFECSSNKLLLV GVLQCLVRWGEALTFVDSLLDQLRPKRIHRTNVALLLVCLNELAKLCDLSDVASSLVV KLEQHWPTFQGQMEPTSAILYAKVVWTLHQLVVAGAAAAGDTPPPPMVLCAIYEWMST LKKRKGPAAATSDQPSGDANGSEFRLEVVPVLCEAMFVALQSATAVTFMQRVLELAMD EPALNSQLLSLLVAVEVDLEDDILGALLAALLETNASSCVWLTQLPQSTGLCRCLWML LKQDAHVGQAINATKWPEDALQRVLSVGLQQDEVLPLHVVQILKSCRSLDWATKVASP TVLGQLPNMLNT H257_16130 MRPAMQKALVAMAKDGHCKEFLRVFAAECLSEKNEDHSLEWKEG LDAMSTAQWQHLCEYMRLPLVDLHITACLTCLCWSLRDSLPTSVVFALSDVIVHLHGH LLQATPDAQDAIAQCCEAFWISHASRAEAVIPQLIPYLVVQALDGETVSAVKRLRDVQ DALSLLDFEDTSSRLLKDLLLRCFVSPAFLKSNDGVAILSDLFHLDASFMDDIHETIR NQVPTQKKSVVKRYGLVYFKAWHTAYLNELPSLLKLEEDCIQPYLYHAIYVSTASLCT KLHALLEAFFEAKQVCDPMLYRLVEPMLWRGLSATNDQVRKQAAIVLFQGFPYQKFHL DGNKSASKEDTDALLQKQVDGMLLLVQDTSPATRVVAVHGLAKVLSLYWEVIPHESIQ QFLFWLFELVQDTASTAVRVAVLQGLPLILDNHLSHAVLKSLLPKLAPYLNDKQETVR AAFCRLLVRVKSIRNMHFYDIAPVDSCLLRLVMDAARPSVAKPITNLFLRSYFPQGAS DDAQVARTLSLIEEHPVASRVFYRHVVHFSSVGAVCKLVVLLLHFVSCQGGSDNSDLA NGVVWVVVDLLESIQKPLMHSKRYADCRRFIQDQVHPDRLHTILESFSAYPSVVAGTW HVISHVPTSMKDQFVLRALDAMAEFECSSNKLLLVGVLQCLVRWGEALTFVDSLLDQL RPKRIHRTNVALLLVCLNELAKLCDLSDVASSLVVKLEQHWPTFQGQMEPTSAILYAK VVWTLHQLVVAGAAAAGDTPPPPMVLCAIYVKQHIVFLLPKIWLTCVLTRQMYLSIL H257_16131 MQQQGDSSSDAPLCSSGCGFFGNPACHGMCSVCWKKGSPKAPLD ASAEQVSEPDAVVPPTTAETTEPAAATEEGAEKLPVQTNKARCWACNKKVGLTGIECR CGYVYCGTHRYADQHECSFDHKAADMAELAKRNPGGGHFNKVDKL H257_16132 MTTTPSTTAAATTAVINANIECMNLLQGYDVVIVCCSTPMQAQY WQNRLMATRGEISPENMKVIAVYEDWVGGAGNGLGTLYAYQKAVAEGKAVYGADFDLT EQLKAGTVSVALYHTAGKGTRLAPLPGSENNNKPGVKLPAMLTIDDKVVPMTILEAVI KQTGVYAASRRGRLSVYWGDQVFIPSAPVQYTPVHHIDILATLAPMPTEAEWKAKGLD KYGLIAVNDDNQAAQVDKVSHATARRLLSDFGHLKSVGTSLGSFSVDHDILIALLGEF DAELQQKTAKLDTDPHFWMPFTLPKQSYIELMVQKGVQQAFATVHYERMQALLHRFYS TRREKLGLFGCVDVGSAAYWWDYGQLKYYLKNNVLVTEDSTEATALRLFLGISNPLMW SELGPGMVFDQVAVLGSKITKGTIRRSVLSGVTATSVTIEDSILINVTAHSISAKQCV LYNVTSEDLKGLQLDAGSVVVGVHLPNGDKLVVESHLNICGGDAWKVILDQNEHSFEQ IYHLNEEADVAEIEKLVRDEHMRVRELIHPTN H257_16133 MTTYVGGGYALCTAETYALAVHAIQVHASTASGKRVGVLALEYS LAPDAKFPTQLDEALAAYSYLAQGSNKPILLLGDSAGGHLLLQVLLALKAREWSHLRK PVASVAVSPWCMPNLCPPPATYITNSSTDFVSLANIQHYIDDWQPEHVDIDPSLGPLT GDFEKCGPLLVHYGGKEVFANEIDQLVGRLKRQNVDVTVIKEPLAPHISPMLPSFFGS MATDGIRAIGTYIAMHVGRA H257_16133 MTTYVGGGYALCTAETYALAVHAIQVHASTASGKRVGVLALEYS LAPDAKFPTQLDEALAAYSYLAQGSNKPILLLGDSAGGHLLLQVLLALKARGLVFVWP PYLTLVLEWSHLRKPVASVAVSPWCMPNLCPPPATYITNSSTDFVSLANIQHYIDDWQ PEHVDIDPSLGPLTGDFEKCGPLLVHYGGKEVFANEIDQLVGRLKRQNVDVTVIKEPL APHISPMLPSFFGSMATDGIRAIGTYIAMHVGRA H257_16134 MATSKLSPAVASLVLSQNGSMGPVVVEFAALPAFEANALAALPR AERLKAVTTHLQRATGRLRRTWHRRDRGRGRGLPRGLRGVAPEQCGLAPHVIVNGWHT SNSDWFTPMLVAWTHGGIVPVFGSGLAVSYFSRGYHRRQRRHVVQSARPGRGPTRQAR HGGPGQGVPTADATAPYVLCSGASLAAAYTAGVIALVGRHDDGGLSDKKVPNNVAGLL NVWNALKAFVVVAPPVVNVTTTNAPKTTAATSKMPNNTTYFTA H257_16135 MSAYLPCPIEYDLGLLPPPPATPPRKRPYRSSFAIVLDEAANKF RGQCKYKSGKCKNERTLKFNGEIHTLCEEHRLRHNRIQNKSDSKIRKAKRMQSMYDKL EPLPHDLSLPYDSKLMEILYQSGTDTCSSSGDSDDMSMADEYAWLPEVKLEEFGVVVD YWSPEDTFIFQNIMGLPTRKTV H257_16136 MSASGAWSEEEHGRFLHAMKLFPRGPWKAIAGVVQTRNIRQTQT HAQKHREKLARQAERRQHKTHKMEEPALLDPSHIAFLVELYHNVVSSSVVHESTPPPC IN H257_16137 MAIKHLPTPARLASIPYLATSDSTELVRASKVCQPTLFTNRVFT VYLISVSDHANHRWWTIRKRYTDFYRLRKALIAVPMSGGCHTGAIQSLLQLPFPKKHL TSSTNARVASSCGHTTSWTCSFE H257_16138 MYSSYAKAPKTGDQGKNHVATDHEALHRDAKIHQSVQSSESHLT PLDLETIMSNKGVVKYWYLHRPKTMEIVHRNAVKVQSVARSFAVRSLVRKYGINYMVE LAKRDAEKKEAERLAAMSPEDRLREEQENIAFDRKVEETRRLKREASALAEEQAALER AEKDRLAALSEATRLAEAKRIQDKADAAAAAQKALDDKAAAIAAATAAATAAATAKST EDTTPSAWSNDKTHAATAAADAAAASTEAAHKAVLIEAAQLERDAAERQLLHARALAD KAAREREMALLAEKQAKYEESLGRDVPIVVTDHGRGRVLFYDRETQVYSVAIEHYGKS EEVLDVYPDEIVLDGERILSPRTLVETPFGVGEVVGLDPHLGCYAVTNLMVREEGMDD TTAPHAFLQIRDIVRHVEARESTDVVIESHGLPIPDEITMKSARIVNSKIVTQARHKF VQYHLEIQTTNYGTVYCWKRYSTFRLLCDRLMNEAGYKKKEIPDLPKRHITGNMSEKT IRERVDKLNEFLDAAVRAEHLQWGIRVDDRIAVYKRRVKKPRSAAAYPSSSSASSNRR R H257_16139 MEVQQAQMQQLMDAYCATEDKVSCTPSKKHVVIGMEAEEKLVGA GMVHLRVFQGGVSILGFHAKAGAYLDVFSPRWSNLLSIQGIATTSVFQSPCTSLTEAH WNLTHVDDRLTMADEAEADALAKDIAVRFPIVLVFRSMHVTYGNLASYFDGGPPSATT NDMVVPMPGFKFIRHKNAAHALDKPKTKKAKHAASNADGSSVLYHGESVDFTSITSLE PHPVREILIPPTWSKVAASILDSMATSANRKMLVCGAKGVGKSTFSRYVVNRLLNVYP MVAYLDTDVGQPELAAPGVLSLHYITSPLLGPGYTHLEPAYRSYFFGFSSPKADPTVY MEAISALLNDYAAKDSTIPLVLNTDGWIKSMGYDLLQATLSAATPEHVVQVVALSKAK SFDVPLSPQWTLHPIEMWDIEPPQPARSGKELRQFRLHAYFLGRVPVPSHVSLQNIHC TSEQTRYTRLLSTIYPQQAPYRVPFSKVGLRVSGGSVPPSQILHVLNASVVGLCCHPY EIKPDATNGGPVTVLDNPMVPCVGHGIVRSIDVERREFHILTPVPFEILQHVNLLVRG HISLAFQLLDQSAVYGTTPYAVVDVLAAEGTGASLMESRNNLKRKKEMT H257_16139 MEVQQAQMQQLMDAYCATEDKVSCTPSKKHVVIGMEAEEKLVGA GMVHLRVFQGGVSILGFHAKAGAYLDVFSPRWSNLLSIQGIATTSVFQSPCTSLTEAH WNLTHVDDRLTMADEAEADALAKDIAVRFPIVLVFRSMHVTYGNLASYFDGGPPSATT NDMVVPMPGFKFIRHKNAAHALDKPKTKKAKHAASNADGSSVLYHGESVDFTSITSLE PHPVREILIPPTWSKVAASILDSMATSANRKMLVCGAKGVGKSTFSRYVVNRLLNVYP MVAYLDTDVGQPELAAPGVLSLHYITSPLLGPGYTHLEPAYRSYFFGFSSPKADPTVY MEAISALLNDYAAKDSTIPLVLNTDGWIKSMGYDLLQATLSAATPEHVVQVVALSKAK SFDVPLSPQWTLHPIEMWDIEPPQPARSGKELRQFRLHAYFLGRVPVPSHVSLQNIHC TSEQTRYTRLLSTIYPQQAPYRVPFSKVGLRVSGGSVPPSQILHVLNASVVGLCCHPY EIKPDATNGGPVTVLDNPMVPCVGHGTSSSLSQLISNNTLSRHCSEHRCRAPRIPHID PRAVRDPAACQLARARPHLPRLSVAGSKRCVRDHAVCRRGCLGRGRDRRVVDGES H257_16140 MEKEHVPAFDFTDDDDSIQPLPKRPNPHDGGVLPSPMALIPISV NPDVTKEVECQSPPTQDTYYDDDHDEELSQMRRYYEDDKNGSMRLKLHASTKECMTHY DLTSLDEEDLVNIELGPQDRSIRFGREDFVGCLQSTAITSLGLHCLSAHHCTVEWSEV DDASLYVTDLSSTNGTIVNSTPLVANVPTRVGHGDIIRLAYVKDRPRRSCRIQYEVED PRVSRMDTPFKSPAMALGHNVLGILFAGPLCYRDGPKLRSHDMLNFQKEYDCLKQSLF AAANMTWKDHVNDAGMAMVHAAPPIDVSVSFATMDALRTMVSSKCRVLHYSGHGATNC LYFESDEQQGMADLIPVDTLLQIVRTHVQLHLRLVVVNSCNSEHIANAFVECGVPHVI AIRGDSTVEDCEAVHFTQAFYLNLATGQLSVESCFEQALMTVSWSPHRVASTGVEKFT LLPTHQPHNEVVFPHMVVPRSLHTPFEARFPKIWNVSLPTLCPTFRFRSIEQLRLCGY LTEESHLKYKRWVWVTGPSGVGKTQLAHAVATYLSPRMAFLGGVYHVNVAELCENDAA VVLSPDKLHHPHERIYDKLECMLDEFRACAGRRMRRMHKLTRHIHGAPMLVVLDGCDT VLLGDAQMFTTFVNSQLADNIGLKVLTTSVYFCQTDRVHDHGLYVFKTPPMTRKASAK LLVDMVFPRKLPLQGMTRSPLYAVHMADTMDNVYAVLAAHPVIQSLRGIPRAICRCAN ILLAQDSPLSVDALLHLGEMHTPTN H257_16140 MEKEHVPAFDFTDDDDSIQPLPKRPNPHDGGVLPSPMALIPISV NPDVTKEVECQSPPTQDTYYDDDHDEELSQMRRYYEDDKNGSMRLKLHASTKECMTHY DLTSLDEEDLVNIELGPQDRSIRFGREDFVGCLQSTAITSLGLHCLSAHHCTVEWSEV DDASLYVTDLSSTNGTIVNSTPLVANVPTRVGHGDIIRLAYVKDRPRRSCRIQYEVED PRVSRMDTPFKSPAMALGHNVLGILFAGPLCYRDGPKLRSHDMLNFQKEYDCLKQSLF AAANMTWKDHVNDAGMAMVHAAPPIDVSVSFATMDALRTMVSSKCRVLHYSGHGATNC LYFESDEQQGMADLIPVDTLLQIVRTHVQLHLRLVVVNSCNSEHIANAFVECGVPHVI AIRGDSTVEDCEAVHFTQAFYLNLATGQLSVESCFEQALMTVSWSPHRVASTGVEKFT LLPTHQPHNEVVFPHMVVPRSLHTPFEARFPKIWNVSLPTLCPTFRFRSIEQLRLCGY LTEESHLKYKRWVWVTGPSGVGKTQLAHAVATYLSPRMAFLGGVYHVNVAELCENDAA VVLSPDKLHHPHERIYDKLECMLDEFRACAGRRMRRMHKLTRHIHGAPMLVVLDGCDT VLLGDAQMFTTFVNSQLADNIGLKVLTTSVYFCQTDRVHDHGLYVFKTPPMTRKASAK LLVDMVFPRKLPLQGMTRSPLYAVHMADTMDNVYAVLAAHPVIQSLRGIPRAICRCAN ILLAQDSPLSVDALLHLGEMHTPTN H257_16140 MEKEHVPAFDFTDDDDSIQPLPKRPNPHDGGVLPSPMALIPISV NPDVTKEVECQSPPTQDTYYDDDHDEELSQMRRYYEDDKNGSMRLKLHASTKECMTHY DLTSLDEEDLVNIELGPQDRSIRFGREDFVGCLQSTAITSLGLHCLSAHHCTVEWSEV DDASLYVTDLSSTNGTIVNSTPLVANVPTRVGHGDIIRLAYVKDRPRRSCRIQYEVED PRVSRMDTPFKSPAMALGHNVLGILFAGPLCYRDGPKLRSHDMLNFQKEYDCLKQSLF AAANMTWKDHVNDAGMAMVHAAPPIDVSVSFATMDALRTMVSSKCRVLHYSGHGATNC LYFESDEQQGMADLIPVDTLLQIVRTHVQLHLRLVVVNSCNSEHIANAFVECGVPHVI AIRGDSTVEDCEAVHFTQAFYLNLATGQLSVESCFEQALMTVSWSPHRVASTGVEKFT LLPTHQPHNEVVFPHMVVPRSLHTPFEARFPKIWNVSLPTLCPTFRFRSIEQLRLCGY LTEESHLKYKRWVWVTGPSGVGKKNNIVL H257_16140 MERSRRRVAVRSTLGTSSSSLTQVQVANVPTRVGHGDIIRLAYV KDRPRRSCRIQYEVEDPRVSRMDTPFKSPAMALGHNVLGILFAGPLCYRDGPKLRSHD MLNFQKEYDCLKQSLFAAANMTWKDHVNDAGMAMVHAAPPIDVSVSFATMDALRTMVS SKCRVLHYSGHGATNCLYFESDEQQGMADLIPVDTLLQIVRTHVQLHLRLVVVNSCNS EHIANAFVECGVPHVIAIRGDSTVEDCEAVHFTQAFYLNLATGQLSVESCFEQALMTV SWSPHRVASTGVEKFTLLPTHQPHNEVVFPHMVVPRSLHTPFEARFPKIWNVSLPTLC PTFRFRSIEQLRLCGYLTEESHLKYKRWVWVTGPSGVGKTQLAHAVATYLSPRMAFLG GVYHVNVAELCENDAAVVLSPDKLHHPHERIYDKLECMLDEFRACAGRRMRRMHKLTR HIHGAPMLVVLDGCDTVLLGDAQMFTTFVNSQLADNIGLKVLTTSVYFCQTDRVHDHG LYVFKTPPMTRKASAKLLVDMVFPRKLPLQGMTRSPLYAVHMADTMDNVYAVLAAHPV IQSLRGIPRAICRCANILLAQDSPLSVDALLHLGEMHTPTN H257_16140 MERSRRRVAVRSTLGTSSSSLTQVQVANVPTRVGHGDIIRLAYV KDRPRRSCRIQYEVEDPRVSRMDTPFKSPAMALGHNVLGILFAGPLCYRDGPKLRSHD MLNFQKEYDCLKQSLFAAANMTWKDHVNDAGMAMVHAAPPIDVSVSFATMDALRTMVS SKCRVLHYSGHGATNCLYFESDEQQGMADLIPVDTLLQIVRTHVQLHLRLVVVNSCNS EHIANAFVECGVPHVIAIRGDSTVEDCEAVHFTQAFYLNLATGQLSVESCFEQALMTV SWSPHRVASTGVEKFTLLPTHQPHNEVVFPHMVVPRSLHTPFEARFPKIWNVSLPTLC PTFRFRSIEQLRLCGYLTEESHLKYKRWVWVTGPSGVGKTQLAHAVATYLSPRMAFLG GVYHVNVAELCENDAAVVLSPDKLHHPHERIYDKLECMLDEFRACAGRRMRRMHKLTR HIHGAPMLVVLDGCDTVLLGDAQMFTTFVNSQLADNIGLKVLTTSVYFCQTDRVHDHG LYVFKTPPMTRKASAKLLVDMVFPRKLPLQGMTRSPLYAVHMADTMDNVYAVLAAHPV IQSLRGIPRAICRCANILLAQDSPLSVDALLHLGEMHTPTN H257_16140 MERSRRRVAVRSTLGTSSSSLTQVQVANVPTRVGHGDIIRLAYV KDRPRRSCRIQYEVEDPRVSRMDTPFKSPAMALGHNVLGILFAGPLCYRDGPKLRSHD MLNFQKEYDCLKQSLFAAANMTWKDHVNDAGMAMVHAAPPIDVSVSFATMDALRTMVS SKCRVLHYSGHGATNCLYFESDEQQGMADLIPVDTLLQIVRTHVQLHLRLVVVNSCNS EHIANAFVECGVPHVIAIRGDSTVEDCEAVHFTQAFYLNLATGQLSVESCFEQALMTV SWSPHRVASTGVEKFTLLPTHQPHNEVVFPHMVVPRSLHTPFEARFPKIWNVSLPTLC PTFRFRSIEQLRLCGYLTEESHLKYKRWVWVTGPSGVGKTQLAHAVATYLSPRMAFLG GVYHVNVAELCENDAAVVLSPDKLHHPHERIYDKLECMLDEFRACAGRRMRRMHKLTR HIHGAPMLVVLDGCDTVLLGDAQMFTTFVNSQLADNIGLKVLTTSVYFCQTDRVHDHG LYVFKTPPMTRKASAKLLVDMVFPRKLPLQGMTRSPLYAVHMADTMDNVYAVLAAHPV IQSLRGIPRAICRCANILLAQDSPLSVDALLHLGEMHTPTN H257_16140 MERSRRRVAVRSTLGTSSSSLTQVQVANVPTRVGHGDIIRLAYV KDRPRRSCRIQYEVEDPRVSRMDTPFKSPAMALGHNVLGILFAGPLCYRDGPKLRSHD MLNFQKEYDCLKQSLFAAANMTWKDHVNDAGMAMVHAAPPIDVSVSFATMDALRTMVS SKCRVLHYSGHGATNCLYFESDEQQGMADLIPVDTLLQIVRTHVQLHLRLVVVNSCNS EHIANAFVECGVPHVIAIRGDSTVEDCEAVHFTQAFYLNLATGQLSVESCFEQALMTV SWSPHRVASTGVEKFTLLPTHQPHNEVVFPHMVVPRSLHTPFEARFPKIWNVSLPTLC PTFRFRSIEQLRLCGYLTEESHLKYKRWVWVTGPSGVGKTQLAHAVATYLSPRMAFLG GVYHVNVAELCENDAAVVLSPDKLHHPHERIYDKLECMLDEFRACAGRRMRRMHKLTR HIHGAPMLVVLDGCDTVLLGDAQMFTTFVNSQLADNIGLKVLTTSVYFCQTDRVHDHG LYVFKTPPMTRKASAKLLVDMVFPRKLPLQGMTRSPLYAVHMADTMDNVYAVLAAHPV IQSLRGIPRAICRCANILLAQDSPLSVDALLHLGEMHTPTN H257_16140 MDTPFKSPAMALGHNVLGILFAGPLCYRDGPKLRSHDMLNFQKE YDCLKQSLFAAANMTWKDHVNDAGMAMVHAAPPIDVSVSFATMDALRTMVSSKCRVLH YSGHGATNCLYFESDEQQGMADLIPVDTLLQIVRTHVQLHLRLVVVNSCNSEHIANAF VECGVPHVIAIRGDSTVEDCEAVHFTQAFYLNLATGQLSVESCFEQALMTVSWSPHRV ASTGVEKFTLLPTHQPHNEVVFPHMVVPRSLHTPFEARFPKIWNVSLPTLCPTFRFRS IEQLRLCGYLTEESHLKYKRWVWVTGPSGVGKTQLAHAVATYLSPRMAFLGGVYHVNV AELCENDAAVVLSPDKLHHPHERIYDKLECMLDEFRACAGRRMRRMHKLTRHIHGAPM LVVLDGCDTVLLGDAQMFTTFVNSQLADNIGLKVLTTSVYFCQTDRVHDHGLYVFKTP PMTRKASAKLLVDMVFPRKLPLQGMTRSPLYAVHMADTMDNVYAVLAAHPVIQSLRGI PRAICRCANILLAQDSPLSVDALLHLGEMHTPTN H257_16140 MDTPFKSPAMALGHNVLGILFAGPLCYRDGPKLRSHDMLNFQKE YDCLKQSLFAAANMTWKDHVNDAGMAMVHAAPPIDVSVSFATMDALRTMVSSKCRVLH YSGHGATNCLYFESDEQQGMADLIPVDTLLQIVRTHVQLHLRLVVVNSCNSEHIANAF VECGVPHVIAIRGDSTVEDCEAVHFTQAFYLNLATGQLSVESCFEQALMTVSWSPHRV ASTGVEKFTLLPTHQPHNEVVFPHMVVPRSLHTPFEARFPKIWNVSLPTLCPTFRFRS IEQLRLCGYLTEESHLKYKRWVWVTGPSGVGKTQLAHAVATYLSPRMAFLGGVYHVNV AELCENDAAVVLSPDKLHHPHERIYDKLECMLDEFRACAGRRMRRMHKLTRHIHGAPM LVVLDGCDTVLLGDAQMFTTFVNSQLADNIGLKVLTTSVYFCQTDRVHDHGLYVFKTP PMTRKASAKLLVDMVFPRKLPLQGMTRSPLYAVHMADTMDNVYAVLAAHPVIQSLRGI PRAICRCANILLAQDSPLSVDALLHLGEMHTPTN H257_16140 MDTPFKSPAMALGHNVLGILFAGPLCYRDGPKLRSHDMLNFQKE YDCLKQSLFAAANMTWKDHVNDAGMAMVHAAPPIDVSVSFATMDALRTMVSSKCRVLH YSGHGATNCLYFESDEQQGMADLIPVDTLLQIVRTHVQLHLRLVVVNSCNSEHIANAF VECGVPHVIAIRGDSTVEDCEAVHFTQAFYLNLATGQLSVESCFEQALMTVSWSPHRV ASTGVEKFTLLPTHQPHNEVVFPHMVVPRSLHTPFEARFPKIWNVSLPTLCPTFRFRS IEQLRLCGYLTEESHLKYKRWVWVTGPSGVGKTQLAHAVATYLSPRMAFLGGVYHVNV AELCENDAAVVLSPDKLHHPHERIYDKLECMLDEFRACAGRRMRRMHKLTRHIHGAPM LVVLDGCDTVLLGDAQMFTTFVNSQLADNIGLKVLTTSVYFCQTDRVHDHGLYVFKTP PMTRKASAKLLVDMVFPRKLPLQGMTRSPLYAVHMADTMDNVYAVLAAHPVIQSLRGI PRAICRCANILLAQDSPLSVDALLHLGEMHTPTN H257_16140 MDTPFKSPAMALGHNVLGILFAGPLCYRDGPKLRSHDMLNFQKE YDCLKQSLFAAANMTWKDHVNDAGMAMVHAAPPIDVSVSFATMDALRTMVSSKCRVLH YSGHGATNCLYFESDEQQGMADLIPVDTLLQIVRTHVQLHLRLVVVNSCNSEHIANAF VECGVPHVIAIRGDSTVEDCEAVHFTQAFYLNLATGQLSVESCFEQALMTVSWSPHRV ASTGVEKFTLLPTHQPHNEVVFPHMVVPRSLHTPFEARFPKIWNVSLPTLCPTFRFRS IEQLRLCGYLTEESHLKYKRWVWVTGPSGVGKTQLAHAVATYLSPRMAFLGGVYHVNV AELCENDAAVVLSPDKLHHPHERIYDKLECMLDEFRACAGRRMRRMHKLTRHIHGAPM LVVLDGCDTVLLGDAQMFTTFVNSQLADNIGLKVLTTSVYFCQTDRVHDHGLYVFKTP PMTRKASAKLLVDMVFPRKLPLQGMTRSPLYAVHMADTMDNVYAVLAAHPVIQSLRGI PRAICRCANILLAQDSPLSVDALLHLGEMHTPTN H257_16141 MVVVADVFSAEEAELVAMQFSLANRRNARDLDKLEATVLFKEHA PEFSPHDLKTIFAGIEAKGTFQLNVNEYLEIKANKKIELEAIDEEDLKRHFTVLDYHG NGSLVATEITEALEKTGDSGVDVLKEAIQHATTLSTDGTITFELFRVAIHDMNRKNQA AIAAGMIRIYRLQAKLQEQALKRRASNTHETSIQIEYQVLVAQTQRQQAQLITAQNDL FSKVYGIIVAQYMCQTRVLQAFRTFFDHLHTEDSHLFRHNLEKNGRVVAVHPSFLLTP GPVIEQSPAYADLCNFMIPDMVYDTDNHGPLFTNRAYRKIFLWYCLLGSLTNLQTMGR TNFLRFARDCRVADLSDRPILDADLDACYVVAIREPRVAPPQKRVVDSESFHFHFKPT PPLFEHTTKHHSNTHGGGMTFKQWVHGTTLLLCRCLNLEEWPSTLKTDELFREYILPH ANQLQTQPLGPEVSQPEVMQFIRNHVWPLKQIFSHFAGHSMTSIELHQGLSLRDFIHF ARCFDILPSTILDQPRPHLAFRQLSLQEIVQEYNAAKLDVGFSKSESRESLDLNFHEF LRCIQRLAMAMNRSLSSSAAAAGIDGRMFAYTKHHSLVSSFQHFRSDMQSPGQSQVHL FQHTRQLHADDRQMSKVVALVQSRLKSHSSLLLPAPTGRLQPQATDISLFDHLPPLAM DVAAPPTTSRPTTSIRSETPKCVVPKPTHLPLMILPSQPCSPRYSATRPLSLGGSPRI KIIRPPLTLDVSPHVASPLPTTSRPPPTTAQYNGFAIPPLSSSDRPRTPYEHASGVVV SGVVVSARLQ H257_16142 MPSPPTRPVLTLDLPSETIEFTGDFSVPGYLWTYLTFYAAGATL VASWTCFVLSIDAFAKLYPDGMVGFVFPVVNMSSLLVITMFMLVAGRQLPLHVRMAGG FGSLLLSILVVPLLQLVPNIDTQVRYVGTLIMVIVTSMASALIQSSIYGLGAVFGPSF LQAIDGGKGTGAVLLVFARIATKWTYDHDNPGMLSLCVFFGLACVTIAVSWTLYAMLP HNEFAKPVLDMYVRIQHLTPLALPLPSPMRSPQKQQLFQTFAPTETTPIVDKEHNTDV GSILTVLRLSWKPVSMSFVTFCVCLACYPGLTTAIQSNSIGQTWFPVVLTAAFTVGDL VGKSLPLTIRLLTLETLHYPLVVQAAYIPLFVLAVVYSNAVSDIAAIALVTSLGFVTG YVGTSAMILAPTPCSDVEHEVAGMTGSMATIAGLFAGSYVGLMLQFILRCVTVGNV H257_16143 MTATLSQRLWACTAHESQACLFHAFILGIGTGDLPIESFQNFLL QDAFYLHGFLQSFAHAITKASSPADTITLVSLMQGVNDELKLHASYMQSWGIDLTVVE TTVPTAATRAYVDFLLSTAKTSPTVAEILAAMVPCARLYAFLGQNLQAAIRSFTSSDG HSNRYAKWIDTYASPDFEASAATIEQLLDTTAARDGISEARLLPLYTRAMHLEFNFFE AYFPLHRLSTIIHPMSISTPDNELIVTTAPAGPAFTGSTLAFALAQRQSTSVLMSPKR LTLDSTITPSQRSALEAMCQWSQPSSTSGTVDSAVASAVLRLNVPRVLCIAGSDSGGG AGIQADMKACTAQGVFSTSALTAITVQDTKGVHGIHNVPLDTLSAQINCVLDDIGTTV IKTGMLASADIIRCVVDAVAHRNLPLVVDPVMVSTSGHRLLQSEAHRSLVTDLFPIAL LITPNLPEASVLLDGRVIASVTDMQQAAVDLMALGRSKFVLVKGGHLESDTVVDVLYD GESFDLFSSPRVHTTNTHGTGCTLAAAIAANYAKVADLKLAVRLAIRYVQSILHGSRD IKIGHGDNGPMLHWL H257_16143 MTATLSQRLWACTAHESQACLFHAFILGIGTGDLPIESFQNFLL QDAFYLHGFLQSFAHAITKASSPADTITLVSLMQGVNDELKLHASYMQSWGIDLTVVE TTVPTAATRAYVDFLLSTAKTSPTVAEILAAMVPCARLYAFLGQNLQAAIRSFTSSDG HSNRYAKWIDTYASPDFEASAATIEQLLDTTAARDGISEARLLPLYTRAMHLEFNFFE AYFPLHRLSTIIHPMSISTPDNELIVTTAPAGPAFTGSTLAFALAQRQSTSVLMSPKR LTLDSTITPSQRSALEAMCQWSQPSSTSGTVDSAVASAVLRLNVPRVLCIAGSDSGGG AGIQADMKACTAQGVFSTSALTAITVQDTKGVHGIHNVPLDTLSAQINCVLDDIGTTV IKTGMLASADIIRCVVDAVAHRNLPLVVDPVMVSTSGHRLLQSEAHRSLVTDLFPIAL LITPNLPEASVLLDGRVIASVTDMQQAAVDLMALGRSKFVLVKGGHLESDTVVDVLYD GESFDLFSSPRVHTTNTHGTGCTLAAAIAANYAKVRLYLIFVKRGHF H257_16143 MTATLSQRLWACTAHESQACLFHAFILGIGTGDLPIESFQNFLL QDAFYLHGFLQSFAHAITKASSPADTITLVSLMQGVNDELKLHASYMQSWGIDLTVVE TTVPTAATRAYVDFLLSTAKTSPTVAEILAAMVPCARLYAFLGQNLQAAIRSFTSSDG HSNRYAKWIDTYASPDFEASAATIEQLLDTTAARDGISEARLLPLYTRAMHLEFNFFE AYFPLHRLSTIIHPMSISTPDNELIVTTAPAGPAFTGSTLAFALAQRQSTSVLMSPKR LTLDSTITPSQRSALEAMCQWSQPSSTSGTVDSAVASAVLRLNVPRVLCIAGSDSGGG AGIQADMKACTAQGVFSTSALTAITVQDTKGVHGIHNVPLDTLSAQINCVLDDIGTTV IKTGMLASADIIRCVVDAVAHRNLPLVVDPVMVSTSGHRLLQSEAHRSLVTDLFPIAL LITPNLPEASVLLDGRVIASVTDMQQAAVDLMALGRSKFVLVKGGHLESDTVVDVLYD GESFDLFSSPRVHTTNTHGTKAYAAAYGRWEYIYMG H257_16145 MQPSSFLLASFLVVLSVTSGTSAQACSAQGKNVTATGFVMDNYC IDLGKLMDNPTVKTLEGPEVHSIHCLVDLKPCVDSLYTLLAPPENGSKLYTVKYQLGA AGSALAKNYAENARLLGGKKGFTATVTGVDDGTPELKCVELSKTVEVDGKPLTLSSVS P H257_16146 MQPSSFLLASFLVVLSVATGASAQTCYAQGKNVTATGFVMDNYC IDLGKLMDNPTVKTLEGPEVHSIHCLVDLKPWTTTNATTNARTTTNATTNARTTTNAT TNAGATTNATTNARTTINATTNARTTTNATTNAGAATATARGTATNGTANVTITT H257_16147 MQPSSFLLATFLLLLSMATGASAQTCSAEGKTVTATGFIMDNLC IDSVNLMDNPTVKTLEGPDEHSIHCLVDVKSCVDSLYTLLAPPENGSNLYTVKYQLGV AGSALAKNYAENARLLGGKKGFGATVTGVDDGTNELKCVTLSNTVVVDGKQLTLSSAP SAPSTAAPSTAAPSTSAASYTTAAPTTTAAPNTTAVPEHTESWRLNRCNDDQVAWNER LHALPQHYTR H257_16148 MSLPPATSRAALPFTQRLLGAENFDNSFFEYTSVILAGEDLTEM ATNSYKVLLNDCKCIRLHQAEAVALPEGSPPLPPLTIDAHLQPLDGRGDELIKLEKTA ISRSCALVYASLSPTVQRDAAAEIRDECAHCIISSLRWKFSSDEQ H257_16149 MDIGTLQSDNAKESHASVVLGLDDAPIIKTKYAWMMGASSRPNA VPKGRDVRTEVACGAVVTREEVALPGSPPSRVYIPAT H257_16150 MVRPSKVDKSAKWSDELDAEFVVLYAEAAAKSVYVASGGKQLKS KGWSDLLVPLDYVDYKWLMLKFSGDGLVGVSEDTWGELDKHPRSMPLSRFQERPFLHY DAIAEIVGDAMATGEYIRGMPTAGAEVAASGVLDTSEPDVLSLSATQKRRKLINDSMK QTRIKRDEASAASLAIKQRNSDTLASMCATMQMMTKILAAKTKIQHLLDNDE H257_16151 MSTAIAACTMEVDDTEFVQQPQQMFIRPVHWHMDFLDVQDELPH GSNVLYLEQFRVSKDVVARVSVRSQVQFFQDHNHVTLAYYRQLGERAITKGLVDGGFY GSDPYEPDRVRSSCEAFRPQVSWCVMSVSWEDSSLSLRVVKAAHLTALYTARRSLKEA YLAVTFTLVMLANTDGRPKTAKECCNYRHSKARIVVERAFGVPKIKWKVLSSNLRLQL EYSIDVFALHYTICVLPAIHGTLMTFRTLWCGRTKMTSLICIKTHSKQ H257_16152 MQTSSFLLASFLVVLSVTSGAFAQTCSAEGKTVTATGFVMDNYC IDLGNLMDNPTVKTLEGPEVHSIHCLVDLEPCVDSLYTLLAPPENGSNLYTVKYQLGV AGSALAKNYAENARLLGGEKGFSATVTGVDDGTPELKCVTLSNTVVVDGKPLTLPSVS PDPSTAAPSTTAAPSTTAAPSTTAAPSTTSASSTTAAPSTTAALSTTAAPNTTAVSGT PTTTTTPTTSTAASNTTTSPAASSGDPLALPSVAAAVGIVALSLTL H257_16153 MLPTIRLVFVLFAMGLLAAVAQSQVNTTLCGPRVRRAYSKLSMA ERDTLKLAFELAMQLGHHHRFVAVHQHPPNEYEAHSCMLVYWHRRFLWGYENMLRSLG DDFQCITIPFWDYTAASSNYLDPNVPCASMAECNPVLPDYGASSSINVDSNSSTFILG GSTTAAGETVNADYCVRDPDQPATRSFCQSEDAFRTNTCLGCIPRGDWTAAQVTTDMT LVSISNQVFAPTMSVFTQNIMYKVHPKVHANLNGAMSTMASPADPVFFFHHAMMDALW SIYYKCQAQGAMLSDSRVFPPSDWNLFNRRVCGPAVAIAKSSSPRFTISKNNMSMLYV NGTTGAATFDVGDPRSPLAPFFASTPTTYTSYGRYPASAGISYDFAGTGLDILFNECS SWLTSATVSSSTAASFLDARYDGGRRNNKPKTRGQSKAVATQIDAMQRMYAHARKYVK SDRKAREYVEMMICVHRNECLGGVFDYAATFKRSFKLHLSPYCYDVLEDIATGERTIP VPGWARLLMDTYGCTHIQQDTPYQDRSRRY H257_16154 MEHVLDGAGGSVREGYVVALTPRPSLMSMHDHDTDKDGMHVETT EASPSKCRQWCSNPSVLILMGCVLGIVLGCLLGKYGASKELLTWVSLPGELFLRALTC VVVPLVFVNIFLSVVDMLQAGHAAKSGLYTLGYFGLTTVLSVLMSIVSVTVFKKWFSH TALSQANVVGSAGMLLVPCGNSTNAASVRWFPNGTLVCAAASDASSPLVLPSQSPTAP PTLSKTIQDQIFRALIPDNIVQQFVTGNYLGIMAFAILLAVSMDKVTPRPVGIVQLCG ELNAMLLVCIRFIIDLTPLAVLSLVAGGLGTTTDFMAAVADVGIFLVSFLVAVMLHYW VTLMGFLYLALRTSPLQAMKACWPAQVFAFCSASSAATLPVTLQCGEQAHVPSSVGSF ILTMGATLNMNGTSIYFPCAVVYLAVSTGDEAKFTVVSYILLALLSTMSAAAAAPVPS AALVLVLPMFNAVCGTTNAPTPANFSYLLAMDFLLDRFRTWLNVSGDLVVAQCVAAME KQAMPPRRVSSSTDPEEGDSSLSSP H257_16155 MATDYARVPMQPRRGREREMVSCVALSDETPTEHTKLLSSSVSH STQSRALLMAQLGQVVGWYELRPLLGLALPLVLSSTLDHVSAVVPVMMMGHLSANTSK EYISAIAMGMTFLLLTAWTVVWGTGSAMDTLCSQSFGAGQATDLGLVFQAGWLAGNLL LAPTMVLGIFCKDILLLFGQTDDVATLASHLVLIMLPILPVALFYDLLRRVLQSQNIV TPLMGVSCVSVVATIAINYVLMFHTPLGYLGRAVAVVIMALLAPLLLWPYLVQSNVYR QEWKGWDLHAAWTLVPEVLHLGISGAAMQGFELWGISIASIVAAFGRVGMLPNAEVAI SADVCMHGFRGFFHMLYGPVAVAGSVRVGNALGANDPQRARTAAWQCIGMCGLLGMVA AICMVSFRHSFPYAYTPDDNIVTLTAQLLLVCAPFQTAVAIYVGIMGVFRGSGQQTRG AIVNGVANLLIGLPLGLMLANMVADGIVGLWLGISVAFLICAVYGIVWLMQVDWEGLA NDAHVRTQDTHHAGQDDSVVALP H257_16155 MATDYARVPMQPRRGREREMVSCVALSDETPTEHTKLLSSSVSH STQSRALLMAQLGQVVGWYELRPLLGLALPLVLSSTLDHVSAVVPVMMMGHLSANTSK EYISAIAMGMTFLLLTAWTVVWGTGSAMDTLCSQSFGAGQATDLGLVFQAGWLAGNLL LAPTMVLGIFCKDILLLFGQTDDVATLASHLVLIMLPILPVALFYDLLRRVLQSQNIV TPLMGVSCVSVVATIAINYVLMFHTPLGYLGRAVAVVIMALLAPLLLWPYLVQSNVYR QEWKGWDLHAAWTLVPEVLHLGISGAAMQGFELWGISIASIVAGMLPNAEVAISADVC MHGFRGFFHMLYGPVAVAGSVRVGNALGANDPQRARTAAWQCIGMCGLLGMVAAICMV SFRHSFPYAYTPDDNIVTLTAQLLLVCAPFQTAVAIYVGIMGVFRGSGQQTRGAIVNG VANLLIGLPLGLMLANMVADGIVGLWLGISVAFLICAVYGIVWLMQVDWEGLANDAHV RTQDTHHAGQDDSVVALP H257_16155 MATDYARVPMQPRRGREREMVSCVALSDETPTEHTKLLSSSVSH STQSRALLMAQLGQVVGWYELRPLLGLALPLVLSSTLDHVSAVVPVMMMGHLSANTSK EYISAIAMGMTFLLLTAWTVVWGTGSAMDTLCSQSFGAGQATDLGLVFQAGWLAGNLL LAPTMVLGIFCKDILLLFGQTDDVATLASHLVLIMLPILPVALFYDLLRRVLQSQNIV TPLMGVSCVSVVATIAINYVLMFHTPLGYLGRAVAVVIMALLAPLLLWPYLVQSNVYR QEWKGWDLHAAWTLVPEVLHLGISGAAMQGFELWGISIASIVAGMLPNAEVAISADVC MHGFRGFFHMLYGPVAVAGSVRVGNALGANDPQRARTAAWQCIGMCGLLGMVAAICMV SFRHSFPYAYTPDDNIVTLTAQLLLVCAPFQTAVAIYVGIMGVFRGSGQQTRGMFHLS INIIYFVFSANNLDFCAGLPNPSIEFF H257_16155 MATDYARVPMQPRRGREREMVSCVALSDETPTEHTKLLSSSVSH STQSRALLMAQLGQVVGWYELRPLLGLALPLVLSSTLDHVSAVVPVMMMGHLSANTSK EYISAIAMGMTFLLLTAWTVVWGTGSAMDTLCSQSFGAGQATDLGLVFQAGWLAGNLL LAPTMVLGIFCKDILLLFGQTDDVATLASHLVLIMLPILPVALFYDLLRRVLQSQNIV TPLMGVSCVSVVATIAINYVLMFHTPLGYLGRAVAVVIMALLAPLLLWPYLVQSNVYR QEWKGWDLHAAWTLVPEVLHLGISGAAMQGFELWGISIASIVAGMLPNAEVAISADVC MHGFRGFFHMLYGPVAVAGSVRVGNALGYCMC H257_16156 MGKESKTWDYYACLLFIVWPIRSIVYFTPVAFGYLLANRLGLVG PELPIDISLLWMVYCASETIFTLNYLCTKQRLAKPREDPPQLLAELASRRHAPVSVLE FFESMLRHTPDVKTFVEEWFYNTPFECLTRPDLRVLLAYIFYSKEWTKLPSLDRRDVN QMVDRLYDLTNVREPPSQTSSKPTHCIRHTLDPFESTARPWLVYAVTIGMDAIMGVFL RLAGFQRHPLTRGLRYWHRDAMTSPVAEPLVFVHGIGAGLILYLPLLWSLVTTHQNRP ILLVETPYVSMQLVEDVPSKKDTLVGLQAMLANHDIQRAHWMGHSLGTAICSWVCQEL PHTVSHATFIDPIVFFLWKRDVAYNFLYRPPTTGIQVLLWYFASTEVHIVHVMRRHFW WYSIVCFPEHLPRHPVTNHVAASVFLSSHDVIINALDVHEHLSTRDQVSSKMEVVWWD GFTHGEMLLHSHALTSVTSNVKCRDVTNPIKHVAKWNWADYIVNRLDLIRQQDVACTW W H257_16157 MMGTVLAKPSALPWIVYCASETLFTLWYVHTKQRLARFPPQIHH DQSFRRHAPPSVPLFFDNLLRHTPNVQTFVEEWFYHTPFDQLTRPDLHTWLAYLLYSN EWRHLSPLDRRDVNQMVDRLYDLTNVREPPSQSSSKPTHCIRHTLDPFESTARPWLVY AVTIGMDAIMGVFLRLAGFQRHPLTRGLRYWHRDAMTSPVAEPLVFVHGIGAGLILYL PLLWSLVTTHQNRPILLVETPYVSMQLVEDVPSKKDTLVGLQAMLANHDIQRAHWMGH SLGTAICSWVCQELPHTVSHATFIDPIVFFLWKRDVAYNFLYRPPTTGIQVLLWYFAS TEVHIVHVMRRHFWWYSIVCFPEHLPRHPVTNHVAASVFLSSHDVIINALDVHEHLST RDQVSSKMEVVWWDGFTHGEMLLHSHALTSVTSNVKCRDVTNPIKHVAKWNWADYIVN RLDLASAYHNR H257_16158 MTTSLSIESSFEMDESSNQDVVVAGVTSPIKKSFVSKIPRRSVK LNLSNAAPASASTTNNQHESTTPPKIVVDVKLDKNQQFIKDLEDKKRKAREDDQAKAD KQRRLRLKLRKSILQRAASIRPTDVGGGADDVESSQPQDEAAEAPEEAVTDDERRAKA GAQKKLLQKQQALLEGLKAKREKDAEDAEMERQKALKKKELTRKASLGTTEVPSRMQE ALEKFNQAAAAAGSAVSAVRVKKEEKDDASSLTPEEREKRALQQEAIKKKQVEYLQKL ADERKQRQVDDDEKNELVRQKRAKVREEALLKHEAAKAEKLAAAAADESTSSQVVEVE EAKPKVNVEAMVSRLSKLKTSEAQLVPEAKDFTSWKKRNGVALDTKVFCLTGWYPVIK ETLEKRGWHHNPDRNSPYFDLKWALKSDDLKSVKLNDDQLVNHFLQNTAITTKSGLLH NLRNVTWFLSEDIDSFFPRAYDLNEPTDMQSYIQDFRYIHAEGMLKRLGDKCRNANAM PHVNAGVLDVLLSVCRKRQEILPDDILDNPLACGIEPVVTDLQWEVLQRSPLDAPGSI RASFGFTKPSTATSWTTETSDQPPPHMTPSEVKEEAKAAKRLERLMEAAFVQEKTRLN GLLSQVVPLSTDTATKVLELLAGLEELCPQFHLNGGVDSKNVWIVKPAGMSRGRGIRV FNQLHELLEYADVENHKECQWIVQKYIENPLLVCNRKFDIRQWVFVTSWNPLTVWFYL DCYLRFSSEEYQVDDLSDQYVHLTNNSIQKNGENFYRAYTTEDGSMTVEGNMWHSDEL TEHLTRKYGVDPDTNQSLFTSKIQPRMKEIVKWSLSCVQDTVQHRKNSCELYGYDFML DDKLKPWLIEVNSSPACDYSTAVTKRYIQTGLEDILKVMLDVREYDAAKRKNNQTKMP KPDTGRWENIHKAEYIAKPMSSFGGMDFEIKGKKLSKKSQRAINQACAAPATPTRRHG ADVNAPLSVLDEGTPQDEDDERDGGNTMIGASSEGGMLRSTSDNTVVCQHHRVVGNDD AKNNDKDDEKLDGGDGSGSRQSPSVGLPPDLDELL H257_16159 MVTSRAAMASAQEEAPPLLPTPLEVRALQQPEKVVMRKNSLGRD KHEVLTDARVLILYTGGTFGMAPDAVGTLHPKSGFLEQKVKEMDEFKFGGMPKVTIIE WEDPIDSSDMSPADWGRIAQTIEEHYWDYDGFVVLQGTDTMAYTASALSFMLESLGKL VVISGAMIPLHFPHSDARRNLIMSVLCAASLEIPEVCIYSNDKLLRGNRTTKTFNMAV DAFHSPNHPVLALTGVETILEHGLILPYPRRRFSAFTKLHTNICVFHLVPGFDDDCIT AYIEKHKRDQPGASAKAMVFALYGTGNAPLKKDGFLQVIQHALDANIAVCVTTQCLHG RTQLDTYATGIKLLQMGVITSYDMTIESCVTKLAYLMGKGYRGPDLKLNMETDLRGEL SVLDVTGETDLTRSSSLTQKKVVKRMLSH H257_16159 MVTSRAAMASAQEEAPPLLPTPLEVRALQQPEKVVMRKNSLGRD KHEVLTDARVLILYTGGTFGMAPDAVGTLHPKSGFLEQKVKEMDEFKFGGMPKVTIIE WEDPIDSSDMSPADWGRIAQTIEEHYWDYDGFVVLQGTDTMAYTASALSFMLESLGKL VVISGAMIPLHFPHSDARRNLIMSVLCAASLEIPEVCIYSNDKLLRGNRTTKTFNMAV DAFHSPNHPVLALTGVETILEHGLILPYPRRRFSAFTKLHTNICVFHLVPGFDDDCIT AYIEKHKRDQPGASAKAMVFALYGTGNAPLKKDGFLQVIQHALDANIAVCVTTQCLHG RTQLDTYATGIKLLQMGVITSYDMTIESCVTKLAYLMGKGYRGPDLKLNMETDLRGEL SVLDVTGETDLTRSSSLTQKKVVKRMLSH H257_16159 MSLLRPSLTSVVMRRFVVLQGTDTMAYTASALSFMLESLGKLVV ISGAMIPLHFPHSDARRNLIMSVLCAASLEIPEVCIYSNDKLLRGNRTTKTFNMAVDA FHSPNHPVLALTGVETILEHGLILPYPRRRFSAFTKLHTNICVFHLVPGFDDDCITAY IEKHKRDQPGASAKAMVFALYGTGNAPLKKDGFLQVIQHALDANIAVCVTTQCLHGRT QLDTYATGIKLLQMGVITSYDMTIESCVTKLAYLMGKGYRGPDLKLNMETDLRGELSV LDVTGETDLTRSSSLTQKKVVKRMLSH H257_16160 MAEVAEGAAAAGLFAGMEFAISVRNEEGKHARDLIEANGGTVVR TLSHLPHQTVLMERVRLLKRAPNYLAIDYIAECIAAGQLLDRERFMLTADWTDTPPHQ RRLTPHGGGRRQYTNIERGAMLAFARNRKRDINQKLPRAFWELAARNQVTSHSAESMH EHYRKQLIHKTAAEKDALVQIYYTNLLASHRPSPPRAAAQPPSANLPPSPSSPPPRPS PSLDTLLPNLFTTASSIPQPPDVIDVSSSPSQSPRPMPLEAPPLNVVAESPDTASHTP RARSFTPEQSSTGATRRTSPPCTSSSSTTLQNVTPIDQLASSATPGVNTAFTPVARGI ASDEQVDRMTELLATTTGMPRHVVTHALYSCSGNPRVAFKYLRGQMPLDCWTEDEDEW ILSQFGVLPKSWSPDLVKTTIRQVIHTHGGTARPHTVQMVWQRLQFLTHE H257_16161 MLAINPGFRPKVSLTQADQLRVVCKRSMQELLHMAAACNPPDNR RALHYTTMPPKSTNEDVMTLCVSATLHASLDDIQDIMLSRISRTKDSHEFAKATETHV RGSQLLMKINDNLTLNWAMIESMSAVMRDRDFVYVQSRELRTVNDRPTWISCTHSVTL DGAPPLDTSVYDIVRGGIYASGYVFTELPDRGGVHATYVLQVAFKGRSRPFMAHSTLK NWGNALGRMQSFFESRQLGRISDFILSDLQVKNYRLGMECHVCGADSFGFLGGRTPDN CRMCGEIVCARCVEKKAVPLKHGPVMLSLCRTCLGTPLAPECFSPTLRPVSTMMAATA SPSLSRSMDGFQDVRPGRERLQGPTETILLPGHLKRHESVTRLSQRRRRSMISMVDLP PPHITKDIPDDLDVLNKLF H257_16162 MSYAARNSTAPNPRPLLEPSGNHSGDLLQGPNESHGSWNYLPRL CGCRRPPRRLTMPTWQPTRPLVAIGLRLVLSAFVLGPASLHVYLDRWLRRAPRRCRRR CRQRRPSSGANRNPLDYNKVIRGFTAAAKQHTKAAFVPTPADAIEAILFELTDRVDIL AKINLARPYTPKVAMARFTVDTGDALANQSHEAIMSSLFASTQTDTVKSLLSEFVQVT RLGRGGIMVSVTSSNALKALGGQYLSIMGKKYIIPVHEEQPLDSLCFMDNTGIRDNFD ATQFYRKLTQLGVDVVYHSVCAVLPGTGCHTNTWRVYFTDAAIPAQLQINGEPINQIK YQRFYYRVYFKGTKGTAFRSVNGGSTHCVDIEAKR H257_16163 MTELDVAYKYMVVNTHWQETPVYFHCVYAPVQPTERVAFYDSLP RDFPEDSIHVVMGDLNLPFDLYLDVDKPHHVHTVGRINCLEWLAALRVTDAWRMHHDE DRTYSGPHKTTRLDYILADTHLVRDCYVSSDYKRPDAHVAGDHAIHTLVREAIATEAS RLLVVLRDAQNPGVVWHAWKKRTRRFLQEAHRHVKPHFLREKTASAVRLAEARRLHSE GLSNLEDIEEEEKLFLESEDLWKLYFSDLNFDVHASKNERSTTHFFRPPTKVLYKTPV RSVRQRDGTLLSAPDIIQKEFVAHWTGVMREDDPTPPNRATRRRFLRVLRRKLTVEDK NALEENVTGTDLQTSIETMAPHRTPGPDGFSACFYQVAPAVFGPKDLKEIRGRKLRQC FRRRAMRSIPLPTPRPLPWTYVYP H257_16164 MRKANIVALQETKLKDSHHLSTFTYHIQHALGHGKCFIAVNDPR ANPDYVPALNEDIAHRSGGVALVFDDTVPRHMTELDVAYKYMVVNTHWQETPVYFHCV YAPVQPTERVAFYDSLPRDFPEDSIHVVMGDLNLPFDLYLDADKPHHVHTVGRINCLE WLAALRVTDAWRMHHDEDQTATSQATTNGRTHT H257_16166 MQTSLVGSSVGGGGASASGDPYYVFKEELETKVSSIHHTHKRWK LLWNDVGGSPTNKFPKTTEDLKKEVASAERSLGFLEQSIRAIESDRTKYAHIDRVELS GRKAFVSSTRHELMSISAEVSSDAVKTRVLRDERRLLRTSSSSQQSAASSPTTTTTTT IDRNAILVDEKARQQQIVQDQDENLDQLHTTVSRLGHVAVDINAEIKTQNRMLEDMEM DVDDTQERMNFVMTRMSRLLKTKDTCQLGGILMLTGVLIVLVFLVIYT H257_16166 MQTSLVGSSVGGGGASASGDPYYVFKEELETKVSSIHHTHKRWK LLWNDVGGSPTNKFPKTTEDLKKEVASAERSLGFLEQSIRAIESDRTKYAHIDRVELS GRKAFVSSTRHELMSISAEVSSDAVKTRVLRDERRLLRTSSSSQQSAASSPTTTTTTT IDRNAILVDEKARQQQIVQDQDENLDQLHTTVSRLGHVAVDINAEIKTQNRCLTTTNT TTNITAC H257_16167 MRCMWHRLKYVPSVFCARKCGHFPVEYRHNKPAAASSAEPTMSS SLTYRLGKRGILAAMACMDMGGTTEDEDGDTSVRGASMTVGVDLWARNRRWWYSVARR RCIVSIMRDRSCFSWVNFSRRFMMFTRICSMEMAR H257_16168 MDGGFQGDGHFVGDGGATLQALWEAWTWKMIPNCPGRYVTKKNK VLAAMPLEDLVGLLNNNVDGTSGGTQDIRVIHTTSDTIVDAVHVAVFPDGGGVITYCK PSGAFVHTLNTQSGLERKLAGLRLSSCLHD H257_16169 MTTAAVLATNLAPFNPTGDGAIQVAMDMLRPQKSDVVYDIGCGD ARFLVTAAVSTGCTCIGIEYDADLVRRAHLSVEQHHVVDLVSIRHEDATDADFTDATL IFLYLVPRGIQALLPRLIEARARGVKICTNIFSIPSWTPTRTGEFKGTKIYLYDP H257_16169 MTTAAVLATNLAPFNPTGDGAIQVAMDMLRPQKSDVVYDIGCGD ARFLVTAAVSTGCTCIGIEYDADLVRRAHLSVEQHHVVDLVSIRHEDATDADFTDATL IFLYLVPRGIQALLPRSVQAHHEALRLHLS H257_16170 MDTPFTYWINGGMKSRDRSAREELELLQGAAFNAQNRNVEEASF RRVANHHQQAKYTAPEGFPDVPRQYVKPSHSTERDFATYAANSYTSAIAITELLGKRE I H257_16171 MDDSTSSSMEMAQQKQPRMMQLQPATTLFDYPARARTSSSKGMP PLSSTIGHTFEDDEQDILRQLLGMESMTPSASMQGFHLHRNVATATHQHASTSRPYLD FYEASRGLGAVPRWSDEEEKYPVEAAPVGLTKAVAAAASTLLNPVKKPHECAICHKRF RAKSELITHERIHTGHKPFKCMYEGCTKRFAHSSNLGAHHKAHQGIKPYVCMHEGCGK RYAHSGSLKEHVWKHYGVKPFKCSHSDCDRTFTQRSNYSRHMKKCHGLDNKDGGGGGT RMAASYAGSPSEIKNELSYM H257_16172 MTSSSADQGPVRRFLDGDDTGPKDMHLQSTGVVTLHVVDVPADT DDDDHSKQSAKLPHGDKPPPLMWCDGIRGVASQIVVTFHLLYLFNFLEQYADTTWYHT LRAGHAAVEMFLILSGFVLTVRFFARLQVIERAVANGDATTVRLVYVDAYLSMASTGV RRIPRLMGPVVIGAVLHIFISLYRGHAIAPLALVEDVLKTLFVIFPPFNLTLWTLRVE LEGSLFTMAVCVMLSKLRYRHRVVVCLVALPIFHNRFGDLPHYFGCFMLGILLSDIIT KQQHQLAHGPLPSSVSRQEATVFPRTPPNTVSSSLESLGLSFCYMIYHAWKSVHSTWS AVCRRLPSGAEHIVTNASYSLLFLFGSWLFIYRPEYAANYGSVDTLIRLIFEKEHAQM LHRLGSVLILYTVCWSAWLQRVFQCRLCRYLGRISFGVYVVHWPITLLMGDYVKPWAQ GQGWEEETGKRLAAAASYVASHAVAHVTTVYMDEPYVKWLRAHERRLEMNISPKPLPR HG H257_16173 MSALDTATGGRYREPRLVVVGHADDNSAFGIQAVFSVVSFDLLH QFLAAYVSRLVLGAVLTAVTILLCLVETVYALRYANAIMSRLNVTKALLREAGGVEYT THVYVGSPGSRCSVLWRYLLHTRLLQDPRLGF H257_16174 MYAVDVTFQQTNAPAGSFAEKKLYFSKKHGHYGFKVDVSVLPSG HAINVTSAAPRSIADIAICESNIDFHVEKLEKTSHDESMLDADPLVTEYPTAWALLAD KGYQGLHRRVRAITPAKKPAGGMLSHAELVRNDKIASDRVIVENFFGRLKTLWSIASD NID H257_16175 MIGFGAETTPESTATPSIPKFSSLLTSLKHCGSWDIVAAVFKEK SATFSKGVTGFLQCVHPFMKHKYIDNVASKQTMELLRSSL H257_16176 MGPGEMVSDDMEVEILDLTFPVAEMGDFGLILFSAGRDAASTVP DSPHMVRQHAISPSSIVPVGVPNGTSNGATGANLGSWTTLSHHPTIPSQSGTTTVSAA TAPAPMLRDRSASPPSTGPTRVASTIMDVADPDLGSPVTANDDSGRLSLSTANTVSPG DARSDVSSQATLPARVGDAGSSENVNPRSLPRARGSAASATTATTPPSTDPWAAFAAK RAEATKTARTKDVGVYRPSMADLAPLLAKHAAGTLAFHDTMPIQKHDKREVVGWLHMD TGNHTKAINEDAAMASLLHDNQSLVKGDTLVDVIKCEKDTQNRMLRWGIASDTALRQL QGTTLKLRVTTTSGKIKTTTMMSFQMSLPHALDGFYMDIPAGLQGLFEERLLFETLHH LEPRFLWGLYTSVSATTGLAGSRYRIHFLGSEIPSTMLLDGRMVEEFVFRGRCLRVYG RGWFFRDKRLARLDLDSIAAGTTHVTPTTPPTSTTTATQATPAKRQKTTTKDPNAWTD VRRKPIQSPNAFAALHERWNVGHAVHRANHDGVSFESIIPELHQPDNDLAHPTADEYV TCPKPTKGTVSHVEVPLDDLLAELQLLEAQSNAVRGSEFDSGRVDSICIMMARHPVDF GVQLHRLFTADRPTFELLIRQRLLHRWLRATWGGSASFDKLYTKSFGHKMTRESVTEA GDELTLSRLDLELVLALAEVLAAAHSPLYFASDAAVMVSTGCTIEIIPAHRGLRSLSA PTMLAVLMSTHLGEELWRIMETMFDGDDDMNRVMAHLYDIHESGFVSLPHIGITRWDQ ELGRFVVPTDEVDDTATPVDDSTMTQVVNRQY H257_16177 MRNAQQLDTFHHHLNNEVGAGNYTLFTNDPRATTADPVHRRHCG VASFFHKSLPGYSTLVHVSNHDIPGRYLVVRALWSDLPVYIHNVYAPVEPLLRGDFFA SLPRDFEPNSLHVVGGDFNMPLLSSLDATTLHSSQGSGRTDCVEWLTALGVVDVWRQL NPSTRLFSGPGRVNRLDYLFLDSAMASHLNPVATYDPNGYGGDHLAHTVTLSQSLCTT TKGYWRLPRELLSDPNIQRAITMEATTLLGKMRADETLNHAHQMPSATHRIG H257_16178 MVDKAVRLNSEHQLQLPSPASVGLTKQRIQTVDLSATDICTVYD EEKVYEEPRDHEYAYQRDNEIVAQELPSSLNGVLESRIRLPYHPKLFTTGSAPLFDVF ASLPRLPS H257_16179 MSSKKESTGTSSSSPVKPVLMALDQHTSSMELPASNLRVVYLNQ PQKNVGFAGNQVVTAKYNVWTFVPAFCYERFTQVSNFYFLLVGIGQVIPAITSTFGLP YSWLVLGLVLFVDAIFTGVEDFHRHAADAVMNARETRVFDPTLPTSFRQTTWKDIVVG DVLQIKKYEAVPADMLLLAVHETDPSAPVGMCFIETKSLDGETNLKIRQALPCTFAQL HDPASIGHLPGRVACEHPNHDVNNFMGRFEPGDDASVIPLDLKNVVLRGCVIRNTPFV YGLVLNTGSDTKIMQASHKTPTKLSKAIEIINRGNALLMMIMLLLCVLGAVWDQAWVT SSSPSYLVLDEPSVRLGLNAFRGDVMGICIAFGYYWVLISSFVPITLYVSIAIVKSYQ SYFMNRDLGMYYAPSDTPAAVRNADLNDELGQITHIFSDKTGTLTANEMSFRKMSING RSYGRGSTDIGRATAMRTGRMESVTDCQASTGDAAHPPHVEFLDPHGLFARDRATRDG HADAIEAFLTHLSVCHSVVLERDDATNTTNFSASSPDELALVAGAAYFGHQFTERSNG RAVVHVLGKGDVEFQMLELIEFTSTRKRMSVVVRALDNRILLLTKGADSIVFPRLKED CNAYLKEKTVEHMEMYAEEGLRTLVLAQRELNQDWYHTWSTQYKQALSNLDETSPSYK LDLLVVERLEDEMECDLELLGATAVEDRLQNGVPVAISSLMRAGIKVWVLTGDKEETA INIAFACQLITNDMDRLVLNMEFCQSNPEVLKKLMLDKAHRTRTSTIKQRSSKSLELP KQALVIDGPVLTMVYHYPLLKFLFLELAQQCAAVICCRVSPKQKAEVVALVKNNVKNC RTLSIGDGANDVSMIQEAHVGVGISGHEGMQAVNASDFAIAQFAFLQRLLLVHGHWNY RRMSKLVLYVVYKNILCWFALYVLSLYACGSGTVYMNYNWLNGYNVFWTFLPIMILAI MEQETSAPTAQDHPGLYHSGPQGDLLSVQIFTEWVFEALYEGVVCALVPVLLMGSISS SGYAYTMYDCGGLCYTALIVVGWVKLVLNAMSWNAGMHFAMWATVPFWIASGVVLSNS FTSDSSDHVFPYLLSLPEFWMLLFLCVVLALFRDFVYKVWKREWAPEYYHILQESDRY KLKGDIEWDPPLHASNYKPFHVDFSHYLTSELHALPDAPWLELQQPKHRGFAFSIQPS ENRHFNPMRSVVLAPLKRAVVRVLSPRSASQEETAFWTARKKDGFVYEYQRYQVFVGW SAPFGLTDPCPFGTRDMREGGFQNHGHHLSLDDWAVDTTLGEASTKHWEYATKFRDFK KVDVSTTRWKKPSGMQRKINKVVGRCVRRRRWVFKGIIHRLVDDVVEGQSTDAHQDAI EQVAKELHREQLDEEAAMGVPGIPRVAHDVVDDEDDDAPHDGDVTLSSTLE H257_16180 MLGCCRCLERGLRCFVACAAFVNACLSWVSACFLYPYTCLHNWR QDRSLQLKTHHEWYFLLWRCTFPLLTLLLVVGVSALGRLGGVVGYALSAGPVWFYCML IVLATPFLLMFRFPNFHPGMVTTFWKVLFLCSAFISVIEVFVWTGGKSQYAHHHHHHG SSMMGQRKLSWLLGNLLFGIVGFIGVPFFFSLQPRVRIDSSEVQDDAAAALVSLPTSP SGHVASTSLDSPKSDDKPDVGFNPHAGMAGKMARPSAAALGLVLLITVCAYFPIKKPS APARANAVDVYWGLAYLLSPMFLVWLVVHTRETIHGGEFNLVVLFTVVLTHVPLFVGH LSLALFSVVDHHPTPVAKLAISCLFLGLMQLYFYVVTKVIQGFAPPYTHPSLLYVGQL YFYVFWYLLVGSDGPIDVVYFAMLALSNLHVVMANTGIYTDTVHTLSVSCWQHPTTQF ASLCAGSSVQVCFRAIQPPRLATTPMASSPSLFSTEGDVSNDSVVHRRSLAYENVIDP QHGHLSVLSRGDASMELQQLYFLMKLAEQDHMADTSALILVPSLLTWLAWMEAKETPM TASLLNMWLRCVLMFGARVAGSFLSREIFAYKMQKRHPTLNSANAVDRLRVQRIMLGD FRRQFWYLAAATTVVVFGCFDKAGWPSRYAFYTTP H257_16181 MEKPSQAAGTALQPSPSKPGTTTCMKVLTLMQHMAPMLVFNAVM PLAIYKLAKPHTTKIVAVFLSGLIPMFKTLVTFFWFRKQDAISMIQLFGVLVSVIIDH HGSEEAARIQRRVGSDRGHVYDRVAVVARRRHVLPDPTHAVGQDHPRAGRALPKAGCP VHIQIHHPRHGVCSIVSSIVHVVLVLSLTVDTIMYMSNPMPLVNSPIMYWLYRYVNDK EAASGEEIVQAEAAPVDVVIVK H257_16182 MVRRGCHWWPMLLSAIVVTIVVVIATYFTKTGLFATDTTTANGP NAASNNTTKPTSVNGTVVCNTRGMYLDNNKCRVCPQPNKTFSVFWQSHTGGCLDFIKT KAFQYITHVYWGFALINNQTGAVSQTFQGNDVTLLECANAMKQKCVQQYASIGGATQR ENFVALDTPDKIALFGQTAAKLVTKFGFDGIDIDDESGNLMTGGDWKANAGSHVESYL LAIRKSLDAVERKPNEPAYGLTWDEFPTSLDVSCNNPKGDYQRCYHPAIGQVVDQVNL MMYNVELGSEYDVLLKSTIPSVWAAAVPPTKIVLGGCVGKPGDEGACAFGASPTIPQL QQYASDGYTKYGGTMLWTGSADYKLNRGTTIETMGKPSGYGAQAALGQ H257_16183 MSFRPESAPFDASHGQRRHLVAQTGSRPGWSPVIRQALAHEPYL TSLYDMKSLVRHRRDGASSRVTATKRCVQDFAEQEPNRMATTSITSAKLPLEEPPPNT TSEVLQQISLPLDIRPRDNATSGGIKLLRPKSSHPSPSRRQSDSPLVKHPMRLVAAKL MASLHETSNQTQSNQTNQNPRQGVASHAYFQDIYWQVAAQLEQQPSTAGLAQVLKHIW HEYVDPLHDKVEHSNNRLGCSFSNPAPTLNARKLALASQVGRVTHTTRVTRRKPSHMP RHEMSSEKSGLTAQEDQRNRNNAVAASHSTQPAFTESDTSTLRRRRSQTALTFDHVDQ VHEIQKILSAKVKALRLELISMQRLRESIFATVVNADAARQKNIDTRMRLRISAQLEQ TLQKRYSVVSDTMTLAAVHIQRMARGKLAGKRIRLARIVALMKMSKEMFRHKLQKREW NEFDNAPDTTPIEQLEDEMKTIVWEVTRLVRDLSLDWWKLSLSEERARLTWEVNDAKD DLRLLQQQTSVLVQNCTLCMNLVSDPVVPKTHEARLGVHQYYSPSPRVPDTTKEMLES VSTTNSKLEDFIFTFAKSKQQRTAIATQTDPTLQKQPTYLQALAKLAQVQNNELLPTT PLELPEDNPATDTTPSLPPQSYSVEPTVDISSTAISPIEAIAATLPTATESTKIAKRT LHRSPKSKTPATTAVPGLKDMPRLLAAEFKLIGKSQAYKGKVMGVAQLKLLLHEVYGA RMADELRAPVGEFLYRFFALKYGLRNVAEMYLVNFVTSLKKYWKADPEIRLCARLCHI KHTTPLSLDAFDYYVGLLAGIGVHQNEAAIPHWFVPHLTRQAVDLIQQYEPGCMLELV HHDPDTTYKSIESKLYKLSPVATHQEIGLGVATLTFVDRDEALTTCLDAFEAVESAIH DHLLQAFQTADIDKNGVLCFAEFNSLVQKVYLTPESHVRRMFFDAIALSGHPFRDAIL PEVFVTLAKKEGLSRKSYVSQRRDAITSPIDMNFLESGGGLLPPR H257_16183 MATTSITSAKLPLEEPPPNTTSEVLQQISLPLDIRPRDNATSGG IKLLRPKSSHPSPSRRQSDSPLVKHPMRLVAAKLMASLHETSNQTQSNQTNQNPRQGV ASHAYFQDIYWQVAAQLEQQPSTAGLAQVLKHIWHEYVDPLHDKVEHSNNRLGCSFSN PAPTLNARKLALASQVGRVTHTTRVTRRKPSHMPRHEMSSEKSGLTAQEDQRNRNNAV AASHSTQPAFTESDTSTLRRRRSQTALTFDHVDQVHEIQKILSAKVKALRLELISMQR LRESIFATVVNADAARQKNIDTRMRLRISAQLEQTLQKRYSVVSDTMTLAAVHIQRMA RGKLAGKRIRLARIVALMKMSKEMFRHKLQKREWNEFDNAPDTTPIEQLEDEMKTIVW EVTRLVRDLSLDWWKLSLSEERARLTWEVNDAKDDLRLLQQQTSVLVQNCTLCMNLVS DPVVPKTHEARLGVHQYYSPSPRVPDTTKEMLESVSTTNSKLEDFIFTFAKSKQQRTA IATQTDPTLQKQPTYLQALAKLAQVQNNELLPTTPLELPEDNPATDTTPSLPPQSYSV EPTVDISSTAISPIEAIAATLPTATESTKIAKRTLHRSPKSKTPATTAVPGLKDMPRL LAAEFKLIGKSQAYKGKVMGVAQLKLLLHEVYGARMADELRAPVGEFLYRFFALKYGL RNVAEMYLVNFVTSLKKYWKADPEIRLCARLCHIKHTTPLSLDAFDYYVGLLAGIGVH QNEAAIPHWFVPHLTRQAVDLIQQYEPGCMLELVHHDPDTTYKSIESKLYKLSPVATH QEIGLGVATLTFVDRDEALTTCLDAFEAVESAIHDHLLQAFQTADIDKNGVLCFAEFN SLVQKVYLTPESHVRRMFFDAIALSGHPFRDAILPEVFVTLAKKEGLSRKSYVSQRRD AITSPIDMNFLESGGGLLPPR H257_16183 MPRHEMSSEKSGLTAQEDQRNRNNAVAASHSTQPAFTESDTSTL RRRRSQTALTFDHVDQVHEIQKILSAKVKALRLELISMQRLRESIFATVVNADAARQK NIDTRMRLRISAQLEQTLQKRYSVVSDTMTLAAVHIQRMARGKLAGKRIRLARIVALM KMSKEMFRHKLQKREWNEFDNAPDTTPIEQLEDEMKTIVWEVTRLVRDLSLDWWKLSL SEERARLTWEVNDAKDDLRLLQQQTSVLVQNCTLCMNLVSDPVVPKTHEARLGVHQYY SPSPRVPDTTKEMLESVSTTNSKLEDFIFTFAKSKQQRTAIATQTDPTLQKQPTYLQA LAKLAQVQNNELLPTTPLELPEDNPATDTTPSLPPQSYSVEPTVDISSTAISPIEAIA ATLPTATESTKIAKRTLHRSPKSKTPATTAVPGLKDMPRLLAAEFKLIGKSQAYKGKV MGVAQLKLLLHEVYGARMADELRAPVGEFLYRFFALKYGLRNVAEMYLVNFVTSLKKY WKADPEIRLCARLCHIKHTTPLSLDAFDYYVGLLAGIGVHQNEAAIPHWFVPHLTRQA VDLIQQYEPGCMLELVHHDPGTVPIENEIAIMVYREWAVDTTYKSIESKLYKLSPVAT HQEIGLGVATLTFVDRDEALTTCLDAFEAVESAIHDHLLQAFQTADIDKNGVLCFAEF NSLVQKVYLTPESHVRRMFFDAIALSGHPFRDAILPEVFVTLAKKEGLSRKSYVSQRR DAITSPIDMNFLESGGGLLPPR H257_16184 MLRVATLIQARGGRAPYVGQFLRFTKSSSVPGSFRAFSQSPILG SNHDSHATDLSAAENLTAIQHNVDAVAVHNAVDAFQTTVAPVTAEMGYSLAEIAIRTL DVVHATSGLPWWATIIATTIVVRSAFFPISIMSMRNSARMGILQPKLQKLQDEIKSSP DAYDPAKMTEFRARAQALFKEHKVRPFMSFLMPISQLPIFLGFFWGLQDIAKYIPGYA ADGAFWFENLAAPDPTYALPVLSSALMVASLEAGGEGMPAEYIDKAKMGMRIVALIMI PVAVNFESGILLYWVSSNIFTLTQTLVLKIPGVKKLLDIPTPVTPPPLATTNLSSFPS PFQAAVAQAQKNQPFVKSYANKPKSSKKQVPP H257_16185 MEVQQLVPPGATSLHHACRLRLYCEGGREINEDLKAQIAFGDEG FSVEDLRDLRLRDGVWEVLIKWLDLDDLESSWEPALSIYEDIPVLFRRWAKARSNEGG VSEMIDDLTSACGHPM H257_16186 MFRADGDVLDRYIHYAPAGDQYASHIASRLPESSTALGMQPPHF GARDDPVVTVMRRMEDIFEAETGAKPHDHDCPSDRYVAESFDACLGYNGLDVTRSANA TRPT H257_16187 MALAKGKTKTPTKRELIECLAQLEAGSGALNLRSPDKHVTTQDK LRLRAYASPFQGSKSKQQLSVLWEKIAMRLSVVSGVVASHPSAKAKYHSLKQEHSPAT NPPYWEHMVEYFGDKRGLGHNEFGSSAERAIDTESDKAEEEFGDVEASVDTTRPSKRS KPSSASAASVASGLVTLGETLAKGLVDAASVGTSSATNEKLDALSESIEESKKLHAAL LENIVNGNAIQRELLSHLQGINNRP H257_16188 MTAKHIVIIAVVLAAVQIAVVTHAPKPPSTRFALRTDTWKRVQS SAEYYGWYVRNLRCSKATFDSIVERIIPMGTFFLADAGYKLFQHMMTPFEIRHGMPQD EAHYNYIHSRTRTLVERAFGLWKNKFRMFKSPLDHHTPQEMARLIETNMILHNWIIDL EPTFCDEDNPSKNFSTKLSGCTLVVTSLD H257_16189 MDFMFGLPRDVSGNAGIMVCVERASKYVVAIPVKNTLSGMDAVR LFFKHVFCHFGLPRSIDSNNDPRFTAKFWSTHFDLCDTSLDMSISDQHGSDGQTERAN NVFAATPSLPASTRFSPHYAIHIKHPRLSPMLDGFVSNGETLTSHLTPMQYIHDSVAA AQQRQTTQANKIAAAPTSLPSLSPMKSSFSPIRSSQKKIALEVSESEPDESDGSEDLT DVTLHLLLAEETEDAIPSTYVVASVRAVPDALRLNFVEEFGRNQMAAFKAFVMRAYTE LMRLPENKETHLTEWAIRFEETMKHHEEH H257_16190 MSSTNTARLCDNDTALLVGGTPPHDQAPSAATTAAQATLEEEQV ARMTSLGRKFEESLRVTHETRVALEEQVSTMSAHERNLQDLLRVAQDKAHLAHVLEST DHSSASRLKR H257_16191 MKDLTSDQRRAVVDHHLLRVVQKPCKLQRGAIKDVARIFGRNRH TISDIWRRANVSLGGDLPMREIPFLLLNVQH H257_16192 MPTEPNQPSDIDRAISFVKRPCSRYVSENEILDMIM H257_16193 MPTEPNQPSDIDRAISFVKRPCSRYVSENEILDMIMVNAMLRHE GTAAASRKAARLLRRKEQLVQ H257_16194 MQPLQRRFIHRSPVPLRLKLRLLRRSANRAHLTIPNVSFTLETF SYADCVEKFRFTKTTLRSLANFLRIPARVVTACSAVEALCILLRRFAVPDRWSDLMSM FGRSRSGLCNIFLHVLDHIHNEFADIIFLDRISAKLADFSQAIVDKGGEVENEWAFID GTVRECCRPGGDERQRCVFNGHKRRHAVKYQTLKMRIGKSPISKMYKVAVLLTNCITC DRGRNTNSVYFGLPPPSLEEYLHVNM H257_16195 MGKAMQKQLAWSTDMDLALLREAIASSLSTLFECEIPYRSARDH YESMVEAFKSTDKAQRLWGTGSDEEVTEQVQLLQDLVDRRAATDEAKKSKKDKEQKKR DSLESTGSQLCLEAEQRVVKRQRSVSSVTTKKEDPDANGASGVRKGGAEAPFGSNGRE HKAKRPT H257_16196 MELRRYSLYKLLKWYLTTIGMQDEASLQQLVQHITRGDLWSKYV ATVKKGQVELNGSIHYTTVEQLDGSYIVSYLKDSQCENVYSVRLLHRRWQLQHHPLYN VAYNRAWNICPVPTPVSTIQIANIHANVHTPTVLTRSFIEMAVPEGQKERYSRLQDRF KEVTAVAVRTDASYKYAMLYLNDLLSKLST H257_16197 MEHAELTECFDLGAAGINAVKAREILTLKFPGRLYCTKLLCRVL AKGHDRHFGNDVDGMTMFLAEGERVRSNGGVFELGFTTDARISDVYVMTCSMRQHAAV YGDVVINDGMHGMDWYGSVTMPNTLIVTAMDCRTGFGDLDASLLAHVREAIFLDFKTS RGLDEHFSRMSILFGHVPRAEFFVKKLTADRLLVCKTHTTRVFSCGASSTQRGEGANS RLKGN H257_16198 MKVLLALVALPYATGATDFNAEAKVIVDGMTIDQLIGQMTQVNI NYVIQDQNAKKVVDPSKVEELANQRIGSYLNSPFSLSTAANVTGWSATEWRSAISQIQ TTHKATTGHPIIYGVDSLHGANYVQNAVLFPHQINVGATFDPAFASQMGRFAGRDTRA AGIHWIFGPCLEPARHKGWPRIMETFGEDPTVVADMGRAIVQGIQSNNVAACFKHYIG YSGSASGKDRDPVTLSKHELLNIFMLPFKAVIDAGIMTGMDSYIALNGVPTSANRQTS IDLLRTDLKFDGFLVSDWEEIYMMEYFHKYATDRQDAVFKAMSNSSLDMSMVPTDTSF IGIMKTLYDSGKVSLDRIRTSAQRIVKVKLQLNLYNDPVPGADLVNAVGDFDSQSAAL ETAKASLVLVKNTNNVLPLDPAKSFFFTGPSIDDIGLLCGGWTIRWQGVQGTSNFPAY GRTIQAAMSAVVGNATRAQFYQGVSIEGTWWDINLAKQKAQAADYTVIALGERTYAEE PGNSDPYELPTGLTDYVKALATTGTKIILVLVEGRPRLLSGIADLAAAVLYAGLPCEL GGEAISSVLFGSTNPSGRMVMTYPKSTDQNNLATPYYGRVGDECVVGGVTTHCPVEWH FGHGLSYTSFSYSDAQLSVTNLTPSSSETTVTVTVTNEGSITGKESVLLFVSAPGGPE TRLLKKYTKVELIPGQSKEVSFTLSPDDFGKYVNEIGQGLRKEATAGTYYVSLKYDTL CNAATLGPHCKAFTWNSASAPTGTFYKLHVDAYNFVLANPSSEPVVFVADVANAPAQE WSFDSATSQVVNHGTGRCLDAWEPKNGGAVHTWDCSANNINQYWSYEATTKQLRHKTH ADYCLDIGTPTGTKPHLWQCHTSTHPDVKNQQLTLLSPSLDRVVTNTAFGTVLTAVGD AAIAFQPLVASSATQLWQLDSSQLLRSTGAPNKCVDAYKPENGGPVHLWDCDATNVNQ LWTYDATTKQLQHKTHIGYCLDIGTPTCTKPHLWQCHASTHPDVKNQQLNLLSPALDL VVTNTAFGTVLTAVGDAAIAFQPLVASSATQLWQLDSSQLLRSTAVTNKCVDAYKPEN GGPVHLWDCSATNVNQLWTYDATTKQLRHKTHVDYCLDIGTPTGTKPHLWQCHASTHP DVKNQQLNLLSPALDLVVTNTAFGSVLTAVGDVAIAFQPLVASSATQLWQLDSSQLLR STGAPNKCVDAYKPENGGPVHLWDCDATNVNQLWTYDATTKQLQHKTHIGYCLDIGSP SGESPHLWTCLPTTLADVKNQVFVF H257_16199 MQHGPNFLWPFAPRLDWWWLYLSVAVSLALVYDQLALPSPRSLR TLVDDFWWLNVPLLAIAGLVCLNISIRRLVYFFSKPSFREGPYVLGRHQWRASTRAGP LWCNVCESLVIGIRSYVVNCDICGITAHGPCALRHMRASTKQKCACKLAAIPATCDDA TLASYNAHVWVKGNIDPLDTCDLCGLFCGNILALSAMQCIWCHRRVHESCFEASGVGQ GACDYGPHRQLVLPPTSIRINSSSQPPIPSTNALSTVKNAVKHIKITSLRKRTNSITL VTEDGSTQTMAIQRNQTLQQSEPVPLLAEGCDADQLPYTIEPRPGSTPLLVFINSRSG GQMGVYMLQQLRRWLNPLQIYDLSLQGPEAALAQYCNVPGLRILVCGGDGSVGWVLGA IDNLSKTVFPRQPPVGILPLGTGNDLARVLGWGSGYSNQPITALRLRQAKKVQVRLKE RLPLQIDGEPWMQDPCVMDISFHHQAFMLAKTTHERDTVTRQVGEVLDWAGNANVITW EQRDVLLAEITRRVHAKDQQHCAIVRRHFAT H257_16200 MGPFQHRQASRALWRRIEPWTRCQVRQLATESTRSTKALVSDFM DKYQSASSTVSLIHQVLATPQHAADLLRLRHATSHASLWDDPVQAASLLQQLSVLEKR DTVATQLTQTLDDTKELFDMAMDENDVSVLDDCVATVDDAEVTAKNLRAALLLSEPTD PSSCFVEIHAGAGGTESGDWVEMLLRMYTRWAEAHPDGYRAEVVHAVLGEEAGYRSVC VRIDGSYAYGWLKTEGGVHRLVRISPFDSQSRRHTSFAQVRVFPLAARGDTKVVAPEI STKHLRIDTFRASGPGGQHVNKTESAIRITHLPTNIVVQCQSDRSQHRNKDTAMDMLR ARLLQLALLEQDVEKKKYTQGLGDNAWGSQIRSYVLHPYKMVKDHRTNMTCANAKGVL DGDISPFIQQVLVASASIKPPT H257_16201 MDAELRALFERLDKNHDGFLCVDDLSKATRRLPLMAAWQLVAEM DKTGDGFVFLSEFLATMPRLLTTNDDDPFSWAGIWNSHRTRGHHDDMDSTSASLPPPL KRPASPSHSVQVEKKANVGMARKSASKLGMFLTQVPPNEVQVRLSQSFVAGKAESPDD TATLIGQPPNEEPFESPKRMARECISPPSDIPHENPLDPEQLNLPSDEFQVARPQPES LVQVHGIPSVDASANASADCSSPISPPVDPSSTTTTLQPGDNTIGSVKAAIVLCLDSI KRACATYADDDLSNARQLLAALADAKAAMAVRHIPQFLGAAVKSFDKARLSMVADAEK AYNFVSIQHVEQAMKIRAFRKTVLPQVFPNPPDNPKQVLADLFPDIVADTIDQMFDAC ANDLHSCFEVLCGLSDYSTLDTSLSPKASYLRRQRGKLPSTGPEGDLKPDDDLHAPLF EVKGYQKPKKLNRSMVSSEPVAASRGLDDCQFFSMPPPLDDGTDTWPPLTPGEATEAF AAFETAQNMPEILLAWQKFNVNRSTVHHTNVYSHLKSHLLPSLSFLHVRIFDILDEKR QETPFYAQHPARSRRVLIVGGGPVGLRTAIEVALLGGDAIVVEKRANFNRENILHLFP WVVHDLTKLGAKVFYRRFCMSSSHLHIGTRQLQCILLKVALMVGVTVFDQTSFEAIDE DGDGYVIRTNPPLPDPCRRVSALVGAGGNRDTIGHLVDIGRKSFSPSPAVGAVVIFPN RRTKAEVTLRQFSWAKQYNQDMFAALKADLGVDVENVVYYRDEVHYVVMTPKKASLID AGVLETKELDSVNSDALQLYVRKVLAFLQIPAPDDDQLDAQLFDFSQTRRAEKAAVVL HHHAKSKLLVALVGDALLEPFWPQGLGINRGFLSALDTAFAVARLDKADDQTLLADHD KHYKACTGLRLRANIRSFNVDPASRYKT H257_16202 MTWQFQMCPFHKSEKYRYTTNALVTWLRSSMTDTLPPSVLGAPP RTPPLLTRQQATDTSLPAEWFRWLPPSWQQHHRHFIMLPEATKMQRPSGGSRP H257_16203 MTASSYRLRVRNAKQLVQVCSNNERAKRGAEQGNVAIIEDGALV VDAHGKFAVVGTTAQVDAWVQAQPQPVTFERDFDASGLCILPGLVDGHTHPVWSGSRV DEFALKLAGATYMEVHAMGGGINSTVRSTRASSEAELFGLLKKRLDRMLKCGTTVVEA KSGYGLDTDTELKMLRVLETASKSHPIDLVATYLGGHSVPDGMTAAEATLDIVQNQIP ALLRAQAAGEINPTFIDVFCEKGVFEYDDTKTILQAGKDAGLKINFHGDELNPMDSGK LCNCLQAHAASHLEMLDSANIQAMADAATFAVLLPTTMYILKLPSPPARELIENNVAV ALGSDYNPNAHCLSMPLTMHMACCLMKMTMKEALVGATINAAASVDRADTHGSIEVGK HADLLVLDAPQWEHLIYEMADPPIQHVVKNGRFVVSNGQLLA H257_16204 MSTELALTSAVDDAIAREKITWSVHRSRELFAGEPPSFETHEDS QKTHIQVKFRARYADLPDIQPSQLKKSKLDTVAPVPVISPLDNTPAAEDDEDGVVEGH ATAEPVDTLPSAVENAVAQFSKQQEAAAAASNTSQQALVEYKKETTFKAQFSAASALV RRRQAAEVPKPTWHAPWKLKRVIAGHLGWVRSIAVDPTNQWFVTGSADRTIKVWDLAS GQLKLTLTGHINAIRGLAVSDRHPYMFSASEDKKVLCWDLEYNKVIRSYHGHLSGVFS LKLHPTLDVLITGGRDAVARVWDMRTKQQVHVLSGHQGSVWAIETQGTNPQVVTGAAD STVKLWDLAAGKVMTTLTNHKKGVRAMVASRTDHTFLTGAADNLKKWQLKDGKFLRNF SGHNAIVNAVSVNQDNVLVSSADNGSMRFWDYSTGYCFHQEETIVQPGSLDSEAGIYA STFDMTGLRLITAEADKTIKVWEENPDATPETHPIDMVKWTKEFTAPKRY H257_16205 MVDSRTVGLIVAAAVVVFVIVTALKGIRIIKEKEVMVIERFGRF KTVLSPGCHFIIPFVDQPREHTQKYVVNVADRLTLVDKPKILTISTQNEVLDFPKQPV ITRDNAAIFLDAVLQYSITSPKTYIYTVKNLPHLLSVLLQAQVRNVAGSLDVDQIIED TAQMDRVSGLMDAAAVQYGVKIAMVKIQRVDAGALSQVLAKKKQADLNNKEIIIQAKA MKQTTVINSEGNRDRMIKESEGEAQQTLSRARGEAQAIVNAATAEARSIKEISKVVSR SGENPLRYLLALKYIDVMSQVLSLKQTEVQLMPFETTFLQTIRGLGLNTVAPRVV H257_16206 MGKGTGDSVDNTLLPSKQQLLQKQFTDTYQEIQALKQVLKGYLH KEDNNTASSADVSSSEAVACNPCPHEKSAGQFKFKPSKTSERILAQSQQGKVPFLERV KLQAHKHEQTAKSLKEQVLAVEDVQMTFTPSINPKSKRMERRIDHLWSWERDKQVKVE ARRIAAVQDELAQVTPVPVCSAKSNQMLQRCSSYTKHSAARPIKVEDRLQRYGATISA KKKARRREPPCPFQPTLSCHSARLQRDGDVHTRLFNLAAKEPPPQPSITAMPHRRPPP TTPLDAHTAQLCTRLHEEATVNQEKLKHIRAAEARDLDMLRNTPKISATSLKLARQHH TSGRKAPTSPPPPPPKQPVRTMPLKEAEQVYLKQVQWKVQKEAKATQEREAQQQHQIA QCTFSNPYRTEDEEQCPVKPQPNDTYFRKAMDWERRRQQLVAEKQQRLLLDALKECTF HPHTNPSPQDQQREAKRSTLESMLSMFRHEQDENCLRTPPKQVTDEPTCTQTLGGVPS RNSLRISAGDDARILPPPWEEYVTEDGFLYYVNTLTRASQWDFP H257_16206 MGKGTGDSVDNTLLPSKQQLLQKQFTDTYQEIQALKQVLKGYLH KEDNNTASSADVSSSEAVACNPCPHEKSAGQFKFKPSKTSERILAQSQQGKVPFLERV KLQAHKHEQTAKSLKEQVLAVEDVQMTFTPSINPKSKRMERRIDHLWSWERDKQVKVE ARRIAAVQDELAQVTPVPVCSAKSNQMLQRCSSYTKHSAARPIKVEDRLQRYGATISA KKKARRREPPCPFQPTLSCHSARLQRDGDVHTRLFNLAAKEPPPQPSITAMPHRRPPP TTPLDAHTAQLCTRLHEEATVNQEKLKHIRAAEARDLDMLRNTPKISATSLKLARQHH TSGRKAPTSPPPPPPKQPVRTMPLKEAEQVYLKQVQWKVQKEAKATQEREAQQQHQIA QCTFSNPYRTEDEEQCPVKPQPNDTYFRKAMDWERRRQQLVAEKQQRLLLDALKECTF HPHTNPSPQDQQREAKRSTLESMLSMFRHEQDENCLRTPPKQVTDEPTCTQTLGGVPR YTPYPHPM H257_16207 MDDEEYDEWELMYGNDLEAENEMNAMEMELSTPTAAPPPKSAPV LAAPSSATVPAVVVVAADEPLEMKVDVEYKPNNLVKEVRDEDRYISRRPSLDTPSIAC VLASGERVFIKKKEHEFAFEKAATNKPVQWKLGSSIKTLLESINQRAIEAVVEADRRR EENQGGEHAVEAVKESDLWLNKYSPRHFIDLLSDERTNREVLTWLKSWDPVVFPSKSS KAPSKALPDQLKPPNADIRPEHKIILICGPPGAGKTTLASIAARHAGYNPVEINASDD RSAGVLKEKIINAMEMQAIFGNNRPNCIILDEIDGAMGGPDGKGAISAIQALIAAPYS SRTKPSKQQHAAASNKKAAGGQHPVIRPIICICNDQFAPVLRPLRRLAKIFVMHTPDP RQLMQRMKFICKQENIRASNSLLSTLCDRADNDVRFCLNALQFASARTSAALTVSMID SMMGRKDVSKGAFDVWDTVFFESKEQQKDKSNACMRVCDTADRFGSHELILHGLHDNL LPLVFNDPTLTKINHALEWMEFADLCDTKVKSHQQFALLAYCSMAAVAVYRACCTSSR RRIEYPKAHYDHRKHVETSQSIMDAFVGSGVALRLGRRVLAVDVVSSVVAMLNPVLTP SQDKQPMDQLIARMASLQLSYKQIQMPGGVVEYLLEPSLDRLVQYKALEPRVRLPLGV RQTMAREVDLENMRRADKGSRLPDDVAAPDVGIVDVDLEDAVAVPDASNPFGLKKRKR EAQSHANAKRWPVRFKFNEGFTSGIKRPVLVQDLL H257_16208 MSAAAAAQQEQDIQRKLSYSAALPVSKPGDKVQIYVRLRPPVGE EDADTFRIVSDTCLVAKPPRTSRVTEAVSSFHFSQVFRPETTQRDLFEQTTYAIIEEA LEGKNGLVFAYGVTNSGKTYTILGTPEHPGILPETFVTLFKKADNFRITASYVEIYNE KVYDLLATGQRRVFLQLLEKDGRVYVKDLEERPIKSYEEAKLMLETGQKNRQVGETKC NSDSSRSHCVFSLHLYHQDDPTTLFSKISIVDLAGCERGAKTGASGLRLQEASKINGS LMNLNRCLETLRFNQLHPKSERPVPFRSSKLTRLFQASFVSKQAGRIIMIVAVNPSTD EFDETLRTLQYSAIAKEVVTAPSKTTLLSRRPVQVKMEYGTDGHKKRKHPSLLVSKDG ATNVVVPAKADGLATIKERPSIRSRNSIQRVPSTRESSGKPLDKSTTTTAGHHPLNSR AKAPGAKKRFTEHDVALLRDELDQLKDDLQAKDADYVQQEMQLRRDLVHELNKQLDSM KASYEVKLADVRAELDAANATTLKLTQEKSQLESLCEKLQEQIDECEDEIRRIEARHA DELLDLQTF H257_16209 MAKGNKRGGGPKKDQLAVVGRSVSSLVNAEDATEVEILNTPVMS ARPDADHDDDDDDDEDEDDEEDEIERRLVAEMQAEKTKVTSTAIYNKDAMVKLAKELD LSAKFKWVETLDTSSHVLELENAHDDLKREVAFYNQTLASVKDAKDRLLKEKIPYKRP EDYFAEMLKSDAHMARVKDKLIFEQKKMNAVEERKKSQAHKKVAKELQSQKVKERLKE KNDTLDAVKQWKKRKNTNAASAVGGVDDDESFEQMLEGAGSSSKRPRGNDDKKAGDRN NRDKKNFKREAHNKKFGSGGKTKLSHKKNDKKSTNDFSSFSRGRNNEGVGKRGKGGKP PAAKSRPGKVSRGKSVQKRGRK H257_16210 MSNMQQLMEHLRKIEAGKQTNSRMLRTFQERHRNGIEKDVVGST SETTINPPRDIYSILLQEHHSPKQASSTTPTNRRPVTPPVIVVPLSLRREKLHEDMAR LLVRPSQLTGNAIVAPAPSPPKGGRHSPSKPQHKSSIDPGLQTQLIREQSEEANALRQ ASDDVAATLTMAQDLIPLSFLLERNMKALCQSKAGAIIQSAFHTFLLHFYADAWQHWK AFVVMSRHAERVAAASFLTRVYRGHRARKACAVLRRQLATLEGLKATAIAQIVTRRSN AALAIQMCFRRHRLQLRKLQVQARHAAATRIQRLTVYNKQRSMALARMLLDARKIHAA LKLQKLWRGHCGRRVAARRKVQDRRAKRLQKLSQPDLAIAHRFEAQGAAFRIQGQVRR WLRRRRGRESRAAAREAQAKERVRLLLVRFILRFKRRRRHRQLEADRAVRTKAARLIQ RCILQWIQLRKWKLMRMARRKRERQARLALKAKQMNRSQDKVKLPFRAASTAWKLVTR TLRGDKKSPKDVAAVCIQRAWRCAKKRHQVYLKTLYAKLDKAADKRQQMHRHVTRIQK VWRGRRDRRKCTFLWLDKVTRHALAKWKRRRARRRNAAATKIQTKFRVVRAKVLGQAV EHLIQCQHAAARRIQRLVRGRQAVLKVQRQRDARRQCDETLRFCVVALQRCMKLRMDF LAIQSLEGNMGDLVKYPVWLQEQRRFHRSDTSFPIFQILFADYSGLKRELWAGQSAKA LAALRLDRSKFVKIFREAFATSDRLMDITSEADRVLAKLKAHPSQSRTSLAFADFVFG IKEMAKLQIKSKKPMDDDDDMKVLQLFWKHLATCKWSKKSKAPDEMKAYTESWLNDQA RCIQQMARRQQYQQRGYILMDLKRKEWQNMRAHSAARTIQSLWRTKASRAYMRKLMQT VFRKYIDATSGLPYWTNPRTGYSTWKKPSILGKHDVASPAVPMADDATEYAVHCDNCN IHPIQEMCFQCDEKYCLACFTLLHAKGKTATHQHISIPTCVSCHYQVATRYCSNCKEN YCDNCMSYLHAKGTLVYHVAAPLMLLCVDCLGKRAARVHCHTCNKDVCHACAQYHPPE YCHTEPRPFESAPVENERKRLDMIKTNDLFAEEKRIQDAKAFEALQIKCAMRIQRGWR RKVQCKSGVMHMLELHKAHQSTWEKIQRDRQREKQFVYRVKGVFGKAEVLEMDSPTQA ILRRLNVYLRHKIEARARALHVALDEYVHLGVPLPGVASIAEGSDHLETSEDLRGWLV PAQALRIQGHVVYAQMVESIGETFIRLSAPYGHMSVDKSVLYSVEFSHDHPTKLKHAA SSHAVKVRDLKESKAVTASLRKIGNATQSMALRFDEDSFLGRALNRTAQHIQRSLQRQ KFNQSKREREISLLSVQRSFRDRQMYSLRREQSQRWDESSTQRPASIRHVGSIHSAMP GTSNEAPMHLDMAIPSNGSASMANTASVNQPPEEGGGDEGLSAKVDSRTARVVRIYSE SLAPMVSALEATATTQVPVDVDLTISTSQGQSAIELPAPKTVESPSSYEIEPSPSDTA MSFVEHNADVLPYDKPTTNVQLDTTGTGYNPATTEYDKEVSASALDYSVPADYYPQQS YTSAAEAYADQPTHDPQGSSQDWQQHVVPPQQPTQDDYQLGYDDYYYDQQNYDQQGYY YQPEIDHSYYTQDSTAYVEGAYIAPTYPSYSPTASVHTSYTEPVAATETEWQEAYDPS SGQVYYYNPRTGESVWHQ H257_16210 MSNMQQLMEHLRKIEAGKQTNSRMLRTFQERHRNGIEKDVVGST SETTINPPRDIYSILLQEHHSPKQASSTTPTNRRPVTPPVIVVPLSLRREKLHEDMAR LLVRPSQLTGNAIVAPAPSPPKGGRHSPSKPQHKSSIDPGLQTQLIREQSEEANALRQ ASDDVAATLTMAQDLIPLSFLLERNMKALCQSKAGAIIQSAFHTFLLHFYADAWQHWK AFVVMSRHAERVAAASFLTRVYRGHRARKACAVLRRQLATLEGLKATAIAQIVTRRSN AALAIQMCFRRHRLQLRKLQVQARHAAATRIQRLTVYNKQRSMALARMLLDARKIHAA LKLQKLWRGHCGRRVAARRKVQDRRAKRLQKLSQPDLAIAHRFEAQGAAFRIQGQVRR WLRRRRGRESRAAAREAQAKERVRLLLVRFILRFKRRRRHRQLEADRAVRTKAARLIQ RCILQWIQLRKWKLMRMARRKRERQARLALKAKQMNRSQDKVKLPFRAASTAWKLVTR TLRGDKKSPKDVAAVCIQRAWRCAKKRHQVYLKTLYAKLDKAADKRQQMHRHVTRIQK VWRGRRDRRKCTFLWLDKVTRHALAKWKRRRARRRNAAATKIQTKFRVVRAKVLGQAV EHLIQCQHAAARRIQRLVRGRQAVLKVQRQRDARRQCDETLRFCVVALQRCMKLRMDF LAIQSLEGNMGDLVKYPVWLQEQRRFHRSDTSFPIFQILFADYSGLKRELWAGQSAKA LAALRLDRSKFVKIFREAFATSDRLMDITSEADRVLAKLKAHPSQSRTSLAFADFVFG IKEMAKLQIKSKKPMDDDDDMKVLQLFWKHLATCKWSKKSKAPDEMKAYTESWLNDQA RCIQQMARRQQYQQRGYILMDLKRKEWQNMRAHSAARTIQSLWRTKASRAYMRKLMQT VFRKYIDATSGLPYWTNPRTGYSTWKKPSILGKHDVASPAVPMADDATEYAVHCDNCN IHPIQEMCFQCDEKYCLACFTLLHAKGKTATHQHISIPTCVSCHYQVATRYCSNCKEN YCDNCMSYLHAKGTLVYHVAAPLMLLCVDCLGKRAARVHCHTCNKDVCHACAQYHPPE YCHTEPRPFESAPVENERKRLDMIKTNDLFAEEKRIQDAKAFEALQIKCAMRIQRGWR RKVQCKSGVMHMLELHKAHQSTWEKIQRDRQREKQFVYRVKGVFGKAEVLEMDSPTQA ILRRLNVYLRHKIEARARALHVALDEYVHLGVPLPGVASIAEGSDHLETSEDLRGWLV PAQALRIQGHVVYAQMVESIGETFIRLSAPYGHMSVDKSVLYSVEFSHDHPTKLKHAA SSHAVKVRDLKESKAVTASLRKIGNATQSMALRFDEDSFLGRALNRTAQHIQRSLQRQ KFNQSKREREISLLSVQRSFRDRQMYSLRREQSQRWDESSTQRPASIRHVGSIHSAMP GTSNEAPMHLDMAIPSNGSASMANTASVNQPPEEGGGDEGLSAKVDSRTARVVRIYSE SLAPMVSALEATATTQVPVDVDLTISTSQGQSAIELPAPKTVESPSSYEIEPSPSDTA MSFVEHNADVLPYDKPTTNVQLDTTGTGYNPATTEYDKEVSASALDYSVPADYYPQQS YTSAAEGTQLPDNVSYSYDQPTYDAQAYADQPTHDPQGSSQDWQQHVVPPQQPTQDDY QLGYDDYYYDQQNYDQQGYYYQPEIDHSYYTQDSTAYVEGAYIAPTYPSYSPTASVHT SYTEPVAATETEWQEAYDPSSGQVYYYNPRTGESVWHQ H257_16211 MAARSTEVLRLYRDLLREAAQFQNYTFRTYSQRRIKADFRANAG VQGGDLSAALGFGFEQLNLLRRQVTISKLYPGEKSVMENLH H257_16212 MLSIADQFQARRYRSIAVEFDHLVSSLSRNMHPFALAASDPLRY ETLNDSRTEGVVFFGGAVRLGPACGGSGALVMPTASLCYVCEYETNDIPAATTNNQAE DDGLVRSLCFEV H257_16213 MACPADFPNVAVPGHPKVRVLSCSVNRPQCNGGAGFYIGTTTGT AKPSYSTATPKRLLDYAVATANPTATPDASGASASALAMAAVGMCVATMQWA H257_16214 MVWLFRRQQQHRQSGENVGAVCEGSYRRCARRHAGTSPCDLARD YDCLLDSDENTRAEWHWVLQPRQLRPRSYSMQVHQILAKASKPSALSIKAYV H257_16215 MRITLTSFAACIALATAQTPPTTGWLNPLVTKGSKFFDSVTGAE FRVKGIAFYPRANAGKKFDANSVDWFTDDMEGIWRPHLENLKALGVNTIRMYAVDPSA PHDKFMCELNKLGMYAFVGMAAVCEGCYILDAEAPLCYTDAMFTRAMMIYNAFAVYDN VIGFSVGNENNLGKLIEKSAPCVKALIRDVRTYADKCNGSLRPVPIGLDNADIDTPTH PRASWLGYYDCLKDGNENTRAEWIGFNPYVECDPTTHLTYAQSTGLQKLMSDYKKAGY PRPIVFGEFGCIKITNTIRSIEQQRNFYDAKWMNEEPDMTDMVVGGIAFEYSVEKANL IDKSATPPFAGKDPGRYGIGYFSPDNCDHDTIPCTYSKYPEFDHLAQAYNTTKPSTLT LKSFEPTRKESMACPADFPTVPLPARPNVKIFECSVYQPQCNGGSSNKQVTVQPDAST AAPKKPFGPASAPTSAPADPSTPGSNDASSAALTMAAVGVCAAMVQFV H257_16215 MRITLTSFAACIALATAQTPPTTGWLNPLVTKGSKFFDSVTGAE FRVKGIAFYPRANAGKKFDANSVDWFTDDMEGIWRPHLENLKALGVNTIRMYAVDPSA PHDKFMCELNKLGMYAFVGMAAVCEGCYILDAEAPLCYTDAMFTRAMMIYNAFAVYDN VIGFSVGNENNLGKLIEKSAPCVKALIRDVRTYADKCNGSLRPVPIGLDNADIDTPTH PRASWLGYYDCLKDGNENTRAEWIGFNPYVECDPTTHLTYAQSTGLQKLMSDYKKAGY PRPIVFGEFGCIKITNTIRSIEQQRNFYDAKWMNEEPDMTDMVVGGIAFEYSVEKANL IDKSATPPFAGKDPGRYGIGYFSPDNCDHDTIPCTYSKYPEFDHLAQAYNTTKPSTLT LKSFEPTRKESMACPADFPTVPLPARPNGTSAVSQF H257_16216 MARRASLQGSQAAPPLTSRYISQGLALHTNLDQQRQAKRARYST VRAEEPDENLDSTSPIYDAFVNDQGLDGIRTMTNFSPSEFNILWADIRQYLSKHWNTG SGRKSELAANGDRFTNYPYATDVTFQQTNVPAGSYAEKKSYYSGKHSLYGHKVEVSVL PNGLAINCTKHYKGSVADKSIFDDNLEFHANGLAKQGDDDRLDDSERVGGARQYAILV DKGYQGIQREVRAVLPTKKPIGGVLISIIDQ H257_16217 MHIAGTALFSSFVALSNAQLPPTTGWLNPLVVKGSKFFDSASGD EFRVKGIAFYPRANTGKNFDANSVDWFTDDMEPIWRPHLENLKALGINTIRLYAVDPT APHDKFMCELSKLGIYAFVGMAAICEGCYVLDTEAPKCYTDAMFTRAMKIYNAFAVYD NVIGFSVGNENNLGTQIEKSAPCVKALIRDVRTYADKCNGSLRPVPIGLDNADIDTTL HPRASWLGYYDCLKDGNENTRAEWIGFNPYVECDTTTHVTYEDSKGLQKLVSDYKQSK YSRPIVLGEFGCIKVVNTVSGIEQQRNFYDAKWMNEAPDMTEYVVGGIAFEYSVEKAN LIDKSATPPFAAADPGRYGIGYFTPDNCDHEGVPCKYNKYPEFTFLADAFKTTKPSTI NSKSFVPTRTTTMTCPVNFPTVALPPTPKVPILECSVYQPKCNGGLANKQQRYTPDNS TTGAAKLPFGAVARTTLAPNAATTNGSGTAVASAALLVLVSMLAMTI H257_16218 MPGVLPPPSHRRRPRTVDMLPWYRNTFDSEGVLIDEESGALSYS PPGRQNTFDRAHVRQEADRPAYQPAPRQHASTWTKRLDKRLDPLDTASPPPSSLPRPS STPQLSSKLLGRMSWDPAVLEVGNVQREAGKHVVPPRDKLWAMSTVKLGANDDDRHAL DVTLASLRTNTRVQAILKRDEAKFHPPVAPNVAYTPVVRARQSLLSKFSFHDTMTHLH PTAAAMSGVDDDDQDAQDKTADDQRVEKHQSLSRENQVKYFGESAKHAFYAHYKHMSS KQHLFRSSHPELATDDEPTSEEVPEDGGGVVPTPDITPRTRVVVGSLSNHRPAMPLII RKHTTSVFDFSFQSLGDDYIRQFAACINALPFVEEINVRDNRLSDVGLNALLTEIHVN QLKLRKLDISENEIGDGAAKTMRLYIECKECTLKHLVMEKSDIDDFECAAFMTAFEKN TSVLELTMPRNRIGEAEQLNVVQPEITTGGEAIASMLYVNFTIAKLDISWNLLRLESG VTLAKSLEFNKKLLELHIAYNACGDAGAMMFGHVLTINTTLRTLDLSYNNVGCRGALV LASAASKTKTLRNLLLNGNNIGKEGGRALMFAMCSTQTDQGCDIEITGCNLASTSQGN GGKGKIFDPTDPAGEYSLDLTDPFDQMIATELLRLATFKKGCRFENLKHHSRLERVKN KGTVIQLVHPIVSTVFNSPLDVFYTKSVAKQRSASTSLQVDVFALLAVFRTLHMAPTV ETLEAILAHMKAHWTSDLDEQDFSCQFFHALFEITDEDGSGGLDATELQGIMEKLGSP MTDHDAMLAIAQYDLDSSGTIENFEFVELMKSHVRFQAFGSKHSLAGLDPNRFALRDA ATGQIWHIPIEGRLEIHFVYEREAVLDMHDAKNRITDAGIAQLIRNINLQAKSPAEKL EMLYFAINESEILFSASQAYELLEQCGGLNKEVRVAAVSHALFQVITAKDAQRLVSTT LNLRERAKLKVDLGNAYAVIMGNPTAHFALDLVNRADRWVARKLVESAQTEKKMSIAS KRGDTSQHMNWENFRNETLDGEKFVLTTSFFNSLPQCGHLEFDYVSTSRPPKGSKALS HRRYEQLVKELAKDCVFIDIPDGAGQPAAPLDPVAKAKQRWKKLRDYVRHNQFLNMLN YARSHIFRIKNCREEVRLKLVQIETAVSDRYLTAEQASRIVMSMPSGFHGRVEAARVL FARLIDVGNFCEIFDLLDREDQSELVKSLGWLNIFNPEKPDRFYELDLSVLEDYNMAK ILIRLAVIEEGDNWLDGYTYSPTRDEAPYPHWILPASWDADDTGKGEGPRRTGILRLV FTSKLEDGCIPDWDARREIKNRVLCGP H257_16218 MPGVLPPPSHRRRPRTVDMLPWYRNTFDSEGVLIDEESGALSYS PPGRQNTFDRAHVRQEADRPAYQPAPRQHASTWTKRLDKRLDPLDTASPPPSSLPRPS STPQLSSKLLGRMSWDPAVLEVGNVQREAGKHVVPPRDKLWAMSTVKLGANDDDRHAL DVTLASLRTNTRVQAILKRDEAKFHPPVAPNVAYTPVVRARQSLLSKFSFHDTMTHLH PTAAAMSGVDDDDQDAQDKTADDQRVEKHQSLSRENQVKYFGESAKHAFYAHYKHMSS KQHLFRSSHPELATDDEPTSEEVPEDGGGVVPTPDITPRTRVVVGSLSNHRPAMPLII RKHTTSVFDFSFQSLGDDYIRQFAACINALPFVEEINVRDNRLSDVGLNALLTEIHVN QLKLRKLDISENEIGDGAAKTMRLYIECKECTLKHLVMEKSDIDDFECAAFMTAFEKN TSVLELTMPRNRIGEAEQLNVVQPEITTGGEAIASMLYVNFTIAKLDISWNLLRLESG VTLAKSLEFNKKLLELHIAYNACGDAGAMMFGHVLTINTTLRTLDLSYNNVGCRGALV LASAASKTKTLRNLLLNGNNIGKEGGRALMFAMCSTQTDQGCDIEITGCNLASTSQGN GGKGKIFDPTDPAGEYSLDLTDPFDQMIATELLRLATFKKGCRFENLKHHSRLERVKN KGTVIQLVHPIVSTVFNSPLDVFYTKSVAKQRSASTSLQVDVFALLAVFRTLHMAPTV ETLEAILAHMKAHWTSDLDEQDFSCQFFHALFEITDEDGSGGLDATELQGIMEKLGSP MTDHDAMLAIAQYDLDSSGTIENFEFVELMKSHVRFQAFGSKHSLAGLDPNRFALRDA ATGQIWHIPIEGRLEIHFVYEREAVLDMHDAKNRITDAGIAQLIRNINLQAKSPAEKL EMLYFAINESEILFSASQAYELLEQCGGLNKEVRVAAVSHALFQVITAKDAQRLVSTT LNLRERAKLKVDLGNAYAVIMGNPTAHFALDLVNRADRWVARKLVESAQTEKKMSIAS KRGDTSQHMNWENFRNETLDGEKFVLTTSFFNSLPQCGHLEFDYVSTSRPPKGSKALS HRRYEQLVKELAKDCVFIDIPDGAGQPAAPLDPVAKAKQRWKKLRDYVRHNQFLNMLN YARSHIFRIKNCREEVRLKLVQIETAVSDRYLTAEQVVLMYVHRVDLLLPIYGNYLGQ PDCHEHAQWIPRTRGSGASAICTTDRRGQFLRNL H257_16219 MSASRRRSSKEFAATNAALLDDPEKLAEHDSASAPPAGKVDISS VVDTSSTETLADLPSRSRTKCRELSKKQGGGKKGLWGKPEDLIVIPKVEKEDPNFDSE EESDDVILVTSSPKARRLSTTAKNALFQDNARAFGASPVPDDIKAQIENIITEYFSSG DVDEVRIRLGELSIDTDGQFNYELVKRAITMSMDKHEREREAVSRLLSELYLNGLTPV ELAQGFRRVIVSADDLALDIPTAVHMLSIFAARAIVDEILPPRFLEDPLLAADGDDVV QEAIKKLSINHGTVRMEKGWGPGDGRPVEELKVAIDQLTKEYLLSGDLEEASACVREL NVPHFHHEVVKRGIINSFEEGKEYTNAIGSLLAYLVSQDIVSTAQLRKGVDKVALTLP DIQLDVPGAKGLLTALVARAKSDGILPLDYHVQ H257_16220 MMSDSDSEIDGMLNALGLPPSQRSVGSPSASQPGSSGRRRPIAA NTPLQQQSMPDTSVVDLSETSPVLKRSKVRQRSSPVHVPSIISSSSVQSSDVIELASD SEPDTFRHHGSIDPLITNPVDVSIISINTNSDEEDSPDNERDVHDDANDDDDMLPYED ENYFESMHQATLTYSPTSEAPIVTPVATATMPLVDPPPLASTEPPMVRPPPPTTRPTT KSSRASKHPKSTLNVALEASWSESDVAIKFKEALAAHTPKPIPVLPSIHCHVSCALLW EHSTAFDAALNRPVLTRVPMACRVFAALDFLAAMDARYAPIYDVIAGLRAHLHDPTAR IFLVVEGMDKALIAEQRKQRATTVTFAHVQQACVQLFVNSFCHIKFTTDGDDTAQYLY SLTREVALLPQMEPVDFLTHVGRLTSLRATPNGDTTNELTNTWLRMLQMIPGMSEGRA QRVVGFYPTMQSLMTVYHNPAIPVEAKEVLLAEKLNANSIEIVLSKRIHAVFTCTDPS RVV H257_16220 MPDTSVVDLSETSPVLKRSKVRQRSSPVHVPSIISSSSVQSSDV IELASDSEPDTFRHHGSIDPLITNPVDVSIISINTNSDEEDSPDNERDVHDDANDDDD MLPYEDENYFESMHQATLTYSPTSEAPIVTPVATATMPLVDPPPLASTEPPMVRPPPP TTRPTTKSSRASKHPKSTLNVALEASWSESDVAIKFKEALAAHTPKPIPVLPSIHCHV SCALLWEHSTAFDAALNRPVLTRVPMACRVFAALDFLAAMDARYAPIYDVIAGLRAHL HDPTARIFLVVEGMDKALIAEQRKQRATTVTFAHVQQACVQLFVNSFCHIKFTTDGDD TAQYLYSLTREVALLPQMEPVDFLTHVGRLTSLRATPNGDTTNELTNTWLRMLQMIPG MSEGRAQRVVGFYPTMQSLMTVYHNPAIPVEAKEVLLAEKLNANSIEIVLSKRIHAVF TCTDPSRVV H257_16220 MMSDSDSEIDGMLNALGLPPSQRSVGSPSASQPGSSGRRRPIAA NTPLQQQSMPDTSVVDLSETSPVLKRSKVRQRSSPVHVPSIISSSSVQSSDVIELASD SEPDTFRHHGSIDPLITNPVDVSIISINTNSDEEDSPDNERDVHDDANDDDDMLPYED ENYFESMHQATLTYSPTSEAPIVTPVATATMPLVDPPPLASTEPPMVRPPPPTTRPTT KSSRASKHPKSTLNVALEASWSESDVAIKFKEALAAHTPKPIPVLPSIHCHVSCALLW EHSTAFDAALNRPVLTRVPMACRVFAALDFLAAMDARYAPIYDVIAGLRAHLHDPTAR IFLVVEGMDKALIAEQRKQRAVRRRI H257_16220 MMSDSDSEIDGMLNALGLPPSQRSVGSPSASQPGSSGRRRPIAA NTPLQQQSMPDTSVVDLSETSPVLKRSKVRQRSSPVHVPSIISSSSVQSSDVIELASD SEPDTFRHHGSIDPLITNPVDVSIISINTNSDEEDSPDNERDVHDDANDDDDMLPYED ENYFESMHQATLTYSPTSEAPIVTPVATATMPLVDPPPLASTEPPMVRPPPPTTRPTT KSSRASKHPKSTLNVALEASWSESDVAIKFKEALAAHTPKPIPVLPSIHCHVSCALLW EHSTAFDAALNRPVLTRVPMACRVFAALDFLAAMDARYAPIYDVIAGLRAHLHDPTAR IFLVVEGMDKALIAEQRKQRAVRRRI H257_16221 MKGLMWKRGYTFPTMKRVFCVLEGSMLSVYGSENEFDRGHTPQK VVEITQVQLWDGRSTFKHYDHGFILVALGGKSYQCSVDSSLLQKEWIHAIQSSLEEPY RIVADEIIDAQRDLNSEVKEERDMASIAAEAVQRAEVASRAIRGGEEHIQLLREKQAG LAQKARDTTDEMHDAERKAADTLEKSKAAKQAAHDDILRNAVQPGQMSETFKKDAAKL SALYEVEMNVVSTLQKAIHDIHADMAELERSIADAVADVAAMKTNAEQTIQQATGAIH SAQTAKVKVKLRLASWTSSHSHVDSLAQGYLRVKNTLRPKMHRKYFVLFGKTLCWYKN ADDYFQNIRSPLGVVHVAGPIKDWTGKVGLTTYPNAFSIPTVEGKELHVSASVANEVS TWSVAILTGATMIPMSPERARDAKVRRDSFDLTSPPAHTHRMSFFAPTSDRLLDAPFS VKKDKGDESSDKPDVVVVEGSLVKQGHFVPTMKRKYCVVVGVHIYFFDSHEQYVALKA ENGALSPDTTTVSCSELVRVNDWDGSLLLMTYPHAFQIDTLHHAHILCSAASAKEKER WMKGIRTAIGHHVASARAVGSLDSFHHVADESKVPLTDQARAVLEFETILADYFHQHN SAKEEDVYVMTKLFQGREGELLKHLDDMYITSLAKDHASLVAKLTKYHAAKLADNSAI ETDGLLPHLEGILTYDVPALLTTSKVRVYAVVLGNKLLHFSTRIAALTEPDHPTDTIV FVAAQASPDAPLKLEIVDPQGVQRVYDAASTVQRDHWIRTMHLGLEYARAHRQRATLK VSESTTLHEILDIPFSDECSPESAEFKQLMVAYYEEHNPDGLASVEALLMHFRGKEHL LLASLDKMYGTTLVANDHMTKLCAAMSAYSSDTALRTAAAPGSWRHSLSCDGSLPHHK KAGFVMIKCSPTMPQLKKCYCVVEGASLTCYAGVDMAHVLLGPWQIDNVSMGDGQFSM YIDTSADTNVFAQLPTEVEFHGWLSACHVAIATHHVTVLNESPLAKYLLAFYAKTNPA KGGDVPLLLDSFAGREMDLLAKIDAVYHTSLSTDPEVISLLPPTSASPSTVPTTATVD PTPLIQGYIMKKGYEMPSMSKFYAVLRGHMLHVFDTKEDAVANTAGRPPKELAAVTEW TGSTHDKYRFGLELVTTAHRTYFIAFDSDESKVHWTSAIQHGLALQRLATRIASGALQ SDTTKAIRDKIVHSYSHVSQHFVDELNGVLELSHGFDLEVLKMLDKKYGTHMVMDPDL TALLDTAGAATMTTTGYEAPVTLTSSDGQASQPLFGVLVDTKLQCYATREGYKSGLLQ PQVAVKCLAVAPWKDKFVGFVVDTEEGAPVYLTGSTASESTRWIQALQTALDKSNLND LLHCVPSDGTNVLSSFLSIEANGKLVRRYVVLEDLEIKVYTTPDALQPAVCYDVVAIN AWQSSTAPGHSFQLDCRSEWNSAVLRCVADSEVIKAKWQSQVADALKRKAGDDLMQDQ AIELSHATSCATTFQQSSVQGYMMYRSHVKGKWTDAKDGYFLLNQDRLIGYADETSAR AGDESAQFMVVEVQSLFESQSSGGHQNDFYIQGKVGPQQLTSTLHFIPPESNDRDVWV AAITSALNDSRGATLLLEQQVELALHTTAAASRTSSDPRLGYVVPTSTMEGTLQRIEE AFLHFARDPRRYYVLVRDTLRWFDTKAEASTSNLSSYDDNNHDETDEKTDERAMKVLS VSDWDGMPFGFQVDAKYRGVSGSIQFIATSEAEKERWIRALKAASDEAVAEQTLRDIL TRQTTLQTQHSSEIFQEGFVHLRRSHFAAAWNEHYCVLKGPWLATYDTREDFATAPGK ATSKVQVVFVGDWYGAVATGGGVKNMFRIETIELGFLEAYVDDPEDKIHWMHTLQTAV SSLKADELITRDLALPSYAGATMEGYLTKHGGTVRTSLKRYCVLLRTLWLYFASQEDA LDGTDPLGTLQVIGLEPSTSEGIEPEHSFMLLTSGRKEKLCVEARTGAEKQLWVKAIQ GELNQEEALRGDIRAAEAKERSKAQQLQDTVNKSRVINEEAAALDAEMEATLKQFEHF NSVGDDDDGLEDDESDDDVTPYVEYVDPPTNHMDTAPENSPIRRASKNKVHPFAASLQ DPNPSDGSATWLPWSRWCRCFAPRPPLDLKVNHDPMLTDHERRLYSCEYYSNGTMDSS L H257_16222 MVSATTSLLPEIPTSPVAHWNMEVYAVVAIAAVASWLVFYYFTE EASKIRLVFNESSKLNQFISTNCATLQSAYHPPWWAMSAHVQVLLTVVWPQAMVEYSR EMLHLQDGGHVAVDWCKGTAHLAEDAPIVLVLHGLTGCSDGYRSFCADALQAGYRPVV FNKRGHGGSTLAVPLLQAFGCVKDMKEVIHHIQRLFPASSIVGVGFSAGSGLLCSYLG ETGKDSKLKAGVFVSPGYDSMELFCNGGINAPYNSILTFALKKFLRQHEDSLASTIDY AAAMKSRSVAEFDRHVYMTLHGYKDLASYWKHNNPMRSVENIAVPVLCINAKDDPVCP FGQINWSIFDINPNSLLALTTYGSHCGFYEHTTGLQLKSWASKASLDYLSTALRFIDL APST H257_16223 MIEAIRDPQSFLTLTLSLRRLDPIGSSQIAAIASDIAYGVRSPD GVRFFVASATSLERGVVVTSFAMHSSGRGLLLSPTVSMEEATELGEIFANTVELLLVQ VMGNDSATAAFNASYCRHRSSPTTAAWKEDLIMYVLGNLQPPSPSKLAGAMRSASIDR DADLLTAWSMQFLEYIQAPTDDAAPFVARGFKRHALFVWEVDGNPVGFAGYASPVTVE GETVFKIGPVFVAPSERRQGYASALTAALCRHLLESNILPTAARICLFANAANPASNK AYQNVGFELHSRRVAYSFESACSSI H257_16224 MSSATTLHQQVAEILTSLVGPWTKEAYAAVAITAVVVAWVFWSI NEQPTKVRLVFNASSKLNQFVSTNCATLQSTYHPPWWAKSAHVQALLTVALPQAKIKF SRDLVGLQDGGHVAVDWCEGTSQLSTDAPILLVIHGLNGSSDEHRSFCADALQAGFRP VVFNKRGHGGSTVAAVPLLQAFGCVKDVTEAIDHIERKFPTAPIVGVGFSAGGGLVCS YLGETGKASKLKAGVLVSPSHNTEDLFCGGGMNAGYDAVLTFALKRFLRQHKEHLSPV IDYPAAMRSRSVAEFDRHVYVKMHGYEDLASYWKHNDPLRAVENIAVPVLCINAKDDP VCTYGQIDLALFDKNPIGLMAITKYGSHCGFFEQTSWFQLKSWASKATLDYLTTTLRY LDSSSATSSLSE H257_16224 MSSATTLHQQVAEILTSLVGPWTKEAYAAVAITAVVVAWVFWSI NEQPTKVRLVFNASSKLNQFVSTNCATLQSTYHPPWWAKSAHVQALLTVALPQAKIKF SRDLVGLQDGGHVAVDWCEGTSQLSTDAPILLVIHGLNGSSDEHRSFCADALQAGFRP VVFNKRGHGGSTVAAVPLLQAFGCVKDVTEAIDHIERKFPTAPIVGVGFSAGGGLVCS YLGETGKASKLKAGVLVSPSTFLSDVQPLYTCIAYHLDLALGHNTEDLFCGGGMNAGY DAVLTFALKRFLRQHKEHLSPVIDYPAAMRSRSVAEFDRHVYVKMHGYEDLASYWKHN DPLRAVENIAVPVLCINAKDDPVCTYGQIDLALFDKNPIGLMAITKYGSHCGFFEQTS WFQLKSWASKATLDYLTTTLRYLDSSSATSSLSE H257_16225 MSKEEFVEMMTSFVFDVQQSVGFDTDASRDLLARLYAALEPLRS HCQTSQEAVNQLERQIGRQLALRHADLRTRFLHFDRHVSGYMSYVDFQHALDVLNLDA DPAVLTRLFQKYDPQGQGRINYTNFLHLTHVTDSDPPRSEAHDAMMLSHPPPYRPPSD NQDLEHLEHRRTDDLVARIRAKLYQRGLSVRELFLSMDQGRYSYLTLQELHHGFTSIL GIDLHIKELMHLTRHLTRKRTDLLSLSDFAYLLEGLSMFHPTTSATSVGGSSSPISVM KPPCPSKSLGLPAPSCLNPLFESQLASVLQDQGKKSRRALFESMAPLGSLSPSAFHSH LRRWGVHVPDDAELVRLVGYFDTNGNGTLEFHEFIRLLQHLERSS H257_16226 MEPRSMWSCFAMENEHMRNTLGFRKERFIPLHFERKPQKKATDK RDDTQHNAYAASRQADPESQGAVHLESQRAKLEDSTDYAYKSVFLAVLVVALSALTIF VSAEVIEVTVSRASSPTIWKGRLGGSCVDDIVA H257_16227 MRVPMRNALLQFLASVQQGIAYTSSVSRPGGQNRVVELDSAESQ IEHIVAASAECMRGTPHEGTWVFMHDALSQMTCKSTVQWMKDTGTSGKQPGIYALGLL P H257_16228 MVGATAWALQEGQRKQLGHPIEKSAPCVKALIRDVRTYADKCNG SLRPVPIARDNVDIDTSLHPRASWLGYYDCPKDGNEKTRAEWYDPTKQHHFQGANNVG LGSPHMSNVTRPSTSRMVQLT H257_16229 MAVMSSRYQWVLVAGAICCFLNVMYLASKYGMLEGLIPKNKALR RVNAADANARDATATSRRVVTPSETLHPLIAMPTLTNDTNMQRQVTAAMSWAWGAYRK HAFGFDSLNVKDMVKDGLPGHDMAISLVDSLDSLYIMGLQDEFNEAVDWAESNLAARF PLPPRVSIFETTIRNLGGLLSAYTLCGRPALLTLADDLGARLHRGLNETALPLSLVSL VDGSTSDSSYVAEFTTIQLEFKYLAQLTGKTEYHDTVELLMDKVAEIVARDFPTGVLP VIVDSHNGKIHRGVIKLGAGGDSYYEYLLKQWLFSDKKATKYKDMYINAVNGIMTTLV GRTKKSNWLFLGELDTARGLSPKMDHLVCFVPGMLALGYVNGMPRSHLTLAQELVHTC FQMYNQMGSKMAPEIAYFNTATTADDIQVHPQDAFNILRPETVESLMIMYRVTKNETY RAWGKLIFDAFERNARLDSGGYSSVGNVDQTSATKFFRPTMDSFFMAETLKYFYLLFS DEETIPLYKYVFNTEAHPFPIQRDQQQPQARPN H257_16230 MSMTLFCAIVNDGNAMEVEVHASALVAQLKKLIAKEMQYPFPAY ELTLYLAKLADGEWLQWSDEAVGKLRTHEVTGVIKSLLANREMDPTSQLDNPAFNFPS TDSQQGRRIHVLVRVPSARWDEVRPHKKHKVSSTDNDDEEKDEAVEGVQGATSIPPDV IASIEGRLGLLNSQDVGGGVELGIHLIEPDFPQWFFMRQATKDVIKTCNALERVFVVL KGSPGVGKSMLVLLLAFYKALCLRTPVMLIRNRKGPGGGTSMLCLDPVNAKYWRKHDA TIEELNGFRRRYKGFALYLDGFDKAFVFKHGLQEFRLLATSGQYRLKSDDVPHYRLCV VPFWSKPDLIAIGRHEGWDEAAINDKYFYSGGNLRHFLMPQHIAEDVVIAATDSIVPG MTIHLESQHGATSPHQVDTIRMTGVQPMDPVTDSNADGTTNNYVRMGQWFYAITSEYA LRRLAQIIPPSEFQAIWLTGHNLGDGVLKGIAFENYIHNLAMQLNKIDLKVLEYRPKL TAGVASVYTDEAFEAKAPKTSGNNTVECDAAMVSMASSPVDYWRPYTRSLPTIDCVAK LTISGQEWVALIQITTRNTLNIDVEALEAYASHFPQWKCCYIALVPDKETCDGFRLSP VEPHTGVPLKVAYMPAWSAFAATKIEK H257_16231 MQVQQVPRIQFLADAFKTTKPSTVNSKSFVPTRTTTMTCPANFP TVALPPTRKVPILECSVYQPKCNGGLANKQQRYMPDNTTGVAKLQMDSNLAFKFFPID RAMWMDYNRRDDL H257_16232 MTQVGLNRGHRALIRDVRTYTDKCNGSLRPVPIARDNCPKDGNE NTRAEWIGFNPYLECDTTIHVTNEVSKGLQKLVSDYKQSKYSRPIVLGEFGCIKVVNT VSGIEQQRNFYDVRCYKWMNEAPDMTEYVVRGIAFRVQCRKGKLD H257_16233 MTQVGLNRGHRVPTLGEPTRKGIALIRDVRTYTDKCNGSLRPVP IARDNVDIDTSLHPRAS H257_16234 MFDWPTLKTDVKNFVTACLHCMVVDGESVPRPWGEALHATKPNE LIHFDWLSLPEAPGGLKYVLVIKDDMSGFVRLHASTTASAAETAAALMECRSLPPLTG LVHPDESREVTIDWIKSRAIRQVTELADALGIMHKHVAETAAAKRAKARYRRDGQRSV KLVKFTLGDFVLVAWTLQHPGKLTLRWKGPFRVVKVVSDYLMEVQQLVPPGATSLHHA CRLRLYCESGREVNEDLKTQIAFGDEGFYVEDLRDLRLRDGVWEVLIKWLGLDNLESS WEPALSIYEDVPVLFRRWAKARSNEDGVSEMIDDLTSVTL H257_16235 MIPRQYQRRAATTAICCLLLLLANVIYLFSRHGMAEGMRTVGWM RGMMRRPMPSLVNDTSMQADVVAAMKWAWNGYRDHAMGHDSLDVINMNGTAFSDHDLA ISLADSLDTLFLLGLHDDFDDAATWAEANLPHKFDGPGKVSLFETTIRVLGGLLAAHQ LSGRPGLLDLADDLGGRLLPGMRSSLLPRSFVSLEDATANGPSFLAEFTSIQLEFKYL AVLTDDSDYSEAVEDIMDTVSQSVLREYVDGLVPIYVDNELGRIHRSRIKLGAGGDSY YEYLLKQWVFSGKRQDRYRDMYETAVTGIMDKLVGRTKKSGWVFLGELEVNGDLTPKM DHLVCFMPGMLALGYMHGMPSSHLDLAKALGRTCFEMYNQMASNLAPEIAYFNTVDDS NDIQVHASDAFNILRPETVESLMVLYRVTRDDTYREWGKVIFRAFEQHCRLPQGGYSS VNHVDSPAPSKFFRREMESFFMAETLKYFYLLFSDESVVPLDQFVFNTEAHPFPIQWR T H257_16236 MIKLSAPIEWLLALGSLWCVVNVMVLDWRDDRVDVVPTQQSRPI LRRPHPVQFRGQSADLNASIGANDGAVLAASNDEGNTYLIDTIETSATPDESVEIANA IDIAPLSPWSNTSDSTRHATLLNDTAMQTDVVAAMEWAWKGYRTYAFGHDWLNVVTMA DQGEGHDMALSLVDSLDTLFLLGLLDEFNEAADWAEANMPARHTQPGRVSLFETTIRN LGGYLSAYHLSGRPKLLALAKDLGTRLCRGLDKSALPQSLVSLVDGSTSDASYLAEFT TIQLEFKYLSTLTGDSTYANAVETIMNKVARIVDATYPNGILPVIADSYSGRLQHGQI KLGAGGDSYYEYLLKQWLLSGKTDSKYKAMYETAVTGIMDKLAGRTKKSGWVFLGELE VNGDLTPKMDHLVCFMPGMLALGYMHGMPSSHLDLAKALGRTCFEMYNQMASNLAPEI AYFNTVDDSNDIQVHASDAFNILRPETVESLMVLYRVTRDETYREWGKVIFRAFEQHC RLPQGGYSSVNHVDSPAPSKFFRREMESFFMAETLKYFYLLFSDESVVPLDQFVFNTE AHPFPIQWRT H257_16237 MPSSVISTASTKNHPGIAGTGVLEIKLKKKLAADPKAVVYSGIQ SPVKAKARGSKGHHKRNPVANVRLDNLNLHEKSYKKERGDIMETLQFPSPTRKYVEHE VVLKKHKDKYARDALPPVAGAFKKRPIPSSNFPSSYTRGAVPICIEPTTGGNALRWTK PLPDIDFGVMLPLFLDGTRETQEPYRFLASKGSIELLQYGRQHPEKVHACLHKVVAPL RVALNTRDHKLVCETLKVMLILLDVEGIGVALVPYYRQLLPTLNLFKNSRHNLGDSMD FGQRHNQDLGELVRTTLEKMERVGGPDAYVNIKYMVPTYEGINMG H257_16238 MTDANIRKQLWEGHVPVCFSIDASEITTLQVPRPYYAMVPRVSS LMCAATDAVINHFKDVAPPMLSSQLSRYVWFEFKGLPLKWHLPFGVLYDLHGDDMLPW EVTVHFQGYPSQHLLPCDNLQAVEMHFMNSYKQSMFLLYGSTKWIMNLPQQKHTQLWE GHVKNDFSMFESVHATLVPSSEPKRHVPLRVLLPHEPTIQLPISPSLTSVRDVLSHLL PDIDLDAAAVRLHGIDVELELSMSELYRHFAYPDGFLYIAVVA H257_16238 MTDANIRKQLWEGHVPVCFSIDASEITTLQVPRPYYAMVPRVSS LMCAATDAVINHFKDVAPPMLSSQLSRYVWFEFKGLPLKWHLPFGVLYDLHGDDMLPW EVTVHFQGYPSQHLLPCDNLQAVEMHFMNSYKQSMFLLYGSTKWIMNLPQQKHTQLWE GHVKNDFSMFESVHATLVPSSEPKRHVPLRVLLPHEPTIQLPISPC H257_16239 MVDEDTRNALMLFTYTFGVGSLSMGHIFAATGSILGSVVLYFFS ACNLHASSLLCRCMTVVPTHVRTFGDLGFHVCGNVGKYIIVVSEALSCLATPIAFLVL AGTELLPNIAVGIDVHLTPTQWIIATAICMLPLVYIPTLRDTAVLCILGSLSTLCTDG IALTVNFVERPLAPRESHVSLSSAFSAFGSIMFAFGSALLIPPLYRQCSAVGSHHLVT TVSTTLVYVTVLYMFIGILTYAQFGCMAPTTLLEAMPRGSWKVVANAFMLVHIAIAYP VIMSPTLFVIERCVFGKDSDVDTLKEKLLDETKDKMTSPDDPSSPSSVASAHLMHVKH NTSEYKSVESTEQHDKFVRDVLHDSLTFTAGEHVCRMLLRTFIVAAQCFLAVMLQTSF ADILTLIGATTVTLPCLIMPCVCFLRMFAPDGTWMGAATRGFSYVVIAVSAVLGVYST YFAVANIQSNWATYHMFESIHAVPYVNGRVRFPNCKVGEQN H257_16240 MAGRIRKELEECQRGVEVSGVSASLRTDGVYDALVGTIRGPAGT PYEGGHFVLEINIPKTYPFEPPKVRFDTKIWHPNISSQTGAICLDILKDAWSPALTIK TTLLSIQALLSAAEPTDPQDAEVARMYINDKDRFNNTAAFWTQSYATEKGDAGSDAVQ RLVDMGFPKDQVRQALAETKGDENAAVERLLTSL H257_16241 MLSKQVQTQMARSVSKRFFASGKDIRFGVEGRALMLKGADQLAD AVQVTLGPKGRNVVIDQAYGAPKITKDGVTVAKNIEFRDKFENMGAQLLRQVANNTND AAGDGTTSATVLTRAIYSEGCKSVAAGMNPQDLRRGIQLAVDHVVAELAKLSQDIDDK EKVAQVATISANSEKEIGDLISDAMERVGREGVITVQDGKTLYNELEVVEGMKFDRGY ISPYFVTNSKTQSCELENPYILLVEKKVSSAQGIAPMLEFVYKAQRPLLIIAEDVESE ALAALILNRIRAGIKVCAVKAPGFGDNRKAGLQDMAVLTGAKVISEDVGLRLDNATPD ILGTAKKVTITKDDTLILDGEGPKDAIAERVELLKDSIAGSTSDYEKEKLQERLAKLG GGIAVIKVGGASEVEVGEKKDRVVDALNATRAAVEEGIVPGGGSALLWASRNLKSLYG NVANMDQKVGIQIIERACRSTVTQIAKNAGEEGAVIVGKLLEQSSPTFGFNAQTGEYV DMVEAGIIDPTKVVRTGLVDASSVASLMMTAEALIADLPEENAPAAGGMPGGGMGGMG GMGGMM H257_16242 MASRKATTFAQAWLSDTAAYPIIAVIGGALGLTTFSSIRYLSAS PEVHFNKANRGNPVISEESAKGWNSHRNGIRTWSENKINQHQKAKGLQGL H257_16243 MDNDDDALLLMSLDAAAKYSRSALDASNALRDAFFKLSAAKRSV ATDVLSSLSFKEVFDATTGVSIVGKEFHLRKNWDQERDNNTTLQQEKRLAERTSSDAT KAAALRNRKPKSSSTSVHDEAPPPHDRATTPSPPSTLPTPVFWFAPMPSQDLRAAQLQ FSRVLEQYVHAATLARQTTDAVRAVNPIN H257_16244 MPRTGFGDGSFAMSFATSLKKRRRSGDVTQTLTAPGGMILVDDD DDDDIIDFDELSTPPPMLQKTPLPVPPQSLVFPRQHSLGYDVRRAHLVTDIGDHGTKS FRYKSLQHGGVPSEPHPERASNTAATTASPLATTFHALLRRREREASRVRRTSSSAAP GPPRDSAVLEKLKHVHPMDIVDKERSIARYLQEHQRYTFALVLRNTAQVLEYLHVKHP YRSNVHNAVKLANQVRLMHEKVCRRLVQAGLGVILLDNDSVMTDADRTFNPQMMRQYA PTSQICILVDPYKDKDLLAHEFKREKDEWAIKKGSASAKLSENSYMAPNLSFSPALEL QLTQNLITNALRDFDTEEWVQDMQNDLTHWDVIEHCFPLHDRKFNDEFFEEYRKRTFD FSMAKATTGNRERWAIEELRFHFGERVAFLFAFMHIYSKLLLPLTVTCVLYYVVFRFM GGFVWQQYSQGLAVLSFFAASVWAPLFLVFWERETALLVEKWNVGEKETAFDANDENP MFQYKWGRNAMTNKMEKVALYRNKTAMQFVMLGFVGLSIVFQSICTLPFIQWYVYAKT VYTCDTCRTVAGATCWQMATCFHSADSSFGSDRWWYILMQGAILGLLIDVVFFELFNW LSAKFVSWENYASKSEFDNRLVHRRFLFVWMNWFFWFLFLAFVYLPFGEGIVRYLQSP DNSSTVPAFVQSIFKAVNWDPAVLTLDTLFVTPLVITQFLNMLMETILPYLVRKCKGK PMHCRHAASCCRVSVPRVFKWLVRHVQAPADTPPTAVNSNTSARALCDAISHTSRYFV PLLLFSDDSNGYTAYNIVADAKLSPFDTTFDYLDASIQFSYVVMFTVVWPLLPFPAFF NNLLEVRGDAFRLLFVSRRPMPRRDVSIGEWATVLSYANIIGITVVAGLISVYHFSAF LDHGCNFEFATAAMVPISALNSTLALNPVACGLQKDTSWVMPQIIVFVLLEHAAFSIR YLVLQINKVPSSIALSRKVKALEALGHIRSAHNEQFDCLRQLRVMFDKYDTDGRDYID NPDVLQAFVAEWTGRPASDMMSKDMLFHYMDKTRVGRVSFASASLLLIHVHHDRFLSR ILGIADWLDDFQNAQIQHRPDDLEECDDDKELRPSHLDQWRARERAQLHDYDSLA H257_16245 MAAVDIESQQLLLHTETTIAAFDIPNNGGAADDAETEEAEEDLD DDDDDNSVSHVASASLGKRLHDATASSTASDGKRLRPNDPESVIDLGEDDEDDEEDDD DDDDDDDVDSSAVRPYAKNGSKQFMSKGNRSMGQFDDSEPASQQHSNLQRLLDSMDRK TKTELFSDALELCGNDLFTGHEAWQDQLLLKACRLHPAFVSSINELAHQSGSYNTPVV LSGDEDDEDEEDDADDYDDDASFAQDNGDDNGDDNDDDDALNLVEDDEDDELELGDLS DL H257_16246 MGPTQGKSAGNMGLAQGKSAAIAPPSSPPSSPLAHPTHEPNMFT FDLTPVCIGRSRDCELSLSSDVSSSRVVSKKHARLYPCMFENNQQVRWFLKDLDSKHG TSVNGVDVKSDSSVQVFDGDVIVLARQPHPPHDDDQDNANPSSPSISIKCHLHGRGNA LLTLITSTSLNQRHSALPHPYKPSHSTDKRKFHAATSSPRQPSSGRPPSDIVKKRFRS LEADPANHDESPLKCPVCFEFFVDSLTLACSHTFCGGCLRSWLLQSLTCPTCRVGVTD VPVRTRALDNLCMQLVDPTDAAWMLRQQTWHAEAARLTKKAKKIRHAFLETKALPWPN VWTTWHDTRDREVFSQTVSVAVGQVREAWCEAVGLTEAAIDSHGKAKLLVASSNLLVA TNGRTHRGDGPSTDNLRHRLRMFLRYG H257_16247 MDNLKFDEFLHAGEPGAMSVATACAKLQKLLTTELSTPLHLHSV DSRRKFFAFFPRLLDRVFGEDVQSKNSSAWIATVPQSNGPSGDSSGAHSSSRPTSPRA NQGPNAHLGEQGQAVVELFQKSLFDFVFNISHAVRFRLDLTLLPLPAQVEMRNAGAVT PLYAKMFSSPSLSVNGKNQCFMVPIQPYFLFSFLRYPVSTSKLKRPIAAAATTNASAT NTSSSPPMASSTNNYWWRTSFPKEGITSLTHRHAYNVLLLAYLESFLPHGPEKLSFKS GRKQSMELFLNLLVEIWLRQNQVTYGSNDATALHHPLAVVKAIDQFTPHTDDVLCCLL ITVVHVLADPHIPLLVHPSTHVVLKAIQEPLYDFFHIGFARSAPDSNPTSFCMLVDVL LAYLQPWQCVHWATSPSSPPSASYSPAYAIYVLANYHFYTTLFGVFIVHARELDWDVP TGQMLERALQVYTPELLALLAQAHAFLACQSGATSSSATTLSSAETNVLLRHLSEFGL TPYPVSLSQDFRRNAEHVLDKLQYARDTHPKPPSAALFLSSVFHSSTASASSPLPPSA ADTSLDATLDRAATRLRAVFDIPSTYVVPASSFQTPLARAFSLASLDAARDSHHELTP QGRAQIRLGKRLSSVDLAVHYRGDPMLKPISSYEIPSLVRLWYKVSVAINAMLDLPPS DGFRVNLRFLAAKSNVLWLAIAIALTYTLLW H257_16248 MVPTSTAAVPPRPASKSASHADTFTSGNLNGSKTNDDSSDDEDG SNGSSSRSSAGDDDDDEGESVSGTEGDTEVEVADTKQVILSPRKSCVPVPAAATGPID TNSPLGILRSMVEMAQTCHFLLVFGPTLKIPSISRTELESGIIDGNKSDAYPLLVEVF YRLCRDAGQKIEKARMLQEWERVVHRKLADNWQREFQENPMPTTHSFDDVSVRDRARI LHALCEWRVHASDITKFIAGLSTSAPLPPKDDKHSNTTTTVITYASLRPEALGEDDDG SIYWYFHDGCWVYAETASAHRKPPPPVYHVRYATPSKIRLSTHFELENNAGLDTLVES TLVVAPSSSSLKRKRSSSKKEHKSDSKKKSKRSRHHRSSSRHHRSSRHDDDEEDPAVP KQLKAEIHETIVPPPPLPQIPEEPETSNEDAVKPPPPHDRSDTHVLCSMCKKSYDMSL LDPPLLRKPQGEWKCFECLVNDCRGWPRRRPSRQVAPPPPPPPTEKTKTSKKKSTSSS SSHKSSKKSSHSSSKKKSSSRRHRSSSSSSHSYPDEFKLLLDLYHTRKRQRDEALAES VAAGMPPAPWLLHTAIKTEPRALVDGNNVGGWRVVSASVADLKLLLATKFVSGSMAQQ RLKGQLIQILKAGEAHEEQVTRLRVHEEQQMMWQMQALPRRASSRVALERLKSQSDPH ASKSDDDTATWHETLPHSTANDRALRLTRRTSSADNVPEGHQKRRLAMERAHRASRRQ REWDGPSSSDDDGDVVTPRQTRQSATYESPVKQGEWVNWNALQTHAHPLRVVCAALVT RMIQEEKAPLFSRPVDPTADGCPDYLAIVKHPMDLGTVKLRSLNGTYKTWASFKVDMA RIWSNCRLYNSEGAVIVEYANELEKIHLNLVRQAEKHGVASMTEDGTKKPTSSDDDDE FHKSSPSHHGSSSSSSSADSSSSSSHSSDSDAPKRTSKLRLPPRPSSVKPKATQPRPP PPPKATPSRLKKPQRKPRISSSSSSDSDAAASSALPLKRRTTPPTKSNPVLPSRQQHP PPTRPPKTIVQSSSSSSSSSSSSGSSSEDDLSVAPPPRSQPPPPLPAKKKAPQSPPPP PPPTTPPPIPSALVDGNDSSSSSSSYFSSSDDSD H257_16248 MVPTSTAAVPPRPASKSASHADTFTSGNLNGSKTNDDSSDDEDG SNGSSSRSSAGDDDDDEGESVSGTEGDTEVEVADTKQVILSPRKSCVPVPAAATGPID TNSPLGILRSMVEMAQTCHFLLVFGPTLKIPSISRTELESGIIDGNKSDAYPLLVEVF YRLCRDAGQKIEKARMLQEWERVVHRKLADNWQREFQENPMPTTHSFDDVSVRDRARI LHALCEWRVHASDITKFIAGLSTSAPLPPKDDKHSNTTTTVITYASLRPEALGEDDDG SIYWYFHDGCWVYAETASAHSRKPPPPVYHVRYATPSKIRLSTHFELENNAGLDTLVE STLVVAPSSSSLKRKRSSSKKEHKSDSKKKSKRSRHHRSSSRHHRSSRHDDDEEDPAV PKQLKAEIHETIVPPPPLPQIPEEPETSNEDAVKPPPPHDRSDTHVLCSMCKKSYDMS LLDPPLLRKPQGEWKCFECLVNDCRGWPRRRPSRQVAPPPPPPPTEKTKTSKKKSTSS SSSHKSSKKSSHSSSKKKSSSRRHRSSSSSSHSYPDEFKLLLDLYHTRKRQRDEALAE SVAAGMPPAPWLLHTAIKTEPRALVDGNNVGGWRVVSASVADLKLLLATKFVSGSMAQ QRLKGQLIQILKAGEAHEEQVTRLRVHEEQQMMWQMQALPRRASSRVALERLKSQSDP HASKSDDDTATWHETLPHSTANDRALRLTRRTSSADNVPEGHQKRRLAMERAHRASRR QREWDGPSSSDDDGDVVTPRQTRQSATYESPVKQGEWVNWNALQTHAHPLRVVCAALV TRMIQEEKAPLFSRPVDPTADGCPDYLAIVKHPMDLGTVKLRSLNGTYKTWASFKVDM ARIWSNCRLYNSEGAVIVEYANELEKIHLNLVRQAEKHGVASMTEDGTKKPTSSDDDD EFHKSSPSHHGSSSSSSSADSSSSSSHSSDSDAPKRTSKLRLPPRPSSVKPKATQPRP PPPPKATPSRLKKPQRKPRISSSSSSDSDAAASSALPLKRRTTPPTKSNPVLPSRQQH PPPTRPPKTIVQSSSSSSSSSSSSGSSSEDDLSVAPPPRSQPPPPLPAKKKAPQSPPP PPPPTTPPPIPSALVDGNDSSSSSSSYFSSSDDSD H257_16248 MVPTSTAAVPPRPASKSASHADTFTSGNLNGSKTNDDSSDDEDG SNGSSSRSSAGDDDDDEGESVSGTEGDTEVEVADTKQVILSPRKSCVPVPAAATGPID TNSPLGILRSMVEMAQTCHFLLVFGPTLKIPSISRTELESGIIDGNKSDAYPLLVEVF YRLCRDAGQKIEKARMLQEWERVVHRKLADNWQREFQENPMPTTHSFDDVSVRDRARI LHALCEWRVHASDITKFIAGLSTSAPLPPKDDKHSNTTTTVITYASLRPEALGEDDDG SIYWYFHDGCWVYAETASAHSRKPPPPVYHVRYATPSKIRLSTHFELENNAGLDTLVE STLVVAPSSSSLKRKRSSSKKEHKSDSKKKSKRSRHHRSSSRHHRSSRHDDDEEDPAV PKQLKAEIHETIVPPPPLPQIPEEPETSNEDAVKPPPPHDRSDTHVLCSMCKKSYDMS LLDPPLLRKPQGEWKCFECLVNDCRGWPRRRPSRQVAPPPPPPPTEKTKTSKKKSTSS SSSHKSSKKSSHSSSKKKSSSRRHRSSSSSSHSYPDEFKLLLDLYHTRKRQRDEALAE SVAAGMPPAPWLLHTAIKTEPRALVDGNNVGGWRVVSASVADLKLLLATKFVSGSMAQ QRLKGQLIQILKAGEAHEEQVTRLRVHEEQQMMWQMQALPRRASSRVALERLKSQSDP HASKSDDDTATWHETLPHSTANDRALRLTRRTSSADNVPEGHQKRRLAMERAHRASRR QREWDGPSSSDDDGDVVTPRQTRQSATYESPVKQGEWVNWNALQVQFDLLFYQHAGVL R H257_16249 MSIRVYNKDQFEALQQNALNNSKSPTSEKQRTPRSSSVGGGSGG YPHSAKTSDLSTNTRQKRLMMKHELYKKDQEDVQKQIQVNIADSLTKQRNKREANYVK LRRDIDEGKSLAEELEERLSLKEETAKRQKQRLYDEWSEKVFNKINGPINDRVKAMDA KALNLHKQEAYQHFLDTANKKGCLFRDIIIESEYDPLHDNKSIRHVTHVDDPCCRVIK HREEEEAIANEGKKVLDDSMEPRGTGTAPLILGRCDNLDTKLWASGIFESTPYGYFNK MMASTISAESSKTYESRVKFDHYDIEKGLGTLNKEFPKGKQTTFNGVSGLKSKDQVRL G H257_16249 MMKHELYKKDQEDVQKQIQVNIADSLTKQRNKREANYVKLRRDI DEGKSLAEELEERLSLKEETAKRQKQRLYDEWSEKVFNKINGPINDRVKAMDAKALNL HKQEAYQHFLDTANKKGCLFRDIIIESEYDPLHDNKSIRHVTHVDDPCCRVIKHREEE EAIANEGKKVLDDSMEPRGTGTAPLILGRCDNLDTKLWASGIFESTPYGYFNKMMAST ISAESSKTYESRVKFDHYDIEKGLGTLNKEFPKGKQTTFNGVSGLKSKDQVRLG H257_16250 MAAKVITSTLQRAARVKQYTLAFLLRDHQGEKQVLLGMKKRGFG EGKWNGFGGKVEVTDKTIEDAAAREMTEEACVDVHGKDMERVGTLVFTFTDKPEVMHV HVFQIRAYSGTPAETDEMRPQWYTYDTIPYPSMWADDSIWLPHVLEGHRITGQFDFAD DETTVLHHVLDLDGNEPPN H257_16251 MLHRVAPHVMKGRWMARIRYPSSPSAPRSAWNNEWRRHMRSMTA ADLLNVAGVARSQKASVVSEDDTLVKAVETMAAHHNSSTLVVVDTEDRVVGLLTQHRI LTQISQHLGNWPSTLVKDAALPSRDIVHVTPEDTLQDCRAVMALTGRGELPVLSGDSL LGIISLTDIASLLVKNDSQNDGEAHSAKSDYVHLILPRKGLPKNTSLAPSVAMDDKDI PQFVLHSFAMSIPHPQKVESGGEDAFFLGTVGTPDNCGADVLCFGVSDGVGSWFEQGF SASKYSRELMKAAQAAATVSLTKHPHVNPSEVLHAAWQNVSQQAIMGSATACVVALDP VQAELYAVNLGDSGFLIIRDKKSDLKTAEKRGTLDGSLTRKMKNRDTDLTPAGRRKGA HVSYRSPQQLHYFNCPFQLGYYVPDIPDGSAGPLFETPREAVNLRVPVEEGDLIILAT DGLFDNVDEDQLLEIVAAEPDVESMTKQLVQRAYDLSLDRKIDSPFARLAKENDKMWG GGMPDDITIIVARVVKRG H257_16251 MLHRVAPHVMKGRWMARIRYPSSPSAPRSAWNNEWRRHMRSMTA ADLLNVAGVARSQKASVVSEDDTLVKAVETMAAHHNSSTLVVVDTEDRVVGLLTQHRI LTQISQHLGNWPSTLVKDAALPSRDIVHVTPEDTLQDCRAVMALTGRGELPVLSGDSL LGIISLTDIASLLVKNDSQNDGEAHSAKSDYVHLILPRKGLPKNTSLAPSVAMDDKDI PQFVLHSFAMSIPHPQKVESGGEDAFFLGTVGTPDNCGADVLCFGVSDGVGSWFEQGF SASKYSRELMKAAQAAATVSLTKHPHVNPSEVLHAAWQNVSQQAIMGSATACVVALDP VQAELYAVNLGDSGFLIIRDKKSDLKTAEKRGTLDGSLTRKMKNRDTDLTPAGRRKGA HVSYRSPQQLHYFNCPFQLGYYVPDIPDGSAGPLFETPREAVNLRVPVEEGDLIILAT DGLFDNVDEDQLLEIVAAEPDGKEG H257_16251 MLHRVAPHVMKGRWMARIRYPSSPSAPRSAWNNEWRRHMRSMTA ADLLNVAGVARSQKASVVSEDDTLVKAVETMAAHHNSSTLVVVDTEDRVVGLLTQHRI LTQISQHLGNWPSTLVKDAALPSRDIVHVTPEDTLQDCRAVMALTGRGELPVLSGDSL LGIISLTDIASLLVKNDSQNDGEAHSAKSDYVHLILPRKGLPKNTSLAPSVAMDDKDI PQFVLHSFAMSIPHPQKVESGGEDAFFLGTVGTPDNCGADVLCFGVSDGVGSWFEQGF SASKYSRELMKAAQAAATVSLTKHPHVNPSEVLHAAWQNVSQQAIMGSATACVVALDP VQAELYAVNLGDSGFLIIRDKKSDLKTAEKRGTLDGSLTRKMKNRDTDLTPAGRRKGA HVSYRSPQQLHYFNCPFQVTIFSCCVLHGRRVCSWGITCQTSPTVRLVRSLKPPGKPS TSACPWRKAT H257_16251 MLHRVAPHVMKGRWMARIRYPSSPSAPRSAWNNEWRRHMRSMTA ADLLNVAGVARSQKASVVSEDDTLVKAVETMAAHHNSSTLVVVDTEDRVVGLLTQHRI LTQISQHLGNWPSTLVKDAALPSRDIVHVTPEDTLQDCRAVMALTGRGELPVLSGDSL LGIISLTDIASLLVKNDSQNDGEAHSAKSDYVHLILPRKGLPKNTSLAPSVAMDDKDI PQFVLHSFAMSIPHPQKVESGGEDAFFLGTVGTPDNCGADVLCFGVSDGVGSWFEQGF SASKYSRELMKAAQAAATVSLTKHPHVNPSEVLHAAWQNVSQQAIMGSATACVVALDP VQAELYAVNLGDSGFLIIRDKKSDLKTAEKRGTLDGSLTRKMKNRDTDLTPAGRRKGA HVSYRSPQQLHYFNCPFQVTIFSCCVLHGRRVCSWGITCQTSPTVRLVRSLKPPGKPS TSACPWRKAT H257_16252 MLRRDVPPTSRFALAPLGRGHENKLKQLGRTLLKQAIVECETSL QGMDQHWKHVRNSHGIKVYKAKTVHAPSHMMTTGIIKASLNQVMQCLYADNTAQFRML NALLMPKDHLDCEVLHALDVQDASHPFRFNGLKWCATSTGSLGKTTKDLCYFDSTGLT QTLDKDGQPLEYGYCFLESYDLAQCPKLDAFSIGRAKISVRHIFRELPMGATVVMTHS TVDSSTLPLWIHPQGSIPPQVLAIVNAADVAESIRLSRRMQEQASNGLTVYTKTGSPR PWGKLSFLLNRPPSKCTLCHHDTSKLLRRRVVQVEGNGIKTSRQLFCSTCVSPTTTFD APTTMLGPSSVHDDDDENDLMHLSMRSSSTLHASGYASSSSSACSPSSSVHMLELDDE EALDELSYSGLPFTHIIPPLQAAAKSSRCLYHSETEDSSDDSSSSPRHPDEACCHLHS AHPYSTAIATELSRLTIQMDHIWGIVRANKTQADFFKGYNRIEYL H257_16253 MSVACHGDGESIYALPTRNMAVQRINRFIRKMDMKEVEADLISL TRAETDPGVYVCDASLEDWKRYVKSEQQALLSRAMAWNHGKIYIVELPGRIHDKISRS LDIAVISATGTGEEHLLSCGSAFVDTLAHIEPDSSFGPAPGFGATLPHGLTWMEYHTL KVEVGVARGWPHLDAKAVQWSQFPGVEYILLIRLSPALRVYQYKLHSVVGGAIEPPAM VATPIMNPTNVVLDSRRLLGLPALAPIPAHFTALNLTIDLFPLVQRIIALANA H257_16254 MGPNWEHNYYARRSKAHITTKTTLRPRIEWTKRRTPEPTCVRND EIDWTILLTARKKQRNTRKNSDAGHNPTKQLGIGTLFKRTNDAKQRRLKAQNQPQWTF IGGDRRPTPRITCMDRIFLVRWMKKRETYEDKLLANAQLMGGEWRRSAVGWIPSTDRC LLKATCTYVWRVPVEQLGEDEYRDRIMEIVGQPATKWTPTKSGMHTYCRALSVDTHGD VTSRLAWTPTKSDMQTYCRALSVDPHGDVISSLVSFMERVGDVIDENGLRQQLKDPTM VRTFVKVVAARVTPSYLLDRVEEQMKIMPANNLVAFADILREQLDRTHDADMVNQQMN SYGSKRKGVALRNMRRKPTKLFETSGNSEEITHVHQQPGHIARECPNKKDGDSGDTSW KKGKNAVKRFKARERKANMKAKQMKKPPPPTMEDDGRWVRLNSVLKVPYCPDTGAYQN IMPQAMVDVLQALQP H257_16255 MGKFPEEVTDAAWAVWFTLGFQVDPRTQDSLKKRVKASVVFDMS IPDADSQIGRMFDGMAAASHRDRQEWIIREESADIVKIITEAVKPVSLHRAVTEQMAR NKPLKKDVYRFAPTSSTPGNGCLKCKSTTHKVREYPGITDNHDCLKHIVGRLAKNVVT GAVVTVGLTPAED H257_16256 MEQSTSGSVHAVEAEPPWRRPCGLGWRSIKGRHQPVEVDEAWPF GGPSSFTPPAFGGPSGAVPGVTAPVTEDWDMGQGDVYIHNAPAFPKNPMFMGSTKAER RAFMASYNQYISHTNALTANGVRLFRMPLSACI H257_16257 EGSFGAAAKNSVGQVVDASPCHARRPTTTFPTTNQDLPDDQRWS LYHDLLENKQSGRLARGKASELLLKYGISRQTLSKVWKRGQETRSQDGRADVALKRKG HSGRRPKCTMDEVEAAVKSVPPHLRETFASLAASSGIPPTTLWRVLQTRTLERRTSRL KPMVTDKHKADRVDFVRPFVRSTASGPMRWDDMHDRVHIDEKWFYLTLVNRRRGRPRY DYNSRTMWDGKIGMWHFVSVVPAQRKSKNRDRGTPVTTPVAVTKPVYREYLLKHVIPT IKEVWPGRRSEPIYIQQDNARPHVEVDDADVTMAGCSDDWSIRLVAQPAMSPDFNVLD LGFFNSI H257_16258 MIHTLHDALTRDGFQLPPLPPANPWVDFWIRCAGHDHLVAPMQA TPAFKTFLGPIPDARLAVHNIARV H257_16259 MIHTLHDALTRDGFQLPPLPPANSWVDFWIRYFPIPTLATRLRS LHKVLDVINLSPPHAAFKTFIGPIPDARLGYTTLREYSTQSCDWICILVHPQKT H257_16260 MAEELQPTSPVAGTPAQGSFTVVLGEVRRTNHANNNDDNAQVVP SFWASVDVVTSGEASAALWWASAQDTAARASTAVLTVQSLSGEDENMVSSFRYAQPSK LFALDEPTLIRQLCASVVRVTLYQGVARDKDVDVLVKAVDVPLRPLVVAVQLDQLVAF SDTIGVQVGVAFDVAFTEYFKGAKALSLLSLSIRHLPQEWKLVPKEGEDVALLVASPE ANAAKYTATIVLPGFVGTEVVGDTPPASTVSMALEGKLELSATKDFKSEGDSSNDNDD MWVVKLEPVDPTQLWFLSKGQLGACLEWIESPCTAAIFIQRAQAGVDSWTATSYLSLA TALVPGSDIVSSVAPLAQGVAPSRESLEETLAKATSSDDKKKAQAALNDFDNVLTRIA GQAASYVSAGAMCHVEVALLPGAWVPLPPVPTPPAMTLQELIPPRPPLPPFPQRDAQV SMHKELRKIVSMLIKEYDKLFLQPTDNDHDDDDECTLLSKDDRRQKLIFHLNAEGLYF DFKEKLKKALVAVIREKFPTSTASGTTPPLGALSPPQRHDQTAFFAQLYSYLMEEVHV VLNGVFHGVEVHEAEDDSPDAIRLQLATLKLQAWESEVNGQLKKASTAYTDRVHVAAK HARDIPDLHAPVWFDFALFYARIQDLDKAGECLRQCLAIDPTHLGAIQAYGALLCQNH EFDVADIVLKSALAISPSPIASHQARSHGLLAVYYAISQSDRTGNLRLHELMQAAALT KSTATFSSPTAECVGIAAYCKDLGLFSLAQDALALGDAVMKPKTVLSTAVLATQKLVL GAIDLHFHRFAEAKQWCQDALDHDPDCADGWYLHGLVASTQNDLAVALASYSQALARP DQLNDMYRLPLYLQLGALFIHHQQWGPAKAIFLRACHEISVASAWLGVGVVSVRQDDW EGAEMALAEANVLDRTNADVWGYLALVCLNATSPRLREAEQALEQALRYDLANTTLLR ELSNGFVALDKLEVAESLLRRSLLAGDSSLTRKTLADVLAAQNCASTALDQYKKALEG CSSMGDRAALLARCANLLSMLGRLEEAKEYLDMAQHQQQGADKEALIGRQVQQHHHRM DGP H257_16261 MQRKAAMKLLEWHFHVYFNQHDASQVHKAISIRNALVDSLNDAD RAFVAVPLHHFERDSNEVQCRTLAHHGLNMKPVGPHPTGSFETWVPIESFSAAFEWFT SNRAGLSVLVHPLTVQEMRDHTLYAVWMGQPQALNLQYLDEELHTVPLQYPHFGLGYS AGAK H257_16262 MSNQPSSMRQYRLHSSSNSTTTMCSASVEAARPRSMFIKKWSYS TPTEAQQRWSFIEFTILVTDTNPTSYKDPTVYEIQRRFSQFHALHKALRDQGFALPDM PTFDVWTNVLIKLVPDQALRDRQAQLQYVLDCISGSVAMQATTAYKAFVGAPDMKWRY TSLSDIRILPGKGSMTLAREGCA H257_16263 MTPWTQELDQVWLNEMIHQANVLGKRSNSGFKKEAWGEALKKLN LKPGCSFTMAQLKSHNATLREQYSIISTMAEASGMGWESDRCLVVCLDTTWDAYLSTK PKKYQQWKNKPFPLFHLCESLYKGTLATGKGSRVAGSLQKRTSSTVSEDGHSDTNADM LPVVDFGQHVPRPYFDLDYSDNDEVVTTNDGLPSPLAHEQSSSRRAPPSPRSPPPKRH RGSLGGDMLAEMKKQKEETATQFDLLCGLLQSSPKTASKELTRTEQAVGILQSEFASM PMQRLVAACDVFEIESNASVFLQLKGDLRIAWLEHKLGTE H257_16264 MRSKLRRILPLMWWFKQHNSPLTSMMQYHYIAHVKKTPKRVSVL TGSAWVHELLVGNDDRFEEVARMPKHVFKALVKELLEHGQLKPTHTVSANEQVAMYLY FAGHHASSANLQERFQHSGDTITRHLHRVQNAILQLAATYIQLPPDNAPLPRRVRDSR KFFPFFANCRMAVDGTHIPVSVPTKDVKAYQGRKGFTQNVLAACDFNMVFTYVLAGWE GSAGDGRIYADALEKGQKPRTKEELFNLRHAMLRNVVERIFGVLKKRFPVMSSPVEYS IGFQVDMVLSLCTVHNFIRLHGCTNDIYVQEATRELRARNNEPMQPNDQPQHHPDLET TEAKAWRDQLATDMWEQYQVHCNRRHARR H257_16265 MATALASTSSTVKVLTNGDIVDCGRLPNTARNTLYKTELCKHFV ETGTCRYGTKCQFAHGEDELRGVLRHPKYKTTKCKAFLSTGKCTYGTRCRFIHDRNLS PEDLSDDEGSMTRAEQDHENMKSSGARHARVNPRRHLVVEPEMEATIDLSVPSSFKLQ PPLMGPGAGVKEVGARSDDSSSDDDQGNQTDDGGASAAFSRLSIFQKICRAAD H257_16266 MPMLPINPPRRTMSILDWGRATLEVESTKGDIVTFNILVHPEVP LSLRSSGPDAYVVRRRFKQFAELHRQLKALGFILPDLPKVDLITNLLIRLTPEVTLVE RQDQLQQVLDAINQSRAMQSTPAYAAFIGQPPETKPGYTSFSEYKCNELVMPGRAKSA SIA H257_16267 MQPFGLVLAFVALLAHDATAQAACSTTGRSVTVSGFVMDNFCIQ RGTLLDNPDVKTLEGPDAHSIHCLVDIKRCVDSKYTILAPPGNGSDLYSVKYQLDETA TATAKSYAEAARELGGKKGFSVTVTGVDDGTPVLKCVEVSKDVVVDGKTLSLPATTSI NSASTPFTVGSMVLALTAAASALLV H257_16268 MEVLSTMQSLLHSLAQPTFTVASTTKLSCPEDFNLLLDAIQDTD EPNVRLKMARLALLWLPLPEVTSPQVVGCVHILATDVWSQIRKDAAVAVGRVLPVLPW STVEAVLHDLLQVFKQPPDEGDDDWKGREGAFRVLASMLAQFKKNTTPPSTGFSLGTR MMQYLPSALLCDLKPAVYQAMQHPQLSVREHATAAIIRYVALSDAFTQISTFQEVMSK LNLYSPHEVLPAAHAEGLLDVAAQLVPHIPLPFLTKHWQVVFPTCEKYVMHVASTVRQ KSAGLIGALATLSTTSIEAIPLLASILESLALPCSQHPDANQREFFWQRMEGRLMGID SLVHVLGVNKLTSISSQLLAPPTKPAPKAFQSFQHTMDELLHFDNEYATLMGTFASWV GEKTSPRPSHCILAQLPPNLLHRIWHAYVRHTYECFQSPQYELKRMAVQTLPGLVRLS VWLDDPAILVPAWLPTVEPVHPTFICLVIKSLALHTRFLHETITNTRSVSTDDPRILS SLLITATIETALSVLQSLQPALLAVDVTLPVDKALSLAYVEAGALLLLFQSATALDTK TQPANALLLQAVLNVLWASHVSDDPAVDRAMSSTVVRYLPGLALLPLTQDQATRLIQI SLSWLSATDSLRWIVVDGNEARCHLVDALAVLALHARPPLTNVWNLHDITTAAAPFLL HPTVPLRLFAKLLVLVSAVAAFSRAECVRPLLHLLLRPEISFQCDATTATFPTTSSLT PSPAVFNEWDDDSGQTAAAAPTTDRKAVCFQAFWTSFDAPSASGGRVSDLVTGTCTPD ERLTLETLQRRGKKI H257_16269 MGHSKNRKRNFRVRIHVAASARITRGTRSHAVCHVYCVQSLLLT PGQEGEDHRLGQVSPCYLLQRQTNGADPALDTAVRHYIVGLPKYNKQNNVDEEKKTHP LTAQELSTVVNALASLHPFLGSMRRFLFLGCFRMSGVLALRWNDVQIVSDSNGRYLSV RLRRHKKAGVEEDRQVYYFDESSFPCLLVCGFHDDYTAKLQACCVNLTNTAFVFPNVV MQHNGVPKVEWYRGLEQANLRKSLGDLVDATPDLPIRISLHSLRRWGRFTVCSNQGSA ASFSLWCRWMDANTCCKYLVTQSISNDIDPRNLLRTSKFQHSTLSACRSVDAVGQASL QEHTVGRHVPTKVRTQRSMDRYVARKSIPTAHSAREASQQWFVAGPNIGLVCALKDYT KDMIKLDRKKYSERLMLAMAFIKFQTFDQFEAAYTGFTASYARILREVRLRKRSCTM H257_16270 MELHVTGNVCGPRVWRYISGTTPGLAPEKEDMDMGQSDVHLHND PALPKNPTFKGPTKEERHVFMDAYNLYISQMNALTVIGIRPFIMPVRAFIDPATKQLL PNGTWERIPVTYLRAQGYEVNPRALVTLKKRIKSAVIFDMSIPDADSPIGRMLDGLVA AIRRDRQD H257_16271 MTQGSEGKREAPATCSERTDQRLTECKSISHQIRECPGITPEVI KQLLRAHGSTFERGRSGEKEAPGAPGGRVAMEETDVPDAKRPELPTIVACFLRYWLRE LPENTVMCPMELRPYGADSQVITVTKQVRLGSLEFKTTCGPLMLRGLLVCVDETVAPV ELTLGLPVMQKLGYNEYTLLKNARWQDAVWDFGEQTITTPGVAMHRTLWMGALSDGID DDEGMACATPEHGNAPDGDGPVRIVLEAKVAEASVAGMPIAAVEQLRDVFRLKFGKDP PVKVEPLMLLKEGVAGEVGTAALPSHPYGVIGKACPGAGRSGSGVPQH H257_16272 MNHLLHLPSGRPNRGDEDRAFEENEGFFYHPTVQHMQPLVAKAF RKHVYDKSNNYHIKPPKTHYRIAHLVHPGLKPILALRHRTLRKNSLIPTHLRWPTPLT HGELDLSRLTITSPSTSVLLTDWIIDSGTIASCTPHKSYFRLSMFRSCSMTLTIGDGG QLPILGYDPVDLTVLSRNITKGPDHQSEPHFLSLPFGLYCPNVKFNLLSVRHAVSSGY QVKFDHPEHCLFILDKTYYFRAAVNILGLYSFSATEVPSGCPLPPRDTLTTRAMLDGF KAFLVANPVSPPLPSHPPSLHSTAFTASVHFPSHHQALNAF H257_16273 MLLEVAFDNETGLPLVAIGAAHRFVPGQGITSGNFSPKEAGVSV RVDVTVTVNKNDEVGRAANGLNTAWATYVGVHESEVGVGAEDGLRGEGFSTRLRVLTD VAVEGFEVPCAIAGDLGVVGNERAEDGGTGVAKAFVPSSKKGIVGQTRDVN H257_16274 MKFALLYAFAAAVATLAQDQIVPPNVAWGDEDPIDGFEILGGEE AQQGRHRYVAGLKKSRDGETLCGGSLIAPNIVLTAAHCLKGKLRAVVVGTHYLTGVDD GELASVTQEIKHPNGTDVGIVILNRNITTIQPVTVSFEFVPADVLTWVRGWGYVGRRG PRSPVLKELNVTTWNNTGASAALFPIRLTDTMLGAGGVHGEDSCRGDSGGPLTIEENG TVRLVGVVSFGRGCGVHGNPGINERVSAARAFIEPYLPK H257_16275 MRYYLDSRFPPTLKSDAYAKGKEALDYDRMMNPDTYSLDNYENA GLAGRKALVAKAESDTLRTATTETLEEMLSMARANAVQYLLSSFAPSVRTAWDSYSSP SLLWVAILERHEVDNNMHDPTTLIAKINELKYSSSLDAHVLFATLTSLVKQCQRGMIP PDLASMTSQHVHDFYWEQFHSIYFCNAFVEESTIWKSLCKMQMQAKNAGKLYSLADLQ RTIMEIIQVDLKYHQMLDQHGSADSTTRLQHLAAPAYPSSAPSIPTAFVAHSLTLPSW PMPFVILILENLASTAANLAMLWTAAM H257_16276 MVAASRLLHVPFKTTMRNITELPVVVMTTITTTAHPNALVILGE TAVSRPAHQRPINLGATKTPTIEVLAITAGAAPLRMVMHHVSPSRSPPRFRLHLLLLR LINDNAMRLKLPPSMSRVHDVFNVDRLKHYHPNEAKRTTGEEMYIVEKLLKKCQFKRK LEYLVKWHGHPESEATWELMKDIKHVVHFKQLVQDLESRRFKVYKDVRGENVAMWQVG VTACHHLAHTQLLRLTLANACLGMCLKGKYGLESLA H257_16277 MARHPVDFGVQLHRLFTADRPTFELLIRQRLLHRWLRATWGGSA SFDKLYTKSFGHKMTRESVVELFRALQHSDTLAPIVSETEAGDELTLSRLDLELVLAL AEVLAAAHSPLYFASDAAVMVSTGCTIEIIPAHRGLRSLSAPTMLAVLMSTHLGEELW RIMETMFDGDDDMNRVMAHLYDIHESGFVSLPHIGITRWDQELGRFVVPTDEVDDTAT PVDDSTMTQVVNLARRLKKPPTCVVFQETKMRNAQQLDTFHHHLNNEVGAGNYTLFTN DPRATTADPVHRRHCGVASFFHKSMPRYSSLVHLSNHDIPGRYLVVRTEWSGLPVYIH NIYAPVEPHLRGAFFAALPRDFEHDSLHLVGGDFNIPLHPSLDASTLHSSHGNGKTDC VEWLTALGVVDVWRQLNPSTRLFSGPGRVNRLDYLFLHDELASHLNPEARYDPNGYGG DHLTHTVTLSQSPCPTTKGYWRLPRELLSDPNIQRAITMEATTLLGKMRADETLNHGA MCSSNAIGYTSNRLTPNSTTCDSVSQLQNGHWTVTVTMFPRLLMLLRLS H257_16278 MKFALLLTFTVAVAALAQDQVVPAKVAWGDEAPGDGFEIVGGQE AQFGRHRYVVGIKKSPVGETFCGGSLIAPNVVLTAAHCLDGVVPSVVVGTHYLTGFAD GELANVTEKIKHPNGTDVGIIILDHNISITQPVAVSFEFVPADVLTWVRGWGNIWHNG PPSRVLKEVSVTTWNNTRASAALNRYRRVTDTMLGAGVEGENSCHGDSGGPLTIEENG TVRLVGVVSWGFKCGLLDTPGIYERVSAARAFIEPYLPN H257_16279 MTVLGRAPKFLLWKDETVVPRQLQSKSHISKVMFFVAVARPRND WDGKIGCWEITERMPSARTSRNRPAGTEVLTSITGTKDVNRRMLVAMVVPAIKRKWIW PAGVENGRIILQHDNDRHHIPSGDAEFVDAEQHALKQTLECRTMEELIAAVKCSFMLL SPVTLEKTFMTLRRSMNVIIEANGCNRYEIPRSKVNAEDELALSMTNLRLEEEDRLEE VASLLGTLNMDV H257_16280 MKARMFLADKHNLYAEHLKKPNATYTELAVWAMDAFKLISPPTK STVGNTRGTTRRANEAISSHAEWTEPLKFSKGWLYKFQRKHGLTSKRQHVEAGSTPQE AVDQGREKMLEATTGYEDYPRPHHGTPTARTSLSPSSSAVKSVPDASTAQTAENLGFD YTSSKEAWMNGAIFDKYMRLFNARMEAANRRVLLLVDNASPHKVKEDTVLTNVSLKML PPNTTAAVKQRQLQNALEQIDAVMAGRQEKLYEVPLVDAMAWAKDAWHDVSQSTIVNC LSRTGILHREAGLQNPRIVGHE H257_16281 MHLGFDSTVFDKHGSAFQKKLKRDYVTPSRAIQRPHDDRDDCDG PSGNQGSNSQHGWRPSSSSSPENGGWNNNRGNSYDNNRDRNRDRQQDQGYGRTYCGGR NDQAGIAARVAARPFIDEGNHLNRIANTHILSHHFLPPSALNRVLQRPNPPSVLPKVF QPGSAPLCDVFASPPQEPPLIAATTLVPITDAPGELLDHFASLVDAGDLDFAEFPSTE VLITERLPLYPPRPLLRIPANPNKVDLPLFPSSAFSATRSDIITRRRKLLSRCFSNCP QDVWMVSVPILHSKLLVDKAFSPFMRTYATVKATPVTRPYPCR H257_16282 MELYTAFNPATPLDVVVVGINKELRECDWTVKDIPKKLDKLGAS LRVMHKEVLDKNAIGAVKATKATEKYEQYNISEGDYVLCSRVDKRYHPKPLPSQGSG H257_16283 MTSFPYIIDHVVGEANVWVDPLIRRLQKILGIQKAGWKAAGDAI PNVTYSVSDRYYVTVAGKLWIPDAAVDLQQRFCIIAHQGAADMGFRRQNPFKNEVVGK IKRMTTGE H257_16284 MGKTGAVAYCQSKVDQMFGELLKARLIGCAAPSQQLGVRTRRGF SAVKDTLQQMVPLSHPSTDKIICLYTDPSDTDWVAFCTLVPSEDLKLVMVGLAGQVPG GRWWNRKRLRSSRRAND H257_16285 MATSTSTPPGASSIYKWASQADGEFKRQVSSFRNWIGTDKFPAE KGRYHLYVSLACPWAHRTLIVRALKGLDHVIGYTVVDYLMGKDGWHFSSPEDTPGCNL DPFNHAKYVRELYFKAEPEYTGRFTVPILWDLKTHTIVNNESSEIIRMLNAQFNALAT HPTLDLAPAALLSDIDAINEWIYHDINNGVYKAGFATKQDAYEKNVVALFAALDRVEA HLDGRVWLVQDQLTEADIRLFTTIVRFDPVYHGHFKCNLKTISSDYPNLLQHARRLFQ LPGVAATVDMTHIKRHYYMSHIQINPTAVVPLWNGPDLAHPKVESKIQ H257_16286 MKFAFALATIAAAVLAQDDVAPDNNFIEIVGGTEAKVGQHRYLA GLKRSATATTSCGGSLIAPNVILTAAHCTGSGLTTVVVGSHFLSGSSDGELAKVTKEI RHPKYVASTNANDVAILLLDRSITTIAPVAVSFETVPADVVTWVRGWGRTTSGGIQSQ VLKEVSVTTWSNAKATTALRPYTVDNTMLAAGGKQGEDSCQGDSGGPLTIEQNGVARL VGVVSWGIGCGDLNKPGVYSRFNTARAFIEPYLKKKNLRVPYLVSEFAEETDEVVVDS DVVSAPEGGN H257_16287 MKFAFALATLSATVLAQDDVAPDNNFIEIVGGTEAKVGQHRYLA GLKHSATSSSSCGGSLIAPNVILTAAHCTGGGLTTAVVGSHFLSGSSDGEVVKITKEI RHPQYNAATHSNDVAILLLDRSITSITPVAVSFDTVPANVLTWVRGWGTTSSGGSQSR VLKEVSVLSWDNARATTALRPSKVDNSMLAAGGKQGEDSCQGDSGGPLTIEQNGVARL VGVVSWGLGCGDLNKPGVYGRLSSARSFIEPYLKKKNLRVPYLVGEDVEASEEVVADD VVNSDVVSALEGEI H257_16288 MASSQAPAKPPLSFSVFRGQEGANAKVVLERGIVQVQAAPEWCL VIGVGVWDAMCIVLAEEAPQYGMTLYRPHKQRIQSYSTVQEICTPSVRNGVESSDGG H257_16289 MKFAFTLATIAAAVLAQDDVAPDNNFIEIVGGTEAKVGQHRYLA GLKHSATSSSSCGGSLIAPNVILTAAHCTGGGLTTAVVGSHFLSGSSDGEVVKITKEI RHPQYNAATHSNDVAILLLDRSITSITPVAVSFDTVPANVLTWVRGWGTTSSGGSQSR VLKEVSVLSRFNTARAFIEPYLKKKNLRVPYLVSEFAEETDEAVVDSDVVSAPEGGN H257_16290 MKFAIVLTIIAALAQDETSATGRSICGDSLIAPNVMLTTAHASA TATNWGDVANLLMDHTVTTIHHTRDNAQAAAALKPIKVDNTTMIAGGLAELGLCCGGD LNKPGVYSRFNTARDKDVLSDTVDFYAGSRGCID H257_16291 MKFAALASIATLACAQDNSIAIVGGTETKVGAHRYLAGLKSSAT STSSCGGSLIAPNVILTAAHCTGSGLTTVVPGVYGRLSSARAFIEPYLANSSPTATPA PTTTKVGTPAPTTTKAGTPAPTTTKRTTKRPTTKKPTTTRRPKFVNDGFGDDEETN H257_16292 MKFAALASIAALACAQDNSIAIVGGTETKVGAHRYLAGLKSSAT STSSCGGSLIAPNVILTAAHCTGSGLTTVVVGSHLLSGSSDGELAKVTKEIRHPKYVA STNANDVAILLLDRSITTIAPVAVSFETVPAGVRTIVRGWGTTSSGGAQSQVLKEVAV DSWDNAKATAALAPYAVDNTMLAAGGLAGEDSCQGDSGGPLTIETNNNATVHLVGVVS WGLGCAQLNKPGVYGRLSSARAFIEPYLANSSPTATPAPTTTKVGTPAPTTTKAGTPA PTTTKRITKRPTTKKPTTTRRPKFVNDGFGDDEETN H257_16293 MFVVKDLRKVPEIVADPADDREQLRLGRRSTEFDGNLRILCSPV NIPAFSRLQKLSLYDNQLSSIDGIGLLSSTPLSILDLGQNQLDHLPHEIGSLRHLKEL WLSNNWLTSVPDSVLTLPLLSILHLSNNRITHIPSTIGAASALQVLSLDNNHLQDVPV EIGQCADLVELNLRGNQITALPSSLGECRSLTTLAVSSNALKILPESLGKCRHLTALH ANGNPIAHFPTALERFTNLRVNLANSEITTLLVDETNWKVLTHLHVTTKESTASVDRN VLIVSGTPYAKHKLTVKQPSN H257_16293 MFVVKDLRKVPEIVADPADDREQLRLGRRSTEFDGNLRILCSPV NIPAFSRLQKLSLYDNQLSSIDGIGLLSSTPLSILDLGQNQLDHLPHEVHSMQPRIGN SCNLTPILVLGRIVQIGSLRHLKELWLSNNWLTSVPDSVLTLPLLSILHLSNNRITHI PSTIGAASALQVLSLDNNHLQDVPVEIGQCADLVELNLRGNQITALPSSLGECRSLTT LAVSSNALKILPESLGKCRHLTALHANGNPIAHFPTALERFTNLRVNLANSEITTLLV DETNWKVLTHLHVTTKESTASVDRNVLIVSGTPYAKHKLTVKQPSN H257_16293 MFVVKDLRKVPEIVADPADDREQLRLGRRSTEFDGNLRILCSPV NIPAFSRLQKLSLYDNQLSSIDGIGLLSSTPLSILDLGQNQLDHLPHEIGSLRHLKEL WLSNNWLTSVPDSVLTLPLLSILHLSNNRITHIPSTIGAASALQVLSLDNNHLQDVPV EIGQCADLVELNLRGNQITALPSSLGECRSLTTLAVSSNALKILPESLGKCRHLTALH ANGNPIAHFPTALERFTSKYRLTSIIADS H257_16294 MTSAAAAKVVLQSKDLVQQVTMYQPGCPKHMRCFRALRRPSLTW QDDVHAAFAMIATVLSSWLKEYGIDRLPSLYACMGHMRGVVLVHAVYSGRFDLIHVVS LREYDDDPPLLDIATAGGHVAMLTHLLQHASNTVATPFAMDVAASMGRVDIVEFLLTH RPQDASLHESAISISIVYGHMSILQFFHDRQVDGVFTPDMMDLAATYGHVHVLEFLHR HRPGLRMSMRAMESAAAGGHFAAVRFLHHHYDDHHLPCGPNVMDAAAIGGHLDIVRFL HLNRSEGCTRLAMNAAATRGDLNMVTWLHVHRPEGGTTSAMDGAATCGHLKVVKFLHT HRSEGCTSAAFWGAVMHNHVEVVEWLLTHKRQWCDPVDVVVALQRWPLTKGWLVKRSV VN H257_16295 MDPAMMEQYADWLDDLEKSDPKAYGQFIQDMQAKMHAAGGGDDP SAAIAAAMPTSVPDQLKFPGNKVMQRNGIEEQKEGMYVDVTPGFVMKTTEQQSKTKLF VNICSSDHIQIFSKKKQLDEHGDEQEGIHVPLSLGPPHEVVDHAQKTCLAVDVAVNPG VLDDCNNDATFRHFVCELALQYLAEKYKFVCDPQYKLPKLSYRGTLPPSRHYIRKTQA PVIQEVSAAPSAIIPPPKELQTPTWRLFHGHSDQEECMIVPACEGGPLPTRDRLAAAP AMLTAVIEFPSTSFHSGLKTSDAMDIQLNAEYVVIAAAGYHDVARFLPYPIQVDAAVA SVAGSKLTLVLPVDKAWTPTTTRADSTLNQNIVVCLHVIKADTNTMYIYIYIYIDGRL YFKVHEDETLPEDRFHQRDMMSMHILDQRRRDKAQQAAKSAADRASRKADNEKKQAAA RAAGKTWAEMYPTEPETTFVDLNDLLAKSNSTDGAPVSEAADAVANQWKQQANKHMQL KSSLAFELLE H257_16296 MSSGVARNLQHVRQRISDVLAVSPWKQQCTLVAVSKTKPVADIE VAYGLDQRHFGENYIQELVEKAPVCPSDIQWHFIGHLQSNKVKTLVAGVPNLYMVESV DSIKVASKLDKAWGERQSGHPLRVMVQVNTSGEVQKSGVAPADCVQLAAHIHGSCANL TLSGLMTIGRFDDDTSDCFDSLVACRSRVSSALGVDETNLALSMGMSGDFELAIARGS NYVRVGSNIFGARNYAT H257_16297 MNTSILSILWHDEFSAALGLASWLRLSQLSRGFRSAMQSATPAD VLVLPPQTTLSTVASLQHSWPLLRMSFEASAIHNHTLDLQTLSHLHALSISRCHAFDN VHALNQLHSVTLDSCDGVVDISGLAAARSLTLRICPHITNESLSTLTQIQSLTVYRCL QLADFTHLTALRQLSVEATNQHTTAVQVCAPHLEFVRLVLVTYNPAHIAHVPTVELVH SLELQVATSRKAFHSSYACHHSRLTDVTWLANVPHVDVTGSWCLQHVSSLRHATSVNL TGCSAVADVSALANVTCVDLTHCFRVTDVSALGRVRHVNLTGCWNVRDVSALGNVHTL NLTGVGKLMSIDGLENVHTLILSGNRFITSLPRHCTTQYMSISDCIQINDLTPLHGVP TVHLDGLRQVRSVAALAKATNVDISNCPLLSDISPLATVSKVRLANCRGVVDVSPLSH VMRLTLERIPFQSAAALSQIKHLTIERCWGFQRCDLLPRTTKLNGCGCADHLFTQS H257_16297 MNTSILSILWHDEFSAALGLASWLRLSQLSRGFRSAMQSATPAD VLVLPPQTTLSTVASLQHSWPLLRMSFEASAIHNHTLDLQTLSHLHALSISRCHAFDN VHALNQLHSVTLDSCDGVVDISGLAAARSLTLRICPHITNESLSTLTQIQSLTVYRCL QLADFTHLTALRQLSVEATNQHTTAVQVCAPHLEFVRLVLVTYNPAHIAHVPTVELVH SLELQVATSRKAFHSSYACHHSRLTDVTWLANVPHVDVTGSWCLQHVSSLRHATSVNL TGCSAVADVSALANVTCVDLTHCFRVTDVSALGRVRHVNLTGCWNVRDVSALGNVHTL NLTGVGKLMSIDGLENVHTLILSGNRFITSLPRHCTTQYMSISDCIQINDLTPLHGVP TVHLDGLRQVRSVAALAKATNVDISNCPLLSDISPLATVSKVRLANCRGVVDVSPLSH VMRLTLERIPFQSAAALSQIKHLTIERCWGFQRCDLLPRTTKLNGCGCADHLFTQS H257_16297 MNTSILSILWHDEFSAALGLASWLRLSQLSRGFRSAMQSATPAD VLVLPPQTTLSTVASLQHSWPLLRMSFEASAIHNHTLDLQTLSHLHALSISRCHAFDN VHALNQLHSVTLDSCDGVVDISGLAAARSLTLRICPHITNESLSTLTQIQSLTVYRCL QLADFTHLTALRQLSVEATNQHTTAVQVCAPHLEFVRLVLVTYNPAHIAHVPTVELVH SLELQVATSRKAFHSSYACHHSRLTDVTWLANVPHVDVTGSWCLQHVSSLRHATSVNL TGCSAVADVSALANVTCVDLTHCFRVTDVSALGYDQLFRIHNSILNCSTCRVRHVNLT GCWNVRDVSALGNVHTLNLTGVGKLMSIDGLENVHTLILSGNRFITSLPRHCTTQYMS ISDCIQINDLTPLHGVPTVHLDGLRQVRSVAALAKATNVDISNCPLLSDISPLATVSK VRLANCRGVVDVSPLSHVMRLTLERIPFQSAAALSQIKHLTIERCWGFQRCDLLPRTT KLNGCGCADHLFTQS H257_16298 MSSSSSSSSSFSIGAVVASAVAGASLGALASTYLRPAKTVRKDL IPFLSSQGKAVVTSKANASDHDHAHVDYHFERISHEDARERSKAFAEFLTMRRSLRFY SNEDVPLDIIENCIEAAGTAPSGAHTQPWYFCVVKTAALKEQIRQAVEIEEEVNYQRR MNKVWVGECNILVSALPDAYIKPYLTEAPYIIVVMKRSHDIEPDGTRKEVYYPEQSCG IASGLLITALHNANLATLTSTPMGAESKIRTILGRPANEKVYLLMPVGYPAADGTVPY RTDAELRKPMKDIYQLY H257_16299 MLDAAAEIIVTVAAAASSNTSITNTSLGAGYASPSSNVAALRFI FTVLSILGCAVVVLSYIMFLPLRKGSNALVVSIAIFAMGMHITMFAQTGYKGPLAENV DCDVSVAALTQFFVLGQEIYLFTMILDLYSTTRNPFTFTRPSLYHVFVLSVSLLGAYL FSLNSRAIGFAELGVCWFQSNDDNASVWLHIYFVAPLAFLYGKGFMLFIVARNRIQDG YDDITTSAARILSLRHMRVYIGMSALYWIVMGVGSIAILFWLPLDDHSIPSSRRKTQV FYEFWMLGLSIKGTVVFVLYTYLMKLPAVYAMWRQGSYDDLMNVQGVHWVLRRDVLYF ARMGICESISSAVEWIPEGPSAYAVRSLELVGRHESHKALFHEFEAASFAVVRSLSGI TADALGLSMRVHTQERFSEGKSGAFLYYTGDQKFIVKTCTEAEQGYLMQILPSYIAHL QMYPNSFLSRYVGCYELVVYDQTIRFIVLANILQNPSVVVDEFYDLKGSWVGRYENPH VLGVRKVCKYCGKEFVVGMTQEVCAMNPSRKQGHVQDICGKDLNWGARQISLHEDLAD AIADQLATDSEYLRSINSIDYSLIVGLHTTPIPPPSSTSSPCLSSLSSPHLVQDTAPP SHDPYDLTLLGLQKKKPPPPLPTYTNSHVYSPTTTTTTTTTPNPSSASTGVVYHPITS TKAAASNQQQHLQSSPVGGPPPPLANHHNECVVYMGIIDILTPWSVRKQMEHWVRVYL QCLDRLGISCVDPKYYAQRFRDRVINTVIRGGGGPAPPLDDHYSSSTTPNQQLPPNRL NLKLLTSQGPTGDIIGYMTSGRSTTTLVASDFTGSHFVWEHQRPSSSKSNLSSFPSLH STQSQGPPPPMR H257_16299 MILDLYSTTRNPFTFTRPSLYHVFVLSVSLLGAYLFSLNSRAIG FAELGVCWFQSNDDNASVWLHMYVLSPPHPAWPVHIPTLFVVDCSYFVAPLAFLYGKG FMLFIVARNRIQDGYDDITTSAARILSLRHMRVYIGMSALYWIVMGVGSIAILFWLPL DDHSIPSSRRKTQVFYEFWMLGLSIKGTVVFVLYTYLMKLPAVYAMWRQGSYDDLMNV QGVHWVLRRDVLYFARMGICESISSAVEWIPEGPSAYAVRSLELVGRHESHKALFHEF EAASFAVVRSLSGITADALGLSMRVHTQERFSEGKSGAFLYYTGDQKFIVKTCTEAEQ GYLMQILPSYIAHLQMYPNSFLSRYVGCYELVVYDQTIRFIVLANILQNPSVVVDEFY DLKGSWVGRYENPHVLGVRKVCKYCGKEFVVGMTQEVCAMNPSRKQGHVQDICGKDLN WGARQISLHEDLADAIADQLATDSEYLRSINSIDYSLIVGLHTTPIPPPSSTSSPCLS SLSSPHLVQDTAPPSHDPYDLTLLGLQKKKPPPPLPTYTNSHVYSPTTTTTTTTTPNP SSASTGVVYHPITSTKAAASNQQQHLQSSPVGGPPPPLANHHNECVVYMGIIDILTPW SVRKQMEHWVRVYLQCLDRLGISCVDPKYYAQRFRDRVINTVIRGGGGPAPPLDDHYS SSTTPNQQLPPNRLNLKLLTSQGPTGDIIGYMTSGRSTTTLVASDFTGSHFVWEHQRP SSSKSNLSSFPSLHSTQSQGPPPPMR H257_16300 MLDTMLISLDATIDRLCSSPHPDDANSMLYYDHMDDLLMEGGAA ATSFSQGRQDCNHYPIMDDPYTIVL H257_16301 MIYVCLCHVLWFLGVILSFERLLCFLTFCSFTNPRNWPSAVYVF LPPSSFILNASKNANAHACISVSLAIDMKNRRDGVVIAKHHVVGGSLDILVGTISSES LVQLDRSRHPFIGPCSCWK H257_16302 MAVLRVVSLLPSATELLHFLLVKLNARHDEPVAVLVGRSHECDW PEEYAALPVLTSSRINGALSCAEIDRQVRDELAAGVSLYTVDTEMLLSLRPDLVVTQS LCQVCTVDYAMVVALLTDADPCPRILDTNPSSFYDVFQDIHRLADALGAPDVGHELVT ELQARVDVILAHVVVRSSPPRLKIGFCEWTDPIFCGGHWTPQMIEMAGAAHPLNNTRG PGKGGWPSRTISPAEFVAMDPDVIIVAPCGMDLNTSKKETVAMLMQPWWTPLRGKPLY VVNGNHMFNRPGPRLVDALEWLVSIVHPASTLSFPDFPAERYPNHDAVKTDEIDVKCS VIMP H257_16303 MALFRAAQKHMDIMNTDLVVSDDGDDDSSGVSGDEGEDSDEDAV HQRRRRAASDSDDDGDRPRKEVDADDDSDDAEAEVDEEEERAAFLDDFVPTTLEGRNA FRCKVCPQVKLFNEQDILNHVQSKKHKRACITPEEIARLKARNQRKSAKRRLKHQTAL DAKKALANKAKDNSSSNNENKTHKTSAKRTKPTADAPASTEERVPKKAKKSSAKA H257_16304 MNLPSRGNEVDVSNVEVEVPHQLVINHAEKFSYSWIKMEDNLKQ RFVRAYAKTKEFKNEDRWTLLREGEIISQSHDTSTTAHLGNYYDKKRSLVEFKEGDYV MLVPRNIPLKHAQVKNKNEKAKLVPRFIGSFKIQGVVNANAMRTISRKTTPIIHHDAG NKLHIVEALLKQREFNRKKEYLVQWHGLPEHEATWELERSIKDAISNDY H257_16305 MFEVSQDVVKGVGCHRDRWEIALMQLRTLSCRRTLSAVMSVEVV ATEKPPELKRAT H257_16306 MQELFSLTPSTFARVLGRAEDALWRTLKSIPDASIRWPSKFKQA YWASKSNAREPLVHGVFAFVDGKNLRVQEPSNADLQNAHYNGWLHCVFVTGVVCYGLG GTLIWGSHNCPGSWNDGEMSRRLQDILSDDSKVGPGIIITPLKDGDLERQPPECRLAL QTMSDCITSLRQAAEWGMGSATKVYRQLLLPLP H257_16307 MSKSSKRRGKNWCVASVDLMLDKVESIIPMGKNAWRKVEIEFNT AASGFPHRDAESLKRKYQQLRNNPKPTGDPECPMDVRRAKWIVRDIDNKADVLALEDD CEEDDEQNVKNCDWSVMMLGVENRLHVKPNQKPTVKSAKIVESNCS H257_16308 MKALAHKFKVHHDLTLAYCPWRNGTVERMNRDILQVMRVMLRVY QLAEQEWDHLLPVVQANLNQTPAASLASKSPMQLFTALNLATPLDVILVGMNKELRES DWTVKDIPKNLDKLRASLQGMHKEVLDKNAMRAAKATKATEKYEQCNVSEGDYVLWFR VDERYHPKLLVTWTGPYRVKEVGEFSVVLEHLVTRELREAHASRVKLYAEDSFGVTEE ILEHVSEQGTMLKVKSIAGHKFVPDVKDFMLEVLWEGFEDIESSWEPLQKLMHECSTV VKNYVEGVKTASEGDALRKAMKRARAKN H257_16309 MARLPTKNTAYRIVNKTRARLSNKLKIGAPLTAKAVEEAINCQR NPCMSKNKAREKKEFRKAERAAAASSKVIQRDQNILLRKASQRKLVSFNVIHYLKQSD VENLDTIRMSLIEKIRTVERVNERFQRDLRRTWA H257_16310 MAAFEVCVRWRGLQAIEDSWEPAANLLEDIPTEFKRYVRSNKAD PQVKAMTAALGKAQVGKHRYVAGLKKSPDGETVCGGSLIAPNVVLTAASCFNEGLTSV VVGTHSLTGFADGELATVTRQIEDPNGTDVGIVILDRNITSIQPVTVSFKFTDWYTLT WVRGWGYVKSGVPKSSELNEVSVKVWNKIKRWTWLGDTVPDNIMVAGGGEGDHGCLLD LGAPLISEENAFPLLVGVLRGGLCCRQRNSPGVYERIAAARAFIEPYLLKYDVLYYD H257_16311 MKVSKPSVRLGLSPSDYLPLGGIHFVLCIWIGLRKKAQVGKHRY VAGLKKSPDGETVCGGSLIAPNVVLTAASCFNEGLTSVVVGTHSLTGFADGELATVTQ QIEDPNGTDVGIVILDRNITSIQPVTVSFKFTDWYTLTWVRGWGYVKSGVPKSSELNE VSVKVWNKIKRWTWLGDTVPDNIMVAGGGEGDHGCLLDLGAPLISEENAFPLLVGVLR GGLCCRQRNSPGVYERIAAARAFIEPYLLKYDVLYYD H257_16312 MPKATTTSKPTLKPTRTSTPVTTPKPSPARTPARTPATTPASTA KPTPAPTSDPSCPRIRKSWDTLTAAEKETFVSAIEIAMDRGLYQKFVLIHQEQMGNRE AHGTCVFLFWHRKFLLGFENMLRSLGGQYKCLTL H257_16313 MVLSTHACIVVALSVLAAVQTLAQTCTVLVDVDLVGNDIAATDQ TDPGQCCSDCKATKGCKAFNWFDGVCYLKSAKGEVIPLPGGKELGRAAIETSPNAKAK SDHYVQAYPQTHSNFNSGHYPQAFSSPYTSPYTSHYSCVYCQANAGTHL H257_16314 MPPTFTVRDTTSLPFVPTASPMSRSNRDADSYPSSAAHGQPHGV GYTQPSPGETGSGLPLRPPIVRIPVVEGHSPGAATGVVTQVERILPHPLEVARIGVIM VDPSGAEVTLRDLLVVEDPAGTTVVPLALREADPEETSLVTDSPTHPWSPVRLHVRPC PMLGSTRPSSIV H257_16315 MQMVPPAAHFENPFRILLDPGQYFFMQTAGHQEFGILVPYQEGF TLVPFQSQLSLSSRRSKVSALDSRPSVSVLARVRVGPSVPVLVRGVVGTKARSAADAS AGLFNGDRVSGRSVGDDTSGDQSAFRFRPGVYTRAPSSSSNGTRPMARGFFRSRRSLS RSPNSGASPTRRRDWRTAVVVSSSVDIFPFFFRASFANTYPAAAAADPGVHAYVNRLL KRIAVSSGLTHELTSHNFRHGDAQHANGHSCLKDKKIAKMLSGRTPTGNVVVPDLSTL DPASCFAVVRVQAVAFQNCVGLAHPNLLIKPAVLEILMAKLLWHLPFLMQSCPHGPAM ARLAQAANAAGFTVGNHLAWAASIERPQEAVVETPHQELGTRANDTIAQQQAVIHELV AINKALGLRVAAVEAHVGIGAAPAPPIAMPSHEDEATVPSKRHKAAATSLADLLFEWY ARDPPM H257_16317 MRVSLYQDLLEKSVNGKLSRDIVRSVCTTYGVHHSTGRRIFQRG KATKTSGGIADVTARLKGKTGRPTKFAPEDIEQRIKNVPLHKRQTYRSLAAATGLSVY LLWTFVKRKWMTRQSSWTRPCLKPKQKEARREFCLQFRTQPPKDVISDMQDVVHLDEK WFYMTKLRRRFLVWHDENIIPRHLQSKSHITKVMFLVAVARPRQGWDGKVGCWEIVER KPATRNSVNRPAGTEVLTSITVTRDVYRDPFVRKVLPALKSSWRWPSGVEREQCSFNK TMRVRTLHQKTQRLSVQRQKEVGTFRYETNHHNRLT H257_16318 MSSRSPPSAEGIGKTAVSSAPRSHTRALLWKNYLLKKKHPIKWA FEVLLPVAFIVLLAGLKTLTDNVRIPAGWSEAPATSLFSTGPTEGNTFNLFAKPTPSL SDLLTSSSSTFRTPKYFLTETTMSGILVNLAATSFADGIRMNELTSADRRACQTRVVF QGAVNVDPTSPNALPRECRGKVVPYKLAIIPDNAFTRSYFAATLSQWYPRVDVGRSGG LNVTIPGFNDSVIFFNSTDALDAYVTGNTYGKDSSNPKIFAGLVFNEHPTTLGVAGSI DYTLRFNSTAGRQGSMGDVPKTSRILYDPYQRSITTSIYSRYTQRGFMTLQTAVARFA TCVPVWNGTTTSGECTQTNSRVKDGSLDSRFLVQVQNDLYLNKLVDSANAFVRVTNNN NSTISSLALSWARMDDAALELLALPLRQAPQPVLGSAVFPLPIQAYTSSPFYTLVDRY FALVFVISYLYAISSVLVALIHEKETKSRELLKIMGVSERAIVLSWYATYGGVFLAAA VLQAAAGSVNLFPNTNVLLSFVFFFVFGLAVLSYGFMVSALFSKARTGAYVGIIGFFG MYLVSAAFTPDTDERVKTWSCLLAPVALSFGTSALASAETNSLGLSFANASDPFNNFR FATSLWMLAVDVVLYTLLGMYFELVVPKEYGVPLPWHFPCTFWMKSRQAPPSTYALAD THDNDKDVPMEDMGLDMRLQETSGDALSIQNLRKVFAVPGGVKVAVKGINLTMYKNQI TCLLGHNGAGKTTLISMLTGMIPATSGDATVHGLSLRRDLPTIRRSLGMCPQHDVLYG ELTVHEHLSFYGQVKGYRGADLDAQVDAKIVEVGLTEKRQVKASDLSGGMKRKLSLAI ALLGDSQVVFLDEPTSGMDPYSRRSSWEIILNNRYNRIIVLTTHFMDEADILGDRIAI MAEGELRCCGSSLFLKNRYGAGYNFSLVKTDDCDTDALMAFVRSHVDTAKVLSNVGTE VSFQLPLDCSHLFAPMFVELDANLAHLGVLSYGISVTTLEEVFIKVAELGDVGQQHTL QPRNDHVAAVKPEPFALQTPRFADHFRALFLKRFRTARRDKRMVLFGALLPVVFLTLG VLLLNYSSLTKNDMAMAVNTDGYPQQRGATPYFCAGGRCSDIFANIANGKRLDIALPV YTSSTPTVFNVTYTNPSINETDTTGFCLRAAEEAFREAYNSNNNLVQFGGFVAQSDPA VNVFGYNVMVNTTATHSAIVYKAMLDHALVQSLSSSSSASSSSPVFLRVTSHPLPLTS ASKLLFTTFLSFIATIFIAIAFAFFTASIVPYLVHEKHPTHNSKHQQLVSGVSLPAFW LATYAWDLLFYAIPGGFGLGVIYLFEITPFTGKDCATCTSQPFAAVAVVFVLFGFALC SFCYCLSYLFVDSASSQTYVIMVNIFLGVVLMTISQVLDVVASTTEINKSLKFIWRLS PLFNLGNALNNLSVQSLLNSLFSSTSTKSSFDLDVTGWEIAFLAGEAVVFPLVAIGID YALSFPKIKAMIAKDPVVMSAPMTIDDDVAAEGRRVAGGDVDDYAVVMQHLRKVYKGG KVGLNDLTLALPKGECFGYLGINGAGKTSTMKILTGDVLPTSGSATLGGFDIMSQQIE VRRLIGYCPQFDALIDLLTVREHLELFASIKGVPSKRICDTVKDKMDQMNLNDFEHKL AGTLSGGNKRKLSVAIALIGSPPIIFLDEPSTGMDPVSRRFMWDVIADISTRSKESTI LLTTHSMEECEALCSRVGIMVGGSLSCLGSIQHLKNRFGDGMMMHVWVAPVLSADVDH MISTSPSLEGVATLTKDQLVDVCASLAKPHRADQISMEHATGYVLAESLGRNDYVRVR DFCAWWLSEDRFEKMAAYLGQSFGEPNVLLLERQNDLSRFKLVGAKHTLALSNVFSLI ESTKTDLHVKEYTVSQTTLEQIFNNFASQQTQEMGVARGVEKAAAGDHYQAMP H257_16319 MTRYVKSTSEVLRLYREILRTARRFQWPNEQGQSWAKILQANAR MEIEQSRHDTDSELIARKVLAGWECLQQVQEKMADKARSLHDQQQQPEK H257_16320 MEARWSRRHRAGIRSPRIQCGGDARVLHPQIRPVFHPSRLLLTS HPHHEPFHRPATACPGARVRDRLGGHRGCPCRSQPRTCDRRRRQRGDASPAQHRHQHA PRSHFDLFVPRRKAFLG H257_16320 MEARWSRRHRAGIRSPRIQCGGDARVLHPQIRPVFHPSRLLLTS HPHHEPFHRPATACPGARVRDRLGGHRGCPCRSQPRTCDRRRRQRGDASPAQHRHQHA PRSHFDLFVPRRKAFLVHSPRIHYFLSYFCL H257_16321 MSLAKWEVCIGLEIHAQILSASKLFSGSSAASKSAAFLPNSRVS FFDAAMPGTLPSLNRSCVAQAVRTAHALQSDVNLQSVFERKHYFYCDLPLGYQITQQR APIASNGILKFHVKDTAGDTVARNTNFSSRKEKNAAQKQLAAADANEWVEKQVRINRI QIEQDSGKSLHTLDATHVDLNRAGTGLMEIVFEPDLRSAAEAGQVLREVQHLLRHIGT CDGNMEDGSMRCDLNVSVRPKAAEEHPFGERVEVKNMNSIRHLMRAVEFEMERQVRHI EATGLPIEKETRTFDAATGMTRRMRSKEGAKDYRFFPEPDLPPLVISARFVDDAKASL PELPDQMKARLGRDYGLSAYESAILVHTPHAVAYFEEVATGRPHRLASNWVLNELFSL LKATNTDIRESPVSPSRLGEMLDLIVEETISGKIAKELIQHMYYEDTTGRPVDIVERN GWKLITDDETLRAYCRNVVTNPNNAKNVAAFQSGKAQLFGYFVGQVMKDSQGRVHPEK VNAILRAMLEA H257_16322 MTTPTTMQAIHITAPGDANVLQISTRDVPVPRDGEVLIKVHAAG LNRLDILQRKGAYPAPPGASDVLGLDVAGEIVGFGPNTTAESSGWKLGDKVCALLSGG GYAQYATAVASHCLPVPNGWTFIEAASLPETYFTVWSNVFQRAKLSGVDTFLVQGGSS GIGITAIQLAKAFGHRVFVTAGSADKCRECQAFGADVAINYREDDFVAVIKAATDGRG VDVILDMVGGDYIPREIDALATDGCLVMIALQRGAAAQVDLAQILRRRLTLTGSTLRA REVPFKAAIAADLKNRVWPLLEAKTVIKPAVHKVFPLADAAAAHALMETSTHIGKIML EVAH H257_16323 MSPSPSLLARATSAIPAPAQPTSPATMTKRLAFTTATIYVFDLD HGGSALPADTGPPVGLARHHSRQERIDLTSPVSWATKRSRVRKFDHNERMNLLKAANY SMKEIAAFCFDAIDIRKSRLATLDEIEAKRQARRRKLIHNARRLPQHTADDCPLEQDT KT H257_16324 MEAGDIVAFATTLPAVACVVAFVGHDGRSHKKPRMNVYSIRNNV WEGVEACPGVGWYKRNLRVSRCTFDQIVYRLQVFAHDQGHRLPAMNAFVDMRIRVAMT HSYLSQEGGFAVTAALFGVANATTIINVNEVMDLIIALSSSAIRLPQVNNNG H257_16325 MHQRKSAAATPPAAAAASEQSKHSKPFTYDFGGPIGSFVIMCIL PVVVVFLFAGCNASYCIDNRFDFGELYIQVTTTLSWSDLVDAKAIAVVFGWFGLQVLL ERLVPGEFHNGVVLPTKDRLVYKVNGHTCFWLSMAIVAIGHLQGVAPLTYAYDHYLHL AVGAILLSFLISVFVYVVSFRRPDVLCAEQGTSPSAVFNFFIGRELNFRTQSTGTFDL KYFCELRPGLIGWAVLNLGMLAKQLELHGTVSHSMACVVFFQLLYVWDALWHEKCVLT TMDITTDGFGYMLAFGDLTWVPFTYSLQARYLVDRDPQLSVPVIAAIVALNMLGYAIF RGANAQKDAFRTDPHGDSVKHLKWMPTKRGTKLLVSGWWGLARKINYTGDWCMGLAWC AYTGSASIMPYYYAIYFAILLVHRAVRDDTSCREKYGDDWTTYKTHVQAVFVPGVI H257_16325 MHQRKSAAATPPAAAAASEQSKHSKPFTYDFGGPIGSFVIMCIL PVVVVFLFAGCNASYCIDNRFDFGELYIQVTTTLSWSDLVDAKAIAVVFGWFGLQVLL ERLVPGEFHNGVVLPTKDRLVYKVNGHTCFWLSMAIVAIGHLQGVAPLTYAYDHYLHL AVGAILLSFLISVFVYVVSFRRPDVLCAEQGTSPSAVFNFFIGRELNFRTQSTGTFDL KYFCELRPGLIGWAVLNLGMLAKQLELHGTVSHSMACVVFFQLLYVWDALWHEKCVLT TMDITTDGFGYMLAFGDLTWVPFTYSLQARYLVDRDPQLSVPVIAAIVALNMLGYAIF RGANAQKDAFRTDPHGDSVKHLKWMPTKRGTKLLVSGWWGLARKINYTGDWCMGLVTI YIYIYHVVSPKN H257_16326 MFSSGAAVDASASKVKKRDWLNVGQTYADTYISDDDDDSDDDHA VPAASREVVTARTEKKKPDVTTRKPHPPPKQNAVFEIDRGGDKNNLFYGTLADKDIPR YHLVKRRRKHMDDSTSAVRYFDPTLRQPRHSRRVNFAERATTAVPPFDVAAPFISVEP FADVEEDDAQTDNQRLEALVMAENKRFNEALRQTPSNVSLWIKYMAAQEREGGAFVRH KLKQRSAVLDKQLAILQKAKAANPTSVELHAITWLMCLQAPEELASRLEQHLLTAPDS ELLWLLLIQQTQQQFSSFSLPKMRNLYARLIQTLQLSTQPDVSASLVLFYTQLCSLEA KAGYTERSVGLMQALLEFNLGMPRAFVLGSNAASLDELKHEFQAFWELDLPRFGEAGH VTWAAWHSAKEAATAAPTTTPGDHHLTYASDLLPSMQKYMHTLETRVQHEVVAMQPPV HLNNHLSCRHHHQPSHVVEGDASRSSQAQDTRDEYVWSNLHGYRIPIQDAQDSAEYER ILHELQSNRPPKVKNTVAKQANMVADRDERMDAAVVADDDPHVQLLQEETILHATQWH PLHPRDPTHASFIQAQPDRVLLFDEIQPFLFHVPDTWHRDLLLSYLDQVGVKSSARLS SQVLQWQYQDDVFPWFNDMVTTSCQTFQNHVPPTPGIDRLALLQNVLHDALAISPDTL ADPSKATHVRHLLWQTHQYDLLMEFETKLAMHISLPDAPRALAKQLLAAAPTDMTLWE QYAIMEWRLQNAKQVVRICDRTVESLPSTSVEQHRFLYLRFRAQIMAELPWTDRSVWC CVYIVAKAFFPESVPEPVAKACKKVEKAMTNEYAAMVPAAALRLVRFRLQAQLDQALL TTSTPSSSSSSQLPILPYVVYTHALVLYAVEGLSSATKCFRKWIDMAKSNCQQHSRTL APDAWRRVMEWLVAAYLDFLLRTGGGRQSPRQWRHVTQLAMQLAPDHPVFVHLFVDAE QNNAMSQQVRRQVQAAVASSRLHFDAASPMVYLMGLMGEVHRLKTANELDVRESCCAL HEWGPVAIGRIRRLFEDAMDDTSSWRSHGSALLWRLYLRFEVHAGQVQAAIKVFYRGI HKCPWSKALYLDSVRILRPYLSDQHMADIVGLVVTKELYLRYEGGE H257_16327 MAPPTTTPPVFHVSTRHSARRQNADKEAKRQEGLDHQATSPLNV ATGGVSDESSAPENQRDAAPTKASKRARVSGEENEDGEGKHPPIRKASMNSTRVDLIL GKYLVGDANIMCMEILQQMNDNAESSRAMAASRPPVSINWLEVSANLQYKHHLHLKPR ECQDLWKYLAYDHPPSVGTDLSAPDLPVVEDQVAADSDVEDFNATAEFLAEKRTRTIH KAAESAADPSRSTVQDGTTAPEDNPSTTPASVGDPSELGLFPSFDPSGFLHSDAELDM STYVPLHAVAEQFMRRREPPLSLPHKLPQQYHPPIRMQQASAAGAGAKPTTPQSSSNS SMVRAPPPQPTPSTSPFDIFKRMYTDRSGLDDMSLNMLFEQSPLEVRTRCHQLAAQDL ERYQKECLRQRLYEKSVRGLHTPPNTPPRANAVVRSDIM H257_16327 MAPPTTTPPVFHVSTRHSARRQNADKEAKRQEGLDHQATSPLNV ATGGVSDESSAPENQRDAAPTKASKRARVSGEENEDGEGKHPPIRKASMNSTRVDLIL GKYLVGDANIMCMEILQQMNDNAESSRAMAASRPPVSINWLEVSANLQYKHHLHLKPR WVLWFLWSRHVILLCRECQDLWKYLAYDHPPSVGTDLSAPDLPVVEDQVAADSDVEDF NATAEFLAEKRTRTIHKAAESAADPSRSTVQDGTTAPEDNPSTTPASVGDPSELGLFP SFDPSGFLHSDAELDMSTYVPLHAVAEQFMRRREPPLSLPHKLPQQYHPPIRMQQASA AGAGAKPTTPQSSSNSSMVRAPPPQPTPSTSPFDIFKRMYTDRSGLDDMSLNMLFEQS PLEVRTRCHQLAAQDLERYQKECLRQRLYEKSVRGLHTPPNTPPRANAVVRSDIM H257_16328 MECAEDANFTTPRTIPRTENDVFTFVSPPTKSEPTPREPSKGRS MSRLSSSRSHKMCLYRTGKCSNQRHIKPNGSLHKMCTFHRAKANENQRRLDQKKKDAG FHRRERISAFKVKGVLQPKSATLVYALDSSDAPSTGTTQLQQVLSHPLEDIMPDVMIP FLLDSYLDVTGENTTNSSSSHVA H257_16329 MALATLPRVLGMLVAALLVSTASEASASDCLNMDKLPSVALGSS GAYTLQSRVERGGSLLCLKATFTGTTSWLGVGIARSNLMVSTIGGPANVLVFDAAKMT ANTYVVNGKGVAKVNMQSYQSSYTVIDMTSRDSLTSVTVSRAMSVNESHVYDVAIAVD APTNVIWAYGAKWPGKHVDKGAMLLAFSDTKDTRNVGSTSSYVVILGTIVASMATASL ALRFSFKRLDHKLVPPPREASSGCFAIQRTLSDLSVGEGILVLLYLTGLVLVLALLPF YEANVVAFDRQVSLASGHLGLFHLSLLIVPVSHVGWWLNLAQSRLLKFHKALSVVFLL CGGLHLAFSIKAYGAGIVWYRDPFGSQRVVPLFGFVALGCFLCVGVVAIPIIRLNLYE LFLAIHRVLFVAGIVLVYLHSRTIQIALICPLVIYGIGGIFVRLPPFFKTYTVTTAIT TSHTTMLTLPLTKHTQRWVTQYRLCSHAYVNVPAISATAWHPFTVMITPARDGIAFCI KSVKPHSFTHKLHVLSHLHDHLNVKLAGPYGQPTVDLTKYQQVVLVGGGIGVTPLLGA INFLDSHAHVKMHLCWVVRHPDELLSCQTMMFPLPHFVTAEFYVSLAISEGQIETDRG DVVRYSPGRPTADAILQRFQNIPRTVVLACGPEGMIVDAQREAYDRGFDFQKVVFAF H257_16329 MLLAFSDTKDTRNVGSTSSYVVILGTIVASMATASLALRFSFKR LDHKLVPPPREASSGCFAIQRTLSDLSVGEGILVLLYLTGLVLVLALLPFYEANVVAF DRQVSLASGHLGLFHLSLLIVPVSHVGWWLNLAQSRLLKFHKALSVVFLLCGGLHLAF SIKAYGAGIVWYRDPFGSQRVVPLFGFVALGCFLCVGVVAIPIIRLNLYELFLAIHRV LFVAGIVLVYLHSRTIQIALICPLVIYGIGGIFVRLPPFFKTYTVTTAITTSHTTMLT LPLTKHTQRWVTQYRLCSHAYVNVPAISATAWHPFTVMITPARDGIAFCIKSVKPHSF THKLHVLSHLHDHLNVKLAGPYGQPTVDLTKYQQVVLVGGGIGVTPLLGAINFLDSHA HVKMHLCWVVRHPDELLSCQTMMFPLPHFVTAEFYVSLAISEGQIETDRGDVVRYSPG RPTADAILQRFQNIPRTVVLACGPEGMIVDAQREAYDRGFDFQKVVFAF H257_16329 MSGPRPATWSFSAPSSPRWLRPRSRFAFPSVKHTICSKQQRNNC RLERLDHKLVPPPREASSGCFAIQRTLSDLSVGEGILVLLYLTGLVLVLALLPFYEAN VVAFDRQVSLASGHLGLFHLSLLIVPVSHVGWWLNLAQSRLLKFHKALSVVFLLCGGL HLAFSIKAYGAGIVWYRDPFGSQRVVPLFGFVALGCFLCVGVVAIPIIRLNLYELFLA IHRVLFVAGIVLVYLHSRTIQIALICPLVIYGIGGIFVRLPPFFKTYTVTTAITTSHT TMLTLPLTKHTQRWVTQYRLCSHAYVNVPAISATAWHPFTVMITPARDGIAFCIKSVK PHSFTHKLHVLSHLHDHLNVKLAGPYGQPTVDLTKYQQVVLVGGGIGVTPLLGAINFL DSHAHVKMHLCWVVRHPDELLSCQTMMFPLPHFVTAEFYVSLAISEGQIETDRGDVVR YSPGRPTADAILQRFQNIPRTVVLACGPEGMIVDAQREAYDRGFDFQKVVFAF H257_16329 MALATLPRVLGMLVAALLVSTASEASASDCLNMDKLPSVALGSS GAYTLQSRVERGGSLLCLKATFTGTTSWLGVGIARSNLMVSTIGGPANVLVFDAAKMT ANTYVVNGKGVAKVNMQSYQSSYTVIDMTSRDSLTSVTVSRAMSVNESHVYDVAIAVD APTNVIWAYGAKWPGKHVDKGAMLLAFSDTKDTRNVGSTSSYVVILGTIVASMATASL ALRFSFKRLDHKLVPPPREASSGCFAIQRTLSDLSVGEGILVLLYLTGLVLVLALLPF YEANVVAFDRQVSLASGHLGLFHLSLLIVPVSHVGWWLNLAQSRLLKFHKALSVVFLL CGGLHLAFSIKAYGAGIVWYRDPFGSQRVVPLFGFVALGCFLCVGVVAIPIIRLNLYE LFLAIHRVLFVAGIVLVYLHSRTIQIALICPLVIYGIGGIFVRLPPFFKTYTVTTAIT TSHTTMLTLPLTKHTQRWVTQYRLCSHAYVNVPAISATAWHPFTVMITPARDGIAFCI KSVKPHSFTHKLHVLSHLHDHLNVKLAGPYGQPTVDLTKYQQVVLVGGGIGVTPLLGA INFLDSHAHVKMHLCWVVCHILPVTCATTILN H257_16329 MALATLPRVLGMLVAALLVSTASEASASDCLNMDKLPSVALGSS GAYTLQSRVERGGSLLCLKATFTGTTSWLGVGIARSNLMVSTIGGPANVLVFDAAKMT ANTYVVNGKGVAKVNMQSYQSSYTVIDMTSRDSLTSVTVSRAMSVNESHVYDVAIAVD APTNVIWAYGAKWPGKHVDKGAMLLAFSDTKDTRNVGSTSSYVVILGTIVASMATASL ALRFSFKRLDHKLVPPPREASSGCFAIQRTLSDLSVGEGILVLLYLTGLVLVLALLPF YEANVVAFDRQVSLASGHLGLFHLSLLIVPVSHVGWWLNLAQSRLLKFHKALSVVFLL CGGLHLAFSIKAYGAGIVWYRDPFGSQRVVPLFGFVALGCFLCVGVVAIPIIRLNLYE LFLAIHRVLFVAGIVLVYLHSRTIQIALICPLVIYGIGGIFVRLPPFFKTYTVTTAIT TSHTTMLTLPLTKHTQRWVTQYRLCSHAYVNVPAISATAWHPFTVMITPARDGIAFCI KSVKPHSFTHKLHVLSHLHDHLNVKLAGPYGQPTVDLTKYQQVVLVGGGIGVTPLLGA INFLDSHAHVKMHLCWVVCHILPVTCATTILN H257_16330 MSAGSDIQGAPLMEKQVLPPMRRGYTSTLLWKNFLLKKKHPIKW ALEVLLPVALILLMGGLKTLTDDVKVPDGWSTDKPIEGSDTIGTSYSLFHVDTMFGVP LPKFYQTEGTVSGLLLQMATKAWDARQDSKGMTAAQNEACSAAAYSGNVNADVNSPSA WPAICRDNIIPQKLAIAPDNDFTRKYFLETLSLWYPRVSLDANGTLAVPSIADSVQFF ADETALNAYVTNVEYAKSFSTPRIAAAIVFTAMPSPLGSAGNIEYSIRLNSTLGRGGV TGDVPRTNLEPFLPLQRSINTDSYTRYAKSGFMSYQTLVTRFALCVPDWNADTRTTTG TCTQETAVMAAGNAMTDATLVSTQLQADLNVFLSIMSYTNATKKPFNLNSVPLAALSA LAKPLRQMPQPVGGASVFAFPIQAFTSSPFYQSVKDFFGLVFVLSYLHALSSVLVALI SEKETKARELMKILGVPESAIVLSWYITYGAIFVVAAVLQAVVGKVVLFPNSNVLLLL VFFLLFGWAVLAYGYMVSAIFSKSRTGTYIGMIGFFAMYLVTAGFNDTSAAKSKAIAC LFAPAALTFGVKSLAESEASGIGITSANAGSDINNFKFTTAILFLVVDVIMYTLLGLY FERVVPKDYGVTETWYFAVTPSYWRKKWTKETAKAVSADALAVALDVHSDNMEDVGIE LKQQETSGDAFCIQNLRKVFAVPGGVKVAVKGINLTMYKNQITCLLGHNGAGKTTLIS MLTGMIPATSGDATVHGLSLHDDLGQLRRSLGMCPQHDVLYAELTVHDHLMFYGKIKG YRGHALDAQVDAKIVEVGLTEKRHVRTSDLSGGMKRKLSLAIALLGDSQVVFLDEPTS GMDPYSRRSSWEIILNNRYNRIIVLTTHFMDEADILGDRIAIMAEGELRCCGSSMFLK NRYGAGYNFSLVKMDDCDTDALIAFVQRHIGDATKVLSNVGTEISFQLPLDCSHLFAP MFVELDANLARLGVLSYGISVTTLEEVFIKVAEIGDEHHQHTLQKTKQVPMTVTSNDG SSSEGYKLADNAPPSALAMFWVHFHALLLKRVRTAKRDKRVVVFGTVLPIVFLVLGIA LLKASSLTRNDPPLVLNTAAYPLKDSTPVPYLCQSDWMCDTASQISSAKPQPFVGINT QNDAAAYPATPPPVVFGVTYANLTTANSYCVRAGEEIFKRGYGKAPNNAAVPGQYGGY VLLGDAKSRSFGYNLAVNTTAVHAAIVHKALLDEALYRTVTANPALKLTCTNQPLPLT DSTKILFTTIVSFTTSVFVVLAFAYFTASIVPYLVHEKHPTHNSKHQQLVSGVSLPAF WLANFAWDLLLYSVPCVFGLLAIYFFDITPFTGRDCSSCAASPFAALIVVFVLFGFAI VSFCYLLSYLFTDAASSQTYIIMINVLLGTILMTTSVILDIIESTKEINAHLKFIWRL SPLFCVGNSLNQLSIATLRLSIGVLKKDTSAFSTDILGWEVGYLAVEAVLFPIIAIGI DYALSFPKIKAKITKDPQVVDAPYEVDVDVQAEEDRVACGAADKDAVVMNGLRKVYKG GKVGVVSLSLGLPKGECFGYLGINGAGKTSTMKILTGDVLPTSGSATLGGFDIMSQQL EVRRLIGYCPQFDALIDLLTVREHLELFASIKGVPSKRICDTVKDKMDQMNLNDFEHK LAGTLSGGNKRKLSVAIALIGSPPIIFLDEPSTGMDPVSRRFMWDVIADISTRSKEST ILLTTHSMEECEALCSRVGIMVGGRLRCVGSVQHLKNRFGDGLMMHIKLAAVLSADVD RMISTSPSLEGGATLTKDQLVDVCASLAKPHRADQISMEHATGYVLAESLGRNDYVRV RDFCAWWLSEDRFEKMAAYLGQSFGEPNVLLLERQNDLSRFKLVGDKHTLALSNVFSL IESTKTDLHVKEYTVSQTTLEQIFNNFASQQTQEMGVARGVEKAAAGDHYRAMHA H257_16331 MFLDEASRMQNFRQVGTKASGQLKKGAGISRQQSSEAARATSSI PSKFETILNLGERETNAFGSRTNRFGEAENELPGPGAYYKPPSMVVTHAKSGSVSKKG MGTGFVSKVKRFNATSYDPVPGPGQYSASISEKPSFNRRIGLSSFAPMERSTNQYGDA TSVPGPGEYNASAFDAQVDKGSRSAFASRTNRGFVPRADGPAPGQYENVLALEREITR SQKHTQGIFKSTVRRVETPKQAVPGPGAYGVEAAERCLRSDTTAQAQASSMFKRGAAD RFGHSLERKADVFNVPGPGAYTSDRDGAATSSTAVSSSVFKSSVKRALGERTSKAPGP AFYKPSSPGKKSHLLNGTKKWL H257_16331 MVVTHAKSGSVSKKGMGTGFVSKVKRFNATSYDPVPGPGQYSAS ISEKPSFNRRIGLSSFAPMERSTNQYGDATSVPGPGEYNASAFDAQVDKGSRSAFASR TNRGFVPRADGPAPGQYENVLALEREITRSQKHTQGIFKSTVRRVETPKQAVPGPGAY GVEAAERCLRSDTTAQAQASSMFKRGAADRFGHSLERKADVFNVPGPGAYTSDRDGAA TSSTAVSSSVFKSSVKRALGERTSKAPGPAFYKPSSPGKKSHLLNGTKKWL H257_16331 MVVTHAKSGSVSKKGMGTGFVSKVKRFNATSYDPVPGPGQYSAS ISEKPSFNRRIGLSSFAPMERSTNQYGDATSVPGPGEYNASAFDAQVDKGSRSAFASR TNRGFVPRADGPAPGQYENVLALEREITRSQKHTQGIFKSTVRRVETPKQAVPGPGAY GVEAAERCLRSDTTAQAQASSMFKRGAADRFGHSLERKADVFNVPGPGAYTSDRDGAA TSSTAVSSSVFKSSVKRALGERTSKAPGPAFYKPSSPGKKSHLLNGTKKWL H257_16332 MDAAIETGVIHFDADAYICTASGNKICRDSTLCCTKNILLRGKT IINAGTILRGDLAKISLGKFCVIREKCVLKPPPKLLPTGLLFIPQTLGDHVYIGEGSI VEGARIGSCVQIGKNCVIGKRVIIRDCCYIADNTIIPADEIIPPFTYVCGIPANLDKE LPEATQDLFIGHITRYFENFRARKK H257_16332 MDAAIETGVIHFDADAYICTASGNKICRDSTLCCTKNILLRGKT IINAGTILRGDLAKISLGKFCVIREKCVLKPPPKLLPTGLLFIPQTLGDHVYIGEGSI VEGARIGSCVQIGKNCVIGKRVIIRDCCYIADNTIIPADEIIPPFTYVCGIPGTHQPN PTDLWR H257_16332 MDAAIETGVIHFDADAYICTASGNKICRDSTLCCTKNILLRGKT IINAGTILRGDLAKISLGKFCVIREKCVLKPPPKLLPTGLLFIPQTLGDHVYIGEGSI VEGARIGSCVQIGKNCVIVRQHESPLVTLTPVVVP H257_16333 MAKTPGSGKGSKLSALRSHGSPSAKFDSDAALIEEIKRLQPPSP QPTHWRRWLAAAIAFVAVHVALVVVLLHDADPGYFYSRRYPNIGNINLETDAPFMGYP PIDVVYTWVNGSDPRWKREKDHWHRRWKAQIQGDIFDETDESEVFDASAAATENRFRD NEELRYSLRSIEMYAPWVRHVYLVTDGQIPNWLNVDSPRLTIVPHRSIFANQSHLPVF SSPAIEANLDKIPGLSSLFLYFNDDVFLGAPVTPEDFISPSGVQNIYLSWEVPECSKG CREFNLGNQICDPSCNTTACAFDMGDCGCLEVPTSDPLVFDVVCAPPSASNTAATDVS PVADTEQPVKTANPLNCMAGCSWTWIGDGTCDVLCNVTQCGFDAGDCSLKALEALPTL DLTTKAPGTTYGVHVPGDADALVVRLPRPFFEFVDHATLLGDQLVRRAVLLEQNMTLV LVFAREDTTGTPTGGAVVSVDGELADESTAQWTLHVLRGHHTLPHQGMWSVLSSDLAE LKMTRRLHPNASTLVDLQIELPYHSIPDWSMPMTVSNKLEPSMPTSVVCPPILPPVAD GGSSDEDDGATDDAAVDSGEDDAAVRCMLDGRRVVLQWQWNASLDAGAFLSGDICTIN AHVESCVHAVLSLRGGVTWVPPTPKRREPVVWTGEWCAFEDCVVSDWFQGAIGGGLVG QCSVVVETDALDDAPPPPGPPAVDLEVAKKAKAMCSSIARRLKARSNESTWWGLSKVR DGWLAVKTWLHVNTVEVLDTPRHHMLSTDDVAACETYFASRPVELPTVSADADAVTSV DTFGDSLRFVNKLYNAQFGKVDGPVRRRVPSHMPHFIQKSLLTEMKDHWAAEFNATSS HRFRHPKDMQFSFSYMYYVVNRHKLHPPTIDDIFSTYIDINRDGLIDEHEALSVASLL TSDEHPSESDIASVKACMTPSTTETKREEIHRHGIVTVTETTQPHLTLDSLKACDNVT HRLIETATKRLPPTHVMVSEDQVTFHMLSDQYRTAWNQLLNTRAKRTKFICINDDMKY PTVAVGNILNDLFTSLWPHRSQFELPYHLKNRFGHVDELAWAVWTQYIVMGAVGTLVA VAVAACFWWEQKRPLDKTLDEDKHNEDHM H257_16334 MSEYGESFEEFVDEESIGDADDNTMRRSRSRSPSRPLKPLAVPA IHESSYEDESFEAATSTSPSKAATTTHIATHLQPVDALVKFPLGSVVEVYWPDEKEWF TGAVRHYDTTHGYFVQYADGDEQWEDESAMRWPPQNESLNDHLPQAPPDSSTILPPPA LNYRILVPRAYTALAHHPSTIKVARPYTSVATHPTVSSMYVTPRKFTSAMEACIECKA RCGWAHETIKWGNIGSTSTLSTGTSIYGQHPSDHRFLQPNVMEQETQTHACSRGSQ H257_16335 MNVVGAASSPGKSPHAKSPLNKRPRVNTEGHDGIDRGQHDEEDV EERPVSPSKTTDSSPREQTTSADVGDKTSAISSFGFTAFANTNPFQTVAPTSAASSTG FAAFAGGGFGTSSSTGFGFGSGGFGRSSGTSGFGSTSSSTAASFGGDKTNTDEASAWT DANDTNADFLNAEADKVEVQHLVVPKVELPKDYHHVTGEENELVLLQTTAKLFKLVDK EYVECGAGPLKVLEPKDKSTRGRLVMRRATSDFKAGTQLLLNALLTSIPSVVIKGKNV IVPVLTTTSSITTYCIRLESPDVADKLQQLLHDANK H257_16336 MDAIPTDPPVHVHSIAGKASCCYVDSMNVAFDMGVNFVKSVVQD HVFISHGHIDHIQALPAHAAERQLTGMKPATYYMPSHLVPHMEQIMISYSKMQESDIA AVLVGVDAGSIVHISPKVAVKAFATAHRVASLGYVAYSISKTLKGEFVGLPGRELGAL RKQNVVLEDITWVPSVAYTGDTCIEFFDQHDRCADFLRAAVLVTECTFLGDTSAESKA DETGHLHLNQLARRMHRFVNSSLVLTHFSARYSFQYIQDTLTRQLLPPPHDANDEVVR RIFMAYGDRWAAVTRPPSPPAPPAVASDKPTQS H257_16336 MDAIPTDPPVHVHSIAGKASCCYVDSMNVAFDMGVNFVKSVVQD HVFISHGHIDHIQALPAHAAERQLTGMKPATYYMPSHLVPHMEQIMISYSKMQESDIA AVLVGVDAGSIVHISPKVAVKAFATAHRVASLGYVAYSISKTLKGEFVGLPGRELGAL RKQNVVLEDITWVPSVAYTGDTCIEFFDQHDRCADFLRAAVLVTEVPISCVQALTVCA KCTFLGDTSAESKADETGHLHLNQLARRMHRFVNSSLVLTHFSARYSFQYIQDTLTRQ LLPPPHDANDEVVRRIFMAYGDRWAAVTRPPSPPAPPAVASDKPTQS H257_16337 MKRNSRSSNKGRQQPTAGGSASARKTWFDDSTIALVAMAQDRAL KAKVKGSKGGDDRKEARQRSSQLSTSSDTVGVSFAGAAFQADYFASKFTKRGQLVYTI LHDVLSKSPHVLPPSETLAVASFGGGPGTDAAGIVWIQRELFPTSSVHCVLFDYETSW KRYVKTLDDLYGDAVSVSFAPCDVTHPLDTEPNRHVSEIETMDILLFCFVCHETSARQ RNLQFYLDVAIAAKVGALVILADVKTKSKECLEQVAIAMASVRRIQRLRLSKAPTAEA VVFRIEA H257_16338 MADEALRQRGLVEIMASYQLGSVEDLVPFSLKWNKLDKYRESDV PWKWACIFHGYLDSLTSTKRRDVLHLLAKHTPERLTPTVLDIAAERGALDVLQFCTSC PEFRCTADAIDYAATEGHFKIVQFLHTYRAEGCTTRAMNGAASRGHMEIVAYLHANRT EGCTVYAMDGAAAHGHLDVLTFLHDRRHEGCTTQAIDLAARNGHLDIVKFLHEHRSEG CTADALTYALMYGKLDVAAYLQSVGAEGCGDDALYGAAWTGSLETVKFLCTGAQLQPY QPKVITAAASRGHIHVVLYLQSVYSTHVSWSSWCVHVVYAIAKHAWLQLNRTTLLSDQ RMD H257_16339 MLESHRKRPCPEWTSTDTNKAAKTAYSYNGPTSSRRTPNQLQTA YLCILQLQARTLDRFRANGDWETARHLLMTTEKTRHIIARERRRAVLASEWVQPDVTW STQSPSTSRKRVSFSDRVDVHEALAMDRVNHWDDQQPHPEELLMVRAMGLQAMPRANF SELW H257_16340 MGSAVSSDVIGTMDDASLTSILKEAMKKDPARIDRLFAAARLAI RDEQVAASAAKDDAPPSDEHGGKTLSEEEFAAAVAAELNAVRTNPQSYIPYLEAMLTQ FSGNILTIPSEGIRLQTEEGPTAVQDCLVFLKAHQPVDYLVLEPNMSKAAVDHALDLG NNGAVSHTGSDGSTMVGRLEHYGEWKGSIGELLAFGLCRPRNVVLQLLVDDGVPTRGD RMSLMDNKFKSVGVGFHTHKFQKFVCVLDFAGGFGALVDKLTTAKLVHARGEITSDVE LVLQSIPFDELKIEVREVLTNAPQKSVSLNYKPGSIEVTVTNPDGSSQIKSGTWGVAD AAQ H257_16340 MGSAVSSDVIGTMDDASLTSILKEAMKKDPARIDRLFAAARLAI RDEQVAASAAKDDAPPSDEHGGKTLSEEEFAAAVAAELNAVRTNPQSYIPYLEAMLTQ FSGNILTIPSEGIRLQTEEGPTAVQDCLVFLKAHQPVDYLVLEPNMSKAAVDHALDLG NNGAVSHTGSDGSTMVGRLEHYGEWKGSIGELLAFGLCRPRNVVLQLLVDDGVPTRGD RMSLMDNKFKSVGVGFHTHKFQKFVCVLDFAGGFGALVDKLTTAKLVHARGEITSDVE LVLQSIPFDELKIEVREVLTNAPQKSVSLNYKPGSIEVTVTNPDGSSQIKSGTWGVAD AAQ H257_16340 MKKDPARIDRLFAAARLAIRDEQVAASAAKDDAPPSDEHGGKTL SEEEFAAAVAAELNAVRTNPQSYIPYLEAMLTQFSGNILTIPSEGIRLQTEEGPTAVQ DCLVFLKAHQPVDYLVLEPNMSKAAVDHALDLGNNGAVSHTGSDGSTMVGRLEHYGEW KGSIGELLAFGLCRPRNVVLQLLVDDGVPTRGDRMSLMDNKFKSVGVGFHTHKFQKFV CVLDFAGGFGALVDKLTTAKLVHARGEITSDVELVLQSIPFDELKIEVREVLTNAPQK SVSLNYKPGSIEVTVTNPDGSSQIKSGTWGVADAAQ H257_16340 MGSAVSSDVIGTMDDASLTSILKEAMKKDPARIDRLFAAARLAI RDEQVAASAAKDDAPPSDEHGGKTLSEEEFAAAVAAELNAVRTNPQSYIPYLEAMLTQ FSGNILTIPSEGIRLQTEEGPTAVQDCLVFLKAHQPVDYLVLEPNMSKAAVDHALDLG NNGAVSHTGSDGSTMVGRLEHYGEWKGSIGELLAFGLCRPRNVVLQLLVDDGVPTRGD RMSLMDNKFKSVGVGFHTHKFQKFVCVLDFAGGFGALVDKLTTAKLVHARGEITSDVE LVLQSIPFDELKIEVREVLTNAPQKSVSLNYKPGSV H257_16340 MKKDPARIDRLFAAARLAIRDEQVAASAAKDDAPPSDEHGGKTL SEEEFAAAVAAELNAVRTNPQSYIPYLEAMLTQFSGNILTIPSEGIRLQTEEGPTAVQ DCLVFLKAHQPVDYLVLEPNMSKAAVDHALDLGNNGAVSHTGSDGSTMVGRLEHYGEW KGSIGELLAFGLCRPRNVVLQLLVDDGVPTRGDRMSLMDNKFKSVGVGFHTHKFQKFV CVLDFAGGFGALVDKLTTAKLVHARGEITSDVELVLQSIPFDELKIEVREVLTNAPQK SVSLNYKPGSV H257_16340 MGSAVSSDVIGTMDDASLTSILKEAMKKDPARIDRLFAAARLAI RDEQVAASAAKDDAPPSDEHGGKTLSEEEFAAAVAAELNAVRTNPQSYIPYLEAMLTQ FSGNILTIPSEGIRLQTEEGPTAVQDCLVFLKAHQPVDYLVLEPNMSKAAVDHALDLG NNGAVSHTGSDGSTMVGRLEHYGEWKGSIGELLAFGLCRPRNVVLQLLVDDGVPTRGD RMSLMDNKFKSVGVGFHTHKFQKFVCVLDFAGGFGALGTSCSGCTRAPPSFCRRMRYS ETMIPTTIDLCSGQAHDGQARARAGGNHVGRRARPPEHPV H257_16340 MGSAVSSDVIGTMDDASLTSILKEAMKKDPARIDRLFAAARLAI RDEQVAASAAKDDAPPSDEHGGKTLSEEEFAAAVAAELNAVRTNPQSYIPYLEAMLTQ FSGNILTIPSEGIRLQTEEGPTAVQDCLVFLKAHQPVDYLVLEPNMSKAAVDHALDLG NNGAVSHTGSDGSTMVGRLEHYGEWKGSIGELLAFGLCRPRNVVLQLLVDDGVPTRGD RMSLMDNKFKSVGVGFHTHKFQKFVCVLDFAGGFGALGTSCSGCTRAPPSFCRRMRYS ETMIPTTIDLCSGQAHDGQARARAGGNHVGRRARPPEHPV H257_16340 MKKDPARIDRLFAAARLAIRDEQVAASAAKDDAPPSDEHGGKTL SEEEFAAAVAAELNAVRTNPQSYIPYLEAMLTQFSGNILTIPSEGIRLQTEEGPTAVQ DCLVFLKAHQPVDYLVLEPNMSKAAVDHALDLGNNGAVSHTGSDGSTMVGRLEHYGEW KGSIGELLAFGLCRPRNVVLQLLVDDGVPTRGDRMSLMDNKFKSVGVGFHTHKFQKFV CVLDFAGGFGALGTSCSGCTRAPPSFCRRMRYSETMIPTTIDLCSGQAHDGQARARAG GNHVGRRARPPEHPV H257_16340 MKKDPARIDRLFAAARLAIRDEQVAASAAKDDAPPSDEHGGKTL SEEEFAAAVAAELNAVRTNPQSYIPYLEAMLTQFSGNILTIPSEGIRLQTEEGPTAVQ DCLVFLKAHQPVDYLVLEPNMSKAAVDHALDLGNNGAVSHTGSDGSTMVGRLEHYGEW KGSIGELLAFGLCRPRNVVLQLLVDDGVPTRGDRMSLMDNKFKSVGVGFHTHKFQKFV CVLDFAGGFGALGTSCSGCTRAPPSFCRRMRYSETMIPTTIDLCSGQAHDGQARARAG GNHVGRRARPPEHPV H257_16341 MFRLGRVNFLRPSNLLSTMSTSSRLGPLVTGDQVEALVSSGRDV RFVDASWYLDKARNGRVEFNTERLPGAAFFDIEAIKDTSSSLPHMLPPAAEFSSAVQA LGISNDSTVVVYGGFNCFSPARCWWTFKYFGHDQVHVLNGGLTQWKKEGRAVDQGPPE APTPSASYVAVPRPALVLNAQQVLSLLDTKDQIIDARGPSRFYAKEPEPRPGMRGGHM PGALNVPFGKVLAADDFSAWRDVDEIRANFEASGVRLAGTTLVATTCGSGVTASVLTL GLHLLDVPLERVPVYDGSWSEWGARADLPIVHD H257_16341 MFRLGRVNFLRPSNLLSTMSTSSRLGPLVTGDQVEALVSSGRDV RFVDASWYLDKARNGRVEFNTERLPGAAFFDIEAIKDTSSSLPHMLPPAAEFSSAVQA LGISNDSTVVVYGGFNCFSPARCWWTFKYFGHDQVHVLNGGLTQWKKEGRAVDQGPPE APTPSASYVAVPRPALVLNAQQVLSLLDTKDQIIDARGPSRFYAKEPEPRPGMRGGHM PGALNVPFGKVLAADDFSAWRDVDEIRANFEASGVRLAGTTLVATTCGSGVTASVLTL GLHLLDVPLER H257_16342 MRKESLRCGRFKELAISADVPVTSTKSEVSALWRAFAQRWLGLC QKHETVRKQILHPHTGNFEPGAMTLVLGEPQSGKSSHMKILSDRFPLTKSAFTWMAES RTTARTRQPQLVTSPPLGTSGCTTSSKPRYTMAIPLTSIAFKCPTDLGYHDLTNI H257_16343 MKASSSHLDMFIIALWIFVGVAAVVCVLAFVLFRMRQRRLAAAG LGATTGTNSPVEGGVIYDVLSTPVMAYSVKIVDTPKGSQNVMEAAI H257_16344 MSVAYYPSSDFSMDHQFPSKQRFVALSMQRPTPPLQRHAMNVMP MHKKPVELGGACKQRSWRGTCRYKSGRCSNERTLKFNGEIHTMCEEHRIRHNNNQRRS DLKRRIKKSPELTSSTSLPYLSGAPVQPSSGCSSQKRPSLQKQLFAALDLSSGEAFLK PEAMDAINNLMSDDDQPDYEIRPPSCTMELTPQEVKILHSILDMDDESMG H257_16345 MESRMQELMSSYYDLSDKDETVSQSKNINAPGFLVDDYVKDMLE SMGMDELLRRDDQMIKEIKELDTNMQMLVYENYNKFISATDTIRKMKTNVESMESEVK KVVDSMGKITVQSENVSNALAPFRSKVEKLVGVRRLLKRLEFIFQLPQRLKSAMKAQE YDKATKYFVVANRILKRYQHIASFKTIQLEAEHIMFGLRTIVQKKFDDDSTTAPQVQE YAALLLDLNVSVPEVRQRFLNWYQLHFDHFVATFQDTQPCDTVKYIDAINNAFLPSFA TSATAFQAVFGRADVESTAAFGAVATVWFDGYASVCGVQFQRQLAQFTSGDKVGGSGG YGILMLMLKAVIDGIHSLTAPLLPTRDILARTSQLVEASIRFQVDAAFTLVKTEFVEK LITFHDTVQGNPRNMPELAKKLCTVYVDRIEQSLQRMQPLISTAAHVLPEMSRALSDL VQNRFKAFLDWFTSMVLRLIHPPSVEPTPEDVAMPTALAVTPPFLLLLACVCREFGAT VVPRCIQVMVECLPNFNPLDPASSLRSAGTIVDVPTIDQLAKDTAMAFLHQFALLHSA RLNTLLRTAVLTPNWLEANEPPRAVRPEIDAIVDEWVRVVKSTAEAFGEPVPGPSRIN STSGVRDLRWSKQPRSMGSGKQATNSGHMYMDVARLFAKKVHVYHGPDLAISVDSVLS CVFKIACKAYGEYARMATFGRFGVQQMQVDTEWLKVTAATYLTADSSVNEVESLLCDV VTNSMERAVEYTLLEESVLVAIVSTKKGTLKI H257_16346 MAPTTAAAPTSSEGTFLVKAGLAKMLKGGVIMDVTNVEQARIAE EAGAVAVMALERIPADIRADGGVARSSDPQMIEAIKRAVTIPVMAKVRIGHFVEAQIL EALEIDYIDESEVLTMADDENHINKHKFKVPFVCGCRNLGEALRRIAEGAAMLRTKGE AGTGNVVEAVRHARAVHREIRRLQSMDEDELFVAAKEMQAPYDLVKQVAATGKLPVVN FAAGGVATPADAALMMQLGMDGVFVGSGIFKSGDPAKRARAMVQAVTHFRDAKILKEL STDLGEAMVGIQDLKAASVNFRDREGTLHGTW H257_16347 MAPTTAAAPTSSEGTFLVKAGLAKMLKGGVIMDVTNVEQARIAE EAGAVAVMALERIPADIRADGGVARSSDPQMIEAIKRAVTIPVMAKVRIGHFVEAQIL EALEIDYIDESEVLTMADDENHINKHKFKVPFVCGCRNLGEALRRIAEGAAMLRTKGE AGTGNVVEAVRHARAVHREIRRLQSMDEDELFVAAKEMQAPYDLVKQVAATGKLPVVN FAAGGVATPADAALMMQLGMDGVFVGSGIFKSGDPAKRARAMVQAVTHFRDAKILKEL STDLGEAMVGIQDLKAASVNFRDREGTLHGTW H257_16348 MGAMDVLKKWDFYKKIPEDLTVSTLPGVSLSIIGCAIMFILFVL EFNAYLTVAHDYQIVMDEGLDEMLRINFNITVPDLRCEFASLDVSDQTGTRKHNMTTD IYKIRIDSKRRILGMSAEQQPKPQFGDDAEYGDLPESDAVVTILTPDTFESFLKQHHY VAVDFFAPWCIWCQRLEPVFVRTAKSLPSLHYGQRMRVASVDCQQYGELCASQYIRAY PTIMFYKDGDLSPVEMYHGDRTLEGFLDKFKSLFDGEQDLSEHRKKILHEEDKKEAAS KGEIIAKAPGAEGCQLYGHLMVKRVPGNFHVHLQNPSYSMAAVNASHVVGELWFGEPV TYDEMNRLPKDAHAHLYAHRLEGREFISYAPEHTYVHYIKVVTNSYVQSNGDVINVYK YTAHSNEYKEETDLPSVMFRYDLSPMSVKISEKSVPFYHFLTSACAIIGGVFTVIGLL DQIIHQTVRALNKKVL H257_16349 MSRPASMRDRHGSAASVRESYAFRLSNADFTGYIYRLSKKWKRR FVVLEGRELSYYGNETEAMEPSTPAKGRIVVCAAYHWGEVNCGLIMVSASGDMWKCYT EMTNASEKLLVPLTQISEDCRALAMNMPTTMTTGDMTSDELMGIRQTCNPKAVDDFKY KQNCVPFRAHQDVMKGWVEKRGSRFRSWKRRFFVLRDNILAYYDINLEGQPQKGGDVV LSIENSTVKPNGLEFKMSSGRTLVGFTEEANDHARWLSRQFQHP H257_16350 MLAHRTLTSLRQSTLRTCGALRHRSHSAVLKEFAPMYTKATNGH HDADAIPYEPLNINRLAFIGGGNMAEAIISGIMVQELLPPNKIMVSSPTEESRDKFNK MLIPTAEKNKNALVGADVVVVAVKPQVIPQIVPDLLKYMDPNALVISIAAGVTIDEFK ANLGPDVCIVRSMPNTPAMIGEGITVWTQSSNVSAVQHELTKSILGAFGHAIFVDDEN NLDMATALSGSGPAYFYLVAEAMIDAGVHLGFSRSVSQKLVQQTMLGSALYMQASGKH PVVLRNQITSPGGTTAAAMFRAEKSGFRAVIADSVWAAYNRSKELGAQKRS H257_16351 MLAPQQEVEVDVRKEDQDRINEFGRNNSRLADLRDELKALKEKL ETLDDANTEIMMGAGDNVQLFIGESFVEVSEEDAQEYLEAQTDKANAVVSKLNAEESK LEARQDALKKVLYARFGTSINLEDK H257_16352 MAGSKSVNVADTFKSPALKSPNLFQYEAEKQSYEFFYPSPHSAA RQTTVRSAVMNLVTTLIGGGVLLLPFTIAKSGIVVGCIIMLCCATASSFTSYILVSCS RRSGAQSYEEIARNAFGRKMQVVTMVLLILLIFLAFVGYVILVRDIAGSLASQFIFGR TLSVADENLVAMGVVALVSPLLFLRSMHSLRHTSVIGLSTVGIFAFGILFRSTEKIMS PEFDASKLTLVADSIDGPMYALPIVISSFLCHFNVLPVYGELQKPTRRRLKKIVIVTV FSTSVFYMILGTLGYIYAFDQLQGVQGDILNNFDQGDVVMNIGRAGILLTICMSLPLL ILPTRKTIYRLYMLCHGIATTGGDGTTQETQPLIADSPSVATDDLTEKPVPLVPHVVI TLVILLLAFYLAFSLPGVAVVWNIMGSTVGILISYVLPCVCYIRIRREKPTTDWRKIG AWVLLVISGSICFVCSVQAFHKLGVMVYGVLVA H257_16353 MSLTLNHAELFKVGAISSRATLKLLPLSKKKKKQKLVVGDDSGV VSSFQMKKGEPAAVYKSNAHANPITCITLGNFKGTEDRAYVSSGQQVVGYNKKGKEFF KFPTNLSETINRVHSYDSKLWISTDYIYNQFENGVDKETSMCQDRIHDLLLHQDADSN EFHAVLGCQDKYIRVMRGNVVLAKKATSGAVTTLTSMGSKILYGTAGGTFGLVTLTNG GKLKTVWKTAQDKAAPSPITSMVTYDINKDGAAEVVIGREDGRVEIYSVDESGNIVKE FEYVANESVRALQAGVLGTPGYDEVVLCTYSGRVMSLTSEPLDQPDMEDSYGRSRGTV QRETRIVKLRKEIAILEEKVLKEKEKSSRKGDECLPVVEEVPVNCQCVLNPDNQTYDI AVEIPVPIACVSLHSSVPLDLLDTVKNQAILCRSPTTNSHVLATYRCQELTNRLEFRI RTLEGQYGDVELTVLAETVPKAAQCVKVVVKPLSLHHRVNVVDSADVDESVMNSLHFT GAFSLIQVHEWVSFCLPDVPVRMQDDEGKLFFRNTFVGNILVCEYKKGEARFLSSSVS AIAILKEVITKEATARKVTLNMTFDIKNESTAVVLALLRPKLDEKQMLASQVKILDGI KELQMHETDHALWMSPEYQRILADADRIVAAFDAHPRAMTYLTGVLTDLYVDISKFRG VNPKTHLPRLFHLLDQYNFDALVEFFTRAP H257_16353 MSLTLNHAELFKVGAISSRATLKLLPLSKKKKKQKLVVGDDSGV VSSFQMKKGEPAAVYKSNAHANPITCITLGNFKGTEDRAYVSSGQQVVGYNKKGKEFF KFPTNLSETINRVHSYDSKLWISTDYIYNQFENGVDKETSMCQDRIHDLLLHQDADSN EFHAVLGCQDKYIRVMRGNVVLAKKATSGAVTTLTSMGSKILYGTAGGTFGLVTLTNG GKLKTVWKTAQDKAAPSPITSMVTYDINKDGAAEVVIGREDGRVEIYSVDESGNIVKE FEYVANESVRALQAGVLGTPGYDEVVLCTYSGRVMSLTSEPLDQPDMEDSYGRSRGTV QRETRIVKLRKEIAILEEKVLKEKEKSSRKGDECLPVVEEVPVNCQCVLNPDNQTYDI AVEIPVPIACVSLHSSVPLDLLDTVKNQAILCRSPTTNSHVLATYRCQELTNRLEFRI RTLEGQYGDVELTVLAETVPKAAQCVKVVVKPLSLHHRVNVVDSADVDESVMNSLHFT GAFSLIQVHEWVSFCLPDVPVRMQDDEGKLFFRNTFVGNILVCEYKKGEARFLSSSVS AIAILKEVITKEATARKVTLNMTFDIKNESTAVVLALLRPKLDEKQMLASQVKILDGI KELQMHETDHALWMSPEYQRILADADRIVAAFDAHPRAMTYLTGVLTDLYVDISKFRG VNVRSLVPNRKWTFYMRYTCIAQDPLAAPVPPPGPIQL H257_16353 MSLTLNHAELFKVGAISSRATLKLLPLSKKKKKQKLVVGDDSGV VSSFQMKKGEPAAVYKSNAHANPITCITLGNFKGTEDRAYVSSGQQVVGYNKKGKEFF KFPTNLSETINRVHSYDSKLWISTDYIYNQFENGVDKETSMCQDRIHDLLLHQDADSN EFHAVLGCQDKYIRVMRGNVVLAKKATSGAVTTLTSMGSKILYGTAGGTFGLVTLTNG GKLKTVWKTAQDKAAPSPITSMVTYDINKDGAAEVVIGREDGRVEIYSVDESGNIVKE FEYVANESVRALQAGVLGTPGYDEVVLCTYSGRVMSLTSEPLDQPDMEDSYGRSRGTV QRETRIVKLRKEIAILEEKVLKEKEKSSRKGDECLPVVEEVPVNCQCVLNPDNQTYDI AVEIPVPIACVSLHSSVPLDLLDTVKNQAILCRSPTTNSHVLATYRCQELTNRLEFRI RTLEGQYGDVELTVLAETVPKAAQCVKVVVKPLSLHHRVNVVDSADVDESVMNSLHFT GAFSLIQVHEWVSFCLPDVPVRMQDDEGKLFFRNTFVGNILVCEYKKGEARFLSSSVS AIAILKEVITKEATARKVTLNMTFDIKNESTAVVLALLRPKLDEKQMLASQVKILDGI KVLVTIYICIVLLPKNIWTTFVTIYIYLY H257_16353 MSLTLNHAELFKVGAISSRATLKLLPLSKKKKKQKLVVGDDSGV VSSFQMKKGEPAAVYKSNAHANPITCITLGNFKGTEDRAYVSSGQQVVGYNKKGKEFF KFPTNLSETINRVHSYDSKLWISTDYIYNQFENGVDKETSMCQDRIHDLLLHQDADSN EFHAVLGCQDKYIRVMRGNVVLAKKATSGAVTTLTSMGSKILYGTAGGTFGLVTLTNG GKLKTVWKTAQDKAAPSPITSMVTYDINKDGAAEVVIGREDGRVEIYSVDESGNIVKE FEYVANESVRALQAGVLGTPGYDEVVLCTYSGRVMSLTSEPLDQPDMEDSYGRSRGTV QRETRIVKLRKEIAILEEKVLKEKEKSSRKGDECLPVVEEVPVNCQCVLNPDNQTYDI AVEIPVPIACVSLHSSVPLDLLDTVKNQAILCRSPTTNSHVLATYRCQELTNRLEFRI RTLEGQYGDVELTVLAETVPKAAQCVKVVVKPLSLHHRVNVVDSADVDESVMNSLHFT GAFSLIQVHEWVSFCLPDVPVRMQDDEGKLFFRNTFVGNILVCEYKYVGCIWS H257_16354 MQVVGGPQEERSRRLMRDPMMDAPTKEREFVVPVDFRMQEETYQ EKFAAQHEEITFLKTYIEKLLNQLRRVLVKHNELEQLQTLCDPTTTHVADDGEDSMTP PPWFTSKEYMSPLFAAYEGRIKELESTCDKHRKDLDAFVAHATSLTSENDRLNQELTT ALEKLIMHAEAPSSGGRGSSDFFATLTPVDSTADQLAEMNERLDFLMSENNLLAEQRS LVETELDECQRDIHDRDDQLLAMSHNFNQATVAIQELRDSCDHLKLEKQKCEVQVQQF AATIAQLEAQKETIASRVTGLQANVATYLNQIEEYERTISNVKAASEQKQLLVTKRYQ GVCERLRELTTALDAKHKQVDEWQERHRGVVSELDLVKHDCEAMVKVMQNMEKQLNEY AAREEAVAELERSTVHKAHEAALERDQALAKEAQCRREIARLLEQKQLAASEYLKATD SAVDKLRRKLEGEVQHRADELKHAQAVIVTLKMQLETAFRTQQDAEKHVQECVAQGET RHQVFLDQHKQLADRVAVAEQLRDEAVAAESRLASVLAAKDGQFQKTVAELQDKLAVE HEHLSGLQRELDVRRSEIREALESNAAKDKQVACLTKEVADVRSEWNQKHKYQLDMYS QQVRDLKARLDMADGEVMKLKHDSAKTEHALRLEHSRMDAKYKTELEVASHRASRLKD DKTLVEAHLSDVHAKQSQYATKIIGLEQELADAHDQCSQWQMHVDEAERKSADVAAQL KLVLATQQQNLRADVELKSALDRVKLEKARLEREVAVRFALEEGHARRFVGAAEKSRG SATAPQGPPPPASQFECVLAAYGSGQGRHVDAVTRQVTLLWRHCYAR H257_16354 MQVVGGPQEERSRRLMRDPMMDAPTKEREFVVPVDFRMQEETYQ EKFAAQHEEITFLKTYIEKLLNQLRRVLVKHNELEQLQTLCDPTTTHVADDGEDSMTP PPWFTSKEYMSPLFAAYEGRIKELESTCDKHRKDLDAFVAHATSLTSENDRLNQELTT ALEKLIMHAEAPSSGGRGSSDFFATLTPVDSTADQLAEMNERLDFLMSENNLLAEQRS LVETELDECQRDIHDRDDQLLAMSHNFNQATVAIQELRDSCDHLKLEKQKCEVQVQQF AATIAQLEAQKETIASRVTGLQANVATYLNQIEEYERTISNVKAASEQKQLLVTKRYQ GVCERLRELTTALDAKHKQVDEWQERHRGVVSELDLVKHDCEAMVKVMQNMEKQLNEY AAREEAVAELERSTVHKAHEAALERDQALAKEAQCRREIARLLEQKQLAASEYLKATD SAVDKLRRKLEGEVQHRADELKHAQAVIVTLKMQLETAFRTQQDAEKHVQECVAQGET RHQVFLDQHKQLADRVAVAEQLRDEAVAAESRLASVLAAKDGQFQKTVAELQDKLAVE HEHLSGLQRELDVRRSEIREALESNAAKDKQVACLTKEVADVRSEWNQKHKYQLDMYS QQVRDLKARLDMADGEVMKLKHDSAKTEHALRLEHSRMDAKYKTELEVASHRASRLKD DKTLVEAHLSDVHAKQSQYATKIIGLEQELADAHDQCSQWQMHVDEAERKSADVAAQL KLVLATQQQNLRADVELKSALDRVKLEKARLEREVAVLRKSLEDLRQRPKDPLPPHHN SSAFLLPMAVDKVGM H257_16354 MQVVGGPQEERSRRLMRDPMMDAPTKEREFVVPVDFRMQEETYQ EKFAAQHEEITFLKTYIEKLLNQLRRVLVKHNELEQLQTLCDPTTTHVADDGEDSMTP PPWFTSKEYMSPLFAAYEGRIKELESTCDKHRKDLDAFVAHATSLTSENDRLNQELTT ALEKLIMHAEAPSSGGRGSSDFFATLTPVDSTADQLAEMNERLDFLMSENNLLAEQRS LVETELDECQRDIHDRDDQLLAMSHNFNQATVAIQELRDSCDHLKLEKQKCEVQVQQF AATIAQLEAQKETIASRVTGLQANVATYLNQIEEYERTISNVKAASEQKQLLVTKRYQ GVCERLRELTTALDAKHKQVDEWQERHRGVVSELDLVKHDCEAMVKVMQNMEKQLNEY AAREEAVAELERSTVHKAHEAALERDQALAKEAQCRREIARLLEQKQLAASEYLKATD SAVDKLRRKLEGEVQHRADELKHAQAVIVTLKMQLETAFRTQQDAEKHVQECVAQGET RHQVFLDQHKQLADRVAVAEQLRDEAVAAESRLASVLAAKDGQFQKTVAELQDKLAVE HEHLSGLQRELDVRRSEIREALESNAAKDKQVACLTKEVADVRTGSGPQGAARHGRRR SDETQARQCQDGARAPARAQPHGRQVQDRARGRKPPRESAQGRQDPRGSAFVRRPRQA VPVRYQDHWA H257_16354 MQVVGGPQEERSRRLMRDPMMDAPTKEREFVVPVDFRMQEETYQ EKFAAQHEEITFLKTYIEKLLNQLRRVLVKHNELEQLQTLCDPTTTHVADDGEDSMTP PPWFTSKEYMSPLFAAYEGRIKELESTCDKHRKDLDAFVAHATSLTSENDRLNQELTT ALEKLIMHAEAPSSGGRGSSDFFATLTPVDSTADQLAEMNERLDFLMSENNLLAEQRS LVETELDECQRDIHDRDDQLLAMSHNFNQATVAIQELRDSCDHLKLEKQKCEVQVQQF AATIAQLEAQKETIASRVTGLQANVATYLNQIEEYERTISNVKAASEQKQLLVTKRYQ GVCERLRELTTALDAKHKQVDEWQERHRGVVSELDLVKHDCEAMVKVMQNMEKQLNEY AAREEAVAELERSTVHKAHEAALERDQALAKEAQCRREIARLLEQKQLAASEYLKATD SAVDKLRRKLEGEVQHRADELKHAQAVIVTLKMQLETAFRTQQDAEKHVQECVAQGET RHQVFLDQHKQLADRVAVAEQLRDEAVAAESRLASVLAAKDGQFQKTVAELQDKLAVE HEHLSGLQRELDVRRSEIREALESNAAKDKQVACLTKEVADVRSSGPQGAARHGRRRS DETQARQCQDGARAPARAQPHGRQVQDRARGRKPPRESAQGRQDPRGSAFVRRPRQAV PVRYQDHWA H257_16354 MQVVGGPQEERSRRLMRDPMMDAPTKEREFVVPVDFRMQEETYQ EKFAAQHEEITFLKTYIEKLLNQLRRVLVKHNELEQLQTLCDPTTTHVADDGEDSMTP PPWFTSKEYMSPLFAAYEGRIKELESTCDKHRKDLDAFVAHATSLTSENDRLNQELTT ALEKLIMHAEAPSSGGRGSSDFFATLTPVDSTADQLAEMNERLDFLMSENNLLAEQRS LVETELDECQRDIHDRDDQLLAMSHNFNQATVAIQELRDSCDHLKLEKQKCEVQVQQF AATIAQLEAQKETIASRVTGLQANVATYLNQIEEYERTISNVKAASEQKQLLVTKRYQ GVCERLRELTTALDAKHKQVDEWQERHRGVVSELDLVKHDCEAMVKVMQNMEKQLNEY AAREEAVAELERSTVHKAHEAALERDQALAKEAQCRREIARLLEQKQLAASEYLKATD SAVDKLRRKLEGEVQHRADELKHAQAVIVTLKMQLETAFRTQQDAEKHVQECVAQGET RHQVFLDQHKQLADRVAVAEQLRDEAVAAESRLASVLAAKDGQFQKTVAELQDKLAVE HEHLSGLQRELDVRRSEIREALESNAAKDKQVACLTKEVADVRSSGPQGAARHGRRRS DETQARQCQDGARAPARAQPHGRQVQDRARGRKPPRESAQGRQDPRGSAFVRRPRQAV PVRYQDHWA H257_16354 MQVVGGPQEERSRRLMRDPMMDAPTKEREFVVPVDFRMQEETYQ EKFAAQHEEITFLKTYIEKLLNQLRRVLVKHNELEQLQTLCDPTTTHVADDGEDSMTP PPWFTSKEYMSPLFAAYEGRIKELESTCDKHRKDLDAFVAHATSLTSENDRLNQELTT ALEKLIMHAEAPSSGGRGSSDFFATLTPVDSTADQLAEMNERLDFLMSENNLLAEQRS LVETELDECQRDIHDRDDQLLAMSHNFNQATVAIQELRDSCDHLKLEKQKCEVQVQQF AATIAQLEAQKETIASRVTGLQANVATYLNQIEEYERTISNVKAASEQKQLLVTKRYQ GVCERLRELTTALDAKHKQVDEWQERHRGVVSELDLVKHDCEAMVKVMQNMEKQLNEY AAREEAVAELERSTVHKAHEAALERDQALAKEAQCRREIARLLEQKQLAASEYLKATD SAVDKLRRKLEGEVQHRADELKHAQAVIVTLKMQLETAFRTQQDAEKHVQECVAQGET RHQVFLDQHKQLADRVAVAEQLRDEAVAAESRLASVLAAKDGQFQKTVAELQDKLAVE HEHLSGLQRELDVRRSEIREALESNAAKDKQVACLTKEVADVRSSGPQGAARHGRRRS DETQARQCQDGARAPARAQPHGRQVQDRARGRKPPRESAQGRQDPRGSAFVRRPRQAV PVRYQGTA H257_16355 MVDSSHFVCECDAAAPEDLKVHGANCSLAILKCPWQWREPFLDE RRNKLKRKLGDTKWKDPVDPGFIDHMEPGAGKKRFRDVESDELSNIHSEIQRLNRAKA SCAEHASSWDECNDDPSKTTQDDNSSVWRKGSESPNTVAAPMCSSEDMAYLDSILLPV ELFARDVDAFEHVAPDSEVYGFHTLALLEEPFLQLLSDRYETYLTYDDEELSIDSDAD SDGSTTP H257_16356 MLAMNMRQLKHKTLTRMGVAQSLCDPSFEALSISFQRIEESLPH VYHHILTSLTSCHACCHTLGTTFQHIPSAMPEEGDPFGWTMQRVREQADCLEQAVTLT VLAPVQALMQRCAVLRSKLNERETYVINYDLCKLDFASAAATDLLRPQKQEKMEQAWQ EYQSFMHVIVQDILQLEIDHVSVRAEALEAMKLHVAKFFLGCQKKLWAALDVPPPAAD AMADEDDGQASRSSKIMRSRGHEPHSMRSLAKQFSNADDVETTSPSHRNAHLQLPPHQ DAKVDEVPASSSSVTDVVSAPMSALPLSPRSRAAAAASPRSPQQQGWFLDFLWSQDQV PPSSPKSPQKAKSKKHHMTLAPMEWAAVEDALWELDQQAAAAAPPPRRGWADECPTFP LPLTPALVVTVCSYLDVVSVGQVAQTCRGARRHLVPSTALWHRMIRLGGVPAACRCAF WVWFQFQRRDPTFNATAAYDQLLVSAAQLVRVNSLATPLSRSGFQAADDRHLTDVMDS EDRQILAWFNDIDVDVNRTCHKTLFTKDVAEEWDLVQIPEMDVAAMVEQALVGVSSPR REAVEQTDEDRAVLHAAMRRLLRAYVMFNPEIGYCQGMNFIVRLLLDNPRAEEAHVFW TFVSLCDAESSLYEPGFHTLHTLFTKLEVLVQQQMPDMHRHLQAQGVAVSMFAARWFL TLFTSLETFGPTLVLRLLDLYHLDRHRILCGIALVVLEELKDLVLESEFETILAILQY PRHYMPEPDFAKRKELMQHALVVSITRILLN H257_16356 MLAMNMRQLKHKTLTRMGVAQSLCDPSFEALSISFQRIEESLPH VYHHILTSLTSCHACCHTLGTTFQHIPSAMPEEGDPFGWTMQRVREQADCLEQAVTLT VLAPVQALMQRCAVLRSKLNERETYVINYDLCKLDFASAAATDLLRPQKQEKMEQAWQ EYQSFMHVIVQDILQLEIDHVSVRAEALEAMKLHVAKFFLGCQKKLWAALDVPPPAAD AMADEDDGQASRSSKIMRSRGHEPHSMRSLAKQFSNADDVETTSPSHRNAHLQLPPHQ DAKVDEVPASSSSVTDVVSAPMSALPLSPRSRAAAAASPRSPQQQGWFLDFLWSQDQV PPSSPKSPQKAKSKKHHMTLAPMEWAAVEDALWELDQQAAAAAPPPRRGWADECPTFP LPLTPALVVTVCSYLDVVSVGQVAQTCRGARRHLVPSTALWHRMIRLGGVPAACRCAF WVWFQFQRRDPTFNATAAYDQLLVSAAQLVRVNSLATPLSRSGFQAADDRHLTDVMDS EDRQILAWFNDIDVDVNRTCHKTLFTKDVAEEWDLVQIPEMDVAAMVEQALVGVSSPR REAVEQTDEDRAVLHAAMRRLLRAYVMFNPEIGYCQGMNFIVRLLLDNPRAEEAHVFW TFVSLCDAESSLYEPGFHTLHTLFTKVRGFMRHGTQLVCDMAWRISWKCWCSSKCQTC TATCRRKEWP H257_16357 MMQRDAVRTSLHQSKVFDEQCDVTGQLRCAALVLSVTAFFLFLY IDIRPQESITVLALGTLMLAWTGPLTVLAGTYMKNNRFKVWQPFEGGFHFVSMQAVGW CLTGLLLAVCLVYLVNFHTLTRFEGQFLFIGIVGFIAQMVLNVSLDTFVADTPVPHVH PTSTTKSVVAILLSVSGCLFFVAFDWILPSSVLLVLGAVIFSVSSVVLHVGIGWCDLP TFALWQPFVGGNVFMLLQYLGWKFFACTLVSTALLSSSTSESYAGTASCMGVLGLISQ LLLLTSLSFFQPIASQVEPRHTHRLPAECAVCGIVLVMTGLITLALCHDLLPPVLCPS KIRLLGLLCLLVLGAVTPLTHVGGARAIPRYQLWQPFRGDSKFVFIQSVGWTWYGIFV GVALLMHLNNTQFLVQLLPLAWLLGAASCATIVLSLLFFRMDEDSKHSATMATRGVPQ LLPALFTMHLPPPERLLGHVLSTSTVVLHVVVEGCQVGGTAAALCVALGLLLSMLAMA STHASGRFQSPSYRMWQPFLGGDAFVLRQAMAWTFFALFTLFDCLCIVACIRHDDVVR GLVLAVGVAAVVPHRVLASSVPLFQPPSSSSPLPSATPLMDLRSSRLPILANLVLVVG SLVLFAMAEWCRLHWRSFSHLFFMFGTVAAAAGLACTHCVCGPYMHSNYRLVQPFRGG LRFVVLQSAAWALGALAWVASCTTLYGGLAHFVLIDGLLVAIGTMFTVAHGLLLVALL CFDNAEAPDHPRHSKPNLVLGLILGVVACCAFALVDMVLLRSSSPASIPAFPTTACAI LALVASIRPVYCLQGRVVYRVVGCTLWSATIVLGSIFTYSLYDDDTAPVFPNSTSSHP LYGVLTGSLGLVTHVVLLHSTADKATVLPRVHLGGYVYPVVGIVGVVASIIVTPDGLV HAMASAVRNPMPPLVCVVCVVCFSVVVGGIARLLQPTAVVPPQPLAFPVDIVRHIATF VAPSDLAALAACSKHHQALVAPSIWHAKFASFVQSPAAKMPRTIPVVGVSSLFADSPL AAVERFVLATVFPSSHRHKRAPCRPFPPPTNGLQWKHIAAVVGRGGALYQCELCLSFD LMTPSQRAWEDEHACAAHGVSTWLASPCQCRHAITLTRKMAHRACVERCRTSYICRSS HHHASPPPASFRRPLLPALRAPMTLSEFVTAVMAPRRLVAATAPSAVVVAASALVSGS PFSGLVVWTGGMTILGAIVRSAEFDRAVQQIWNDPPAFPLYMRIINTLALSSFVQLMS SLWSDPSGLLQVAFAVNSIAFILFTSVAGVVFCKANALVLTYSAPQLPMTLTSRPQRS CVLCRLHLCRTLPAQHAAT H257_16358 MKAVKQVGQLVASQGDDAATMDNDGEIPIQLNLPGVTLNFTSEW IMDTRELQEAKAHHADLLERIQTLEQENTYLREKCVRINDESNLDKFKSQLLVEMLAI SSLDEEKSKAEYIKEKLKVESLKHDMKALLEKAAHHNIDIKQFATHLKSM H257_16359 MSELPSVMNPDAAIDDPQTTVLLRMRVQGVCVGYCLPTGEFIFA TKGSPKFFVPGGGDEASTLYGESLLSFLSSLDIQRYWQYMNDVQTHLHFLDCSNKDKS MDIRPILANIEGTHTTILLEAISAPLTLRLQRCIDAVQNVEPTRRFSDESTFVIDDDD YQSVIANGALQVSVHRMESVTCASSWTERDSEASLADFAFDLQDDWGRPMVELDDLPY HFDTSAGISFDLSSPVATSQV H257_16360 MEATRVVGLGKGKVAIEELVEIRRVAGGGGLVEKASCATRRLWL RRVRALIRNPLLGCRRGDSAARRRASMLKMPVILPLTQNFDWCADSRSNLCTVNLYVK S H257_16361 MADLHRDMEKLAVDRLGTSMRRLNEAIDSIRAVRMDPSVDIEAK ILQVLPLAPNNSISERLLALVDALSEAIAEAEALESSRDPPVNKTKPRAPLCLLSLRD YTTVQAAVELILVWGAYPCVEAGILTPIPQRVVAKTFKIDRAMVQHVATLESNPSTPA HLDNVLRGLLHVLELSQFKPMLLPAYLADLLACLVYRIHCQPSPPPTAAAARLQQLMD VLPIRVFMGSLRGVLATPHANTTFKAQCGHLLSQCVLKDGGVLATIEMLLSSVDDGNT QARLHVAALVARCPRALTTTQYLLAIGPQVMWLLTYPTTKLVREVAGLTVSQLILDHP IDLVDQCVLRPLFLPLLRFSLPPPSPATVSDGIAFISTENELDACISALKCMLLGPVP PAPVLEALVPVFRPLVYLDAFARASKAYVHADTQQLLLLFVQQLPSAASRLASCVLPS STPLRPSLCPDDCTTPRHSTSQVVYCAGGSGGVGLRQDQPHDDNVIIDNVIDAIVDLL GQPQLDDQNNVVVGELFSHLLTTYMHLKTSSHLEPSNDRSKSSDTGSMRLLLRLTEDL GPAVLRSGVLVLQCLVTVLSMYTNQDADAIGDNDADDDDDDMDVLSVGLSMATTIVQA GAAARSADEEALLTQMLVPLEQLARHPKAPIAEMASDLCLHILARSADDHKQDVMTAA QSFAEMLDRSKADLQSAQVPLRARGLARLTRWIRRRQPVDNVEALVALCVHHLADADS YVYLAAVQALAGLGDVYADKTIPLLLDALAQDATYSVEQRIKLSEALLFTARRCGDVM PKYGRAFVFGYLRCIRSRKLPNDANEIEETTLRASCLSNLAEVCGLLHWAISPYITDV VGCVRGILETERLATDAHIALRRGAVFVLYNMLQLMGRDILEAVPDAMTPIYRLLKME ASTEKDAVCRFHAENSVKALDTIMRGELFNVGQASSSRPGGLPSLIFLNQDER H257_16361 MADLHRDMEKLAVDRLGTSMRRLNEAIDSIRAVRMDPSVDIEAK ILQVLPLAPNNSISERLLALVDALSEAIAEAEALESSRDPPVNKTKPRAPLCLLSLRD YTTVQAAVELILVWGAYPCVEAGILTPIPQRVVAKTFKIDRAMVQHVATLESNPSTPA HLDNVLRGLLHVLELSQFKPMLLPAYLADLLACLVYRIHCQPSPPPTAAAARLQQLMD VLPIRVFMGSLRGVLATPHANTTFKAQCGHLLSQCVLKDGGVLATIEMLLSSVDDGNT QARLHVAALVARCPRALTTTQYLLAIGPQVMWLLTYPTTKLVREVAGLTVSQLILDHP IDLVDQCVLRPLFLPLLRFSLPPPSPATVSDGIAFISTENELDACISALKCMLLGPVP PAPVLEALVPVFRPLVYLDAFARASKAYVHADTQQLLLLFVQQLPSAASRLASCVLPS STPLRPSLCPDDCTTPRHSTSQVVYCAGGSGGVGLRQDQPHDDNVIIDNVIDAIVDLL GQPQLDDQNNVVVGELFSHLLTTYMHLKTSSHLEPSNDRSKSSDTGSMRLLLRLTEDL GPAVLRSGVLVLQCLVTVLSMYTNQDADAIGDNDADDDDDDMDVLSVGLSMATTIVQA GAAARSADEEALLTQMLVPLEQLARHPKAPIAEMASDLCLHILARSADDHKQDVMTAA QSFAEMLDRSKADLQSAQVPLRARGLARLTRWIRRRQPVDNVEALVALCVHHLADADS YVYLAAVQALAGLGDVYADKTIPLLLDALAQDATYSVEQRIKLSEALLFTARRCGDVM PKYGRAFVFGYLRCIRSRKLPNDANEIEETTLRASCLSNLAEVCGLLHWAISPYITDV VGCVRGILETERLATDAHIALRRGAVFVLYNMLQVCTIHTYVERNR H257_16361 MADLHRDMEKLAVDRLGTSMRRLNEAIDSIRAVRMDPSVDIEAK ILQVLPLAPNNSISERLLALVDALSEAIAEAEALESSRDPPVNKTKPRAPLCLLSLRD YTTVQAAVELILVWGAYPCVEAGILTPIPQRVVAKTFKIDRAMVQHVATLESNPSTPA HLDNVLRGLLHVLELSQFKPMLLPAYLADLLACLVYRIHCQPSPPPTAAAARLQQLMD VLPIRVFMGSLRGVLATPHANTTFKAQCGHLLSQCVLKDGGVLATIEMLLSSVDDGNT QARLHVAALVARCPRALTTTQYLLAIGPQVMWLLTYPTTKLVREVAGLTVSQLILDHP IDLVDQCVLRPLFLPLLRFSLPPPSPATVSDGIAFISTENELDACISALKCMLLGPVP PAPVLEALVPVFRPLVYLDAFARASKAYVHADTQQLLLLFVQQLPSAASRLASCVLPS STPLRPSLCPDDCTTPRHSTSQVVYCAGGSGGVGLRQDQPHDDNVIIDNVIDAIVDLL GQPQLDDQNNVVVGELFSHLLTTYMHLKTSSHLEPSNDRSKSSDTGSMRLLLRLTEDL GPAVLRSGVLVLQCLVTVLSMYTNQDADAIGDNDADDDDDDMDVLSVGLSMATTIVQA GAAARSADEEALLTQMLVPLEQLARHPKAPIAEMASDLCLHILARSADDHKQDVMTAA QSFAEMLDRSKADLQSAQVPLRARGLARLTRWIRRRQPVDNVEALVALCVHHLADADS YVYLAAVQALAGLGDVYADKTIPLLLDALAQDATYSVEQRIKLSEALLFTARRCGDVM PKYGRAFVFGYLRCIRSRKLPNDANEIEETTLRASCLSNLAEVYCIRRYLMLTGST H257_16361 MADLHRDMEKLAVDRLGTSMRRLNEAIDSIRAVRMDPSVDIEAK ILQVLPLAPNNSISERLLALVDALSEAIAEAEALESSRDPPVNKTKPRAPLCLLSLRD YTTVQAAVELILVWGAYPCVEAGILTPIPQRVVAKTFKIDRAMVQHVATLESNPSTPA HLDNVLRGLLHVLELSQFKPMLLPAYLADLLACLVYRIHCQPSPPPTAAAARLQQLMD VLPIRVFMGSLRGVLATPHANTTFKAQCGHLLSQCVLKDGGVLATIEMLLSSVDDGNT QARLHVAALVARCPRALTTTQYLLAIGPQVMWLLTYPTTKLVREVAGLTVSQLILDHP IDLVDQCVLRPLFLPLLRFSLPPPSPATVSDGIAFISTENELDACISALKCMLLGPVP PAPVLEALVPVFRPLVYLDAFARASKAYVHADTQQLLLLFVQQLPSAASRLASCVLPS STPLRPSLCPDDCTTPRHSTSQVVYCAGGSGGVGLRQDQPHDDNVIIDNVIDAIVDLL GQPQLDDQNNVVVGELFSHLLTTYMHLKTSSHLEPSNDRSKSSDTGSMRLLLRLTEDL GPAVLRSGVLVLQCLVTVLSMYTNQDADAIGDNDADDDDDDMDVLSVGLSMATTIVQA GAAARSADEEALLTQMLVPLEQLARHPKAPIAEMASDLCLHILARSADDHKQDVMTAA QSFAEMLDRSKADLQSAQVPLRARGLARLTRWIRRRQPVDNVEALVALCVHHLADADS YVYLAAVQALAGLGDVYADKTIPLLLDALAQDATYSVEQRIKLSEALLFTARRCGDVM PKYGRAFVFGYLRCIRSRKLPNDANEIEETTLRASCLSNLAEVYCIRRYLMLTGST H257_16361 MADLHRDMEKLAVDRLGTSMRRLNEAIDSIRAVRMDPSVDIEAK ILQVLPLAPNNSISERLLALVDALSEAIAEAEALESSRDPPVNKTKPRAPLCLLSLRD YTTVQAAVELILVWGAYPCVEAGILTPIPQRVVAKTFKIDRAMVQHVATLESNPSTPA HLDNVLRGLLHVLELSQFKPMLLPAYLADLLACLVYRIHCQPSPPPTAAAARLQQLMD VLPIRVFMGSLRGVLATPHANTTFKAQCGHLLSQCVLKDGGVLATIEMLLSSVDDGNT QARLHVAALVARCPRALTTTQYLLAIGPQVMWLLTYPTTKLVREVAGLTVSQLILDHP IDLVDQCVLRPLFLPLLRFSLPPPSPATVSDGIAFISTENELDACISALKCMLLGPVP PAPVLEALVPVFRPLVYLDAFARASKAYVHADTQQLLLLFVQQLPSAASRLASCVLPS STPLRPSLCPDDCTTPRHSTSQVVYCAGGSGGVGLRQDQPHDDNVIIDNVIDAIVDLL GQPQLDDQNNVVVGELFSHLLTTYMHLKTSSHLEPSNDRSKSSDTGSMRLLLRLTEDL GPAVLRSGVLVLQCLVTVLSMYTNQDADAIGDNDADDDDDDMDVLSVGLSMATTIVQA GAAARSADEEALLTQMLVPLEQLARHPKAPIAEMASDLCLHILARSADDHKQDVMTAA QSFAEMLDRSKADLQSAQVPLRARGLARLTRWIRRRQPVDNVEALVALCVHHLADADS YVYLAAVQVRRNLSSVVKGMQKTMVYIYRL H257_16361 MADLHRDMEKLAVDRLGTSMRRLNEAIDSIRAVRMDPSVDIEAK ILQVLPLAPNNSISERLLALVDALSEAIAEAEALESSRDPPVNKTKPRAPLCLLSLRD YTTVQAAVELILVWGAYPCVEAGILTPIPQRVVAKTFKIDRAMVQHVATLESNPSTPA HLDNVLRGLLHVLELSQFKPMLLPAYLADLLACLVYRIHCQPSPPPTAAAARLQQLMD VLPIRVFMGSLRGVLATPHANTTFKAQCGHLLSQCVLKDGGVLATIEMLLSSVDDGNT QARLHVAALVARCPRALTTTQYLLAIGPQVMWLLTYPTTKLVREVAGLTVSQLILDHP IDLVDQCVLRPLFLPLLRFSLPPPSPATVSDGIAFISTENELDACISALKCMLLGPVP PAPVLEALVPVFRPLVYLDAFARASKAYVHADTQQLLLLFVQQLPSAASRLASCVLPS STPLRPSLCPDDCTTPRHSTSQVVYCAGGSGGVGLRQDQPHDDNVIIDNVIDAIVDLL GQPQLDDQNNVVVGELFSHLLTTYMHLKTSSHLEPSNDRSKSSDTGSMRLLLRLTEDL GPAVLRSGVLVLQCLVTVLSMYTNQDADAIGDNDADDDDDDMDVLSVGLSMATTIVQA GAAARSADEEALLTQMLVPLEQLARHPKAPIAEMASDLCLHILARSADDHKQDVMTAA QSFAEMLDRSKADLQSAQVPLRARGLARLTRWIRRRQPVDNVEALVALCVHHLADADS YVYLAAVQVRRNLSSVVKGMQKTMVYIYRL H257_16361 MADLHRDMEKLAVDRLGTSMRRLNEAIDSIRAVRMDPSVDIEAK ILQVLPLAPNNSISERLLALVDALSEAIAEAEALESSRDPPVNKTKPRAPLCLLSLRD YTTVQAAVELILVWGAYPCVEAGILTPIPQRVVAKTFKIDRAMVQHVATLESNPSTPA HLDNVLRGLLHVLELSQFKPMLLPAYLADLLACLVYRIHCQPSPPPTAAAARLQQLMD VLPIRVFMGSLRGVLATPHANTTFKAQCGHLLSQCVLKDGGVLATIEMLLSSVDDGNT QARLHVAALVARCPRALTTTQYLLAIGPQVMWLLTYPTTKLVREVAGLTVSQLILDHP IDLVDQCVLRPLFLPLLRFSLPPPSPATVSDGIAFISTENELDACISALKCMLLGPVP PAPVLEALVPVFRPLVYLDAFARASKAYVHADTQQLLLLFVQQLPSAASRLASCVLPS STPLRPSLCPDDCTTPRHSTSQVVYCAGGSGGVGLRQDQPHDDNVIIDNVIDAIVDLL GQPQLDDQNNVVVGELFSHLLTTYMHLKTSSHLEPSNDRSKSSDTGSMRLLLRLTEDL GPAVLRSGVLVLQCLVTVLSMYTNQDADAIGDNDADDDDDDMDVLSVGLSMATTIVQA GAAARSADEEALLTQMLVPLEQLARHPKAPIAEMASDLCLHILARSADDHKQDVMTAA QSFAEMLDRSKADLQSAQVPLRARGLARLTRWIRRRQPVDNVEALVALCVHHLADADS YVYLAAVQVRRNLSSVVKGMQKTMVYIYRL H257_16362 MKRTIQYVLAGNHDNDGAELLPRKMASFTGASIVAGRHHHTAAD ASPPPAYSSTTTNDLDALGEEGLVRLVLTFLDVKEHHVCKCVSRQWKSLVETLDLARL DLSVRSPLHSTNLERALLSTINSYADIREINFTGQRSLCDRDVLVLTSCFWSTLETIV VDDCLNITDFGLLAILNAQSQRLTSVSFRHCKLIAGRFAQSSITGQHPSLHTLDFYNT RVGLGLVQDLERCFPSLQRIHAAHTPAHHDLFQQAPFVDAKLEWARAVASHFHDGPFR LVLADFTALATHLLATSSLSVFERTLLTSPTAALLDVPLDTDGYTSALLHACDNDLDN LVLRLIKLGAAVDVTDNDGATALCLSAATGSLAGVHALLTAGACVNARTLSLATPLYF ASEMDWTEVVAHLLAHNATPDAKTTSNSTALCVAAKNGSRSSVHQLIRHRARRPSFAT LTKKATMEELMLALCLACERSHLDIVRDLLAIGLNPNLVMDNGVTPLYLACQMGHKDI VQALCMHGANPNFRRPAGGVSCLYIAAQEGKTDVVRLLLSFGVDANATMDDQSAALHI AARMGHVDIVQVLHQATGVDLNMQTRSGLSPLFIACEEGHGDVVAYLLDKHSTPTTVR VDLQTYNGTTALFIASQKGHVDIVTRLCAAGADVNLAKTNGTLPIDAAAMAGCVAVAA ILLRHGARVGGLALHFAERKRDSTLQALLMAQFHAQWRPLRPPY H257_16363 MKLFPILKDDVRMRLDRWLKVNFQRPQSFFQRHLRQKRIFLFDV PTQRRVKVDAKFVLPDDANLRIGMNPELFLELSDAAITPSSTEHSNRKLPPELALPVL YEDDHLVALHKPVHLAAQLGTNTTDSVASRYPHWKLVHRLDKGTSGVLLLAKTRVAAA DMAALFRDNQVHKTYIALVHGTPATGSSGTVHEPIDLQPAQTSYKVLPRSKRDPPSTS WLELHPHTGRKHQLRRHCADVLRAPIVGDVRYGLNSSWKNGNSQPSHDKWVERDGRMM LHAHAIRFVHPCTQQLVRVQCVDMAFPTLKTSPKPY H257_16364 MSIYSFPVLKMTGIIQFIRDSKLSISEEDIKNCDPAAVRRFFEA FFEVILDISKDDLTQPALSGLSALQHPNLHESSVPELAFFRTSKKLLEACGVDDFTWR DIQKPTLKRLRYLLSAIINFSKFKEERKVHFDQYLKTTDNLLRTKQQVEDENVALRRQ LEELQSKQAAEAPALQVVIDECAAMEVDIGVLNTRQSVLQPEVKALKAQVAQLNDDIQ SLNFTIVDAKKTIRSMEAKVVNSPARQKSEIVSIAQQMDEAKEEVNALDGRTAELDGI HDTVSKAVKDLEKVNDLLEAIEGDMNKVKVEKENVTQLHQTYEGIVSKAKLAVAHKAV RE H257_16365 MVSAAIPRSFHPFDPSVVASTSVDVANSTFVMVSSKDKSYGLIL AYVAAHLCGNEDHRSFDFSAGKKVTSSNYLDEDEDTHNKLVAGVGLHKFSWQQHTLHC LRQEEGDPVGTGCDAIQFESLVLFAPRVQSEATLQAFIDAVVAAAEATKQGYFSISRW SIGGEYWDEGDLAKARPLASVVLPAHIKADLIADIDDFVGDDSKAFYATHGIPYKRSY LFHGVPGAGKTSLIQALAGHYGRNIYLLQPTHPKMTDDSLQTAIEQVPKKSIVVLEDI DALFTKNRRKKVEDSPLTFSGLLNALDGVGGHDGHLVVLTTNFRDQLDDALIRNGRVD VHVAFAYASPDQMADMFLAFYPRETRDRALAFADALVAALGPDRPLSTAALQHYFVTQ RRSTADGAIANVDRVAIEIDARKKQAEEIEGEEEDGNEDDK H257_16366 MKFTLVCALVLAAVASVVAQNDTVPAGFVVFDHGLVVATGPPLG GDLESADESASLVDIVQDFELVVRNGMQDFKTITSLVQLIQTIVMSGLTVDNGMQFVS SIQNAIQIGALRVKEGKDLVKDIKDIVAQGQLAGPQAVADIKKALQRDMKPATAADLI SDLQKVIQNSSNDVTTTLNLVKLIQSIQRDGLDLNNGQILVQDIQKAIAAGFLHLKDG ANLVGTIQDIVKDGMTLQDGALVADAIQQALQAALAPFDPLPMACRRKGENRGAGTFV QNQCEMGEEAYGALCYPTCKEGYEKVGCCICRKKGCSGVEGVTDIGVSCTKPAAYGRG VGYALWQEDKCKANNAGTCDKYGLMWYPHCQPGFHRVGCCICSPDCPAGTNDDGAFCR KDSYGVGVGVSRLGCPAGKDKSGVMCYPPCAPTLVGNGPVCWPQCFGATPFKCGLFCT STASTCFSTSIEILGSTVKVALSLVDQDFSGAGSSAAQGVGNVLQISECPTYPPTPLP H257_16367 MEKNVQVAVRVRPMNEREKAHQRPSVVTAQPKAHQITVRKKTYT FDRVFGQYATQKDVFKGAVQNAADEALSGFNCTVFAYGQTGTGKTHTIQGTLSPNHEN AGIIPRSVNYIFEKLQATKREYSVRVSFLQLYNEECKDLLSENRKDKPLRLMEDIKRG GVYCQNLEEVTTLTASHVYELLETGAKNRMTAETLLNDQSSRSHCIFTIRIHSKEANV AGEDILRSGTLNLVDLAGSECIGRSGARNARAREAGNINQSLLTLGRVITALVDKHPH VPYRDSKLTRLLQESLGGKAMTTIIATVGPGCDCVDETLSTLDYAHRAKSIKNKPEAN QRMTKHVLIKEYSQEIDALRSQLIAARNKDGIYLPTSQFAEMQERITGLGTQLGELED ELERKSQQILELEDALDTVKTEHADVAGKLQQSETRLILIADELEAKEGALAAAQDDL RESRDLLRQARDNETQLVHQAQVASAMYHSRVNDIQVLQAKLDRKHGILTHNAAATTG FADGAAAHCAAFEAALHTYREQHRMHVVDIQTTLGVATSQFATDLARVQSEVQGIHTT MQHALQVVGAAMDSHETTLGEQTDSMSTAMRAQSVEWMAQIHRLEPLVATQTKHIQHV LQGLEEATQQLTADLSTQLCHTSKHMETASQAQVERLDAIKESVARALADHAAAAGAD KDRVVAALTSTQVDLQSQVQIIQQLVQVQLDACVSTVSQALANQRTAVQSQHDDAVSR LQSITTSVESAVTALSKGTISQGTALQCHVDATSALVDGFARSAEKHGQQIATSLADQ TDTVDEWHATMDGSMAAGVAALELAARQHLQDNVRAVQSSSERVRGEVRALATRQEDM GSVLQSAAQAMETSVAVSIPQLEMVAAAADGHVVSCVNEVQRQLHEVAELNQTLQSDA ASTPLLLPQDSFPTFQVQAMASTGVKRKSDDKDTTGGVASRLQPPKKYAKSNCDTVVS A H257_16368 MRSCTWLEPLPPQRMCCSIAHDRMIVRTNAAISKYNEYPYVASA YRSCVNWLNTKNDSTTENMDDKIVRNRVIWTMQLRLSQQLTPKIRITNDRNRHSGLPS VMASTALPESEHVLSRKFFIASLRRPAMMPSAPAARSLSTA H257_16369 MGSARKGASSIAVMVLVVAFGFVALVMPSWVTNSVVDAEWEGRV KRVQGDLGLWGLCADVDFDNARVLIPGKDSVVDFSMRTCYSYFWPIDNEIVRIETVIK KDAYTTSICDHFHTNDDRASKALAIMTGIPSSSMKDFLDASCSGTGKAVAALVLSATL LNLLALVLLIVGVCCCQTRASLPLVARYMVNLGIVCSAVMSFLMLSPLRKAKASSPHV SYGLPLYLEFTAFFVACFAGCVIERFECSVKKSANAVDTDKRLQDKMRHQHLVSKTNR TDIV H257_16370 MMLSLLKSSTYHNDERTAHVCLLNEVDFLCLLEHRVLFNAPRTD EHKEEPDPFKVEAKAVAHVHAIAGGLDAFHLRHQAKREHTRGNGGQRGRHTGKRDEPH LVLAAKHHENERDERENG H257_16371 MGNAGSIVAGGNGAVARRSTAGGYQPPLLYGDPSTSASANVAPT QYTHAQMQALYMNRGRADMGFIQNQIHNVFQAAPEMQETTTVRNDVNLKKQSLKLVAL DPATARLEFLFDAGKPCTVSLYFNAIETIDEAGNSTFTSQKYLEQANNAGAYPAALGQ SYVSAPLTLSDWTPAELVHDGKSSAFPLVVEITVIRDASAATKTQKQATFVTFSTDGR KVQVIKQKVEVQGQTYELQEIYGMEGAACCAATAAKEGTAALPAPSAATDAAGVTEGS ECIICMCEPRNTTILPCRHMCICLDCSEQLKRPGSTCPICRGKVESMLQIRVHHASHP HVTE H257_16372 MLSRSLSTLSPNNSSPCDSPTQPHSPTASKQCSNNSPIKPSFPP HQSTSNNAAARSNFEMTSFKDAPPPLPSANRTLRSRHFSFNEYPHVSPPKLPSSSSSF YAVPPSRYYYSSEGSAVAKKQSPPGPDKLERTVYQT H257_16373 MWCRPCNKWISSGRQPLRSAASNVQPWKGEGPPQTAWIGTTTPI FTWTQTVESGSQTVPSTLQQWICVIAHQGASGHRHISATTKSVSDKFVWKTLSTDVEA FVRACLHCLCIDGETVPRPLGSALDAEKPNELIHFDWLPMPMAKSGQKYVLVVKDDMS GFVQLFAAESADAAATAQCLMTWFTTFGCVDTWVSDGGSHFKNEVIEKVRKLVGTHHH ITTAYSPWANGTVEVVNRLVLRAVKALLSKMKLNADEWPHVLPLVQGALNHQPADRLG GIAPVTAFTGLSAKTPLTGFVRPTFKETQQLVPPYEGTVHHACRLKMYHEGGREVTED LEAQIAFGDGGFHVERLDEARCVDGQHQVLVKWLGLDDEESSWEPAANLLDDIPVVFR KWAVTNKEDPAPADRLGGIAPVTAFTGLSAKTPLTGFVRPTKRADAATENLKSSLRVF SVSDFVLVGSVVNRPTKLALHWRGPCQVTRVITDHVMETQQLVPPYEVTVHHACRLKM YHEGGREVTEDFEAQIAFGDGGFHVERLDKARSVNGQHQVLVKWLGLDDEESSWEPAA NLLDDIPVVFRKWAVTNKEDPAVAALIMVLDFP H257_16374 MVVEVMTKSIKPESLKTAVQKQLQLQRNKALKNDVFRYVNWLRT FAAGHQLYVGLVDEPKPSPAAKSVEAPRGGKPQVSRREGGREDAAKNNGRVGGKAETI VPKNAEPSAKKGCLKFGDMSHRVAKP H257_16375 MEPEPATPRAPAPPTTYDARSLSDHTLDDGFYSASVAAATSQPE AATEPMTSLPRVQTLPRIPETSAQKQGYPPGAAYGGLPAQSYRPEALQASRVGSGSSM VMPSSRPNTIKWIGLDDLESSWKLALSIYEDAKARSNQDGMNKMIDDLTSACGHPM H257_16376 MVANISIFEANEAFHADKMRKTDAERDMPDAGPMLDEYPNDWAI LADKGHQGLHRRMRAITPAKRPAGGLLTMSDMEYNNNIATDRVIVENYFGRLKTLWAI VNESYTWKQENYDLYLQTCVALTNCHIRFSPLRVDDSHERNRYLNALMSSSEKKEAKR AVAVKKHREKRKLRLGTFLPSGENAYFDSDTEFYPIGDDSGIFE H257_16377 MALADNVPAGPFVAVDVAAPRTAWPDHDDQERREPLFFGREKTK CCGTPLEMVPTADLQHFNLEGPPT H257_16378 MASHGNKEAADLEERVSYIHSNTANGDDGYVEAKSPKDLEEGAL AEGGALNLFSREAFALLMQYGAIGIMYNIIPALRYPIFNIYLNLEGYQTSSYRVLIVI GWSFKVFFGLLSDCVPIYGYRRKSWILIGWTITMICLSVMAFSPFGEPFCNREKTKYC ATPLEEVPETELQYFNLSAPDNGTLFILLSMLIAFGYVLAASASDAMVVEYAQREPVA IRGRIQTAIYTVRTLAGSLAYLVSAFGLNGRNYGGSFSFGLSPNAPYGIALAPCVLVV LSTIFVLVEKKSEAVSFPLWWGRFWESLKSRVLWQVCLFRFLSNAFNGVNTTATLPVS TYWAGVEPLNDALSDVIGNLLFAAVLVIVGKWGLNWNWRWTIAAGTLGMIVVDGFVVF LTIWDVVRNQWFFNGVGLAEQFPYGLRFIVSTYVAVEIADKGNEGATYGLISTVSNLA GPFASIFYKYVNSYFKVRQNDVKSDTLEVRWDVTFVYFISYGSKIASLFWLFLLPPQK AEVKALKARGGKSKVAGFILVSVFFFCVSFAVSSNIMSIFPSTKCYRVAGGNGVLDPK TGKCPLK H257_16379 MVHHACRLKMYHEGGREVTEDLEEQIAFGDGGFHVERLDETRCV DGQHQILVKWLGLDDEESSWEPAANLLDDIPVVFRKWAVTNKEDPAVAALIKTLDFP H257_16380 MKYSLLSVIALFAASATAQTNNAIAGIDDRARSLHEVSEPEGDA DVNATAKVTLPRGDADILACQQQNTNYIPSLKAGQYVLCLPVLNWQ H257_16381 MGHTGEGLLSAADERYHDPTAPGYLVEVDTIRDKCFKLCKHWDV LEKVIGDRASARPLLRSSNEDDDDYSVDMLNTSTLSEKGDENIVTANETAEYVPGSPP KATGKRKSEPDKFGFGSGKKKLKTESGGKRSFGVGESLMQGLKAQAQVQADLSREVLA SRAAELQLRNENEDRHFMLRMREIDLKAKELRHKQIIEEARLMSSMSFDKADVMEYIR DELSKIQ H257_16382 MDATHCLHRPPPTILGTTPADASTTNPKILTAPTHCLHWAQLDI PAFDQYAHDTCAYLEVTYPTI H257_16383 MPCLSSPTTLHIGTVDPAHSATAMVDVAVSKLDILPLDQKSQSP SGMKSERDRTFGTHLHHAKPTHPGTHYTHRGNNNRALIASTVVHSADRAQLPHAHQPP PHATSACYACHRIADTTVCMDCGQWHHPACIPHCQVVLRHSTPTYGLHTIPLLAARTH SVGDGSVTNQDKPVAHGTWSYLGRDGTTLVGYVRIHPDHITPTRCEIHSLLAGLHHSG DTVLQICDNTTRHMQAAYWFVVPDGSPDHTLVDSLPITWFLTIPSGQITYATPPPSTT FPPPNAASAPLATPFPSTSVS H257_16384 MDCPDVVAQAFQTLTGTTWDTDHYDAALQAQCDRSLRTHVCPDY GGVSQKLWIAARACIRERKRTIINLVLRTGLVPPILGRKQMIYVTKSTTVHGVVNLDP VLRPWHLITQSKSRADVNLTGSQKMGHELAGKGGDVCDEEGGEHGGVLDSAIPLKTML HLPIGKAGGDPQPKAVPIPPDCQRPWLDILMESLESYQIESGLTDHPLAFRIPPPASD TTFLGTLLRDLATFQPALTITTHWHQPPASRPHRPNDRPIWPHLTPALGTTLISINRS HANKVRWVGDITNEKGTMLLNLPSLPTKYGWTRATLQRFTPIWDAIPTATPHNPPPIL RQQTIQWGSQHSGQPLPPLCRLYHDPISPTWTNP H257_16385 MPALTKLDSTLDDDRVVCVFHVSQPITTILSSSILTVYISEASV TIDHHNKTAAISAAELRVEQLSERAVVLHCADQKSTVHLEFTSPLEALHFVGAVHLIQ HIDALRHPPLQVCTLEHQLKLTLEYAENLWATDLWKQSASYYSSCATQELTCDDVHLG AVQKMLDALCDRFGSDASFDQTIEMDGYYCISPLLVLLAKVKAASAYREAVLQVLKKH EKFVLVDVNRAHDSAAIK H257_16386 MDTSIATSVLPWTMKLAFLFAFIATAAGKTYRCLEGKDFRFHGD ISHVVGGTPEACQQACTQTPGCNAVATALFDGNCYLKTLSQESQSHLIDKADVITCID SALAPGVGGAATKESFRVSSNDDDDDALFDVDDEADADVFYDQDQRDVPDSVADSLYA DDDGAFYYDSDFDDESDVVGHHAKSGDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDYV Q H257_16387 MRTMPLGLMSVVTAIAFGAGYAPATSYDCIKGKDFYRHGDLSNL ALHSVDDCQDACSVDVRCNALAFNRGRCYLKAVVQQDVQTKIINHNDVITCVLAAQRT ASNQEKLVKQRGNHN H257_16388 MEAVVKSLRRREGDAASETYSLQALEMFVSISPSPKTLTVHARF LERLVPCVDTREPIAAPVPISKSPSLCLQGFQLALKNEDLPSLLLASLLVLNLNRDMV TFKQPFTWETMHLQLAKKLLDAMPHTDSNSLSRSLVWHHVCECLSSLNFLPIIPTPTT DTSIDWAAVAKRMSAEGPLTPFLEKVVLVCLQVQLDMPEHVHLLPLSLPSSSLSKLTW DSCYRIIWKHASGQSSPSIVFHLRKEGLRCLVHANAHWWVLVQQMYKAVMMYEKYSQA KQDALYCDMTHRICELLRLSPTTIPTESWVSIFLWLEHWLSNCTNFRLVDSVIALLTP KCPYPDLLELFAIQSTRKWTPHEVDFSSPLPSHLVPLALRNAKKVIELCPTLSRLYDQ LYQWSCQLDQPRPQQLLYLRLLIRTYQDDPSGALFHLRRAVAYASVPGFNDMADRDWY YMAGKWLKKELFSAVIDWCSVLLPFFPKCYLLLGLSYQHLAKWDLAVDAMAAGALLQH VPVEKYLQILYKVAPEVGCSSLKSVPPLYSKMAPLLANQVEHVWLVQCRRQTGSKCTM LQYGLSLWKVVDPTSVRRRRVETLATYIVDGNPRNFIDSYHTLLQDGGCYQWVLHMEL QLVARYASLPVSHFDPVEALHLIWTNRPDEESATVLQPGATLLGLADLYTVQYEQEES EIKAAASTALDRGDYAVAETKYKHLSKLAYQRAYAMGLHQAIVAPSNEHPPSKMYLQL VHNHEFGAFAAVVTSLTHLATVYLATSRTKACLYLEYLQSLVSKLDMLPMSRQVAALT VEFEVRQGQLAAADAKLTQIRAIPVNEVYQLKQSCVEDILQGDIHTLAKTISLATHSY KKAKAKSVPMYPQFQDILAQVYRKLALSMPDPVLASQKAAKLSLTPVESRLALQTLSH ALRLKHSREGISQSLHSLQEAYGLYRETRRSVYTGDFYKTYIDTILASLQYIADPEMH TQLQWRMSWLLSGVASTTTSTSTSADIGQDTAAYQAMWKSQPIPPNWNVLVLHKHNAT SLLVHRIQNNGGCPVTVVLPEFAVDKFTKALTLLVERSKETLSGHTAEEAAAWSSTQK KHWWKQRVHLDAQLQLLVDKALTHLSFYRCLLVPRPNPLPGDVQVSAAAIFTRHPTLP PMHKELISSLLYTYAHNWLSLDLVKEGLVFCGVVPYSPLLLPRHATTNTLVPGLTLLS TNLAGFPWEGLFPPAFPVSRLLSFAPLFVTPTTSISRQRVHYMVNPGGDLVHTAQFLD PFLSRGRTEWLWSTCDNVCDTVSCLGQADVFLYCGHGSGERYISRELVGKLAACPVAL LMGCSSAKLTNAGLYQPEGMVASYVRAKSPAVVGMLWDVTDRDLDRLSLALLTKWFDT GLSLAEALTYARHECKLPCLNGLAAVCYGLPVFVNQI H257_16389 MWKQLLLRQRTAAMAAVSAAWTASAVTCSSDDKMTPPELTAYLK RNLAAFRQEFAKSHPNVPTHPIQVSHQTNVVRLSFQSPSSSTDTPVHRFLRAVGTPVE VVPSPTDDTLNYIFTDASGSFQWLPTKSKDNLFVLFKDLPISRTEADAVLAAYTHAYL SHRPVTPKASSEGAIATLQQLGLDVYDSSTSGDLTWDALAGYDEVKREIDDTVVLALQ NPSFFENIAKHTRARFESNRPRAILFEGPPGTGKTLSARIIASQAKVPLIHLPVESIV SKWYGESEQKLAKIFDACDQLETGAILFIDEIDALASDRSSGTMHEATRRLLSVLLQK VEGFASSQKVTLIAATNRKQDLDSALLSRFNLTIRYDLPDKATREAVFHRYAKQLTDE DLHQLAAATATWSCRDIKELCEYTERKWASQVLRKQQDSPVPSVEAYVVAVEHYTAKR PATSITSSSVHI H257_16389 MWKQLLLRQRTAAMAAVSAAWTASAVTCSSDDKMTPPELTAYLK RNLAAFRQEFAKSHPNVPTHPIQVSHQTNVVRLSFQSPSSSTDTPVHRFLRAVGTPVE VVPSPYVHTTSLFSISSTCRTDDTLNYIFTDASGSFQWLPTKSKDNLFVLFKDLPISR TEADAVLAAYTHAYLSHRPVTPKASSEGAIATLQQLGLDVYDSSTSGDLTWDALAGYD EVKREIDDTVVLALQNPSFFENIAKHTRARFESNRPRAILFEGPPGTGKTLSARIIAS QAKVPLIHLPVESIVSKWYGESEQKLAKIFDACDQLETGAILFIDEIDALASDRSSGT MHEATRRLLSVLLQKVEGFASSQKVTLIAATNRKQDLDSALLSRFNLTIRYDLPDKAT REAVFHRYAKQLTDEDLHQLAAATATWSCRDIKELCEYTERKWASQVLRKQQDSPVPS VEAYVVAVEHYTAKRPATSITSSSVHI H257_16389 MWKQLLLRQRTAAMAAVSAAWTASAVTCSSDDKMTPPELTAYLK RNLAAFRQEFAKSHPNVPTHPIQVSHQTNVVRLSFQSPSSSTDTPVHRFLRAVGTPVE VVPSPTDDTLNYIFTDASGSFQWLPTKSKDNLFVLFKDLPISRTEADAVLAAYTHAYL SHRPVTPKASSEGAIATLQQLGLDVYDSSTSGDLTWDALAGYDEVKREIDDTVVLALQ NPSFFENIAKHTRARFESNRPRAILFEGPPGTGKTLSARIIASQAKVPLIHLPVESIV SKWYGESEQKLAKIFDACDQLETGAILFIDEIDALASDRSSGTMHEATRRLLSVLLQK VEGFASSQKVTLIAATNRKQDLDSALLSRFNLTIRYDLPDKATREAVFHRYAKQLTDE DLHQLAAATATWSCRDIKEVGISSTTSITKWFVAV H257_16389 MWKQLLLRQRTAAMAAVSAAWTASAVTCSSDDKMTPPELTAYLK RNLAAFRQEFAKSHPNVPTHPIQVSHQTNVVRLSFQSPSSSTDTPVHRFLRAVGTPVE VVPSPTDDTLNYIFTDASGSFQWLPTKSKDNLFVLFKDLPISRTEADAVLAAYTHAYL SHRPVTPKASSEGAIATLQQLGLDVYDSSTSGDLTWDALAGYDEVKREIDDTVVLALQ NPSFFENIAKHTRARFESNRPRAILFEGPPGTGKTLSARIIASQAKVPLIHLPVESIV SKWYGESEQKLAKIFDACDQLETGAILFIDEIDALASDRSSGTMHEATRRLLSVLLQK VEGFASSQKVTLIAATNRKQDLDSALLSRFNLTIRYDLPDKATREAVFHR H257_16390 MKVFAFLALVAAALVAANDQVESVNQVAQVAADAAVAAIDAETN GRVLTETAAESKKDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDVI DISSNGE H257_16391 MSRNEFVMWEAAKPILGRHSSTSTTNARRFVALFGTTLYVTSVL WAKLEPHPRGGQPRHLLWALMFLKVYGTEHVHATIAAVDEKTYRKWSWMYIKAFEDLT EVVFNNRFDEAPMGTNLFVSLDGTDCPVQEPFPFDPSWWSHKLNRAGIRYEVALARDV YVLAVNEVKKRNLTNSEREAILREVLLHSNSSYLVTLPNNLSQTLAAKYN H257_16392 MARRWSKNSAAQHHLKSLFDDHTITARTKAAEAYNLSPIFHEFN FAAFQKHYDTTFKMKFANFHRPVEFTNDSSDDVRVDTVSISKPECLVGEFYDYVKRES NAIVVLLVPSGAKGACEVDANDLSVLRVRWEWTANTCDPQLLFASEMATPNHRTYAKI SGLQKAYGSVCGKNGSAPVTEGAIHLPFAVRVCNENA H257_16393 MKYSLLSAIAVFAAAATAQTKNAIAVIDDRVRSLQVSEPEGDDN FDPSELVYEGDSDIDANLACQEQNTNYIPSLKAGQYSTSAFHNCFRTIEQIFEFTDAL AAQNPTLLTKFAISKTYSNNTIYGYKLSKDKGQSQSLYFQSQLHAREWAAVSSVVFSL ASILDDIANNKPTAADEYDLYFVPIVNVDGYILTWEGGYRLQRTNVNGVDLNRNWPTP YVNPNPPARIHETYPGPNPFSEPETAGINDWLKTKRDEIQGFFDIHTYGGVILYPYGD NNQTIGGGFDEKFQVLGRGLQSAMGKYESKPLYTFYVTYGTVADYAFREFKKPSLTIE IIGSTFNVSTSTIPVRGLEVYKGINQFAKEVTVFNGGDIKTSCGD H257_16394 MKFSLLGVIALFAAAATAQTNNAIAVIDNRVRSLHEVSEPEGDA DVNATAKVYQGDEDIDANRACQEQNTNYIPSLKAGQYSTSAFHNCFRTIQQIYEFTNA LVAQNPTLLTRFAISKTNWNNTIYGFKLSKGKGHSQSLYFQSQLHAREWAAVSSVVFS LASILDDIANKKPTAADEYDLYFVPIVNVDGYLQSWKSGYRFLRRNVNGVDLNRNWPT PYVNPKNITKINETYPGPNAFSEPETAGINDWFETKRDEIQGFLDIHTYGGLILYPYG DNNQTIGGGFDEKYQVLGRGLQSAMGEYKPEPSYTLYLSYGTFIDYVFREFKKPALTI EIFGRTFNVSASTIPARGLEVYKGINQFAKEVAVFNGGDVKPIKTSCGD H257_16395 MSYLLHQEVEHVPRQANFLFEPLVARREAKRNNNPPTTDDTDDD GDSPSPVLDSFVHTRGPSVLPELTNFSLSEFNQLWSDLQTTIDQNYNVGSGRKSDVTG RDMLFMTLTSLKHCGSWDVVSALFDDTSASFSNRVNSFLKTLHPFLVGRYIDAVADKY TMEHLETNKRRFANYPCALYAVDVTFQKTNIPARSFPERKRFFSKKHGQHGVKVEASV LPNGLAINVTNAVPASMADIAIAQSNREFHLNKLAKTPSELDMADQGPLREEYPASWA ILADKGYQGLHRNLRAITPTKRPAGGVLTVSEMDVNDKIASDRVIIEKIFGRLKTLWS VVGDTLKWKRDNYDIYFQSYVAFTNVHIRFMPLRAEDGHDLHRLVNGLISTGQKKKAK RAGSVAMSRDKRKRRLSAMTAAKPTHKKAAMIATSSVAKESDVGTTMAGERAR H257_16396 MATALDNMHAKVLDASTSKRQKNHERRSKKKDVEIAQFDVANFV LYVDVWSISHSKLSVTWPHSNRLKFYADDPLDVTEELLRHIAHNADSHVVDQFLDCRY NDRLAVFEVCVRWRGLQEIEASWEPAANLLENIPTEFKHYVRSNKIPQDVNAYYSPHQ NLIVFPVAVLQRPIFDAQFDAAQIFGVIGVGIGHKITHGFDNHGRNVDGDGNLNPWWS NATRTAFETKTQCFIDQYDKFEERTDNGGLKTSFRAYHEYLKKFPSQYTEEAGDKLFY LSFAQTSCSKNTDGNL H257_16398 MPPITLMTHNFASLRVWFIAKLQTKRLANYLDRDGAAAQGANGF EYNELDNLRALGILTESLSESQYQYVDGALLVKTAMDNLTGIHEPIGAADRVSLLQKY HTLSWDWKNVPLEEFLGAFRDLMRRLDRAALNELPSFRVNKLLTRMPKEIRMVSHMIV DSNAEFHTVPISATKLMTEYKYLQKEGILKFTAIEGHPSKTGTRGNVLEATTSAAIVG RWDTGLVTVGDQTVVLKLAKADGGVENVSPGMPPHPPMRMP H257_16399 MCVIAHQGVSGHRSIAATTKSVSDKFVWESLSTDIEAFVRTCLH GLCIDGESIPRPLGSVLHADKPSSLQHVGQNQVSSIDAGKADLAHLCDVHPISVSHND H257_16400 MKAKHRHISEHRNIRQLWGCLVNAAGELQMPRTSSFWASCDDVL RPKHSTKIKLPQ H257_16401 MCIDGDMVSHPLGSALHAEKPNELIHFDWLSIPRAKSGQNQVLV DDMRGFVQLFAAEYADATATAQCLMMWNEVIDQVGANHHITTAYSPWANGTVEVVNRL VLRAVKALLSEMKLNADEWPHALPLVQGALNHQPADRLGGIVPVKAFTGLPAKTPLAG FVHPTSKEVYVADWLGAALLKHMTDLQVALEEMHRNVAVRSDKLRQQARGRRDRKSQV KFADFSVGDFVLIGSVVNRPTKLALHWRGPCQVTRVITDHVMETHQLVPPYEVTVHHA CRLKMYHEGGIEVTEDLEAQIAFGDGGFHVERLDEARCVDGQHQGLVKWLGLDEEESS WEPAANLLDDIPSYFASGRRRTRKTLPWPPSSRHWTFRRRGSVLQRAEQLGRALV H257_16402 MHSASTRDLEASTGIPKSNLARWVQQAHQLLNVNGPLKRYNLDG ADRPVEIPDSAALESFMHKLWDDERALTCTHLVNFLKRNNRTWLATYLAEKNCGYQSL LKLLQRFCHRHGFTTEASEVEEVSSGAGNNEGYVCSRLPEGIQRLRFRLDHQCR H257_16403 MRVPSKPDSSPSNPALMDSLSDQLMIHQEAYQVGIDGHLDRQDR ASNEIRELLRLQVEQSNALMELVRATSSTQATTQVQVQALIVSVEEEKGKPDTDLLRE LRNNARLASMKQNQAAWQREMELERAATNAKHDDRAVHADKELLIELQSLRELISQLR SSNIPSISTATKTSTTPPTVTKKLFTNLLRVDNCGDSGDDEDDNDDGRSGESSQRGDD RDNRGRKRNGKPHKDASGAGGDPYEPSDGESSEGDDIDAPPDSRRHRGAHPRPRNPKL KDFKIQPLFDGKEKYPGLGSDFPTWLSIFEAPLQPM H257_16404 MLVEEYVQERRTQAKASAALARTIELELKEHEDMPDLDYRNVTS PGGSLTGKRRPTSIHEIERKVNESWPLKTHWLNAFTSHYKRKSWLPGKHKMQYMPDST GNSPINTLPYLNCRAASLLLSWKQTQPM H257_16405 MGEYDPRQLYTFYVTYGTFQDYAFREFKKPSLTIEIFGSAFNAS ASTIPVRGLELYKGINQFAKEVTVFNGGDVKPIKPSSGE H257_16406 MYTTFFNTDLVPAIASIDHTGYIMAALTSKWPAIRIISCYIHLK RNVRRHKHLLINNDNYDKVKGDMHMWLARTWHQFQIISTTYMWTWSTKLGEVTFSQWF NETYLTPPWDLWFSTASGCTGVVAHQQHIERHHKGIKTLRALTSVVLQHTIPRVLVSD QIATDTSPGLWAACPISTEVLEKALTLQSSINHLIDSTNTHNLVRSTEKSIKDVLLSL HAVTVNTDVHIRDDMLALTPFKFDELVYCAKILLKWLALLAHSGVLGNHRWFQHRCKT ADIAAAKTERKAATSTRWSVS H257_16408 MATQAKAIAIKSVRNLPIDSESLPLKGGEGAAHHQPNDLRAFWD LVAGSPVSWLLLVTPFAIWSYLAQWGAVWVFTLNFLAMMPLANILGEATENLAEHTGD TIGGLVNASFGNAVEIIIAIFALKAGEIELVQSSLIGSMLSNLLLVLGMCFISGHLGG AKESTFSGVGASINMSLLFVASFAMLVPSYYAQSVSHADEGDHSIAVLGLSRMSAIFL VLMYVQLMFFQLVTHKPADIANDGHGPALSLVGATVVLLLSTVSVAFLSEFLVSSVDE LTETSGIPKAFIGIIVLPIVGNAVEHITALKVAYKDNMELAMGVAVGSATQISLFVVP VCVIAGWIMGQPMTLAFNTFEALTYVFTTLIVYVIVADGKSNWLEGSMLLTLYILVGL SLLEITI H257_16409 MTTSLTSRRPPLRPHPSIPPSALYAPGGHGGAPSSSMMELELIR TILGDEVPTSVLLSCLHAASNDVSTAVNLYFSQQPTTDVPVDLTKSIRSSMPVTLHPF DEPGMLSNLNLAATLTTGAVDAINAANERFKVLRFRKRGNPLGAADMLLRNGDIVSLE CNGLWLCARKNNALQWKPVSDTDDRNKFVMRGLALGTVLTVGEPFFLTSYRWKEREIA IRRTQSIGLTLNLPWNKPSSTSELASPNSNNTLNHVHRCFLGLARSTEGDWRLYFRAA PTPKAAASMATAAAMSVAPLNIRVEAPIKRRVSRLSRVAEDDEGTSSPTDMRRIEQMQ MVLGLATCSKETLVEYLDGAGGNVQIALEHYFMTTHTDRKRSRPDSTLLLPMPRQSMP PPPPPPPSLPSPTLASSLQQHMTGSTHVSISKGLKELIYAGDAAAAAVGRSPPKASVQ IPPPPPTSMLQKPLTIEDFEMLNVLGRGSFGAVMMVRYKQDGRIFAIKILKKSAMDAT DMQNAMEERQILQRVKHPYVSSLVFAFQTSERLYLGKCPCFVSLSLSSSPRYLGMKFY AAGDLFYHLNQKGGGLPLADARLYAAELVLAISYLHSLNILYRDLKPSNIMIDEQGHI GIVDFGLSKQHIQGTSHGVKTLSGTAEYVAPEALVQTADGTRDYGKAYDWWSFGIVLY EMIVGVSPFYHDNERTMLQRIVHADVRFPADFPKDAKSLVRGLLNRDPKARLSGDAIQ SHPFFASIQWTKLYQRQVPAYWTPDLSSETDTKYVDPVFTKDGPPSAVYDVAASHGKK DWSKRFSQFSFDFHRDDNSSKK H257_16409 MTTSLTSRRPPLRPHPSIPPSALYAPGGHGGAPSSSMMELELIR TILGDEVPTSVLLSCLHAASNDVSTAVNLYFSQQPTTDVPVDLTKSIRSSMPVTLHPF DEPGMLSNLNLAATLTTGAVDAINAANERFKVLRFRKRGNPLGAADMLLRNGDIVSLE CNGLWLCARKNNALQWKPVSDTDDRNKFVMRGLALGTVLTVGEPFFLTSYRWKEREIA IRRTQSIGLTLNLPWNKPSSTSELASPNSNNTLNHVHRCFLGLARSTEGDWRLYFRAA PTPKAAASMATAAAMSVAPLNIRVEAPIKRRVSRLSRVAEDDEGTSSPTDMRRIEQMQ MVLGLATCSKETLVEYLDGAGGNVQIALEHYFMTTHTDRKRSRPDSTLLLPMPRQSMP PPPPPPPSLPSPTLASSLQQHMTGSTHVSISKGLKELIYAGDAAAAAVGRSPPKASVQ IPPPPPTSMLQKPLTIEDFEMLNVLGRGSFGAVMMVRYKQDGRIFAIKILKKSAMDAT DMQNAMEERQILQRVKHPYVSSLVFAFQTSERLYLGMKFYAAGDLFYHLNQKGGGLPL ADARLYAAELVLAISYLHSLNILYRDLKPSNIMIDEQGHIGIVDFGLSKQHIQGTSHG VKTLSGTAEYVAPEALVQTADGTRDYGKAYDWWSFGIVLYEMIVGVSPFYHDNERTML QRIVHADVRFPADFPKDAKSLVRGLLNRDPKARLSGDAIQSHPFFASIQWTKLYQRQV PAYWTPDLSSETDTKYVDPVFTKDGPPSAVYDVAASHGKKDWSKRFSQFSFDFHRDDN SSKK H257_16409 MTTSLTSRRPPLRPHPSIPPSALYAPGGHGGAPSSSMMELELIR TILGDEVPTSVLLSCLHAASNDVSTAVNLYFSQQPTTDVPVDLTKSIRSSMPVTLHPF DEPGMLSNLNLAATLTTGAVDAINAANERFKVLRFRKRGNPLGAADMLLRNGDIVSLE CNGLWLCARKNNALQWKPVSDTDDRNKFVMRGLALGTVLTVGEPFFLTSYRWKEREIA IRRTQSIGLTLNLPWNKPSSTSELASPNSNNTLNHVHRCFLGLARSTEGDWRLYFRAA PTPKAAASMATAAAMSVAPLNIRVEAPIKRRVSRLSRVAEDDEGTSSPTDMRRIEQMQ MVLGLATCSKETLVEYLDGAGGNVQIALEHYFMTTHTDRKRSRPDSTLLLPMPRQSMP PPPPPPPSLPSPTLASSLQQHMTGDAAAAAVGRSPPKASVQIPPPPPTSMLQKPLTIE DFEMLNVLGRGSFGAVMMVRYKQDGRIFAIKILKKSAMDATDMQNAMEERQILQRVKH PYVSSLVFAFQTSERLYLGKCPCFVSLSLSSSPRYLGMKFYAAGDLFYHLNQKGGGLP LADARLYAAELVLAISYLHSLNILYRDLKPSNIMIDEQGHIGIVDFGLSKQHIQGTSH GVKTLSGTAEYVAPEALVQTADGTRDYGKAYDWWSFGIVLYEMIVGVSPFYHDNERTM LQRIVHADVRFPADFPKDAKSLVRGLLNRDPKARLSGDAIQSHPFFASIQWTKLYQRQ VPAYWTPDLSSETDTKYVDPVFTKDGPPSAVYDVAASHGKKDWSKRFSQFSFDFHRDD NSSKK H257_16409 MTTSLTSRRPPLRPHPSIPPSALYAPGGHGGAPSSSMMELELIR TILGDEVPTSVLLSCLHAASNDVSTAVNLYFSQQPTTDVPVDLTKSIRSSMPVTLHPF DEPGMLSNLNLAATLTTGAVDAINAANERFKVLRFRKRGNPLGAADMLLRNGDIVSLE CNGLWLCARKNNALQWKPVSDTDDRNKFVMRGLALGTVLTVGEPFFLTSYRWKEREIA IRRTQSIGLTLNLPWNKPSSTSELASPNSNNTLNHVHRCFLGLARSTEGDWRLYFRAA PTPKAAASMATAAAMSVAPLNIRVEAPIKRRVSRLSRVAEDDEGTSSPTDMRRIEQMQ MVLGLATCSKETLVEYLDGAGGNVQIALEHYFMTTHTDRKRSRPDSTLLLPMPRQSMP PPPPPPPSLPSPTLASSLQQHMTGDAAAAAVGRSPPKASVQIPPPPPTSMLQKPLTIE DFEMLNVLGRGSFGAVMMVRYKQDGRIFAIKILKKSAMDATDMQNAMEERQILQRVKH PYVSSLVFAFQTSERLYLGMKFYAAGDLFYHLNQKGGGLPLADARLYAAELVLAISYL HSLNILYRDLKPSNIMIDEQGHIGIVDFGLSKQHIQGTSHGVKTLSGTAEYVAPEALV QTADGTRDYGKAYDWWSFGIVLYEMIVGVSPFYHDNERTMLQRIVHADVRFPADFPKD AKSLVRGLLNRDPKARLSGDAIQSHPFFASIQWTKLYQRQVPAYWTPDLSSETDTKYV DPVFTKDGPPSAVYDVAASHGKKDWSKRFSQFSFDFHRDDNSSKK H257_16409 MTTSLTSRRPPLRPHPSIPPSALYAPGGHGGAPSSSMMELELIR TILGDEVPTSVLLSCLHAASNDVSTAVNLYFSQQPTTDVPVDLTKSIRSSMPVTLHPF DEPGMLSNLNLAATLTTGAVDAINAANERFKVLRFRKRGNPLGAADMLLRNGDIVSLE CNGLWLCARKNNALQWKPVSDTDDRNKFVMRGLALGTVLTVGEPFFLTSYRWKEREIA IRRTQSIGLTLNLPWNKPSSTSELASPNSNNTLNHVHRCFLGLARSTEGDWRLYFRAA PTPKAAASMATAAAMSVAPLNIRVEAPIKRRVSRLSRVAEDDEGTSSPTDMRRIEQMQ MVLGLATCSKETLVEYLDGAGGNVQIALEHYFMTTHTDRKRSRPDSTLLLPMPRQSMP PPPPPPPSLPSPTLASSLQQHMTAAAAVGRSPPKASVQIPPPPPTSMLQKPLTIEDFE MLNVLGRGSFGAVMMVRYKQDGRIFAIKILKKSAMDATDMQNAMEERQILQRVKHPYV SSLVFAFQTSERLYLGKCPCFVSLSLSSSPRYLGMKFYAAGDLFYHLNQKGGGLPLAD ARLYAAELVLAISYLHSLNILYRDLKPSNIMIDEQGHIGIVDFGLSKQHIQGTSHGVK TLSGTAEYVAPEALVQTADGTRDYGKAYDWWSFGIVLYEMIVGVSPFYHDNERTMLQR IVHADVRFPADFPKDAKSLVRGLLNRDPKARLSGDAIQSHPFFASIQWTKLYQRQVPA YWTPDLSSETDTKYVDPVFTKDGPPSAVYDVAASHGKKDWSKRFSQFSFDFHRDDNSS KK H257_16409 MTTSLTSRRPPLRPHPSIPPSALYAPGGHGGAPSSSMMELELIR TILGDEVPTSVLLSCLHAASNDVSTAVNLYFSQQPTTDVPVDLTKSIRSSMPVTLHPF DEPGMLSNLNLAATLTTGAVDAINAANERFKVLRFRKRGNPLGAADMLLRNGDIVSLE CNGLWLCARKNNALQWKPVSDTDDRNKFVMRGLALGTVLTVGEPFFLTSYRWKEREIA IRRTQSIGLTLNLPWNKPSSTSELASPNSNNTLNHVHRCFLGLARSTEGDWRLYFRAA PTPKAAASMATAAAMSVAPLNIRVEAPIKRRVSRLSRVAEDDEGTSSPTDMRRIEQMQ MVLGLATCSKETLVEYLDGAGGNVQIALEHYFMTTHTDRKRSRPDSTLLLPMPRQSMP PPPPPPPSLPSPTLASSLQQHMTAAAAVGRSPPKASVQIPPPPPTSMLQKPLTIEDFE MLNVLGRGSFGAVMMVRYKQDGRIFAIKILKKSAMDATDMQNAMEERQILQRVKHPYV SSLVFAFQTSERLYLGMKFYAAGDLFYHLNQKGGGLPLADARLYAAELVLAISYLHSL NILYRDLKPSNIMIDEQGHIGIVDFGLSKQHIQGTSHGVKTLSGTAEYVAPEALVQTA DGTRDYGKAYDWWSFGIVLYEMIVGVSPFYHDNERTMLQRIVHADVRFPADFPKDAKS LVRGLLNRDPKARLSGDAIQSHPFFASIQWTKLYQRQVPAYWTPDLSSETDTKYVDPV FTKDGPPSAVYDVAASHGKKDWSKRFSQFSFDFHRDDNSSKK H257_16409 MTTSLTSRRPPLRPHPSIPPSALYAPGGHGGAPSSSMMELELIR TILGDEVPTSVLLSCLHAASNDVSTAVNLYFSQQPTTDVPVDLTKSIRSSMPVTLHPF DEPGMLSNLNLAATLTTGAVDAINAANERFKVLRFRKRGNPLGAADMLLRNGDIVSLE CNGLWLCARKNNALQWKPVSDTDDRNKFVMRGLALGTVLTVGEPFFLTSYRWKEREIA IRRTQSIGLTLNLPWNKPSSTSELASPNSNNTLNHVHRCFLGLARSTEGDWRLYFRAA PTPKAAASMATAAAMSVAPLNIRVEAPIKRRVSRLSRVAEDDEGTSSPTDMRRIEQMQ MVLGLATCSKETLVEYLDGAGGNVQIALEHYFMTTHTDRKRSRPDSTLLLPMPRQSMP PPPPPPPSLPSPTLASSLQQHMTGDAAAAAVGRSPPKASVQIPPPPPTSMLQKPLTIE DFEMLNVLGRGSFGAVMMVRYKQDGRIFAIKILKKSAMDATDMQNAMEERQILQRVKH PYVSSLVFAFQTSERLYLGMKFYAAGDLFYHLNQKGGGLPLADARLYAAELVLAISYL HSLNILYRDLKPSNIMIDEQGHIGIVDFGLSKQHIQGTSHGVKTLSGTAEYVAPEALV QTADGTRDYGKAYDWWSFGIVLYEMIVGVSPFYHDNERTMLQRIVHADVRFPADFPKD AKSLVRGRYTVL H257_16409 MTTSLTSRRPPLRPHPSIPPSALYAPGGHGGAPSSSMMELELIR TILGDEVPTSVLLSCLHAASNDVSTAVNLYFSQQPTTDVPVDLTKSIRSSMPVTLHPF DEPGMLSNLNLAATLTTGAVDAINAANERFKVLRFRKRGNPLGAADMLLRNGDIVSLE CNGLWLCARKNNALQWKPVSDTDDRNKFVMRGLALGTVLTVGEPFFLTSYRWKEREIA IRRTQSIGLTLNLPWNKPSSTSELASPNSNNTLNHVHRCFLGLARSTEGDWRLYFRAA PTPKAAASMATAAAMSVAPLNIRVEAPIKRRVSRLSRVAEDDEGTSSPTDMRRIEQMQ MVLGLATCSKETLVEYLDGAGGNVQIALEHYFMTTHTDRKRSRPDSTLLLPMPRQSMP PPPPPPPSLPSPTLASSLQQHMTAAAAVGRSPPKASVQIPPPPPTSMLQKPLTIEDFE MLNVLGRGSFGAVMMVRYKQDGRIFAIKILKKSAMDATDMQNAMEERQILQRVKHPYV SSLVFAFQTSERLYLGMKFYAAGDLFYHLNQKGGGLPLADARLYAAELVLAISYLHSL NILYRDLKPSNIMIDEQGHIGIVDFGLSKQHIQGTSHGVKTLSGTAEYVAPEALVQTA DGTRDYGKAYDWWSFGIVLYEMIVGVSPFYHDNERTMLQRIVHADVRFPADFPKDAKS LVRGRYTVL H257_16409 MRGLALGTVLTVGEPFFLTSYRWKEREIAIRRTQSIGLTLNLPW NKPSSTSELASPNSNNTLNHVHRCFLGLARSTEGDWRLYFRAAPTPKAAASMATAAAM SVAPLNIRVEAPIKRRVSRLSRVAEDDEGTSSPTDMRRIEQMQMVLGLATCSKETLVE YLDGAGGNVQIALEHYFMTTHTDRKRSRPDSTLLLPMPRQSMPPPPPPPPSLPSPTLA SSLQQHMTGSTHVSISKGLKELIYAGDAAAAAVGRSPPKASVQIPPPPPTSMLQKPLT IEDFEMLNVLGRGSFGAVMMVRYKQDGRIFAIKILKKSAMDATDMQNAMEERQILQRV KHPYVSSLVFAFQTSERLYLGKCPCFVSLSLSSSPRYLGMKFYAAGDLFYHLNQKGGG LPLADARLYAAELVLAISYLHSLNILYRDLKPSNIMIDEQGHIGIVDFGLSKQHIQGT SHGVKTLSGTAEYVAPEALVQTADGTRDYGKAYDWWSFGIVLYEMIVGVSPFYHDNER TMLQRIVHADVRFPADFPKDAKSLVRGLLNRDPKARLSGDAIQSHPFFASIQWTKLYQ RQVPAYWTPDLSSETDTKYVDPVFTKDGPPSAVYDVAASHGKKDWSKRFSQFSFDFHR DDNSSKK H257_16409 MRGLALGTVLTVGEPFFLTSYRWKEREIAIRRTQSIGLTLNLPW NKPSSTSELASPNSNNTLNHVHRCFLGLARSTEGDWRLYFRAAPTPKAAASMATAAAM SVAPLNIRVEAPIKRRVSRLSRVAEDDEGTSSPTDMRRIEQMQMVLGLATCSKETLVE YLDGAGGNVQIALEHYFMTTHTDRKRSRPDSTLLLPMPRQSMPPPPPPPPSLPSPTLA SSLQQHMTGSTHVSISKGLKELIYAGDAAAAAVGRSPPKASVQIPPPPPTSMLQKPLT IEDFEMLNVLGRGSFGAVMMVRYKQDGRIFAIKILKKSAMDATDMQNAMEERQILQRV KHPYVSSLVFAFQTSERLYLGMKFYAAGDLFYHLNQKGGGLPLADARLYAAELVLAIS YLHSLNILYRDLKPSNIMIDEQGHIGIVDFGLSKQHIQGTSHGVKTLSGTAEYVAPEA LVQTADGTRDYGKAYDWWSFGIVLYEMIVGVSPFYHDNERTMLQRIVHADVRFPADFP KDAKSLVRGLLNRDPKARLSGDAIQSHPFFASIQWTKLYQRQVPAYWTPDLSSETDTK YVDPVFTKDGPPSAVYDVAASHGKKDWSKRFSQFSFDFHRDDNSSKK H257_16409 MRGLALGTVLTVGEPFFLTSYRWKEREIAIRRTQSIGLTLNLPW NKPSSTSELASPNSNNTLNHVHRCFLGLARSTEGDWRLYFRAAPTPKAAASMATAAAM SVAPLNIRVEAPIKRRVSRLSRVAEDDEGTSSPTDMRRIEQMQMVLGLATCSKETLVE YLDGAGGNVQIALEHYFMTTHTDRKRSRPDSTLLLPMPRQSMPPPPPPPPSLPSPTLA SSLQQHMTGDAAAAAVGRSPPKASVQIPPPPPTSMLQKPLTIEDFEMLNVLGRGSFGA VMMVRYKQDGRIFAIKILKKSAMDATDMQNAMEERQILQRVKHPYVSSLVFAFQTSER LYLGKCPCFVSLSLSSSPRYLGMKFYAAGDLFYHLNQKGGGLPLADARLYAAELVLAI SYLHSLNILYRDLKPSNIMIDEQGHIGIVDFGLSKQHIQGTSHGVKTLSGTAEYVAPE ALVQTADGTRDYGKAYDWWSFGIVLYEMIVGVSPFYHDNERTMLQRIVHADVRFPADF PKDAKSLVRGLLNRDPKARLSGDAIQSHPFFASIQWTKLYQRQVPAYWTPDLSSETDT KYVDPVFTKDGPPSAVYDVAASHGKKDWSKRFSQFSFDFHRDDNSSKK H257_16409 MRGLALGTVLTVGEPFFLTSYRWKEREIAIRRTQSIGLTLNLPW NKPSSTSELASPNSNNTLNHVHRCFLGLARSTEGDWRLYFRAAPTPKAAASMATAAAM SVAPLNIRVEAPIKRRVSRLSRVAEDDEGTSSPTDMRRIEQMQMVLGLATCSKETLVE YLDGAGGNVQIALEHYFMTTHTDRKRSRPDSTLLLPMPRQSMPPPPPPPPSLPSPTLA SSLQQHMTGDAAAAAVGRSPPKASVQIPPPPPTSMLQKPLTIEDFEMLNVLGRGSFGA VMMVRYKQDGRIFAIKILKKSAMDATDMQNAMEERQILQRVKHPYVSSLVFAFQTSER LYLGMKFYAAGDLFYHLNQKGGGLPLADARLYAAELVLAISYLHSLNILYRDLKPSNI MIDEQGHIGIVDFGLSKQHIQGTSHGVKTLSGTAEYVAPEALVQTADGTRDYGKAYDW WSFGIVLYEMIVGVSPFYHDNERTMLQRIVHADVRFPADFPKDAKSLVRGLLNRDPKA RLSGDAIQSHPFFASIQWTKLYQRQVPAYWTPDLSSETDTKYVDPVFTKDGPPSAVYD VAASHGKKDWSKRFSQFSFDFHRDDNSSKK H257_16409 MRGLALGTVLTVGEPFFLTSYRWKEREIAIRRTQSIGLTLNLPW NKPSSTSELASPNSNNTLNHVHRCFLGLARSTEGDWRLYFRAAPTPKAAASMATAAAM SVAPLNIRVEAPIKRRVSRLSRVAEDDEGTSSPTDMRRIEQMQMVLGLATCSKETLVE YLDGAGGNVQIALEHYFMTTHTDRKRSRPDSTLLLPMPRQSMPPPPPPPPSLPSPTLA SSLQQHMTAAAAVGRSPPKASVQIPPPPPTSMLQKPLTIEDFEMLNVLGRGSFGAVMM VRYKQDGRIFAIKILKKSAMDATDMQNAMEERQILQRVKHPYVSSLVFAFQTSERLYL GKCPCFVSLSLSSSPRYLGMKFYAAGDLFYHLNQKGGGLPLADARLYAAELVLAISYL HSLNILYRDLKPSNIMIDEQGHIGIVDFGLSKQHIQGTSHGVKTLSGTAEYVAPEALV QTADGTRDYGKAYDWWSFGIVLYEMIVGVSPFYHDNERTMLQRIVHADVRFPADFPKD AKSLVRGLLNRDPKARLSGDAIQSHPFFASIQWTKLYQRQVPAYWTPDLSSETDTKYV DPVFTKDGPPSAVYDVAASHGKKDWSKRFSQFSFDFHRDDNSSKK H257_16409 MRGLALGTVLTVGEPFFLTSYRWKEREIAIRRTQSIGLTLNLPW NKPSSTSELASPNSNNTLNHVHRCFLGLARSTEGDWRLYFRAAPTPKAAASMATAAAM SVAPLNIRVEAPIKRRVSRLSRVAEDDEGTSSPTDMRRIEQMQMVLGLATCSKETLVE YLDGAGGNVQIALEHYFMTTHTDRKRSRPDSTLLLPMPRQSMPPPPPPPPSLPSPTLA SSLQQHMTAAAAVGRSPPKASVQIPPPPPTSMLQKPLTIEDFEMLNVLGRGSFGAVMM VRYKQDGRIFAIKILKKSAMDATDMQNAMEERQILQRVKHPYVSSLVFAFQTSERLYL GMKFYAAGDLFYHLNQKGGGLPLADARLYAAELVLAISYLHSLNILYRDLKPSNIMID EQGHIGIVDFGLSKQHIQGTSHGVKTLSGTAEYVAPEALVQTADGTRDYGKAYDWWSF GIVLYEMIVGVSPFYHDNERTMLQRIVHADVRFPADFPKDAKSLVRGLLNRDPKARLS GDAIQSHPFFASIQWTKLYQRQVPAYWTPDLSSETDTKYVDPVFTKDGPPSAVYDVAA SHGKKDWSKRFSQFSFDFHRDDNSSKK H257_16410 MAKAPPPALAPPPAQKKNKNPNRIPERIQLDIIAFMETGVGFTD LEVAAAYGVLEYYVAKLRKIIPLKEKYGVNSLPPRRTARFVATKLSDDIKVDILTKKA LRKLSSYTVAMMYGVTSMAIHNLRRDKDKVLRRYKLGRKDLRDKRRSGFSSIVPFDEE VLRWIDATPGCKAKGIVEFARQIAPAYGRPPTFKATYGWLHGFKKRYDVSKPEQLIVD MDQADEDEINPSDDDDESDDDESDDNAGDNAGDNAGRNAGDNGGWGPLYYGSSDANTD DDTARTGVCCASSSTSSSTSRSSDDETISDVDMHGDDDAIADHEDDSPVNGDDDEVDG DDDDVDGDDDDVEGDDGDMEGDDGDVDGDDVEGDDDAMEDHEDDSPVDGDDDDVDGDD GDVDGDETEDEPVS H257_16411 MPEEPPHLPTQIPPRCYFNECIAPAVDGTTKCHFHRHRGSCAVD NCHNQVYARQLCVKHGGKRRCAMPTCTANSRVGNLCSRHTTVELKKTCSEPGCTKQPR ALGRCVSHGGGKKCLAPYCTANVRLTSYCSRHAQPVSLPVGARQQLAQPRGSSWQMPQ QPQTPPLNAPKMEYSIDPTTWITFDGSKEVMNAVVHWELDCLESLRVFDVIEM H257_16412 MTNFSPSEFNVLWADVRTYVTKHWNVSSGRKSEVSARDLLLMLL PSIKHCGSWDIVAVTFKQHSPTFQKRTMSFAKTLHPFLLRKYVTTVVEKYSMALLTTS GHQFANFPFVRYATDMSALSKQATEDRIAVHGDEGTNQWAVIADKGYQGIQRVVRVVL PKKKPAGGILTLEDVRSNDRIASDRVIVENVFAG H257_16413 MNSDKSDIQRLVFSGKREDFQTWMDAFRGELQKRWLKARIDAQN AKRAVCDVSYESWLTGVPDALPDTLTQESLQEATLLRDLQNSSAASVIGLLTKFVGTL TSDYRHVGDHFKAMSALRNRINA H257_16414 MFTLIKLVSVVAAIALSATAASSCHQQANTIGSDHALDLVAAKN EPFSYIIEEVGATYIAPHFNELSIPDGGVLEISALDGSQKVRYTGARSDFYAEYVTGP SAVLTYYPPQDIVISVDIVSDDNSTESTTGGLDAASVAFSVDRFASGFATGIESSIEA ICGADNSKAAVCLKSSDATKYTKAQAVGRLLIGGSSLCTGWLFGSQGHLITNNHCIGT AAAAAKVQFEFGAECATCSDPNNLKQLACPGTTVATSAQFIYTNKELDVTLVKLNLKA GVSLAKYGYLQARTSGPVLNEPIYIAQHPGGKPKRLATVVDSGAVGTIEKFSIASCSP DEIGYSLDTEGGSSGSPVLSTKDNLVVGLHNCGGCQNGATKINKVVAQLKSLGLLPAN AAVARKLP H257_16415 MPQLAATTVILLSLDLWRIVTAFQTGVYLHMQPLAKLAALPKHY RSNEGKIRDWIQTLDAVLSPWYATYGTSRIGLLILTLPRMRDLMITHAVYFNDVDRLA FLHATFDVRRCRQNLLNLAAAQGHDASVAYLHSIGHQGCNTGAMNLAAQFGHLRIVQF LHAHRTEGCSIRAMDAAAREGHLDVVQWLHFNRTEGCTIDAMDEASARGHLEVVQWLH QNRREGCSFRAMDAAAGNGHLETVTWLLENRTEGCTPQLSKPSDFATWTWRCSCRQST ISI H257_16416 MTVHSLASVATPRSPTTTEHSSSNENNVPQMNPQQRTRRGKRKG GTPKSTRPNIRKTTLLQPGTLVQVVSPRQMHHDFAWLQSVNPDGSYNVSYVVGGTDRH VAPASVIVSPDVLGARTMRRNHSI H257_16417 MYDYVHVDEILFDLTKVKRRYYVYDDEVLALRSIKSKSFITKVM FLAAVARPRYDYGRKQAFDGKIGVWPFVTKAAAARASKNRPKGTILTVPLTVNCNVYE DVVLEKLVPAIKSKFQEAPKGKGALSNKTMQVHINE H257_16417 MYDYVHVDEILFDLTKVKRRYYVYDDEVLALRSIKSKSFITKVM FLAAVARPRYDYGRKQAFDGKIGVWPFVTKAAAARASKNRPKGTILTVPLTVNCNVYE DVVLEKLVPAIKSKFQEAPKGKGALSNKTMQVHINE H257_16417 MYYVYDDEVLALRSIKSKSFITKVMFLAAVARPRYDYGRKQAFD GKIGVWPFVTKAAAARASKNRPKGTILTVPLTVNCNVYEDVVLEKLVPAIKSKFQEAP KGKGALSNKTMQVHINE H257_16417 MYYVYDDEVLALRSIKSKSFITKVMFLAAVARPRYDYGRKQAFD GKIGVWPFVTKAAAARASKNRPKGTILTVPLTVNCNVYEDVVLEKLVPAIKSKFQEAP KGKGALSNKTMQVHINE H257_16418 MLEFLRIPDNFAIMTGQATKNKAVKCGQKITRAQGHQMLAEHVK AVVGASNDPDNPNDPENDEYWVANDIEDPGQAMDAPKTPLIEAHGAKATSRGATPVIA RQFPVSEKRLTPRKDFAAVYNGCPEPNDSVRMRKV H257_16419 MTKLRGVAAALTTFTIWGVSPLYWRELGHVPELQVLMHRILWSF VLCATLTVAFARDWSVLATAFGHAKTTAAFRRHGIAALFISGNWAVYVWAVNAGFVSQ AGLGDYILPLITVLLGVVFLRERLHRYQWAAIGLATLGLCVISVGYGVFPWVSLVLAL CEALYSFAKTSPLVRLNAIQGVAFEMSMLVVPAVAYLVFVEVSEGNRGVFGHGEIHTD LLLVGSGVVTALPLVTLSYAVQHLPLTVVGVLVYVTPTIVVLLAAFAFHEHFSAVILL GFGLVWASLVIFSAQSYMGYRDIHKQDQTADPAIVLTPANDVGLSNSPNLQQSHHIPV DNYHALHSSKAKSPTLLHVA H257_16420 MQSTAVCTCVQEDEAHLKRWRDVCRQFYFHQDPSAKTLLDAYEQ AKQTVSADDLQDAVAIEIADNTSSPRAPSDDMMASSSVVDLLGLTRCPVRGHGAAFDA NLLQLEIVKTRIRGDYHAHLAHSRYEAFHCRLNALQSCQYHLDPDTRVLAVRPLPTGG YLRRSSDLDVQRGKLLRFLGDWMPHMGTHPFLHGLRRMLDSNMHNSTVVGWQVSDAVF VESGGAEFADAAASLLVDTLQCGHVVLSVSEQLSAELTNHNQALERLWVLDPYMSNAH IRRIMWLFPAPTALEGRATGTEVPTVFDRYNAQGQHDEPPAWRKVCIVL H257_16420 MQSTAVCTCVQEDEAHLKRWRDVCRQFYFHQDPSAKTLLDAYEQ AKQTVSADDLQDAVAIEIADNTSSPRAPSDDMMASSSVVDLLGLTRCPVRGHGAAFDA NLLQLEIVKTRIRGDYHAHLAHSRYEAFHCRLNALQSCQYHLDPDTRVLAVRPLPTGG YLRRSSDLDVQRGKLLRFLGDWMPHMGTHPFLHGLRRMLDSNMHNSTVVGWQGMFDFI SWFIYIYTGLYICI H257_16420 MMASSSVVDLLGLTRCPVRGHGAAFDANLLQLEIVKTRIRGDYH AHLAHSRYEAFHCRLNALQSCQYHLDPDTRVLAVRPLPTGGYLRRSSDLDVQRGKLLR FLGDWMPHMGTHPFLHGLRRMLDSNMHNSTVVGWQVSDAVFVESGGAEFADAAASLLV DTLQCGHVVLSVSEQLSAELTNHNQALERLWVLDPYMSNAHIRRIMWLFPAPTALEGR ATGTEVPTVFDRYNAQGQHDEPPAWRKVCIVL H257_16421 MPRLVVEVELYHRSLKGADKWARERADYHGRSELWVGPSVHHRI CDGHPGVPWIRVLQSPLNADGTRPPVSPWQPPSNPTITVPATDLFYLRTDPLTHVRDL IPHANPHAQDFSLDLFRLFTRIDQNTVFD H257_16422 MENTTLPRRSRSCMRRIGPCLVCPRRKTSTRPSPSTNDDSDLTR STSRLSSRKKFGCQTGCEAGWKCAASKFTPSTTATSVMCSSPSLSRELIKVPWAKLHG NWTVEVSDSNS H257_16423 MLDAAWLVLAAAAVGVSVASTVLIAKNQPVQGMLLFLWFLGLLS VAVAGLPSTNHYDNDCPGNCNTSHPA H257_16424 MDDSVVQAVEAMYASSSTGQTRSAADAFLRSFQTSPNAFAVSHA FLANAPTTARVVQLFAAQTISHLTLTPAQVSQLSSLFSLHHHERDIVRLLGTALVRNM EAGQGLDWLIQGPWDADVRISLLTLAAVESVAYTEAQNVIVYLGSSHAATKDTLLCMA EWVKLLDRDARHPDLVIKNPLTTYAIQVLHRGLDDTSDLFDAAVDLVVEVIRTYNSTL VDLPVIQWLIPQLMQLKPLFTAAAAQEITECCLGLARVFTEMAEVYLDILLGDSPMNQ VLVVDTLLECMAFPDPELAAITIPFWFAFTEALRFVPDVHQKQTLLRNFAPSLTNLSR ICMHTMCFRDGFRELPSDKQQDFKNFRMELGDILQDCCVLLGTDLVLAHCVQGLHAIL TDPDSSPDAQWESIEAHLYSFRSIARQVELQLQDSSKAGHPPMDETPLHTIFAFLPRF PAHPAIQYTSCLVISRYAEWLAGSGAAYLASLLTFVDATVTMSATRHDYHDWQVPTAV AAALRGLCLDCWAHVGRDLMQYYGQLQASDALDVEDQVILLEGICKGVSVGDPHLIVP ALEALVAPIAQRMNGILTAASSTAAPPSAGGILKDLLRLMCIFDHTSSSSNGQQQHPL VALSEQLFPLFQQTLHVFGSNFDVVERCCRCFKRMLRLPAMVVMVPTLSQMLVQSYAA VPQSSYLYCANQIVKNFASNASSNDLIPVLDHLFSQLSHTTFTVLSQSLVDHPDIVEE YFYLVERYVRSLPGLTVPLLPSILQGSLLGLHLQHNDATKGVLSCLELMLKQLTKTPT DAAAPTSAYSTTVEAWVTSQGQQLTRTLLGGVMGHLSPSRVDADYGSCAGVLVCLTQV PGATLREWVQAALTGTGTAGLFAVLDSTGRVAPEDKAAFVQNLVTAADEASFRRAVRQ FSKLCRQRAVVV H257_16424 MDDSVVQAVEAMYASSSTGQTRSAADAFLRSFQTSPNAFAVSHA FLANAPTTARVVQLFAAQTISHLTLTPAQVSQLSSLFSLHHHERDIVRLLGTALVRNM EAGQGLDWLIQGPWDADVRISLLTLAAVESVAYTEAQNVIVYLGSSHAATKDTLLCMA EWVKLLDRDARHPDLVIKNPLTTYAIQVLHRGLDDTSDLFDAAVDLVVEVIRTYNSTL VDLPVIQWLIPQLMQLKPLFTAAAAQEITECCLGLARVFTEMAEVYLDILLGDSPMNQ VLVVDTLLECMAFPDPELAAITIPFWFAFTEALRFVPDVHQKQTLLRNFAPSLTNLSR ICMHTMCFRDGFRELPSDKQQDFKNFRMELGDILQDCCVLLGTDLVLAHCVQGLHAIL TDPDSSPDAQWESIEAHLYSFRSIARQVELQLQDSSKAGHPPMDETPLHTIFAFLPRF PAHPAIQYTSCLVISRYAEWLAGSGAAYLASLLTFVDATVTMSATRHDYHDWQVPTAV AAALRGLCLDCWAHVGRDLMQYYGQLQASDALDVEDQVILLEGICKGVSVGDPHLIVP ALEALVAPIAQRMNGILTAASSTAAPPSAGGILKDLLRLMCIFDHTSSSSNGQQQHPL VALSEQLFPLFQQTLHVFGSNFDVVERCCRCFKRMLRLPAMVVMVPTLSQMLVQSYAA VPQSSYLYCANQIVKNFASNASSNDLIPVLDHLFSQLSHTTFTVLSQSLVDHPDIVEE YFYLVERYVRSLPGLTVPLLPSILQGSLLGLHLQHNDATKGVLSCLELMLKQLTKTPT DAAAPTSAYSTTVEAWVTSQGQQLTRTLLGGVMGHLSPSRVDADYGSCAGVLVCLTQV PGATLRVRPI H257_16424 MDDSVVQAVEAMYASSSTGQTRSAADAFLRSFQTSPNAFAVSHA FLANAPTTARVVQLFAAQTISHLTLTPAQVSQLSSLFSLHHHERDIVRLLGTALVRNM EAGQGLDWLIQGPWDADVRISLLTLAAVESVAYTEAQNVIVYLGSSHAATKDTLLCMA EWVKLLDRDARHPDLVIKNPLTTYAIQVLHRGLDDTSDLFDAAVDLVVEVIRTYNSTL VDLPVIQWLIPQLMQLKPLFTAAAAQEITECCLGLARVFTEMAEVYLDILLGDSPMNQ VLVVDTLLECMAFPDPELAAITIPFWFAFTEALRFVPDVHQKQTLLRNFAPSLTNLSR ICMHTMCFRDGFRELPSDKQQDFKNFRMELGDILQDCCVLLGTDLVLAHCVQGLHAIL TDPDSSPDAQWESIEAHLYSFRSIARQVELQLQDSSKAGHPPMDETPLHTIFAFLPRF PAHPAIQYTSCLVISRYAEWLAGSGAAYLASLLTFVDATVTMSATRHDYHDWQVPTAV AAALRGLCLDCWAHVGRDLMQYYGQLQASDALDVEDQVILLEGICKGVSVGDPHLIVP ALEALVAPIAQRMNGILTAASSTAAPPSAGGILKDLLRLMCIFDHTSSSSNGQQQHPL VALSEQLFPLFQQTLHVFGSNFDVVERCCRCFKRMLRLPAMVVMVPTLSQMLVQSYAA VPQSSYLYCANQIVKNFASNASSNDLIPVLDHLFSQLSHTTFTVLSQSLVDHPDIVEE YFYLVERYVRSLPGLTVPLLPSILQGSLLGLHLQHNDATKGVLSCLELMLKQLTKTPT DAAAPTSAYSVHYIWNMVIYWFQ H257_16425 MAAAASTVLRTPELISMLFEQQEGLTQDLLPFRTIMSPSVWTNI VQDLGDTGRDAAAVAAFQSVNSLLKSWLPLYGSRRLMHLCRHLPVFAALVPVAASFGG NLDLLRLCHRHPHLHDEMIRPLDEAAPELCYDVAAGQGHHAIVTFLEQIYGPHNTSAS RRAMDWAAQYGHLGMVQRLHSTRAEGCTAQAMNLASSNGHLPVVRFLHEHRREGCSTF AMNSAAEFGHVEVVQFLMRYRREGCSRKAIDMAARNGHLEVVQLLHNHRTDGCTTQAM DGAARNGHLDVIQFLHQHRTEGCTSWAVDLAAQNGHLEVVQFLLTQRTETCTTWAMDE AATNGHLDIVEYLHGCMKNHNLHHHTTCTKEAMDGAAANGHLNVVKFLHTHRTEGCTS LALHEATSKGHHHVTVYLCQHREALGLLEDSDDIANTSQ H257_16426 MGSLVSRFLARLFGSNKDVRVLMVGLDAGGKTTILYKLKLGDVV TTIPTLGFNVEAVTYRNLEFTVWDVGTGDKGRGLWCHYFANTHALLYVVDSNDRDRIS TARDELHRALADELMQNVHVLVFANKQDLPNAMTTDQVTAALDMEALTRNHRWFVQGC CAPKGDGLYEGLDWVIDTVLQP H257_16428 MGSTISRVWTKLFGKIEARLIMIGLDGVGKTTLLHQFRLGEAVT TTPTIGFNVEAVEFKNIKLNVWDLSGHEKIRSVWRTMCPNTQGVVFVVDSTDIARMDA AREELHHMLWEDHLRDAIVLVFANKQDFPGALDAAAISAKMDLDRLRQVWHLQVGSAV TGAGVNQGLDWLVKSMRKHPKF H257_16429 MDAVVVARFDPACGGYDAHFIPTATTNNKAEYDGLLRSLQLAKT RGYTHLTVYGNSQLLVRQMQGIYSVSHPGLRVQCLQARRLAATIHCTWRHRPQEGNQG ADFLSRSAR H257_16430 MIYDHANDRVVVLCLVQCCRKMSNHMHINHLKGTTPATPPLSVH VQKPLHADDLTGLSSLRHRDLVNDDQLVEIAQTASVVVQRFAWDNRKLFLGGDGFVHA EECH H257_16433 MRNLAKCFFNGCPHEAVIGSWKCMFHMHRFICSMPHCRNQVYAR NRCVRHGGKKRCEVDGCVLNRRLGRFCVKHGPPTSVKLCNELDCSKHAHLHGNAGMPI ACIRHTPSKLIKPRFEDYGAPQSSSDSVDESILDLLLQTTPAFTKDDLTGPLLDWTSV IGVIEDALKWDDNTGLLAPPTPKFHAFD H257_16434 MTGEVKVDGQSSRTREIAVSAAGQEGFTKEQWAALQASARDEIT KDALKFMALAPIQRLTDIGAVARENGWSPVLSKGNQAAAGKPGISDRSGK H257_16435 MAVCDRQTRLQGRCFRHSGGRLCKVDGCVTFARNRNWCRRHTAK ITSASVPLYETSDDS H257_16436 MTVAAAASTAHVDNHHDGGRILQYLLKDFVDFRDFYLRDEYINS NASLIASLYRVRNQR H257_16437 MTPCFFNGCPNPPSTPHSTKCLFHYHRGICLVDDCRNQVYARSL CVRHGGKRQCQFQGCTLNRRVGAFCTKHGPTDAVRRCSHDGCSSQAHLRGKCFRHGGC RFCKVDGCVTYARNRGYCARHTPKNDKIHSDRLVQFEQVEPATPPDVMSCSDLFWIQR ALLQIEPPILDNATTLGSSDLHWQETQSWHDVMTFLLADVC H257_16438 MTPCFFNGCPNPPSTPHSTKCLFHYHRGICLVDDCRNQVYARSL CVRHGGKRQCQFQGCTLNRRVGAFCTKHGPTDAVRRCSHDGCSSQAHLRGKCFRHGGC RFCKVDGCVTYARNRGYCARHTPKSAQDHPVKSDQVETGSMDFMSRSDILWVQQDMLQ IEPLSSSYATSTVYSDIEWQEIQGWAEVMTLLADV H257_16439 MCVSSAYSFKYSFPIHRGKYTFDNQYRNQVYSRNLCVRHGGKGQ GSFEGCSVNSRIGSFCTKHGPFDAVVLKPLAGLPLRG H257_16440 MVLPTFLQRHHNHHHDSSASLVADVTLNDILSPSYWVDKKDRVG CADCGLKFNNLYRRRHHCRLCGDLFCKPCVVHTRLVCAVTTTTSLSPNIKICRGCANN DQNSPTLTGSASSLAMMSMFTRRPSTTNSKPVSWGQLSQSCRGRLVSAPPGTTEDSFT TSTSSRPLSHHHHHHRTKSQVRGGTTVSTTTHRANHQQRTPIDLMPTESPPPTSQPRA VPSATTTRLVVLDESTLSSRLLQIVDTSTATQTQLASQQHHMVETLTSHTDMIQRLAL AIERMESKLASPLDENNAPSPIAGA H257_16442 MSNDQYAALTSPRRKTPILPALAAVTEQRRRSSNQPAVAGKVQL DVLKSNKSNVEISTTTTSSHYTAFKFQLELAVRAGVGTVLAGLLMTKAHATNSTSTLE GQTHWVFFPDWYIFGGLSVVAMATVFGAGNNIGATVREIAQQLGGVGSALLYNMVVFY MFPPQSFASVHELERAKLNGTLVLAAHSFSGHPYWIHPRDFYTKLPFIMLFTLVGILL PMETNTRRYMLNNNLFFSLTLASPNDFTNPNVLKTPGDVLYNPPNIIANLFVYLLLGV LGAIIANLVLWVPYPMLGIRQLTAHTTSCAHTLDELLDLLVDAYCFKTQDVDHMKFLR LKLERKFDAAVAKHAEMTALLQDVWWEQLVGLHLALRFRRSSVQPFVTLFGAQVEHLR AMYQAMELERYEHLHSRFMASLQQQVCTVQLHASRVVHEISSLAHHGVLDMDVTGQHE LHRHMEHLLRQYQQSQVQIYSQHEPNARQVEGNIPLHVFLFSLQLYAQTLLEFQATYN TTPHHTAQRMLIFAQAKVGSYFDRTNYPPAKLRTALKAWIAILVACFISVYTFGYSST TPSAVAIVMSGHVGGSFRVTANRVGGIIAGTIVPSVVLFYICSYTCGHSILMAVLTNT MLFVWVTMSMFVCFKNGIDAYAGLIAAFTSTQVLMRGCDGCAHATVTPISSYANLAQL SLGVVLFVVVELAMSPHSAVSIVRANVQSQLALYKHCYETLVTHSSLGRKSQDHNDTT TLQRLVQVDLPRLLCTQASLLTEASFEPQLWRPPFSMPKYKRVLECCHQLYNHTVVLW HVVEWTRKRGGLPYLDERCRDWFTPLRHGVMESFETLADLFGPAFAAVEPDQVAMYIQ IKEAFRVADRDGSHDMDVGEVKDMLGMIFAESGATKVTDMESCVGDFMTLVDTDGNGK VTLDEFKLALERGLKLHVQVPSMGAAALRRQSSIHTDLMVQTAAAAFPRQGGHDTTLR RLSSTIKQRPPSANPVMTIMRPHELLAVDAVVLPDLAKTLRTQYANWFLLEHSTTSGQ QPPHKKSMSIEELLLLNCVISAASGFATTLARLEELTVQP H257_16443 MLSPTPYVASTTPPPATTNEVEMEPPILVHRTSRVSGNRRPSLP SISVTPPQEPTLRQRLSSVVSNKAGNNNYETVGKLKIQLDKERRLDTDSSKFRPDFKF NMELALRTAVGVVLASLVLTKNTDSTALVTATSRTKQWYFFPEWYILGGLSYVATATV FGCGKNIGSTIRELFQQISGVGLALLYNLLIFSYFEPQVFNTKAELNVAKIDGTLTYI SHAFSGSPYYVHQRDFFTILPFIMLFTMVALVLPLETNTKKYMLGNNLYFALTLVSPN DFTNPSVLKAPGDDLYRTSNLLRNLMVYMLLGVTGACIAQVMLWLPYPIFAIRKLQEH TTTCADNIQDLLNLIVDSYCFKNKDVEHMNFLKLKLKRKFDLALAKHATMTALLNDVW WEQLVGLHLPLRFRLSAVKPFIDLYASQIENLRAMNQAIVLERYETLHELFMKAVQKE VYIVQLHATRLLDEISTQVHQGTTELDLHESARVEKHMESLLTHFQATQNRIYKRHAP TPKQVEANIPLNLFVFSLQSYCSTLLEFQSAFNAKTHTTSKRMVKFIASTLQTFVDKA KYPRDKMEMAAKVWFAILAACFFSVYSFGYASTTANAVAYVMGNHIGGSFSVTANRVG GVIAGSIIPSICLFYICSYACGNSILVAISTYSLLFVWVTVSMYIKWKGGFESYAGLI SAFTATQVLLKGCDGCEKGSVAPISSYSNLAQMSLGIVLFIIVEMAICPQSAMALLRA NIQNQMKLYQQCFQVLVQDTLARDGAVATEDEDDEATASEIKAIVKKKLPALLVEQAA LLKEAAFEPLLWKPPFSTQKYEAVLDCCQRLLNNTLVLFKLTQWYKHRMDLQHPSASP NSNNDQAKDHHHQTSNTSTTANLISDDDDDDVAKPEIVTEKKEVWGFSTAEVNLAIHD TFDTLHDLFGESFTYADGDQTALFMQMKEAFRLADKDCSGEIDADEVKSMLEMIFAQS GAVKVDAIDSYVAEFMEIVDSDQSGKVSLEEFIDALEHGLQLQVEVFQHRSNKVVPAH YHVPHEDTSRPSRPSMMEFQPAPRTSSQITSSQIDHGGDNMTRAHDMLNVDSFALPDV AQAMRTMYASWLLENGRYEKASMEDLLLLNCLISGVSGFARNLAMLEEMTVQQ H257_16444 MPTEPPPVYDALVSPPQQDGGETPLSNIIRSNQPTSRPATTSSA PIEAADRRRRSSGTNGGVSTGKLKIQLNDHKKRSVDDAHTKYRPDFKFNMELALRTAV GVVLASLVLTKNTDSTALVTATSRTKQWYFFPEWYILGGLSYVATATVFGCGKNIGST IRELFQQISGVGLALLYNLLIFSCFEPQVFNTKAELELAKVDGTLTRITNSFSGTPYY VHEGDFFTILPFIMLFTIVALVLPLWSHFINCDWTLFSLLSYQPNLGFMC H257_16444 MPTEPPPVYDALVSPPQQDGGETPLSNIIRSNQPTSRPATTSSA PIEAADRRRRSSGTNGGVSTGKLKIQLNDHKKRSVDDAHTKYRPDFKFNMELALRTAV GVVLASLVLTKNTDSTALVTATSRTKQWYFFPEWYILGGLSYVATATVFGCGKNIGST IRELFQQISGVGLALLYNLLIFSCFEPQVFNTKAELELAKVDGTLTRITNSFSGTPYY VHEGDFFTILPFIMLFTIVALVLPLVRSTCCRLYFSCW H257_16445 MRAVQKPVYLVQLYATKVTDEIAHGVHSLVQAMALNETTKLAMY MEHLLATVQSTQMRLYRSHSPTRAQVEANVPLHLFVFTLQSYVATLIEFEALFNGKTR LTRARVVNFVQNVVASYINPDNYSREKLTTAAKVAMAILIACFLSVFTFGYSSTTAGA VAYVMGNHIGGSFSVTANRVGGVITGSIIPSICLFYICSFGCGSNIVVLAVTNSLLFV WVTFSMYIKWKGGFESYAGLISAFTATQVLLKGCDGCEKGSVAPISSYSNLAQMSLGI VLFIIVEMAICPQSAMALLRANIQKQMKLYQQCFQVLVQDTLARDGAVATEDEDDEAT ASEIKAIVKKKLPALLVEQAALLKEAAFEPLLWKPPFSTQKYEAVLDCCQRLLNNTLV LFKLTQWYKHRMNLQHPSASPSSRPPNTPPTSRFPTKMDVTKALVNTTVPDNEVWGFS TAQASRAIHDTFDTLHDLFGESFTYADGDQTALFMQMKEAFRLADKDCSGEIDADEVK SMLEMIFAQSGAVKVDAIDSYVAEFMEIVDSDKSGKVSLEEFIDALEHGLQLQVEVFQ HRSTTKKKYTSVSKTTATIEPVLAPIAEDEVPVAPPPLAILEDDHMAGPPIVMLEVPP LPSSEDDVEPTRKLSSSSAVNDASQLTRSHDLLLSVDSLALVEVVQDMRSQYASWMLQ DHRYTRLSVEEILVLNCLVSGVSGFARNLALLEEMSVLQ H257_16446 MSMPPAPPSLATTAAVDTAVQPNDPPHKKNKRTKSYGSYLPLGP TQRTYCATVSYDGTGFVGFQLQDHERNVASNSSSSSIRTSTAKAVRTVQLVLEDALLR TTGETIRIRGASRTDKGVHAVGQVVAFTSAVAIDSNEVFLRALNTRLPDDVVVTSMTH DVDVDFDPRKQSQAKCYIYRLRHGTVRVPLARHQVWQMSKELDVDKMVEAAAWLQNDV AQDFRQFTPAKALAPDKSTLCTVTKVHLWTDKADQTVHIQVVGNRFLYKMVRTIVGVL VEVGLGRATSQQVQLMMAQPMASHRSISTGAPPHGLVLQWIQLIEA H257_16447 MPFAHATTPHDFGFIHVGFDGGSNGTAGPSLLLGAIGHPLLHQR MQQGWVVCCRGRVAVVPGSGNETRRTSSYAAIGLVQGGKRLFVNQRPNIPSVRQGMPL GSFVGYDRAVAFTTTQRHVPLRSLSMAGRFIVGPRRELAKRATRYRFQMQRCWWCCYV VVYIVWICHD H257_16448 MDVDDDKDNDSSSTTCFFLQCQGDTVPGHWACLEHTDKPRCMVQ SCRNMVYARQRCVHHGGKKMCSVPGCGITRRLGDFCSKHAPLKKRCSTDGCETLAHLQ GKCVRHGGGRLCKVDECTSHARNGPYCGRHFKLHGPPVAAPPLRAAAPTTSGKMKAPV NRMLEPLPLPPEHHPQFHAVEKLSSSHEHDDSSIILHQYVSSPPSELLQLDLNDDSGF EDDDAMHGRRRFM H257_16449 MTTQTTTHTTTTCVFKDCTQPVHSSFPSSSLSKCLFHRNRSRCE APDCSNQAYARNRCVRHGAKQTCVADGCTQNRRLGLFCSKHADADSKKRCSVTGCDKL PHARGLCVRHGGGRLCKAPDCTSHARIGLLCARHFHGLTSPSTSPRQVVAVAPLNIVT SPPFIRTSPTYRAAATSVPMLIDLAATMGMPDTLDWAILSDLVADSTATDTHADKAAA IEETTHSKCWSIHCQQEQPHNKYADDLEIWDALFYVM H257_16450 MRTSAPLSALVLANYVLLALSQTTTTTITPTISTTTSTRPGFKP NLGPDSDDAAGSAPLPLTNQGDSTTKSVNLGVDFAIGISVFILFVLLALLRAVYVQRK QAAAEVANTPIGDYSKLEDSK H257_16451 MVRCIFYECQHPVVQHGSSKCIVHHSRTPCSTPKCRNQAYARGR CVRHGARKYCLMEGCKHYRRAGGYCARHTTVLRMKSLALMPPTTPQKNQDENNTSLVS SASATPLDAEWTAMQAFLIELNFALLTSEDNVGMDSKTILTTW H257_16452 MVTCIFYDCHNPVVQHGRSKCIVHHSRTPCSTPKCRNQAYARGR CVRHGARKYCLMEGCMHYRRTGGYCARHTKALRANFSLASGPRMVVVPPRPQKNGVVR EQWTTSPRTPVLSLDAEWTVLEEFLNEVNFALLTTDEQR H257_16454 MPPNCIWAVRGGSSTLSCGEKHSMRMTAVLTARADGIKLPIMFI IRGQPGGRIESSEIPTFPAGHFNADQGSVDGCPRVETLTTLSHTFRKSQSRSSMKIWD RTSVHYHQTRRQSASRWTLDVGAMAPFKRHLRELWLFEEMIEGDEEDPYSLTAQQKRM AMVKRAIAAWNMVLADAVRGGFEKPLASTNEE H257_16455 MHSIAFKLQALKLLESMNDTKRTQLLAFDGNKKLMKMQPGGRHE VFPHPSGLVQFINELRDAERGLTIYT H257_16456 MPSKCRNKISPQKKPRRRYTKTVKRAMLSATKLLTFDGTAKWFN LDGAGRPEENLDTAAIDAFMRKLRGAKRT H257_16457 MAGSGPMVSFSRASTFRRFIQMRADSTAPPAPNTDVLFNTRQVT VAPCTAPTLSWQLYAIRLSLVGIPLLSCVGLSSLVHTHPPCIGLLSTASPVGMSEEPN AR H257_16458 MDRTLMLIATLVSWVVVVAVVVVVVGDQGEQLPVAASSSRSKSR TMAQSAKSIFHDVADVVVLYANASGSKLLNDPDDSMSFKSWSAWPCFRMAVVCRAQ H257_16459 MDIFKTSHSAPSEYGGVVNDPEYTLLSPSDPGLSLARCTFCQGF AAGETPEPLFLSTCTKWTLKRYRFPPRVCLLGASECSCSDFYSWQLRAVKCELAPADY VSLTSTGANSHLTALNCHEYKSLTLQLHPTPQLTHPRGEIIPVQGLASPTRCC H257_16460 MKFLACVAAIVATIASGQTLNGQSPIDLPVNIKAQPNNGKFAVD FNKAPAVVLHDDHTVKVTWSAGLDSHLTLNGNVYKSVQLHPHSPSEHTLGGKQYPFEV HFVHADKNKNLAVVGIFFDLDPQNKPNKFLDQMFRGFSQLHNPGDSYNITNLNPGSLD VDESNVYRYSGSLTTPPYTEGVEWNVLQEVQTLSKEQLTAWFNVIHHPNARPVQALNG RSVTLIQAADD H257_16461 MMANHHQLVLGILVGLAAVLVASQQHQSPIKLSSSSTYTYNQGG SVLSWVLTTPTPPATSTATPSTLRTTWSVPPFQVALHDHTITLDAVQCHFHHPSEHWL NGMQYPFELHIVLASSTNHSDVKGVVAVIFDINQEVPHPFIAQLWPELRRVTNLHPGT VNISSVDVTSLRLDAHTSSYFRYRGSLTTAPFTEGIEWIVQQNVHSISVDQSKLYTQV FPLPNA H257_16462 MKAVLMMKTILVVAMTCLVTANTTTTSPPIVTTTVPPSTVPPVT TERPSTTSLPPTSPVTTSAPRPTTAAATTPPSLPPIVPATNTPIMTIPSIPINVKPIL TTPTLPPTAVAIISSIPTKLPTSVDELAQALNSSTTSRPSSSNSSVNATSADSTASDS FFRIDNGAMWGTFAGATFFGAIVVIVLLRQSTSTDDHRGSSSPYSPAHTKPTNGNSSS SNANHHDKRPWQGGVVQTLEPGAPPPVLPVSVKPVPSANPPHAPPPSTSSRRNSRSYT LSPVYQQHYNNDYSNQNYSNQSNIPSNRKQSAVPMLEPPSLAQYEEEDHGFDRYSVES DDMDIRHTAPEDRLMMHGHEWTAAAGTGLPRKVSEADTEGDIGDVARMSYASTAMFER DSTASSRYSTSFTSHGPVHQYYHHEKQDLPVRDSYEL H257_16463 MSAAFESSQPRPVVYLDESYIHHHYARHNDSIYHPDDAYASKPR HKRRRLCFIAAIMADGRDNSKLLTYEAFEGSRKQPKDYHAMFNDTYFVVWFRRLLDDV EALGKSNAIIVLDNAKYHKGLPDDTPKGSWTKMMEACVALGIPTEPREYRSTLWTKLK KHVADNVVPVIVKMATDRGHDVVFTPPYHSDMQSIEMVWSYIKGGVGRQYNTSTKFSD VRERLDREFDRLPSSVIYDCICHTTRKVVDLSAYLQSLDDVDDAAGSCFSSDSESDSD GDSCGDCDLADYDARRPSTGRPVFKNWAKKRPKQHKNTVADYRERKAAIDHYELFGVQ STLDSMYGRLAPDARETKRKLIYSWVANHPLIERMASNPRTATMKCRRDLGTGTTLTA EAKEQLVQWAKGMRANGVPVTYSMLRIMALEAAIDLGLSEDEFRAAWHWTPEDGLEVL DDFAKRVQEIVAREGIDIIYNADQTATNYEYLPTKTLNKKGENTVWIKWGGKTKDRMT AMLLADNSGTKHPLFLILRTSKSKIKAVIQENLTTRQGFGKRLWESDEPMQAANGVVI HGNPTAWWNASISMQFLKYYFSERHDRATKKVLLIWDDLSAHFTDEVTAYAKELNVVL ERVPPNYTWICQPADIAWNRPLKARLRQNWLDMIRRQLLRAKQRGTVFMLVPPSRDTI VSWVSGAWADTDATTIINGFKKCRLVDGVHMGEVVGEGVVEDDVLAALIATCAIEETI DPVMDFSTADAADVSA H257_16464 MQLTDINQQQISHSTRSDGGRNHVTLLPPHSQPSSTTAPVLSSP SLLWPAPAASLTTLENDLHDANTHALLCRPHNTLVTNLTTTHPTTTAAAGVGGLPAAA GLLRKATDASSKPIDNPFESWYRANKPRRLAPRRAASSISCSTTQLGDVPPPPASSML SFSSSSFPSMSVGGGDCTDNEDDYNEPRPMEVLAGPSNARRHKAVDDAQRHFRCMDMA CMMDVDDDFEPPPPVLVRAQAQLLLHCEHVDLTCEKCGSVERVAISY H257_16465 MAREPSTPTATPYNGGSPKKECNDVFFLLIFLGVFVMTIVFGVT YGGTLVDITKAKDLSGSSGFKLVLEYAVYAGMLSTLLSISWIVVLMFMGEFMIWFTLA SMILACILTAIFMTKRLHELGDSYYWWPAAVFGTAALLLGLYTYCIRNRVKFAAKHLK VAGSALFRLPLIFVVTIAMVGVQLAWGVAWVAGTFGLLNRIGYIDIPAACTDNVVSSK TACNVHINYGGVVGIFFLMLFVFFWGALVVEGIVAVTVAGTVGTWRNNVAAPCITVSS WLRASTLNLGSICFGSLAVAILETIRAVVGVVQYIAAAEGNCVLSCIAGCLQCILSCI QGWMEVFNRYAFTYVGLHGFSFVTAGRHVTDLFASKGWTAIVNDDLASWVFFLGNLVV GALSAWIGLHFVDDISSKAVFPGVAKPEYLVVISAFLIGYIVNSVFMTVMASAVTTVF VLWAEDPQGWQLTHPDHYARLHAAWLQVHPNEYNDGHGKATTPAAAV H257_16466 MKRDYTLKHATSNRLLPKIERPLLQKKHSVPAICLTPIEAMSSK EAQLSARHVCHRTPSHFENIVKSNTWVLKNALASESSRPIRELHVRFSRAYASYRLHE FAKALADFSHCIDIEPAWHLAYYNRGCTYYKLGRLDDAVRDISKAVKYDPKNKVYLES RATLLRERGNFKEAIEDYNRLDALIIKGDDEDQEVLRDDDRPTSSTSSSPPKPPVLNR RYSTIGKIVLAEDGLHPSLASLLHLPHEERTRFDIVNALPLAKAWRFFQQQSESVMES FLMAGDLESVPARSHIFRQGDDADSFYILLTGSVAVSVEMFENGTITSKKVCTLLPGD GFGEPREIQGPRRATVTALSNVHCLVVRHTLYQHAMRDHMLSVFEEKCHVLRHCRVFE TCTPAVVEQIAQLSSVLLFEPYRTILKAGELVDKLFVIKRGVCHVTKSLALDPKRTPE AKRPSSVANNMKKKKSYDGSWVVDNGWQLTNPRLRNEFLDMDQDHSSSSSYMEVTVAT LTTGQVFGEVCVLRPNQPSTINVTSTTMVEVLELTQEGLAQINLKYNSRTMNALQESF LFHNPPNPKIAQLYKERDTWQHDKARIIRDVLNRGKQTGLVKRGAANATTKHLDGLHH RSSFYDNHL H257_16466 MKRDYTLKHATSNRLLPKIERPLLQKKHSVPAICLTPIEAMSSK EAQLSARHVCHRTPSHFENIVKSNTWVLKNALASESSRPIRELHVRFSRAYASYRLHE FAKALADFSHCIDIEPAWHLAYYNRGCTYYKLGRLDDAVRDISKAVKYDPKNKVYLES RATLLRERGNFKEAIEDYNRLDALIIKGDDEDQEVLRDDDRPTSSTSSSPPKPPVLNR RYSTIGKIVLAEDGLHPSLASLLHLPHEERTRFDIVNALPLAKAWRFFQQQSESVMES FLMAGDLESVPARSHIFRQGDDADSFYILLTGSVAVSVEMFENGTITSKKVCTLLPGD GFGEPREIQGPRRATVTALSNVHCLVVRHTLYQHAMRDHMLSVFEEKCHVLRHCRVFE TCTPAVVEQIAQLSSVLLFEPYRTILKAGELVDKLFVIKRGVCHVTKSLALDPKRTPE AKRPSSVANNMKKKKSYDGSWVVDNGWQLTNPRLRNEFLDMDQDHSSSSSYMEVTVAT LTTGQVFGESEWP H257_16466 MKRDYTLKHATSNRLLPKIERPLLQKKHSVPAICLTPIEAMSSK EAQLSARHVCHRTPSHFENIVKSNTWVLKNALASESSRPIRELHVRFSRAYASYRLHE FAKALADFSHCIDIEPAWHLAYYNRGCTYYKLGRLDDAVRDISKAVKYDPKNKVYLES RATLLRERGNFKEAIEDYNRLDALIIKGDDEDQEVLRDDDRPTSSTSSSPPKPPVLNR RYSTIGKIVLAEDGLHPSLASLLHLPHEERTRFDIVNALPLAKAWRFFQQQSESVMES FLMAGDLESVPARSHIFRQGDDADSFYILLTGSVAVSVEMFENGTITSKKVCTLLPGD GFGEPREIQGPRRATVTALSNVHCLVVRHTLYQHAMRDHMLSVFEEKCHVLRHCRVFE TCTPAVVEQIAQLSSVLLFEPYRTILKAGELVDKLFVIKRGVCHVTKSLALDPKRTPE AKRPSSVANNMKKKKSYDGSWVVDNGWQLTNPRLRNEFLDMDQDHSSSSSYMEVTVAT LTTGQVFGESEWP H257_16466 MKRDYTLKHATSNRLLPKIERPLLQKKHSVPAICLTPIEAMSSK EAQLSARHVCHRTPSHFENIVKSNTWVLKNALASESSRPIRELHVRFSRAYASYRLHE FAKALADFSHCIDIEPAWHLAYYNRGCTYYKLGRLDDAVRDISKAVKYDPKNKVYLES RATLLRERGNFKEAIEDYNRLDALIIKGDDEDQEVLRDDDRPTSSTSSSPPKPPVLNR RYSTIGKIVLAEDGLHPSLASLLHLPHEERTRFDIVNALPLAKAWRFFQQQSESVMES FLMAGDLESVPARSHIFRQGDDADSFYILLTGSVAVSVEMFENGTITSKKVCTLLPGD GFGEPREIQGPRRATVTALSNVHCLVVRHTLYQHAMRDHMLSVFEEKCHVLRHCRVFE TCTPAVVEQIAQLSSVLLFEPYRTILKAGELVDKLFVIKRGVCHVTKSLALDPKRTPE AKRPSSVANNMKKKKSYDGSWVVDNGWQLTNPRLRNEFLDMDQDHSSSSSYMEVTVAT LTTGQVFGEVSLLSSIYIYIYIYINLQKTATRMTRSVLSFATYTNAFFDALF H257_16466 MKRDYTLKHATSNRLLPKIERPLLQKKHSVPAICLTPIEAMSSK EAQLSARHVCHRTPSHFENIVKSNTWVLKNALASESSRPIRELHVRFSRAYASYRLHE FAKALADFSHCIDIEPAWHLAYYNRGCTYYKLGRLDDAVRDISKAVKYDPKNKVYLES RATLLRERGNFKEAIEDYNRLDALIIKGDDEDQEVLRDDDRPTSSTSSSPPKPPVLNR RYSTIGKIVLAEDGLHPSLASLLHLPHEERTRFDIVNALPLAKAWRFFQQQSESVMES FLMAGDLESVPARSHIFRQGDDADSFYILLTGSVAVSVEMFENGTITSKKVCTLLPGD GFGEPREIQGPRRATVTALSNVHCLVVRHTLYQHAMRDHMLSVFEEKCHVLRHCRVFE TCTPAVVEQIAQLSSVLLFEPYRTILKAGELVDKLFVIKRGVCHVTKSLALDPKRTPE AKRPSSVANNMKKKKSYDGSWVVDNGWQLTNPRLRNEFLDMDQDHSSSSSYMEVTVAT LTTGQVFGEVSLLSSIYIYIYIYINLQKTATRMTRSVLSFATYTNAFFDALF H257_16467 MSSKVESPCTRLPSPVTHNNQQPMTTTTPVSCSPHTFTYHECDK PQAASQTRSGSTTWTPFRTLPHLQTWASNRSRYTHVAVSFVDICLRSIGQCCFQNSPL SGLMFVIALCASASGLGMAGLALLGCVSANTFAIFMGLPRPSVAAGLFGYNATLVGCA LHVFIVGDTPWSWVAHGYVLLAVPCLSVLSVLVASAAMSVLPPGTPGLTLSFQLTTWM WLLATHNWSVHVSAPFAPSPHLYLVHNSPPNPSYDAAKVSVAVASGIAQCCLASEWYA GILILVGIGLCSPISAASAVLGSTVGTLLAVSVGAPPEQIYLGLYGFNGVLCATALGG VFVLARGGRALLIVVVGVVCSTLAMSATMGMFAPVGLPALSWPFTLVTWLVLWSAKSM KGLVLVDLAELQRTPEDHLEHYDRRQPALLADSDNVEVV H257_16468 MDGGVDADLLLVVCWASAAYMPVALGLYIKHRNHPSIKYRQPHL MALECVLCMLQCWGTPVMGLYGGAWACVVGIAPSLLLFRVTGLVYMLAQGSVVAMFAI TELLALPQQRSPTALKRMQAYRWLLFRPVQALVVVMGGVLAIGIGLLILPKPLWTLTY DTCSVEPAVETLFITTAVECAVLGVSSLYLSRHVSKVVDNFGLRQTYWRAAVAMLVVA MTGGAVMAATNMQGRDAVAFRAVLHSVAAHVVVVCHIVLPVRRRLANNNWVTRTVAIQ PLSSLHSMAAPLQSIKHSMHLLPSLKATTAIKTDHQPSLTKNASYTKLSRVSPAKLSH SPSYDSSRRLPPAKDIHALLDDLTLFLATPLGFDAVLAFAQKEAHTQELLAWAMVEKY KRNLVTAQTVYNQCLEPQSLLWCKAATDIGPAVARILRAPAPLLHVYPPTPASSSSMR HVMDDFSAKLVKTIYFKVLPGFQQHSPAWQEFQTTLKTMDLLESVDKMTQQTSSTANN LSKVSKAEQPLPNFRGNALQHHSEWSQYGNSTSVAPCNKPTESFRKSEISQTITR H257_16469 MGQEDQAMKRVAAFAGYQVTKTMLKHAASNHIFLHCLPRHAEEV DDEVFYSDRSLVFDEAENRMWTVMAVLANIVK H257_16470 MTRGDQRDRDRAKAQARLAKNNPKGGRTDTLTPQQRREADAKAL ADKIAKKAAKEG H257_16471 MSWSSQPYEHHQHHQTSPPSSVLHPHHLCAIASCPRFAKHLRLC LLHMRIADVSTPPPPSAGTSRLFHRQLLVTPRNHPIESSSAVPAASQRLLYPRHHHHH HHTPLHASATTTTRRLHTPSPSSSTPPTPSPAVPRSLLALLTNAGTSSSTALLSVSTR VCKHPLCPKTAKAGGFCIAHGGGKRCQAPDCIKSAKEGGLCIAHGGGKRCGVPDCAKS ALAGGLCVAHGGGKRCQMAQCAKSAVSRGLCIAHGGGRRCQVPTCIKSAVGGQLCVSH GGGPRCSMAGCGKGAVSAGLCIRHGGGRALKTEH H257_16472 MSAPSDVVPDDAPGTSLASLRAVIDAKLRDEGIYSQLRSLLHEH ATANLVHHDGGTPDLTTPDHDDNDRLLHTLLESDVVQQLIASIQPPPLSSPPSVTKQF TAQEATAVPSWSHQGSARSQPVVVHVRVLGGRAFVDNLVDIAPPACSTPSESAKLMHR TCLRFDVAFQNQRFQSQLVDCVVDPPFDETMAFSLQPPPSIGHSVAMSKWESLCAIQE SIHWTITKHVQTSPPASSTALWTDVSVELVAAAALDWRQWLTSPHPVVHIPLTLQGPM KVPVGVLNLRVDIPAVHKTTATTHDAKLYLQKETISTHGTQSHLYQYCKHWWADYLRD HRNATSTTSIVPSSSSSSSSSLATDKLSFSHWIRLFVEDDTQRYKLVCTYVTPLRSLH LSTPSEAARFVSLLPFVRASHVGSGRDATWQSLAAFLALGHGDCEEHAILLASLLLGF GLEAYVCMGTIRRSTAITSSSHDSVRHVWVATMLTSGVVLWEAVTGECVAASAAMYDR VDCVFNHQSFYANCQDRIEFALVDWTVENPQLWKALDPALIAQVGPRQPSVALRPPVT MTDDAATDRALRHWLQATRAAHGLHTTRWHPDLSHYIRMALTSYEVERVFGSANVDNV YFQNSVQGAVPQGHTFKGFPVSGTSLDDVQRKLVADVVGREVVLFPKATHAQFGVAVK SVPYPEGICVIWAMVAVVYKTS H257_16472 MSAPSDVVPDDAPGTSLASLRAVIDAKLRDEGIYSQLRSLLHEH ATANLVHHDGGTPDLTTPDHDDNDRLLHTLLESDVVQQLIASIQPPPLSSPPSVTKQF TAQEATAVPSWSHQGSARSQPVVVHVRVLGGRAFVDNLVDIAPPACSTPSESAKLMHR TCLRFDVAFQNQRFQSQLVDCVVDPPFDETMAFSLQPPPSIGHSVAMSKWESLCAIQE SIHWTITKHVQTSPPASSTALWTDVSVELVAAAALDWRQWLTSPHPVVHIPLTLQGPM KVPVGVLNLRVDIPAVHKTTATTHDAKLYLQKETISTHGTQSHLYQYCKHWWADYLRD HRNATSTTSIVPSSSSSSSSSLATDKLSFSHWIRLFVEDDTQRYKLVCTYVTPLRSLH LSTPSEAARFVSLLPFVRASHVGSGRDATWQSLAAFLALGHGDCEEHAILLASLLLGF GLEAYVCMGTIRRSTAITSSSHDSVRHVWVATMLTSGVVLWEAVTGECVAASAAMYDR VDCVFNHQSFYANCQDRIEFALVDWTVENPQLWKALDPALIAQVGPRQPSVALRPPVT MTDDAATDRALRHWLQATRAAHGLHTTRWHPDLSHYIRMALTSYEVERVFGSANVDNV YFQVPRTVCGGGAYSGMG H257_16473 MSDDEEYEFEYSDNDDDVDMGDDESSPEVAMENKYYLAKAVRDE KHHDENDAVEAAFQQVLDLDELGESIWGFRALKQLIKWEIRHSELEKAMKHYEQLLHR IATSAVITRNMGEKGVNGVLDFVSAHPVVPTNASGTSSASSDDSAWAILQQFYETTLA TLQQNESRNERLWFKTNLKLGNLLFDRRMDSTKQSMQLLRIVKELLASCEANAAAVDD DDAATTGLKHDSQLLEVYALQIQLYTVQKDNKKLVELYEKALRVKPGVAHPRIVGVIR ECGGKMHMMQGDWEQARNAFFEGFKNFDEAGEARRLQCLKYLVLANMLGESKINVFDS QEAKPYEQAKEIVAMTQLTDAFMNDDIKQFETVLNRHGHSIMSDGFIKHYVDNLLRTI RSKVVLKVIKPYQVVRLAYIASELNGIDRQEVEHILAALVLDGKVQGRIDQVNGLLVL RPHKSDEKLVGALNQWTHSLEKLRRQLHDKLLPEAA H257_16474 MGLHQRAKALGICVCLGFVAFSLGIVTTFLPNLYLTAASLWLVL FFGGSILPSCTGIFISATPVHLRSLASSVSVMVFNLLGYALAPALTGSFMELIHNNQD DPHSYWYECDEACMYRVGFRCCLAWSVWSLLAMLAAYIVAKRQAAAAIRTGEPPQHHI QRPVKAAMIMH H257_16475 MLTYYCIVAYPTIPAFPEVVKAVNAKVNPNDLKTLLTTFVNKFT TRNKASSQGLASSIWLFDQATALSNAHDRADITTNVTKYDHGWGQVSVIFRIDPVKPA VNNDLLILGAHQDTVNDYGGKAAPGADDDGSGTVTIFTALKYLLSSPQWVPTRPIEFH WYSAEEYDRVKRAGLQGSKQIATAYAKAKVDVYAMLQNDMTGWTRGGTKVISFTDDFT SLPLNKFLQACVNTYLTTKVSHNTCGYGCSDHASWFNAGYAAAFPFEEDGPVNPNIHS SKDTIATLDFDHMAEFTRLAVAFVVELSQAKTKHPTILDVADGARCD H257_16476 MGPYRVIKVVSNHLIEVQQLTPPEAISLQHVSRLRMYCEGGLEV DEDLKAQIAFGDEGSTSRPYKTYACTIRSGN H257_16477 MNDDEVTLELDFDHDALAKTPKRTPLWDDDGVAALFRLRYKSQL SARFYSKNNADKKTAYVMLAAELSVATEKEYSVTQVQDKFAKMKSAWALSKPSNAIKT GNTPSAPLPPHYDPFKDSLGRLLNSLRI H257_16478 MLGDARYEQWFHGNLRCDQAVLRRLVDLLRQRLHPNECQSSHSF EKKVAVKLYFLGSEGGYRETAAAFGMAKSWYITVVATMVDVLASQAKLWIRLPTSPRD WSRIERGFYKVQRFPGVVRAVDGTLIDIQRPREYDGFYNRSGDPSLNVQAMVDHRMVF LSVDIRPGSFSDKKIWKVSQLGQTIRRCIPTGSHVIGDSGYTLLPGLLTPYVPHEEGG RLSNTQKRFNYKLSSTRMVVECAFGRLKERFRILKTVKNERSLDRTVAITTCCFVLHN LFIHFNDGLFDAPC H257_16479 MSTINSPSNPVVQVDDVQSCHGNGQRQGNGGSLSGSESHSWIQD KQETRGLKTQFELLIKTQCEGEVSSMRNSGTSEDYTGRELPLTDIKARIDDFEETAAV RKDNVKRKVQSIENFWALMQRMAMWHLDAQGDEKD H257_16480 MLFSQKPVSCKLRHKQVGRAVVDHVFNNIRVKKIAVNDLDKAII YSVADARSKDVFESSMLTFRANLPRAYQYLVDIQPGSEGWVQYKINDLGFATFGTKTS SASEETTRGPGCCNTSYVAFE H257_16481 MLSRRLFLLRPLVRAPWASTSFFSTRKNYFEEYERSLASPESFW ADAAKDIHWFKPYSTVLDQSNSPFNKWFVDGEMNTCYSAVDEHVANGRGDQVAIHYDS PMTGTKIDITYNELLDQVSRFAGALAAEGVTKGDRVVIYMPMVPETAVAMLACARLGA IHSVVFGGFSAKELAIRIQDASPKVILAASCGLEPKGVIEYEALLKGALALSTHQPQR CVILQRSQCEYAIDSPRDVCWDAFVQHATPHACVPVLSTDPLYILYTSGTTGKPKGVV RDNGGHNVALKWVFQHVIGLRPGDTWWAASDFGWVVGHSFIVYGPLFLGCKTVIYEGK PVGTPDAGAFWRVIAEYNVRSMFTAPTALRAIRKEDPNALQVDKYASQMKKHLRSLFV AGERGDPATIEYFMNQLRIPVVDNWWQTEIGFPVCSQMMGMNQTRLDGRECPGIKLGS ASRPVPGFDVVLHNHDEPTEDPNEVKEGSILLRLPLPPGCFTTLYNNETEYVSKYMEE HPGFYTTGDAGFIDPDNYVFIMSRTDDIINVAGHRLSTGAIEEVVQRDDNVAECAVVG VADNLKGQVPIALVVLKSNASQSKAEVAAAIVRRVRQDIGAFVCLRDVVVVEHLPKTR SGKIVRRTIQTMADNKPFAVPATIENESVLDDILMALQSIGYAKHPHHLRHQQVAAAP H H257_16481 MLSRRLFLLRPLVRAPWASTSFFSTRKNYFEEYERSLASPESFW ADAAKDIHWFKPYSTVLDQSNSPFNKWFVDGEMNTCYSAVDEHVANGRGDQVAIHYDS PMTGTKIDITYNELLDQVSRFAGALAAEGVTKGDRVVIYMPMVPETAVAMLACARLGA IHSVVFGGFSAKELAIRIQDASPKVILAASCGLEPKGVIEYEALLKGALALSTHQPQR CVILQRSQCEYAIDSPRDVCWDAFVQHATPHACVPVLSTDPLYILYTSGTTGKPKGVV RDNGGHNVALKWVFQHVIGLRPGDTWWAASDFGWVVGHSFIVYGPLFLGCKTVIYEGK PVGTPDAGAFWRVIAEYNVRSMFTAPTALRAIRKEDPNALQVDKYASQMKKHLRSLFV AGERGDPATIEYFMNQLRIPVVDNWWQTEIGFPVCSQMMGMNQTRLDGRECPGIKLGS ASRPVPGFDVVLHNHDEPTEDPNEVKEGSILLRLPLPPGCFTTLYNNETEYVSKYMEE HPGFYTTGDAGFIDPDNYVFIMSRTDDIINVAGHRLSTGAIEEVVQRDDNVAECAVVR PVSHVGSIV H257_16481 MLSRRLFLLRPLVRAPWASTSFFSTRKNYFEEYERSLASPESFW ADAAKDIHWFKPYSTVLDQSNSPFNKWFVDGEMNTCYSAVDEHVANGRGDQVAIHYDS PMTGTKIDITYNELLDQVSRFAGALAAEGVTKGDRVVIYMPMVPETAVAMLACARLGA IHSVVFGGFSAKELAIRIQDASPKVILAASCGLEPKGVIEYEALLKGALALSTHQPQR CVILQRSQCEYAIDSPRDVCWDAFVQHATPHACVPVLSTDPLYILYTSGTTGKPKGVV RDNGGHNVALKWVFQHVIGLRPGDTWWAASDFGWVVGHSFIVYGPLFLGCKTVIYEGK PVGTPDAGAFWRVIAEYNVRSMFTAPTALRAIRKEDPNALQVDKYASQMKKHLRSLFV AGERGDPATIEYFMNQLRIPVVDNWWQTEIGFPVCSQMMGMNQTRLDGRECPGIKLGS ASRPVPGFDVVLHNHDEPTEDPNEVKEGSILLRLPLPPGCFTTLYNNETEYVSKYMEE HPGFYTTGDAGFIDPDNYVFVCTVTVETCNGQ H257_16481 MLSRRLFLLRPLVRAPWASTSFFSTRKNYFEEYERSLASPESFW ADAAKDIHWFKPYSTVLDQSNSPFNKWFVDGEMNTCYSAVDEHVANGRGDQVAIHYDS PMTGTKIDITYNELLDQVSRFAGALAAEGVTKGDRVVIYMPMVPETAVAMLACARLGA IHSVVFGGFSAKELAIRIQDASPKVILAASCGLEPKGVIEYEALLKGALALSTHQPQR CVILQRSQCEYAIDSPRDVCWDAFVQHATPHACVPVLSTDPLYILYTSGTTGKPKGVV RDNGGHNVALKWVFQHVIGLRPGDTWWAASDFGWVVGHSFIVYGPLFLGCKTVIYEGK PVGTPDAGAFWRVIAEYNVRSMFTAPTALRAIRKEDPNALQVDKYASQMKKHLRSLFV AGERGDPATIEYFMNQLRIPVVDNWWQTEIGFPVCSQMMGMNQTRLDGRECPGIKLGS ASRPVPGFDVVLHNHDEPTEDPNEVKEGSILLRLPLPPGCFTTLYNNETEYVSKYMEE HPGFYTTGDAGFIDPDNYVFVCTVTVETCNGQ H257_16481 MLSRRLFLLRPLVRAPWASTSFFSTRKNYFEEYERSLASPESFW ADAAKDIHWFKPYSTVLDQSNSPFNKWFVDGEMNTCYSAVDEHVANGRGDQVAIHYDS PMTGTKIDITYNELLDQVSRFAGALAAEGVTKGDRVVIYMPMVPETAVAMLACARLGA IHSVVFGGFSAKELAIRIQDASPKVILAASCGLEPKGVIEYEALLKGALALSTHQPQR CVILQRSQCEYAIDSPRDVCWDAFVQHATPHACVPVLSTDPLYILYTSGTTGKPKGVV RDNGGHNVALKWVFQHVIGLRPGDTWWAASDFGWVVGHSFIVYGPLFLGCKTVIYEGK PVGTPDAGAFWRVIAEYNVRSMFTAPTALRAIRKEDPNALQVDKYASQMKKHLRSLFV AGERGDPATIEYFMNQLRIPVVDNWWQTEIGFPVCSQMMGMNQTRLDGRECPGIKLGS ASRPVPGFDVVLHNHDEPTEDPNEVKEGSILLRLPLPPGG H257_16481 MLSRRLFLLRPLVRAPWASTSFFSTRKNYFEEYERSLASPESFW ADAAKDIHWFKPYSTVLDQSNSPFNKWFVDGEMNTCYSAVDEHVANGRGDQVAIHYDS PMTGTKIDITYNELLDQVSRFAGALAAEGVTKGDRVVIYMPMVPETAVAMLACARLGA IHSVVFGGFSAKELAIRIQDASPKVILAASCGLEPKGVIEYEALLKGALALSTHQPQR CVILQRSQCEYAIDSPRDVCWDAFVQHATPHACVPVLSTDPLYILYTSGTTGKPKGVV RDNGGHNVALKWVFQHVIGLRPGDTWWAASDFGWVVGHSFIVYGPLFLGCKTVIYEGK PVGTPDAGAFWRVIAEYNVRSMFTAPTALRAIRKEDPNALQVDKYASQMKKHLRSLFV AGERGDPATIEYFMNQLRIPVVDNWWQTEIGFPVCSQMMGMNQTRLDGRECPGIKLGS ASRPVPGFDVVLHNHDEPTEDPNEVKEGSILLRLPLPPGG H257_16481 MLSRRLFLLRPLVRAPWASTSFFSTRKNYFEEYERSLASPESFW ADAAKDIHWFKPYSTVLDQSNSPFNKWFVDGEMNTCYSAVDEHVANGRGDQVAIHYDS PMTGTKIDITYNELLDQVSRFAGALAAEGVTKGDRVVIYMPMVPETAVAMLACARLGA IHSVVFGGFSAKELAIRIQDASPKVILAASCGLEPKGVIEYEALLKGALALSTHQPQR CVILQRSQCEYAIDSPRDVCWDAFVQHATPHACVPVLSTDPLYILYTSGTTGKPKGVV RDNGGHNVALKWVFQHVIGLRPGDTWWAASDFGWVVGHSFIVYGPLFLGCKTVIYEGK PVGTPDAGAFWRVIAEYNVRSMFTAPTALRAIRKEDPNALQVDKYASQMKKHLRSLFV AGERGDPATIEYFMNQLRIPVVDNWWQTEIGFPVCSQMMGMNQTRLDGRECPGIKLGS ASRPVPGFDVVLHNHDEPTEDPNEVKEGSILLRLPLPPGG H257_16481 MLSRRLFLLRPLVRAPWASTSFFSTRKNYFEEYERSLASPESFW ADAAKDIHWFKPYSTVLDQSNSPFNKWFVDGEMNTCYSAVDEHVANGRGDQVAIHYDS PMTGTKIDITYNELLDQVSRFAGALAAEGVTKGDRVVIYMPMVPETAVAMLACARLGA IHSVVFGGFSAKELAIRIQDASPKVILAASCGLEPKGVIEYEALLKGALALSTHQPQR CVILQRSQCEYAIDSPRDVCWDAFVQHATPHACVPVLSTDPLYILYTSGTTGKPKGVV RDNGGHNVALKWVFQHVIGLRPGDTWWAASDFGWVVGHSFIVYGPLFLGCKTVIYEGK PVGTPDAGAFWRVIAEYNVRSMFTAPTALRAIRKEDPNALQVDKYASQMKKHLRSLFV AGERGDPATIEYFMNQLRIPVVDNWWQTEIGFPVCSQMMGMNQTRLDGRECPGIKLGS ASRPVPGFDVVLHNHDEPTEDPNEVKEGSILLRLPLPPGG H257_16482 MSNRVTVGSKKLSLEEFSSVAFVGAKVALEPLAVVDLHKDDAAR VVALPSASSPGDGPFLSPALGRAFVLSRVNFIVKHTLLRSNAILETLDFLVALLNADL IPQFPAPTTKLVDVDAASIALLDALKGHGKATLKSKNVVSLSEGLASVGLSLPVALSS IEQATLTQGGGSLQAVVASIVSGAKGLTPISDAVAALTCEALQASPSPFQEAHDSTRP HRGMLTVATHLRVMLDNSKYAVASDKVDSLVIRSIPQYHGPARDAIAAAAKVVETDLN ATGSALGLSSFHPELLKQSLLSLHSAVEALFDGSRGRVALLPTSSAAAAVAVESLASP AATFALVEATTTQLTGEVRAAKSILAAQEASQGGGGVKGVDSAKAAEVAAKQAAAEAK EDEALAAMSEAQRAKVLEKRRLKADKLKEKAAKKAAKDSLGAGVGSSAFRAFLTASQD YLNPFDFRVQGAVVFLEDLFAKLSGLSGGEKRRPKIAKGAQDFLPPQMHLREQIFHKV RAVFKRHGGVEIETPVFELKETLTGKYGEDSKLIYDLADQGGELLALRYDLTVPFARF MALHNPGNMKRYAIARVYRRDNPQIARGRFREFYQCDFDIAGVYPTMLADAEVISIGI EVLQQFPELGPFKIKLSHRKLLDAILELCGVPADKLRTTCSAIDKLDKEPWDVVRAEM VDEKDIAPEVADRIQGFVTQVGSPKALYAQLIADKRFGDHPAAAEAMKELGLLWSYLE AMGVLDYVSFDLSLARGLDYYTGLIYEFVLTGESATQVGSIAAGGRYDNLVGMFSATN AQIPCIGVSLGIERIFGMLQKHAEQRDGLRTPVSQVLVTQTSAGLLVPRLEVCQQLWA AHIAAEILPSENPKFVKQLQHALDAGIPYIVVLGEDERANHQVKVKVLATKEEVTIDE KDLVQTLHSFGVATTNVVRWD H257_16483 MSGISIGASFGGGAKREESLKPMTSMAMHRVNFPDDIDDETANA MIPHIVGHRGAKITAAGVTSNCTLKLKVAKAGSKSYLSVAGQNVLRANHGARLAQQLI DEALQLVLRKQGLASMAYNQAGATSRPLPPPSQRPPAPQPRNTLPSVPIVQTPLPKTQ PTPTPIHRYNTHDAFLHDGAPSSSDRGKPTAVPPNPSRKPSVAACKPPPTRVPVAAPA TLPPTAAPSKGSIARSKPKAIATTAQEPTPPPKKRRRQQEHHVIEIDLDTPTTPPRTG HTTNPSPAAQPAQAQVLGDVSDNAPQEVQIVYSKRGRTKVNAPTPTTTPAPATATKDD DATKPSSDLVRRRPNPPSTPTVTNHVQVTSPPLATPDSLSRHAHAPRSTGPLASDSTP PPWSLVTWTSALQANLGDVATHGSAHTSLKQQFRAAKRRWRLADTRVRHLLAIQSLAA HVALPDTDWTGAATTDLRQLHRRMLRERMGADHPRLMVLPEVLRLAVPPPAPQFCNRL IRLQQQLPPHPFECFDALPDMSALSKAKLQRFMQPNADLEESCRVHEELVESAASVAD IHEFGVHVVHVTHVLRSIVRHRAAAKEWLYRGYQHAVPAASDGPTAWQDSFHEWRDVV VDAVLPSALPRECHPLVQRTCDWYPYLLPSVLQWTRVMQTRSVETKHEEVPPLHDMVL SLLLSCKMPFPKLIEHHKLLQHHVKAFLVALAKQQVVEWAQLLVEHPDLRDLVVNENE SVDEVGHVHLLPGFESPPHQLFDDDIPPCQTLSALAALAHQQARLSKRS H257_16484 MSVIGIDFGNVECVVAQAKRGGIDIILNENSNRKNPNMVCMAGK QRYIGEAAVSMARTNYKNTATDVKRLIGRKFSAPDVQEEIQNYGFKCVELPSGQVGIV LNYNDNPVTYSCEQVVAMMLNKLQNIAAVANDGVNPAYGVLSCPGYFTDVQRRAMLNA SKIAGLNCLRLMNEHTAVALGYGIYKSVRNLFHETNPEHVMFIDMGHSGYTVSIVNFV QGKLQVKAVAYDRFLGGRNFDFALAKDVAEKFEAKHKKNPLADAKSRLKLLSACEKTK KNLSPQGVTSSVLNIECLVDEIDYASKVSLDEFETLIAPLLQRLEGPIQSALADAGLT ADKLSCVEIVGGGVRVASVKRRLAEILGLDKEKPNLGLSTTLNADEAVARGCALQCAI LSPLFKVKDFSISDINNYPIRVSWEGAASADDDTNEDETDGAVQTPANANSILILTRK DDFPTTKRITFRRSEALVVEANYDETATPFLPPSPYTNLGKFTISGMSAGENGEAPRV RVNVRQDIHGLFEVASGQMMVEIKEEETKEAEAKEGEEKKEEGPKKKRFRKVDLKVES QVNGLTAADVNRASEEELTMAQQDRVIEETANKRNELETFVYDNRNHLSDKYSEFVST SDRDAFDAKLNDMEDWLYSDEGFDSTKSVFQTKLDELRALLKPVDVRYQDHQDRPEAQ AELKAVIEEYKKLANSTDDAYSHWTDDESNKLRDVSTKAETWLFDQLNAQANVPLTQD PVVTADQIRKKIVETRALALPIITKPKPLPKVEPPAAPVTSNDEDTKEGDKMDLD H257_16485 MALKPFGKFEEHSGSLPWSIFPRKRLDITYSDIMSAIASCFSLK ETDRDTLATDLETVFDPQGRALACLSVRTGFDLFLQAMAFPKGSEIICSSITIPDMLK VIHHHGLVAVPVDLDENTLAMQMDVLERSVTANTKCILVAHVFGTLNNLDTVSAFAKA HHILLLEDCAQAYCGPAYTGHRATDVALFSFGTIKTSTAFGGGMLRVSDRAILRKMRS INNSYEPRSRKFFLHRLLKYSVLHSLTTPAMFGLFFHVVSKLGYSADEVITSQIRGFA GDLISGIRQRPSMPLLFLLRRKLTTFDMAYVAKRKTKSEELEQLLRGIPHTSVPGVLA KNHYYWLFPVLVPHPRHVAAAMIQDGFDVTAGATQLAFVPHPTDASFDPVISKKVMQS LVYLPVTAEMPRWALEKMAKSLAAAVASTPSKL H257_16485 MALKPFGKFEEHSGSLPWSIFPRKRLDITYSDIMSAIASCFSLK ETDRDTLATDLETVFDPQGRALACLSVRTGFDLFLQAMAFPKGSEIICSSITIPDMLK VIHHHGLVAVPVDLDENTLAMQMDVLERSVTANTKCILVAHVFGTLNNLDTVSAFAKA HHILLLEDCAQAYCGPAYTGHRATDVALFSFGTIKTSTAFGGGMLRVSDRAILRKMRS INNSYEPRSRKFFLHRLLKYSVLHSLTTPAMFGLFFHVVSKLGYSADEVITSQIRGFA GDLISGIRQRPSMPLLFLLRRKLTTFDMAYVAKRKTKSEELEQLLRGIPHTSVPGVLA KNHYYWLFPVLVPHPRHVAAAMIQDGFDVTAGATQLAFVPQYDA H257_16486 MIDVEHEATDSEDAAAPDDYGTSRGFFSFASQLGRQLTQDAMDL STSAVETAKYLAVESTKVVEKASLMAQESLAEAFEASEETHLDMILDLPWVDAEGCTH DEVKDGVFSISRTKANFHRLSLPTLEPIFDIDRFVQIAPALLALDDQLRQRHFELSYK LAEDVFWGNYFYHCHVLRVEHGLSPYMPIDGRVVPMAIPDTNQAADDDASALHVDEEE YGGVADDVADGRVEAAGPITTTQDDQKPPTTSTFSTMKSNLLHRSVQAKQKMQTIKRK IHFPSPEKIKDMQSSLLRRKSSVTESLERMSIPTMSSFRRSPSSFLRSRSKSGASEPE DVDTDRLSELGTPPSATSECSHEFDD H257_16486 MIDVEHEATDSEDAAAPDDYGTSRGFFSFASQLGRQLTQDAMDL STSAVETAKYLAVESTKVVEKASLMAQESLAEAFEASEETHLDMILDLPWVDAEGCTH DEVKDGVFSISRTKANFHRLSLPTLEPIFDIDRFVQIAPALLALDDQLRQRHFELSYK LAEDVFWGNYFYHCHVLRVEHGLSPYMPIDGRVVPMAIPDTNQAADDDASALHVDEEE YGGVADDVADGRVEAAGPITTTQDDQKPPTTSTFSTMKSNLLHRSVQAKQKMQTIKRK IHFPSPEKIKDMQSSLLRRKSSVTESLERMSIPTMSSFRRSPSSFLRSRSKS H257_16487 MMAGGDESSAAIEIEADVKSVDDGVVDFAVWGRAEIESELAELD DSNERINAVADLFLMHNQDDESHAEDLCALWMANLRDSDTHDRIPFLYVANHVLFKSF KAKSTSFQKALLPLLPEAVSLVSNSPADRDTVLKILRLWYDQTLYPLDAIRVMWKETG EPLPVAWQEKHTRKAEPASEHVEQVNELEPDLPMGLKARAAHPVVDCLKQIDHAKRVV EYLEGLLQAQHKDVMKMATSKYFVTAAEIEAKESPIHLRDRMNHAMQVLHIRNKYMQK LMMLQTKLRETAQAQLVDESAALERIEAKFEQCDNIDVGLADLADHRRKYPDEWVAEA ENARERKRQREVETKRMEEEAALRQKEALVANAKIVSLLEEEVAQARAMDVQTALLQQ MAEEKDPTKEYVWHPVLRELVPLQSLNQQSEDWRDH H257_16487 MMAGGDESSAAIEIEADVKSVDDGVVDFAVWGRAEIESELAELD DSNERINAVADLFLMHNQDDESHAEDLCALWMANLRDSDTHDRIPFLYVANHVLFKSF KAKSTSFQKALLPLLPEAVSLVSNSPADRDTVLKILRLWYDQTLYPLDAIRVMWKETG EPLPVAWQEKHTRKAEPASEHVEQVNELEPDLPMGLKARAAHPVVDCLKQIDHAKRVV EYLEGLLQAQHKDVMKMATSKYFVTAAEIEAKESPIHLRDRMNHAMQVLHIRNKYMQK LMMLQTKLRETAQAQLVDESAALERIEAKFEQCDNIDVGLADLADHRRKYPDEVVASS KSHVNGYHVDVDDAYTCTSG H257_16488 MQKDSLYLRFAAGAVASASAEMATLPVDITKVRLQAQGMASTSG GTTSASVVKYTGMLDAVRRIAIDEEGPLALWKGAQPAVARQFVYSSMCMVLYEPFRDA ITLFRGNNNDGASGMSFAQKLMAGGLAGAFSVSIANPVDVIKVRMQADRSGVLYSGIT DAVAKIHQQEGFRGFLKGVGPNVTRGFIVNAAELGVYDQVKTSLISHGIVEEGGIGAT FGASLFAGLAGAAASNPVDVLKTRLMTQPAGAAALYTGMFSCARRTFQEEGVKAFYKG FIPNWMRKAPWCVVFFVTYEQCRAMMAAQDATAVTFVLNSNQKKV H257_16489 MSSGTTIQSMQSPLKRSAGATFAVATTTVVLDTHEKKQVELEPN DAEDVDDETFLTLQRLLQTFNSVRPPIQSEAERRRAQREDQRRSLFEGFTDRSHRMTN RSAAERRIRSRVWSTTCSLPPLDSITRDRWTSTADESADKDELYALIHPAVLRSSFRP PTCHPKLEVVLTSDHKALRASLLPRRVSSPLPSSQGAKSRNMLLLKPPKSTWYLKGKN IKDNIVT H257_16490 MDTPAEHDTSETVADTDGCQHGLQRTAVSACGSPDRPTVQPIQR PQHHRRNGACCHPAHTLLFPIQHWLPSPTDTAWTHRLDMTPTDVNTDYRIPLYSTISH WPGAHMPIPYSIHGISNVDRGHGTAKKFAIYNILVNLTLQPPTAHRNYRSLSTRPPTI SSTLYNLLDCDSPHANLSTTLGVSSPLRLTRRNHNSKPVGFDKSQCTPHTNEGPTD H257_16491 MCPLWRFPFLPGRAHWGAAPRLANTLTNPAATDPGHRQLAAGNQ RAMSAGSLRVATYMQEHPDDAAEIRDELKFWNQVMDHQVPMTKERVEATLPLLTALEW GPLFTNTSPIMFCPLGLDPLDVRCSFPTVDPSSHLHAARAADEHRPRQPVNLVRPDPK PDF H257_16492 MTSCGRLKCMVDGCGVVVWYTYFKVHMTKDHPEIPQYRNIRKRY GRQVDANDKVLEDEGVADLHPDDADEDYAFSPPTTPVSLPSSTPVTPFEFIRSFK H257_16493 MLVRFKLKRTCASHRFNLFVGDVLAEHEELLVAVNTIMKKLTNI IPSAKLRRLTDLRPKQRNQTRWNSSVAMLDRYVKLKPFLPLMGVEEIDNLLLSVRQER DIDVLLAKLIDLNSVTLELQDEAITLADVRGLFDEVVGEFPSANERLRPGASIIQDPH FEAGVVKVLMHMSPSLTDQERLSIARLAVTAGMGDDDRMSDADCMSMASRAKKRRKMQ QSCSGFMDCRFLRPTSNMCERLFSVTKWALTDRRQSMLPSNFEEQMFLHCNAFLWGID DVKSVMEGVAQDE H257_16494 MRTRVALLLFDVAERQPLLLTRLRVILRGRAAAAERLTIPDASF TLSCYNDADCLDKFRSNKTDIGRLVVGLALPDVDCRERTARTKIEAVCVLLRRCAVPD RLACMHHNKVGVQVWRTSAHFDDATIAEWCGAGTGEQPVTLRRETGLEDGVFVGTVIA ATSSFV H257_16495 MAPTELDGRRTGRPNTKQIKRMPKKHKNLYHTYEKKLHIINWRK EHSMESVIDTFFPGVAGDKRTTARTRDMHTPRVQGNGCGVGTRLSSDQFKASPSWMKG FMMRWGLAIRAETRSGQANVADGEKALAEFKTSIRKVIKDNRIVEVFNADQTGINYEY LPKQTMDKKGAKTVWIKVSGHDKDRVTAMPLADSKGTKYPEE H257_16496 MGAGASVDVVTKEQCQSLYGDLFNDAEWAKQAVDGVVTRDKLTT AFSALTDAFLTHDWGTDGTTHKNVSVINTLLKARGITTWFDEEKMEGNVKKQMIHGID NARAIVVFVTQRYIDKVGGNNAEDNCQLEFNYAARRKTASKMIPVLIDPSPTLKNTAN WTGEVGFVLGGHLYLDLSSAFGNDALLASRIDELVTKIVSVAGTPLSQRFQSAHHDAV PPPTGGPSTPRTASATISTVPLASLTQHQVGTLLNNLTCSKYSAVFVENEITGEVLCG VESADEVKELGVTLAPKARLLFDKLSEFKASGVPSHLLKATEPSPPALVSTPPHKSFE ELKAAILPSNWVQLYKYPLESNGANILSAHKLTWPTPACSVSVLGGLVTTGDYADMMK DNWEFYRLEALASRLDKHEFLLTFKIKVARGDSTPLCAGYNPWFAVDITADMCLIIQC NREAQGFVVQLNGNPWLMPIDTWLDVAVLMDVPHSVIQVVINTDRMDTIPLPPAFTFT TRDMPTEGNEFYLLRRDTMTKLFSGHLRKIELYSTLPLTRPQPMIQGSDPTSHVRHLS QLAEWTNGLNCLCNYVDMKVNVVDSVDGTAMLLPQGSFIHPELGTYFDGDYYGEAYNQ SAMTRAGGNFGLHLNNYDFVFATRVATMGPCYVMNMGSSHRYFVVQITIQRNLVVLLN CHSVSHDVKEKGVILTLEHNTWHDIAVKMQGKAIHVAVDGRAMDTIALTDDFEFTAGP GEDTKLLLVNFSCGGCFYGYMAFIALWSSDCPVEVDDNNTVLHL H257_16497 MPLPTTTAPPTNPHVYLQNLVELTDDEYTPPPPPAFDEDLRTFR RPEALEYGRQIKSTLFTLDPAVNFLNHGSYGSCSKPVMAVREAYLRRQEFEPIKFMED LGPRLARVTRIVAKYVHAEPRQIALVPNASAGTTSVLRSFPFPKDSVIVSFNLEYVPV TYQMALTGLKQHVIDLSPPFSAAGVLKAFREALDNTDTIGMVVVDHITSTSGLVLPVK DIIHMCKTRGIPVLVDGAHAIGQIPLNLTDLQPDFYVSNFHKWMLSPKSAAFLYIREP SKYTIRPTVISHGFGHGTFAEFNLIGTMDYSASLAVPASLAFHDAMGGTALMARNHDV CVTAALKLAETWQTTLLTDEVDTMIGSICVVELPPALFVGHSDDVDVALETLRLVLRT HYRIEAKTAHVGGIPGLRISTQMYNEAADYDDLGAAVLDILTRDAADLTV H257_16497 MPLPTTTAPPTNPHVYLQNLVELTDDEYTPPPPPAFDEDLRTFR RPEALEYGRQIKSTLFTLDPAVNFLNHGSYGSCSKPVMAVREAYLRRQEFEPIKFMED LGPRLARVTRIVAKYVHAEPRQIALVPNASAGTTSVLRSFPFPKDSVIVSFNLEYVPV TYQMALTGLKQHVIDLSPPFSAAGVLKAFREALDNTDTIGMVVVDHITSTSGLVLPVK DIIHMCKTRGIPVLVDGAHAIGQIPLNLTDLQPDFYVSNFHKWMLSPKSAAFLYIREP SKYTIRPTVISHGFGHGTFAEFNLIGTMDYSASLAVPASLAFHDAMGGTALMARNHDV CVTAALKLAETWQTTLLTDEVDTMIGSICVVELPPALFVGHSDDVDVALETLRLVLRT HYRIEAKTAHVGGIPGLRISTQMYNEAADYDDLGAAVLDILTRDAADLTV H257_16497 MPLPTTTAPPTNPHVYLQNLVELTDDEYTPPPPPAFDEDLRTFR RPEALEYGRQIKSTLFTLDPAVNFLNHGSYGSCSKPVMAVREAYLRRQEFEPIKFMED LGPRLARVTRIVAKYVHAEPRQIALVPNASAGTTSVLRSFPFPKDSVIVSFNLEYVPV TYQMALTGLKQHVIDLSPPFSAAGVLKAFREALDNTDTIGMVVVDHITSTSGLVLPVK DIIHMCKTRGIPVLVDGAHAIGQIPLNLTDLQPDFYVSNFHKWMLSPKSAAFLYIREP SKYTIRPTVISHGFGHGTFAEFNLIGTNDCRCIRIWCRHLSVTR H257_16497 MPLPTTTAPPTNPHVYLQNLVELTDDEYTPPPPPAFDEDLRTFR RPEALEYGRQIKSTLFTLDPAVNFLNHGSYGSCSKPVMAVREAYLRRQEFEPIKFMED LGPRLARVTRIVAKYVHAEPRQIALVPNASAGTTSVLRSFPFPKDSVIVSFNLEYVPV TYQMALTGLKQHVIDLSPPFSAAGVLKAFREALDNTDTIGMVVVDHITSTSGLVLPVK DIIHMCKTRGIPVLVDGAHAIGQIPLNLTDLQPDFYVSNFHKWMLSPKSAAFLYIREP SKYTIRPTVISHGFGHGTFAEFNLIGTNDCRCIRIWCRHLSVTR H257_16498 MAIVMEALPTEVLLQLLAFMPPRELRLLAVVSRTFHSLLKKHDE VWKRVFVAKWRRANFEIAPTDVLELSPHLERQYPCASDAYRFLCHALRPVPTHMNMDY HKAYQHDDPMHYMLQPLPHPSSFLLSHFQLAPCDERFDVSYGRSVRANAPYHLEPVVT VHKHNEMTWRVDVSSTVYFEMTISSTPPAPPAQPRHEDSWDIISIGLAPPRSVVLENH AGWESFSYGYHGEEGVYMNEPDVVDLETFGYGDTVGCGLQYADNNQAWIFFTKNGRRV GDFGCCRAVPLFPTVGMNVTVPLQVNFGHERFQYNVYDEAVSCFEVQAAVAWMRRQSN WDGRSDAGGGYDDDDSDDGDCDDWFSEDFYYDSEDSGDYDDSDDQANTGDDPRQLLAH IRRLHVPEGGISGFAYSGPMEPPAYDDNDDDMPGLVSDSDDVAEMPDVASDEDASTPR FHWRRDGQTGQEGIVD H257_16498 MAIVMEALPTEVLLQLLAFMPPRELRLLAVVSRTFHSLLKKHDE VWKRVFVAKWRRANFEIAPTDVLELSPHLERQYPCASDAYRFLCHALRPVPTHMNMDY HKAYQHDDPMHYMLQPLPHPSSFLLSHFQLAPCDERFDVSYGRSVRANAPYHLEPVVT VHKHNEMTWRVDVSSTVYFEMTISSTPPAPPAQPRHEDSWDIISIGLAPPRSVVLENH AGWESFSYGYHGEEGVYMNEPDVVDLETFGYGDTVGCGLQYADNNQAWIFFTKNGRRV GDFGCCRAVPLFPTVGMNVTVPLQVNFGHERFQYNVYGIFQLSNPVHAGENEYFYY H257_16499 MGFADGEFLGVGARRCADGSAIVGGAADESAFAGYNPPSGHQRD GQLTAAERQAVADYRAGARAVAAPQQVVVPMSRAATAGVSGEEEISEAVEEEEPPWDP EDRLLSLHRS H257_16500 MEKASAGHTPPHTARSSGAGLAAPAARMSHIVTPTFAAHIDQVA AQIQLLLELPSPTPPTHVDPDPNPDLVDQSGARGASIGTSSAGHSPASNLEYTFRGLF EALSVSAVSNQALLDRLDRTVRIEAALRVVLARLLPNGPHTMPAALRRINEEVESLGD ALKQAREEREAEMALREKAEQERDQANTERDQLEECVRGLRTELRTCKRRAVNLSTYL EQALAEKERISDDLGFTQQRLSVQLAATMSEKRRVWKLQTAVVHGEGEEVVTRRNART TPPLRPWREGAASLAFLNPKRADTEIDNRRQAQETETEALAGKNQAASSALARVGTQE RCMAPVAPVAAPAARVQAAQPPEVVALLGKVQGLRTRLREAQDEAKKYKDLATRVENA IQAQLSSMADQVRELDRQQRSHEEEVAEVEERYRVEVAGHREASRALATATQRFPDVV PAFWDWVSAHFRVTSGPVFDRLLEAWVRDDPALFEDNCENLSIFAFPTRQPPSTRARG RILGVSYDNWSAVLARDQRSHSLAVPTLPTPTSLSGPASYQGSPGPTRTMTLVPTKSG SSMTPGTTGTVSADAASSLSSTSGASEGASRAVQTTRASGMASPGP H257_16501 MSTPSSKRPSPGASTQLTKKARRSDPDAAGPLGASLPPPVDSAY AAVVARSPWVRYSTTQKYAHSIWNRSFMTSSGAAEREIEALMGPLLGIDGSLFRVVQA YGVQLLRFLCYPHSYWPEFLQERVSLRNLITLHGGQAVADYVQTQGPEWWPVVSFLGR SKPFAPSFMSVLSWLHGRTLRAKLLNPAVCTRAANSSAFGWMIQEAISARDAGNVGGV YPFIVGSHSHPPVGSEWARGLRPPQGLTPGSPDPPLPVRQAPLGIPTSTAPASAANPV VLDDSSEGSRTDAD H257_16502 MEDTSPGVVRDSTTIEPWNAPGLGDDTKNGMASRPPQTKGIPGS AYKDMQEEGRIQERPEDRLQTEARMLPPSDKRHGPSAQPRPVPLPEDSTVSAGEGETI GAPGAKATLQQQTDVARGGDEAPGRNKTIGETSGTTGVYTGPSAGDDSRRQTDGSEDK EEKGMYAEPSKEEKSIKTNGETSGTTGVYTGPSAGDDSRRQTVGSEDKEEKGMYAEPS KEESSCRQTEPNTRDASALPDGDFLAPRGAASVLSRTQPRVRGTLATFPEETDNAREP GDPSRDSTNPGDLRPDSDITPVFTAAQIEAISRGDMTGLPDSRHVDIEERLYPLTPAD LELQLKALRSQRRTPPGDHPGSPGGYRTPRLLCGWPGSPKETGLDGGSYPTALTHLEG TSSNSDLSATLPRVRNRRTRPGSAVIDPDIPPLLHESEAPDRRHDPSVQEDSTRLEVA GLEVRETIRCMDWPFQGLDESGFTALLHSRTRDPYPLCGVMTGSLAPLVLATIDGHIT QALVDTGALVTVISQEFWMLLGRPPLRTPSYGLVSAANAGISTLGFRHCSVTLAGIST TFPVWVLEDSVTPCILGVNLLRTLHALVDLGRDQVCFEGNRVTLPFITPGEHDLPRQD RTVAHLRRKDENTPGAPLQRGQTRVEDRPTLP H257_16503 MPPRTTPFQSKHCLEFGLEIVSRDQHGNPMVRCNFCTFEGRDKV KITEGGTRKRKSRVDVKYFTKPFTPLNYRSHLNGQHKESWDAYQQMSTSAKKEYFNDK ISSTNTLHVHMDLTSDSIEYTIKAPIVDTIIGGLFFNAEAIQEEDCDDAGEDAASAAS KKINKLAKQKNNAMLLFKQGERASNGAASYTVVIKNTMRYQLAIDHVDGACNRARQKS GQSTGPKLAGINDLIVGQYVRVQVAVALQRIGDMLNNDKQVWAFSLAGDGSTHRGQSF FDLRLRLYWHGRLLNLHLVALPMFDRHTAENMFNMIVKLLDALFPKWRAKLIGVSSDG ENTMTGRHRGLVTRLVAAAEYNVMRVWCAPHQIDIIAKECADRIDGGTWIKFAYSYTV YLRAQFNLIIEMGVKCPQEDEPLGASRKRA H257_16504 MTHTEEDRPDMLPTDAWWTVTYAIAPAIDAINIAFAQLQNRSLL MAQQESHIMALVATISTMFDLELIDPDDAVAEEHDNFVRFETMRIRTDHLIILIEDQG SMARDCFLRLDVADIATVLNQIVAYAETLVIELQGVCAERDDSNLPREKDAPQVLPGQ LVGLRPAHFIRNVLDQHRERILLFWCDVDIDEVEENHRQLVAAYKNNPILRRTIDEHD NSATFDDAWDISPHQWLHLRAFCGGLATTFPNTTSVESDFSILKWEMDPNRTDLMHLS LEGIFQAKQRVVLQ H257_16505 MGQLIKTAQKPFDNIQSWFGEMKSLKNLINAQSRQHLGRDSVTD DLIIYMALNELPSQFYGQKKKHAILTMGGQTPRPDIPAFSKATPKSRKCFYCEGKYNV NGVDHMKWDCPKRQDDFRRDRARISIFEEPRRIEDAVPKGRDVRTEVACGAVVTREEV ALPASPPSRQEFDLPDMSMDDMFSCPDDSDMLSYSLALAVDTTSTPRVETMAASMRDL SAKMDQS H257_16506 MLPDALLTNQWRCDLRVVLGVASPPSGSERPSMVVAMAKSLAWD YLMVKQTFLSDLSNEHLCVFTNPMVLMRTPAKRYSLRVVGSLRKYYLGITFTQYCWVY FTRSFEVAHTAKRQTLCQYAGGINSSIYMSAIQATIFDALYKSESSRAWVFQALYDHQ WGSVANDVAVWQAHGLTSFDSFGHNDACQVQKPFKVKLQPNSILFAFLARPPRRSCTA TIQFTQGQFRAFLHPAMNAIEIEAAVVAFDPSRRSLYTVAILEGEATWITFVLHDLFV PFISTNEMSLLHVDHVGGADSIRSSSLDAMCQSGNVQIGSPGQLGTLLTIQCTLCRYF VVGYIWLLRLTSSSPLADAPSLLLPATAVAQMPSLLWMIYHPLRHERGSYTFASTIFQ QPTKGDDAPLENESTVRRRRRLRLRANFQTITYGHRLTYVANWYNNNLQMTTALSLQL TSRAFGDLSLPYNSSEPPMLMAPLYVSSIRINTLTNVVQSLRRMDGCALPWNHSPYCY VDFNRRWEMAATSARQEYLGAPVVSGFDSMPSAWDTYDVVAGNTLCGVGKGNLQTGRC FRSFRLKGRVAQRGAFAPTACGVDHARSIPYQSHDSYRLFTRSYTPRYASKSSSAAWE VAIMWTFVLPATHRVSIHRVCEVAALDFRSCAMPKPCTYIGQFSRFVGLIAAAVAMTM LCYPFERL H257_16507 MKLTLLASTLAASLCAGEVLVSLPVNVDGNLKNLQLLRGETFER AALSFMELNGLVADGVESQRSQDVIAQLASMLREKVTEQQPAPPKEIVVTVPLTIDGV ETSLTLFRDEPISDAVSRFLRDAALTEEFKLEAAPQLLQVLANKVAELNAPAQEPQFS FDISIDGQSAVVQHFQGADPLVEAREFAARVGVTDETFLGQLLPTVAKEIQKRIDELT QPQTTTSQTELFSVPLTVNNQAVVLVHYEGWTPTQSALSFLYQNGITDPNTVNEYLPQ LVEVLNNQVRQLADAQAAAVPQQATAAGATRSPLLSVPITIGDQVHQLTYYQGDSLDL TAQLFLETHGLTNNPNYAAFVTELSLTLRKGIQELDQQMAANTSPKEPLFQLPITLGG ATYDLAYYDHENPGAVATEFCTSKIPALTASFGRTVTDEEVQQCKVYIFQTITRVVDE LAAQSVQSPVQVPVEKAPLLFTLDIDLGEGRNAALPFHDGDNAVDVANQFCERNNVDV ENVPMLVEEIRKQVAKV H257_16508 MADSVKVNLQAPEATTYGATTVSSKSLDGGSWARRAGTLHVVDI RKWHYAYMAILFVDFIAQMAFLSFVSEELGHFEFYLRLTWAISASCMVLYVLDMGLRL LSLRGAVLQSFAAILDFVVVVALLVCLYFRYYRLHGVFWWTMASFILTALHIVVKPKT RVFSKKFHKFRAGFEKIHISVGSVRASLYKIPGMAVDDILTLERKLTQIAGNADGDIS RPKLMTFLELALVYRPKECSVSEFLSYLRDLDASTYSYGAVDVVTSTLRHWSGQTCDL LMVVVVVCVNASINPVQALLLNLLADQAFLPSSKMDQDTALVYGVAGLLALCIPFALG DAMIGYFQSKMISKATAQLQGDVLHTILSQPTSFFATRSDGDLNNLFGSDLARVNGLW QAVFWNLINPLVSILFGFGYLLYAQPPLGLLAFAFAFVLVTSGPQGYAAKQSKAFGSQ NAYVASDFANAIACQKVVRAYALQPTLLSKFRSVVDTLKSAQFRKDFWSGIVQIYVES AMYVFVAVMTASLAIQVRQGKLTSGQFFAFVTMLARVSSPVTILGGFMRVAIGNASSL QRLDLLLALLPSSTSSSSSLGTAMPPMETSVSFENLTFQYKKGGANVLNQLNATIPKG AYTCLVGPSGCGKSSLLSCLMQFNNITSGTIAIDNVDIQAYSKESVMAQIAVVFQDGG ILNGSVLDNIRYGNENASTDECIEAAKWAEADKFVAKLPQGYATIMGQHATCNMSGGQ VQRICLARALVRKPSLLLLDEATSALDPETEASIVRTLETLARNFHMTILSVTHRLST ARNADLILVLDKGAVAEIGTYDSLVDSPDSLFSEMVSQSTSSDQKLPATSSRGAKLVG AEASKHAAELETFQRHLDKQAKVQGTILTTFQHSTAGSKRAVVAHDDEPLLQDDQGNS TTHAVL H257_16509 MVASDDGSLEQFTLLAKTARGRACVALIQQVLSNKKLFVFGELL DMPNVKALAESDQTDQQGHARLLAIFAHGRYLDYVRQKEDATFHIPDLNAAQALKLRK LTVVSLCQQHKSVPYRILMRELQISSVRDVEDIVIDTIYSGLVEGKLDQKNEVFESSY SVGRDVQPSDIDDMILRLTQWQNNAKQACLHIDQVLSHATAVEAEKAERDLSVKNTVA AVRLQAKDRHLPGSDVDPELIYGDGHGGSYHRPISGPGANGKRRMGSAATRKVPRN H257_16509 MVASDDGSLEQFTLLAKTARGRACVALIQQVLSNKKLFVFGELL DMPNVKALAESDQTDQQGHARLLAIFAHGRYLDYVRQKEDATFHIPDLNAAQALKLRK LTVVSLCQQHKSVPYRILMRELQISSVRDVEDIVIDTIYSGLVEGKLDQKNEVFESSY SVGRDVQPSDIDDMILRLTQWFNPSIFHLAYVDTTGKTTRNKPASTSTKCSATRRRWK RRRPSVTCR H257_16510 MHTLPRDALLHALEYLEPMELRAMALVSRWHNSLQQHGALWEAL FRHRWNHANFFMDPCMRIQLSPALRAAYPRSSDAFRFLTHCVDRVPSFADICLTNTHA TASTTNHRIEPVAYDNGQVVTMALAKGGVGGNRCVRANVPFEMTPRVAVFQTTDRTWF VDVVYDGYFEISIADPINPMRDDATLDMCIAIGVASPDFEVVDQQPGWDDNSYGYHSD DGHFFTSGQPHPFAATFGMHDTIGCGIQRDMTTHDSILYFTKNGQRLGGTFPCLHDEL FPVVGIDADYTVRLNFGHEPFRCRPPPSTEGDTSALVALQAQPWPAAPTRPRSMWQNM LAAVDVFAKRTTSLMYCSN H257_16510 MHTLPRDALLHALEYLEPMELRAMALVSRWHNSLQQHGALWEAL FRHRWNHANFFMDPCMRIQLSPALRAAYPRSSDAFRFLTHCVDRVPSFADICLTNTHA TASTTNHRIEPVAYDNGQVVTMALAKGGVGGNRCVRANVPFEMTPRVAVFQTTDRTWF VDVVYDGYFEISIADPINPMRDDATLDMCIAIGVASPDFEVVDQQPGTLVPPAATSSH AESRMGRQFVRVPQRRRSFLYQWPATPVRRDLWHARYDWLRHPARHDHPRLDIVLYQE WPAARRHVSLSP H257_16510 MHTLPRDALLHALEYLEPMELRAMALVSRWHNSLQQHGALWEAL FRHRWNHANFFMDPCMRIQLSPALRAAYPRSSDAFRFLTHCVDRVPSFADICLTNTHA TASTTNHRIEPVAYDNGQVVTMALAKGGVGGNRCVRANVPFEMTPRVAVFQTTDRTWF VDVVYDGYFEISIADPINPMRDDATLDMCIAIGVASPDFEVVDQQPGWDDNSYGYHSD DGHFFTSGQPHPFAATFGMHDTIGCGIQRDMTTHDSILYFTKNGQRLGTICCLQFHVL HQDIHMLHRFH H257_16511 MHGHQRIGLGVSTVCYLVTWFTLCDQHWAYDVSSLTSFGLWSYT GTGVSIKLVNAGADKLGFDVVTPSLRPSTFGTFCSIPSIHTHDPWCGSATDSARAFHI LGFFAGTVSYIISTHVVFERPYPSWDGGGAKATLPWATFLSFWHGVCSLLVVLSWGLA QEAMDVMCVADNLTKKRPCISATFTYYVVVGNVVAPFFLWWWWRHAHLWLEAKHTGAL MDGFLLAAKTDDIDEILGQVAAKADINALGSDGRNALHWACALNRSTVVHILVKKGAN ISQKDRDGWTPLHWASRMGNPDVVRLLVKHGADVNAKDTWGTTPLMLTVLGKSKTGAQ CLLELGANINARNVFGQTAIMLCVAEDAEMHAFVVMLINADASLKTRDFQGMNVLHYA ASAGLKNIVQALVECCLPDQLVQVNKYGDTPLAEARLRGRANTVALLEHAIDPALPPP EYAASEGDEDPRPRHSTTLSTEADDSNDDET H257_16511 MHGHQRIGLGVSTVCYLVTWFTLCDQHWAYDVSSLTSFGLWSYT GTGVSIKLVNAGADKLGFDVVTPSLRPSTFGTFCSIPSIHTHDPWCGSATDSARAFHI LGFFAGTVSYIISTHVVFERPYPSWDGGGAKATLPWATFLSFWHGVCSLLVVLSWGLA QEAMDVMCVADNLTKKRPCISATFTYYVVVGNVVAPFFLWWWWRHAHLWLEAKHTGAL MDGFLLAAKTDDIDEILGQVAAKADINALGSDGRNALHWACALNRSTVVHILVKKGAN ISQKDRDGWTPLHWASRMGNPDVVRLLVKHGADVNAKDTWGTTPLMLTVLGKSKTGAQ CLLELGANINARNVFGQTAIMLCVAEDAEMHAFVVMLINADASLKTRDVRSLYVPTTG CSVFLPVVSRHERPALRRERGTQKYCPSASRVLLARPTRSSEQVW H257_16512 MAPQDTNPKKRSASDASFKTKDASSKAQKAGGADGKPSFKKTDA KKPWQGKDGAKKPFQGKDGDKKPWQGKDGAKKPWQGKDGDKKPWQGKGDKSATPVDYK LQRKMSSPHYEMVKRAKEIWNLIRERDFDKVKRVPLVDELFHLVSGKIHDVAAKHDAS RVIQSLLKYGTVAHRSSTIKEMLPHILEMAKLQYGCFLVTKMLKYGSKDDRALIVKEL TGSVVKIATHNVAATILECAQDFLQPRQLSGLKLEFYGKEFAYFKSEMEAKKKTLADV VEQSPAKKQEILTHVADVLNRMVDKQLLGLAFVQALMLEYLTVASPDQVNAMIPNIRD AAVALLATRAGAKVVVKCLSLGNAKDRKRVIKTLKDKVLEATNHISGYLVLLRVLDVL DDTVLVQKSVLSELQDHWLSVALHQNGSKVLLQLLSPLNTKYLGPDEIALLQPPMVPA DNDSNELVVNYKKDPDTRRNELWAGLKTPIETMCAGDVDALLRSKSGGHVLFEVTKQS DNAELLDAVVKTVVHDETPTDGTLEPLFADAIAHKHLQRLIQHTTSVGPALLAALPAS RVSQWAESNRGAYVLLAFLDVAGGKPALVKALKGNKWTPAHKAQKGTSLLLEKLELA H257_16513 MGLGPLTPRRTSHPTKCTLQVLQLDTMPLRYFESVDTLRRWGLT FDDNDKLHRCRIALRLAALKIPEGCRYGLALLVDASNTMEIDDLSAFAINAYNQDDPT KVVAAFITDFPELVDTENRVVHRQHVGQLLVSEKAAWGRHLHLGHQNMPKLLISGRFE SPRLIYSTAAELMHHMNSGTDKSSDWFLKDLVPCNGWF H257_16514 MSTALPLPKGYFDMSRITEAERDQYTEYASSAIRKVFALSDHHK NSWVPVMEKKGVSIYRNFSNVPKPRSNSTTAPSSSSQSYAHKSNIAEVGCKSTLQASL DEICRAYSAHDDGLFRRLMRKLNPRVVDAAVLQNVVPRTPQRPYRYVGIKWFAVKSAS MMVTNRDYCCLEVMDRIVDSHGNDMFVRVLSSIDIPECPSLENSHGFVRGRILAGYIY RMDSMETKVARLHHVARFDPNGYCPTQLSYKIAEKDVVTSMVQLKRVVEKQQMTSCLL LDKAQWVPSTSRSSCTVCGRAFGLFRHRHHCRACGEVICGKCSIQRPIEVPGTNLKKV RICTLCNMGVNKGPDPDAMQELSMLSVNSGATQAYNEMNMYDLYNANGPSTPSAYSSA SNGSNISNVSYRSNATDYQRPAPLPAPAPVPMARNPSWGRSMSQESMQAAGGRSQLPV DLSYLPKTPTTPSSCTHRRHSAAATPKQSVVTPRTQAKLDLSYLPQTPKTPRGPNWNS GPTTPETPNRLAPPCFPTTPNHSTVNAPSPRAPPTIAPAKPRPTTYFGEGSLNFGKPS TSSYVPDPVRAGPSVEVAKSIEDMLRKSYSGVAVDYVYNMLGDRTQPAALTPRNAVST QAT H257_16515 MTVSGTICVQQSHAATEGGRHARDMHDLIAAFEASTMPVESFPQ ANDITRAYATCGRMAEALYLQAQLVERTSIRTPEYVHRLLVTVAMLGQTLQWTQAGAV LLSILNQLPLPLNRHDGLFLMAYIESRQGRRKRAELLWRQCFGPAWCDLVNQPHAWYD SGCKWFTTGHWEFAVWMFAEGMPSPCSALHAVPMPVPAPNAPTTSLYIRSILYSTRPN FAQVDDLLTMAMKSVHTKYDPDIRSLCIEMQRHDIAVDFAAQDAASCTIGRAFRTWMT WRHHRAVFWMESAVRAFQTYKRPHRHHAKKSRTKKALTSGKGALDQSTLPLPSSVPEI SIEMAPTAPPHEDLVALYGASSDEGDYGSRLRFILHRAKVIADKSTTALTFDHVSPPW LKQLHTVRDMVRSDVYMTQWKAGVLRNLAVVVALMEAANGPTECSRYGHTLLKDIELC RMGNIQPRMDQLMHLATSEESKRNLPYFHLLQRKVGHAK H257_16515 MLHVGAWRKHCTSRRNWWSGPRSEHPNTSTGCSSRWQCLGRLCN GHKLVLFSWCVESVCVERCRHDNACKLQSILNQLPLPLNRHDGLFLMAYIESRQGRRK RAELLWRQCFGPAWCDLVNQPHAWYDSGCKWFTTGHWEFAVWMFAEGMPSPCSALHAV PMPVPAPNAPTTSLYIRSILYSTRPNFAQVDDLLTMAMKSVHTKYDPDIRSLCIEMQR HDIAVDFAAQDAASCTIGRAFRTWMTWRHHRAVFWMESAVRAFQTYKRPHRHHAKKSR TKKALTSGKGALDQSTLPLPSSVPEISIEMAPTAPPHEDLVALYGASSDEGDYGSRLR FILHRAKVIADKSTTALTFDHVSPPWLKQLHTVRDMVRSDVYMTQWKAGVLRNLAVVV ALMEAANGPTECSRYGHTLLKDIELCRMGNIQPRMDQLMHLATSEESKRNLPYFHLLQ RKVGHAK H257_16516 MLLQVGRLRVVVESDAALASFPRGSYQVESKEDAVRTLWSTFPT NQPLWQAIFDMVDDVHGHLTVDVDDANDIEWTVLRIELQLTSTPPSKATWIRRHEYVL QHFEAALVNSTHPISAADVQEARASECHVVGCRLHAPSTISLVTRHSFNLPSLFKSLE SPMEACQMAIRPDQWYVDRLTHGQSRALTDLPMNVIWDVLKYLNAREIAVMSMVNSLL QHVTYDTVPGLRLTLFAHQKKALKWMLFRESMSNRQLQASPHPYMVASWIDPVFGRVL APASSSQHSRDSRGGFLCDEPGLGKTITMISLLLRTQGTISRPDDVDAPAWTAYGLRS TKHTGGRRVAASQLRPSHASLIIVPDTLMAHWSYQMDMHTTGLRVLLDVGKTVPDVSV LETVDVVVTSFGRLATHWMHHRPLSALESRAPERLGSENQRNYADGVEHKSLSPYVQL HWVRIIVDEGHKLGGTAITSAMQMLCSLSADKRWIMTGTPTPHVAQSDGLRHLHGLLR FLQELPYGADDDKPWIHAIAKPFEAKCIRGYLRLEQLLNRIMLRHVKADVTSIPPPIF ITTVVDPTPVEFRIYNGVVGVVRGNLIVTKWDPDFPGPLHKDSLLNPDNRKDAMTAVS NLRLASCGGGTMQVLLSQKHYVETINFFDEFQMDWDRRVVVQQYMRDAQDGNCTVCET CGRELQFLMVTVCGHLVCADCIEAHVDAHGFERGSMRTTCPVCEASFDWESFQVLQPG FDYKWTADDAATPPPNNAQRPPPSASSSAATATAAVRPLHDNNNSNAADDDDHIWASS KGLYVLERIQSLLGRGNHPPTGRAIKCIVFSDFQEHIYRIRPDFARAGLRFVSFLSGE AKMSTRLQHLRDFRDNDDVHVLFMTEIGAIGLDLSFVTHIFLMDEIWDKSVEKQVIAR AHRMGATESVVVEQLEMRGSMEKLVRELYAVPQPEWGDGAERQHHKEGGRAAHKKAKA KPTKSLFRVMNKETMSSLRLYHVLNHVRMIEDGKSNDKGRTKEDMQNGQIKRARIG H257_16517 MDRLRPQSTVNVRAAGIKAFNKFLSSESVDPDYVKSCIRKDLTG QCFAAVKEKFALYLAACEKSPGIQLSKNSCMQYFRQVKMTLLDEFPQLQAIVDSKLLR AGKILQNYCAKKDGGAYLKRMLMYLYSTATCSSHYQDAALLSLLWYLFGRASDLAMTS EEQGLSLYPDTDFATCTLLAIALATVAQNGPCANIVDNLPSQASSVKHELGPTTPLLD VLDNPSAINVDDKAQASKSVPTIHSHVNRILGRISAAAGVDQRLSSHSFRRGGAQHAN GSEQLTARWIFDRGAWNILATNKGFNYIFNTSSEDHKVAKYLSGWSTMEPVMVLTLDS FDAHTQGMGFVMEEGSSTYLDVVVSIGSAAESALFAFLREQGCHSTGSSAVLKVLQKL HRDGALKSRIGHYLQLRIAGLTTDPAPLHTQVVLDHSESTEFSFII H257_16518 MFGMLVGSVFDGLAVSTSLLMELHSLIQQRVLEPPTWPLVFEAS FSEAYEGFSVVPSSGRWYYHYNTSSGGSKRWRVDHLEPQRNNFCGCVLPDESSSCQLF FTPDGLYVNFPALDNECCRLCTAEGGCSPLKPDWLSRAHPTRSAGADIIDGRQCYQYC TPGAQFLDCMSYDAFGWPCRYSESWSPSPTLHIVHNLTFTSWTNHINDPHIFDLPMPC QTPCPRQFPACMNPN H257_16519 MTRFTIVPNTFVIRTLNLTDSANYLASSNVSAHAFAQGWASPTE PFDFTSAYGYAAPNSSKPVYGGRRMWRAFDLVAPSLHLDATLGFHARIPTYPLSVKPD VLLSPITIMNLFSNYYKNTSYDLTTSLAAGPFHDPSRYSGVINSTGGWERSLSMHRTV HSFVLQTRSNMADAIGGVAWYAQGVPADSVYFPISCGQTTLPTVFNQAIRSKFDTEST WWAFHIGYPADWANQTEYVLYPQHYHPPAQVAAEENRTAAVDPDTGVLSIVVGGADLS ATEEHGAFVLPKEFMIGFVYGTMSLTLVGAVVVLFSRMRQVVGSPVCAGSVEGPVMKD HVQVLPRLEGLGDGAV H257_16520 MQLCIALWCVASLTASSTSACTLVGVGPKATVDGSALVSTTMDS MWIPVDLRLVRVPALNHSAGAQRAVYNDALHHGYPRFVSTERGPGYLPLNGSNQTITT PLGTRSN H257_16521 MLTAFAALTLASSAAACTLVGVGSKATMDGSALVGTTMDSMATP VDLRLVRVPAMNHSAGAQRAVYNDALHHGYPRFVSTERGPGYLPLNGSNQTITTPLGY IPQVNSTYAYWDGDYGMQNEVQLSIAESTCAARTVGYPLDMPNGRNLLSINELSRIAL ERCDTSRLGDDQFTIVPNTFVIRTLNLTDSANYLASSNVSAHAYTQGWASPEEPFDFT LAYGYDVYTPNKPLYSGRRMWRGYDMVAPSLHLDPDVGFHVRVPTYPLSVKPDTLMTP TSIMQYFSDYYENTTYDLAKGVAAGPFHDPARFGHAHRLDGNWERSISIARTTHSIVL QTRPNMADAIGGVAWTAAVDPDTGVLSIVVGGSSAPVEAFSLSSGFLLGFLCGTMSLS LVVAVVALVSRVRQEVASPKAPASVEEQGESKSTNDEAVVVVEPVAVV H257_16522 MALAWCSAILLASSVSACTLLAAGSKATVDGSAIVGTTLDGMDT PVDLRLIRVPAMNHPTGAKRAVYNDGLDHGTPRFVTTERGPGYLPLTNQTISTPLGYI PQVNSTYAYWDNSYGMQNEVQLSIGESTCAAKTVGYPLDYPNGRNLLSINELSRIALE RCDTSVCAVKTMGTLAEEYGFYGEYSDNPSKPGYGGSSEALIIGDKFQHVWIFHILTG AHNSGAVWAAQRLGDDQFTIVPNTFVIRTLNLTDSAKYLASSNVHSFAYAQGWASPSE PFDFTAAYGFAAPASNKPLYGGRRMWRAYDVVAPSLGLDPDVGFHARVPTYPLSVKPD TLVSPATVVRIFSDYYQNTSYDLTKGMAAGPFHDPARYSYAKGVQGAWERSISIHRMV HSFVLQTRPNLPDRIGGIAWYSQGVAADSVYFPISCGQTTLPTVFNQAIRTQFDTEST WWAFQFTTNWAHIRYDLIHRHIHTERVKYNTLARQLHVTTEATCGSRNLSTSDCVALV ESAYNEFIVTTTNAWWQFAWRLVSSFNAGYEFFNESATGGRSIGYPADWANQTEYVLY PQHYHPPAQVAAEENRTAAVDPDTGVLSIVVGGSSAPVEAFSLSSGFLLGFLCGTMSL SLVVAAVALVSRVRQEVSSTKSTPSKEGVESKDCEVELASNVVEVEAV H257_16523 MRAFAFLATSAHACTLIAVGSKATIDGSAMVAHTNDGMPSPNDL RLVRVPAMNHSNTSQRSVYNYLVRRGNPRLVTAERGPGYMPRNGTDQAFSIPTGYIPQ VPTTYAYWDHDFGMQNEVQLSIGESTCAAKTVGYPVDVPNGRNLFDIDELSKIALERC DTAVCAVKTMGALAEEFGFYGEYSKDPLVPAYAGSAEALIIADKYQNVWIFHILTGAH NSGAIWAAQRLGDDQFTIVPNTFVIRTLNLTDSANYLASPNVSAHAYAQGWASPEEPF DFTAAYGYAAPNSSKPLYGGRRMWRAFSIVAPSVSLDPDVGFHVRVPTYPLSIKPDVA ISPEVIMNIFSDYYQNTTYDLAKGVAAGPFHDPVRYTSRANHLRGNWERSMSLARTTH SFVLQTRPNMADGIGGVAWYAQGVPADSVYFPISCGQTTLPTVFNQAIRSKFDTESTW WAFQFTTNWAHLRYDLIHHEIQVQRLKYTTLARELQQSTEATSTCRSRNLSTSDCVAL VESAYNEFIVTTTNAWWQFAWRLVSSFNAGYEFFNESATGGRSIGYPADWANQTEYVL YPQHYHPPAQVAAEENRTAAVDPDTGVLSIVVGGSSAPVEAFSLSSGFLLGFLCGTMS LSLVVAAVALVSRVRQEVASPKAPASVEEQGEPAQGDNKVVAATDSAIV H257_16524 MCLHALGSWLQSHLDGSAMWHYFGRHGHSSGPTVRLIRVLAMNH PTGAKRAVYNDGLDHGTPRFVTTERGPGYLPLTNQTISTPLGYIPKSTQRMRTGTTRT ACKHKVQLSISESTCAAKTVGYPLDYTEHKHKLSRIALQRCDTSACAVKTMGALAEQS TDSTANTRTILRNQATAQFRGVDHRQQEPPCVDLPRPH H257_16525 MFINLMMFWLMCVEGFICILLCIPFFKHATQAVVNFLSSNVFTA TSHLTTVGYGILALVGVMFLANLQTTYNHHMSDEAVSDGFRIRLLAAQRDMYISGICL FLNLLLQMLYSSMVVNIKLEKSLGAMEKQAKGASSSYTNLLEEHEILQKQLKKLVGLD GTTDLTSLEKLLKENAAYETEVASLKKSVAASDAAIAQVKKQADSQSAAYLKLLDETT AKGDQAQEIKDLHAQVVDLKQTVNDLTKDRDSLKTQIQDYDFMFAEAKKKAE H257_16526 MRVLTSFLALAAAVVWGAKVPSLSFEKPFEDITSDGVRIVSDDF TFGGHAVVNKHFVRLTTDRQSKRGFVWSKETLGAARLPKTEFSIVLTFRISGQGERWF GDGLALWVTTEPRHVDGDNHGFKETYTGFGIIVDTFVNAEQAGGHKDVTFVVNDGTKS LDDINYSPDGKKGCDAKNLRYHAKSASFSASGSMSRVKLSFANNFVSIQIDPTNSGFW SPCFEDTVQLPPTWFSQATIGLTATTGSLADTHDVIALELYDTMHDDEILQKDYTTVA EKAPPASTDHIEDKNERNLIKIKELQRLYDQMVEDFEHEYQALKEETANTVGKLREQE QGDLRRIEELEKWVNGRVDEKVGSKVQEIEDSVDKTLQTKLEETQVSSGGWKLPFVIL VLGLGAGAAFAYKKYQELRKSHLL H257_16528 MQCGSGQSETSAALVHPPTMVSTVYDSVIATADEVQVKLMEEMV IQVDEKDNVVGPISKKESHFKDGVLHRAFSVFLFNSDNQLLIQKRAAEKITFPNFWAN TCCSHPLYFPEELEEDKHLGVKRAAIRKLEQELGIKTDTFEPEQFKFVTKVLYRAPYD DNWSEYEVDHILLVRANVKYDLNDNEVAEVRYVARDELKDVLNDSSLLISPWFRLISE GLLPQWWDDLDNVLAKDNETVVINNYIN H257_16527 MNHDQDAAARRENRRKSASVAVDEDVEDESLVLDMGGDSPGKPQ TTGTGPMLPRILRTVDTSKDKKQHELWDHMRMIVLFACTAEAITTCFTPRWVHESTGI NVSATLLNILGPFLEGTAQYLEETTSHAEWKRACFYFRSVFLGVFTSYCYMVDHAGDL VGKHPMIGPLYIVSSLCYACVAFRVGQTFMRVFTTYVFQPDKHIPASVVGWALTTFVA AVLLVAIAGPPGFVRDPNDAQFLGSIPVNDGLELVMGMIMSILAAWLSSFVSFGSYDG SIDWGTWRCNLASVILTVLAYGVHYVLPVVAHNVAVKKFVSSFCGSLSGFSATVAATM VLADQNKRPVAMANLGLNIVTALAFVPYLRA H257_16529 MEAHLTDTLLAEEKMGPSSPVTRPSAYLRIAAYVIAFSSFLWGY GFTVLNVCIAENAKGSILLDFNLTDAEIELASSLVLIGAWFTAVATASLADTYGRRIV LLVNNVLFIVGALLCALATSKDTIYIGRTIIGFACGIVTNVTPILLAEIAPANIRGQI TTLHQLMLTIGILGSSVLGYALVTSVPSGWRYVNAFVAVPAILQCMLTPWIPESPRWL LSRNRTDDAKIQLRSLRHIVYETELDDEMREIQLDLSSTQDHDQKKATVVSLWRYKKP MLLGTLLVFFQAMTGINTVMLYSSKIFHFAGVDNSIMATASVGTINVLATVVSVVLVD TCGRKILLLLSSGLMAASLGVLSYSLLTLTGKIQGVLAVVCVLVFVAGFAIGLGAVIW VVLGELTPSSIRSRAMGFFMAVSYACNVFVATCTLGIIQALGTGPDVTKNGIAKLYLI CCGLAVACFLFIWGYVPETKNITVSQIVTERQERGQPDDDDDQRDDRALLSDH H257_16530 MIRTLTSHSSVIRRASVRSFSAAPERVPLFINGEFVQSKTTKWI DLRNPATNEVIYQVPQATQEEMRLATASAAEAFKTWKEVPVQHRQRIMLKLQALIRDH TEDLAKSITLEQGKTLPDARGDVFRGLEIVESTCGLGNHLMGETLGNLANSLDTYSYK QPLGVCAGICPFNFPAMIPLWMFPVGITCGNTYVLKPSEKDPGASMILARLAKEAGVP NGVLNVIHGAHDAVNFICDAPEIRAISFVGGNHAGEYIHARGTANGKRVQANLGAKNH AVIMPDADKEQTVNALAGAAFGAAGQRCMALSVVVFVGESKEWIHDIVAKAKTFAVNG GSEPNTDVGPLITPESKKRVEDLIQAGIDHGAELLLDGRGVKVPKYPNGNFVGPTVLN NVDTANPAYKEELFGPVLVCTSVDTLDEALNVVNSNPYGNGTSIFTQSGAAARKFQHE VDAGQVGINVPIPVPLPFFSFTGSRHSIRGDIHFYGKQGVNFFTQTKTITAQWEFGSK TQYGTVMPTLGGKKK H257_16531 MEPSTQLTTTGELQALVTLAAPKCISILSFYALSILELIFAGHL GTAEMTAVAFSQIVFDFTIIVFTQGFNKGLNALGSQAFGAKNLLLLGRYAQMGCLGVT VVTLPLAFSWWFVGDLLRLFGVSPASVVLAQQYSKLSTLWLWPRLIYQYLTVYFDSQQ IVLPTAIVSVSFVVVHVGMNVLVVFGVPSWGWAGLGFAGLPIVMCITMYGRLGVYLLY MMWYRQHHAKSWVWNLEFCQAKYIIPQVRVGLPLAVGQVFENSQLQMMALMASVVSEV SLDSHNSMIILLLFLTSPVNALSAAGVIRMGMYLGGNQPQRAQRLSQMVKNCIFTVAV VNSSILMLNRDAIGPMYSDDPEIWDAMTEICTLGALGYMITSLFYSARATLMAQARAF PIMGTYMYIAKCVCVCIVHGGCCVVAYFCGAWLVGIPAAYGIGILQQAGLVGIWIGMA LGHIATTTIALHASGSSDWGDEAAQAVYRSNEKSQLVQGEAVALLERL H257_16532 MQAVLVAQARAVPILVAFVSGAWVVGVPTAYLVGIHWHVGLLGV WIGMSIGYAVTTAVTLYGAITANWEAEADKAVLRSKAKHEQITETTTLLNDEP H257_16533 MAASKVAPTAHDELRALLRLAAPAFVSTISFFALTMLEMIFAGH LGTAEMTAVAFSQIVFDFTIIVFTQGFNKGLNALGSQAFGAKNLLLLGRYAQMGCLGV TVVTLPLAFSWWFVGDLLRLFGVSPASVVLAQQYSKLSTLWLWPRLIYQYLTVYFNSQ QIVLPTAIVSVSFVVVHVGMNVLVVFGVPSWGWAGLGFAGLPIVMCITMYGRLGVYLL YMMWYRQHHAKSWVWNLEFCQAKYIIPQVRVGLPLAVGQVFENSQLQTMALLASSMGE VSLDSHNSMIVLVFFLTSPIYGLGSAGVIRIGMYLGANEPEKAHQLARLLATCICCIS VGIATVLMINRNVVGHLYSEDPRVWASMTSICTLAASGYVILSVFYSSMVQSVPFVAS MMESAM H257_16534 MSRPSALAAVFQPVHAEKLNAFLRTSRSAALSNTFKQLHVVIGN EACDADSMVSSLVHAFFRGQARGISNTPSSTVFLPVMSVDRDQFRLRCETKALFDAAH IDVDALVFQNEIDLSAIHAARQLTLTLTDHNKLKRGYASLSSAVTDIIDHHEDLGSHD HVTGVRRRIAFEKTDHGGNVLAGSCCTLIAEEILAQSSSPIPPLDATLLLAVILLDNL NMDPKMKKGTPRDFAMVDALLSHALVPRLPLYEWIVFEKFNPANWTAFSFANCLQYDY KQFESHGVSYGCSSILVDLATFWAIGGGDVVVQLEQHRQVLDLAFVVVQSMIQSGPRR QLLVYAKDPKLQLALKTYLDNVAVLDLAPLDVHPAVITYDQHNVALSRKQLVPLLDTF LKQLASQL H257_16535 MASHALLGGVQPPPPNVRDETIHLIKLAAPMMLNYMVESLYGPI SIGLTGHLGIADTKPFVDGITMGCIYMMVTTHAVGLGLGSALDTLATQAHGAGNYKKM GVYLECAILGTALAYIPSAIANWYSKEVLVALGIQPIVADLASQFVRYTTLSMPFYFM YDLVRKMLQAHDIVAPMVPMTILSNVMHVGLGLYLTQVRKMGFDGVVLAGCLSETIYP LMQFVYLVCINPVHKQWKLQGNMRLAIAHLPEFFQFGFPGMATMLIENGAFSIMGFMA GELPHSLLLIAVNSVLMQTITTAFLMYIGFSIATTVRMGNAIGANQVDHAKCIMRISL VLTALCLVVTTGGMFLLRYHIPQLYVADHDVCERAAVAILFALPLHAADSFNAMWQAM LQAVGKPSLAAYVNAVAYYVVGLPLAGMLSFRLSWGLEGLWIGLTVGAVCACSAYTIV MARLSWNDVLEEANARTADEGDMAFSKTLTAAPLASDGNNLPVVLYT H257_16536 MSSSSVARSSLLSVDILPIIQAFQCGLYEDLLPSHRTWNQTRTR FDSKRQQTMCQAPQESTELAVDEYFVDDVTDRSFPLHHAIVHGSLSLVQRWIQCRGRE IVTRYAMDCAAAHGQMAILQWLHHRNFSGCTTDAMDFAALRGHLNVVTFLHCHRAEGG RFLAMDFAAGQGHLDVVKYLHTHRREGCSTMAMDAAASNGHLDVLVFLHTHRREGFTS KAIEGAKKYGHHDIAAYLQSISAARLEALVAPHAQVTAA H257_16537 MYGTTTNECQPLRAARQVESTKPWLPKVMFLSIMALLVTMMGLT QTTERTHASLASVTPSPFCDSTAQSSGYIKLPNKEDGHYFYWFFESRSSPDTDPLVLW LTGGPGGSSLLALLTENGPCTIADDDITTVTNPYSWTTNANVIWVDQPIGTGFSYGTP QDADHNSTQVGENMYFFLQSWLKKHPKFARHRFFITGESYAGHYIPAVATALLKTPPA TDDIPIQLEGVAIGNGLTSSLIQMRHQADLIQDNAYGKILLNDTEFVEYKHNVSTIVK LIEECYAATSNDSACIQATLLWLPTIIQPLLTISKVNQYDLRVSTNGALDTPSTDNDL LSKEALKNIGNPHARTFLNNRHVQLKLNIPNYLPWTELVEDVFGRFAADFFQNREADV AYLLEHDIRVLIYAGDADLVCNWKGNRAWTNALKWSGRHAFTAATEHPFVVRGVVKGS LQSARNFAFLRVFDAGHMVPENQPEASLAMLNRFLANLPLDRD H257_16538 QFKQRPERAMIPLPDSFRCRLAAKVGKPLGKSRTSVGQPVELNL STSSFGVVSAMLVDASTSIVAVHHAEPTNSKLLWDPATAKDIYVKTAANTTQDKYTKL TIDNYNEVILQVWENANKVRNGQASFAVLLFAYIDKSTESAGNRRATAHNIETSAARV ASYMQEQHMELGPLQTNYASVVTARLPAATPISIPNNATMQQLGHIDRMAAEHTEARR L H257_16538 FKQRPERAMIPLPDSFRCRLAAKVGKPLGKSRTSVGQPVELNLS TSSFGVVSAMLVDASTSIVAVHHAEPTNSKLLWDPATAKDIYVKTAANTTQDKYTKLT IDNYNEVILQVWENANKVRNGQASFAVLLFAYIGNIFNSNNNILQHILY H257_16539 MSPHSTFAPLYNGPPLDDHNRTFLEWKPLFISQADGHEFTQFYM NKAYVPSDLERSILSILDDDVQDGLAAWHKVIADHVQSVKSSTLKSETAKSLSRLRAL ALNRGLPAESQKVKYHDGDCLDTLLADVKSIASQYRTAMIPSSLEITAAEYDAFLWAN HYMVKLSEVFLDDKQIRDPIRVISSNAKASGQPCSVAAIDAAIKDALATRHLRSLALC EHGTADSAPTRSHLINAAVVDATASPIIRVVPNATVFRKKCSKNTSRSPHDVCRLAKF AMIILVVTTTTVTTAMTAVAEVQAPLADEVVAPRRSAFLLAVVVATAVEMTAIALGAM KAIEAPFRLSSCSVIDYHREVCRFAQLR H257_16540 MPDGFELNDIKGDHIINKCWYSASGYSPSIDDAVVRCGKRSTSS VCRMLPDERTIDTATTEISIQNEAMVKKILSDNTTKIGTTSNEP H257_16541 MLAFRSLNKLRMARSSAVASFSSSATEYDVVVVGGGPGGYVAAI KAAQLGLKTACIESRGSLGGTCLNVGCIPSKALLHGTHLYHSAQHNFKSVGIKVDNVS IDFDQLMKSKDKTVKILTGGIEGLFKKNKVDYIKGYGKVVAKGQVSVALNAGGNQTVN TKNILIATGSEVTPLPPVPVDNEGGKIIDSTGALALKRVPKHLVVVGGGVIGLEMGSV YGRLGSKITVVEYADKICPTMDHEVTKEFQKLLTKQGMTFKLGQKVTASKVDGDVVTL TVEPAAGGEATTIEADCVLVATGRRPFTAGLGLEEMGIQTDKFGRIKVNLSNFQTNVD GIFAIGDVIEGAMLAHKAEEEGIAVVETIAGKHGHVNYDAIPGVIYTFPEVASVGKTE EELKTANVAYNVGKFPMMANSRARAVGETDGFVKVLAAKDDDKILGIHIIASNAGEMI SEGVLGIEYGASAEDIGRTCHAHPTLSEAFKEACNAAYDKPINF H257_16542 MSDSDSDSGSSGDEQAFFKQPAAPSSKKAQTSSSKAKVAEAINS DDESSSDDDEGADDSSALDKAQLEEDAAAKTQATVYVEGISYDADESALVTHFADCGT VKEVRLPRYQDSGKPRGYAHVVFDSDKAVSKALELDGKYMMKRYLTVRPAETPRGIDQ AVQSRKHVKVTKGCRTVYIKQLPYEVDEDTVKTALASCGVIVSVRLPMWGHTKKLKGF GYVEFADEASAVNAAKRSGMKIGNRMVLIDLDTGAPKASFRQTNGQYWNKGEEGKASL AKRMNDKGKNKRLATEGRENVKKHKRPTL H257_16542 MSDSDSDSGSSGDEQAFFKQPAAPSSKKAQTSSSKAKVAEAINS DDESSSDDDEGADDSSALDKAQLEEDAAAKTQATVYVEGISYDADESALVTHFADCGT VKEVRLPRYQDSGKPRGYAHVVFDSDKAVSKALELDGKYMMKRYLTVRPAETPRGIDQ AVQSRKHVKVTKGCRTVYIKQLPYEVDEDTVKTALASCGVIVSVRLPMWGHTKKLKGF GYVEFADEASAVNAAKRSGMKVVVVLVYDPISIDSKSIDWESNGAD H257_16543 MKSFTCAAIRDGAVFRVTLEDGQQVFDLMDAIKEKWGCTCPPHE LSLYLAKQDTSWIKATHPEVKMLRSDKVGAEVKALLENEAMHQTMESGAFDLPSNDSD DIHVLVAVRTRWLTCAMVGLGTIIYIDMLDYKTVLDLQKAISERLNFALHAACMVLYV AKKGSKWLAINDLKKVKSSGMATFTTAGGQLMKPRTRLGTYNFPGEVAYYEEDGDVDD VHVLVVSVPPAREVASSATLSCVTIGVGTLFTLKVRDSMSVADPDGMVLKTGNTPGGI KSLITNVTTLDNTTRLRTLNFPDNGSNDIHVLVAVRKRLLTCAVVGVGTIFSVDMRDH KMVEDLQYAIRQSQKFAFPATSLNLYVAKKDNTWLTIHDADVKLVMTGKIPSGIKAIT TAGGQLMALHLPLHSFNFPGEVVYAAGGDIDTIHVLVTVPKSHQTASNMAISCVVVGS GVSVAIVKDERDTVVDLKKAIAERMEFPFPAFQLTRYVTNTNDSDWLQRSDRDAIELT CGEIPPGIKQLMSDEAKMDSESILSEFYFVDDEVSIGDDIHVFVDLPVHTKAHYASFE VELENPVVGRPVTSISSPSTWLWLS H257_16544 MKAFECAVIGNGDIFGYGIESNQRVTDLKIAIKKYMGFKCDLHE FTLFLAQSSDGNWLKATDPDVPMLKAGKIPRRIKQLMTQDNKMEEGALLSTFNLPEGK LNVGDIHMLVAGAHRVKILCAIVGIDDIVPMKIDERDCVVHLKQAIMKCMEFRFHWSE LKLYVAKVNGAYWLRSNNPGVAKLKAGMISSEIKRMMTDVAEMKGEYELSEFHFTDDD ERAQRTTNPCDCGPSSARQGLLRSKRSKRSIRSTGKTRGWARDHVDFMVYCLALAVDN TTADLFVAKGVSLA H257_16545 MKAITCAVIDGGDVICFTLEERRRVSDLKIAITERMAFRFLPHQ LSLFLAQTSDGYWLKKSDPDITILKSGKVHGRIKQLMTPATELDESTELRELNLPDDK NDAIHVLVAKPHHVKILCAVVGIDDILRMNIDERDSVMYLKQAIKESIGFPFHWCELK LYVAKVNNAHWLRSDNPGVSKLKAGEISREIKRMMTDVAEMKGEHELSEFHFTQVEAG PSGRQLHVIVDLPAYSKAIARYARKVSSLAYCHFVFIVMYLVLAVASVIVAVDCAAVK EGGFASLVASCGGTFFVTVLSMIEAAFQASKDYYESKGKEAACRERFGYTRIPPSDDV SP H257_16546 MAPPPVEVQRKLTVLGYPGVGKSSLTTCFVENRFVENYDPTIEN TFHKTVRFKHAHFVTDIVDTAGMDEYSHFSQAASVGVHGYVLVYSICSRTSFEKLKLI NEKLVNLLGSEPPRVLVGSMSDLEGNRQVSLEEGQQAARQWGCPFTECSAKQNENINE VFTYLIREIERDSGLLAVDADTSCVVL H257_16547 MDVDENGSMIGATAVGVVAIAVLWTLWILRPNAHAPVEFIFHPS SVLHRRIVETGLTQAYVPPWYATNAHVQLFMFCLLPQVDVHTYDRELVAMEDGGQVAL DWIASTLPPSAPIVLVLHTLAGCSQDMREFCHAATSSGYRAVVFNKRGHGQSKLTTPR LQAFGCTSDMKAVLAHVQSTFPGVPVVGVGYSAGAGLLSSYLGEMGQHSKLAAGVLVS PGYQHYMVHATSTSPSHFHAQGGLHPLYNYLMAKSLQTLLAPHHAMLASYIDLPAANA ASCMADFDQAVYVKLHAFESLAEYWKASDPLRDVHNIAVPTLFLSAKDDPVCPTRLID VGIVDRNPYIMLAFTSHGSHCGFYEHKHGRLQSWAPTAALAYLDHVLGRTL H257_16547 MDVDENGSMIGATAVGVVAIAVLWTLWILRPNAHAPVEFIFHPS SVLHRRIVETGLTQAYVPPWYATNAHVQLFMFCLLPQVDVHTYDRELVAMEDGGQVAL DWIASTLPPSAPIVLVLHTLAGCSQDMREFCHAATSSGYRAVVFNKRGHGQSKLTTPR LQAFGCTSDMKAVLAHVQSTFPGVPVVGTTCPFNFNDGEAASRVGVGYSAGAGLLSSY LGEMGQHSKLAAGVLVSPGYQHYMVHATSTSPSHFHAQGGLHPLYNYLMAKSLQTLLA PHHAMLASYIDLPAANAASCMADFDQAVYVKLHAFESLAEYWKASDPLRDVHNIAVPT LFLSAKDDPVCPTRLIDVGIVDRNPYIMLAFTSHGSHCGFYEHKHGRLQSWAPTAALA YLDHVLGRTL H257_16547 MDVDENGSMIGATAVGVVAIAVLWTLWILRPNAHAPVEFIFHPS SVLHRRIVETGLTQAYVPPWYATNAHVQLFMFCLLPQVDVHTYDRELVAMEDGGQVAL DWIASTLPPSAPIVLVLHTLAGCSQDMREFCHAATSSGYRAVVFNKRGHGQSKLTTPR LQAFGCTSDMKAVLAHVQSTFPGVPVVGVGYSAGAGLLSSYLGEMGQHSKLAAGVLVS PGYQHYMVHATSTSPSHFHAQGGLHPLYNYLMAKSLQTLLAPHHAMLASYIDLPAANA ASCMADFDQAVYVKLHAFESLAEYWKASDPLRDVHNIAVPTLFLSAKDDPVCPTRTSA AMREMTT H257_16547 MDVDENGSMIGATAVGVVAIAVLWTLWILRPNAHAPVEFIFHPS SVLHRRIVETGLTQAYVPPWYATNAHVQLFMFCLLPQVDVHTYDRELVAMEDGGQVAL DWIASTLPPSAPIVLVLHTLAGCSQDMREFCHAATSSGYRAVVFNKRGHGQSKLTTPR LQAFGCTSDMKAVLAHVQSTFPGVPVVGVGYSAGAGLLSSYLGEMGQHSKLAAGVLVS PGYQHYMVHATSTSPSHFHAQGGLHPLYNYLMAKSLQVGTIPFYRTSIDICRPCWLRI MRCWRRISTYPQQTPLRVWRTLTKRYT H257_16547 MDVDENGSMIGATAVGVVAIAVLWTLWILRPNAHAPVEFIFHPS SVLHRRIVETGLTQAYVPPWYATNAHVQLFMFCLLPQVDVHTYDRELVAMEDGGQVAL DWIASTLPPSAPIVLVLHTLAGCSQDMREFCHAATSSGYRAVVFNKRGHGQSKLTTPR LQAFGCTSDMKAVLAHVQSTFPGVPVVGVGYSAGAGLLSSYLGEMGQHSKLAAGVLVS PGYQHYMVHATSTSPSHFHAQGGLHPLYNYLMAKSLQVGTIPFYRTSIDICRPCWLRI MRCWRRISTYPQQTPLRVWRTLTKRYT H257_16547 MDVDENGSMIGATAVGVVAIAVLWTLWILRPNAHAPVEFIFHPS SVLHRRIVETGLTQAYVPPWYATNAHVQLFMFCLLPQVDVHTYDRELVAMEDGGQVAL DWIASTLPPSAPIVLVLHTLAGCSQDMREFCHAATSSGYRAVVFNKRGHGQSKLTTPR LQAFGCTSDMKAVLAHVQSTFPGVPVVGTTCPFNFNDGEAASRVGVGYSAGAGLLSSY LGEMGQHSKLAAGVLVSPGYQHYMVHATSTSPSHFHAQGGLHPLYNYLMAKSLQVGTI PFYRTSIDICRPCWLRIMRCWRRISTYPQQTPLRVWRTLTKRYT H257_16548 MAGMDVLVALAGVLLALISQKPLHRIEEGSVGVYWRGGRLLNMT SAPGYHLQWPILTKHANVQVSFKTSVVLDVPCGTASGVLVRFQQVEIVHRLRPHLVLD TMRNYSLRFEKLWIEDVTYHEINVLCSKYTLHQVYISHFDQLDELLQARMSALLAQWA PGLEIMSIRFTKPDLPAAIRESYELVDEEKIKLLMATQAQRNVVKRAETDLRTAVLAS ETASSLSIIHMQKLYEQTLATQNISRIQNAMSLSRAKDIADATFYKTNLETKAFLTKL SPRYLDYLRATAGQTNIRYYFGPNIPHKLLHSVSDAIVTADPQVSGLPDGH H257_16548 MAGMDVLVALAGVLLALISQKPLHRIEEGSVGVYWRGGRLLNMT SAPGYHLQWPILTKHANVQVSFKTSVVLDVPCGTASGVLVRFQQVEIVHRLRPHLVLD TMRNYSLRFEKLWIEDVTYHEINVLCSKYTLHQVYISHFDQLDELLQARMSALLAQWA PGLEIMSIRFTKPDLPAAIRESYELVDEEKIKLLMATQAQRNVVKRAETDLRTAVLAS ETASSLSIIHMQKLYEQTLATQNISRIQTAFCCWSRCHVIEPRQGHSGRDLLQNEFGN QSVFNQVVAPVPRLSPCDRRPNQHSLLFWPQYPPQAVAFSVGRNRHSRPTSVGAT H257_16548 MAGMDVLVALAGVLLALISQKPLHRIEEGSVGVYWRGGRLLNMT SAPGYHLQWPILTKHANVQVSFKTSVVLDVPCGTASGVLVRFQQVEIVHRLRPHLVLD TMRNYSLRFEKLWIEDVTYHEINVLCSKYTLHQVYISHFDQLDELLQARMSALLAQWA PGLEIMSIRFTKPDLPAAIRESYELVDEEKIKLLMATQAQRNVVKRAETDLRTAVLAS ETASSLSIIHMQKLYEQTLATQNISRIQTAFCCWSRCHVIEPRQGHSGRDLLYVYEIY KYRDIDSSIYYYYYYIFLQTKRIWKPKRF H257_16548 MAGMDVLVALAGVLLALISQKPLHRIEEGSVGVYWRGGRLLNMT SAPGYHLQWPILTKHANVQVSFKTSVVLDVPCGTASGVLVRFQQVEIVHRLRPHLVLD TMRNYSLRFEKLWIEDVTYHEINVLCSKYTLHQVYISHFDQLDELLQARMSALLAQWA PGLEIMSIRFTKPDLPAAIRESYELVDEEKIKLLMATQAQRNVVKRAETDLRTAVLAS ETASSLSIIHMQKLYEQTLATQNISRIQNAMSLSRAKDIADATFCTYTKYINIEI H257_16548 MAGMDVLVALAGVLLALISQKPLHRIEEGSVGVYWRGGRLLNMT SAPGYHLQWPILTKHANVQVSFKTSVVLDVPCGTASGVLVRFQQVEIVHRLRPHLVLD TMRNYSLRFEKLWIEDVTYHEINVLCSKYTLHQVYISHFDQLDELLQARMSALLAQWA PGLEIMSIRFTKPDLPAAIRESYELVDEEKIKLLVGVMPRSYDFPIDGWYCLAFTRNW ILLCIFAKSIEGGPCSH H257_16549 MNSLGSMQAMLTSPLVPDAQAEFPGCLYSNKSCANPRTTKKDGS LHRLCAYHQERANSRQKQYLRKRKHMEAGPPPAVRPNDTARVSPRAAGSMHLRGIEPI AFDAEEATLSDTEVQMLAASMTGDDYDDDPEDGDDVDNDDSEGNHSIHHSTAQSLPWR HPRNAS H257_16550 MWVRHLDEDSTRFYYHNPTTGETAWTKPEGYTEVNDSHNTRGSS SSDGDDDKDNKPAAKRARPSTSDDVKEGTIEEDDEGALWVKFVDPISKKPYYSDMNSG RTRWDQPTFYTSDQGEDDDEVEEDQLEEEYAFGAVAAPSSPKASPAAATDKPAAVTPV FLRYIDPTTQVPYYFNTTTKETTWDVPPSDAVVQDAPSSAATTSTDTSSAGSAKYQEW LNKATTVPQATRAAAAAASISRNTSDPVHRLNSILGGSARSFRWQQHFDEKNQRYYYH DTTTNTTQWDPPADGSAVAAVGGADWVPATAADSSHEPVTSQSEYTVVAHMNMLSGRF SGASGDQYFHKQNIPTDKAGRQLSNFFDLTAFEANRAEAKRLKDELKTKNIDWKKYNE DKKRKRHRVRNKWMYED H257_16551 MDGVANIFAAARANDLSAVQHMLEELAGNDSGDDNAVAFNVNRL VDSTTHNTLMHIACSNGNLAACKFLFMHGMYLNEPNNRGHTPLFYAADCGNLPLVKWM VSNGADIDTDYSGKATTSRGDNIGGKREDNDRRYDLAFTPLQVACVKGHQDIVDFLVE CNADLGGSAVHGVTALHFACHQNQKGIAKVLLDAGADMHAPDGGGASPMDLASGPTLA FLTAYDAHDGDDNDDNMDGPRASLFGTPSKSRSTATTKPPPPASSSSAVDGPDVARLL PDCIGKTFGADVARALASHEWKARQLAVTDVGLVMAQQSNAAKHFDAACHVLLVATRD SVGQVFAAAMPLVKAAFNAVLTTSTFHTPGYHASHPMIGEVLDALLGRAAGTHERDAS DAVTSLLFLACKSAHATQHMVQTMQTQLAAAATTGGGWRQHLVNLRLVTAIASQYRFS AESGLSFDQAMAMSATALDHSSVKVRSAAVDLLVQAVVVTTEQAGLSGMADAVVVGQV IDYTDSVLHQYLGNTVKSSVVATIHKGLKAALGNSKRLSRGATTTTPGKPPPSSSPSE LLLPKKNPTFKSADECSDADLPYAEPVQDQFKGAAADGISTCFGDKVARCLFSNAWAP RVEGLSHLQKLLQAKRCDIAPATVAAIDAVLQAALSDRVNAVYEAGLALLMEFVLSYG GGSSSNNSAKQLQDCLRPLVSRLVLKLGDSKQRLQIVSEDALLFLSRQPLVGPVFILD AMPSLSAPSSTLLANKLHVILKLLLEFGVHDSTGGGALGLKYVLHPALAACEHKDAAV RHAAIQIFSEAFKVARPATMPFLNGLARGAKQKLIAKLVELGVLESDLLMDEVDDFDS APTARPGTSGPRPPTASGSSTKHRPSLATVSVLAPPSATSSTSTDSALPYGTALTDDQ RTDYARMLAVMGEPIVRCLLDKTWAPREAAVREIEKQVLLAGAAPTSSASSTATTRWA TDAPTLVVLSEALELVLHDTVARVYQCALRLLQVVSTDFVPTVPGYAMVLHSTLKPAI EAVIQKLGDSKPRVRSDSFAVLHAVSTLSHIGPGLVGGFVMEQFHATDAPVAKTEMLM LLTSLLRESKATCSKAKGDYSSSSNASDHAKAAKQTAMSGNLVDLAQVLDIIVPALDN KHVDIRNAAVAAYTALYELVKAIPTTKTPPLDIHACLAHVKPAVREAISKNILTSSST SSSPSTCAPMVGLPLADNTESPSFPEVESARGCGGDGSLELDKVAIVFGEKVASLLAH PSTRRQGLVQLMTKLMSMSSASPLSTAAGVTYSSSSSSTQRPWEVSCLLAKAMLLDTN VAVVLTTLQLLTTVAGTGGKEITASLIPWGEWGVHLVLGSTVRSVLQQAAHPAVRVRL AVKQWLQVLVHRHTLGRTVVVTALLDALRQAAHVSGTSTRGRRLQHIRVGWELVVRLE MLDDMLQNDPPSDLVAMDNVLPFLGASCVGHGSATVRQWVQTLLMWFHSQDAPRLTKA LHGSGVPHARQLMGLLADAAASSTVGSTDTVPPSAVHVRTSRMSHVRRVAALRGGPGD DSPRCGGGNNSSDDNDPTKDVVIRSTTKTHQMQMMATKPLWLRDKTDDTDGAVAGVGG GPLGRGLGGGASGLVKARRTSRTRNNHDDDDVDHHHMQAPTPQQQPPHRRVHVGLA H257_16552 MGGGEIESYVQDFMVIKHDPLEEEMNLQRQTERLLDRWHGLVLK GRRTSNADNIDSVEARIQRNIHRHEKMLVWLGSMERDLQHEAVAYEDACAMASSDHPS RFPTATVVDESERILKQIDALLMDRQEWELSASLSSLDMSATPTAMQSPLFMA H257_16553 MVKVVIFLSALATAASAGSVTELPESVTKLIDYSVNPCDDFYQY ACGAWFKDAVIPPDSHLIDTAAAKLTIQNEAVVKKILSDNTTKIGAFYSSCLDTATLS SLGLAPLADSIKAIRSANTTLNLLAVAGELVKYGIPAFVDIKARPGNANATKNALFGL RAPLPLSRWDYTVPAYWNSIKGDYEVYITSVLQLAGYTAEQAVAAVPVITRFEQTLEG FALSRHEEKKAEASPYTVFNYCELDEKYPLLIGSWLKANGFNVRDQCGGSNDWVGFHY LTYFDKTEAFLKNTTLDDLRTIVEYKLIHASSEYLTPEFRTANWNFFSKRNPFSEAVE PTRAQFCAKEVGDVLGELLGQEFIDAVWSPGTAKAVDELVEALKSSFSTGIATADWLD NSTRANAQTKLSKYVHLVGGPENPQLYPTLTVDSKTYLKNRWKVSQVNIDSNLKLNGQ PVDKHKFYTPPQNLDARYLELENKILFTAGILQAPYFDLKYDAAQNFGGIGTVIGHEI THGFDHRFRYYDGDGKLNPWWSNATDIAFNTKAQCISDQYANFVVKSDLTGNELGKLN ARVSLGETIADNGGLKASFRAYHEYLKKFPSQYTEETGDKLFYLSYAQVWCSKYTDSF LRESLHRKHPPNRNRVTGALQNNAEFARVFNCPTHSNLNPSKKCLLWE H257_16554 MPPNKKVNTPFQDSNKLTYAVELINTTGNGVVTYRCMFYEYVGR DAAEVGPSNNRKRKARSGTHYYTAPFTAAKYKSHLSGQHNSPWTEYQAASIEDKKKLF DGQLK H257_16555 MTFSVKATIVDVIIGEMFFRNDAIINQHDSDSMDENAATNKAAK IAKQKLNTMKLFVRSDDDPDRYTITIKNVMRFELAMDFVGIGMSFRQVASAIQYAKIR THTAKLTGANDLIVGQYVRVLVGTSLQHIADVLDHESVWAMSLAGDSSTHRGQSFFDL RVRVCFGHKLYNLHSSPFRCTTATQPR H257_16556 MLVKFLDALYPPWRTKLIGMSSDGENTMTGRHRGLVTRIVATAE NPVLRIWCAPHQIDLIVKQAAECVAYGTWIKFTWSFSVFLRQQANLTTRMNVKCPKQT NRWSHLGRLLTFLKSHRRQLIAYCVENRPDNAPTYEWWLVTFSIAPIIDAINVTITIL QSRSLLIAQQESHINALVGTLAAMLDVAIVEQGESIDDDDDVVYESMRIPVDSIVAHI HDQGSFATKCYDELNPGE H257_16557 MSVRFSSISHLRMEKSLSTATVLAKTVARPPLKAHRWLNFPGQI PQASSNVVFSLCESMAARRISSSW H257_16558 MLDKAERTKLPDEAKFAYRLIPKATPVVLDESTDHGLLESEAAR ELMKDIKHVFHFKQLV H257_16559 MLLQYARGALRGVWNWFFETPNCLFQLLYVSLLVSCYYCLVTEV WPSVGIVDKLMSAVFGVLALELFVVVSFIDPGRITRDNVNHFKRYPSHNVLYPAGKTC STCHTLKIPRSKHCRVCNHCVARFDHHCIWVNTCVAVHNYGAFFGFLVVNTMGAAHLL YLTMRSFVLKVDAVLGPSSSARSVDDVKQLLTELLSVKPGLTFVASMSAMVWFLVSCL MGCQLSRVYRNCTTNEHFKRQDLHASLCLSAGDDNNGRDSDAHRPIHLDLAWGVLDLP SPPSPSRPFRRAAPKLSAEAIDWNPYDVGLVNNMRDALYPSSIKLD H257_16560 MADSAYSFSLTTFNPSGKLLQIEYALNAVNNGGHATLGIRCKNG VVIVTEKKLPTILVDEKAYKKIETLHSSAGVIYSGLGPDYRVLVRKARKKAQAYFLKY KENSPASILVRDIAAIMQEFTQSGGVRPFGVSLLYAGYDDEGPQLYQIDPSGSYFGWK ATAIGKDSVTKKTFLERRYADDIELEDAINTALLTMRDGFEGEMNEHNIEVGVIGTDK KFRVLTPSEVKDYLAEAQ H257_16561 MAVYLQQWQQIEGHMDEQFILAFNRFRGANQDFVGIDQFVKIVT TVTRHGVSVRDCRLIFYDTGKEMIDLNTILRLMQEYDLRISLSHPKVMLDEADFDCIR QCLGVSSVLSFEDEFKQLVACWTEVKPKIDKQMASLHQTDETKRDLAYHLQAVEDSLA YLRSGIDTPSMNSTWETFRSSIASLQAAVNAQRSFSILYVQCHVRKWVSKLKRRKSER RSLMLQ H257_16562 MERPPSLYRPARNSTYNFVSPRTPSRSSPRHTYDDTGISATVLD AIVAGVLEAWAIRLGQEESSSFSLDPASSGHEAVGSPTVADRVRKLWQAVVRQSHDVA SPHALPNPICTAICVEILVEVLHVVLPRHSGLAEVLIGGLVHSIYATYDPSKSYYANN MYAHAGQDKSTVSVVQATVRMIYTLYSQLDPSTQRSVATDLVKPQVSTDMLGDLWDVL MGTLPTRDEAAGHMALLSRCFSSLRRHEKCQLLPQLASSFIPRRVEEFLPPTNSHQDP DDTFDVTPTASSPTTTGTHHTQPPPLCRRSQRGSNIFNPREYRKASSRLGKSSLVRGS HQLMVQRKPSEVRKGGTALGVAAFRRKSTRVHDVVLPPDQLHGILPLVGYHHHHHPRQ STAPPPLMTKPSLLVQYEDEPDTSAELLEFLDELADDLKEIYALLRVGEYRSQQSGMH DLAQLLTTRLHVTLDDDGMSDPKDKERTAVTTIVQMLKAHPSALGSVLKHVPDLVVDT LRVQQGILKYVMVHCSWVVGQFLKLDEVAADTWQAIVKAQSEKQLTLLWSTDQDAGTE EEEVVVSPVEAAYQWLQSHVTDTAKVLLLNHDMAKHIFAAMEREVELAAKGATNKGLR LKMLLTELDDLPTFQAHTHLATQKGFEMAMKHDMKGMVTLLTIILSNNTHLKDLVTSN VEVIAALAMHNKHALINLLNSDLVTWKPFFMQAMTQHAFLLTDCLMAKTKHLGGGDQL MVAYHSVLDGVAGRWVTDDADRSSGVTFKPRSHFTRAVSVLRIANRRGSRASSSEGTV EVVHATDGKDKRSMAGSPSVKRMASMRQRQMLDGVPRASVVPGVYHIPWIWKTFLIDA ALERPTMQQDSWGRRELKRFILDILIEKIKLDELEIDEDVVSDLSVFVCDYLTTKLQN RSLVSFQLQQLVNGLQKHIEDPRVSFFAAACGVKQLLRRGVLHSCLRSLSHLLFGELR IFRQDKRLQELVDGSCVVPVAAVEAAARSVFAQCLSSEEMDVVVHQIASLRRFPASDM YCSWRAI H257_16563 MAESQLQKLKYGDELMEAAEQIARGKTGRPPAAVRIYFEETGKM KNASTTLLLCKFCHKEIAGRAISLEGHLKKCPNAPEEARPPKGAKKEKVPRSASDMAF GGGHGNGNGGVKGEHKHSMKKLCTVTTSMEGKFRNLLVPKLAAIQSLHLMLRDKRSSH LQFKHHADRLMRIMAEEALAVCAVEFATVWTPNGMEYSGMRPNNNLCAVSIQRSGDCL LEQVLQCDPSVSVGKLLIQRDETSAEKTPILFYSKLPPRLPTFDRVLLLDPMLATGGS AMMAIQLLRNAGVDEANIVLVNVVSCPVGLSYIFDTFPSIKILTSAIDPDLNANKSVL PGLGSFGDRYYNTTN H257_16564 MADTRREYGDEIMEDADGGIARGKTGRPPAAVRVHYDETGRMKN ASTALLVCKFCHKEVAGRAVSLEGHLKKCPNAPEEVRPPKIDKKERMPGHLSSSHASS MVMAMSTAGALKAETKHAMKKLCTVTSSMESKFKNLVVPKLNSIQSLHLMMRDKRSTH LQFKQHADRLMRLLAEEALATCAMEFATVWTPNGVEYSGMRPNSNVCAVPIERSGDSL LEQVLQCDPAVSVGKLLIEQDESSPEKSPVLFYSKLPPRIPSFDRVLLLEPTLATGCA AVVAIRLLLDAGVDERNIVLVSVVACPVGLTAVFQLYPEVKIIATAIDPELNSTMDTS PGLGDFGDRYFNTMQGHKPHVGHVLSSVRHPLGGNVLVDEYSIV H257_16564 MADTRREYGDEIMEDADGGIARGKTGRPPAAVRVHYDETGRMKN ASTALLVCKFCHKEVAGRAVSLEGHLKKCPNAPEEVRPPKIDKKERMPGHLSSSHASS MVMAMSTAGALKAETKHAMKKLCTVTSSMESKFKNLVVPKLNSIQSLHLMMRDKRSTH LQFKQHADRLMRLLAEEALATCAMEFATVWTPNGVEYSGMRPNSNVCAVPIERSGDSL LEQVLQCDPAVSVGKLLIEQDESSPEKSPVLFYSKLPPRIPSFDRVLLLEPTLATGCA AVVAIRVWH H257_16564 MADTRREYGDEIMEDADGGIARGKTGRPPAAVRVHYDETGRMKN ASTALLVCKFCHKEVAGRAVSLEGHLKKCPNAPEEVRPPKIDKKERMPGHLSSSHASS MVMAMSTAGALKAETKHAMKKLCTVTSSMESKFKNLVVPKLNSIQSLHLMMRDKRSTH LQFKQHADRLMRLLAEEALATCAMEFATVWTPNGVEYSGMRPNSNVCAVPIERSGDSL LEQVLQCDPAVSVGNVIYTNRSMLSCCLYPYLSGRSRQTLDRTRRIVA H257_16564 MADTRREYGDEIMEDADGGIARGKTGRPPAAVRVHYDETGRMKN ASTALLVCKFCHKEVAGRAVSLEGHLKKCPNAPEEVRPPKIDKKERMPGHLSSSHASS MVMAMSTAGALKAETKHAMKKLCTVTSSMESKFKNLVVPKLNSIQSLHLMMRDKRSTH LQFKQHADRLMRLLAEEALATCAMEFATVWTPNGVEYSGMRPNSNVCAVPIERSGDSL LEQVLQCDPAVSVGNVIYTNRSMLSCCLYPYLSGRSRQTLDRTRRIVA H257_16565 MGCVKSSPIPQVPAAPNAQNDGAVPASSAATGSTGAQLVTNEPQ RHGFEANYTLGKKLGEGTFSVVKEGIQKSTGKKFAIKCIKKSGLSQEDLDALHEEIDI LKKMEHPNIMTLFEVYTEAQYYYLVTEFMQGGELFDRIVEKTFYTEKEARDLVQVLLG AIKYCHDHNVVHRDLKPENLLLTSKDDDAYIKIGDFGFAKQDLKAGLTTACGTPGYVA PEILKGEAYGKSVDIWSIGVITFILLCGYPPFHDENQKRLFTAIKLGQYKFDAPYWDD VSADAKDFISKMLIVNPNDRHTADQLLEHVWVTGDEVSTVPLTKAMEELKKYNTRRKF KAAVRTVQVTAALTRGLVPPPHGKDAPGSDDELEAVAADDVKVNVQGEAPVAEAAAAT GTAAATGTGDAVKP H257_16566 MPPPTTSAVHVTTWVVVGALAAAMVVYAMKKTPSDDSNDRDVIS PPSSSSQPDIPTEAAPSSPKVVTATTAPPLSFKETYTLGKRLGAGAFAVVREGVNKVT GTKFAVKCIKTSSLSPADVRGLRQEISILKQLSHPNIMSLHDVIEEPNVTYLVTEYIA GGELFDRIVEKTFYSENEARELVRILLGAIKYCHDHNVVHRDLKPENLLLTSADDDAS IKLADFGFAKQQSIDGLTTACGTPGYVAPEIIKGEPYGKSVDIWSVGVITYILLCGYP PFHDDNPTRLFAAIKTGSYKFESPYWDDVSADAKAFIGCMLKVHASSRATADELLKHA WMVNMDVSTAPLGTVLEELKKYNHRRKFKAAVRTVQMTAVLARGMSGTHKTADSP H257_16567 MPSSPSATADGSIPEAPPITNTLVNGGPVTCPSSSSVGHHCPVL PIPSNIVELSSSVESTVAQTIPTTSSSNPSTTDDSTAAVSSPSGRRPVDATVATSHPI LTDIHAAYAFGPTLGRGAHSEVFAGLDKTTGTPVAIKAIAKIGLDQFYLDALGHEINY LYQLRSHPHIIRLHAVYADTDQYYLVTEYLAGGELFDRIVEQEYYSENEARALVRLVL QAIKHCHDHDIVHRDLKPENIFLASASDNTSVKIGDFGYAVVAAESTLTTACGTPSYV APEILLHEPYGKPVDVWSVGVITYILLVGYMPFHGNTQVELFSRIKHAEYDCPDDLSP DAQDFLSKMLVVAPVERYTVDQLLCHVWITGTVVPTFPLTKTREELRKFNLRRKIRAG VRAVQAMDTFSKLPKIHLDDEFDDDARGMPIEGDGGDGTVPPPPTTPTNSASRPKPGI LAQLPSARSWFRSMRVHPTIS H257_16568 MDVDTDMHVDEVVLSASDVEAHAAITAADDNDMDVVPSFPALSA QHLDGGKDDFRRVRVPAHRYTPLKNDWPNIMKPLVEHLKLQVRMNMKTRCVELKNGPH TDDAGALQKGADFVQAYMMGFEVQDAVALLRLEDLFVDTFEVTDVKMLKGDHLSRAIG RLAGQDGKTKYAIENATRTRVVLADQKVHILGSFSNIKLARDAICSLIMGAPPGKVYN KMKNVATRMNERF H257_16569 MRATAIWTSVPPRRQRRRRWSTKLGLLMVFLVASSFMQVACAAD SEGTSPNNQDEPTSSWDDISDKLKVATAKGLSKLSWGLEWLGYKSGFGEQCTFVEHPS LAVRKHLQANLKAQDRAVDVLVASIEAWEFSRTHAKDHAPLVLALTGPTGTGKTESSN LLAEALFKWTKRLDHSDKDSPSGLLVFRGEDFSDNYTNPVSQYQEQIKSRLAEHLFRC SGKALVVFDEVQKVIPHTLDVLTSAMSSNAHLTYHRGGVERRIDTADVVFLLISDIGV AKMEQLLIQYDDRRHVPATQLENDVKRALDAQWTRLQFGKMVRQVVPFLPFEPQHIVL VIQAKLDQLSEYYQGVYWKSLAFDPALAPHLSTLESMLYIERRAVVRGVDVRKVFAKY GARNVETGPLQQLKSKLMRYARPWNPEAQFTVRLMPDERTIEIVACVEARANDQDKAD EDGGGGAIATLSCVSRWQGEFT H257_16570 MADWDAYVDERGYTYYYNRITGDTAWEIPPDAAADVVDQPPVTT PESVVSSEPTDGTQVGDTWTATSEGGEWAFGGVNPADGTFYFIHTTTGERVTNLPMDT PQSATSYANKHDYVGSILLDKVAPEVQRCMDDLVQTIETQLAVWMRRRQRNAKALAKQ HKGHRGRQTNKQAVVQQLPQQHHHPTPVRMTQLLVNAKRYEYSAEYAARRAELDAQLR EDEVQKEVVRVTDRLALRRKRQVKQAAAWRRADIKEEQIQLRHHITRVLVAKATNGLD IHGKIDLSSGDDSNNNHGEPATKLKRDELFESEERAALVRHRTRQLERLFVAMDMDKR GTVSALHVLHHLVTQPTDVQRWVQPPRRGLDDLVTTGVLQAFFLQLRQVNLRQFVTFV DISEDVVAQVDRIQAAMERAGLGVVSADVATWQSSMSNVKTGEAANRKQLIVNRLQQS FCTAKETCLAQVRHVLHFRELRSSGATAGPTGDDQQPIWQLAHKHVYLQLQPSLPSYC VHCRRCRARLGKLDRYDVEGTHRATWGRHLARRMHNIEMSLRQWPTGTNAADETDLAS IAKFLAQSSDSTSTAALDALLTPKTDEVPSASMAHQIVRATLNDTVAAASLLASYDAW EARVLAPSQSRLSSEPMPTTSGTPASRGSSHDLLAKLTKRREDVEREEMARALLFEED ERSHEEVERAKVAMETPLILEQDAAHREFVRLLQKCLDPSIMLKRLNFERVLAVRSPT DNMVLRMVDSATNMHCIVHTMRCASVDEADNVVMLARQLHHHRPPHTVEVLHVFQYMY QQFATHGNLNECWPVVFVVTEDCMEGGYWLPPSPRPPLPAADILHILNAVSGALAALH SQHICHWNLNARNLYRSNVYAIDDAAGERRQQLKLGGFLAFKQPFTQDDLSKLPSIVH PSLVPPELTSTSRCTNGMMLNEKTDMWMLGCLLYSLVTGSEQVVREKSLSTIMTDVPL RYGTSIRSCLRMLLQPLPQHRPTAMEIFNFISCASPDEGVAADTKASSASMTLKKSVR SLDPLPSR H257_16570 MADWDAYVDERGYTYYYNRITGDTAWEIPPDAAADVVDQPPVTT PESVVSSEPTDGTQVGDTWTATSEGGEWAFGGVNPADGTFYFIHTTTGERVTNLPMDT PQSATSYANKHDYVGSILLDKVAPEVQRCMDDLVQTIETQLAVWMRRRQRNAKALAKQ HKGHRGRQTNKQAVVQQLPQQHHHPTPVRMTQLLVNAKRYEYSAEYAARRAELDAQLR EDEVQKEVVRVTDRLALRRKRQVKQAAAWRRADIKEEQIQLRHHITRVLVAKATNGLD IHGKIDLSSGDDSNNNHGEPATKLKRDELFESEERAALVRHRTRQLERLFVAMDMDKR GTVSALHVLHHLVTQPTDVQRWVQPPRRGLDDLVTTGVLQAFFLQLRQVNLRQFVTFV DISEDVVAQVDRIQAAMERAGLGVVSADVATWQSSMSNVKTGEAANRKQLIVNRLQQS FCTAKETCLAQVRHVLHFRELRSSGATAGPTGDDQQPIWQLAHKHVYLQLQPSLPSYC VHCRRCRARLGKLDRYDVEGTHRATWGRHLARRMHNIEMSLRQWPTGTNAADETDLAS IAKFLAQSSDSTSTAALDALLTPKTDEVPSASMAHQIVRATLNDTVAAASLLASYDAW EARVLAPSQSRLSSEPMPTTSGTPASRGSSHDLLAKLTKRREDVEREEMARALLFEED ERSHEEVERAKVAMETPLILEQDAAHREFVRLLQKCLDPSIMLKRLNFERVLAVRSPT DNMVLRMVDSATNMHCIVHTMRCASVDEADNVVMLARQLHHHRPPHTVEVLHVFQYMY QQFATHGNLNECWPVVFVVTEDCMEGGYWLPPSPRPPLPAADILHILNAVSGALAALH SQHICHWNLNARNLYRSNVYAIDDAAGERRQQLKLGGFLAFKQPFTQDDLSKLPSIVH PSLVPPELTSTSRCTNGMMLNEKTDMWMLGCLLYSLVTGMVLYLHLVPSLAT H257_16571 MSSSSLTTADIHATSAAATTQPCLHGDPIRGGVPEAVLASTSIA SEQVPWRAIATATAVKTLLEILRPFSDYVQPFVAIFVLAMSTQVILGVYVLGAACMVV LDHRFRGFIAAETSSASLLCITLMCAKTSVDVATSNGDATPLHTLFKPFADPTTLADM VWTVLIKCLVLRLVMHSVVTFAGLVLEWQRASTLDQLTTRLAFYFTPRLPIARTGASA VRRAAAAAPFASWFSFGATRRSTAVQPFTAA H257_16572 MSSFHDLMALSDLLQPAEEDERHEGTLTKTPPMPHANIPLPKEA LNRRIGQIVPQDLKAIWTDDEVAGSDDDDEFDTRTRPKYEVLFKQEVMTEDVFLGLGD KDPSSSNCDHITIKMVFPGHCLDEIGLDLNKRRLVAQSHACKLVLYWPTPVQHKRGRA TWDAKTDTLAVTVPMLKDEW H257_16572 MSSFHDLMALSDLLQPAEEDERHEGTLTKTPPMPHANIPLPKEA LNRRIGQIVPQDLKAIWTDDEVAGSDDDDEFDTRTRPKYEVLFKQEVMTEDVFLGLGD KDPSSSNCDHITIKMVFPGHCLDEIGLDLNKRRLVAQSHAWYG H257_16573 MEPDAAADVEPMREPSDLVRLRAYLEEHFPVAERGLICAPIQAR AKQPDVLVQLPLSNSPPIPPDEVDSVASLCSNLVLRLEHLFGLGFSRAPSILRGQSYE H257_16574 MQRRTWSRCGSRRTLCDYGRIWRNISPWQNVGLSVHQFKHGQSN PTCLSSYHSRTPTSSPPMKMLLPLLQPMTARPAMDYSTSTSNRDAVIQFQRKLCTVED VQELLDGLSRGRDLGRVAYGQAVIAQSTLRACAEGQVCLVGGYEGYA H257_16575 MGVAESKPHLQAPFQRLSEWTFARVEKLLTDYVAKDLDFGLDSH ALSLLLDGDKEWSDEIVKAFASPNGLINALTFITGAALAVMGEPTEKAALCFRAFDFS GTNTISLDETTILFMCALRGFVLITGQGTIPKDDKLEATALDVYRTYDKDNYSKLTKD EFTKWTTSKLSSIAPSDLTPHRFLHALGLGSDPIVPSSTVDNQDGGTTLDGIMSNAAP AVSF H257_16575 MGVAESKPHLQAPFQRLSECIDRRTFARVEKLLTDYVAKDLDFG LDSHALSLLLDGDKEWSDEIVKAFASPNGLINALTFITGAALAVMGEPTEKAALCFRA FDFSGTNTISLDETTILFMCALRGFVLITGQGTIPKDDKLEATALDVYRTYDKDNYSK LTKDEFTKWTTSKLSSIAPSDLTPHRFLHALGLGSDPIVPSSTVDNQDGGTTLDGIMS NAAPAVSF H257_16576 MDTSSTSAWAFYYLQAEDGEDRSHPNAFRIPKASPGADITLADV HKHFPLANPHAFHFRFRINSAKGDTFFWIDITSPSQVVPLVNGRVISKVLRLQRPVKV GLVLHRKPVLKWIDSLPSKPTSSLSSVQPISSQRTNTNSSIDRPQKYSDASSSPGPPA PPARPPPAATAPSSSKPSTESTESFEDFLSGGGAPSKPAPDVVDLMGSGTAATTWPNK TQPPSPSLPPPSTTPRPTTPPKPAAAAKNFEDDCGQTVGPVSLAEMEKHKVSSDGTQV YNPDLVDKSTKSSAVRAAMEERERTKAAEIERARQDLLRRDDEKAAMDNAKAHSVTVL GPKMKAWAEDNGRKKNIRTLLSTMHHVMWPDSKWIEVNMGKLLMPNDVKKVYRRAIMV VHPDKAGGRTPDQLVVAERIFDALNTAWDEFSRTELK H257_16577 MKKFAKKGIAAAAAVNDDTLEQNWAIESVEVDNDTSDVEVEDEE EVDEDGVLEVVDEATASKKRARDTAAGNDEEGAAAKPLKAVKKQKTLKGLQNMTQAEH FKLINDVYSKLYGDNLTPLEIANGLTEAHFTVVPQFGKHNLDSLSRFLRSICPKWKLL FKGKGIKDQCSPILLIVCSSALRAVEVGKAIAVFHCHVAKLFGKHLKLTEQVDMLKHF HPIAIGTPGRIKTLLEMKALSLAHTTHVVVDMHRDSKQMSILELKDTAKDTIDVLREH LLVPLASQKLSIALY H257_16578 MGCANSSSQRMPVPPSQMHPPPSSSPPPSADHPLSVPDKMIMGT KPLPAYTSSSSPDMLPPPPPPPLEDYSPESPTCGYGIGFTDQYKLGETLGTGNFSVVR EALHKPSGQRYAIKCIKKGGLSNDELEALTTEVAVLKQMKHPNIMILHDFFSEPDYYY LVTEYMDGGELFDRVVEKSYYSEREARDLVKLLLEAIKYCHECNVVHRDLKPENLLLT SKMDDASIKLADFGFAKRVDMHDEGLKTACGTPGYVAPEILESRPYGKSVDIWSIGVI TYILLCGYPPFNDDNHHALFRKIKQGQFEFDAPYWDAISDDAKHFIGQMLVVDNKLRA TAAQLLEHPWIVGSQVSTVQLSSALDELRRFTARDKFKGAVRAIMAQQDAAKAKQATS LLSTSTTANTPLVVR H257_16578 MGCANSSSQRMPVPPSQMHPPPSSSPPPSADHPLSVPDKMIMGT KPLPAYTSSSSPDMLPPPPPPPLEDYSPESPTCGYGIGFTDQYKLGETLGTGNFSVVR EALHKPSGQRYAIKCIKKGGLSNDELEALTTEVAVLKQMKHPNIMILHDFFSEPDYYY LVTEYMDGGELFDRVVEKSYYSEREARDLVKLLLEAIKYCHECNVVHRDLKPENLLLT SKMDDASIKLADFGFAKRVDMHDEGLKTACGTPGYVAPEILESRPYGKSVDIWSIGVI TYILLCGYPPFNDDNHHALFRKIKQGQFEFDAPYWDAISDDAKHFIGQMLVVDNKLRA TAAQLLEHPWIVGSQVSTVQLSSALDELRRFTARDKFKGAVRAVIMAQQDAAKAKQAT SLLSTSTTANTPLVVR H257_16578 MGCANSSSQRMPVPPSQMHPPPSSSPPPSADHPLSVPDKMIMGT KPLPAYTSSSSPDMLPPPPPPPLEDYSPESPTCGYGIGFTDQYKLGETLGTGNFSVVR EALHKPSGQRYAIKCIKKGGLSNDELEALTTEVAVLKQMKHPNIMILHDFFSEPDYYY LVTEYMDGGELFDRVVEKSYYSEREARDLVKLLLEAIKYCHECNVVHRDLKPENLLLT SKMDDASIKLADFGFAKRVDMHDEGLKTACGTPGYVAPEILESRPYGKSVDIWSIGVI TYILLCGYPPFNDDNHHALFRKIKQGQFEFDAPYWDAISDDAKHFIGQMLVVDNKLRA TAAQLLEHPWIVGSQVSTVQLSSALDELRRFTARDKFKGAVRAVVY H257_16578 MGCANSSSQRMPVPPSQMHPPPSSSPPPSADHPLSVPDKMIMGT KPLPAYTSSSSPDMLPPPPPPPLEDYSPESPTCGYGIGFTDQYKLGETLGTGNFSVVR EALHKPSGQRYAIKCIKKGGLSNDELEALTTEVAVLKQMKHPNIMILHDFFSEPDYYY LVTEYMDGGELFDRVVEKSYYSEREARDLVKLLLEAIKYCHECNVVHRDLKPENLLLT SKMDDASIKLADFGFAKRVDMHDEGLKTACGTPGYVAPEILESRPYGKSVDIWSIGVI TYILLCGYPPFNDDNHHALFRKIKQGQFEFDAPYWDAISDDAKHFIGQMLVVDNKLRY H257_16578 MGCANSSSQRMPVPPSQMHPPPSSSPPPSADHPLSVPDKMIMGT KPLPAYTSSSSPDMLPPPPPPPLEDYSPESPTCGYGIGFTDQYKLGETLGTGNFSVVR EALHKPSGQRYAIKCIKKGGLSNDELEALTTEVAVLKQMKHPNIMILHDFFSEPDYYY LVTEYMDGGELFDRVVEKSYYSEREARDLVKLLLEAIKYCHECNVVHRDLKPENLLLT SKMDDASIKLADFGFAKRVDMHDEGLKTACGTPGYVAPEILESRPYGKSVDIWSIGVI TYILLCGYPPFNDDNHHALFRKIKQGQFEFDAPYWDAISDDAKHFIGQMLVVDNKLRY H257_16579 MVEVVVLEGYLHKQSKHLKLWKIRYFVLAEWTLSYSKKSGKKAK NSYELKDVHVIPDQNYVVHDHNFVLDVQYGHDKHMYLSAPTESERLKWTQAIKATRGK WLELTSPDATVDGTKLPRDAASSASSSGFFGHLFQLPDSSASKSKRMMRKKRHATKER KDERKRARMAKMKKPFGSIWLEDVLPHWGDAAALPPHMVEALCFAGIPKELRGRAWSA MLGNRLQINEQLFDICRSRAKAVLLEMHLVQDKDEKCRLESLEQHKEQVNGQRNHQVA EQLHHNSRPQLTLPVHRPTDDTPLPSLSANEKAPVVAEPPTKDMPSVAEQLIADNERN IKLVQRDMPRTFGNHPLFQDGAAGTQKTYDVLEAYTCYRPDLGYVQGMSYLAAILCLH LDSFGAFRAMVSLMSTRLMFDMYRLEEDRTFLYLTVYDTVLKHELPTLYSHFQEIGMD PKMYVVDWAFTLFTRCVPLEVVLRIWDCYIYLGTPFFFQACMAILVMYEDTLLTLDLG DAMKFLHNVPKSCSPHDFFEAMDTVNLSRPAINALLAGGDVRPWSPVGKRSIEVEYPE AYEY H257_16579 MVEVVVLEGYLHKQSKHLKLWKIRYFVLAEWTLSYSKKSGKKAK NSYELKDVHVIPDQNYVVHDHNFVLDVQYGHDKHMYLSAPTESERLKWTQAIKATRGK WLELTSPDATVDGTKLPRDAASSASSSGFFGHLFQLPDSSASKSKRMMRKKRHATKER KDERKRARMAKMKKPFGSIWLEDVLPHWGDAAALPPHMVEALCFAGIPKELRGRAWSA MLGNRLQINEQLFDICRSRAKAVLLEMHLVQDKDEKCRLESLEQHKEQVNGQRNHQVA EQLHHNSRPQLTLPVHRPTDDTPLPSLSANEKAPVVAEPPTKDMPSVAEQLIADNERN IKLVQRDMPRTFGNHPLFQDGAAGTQKTYDVLEAYTCYRPDLGYVQGMSYLAAILCLH LDSFGAFRAMVSLMSTRLMFDMYRLEEDRTFLYLTVYDTVLKHELPTLYSHFQEIGMD PKMYVVDWAFTLFTRCVPLEVVLRIWDCYIYLGTPFFFQACMGTHVHTFIVSCA H257_16579 MVEVVVLEGYLHKQSKHLKLWKIRYFVLAEWTLSYSKKSGKKAK NSYELKDVHVIPDQNYVVHDHNFVLDVQYGHDKHMYLSAPTESERLKWTQAIKATRGK WLELTSPDATVDGTKLPRDAASSASSSGFFGHLFQLPDSSASKSKRMMRKKRHATKER KDERKRARMAKMKKPFGSIWLEDVLPHWGDAAALPPHMVEALCFAGIPKELRGRAWSA MLGNRLQINEQLFDICRSRAKAVLLEMHLVQDKDEKCRLESLEQHKEQVNGQRNHQVA EQLHHNSRPQLTLPVHRPTDDTPLPSLSANEKAPVVAEPPTKDMPSVAEQLIADNERN IKLVQRDMPRTFGNHPLFQDGAAGTQKTYDVLEAYTCYRPDLGYVQGMSYLAAILCLH LDSFGAFRAMVSLMSTRLMFDMYRLEEDRTFLYLTVYDTVLKHELPTLYSHFQEIGMD PKMYVVDWAFTLFTRCVPLEVVLRIWDCYIYLGTPFFFQACMGTHVHTFIVSCA H257_16579 MVEVVVLEGYLHKQSKHLKLWKIRYFVLAEWTLSYSKKSGKKAK NSYELKDVHVIPDQNYVVHDHNFVLDVQYGHDKHMYLSAPTESERLKWTQAIKATRGK WLELTSPDATVDGTKLPRDAASSASSSGFFGHLFQLPDSSASKSKRMMRKKRHATKER KDERKRARMAKMKKPFGSIWLEDVLPHWGDAAALPPHMVEALCFAGIPKELRGRAWSA MLGNRLQINEQLFDICRSRAKAVLLEMHLVQDKDEKCRLESLEQHKEQVNGQRNHQVA EQLHHNSRPQLTLPVHRPTDDTPLPSLSANEKAPVVAEPPTKDMPSVAEQLIADNERN IKLVQRDMPRTFGNHPLFQDGAAGTQKTYDVLEAYTCYRPDLGYVQGMSYLAAILCLH LDSFGAFRAMVSLMSTRLMFDMYRLEEDRTFLYLTVYDTVLKHELPTLYSHFQEIGMD PKMYVVDW H257_16579 MVEVVVLEGYLHKQSKHLKLWKIRYFVLAEWTLSYSKKSGKKAK NSYELKDVHVIPDQNYVVHDHNFVLDVQYGHDKHMYLSAPTESERLKWTQAIKATRGK WLELTSPDATVDGTKLPRDAASSASSSGFFGHLFQLPDSSASKSKRMMRKKRHATKER KDERKRARMAKMKKPFGSIWLEDVLPHWGDAAALPPHMVEALCFAGIPKELRGRAWSA MLGNRLQINEQLFDICRSRAKAVLLEMHLVQDKDEKCRLESLEQHKEQVNGQRNHQVA EQLHHNSRPQLTLPVHRPTDDTPLPSLSANEKAPVVAEPPTKDMPSVAEQLIADNERN IKLVQRDMPRTFGNHPLFQDGAAGTQKTYDVLEAYTCYRPDLGYVQGMSYLAAILCLH LDSFGAFRAMVSLMSTRLMFDMYRLEEDRTFLYLTVYDTVLKHELPTLYSHFQEIGMD PKMYVVDW H257_16579 MVEVVVLEGYLHKQSKHLKLWKIRYFVLAEWTLSYSKKSGKKAK NSYELKDVHVIPDQNYVVHDHNFVLDVQYGHDKHMYLSAPTESERLKWTQAIKATRGK WLELTSPDATVDGTKLPRDAASSASSSGFFGHLFQLPDSSASKSKRMMRKKRHATKER KDERKRARMAKMKKPFGSIWLEDVLPHWGDAAALPPHMVEALCFAGIPKELRGRAWSA MLGNRLQINEQLFDICRSRAKAVLLEMHLVQDKDEKCRLESLEQHKEQVNGQRNHQVA EQLHHNSRPQLTLPVHRPTDDTPLPSLSANEKAPVVAEPPTKDMPSVAEQLIADNERN IKLVQRDMPRTFGNHPLFQDGAAGTQKTYDVLEAYTCYRPDLGYVQGMSYLAAILCLH LDSFGAFRAMVSLMSTRLMFDMYRLEEDRTFLYLTVYDTVLKHELPTLYSHFQEIGMD PKMYVVDW H257_16579 MVEVVVLEGYLHKQSKHLKLWKIRYFVLAEWTLSYSKKSGKKAK NSYELKDVHVIPDQNYVVHDHNFVLDVQYGHDKHMYLSAPTESERLKWTQAIKATRGK WLELTSPDATVDGTKLPRDAASSASSSGFFGHLFQLPDSSASKSKRMMRKKRHATKER KDERKRARMAKMKKPFGSIWLEDVLPHWGDAAALPPHMVEALCFAGIPKELRGRAWSA MLGNRLQINEQLFDICRSRAKAVLLEMHLVQDKDEKCRLESLEQHKEQVNGQRNHQVA EQLHHNSRPQLTLPVHRPTDDTPLPSLSANEKAPVVAEPPTKDMPSVAEQLIADNERN IKLVQRDMPRTFGNHPLFQDGAAGTQKTYDVLEAYTCYRPDLGYVQGMSYLAAILCLH LDSFGAFRAMVSLMSTRLMFDMYRLEEDRTFLYLTVYDTVLKHELPTLYSHFQEIGMD PKMYVVDW H257_16579 MVEVVVLEGYLHKQSKHLKLWKIRYFVLAEWTLSYSKKSGKKAK NSYELKDVHVIPDQNYVVHDHNFVLDVQYGHDKHMYLSAPTESERLKWTQAIKATRGK WLELTSPDATVDGTKLPRDAASSASSSGFFGHLFQLPDSSASKSKRMMRKKRHATKER KDERKRARMAKMKKPFGSIWLEDVLPHWGDAAALPPHMVEALCFAGIPKELRGRAWSA MLGNRLQINEQLFDICRSRAKAVLLEMHLVQDKDEKCRLESLEQHKEQVNGQRNHQVA EQLHHNSRPQLTLPVHRPTDDTPLPSLSANEKAPVVAEPPTKDMPSVAEQLIADNERN IKLVQRDMPRTFGNHPLFQDGAAGTQKTYDVLEAYTCYRPDLGYVQGMSYLAAILCLH LDSFGAFRAMVSLMSTRLMFDMYRLEEDRVETTAAAVVSP H257_16579 MVEVVVLEGYLHKQSKHLKLWKIRYFVLAEWTLSYSKKSGKKAK NSYELKDVHVIPDQNYVVHDHNFVLDVQYGHDKHMYLSAPTESERLKWTQAIKATRGK WLELTSPDATVDGTKLPRDAASSASSSGFFGHLFQLPDSSASKSKRMMRKKRHATKER KDERKRARMAKMKKPFGSIWLEDVLPHWGDAAALPPHMVEALCFAGIPKELRGRAWSA MLGNRLQINEQLFDICRSRAKAVLLEMHLVQDKDEKCRLESLEQHKEQVNGQRNHQVA EQLHHNSRPQLTLPVHRPTDDTPLPSLSANEKAPVVAEPPTKDMPSVAEQLIADNERN IKLVQRDMPRTFGNHPLFQDGAAGTQKTYDVLEAYTCYRPDLGYVQGMSYLAAILCLH LDSFGAFRAMVSLMSTRLMFDMYRLEEDRVETTAAAVVSP H257_16579 MVEVVVLEGYLHKQSKHLKLWKIRYFVLAEWTLSYSKKSGKKAK NSYELKDVHVIPDQNYVVHDHNFVLDVQYGHDKHMYLSAPTESERLKWTQAIKATRGK WLELTSPDATVDGTKLPRDAASSASSSGFFGHLFQLPDSSASKSKRMMRKKRHATKER KDERKRARMAKMKKPFGSIWLEDVLPHWGDAAALPPHMVEALCFAGIPKELRGRAWSA MLGNRLQINEQLFDICRSRAKAVLLEMHLVQDKDEKCRLESLEQHKEQVNGQRNHQVA EQLHHNSRPQLTLPVHRPTDDTPLPSLSANEKAPVVAEPPTKDMPSVAEQLIADNERN IKLVQRDMPRTFGNHPLFQDGAAGTQKTYDVLEAYTCYRPDLGYVQGMSYLAAILCLH LDSFGAFRAMVSLMSTRLMFDMYRLEEDRVETTAAAVVSP H257_16579 MVEVVVLEGYLHKQSKHLKLWKIRYFVLAEWTLSYSKKSGKKAK NSYELKDVHVIPDQNYVVHDHNFVLDVQYGHDKHMYLSAPTESERLKWTQAIKATRGK WLELTSPDATVDGTKLPRDAASSASSSGFFGHLFQLPDSSASKSKRMMRKKRHATKER KDERKRARMAKMKKPFGSIWLEDVLPHWGDAAALPPHMVEALCFAGIPKELRGRAWSA MLGNRLQINEQLFDICRSRAKAVLLEMHLVQDKDEKCRLESLEQHKEQVNGQRNHQVA EQLHHNSRPQLTLPVHRPTDDTPLPSLSANEKAPVVAEPPTKDMPSVAEQLIADNERN IKLVQRDMPRTFGNHPLFQDGAAGTQKTYDVLEAYTCYRPDLGYVQGMSYLAAILCLH LDSFGAFRAMVSLMSTRLMFDMYRLEEDRVETTAAAVVSP H257_16579 MVEVVVLEGYLHKQSKHLKLWKIRYFVLAEWTLSYSKKSGKKAK NSYELKDVHVIPDQNYVVHDHNFVLDVQYGHDKHMYLSAPTESERLKWTQAIKATRGK WLELTSPDATVDGTKLPRDAASSASSSGFFGHLFQLPDSSASKSKRMMRKKRHATKER KDERKRARMAKMKKPFGSIWLEDVLPHWGDAAALPPHMVEALCFAGIPKELRGRAWSA MLGNRLQINEQLFDICRSRAKAVLLEMHLVQDKDEKCRLESLEQHKEQVNGQRNHQVA EQLHHNSRPQLTLPVHRPTDDTPLPSLSANEKAPVVAEPPTKDMPSVAEQLIADNERN IKLVQRDMPRTFGNHPLFQDGAAGTQKTYDVLEAYTCYRPDLGYVQGKEYSQSVSVVV MRRTWMERSWDTSSVHAYMTRHELLGCDFVSASRQLWRVPGDGVAHEHATHV H257_16579 MVEVVVLEGYLHKQSKHLKLWKIRYFVLAEWTLSYSKKSGKKAK NSYELKDVHVIPDQNYVVHDHNFVLDVQYGHDKHMYLSAPTESERLKWTQAIKATRGK WLELTSPDATVDGTKLPRDAASSASSSGFFGHLFQLPDSSASKSKRMMRKKRHATKER KDERKRARMAKMKKPFGSIWLEDVLPHWGDAAALPPHMVEALCFAGIPKELRGRAWSA MLGNRLQINEQLFDICRSRAKAVLLEMHLVQDKDEKCRLESLEQHKEQVNGQRNHQVA EQLHHNSRPQLTLPVHRPTDDTPLPSLSANEKAPVVAEPPTKDMPSVAEQLIADNERN IKLVQRDMPRTFGNHPLFQDGAAGTQKTYDVLEAYTCYRPDLGYVQGKEYSQSVSVVV MRRTWMERSWDTSSVHAYMTRHELLGCDFVSASRQLWRVPGDGVAHEHATHV H257_16580 MTRDVLAGLDADGCGILHYASGNVLAVVSRVNGGKYTQLFDDAE SNSAHVQLLAAFNYAGIGFANFRSGAHRLVTTKPGVLVLHEDGSMAQEYPWGKASPDT PLPSPIEFNLNAILSFRCTSKANIQVTLQRDGHFVFELGHTASRYDTYLSKVLHQRVD GSLVLDIHPPTLIQRQVAFQVTDPVKKAQRHNWALPRLSSSGREAERVRPREHLQTVL DTNNALVDRLNAFTTCITANVAAECHRQRQIPSKSFYSPISKPCFVPRAKVKRPIVMS KHLPRLHPQHLDRFLSTVKSTQLVVVLCSNLSTSDCIAAEKMLAKFEHDWAAEDDLIA ATKPPVVAPVVPVPVPDTTPIPKSPTNKPGKPKSTPTGPAAPNPPPTTPGASTDAVAA VLVPVTSTTTSMEITSLSKVSRADIDPAPERSQSTKRIVLVDCSTSTLLARRHNFSVY PMYLMYFGGQLGFCSNTFNGFGRTDVDFLLQVKTTLRNCQRNIFLPPTFRFP H257_16581 MRGTDGDILLSCPTLLENFIIPIAVRGNINQSTNMMGSSKRLIS RGGSTLSADQRQEEFIRLELARKRNTKTASTEAKLTSRGLRRSGRKSTQQRHHEFLLA PANRPRIEAIVARVSGVLAAVPYSTDFSQDDKEFVLNWVDEVDMILTAFMPCEDPRRD PLGRMVDKLSWVQHSYTCLAEAGVDAKALVAAWERDGVFDSDGSDDDDGDDQGGNHLT VQISFDDMEADSEFARKERLVRRKAAARIIRRNVHNGSATSYWSQHVEEFRLFGNTFY KNIDTPLVKAQTSRLLTDEAKAAAADDKLNEGLAGRETRLKEVMHLAQHVSTFKVDDA IRNVGIAIQKRRLLENRCAVKLQLKWRAYRGGKVKMMLLLEELKRRKRKAAAKGLRKQ PSTHNEIAPPLPRLKTPEPQRVSKEAVVPPQLKPQTPPTSSPVVTPQTPPATIKPKTP DKSPRASGRMRPSRSVEPTTAPAADVAPATPTKSRPTAPPPSTMLKSTQVGVIGGRKG SILGSLVSSLADEKRQLDLQHMKMLMEATLPNDVDDDEDDDTIQPLSNRSSSKEESDD GFSLDQGESRMEGRGDREQIEDDKPVTNDPTVERDDTTDGTSAQRRKCSVVARPSVFL QDSTATDITTIAIGRRTSRMTSNLSMVSGEFGEPSESSEAVSGQVNATKGDTMEIAGG SRDDDDDTIEDDDEDVRLCMASWGLDENGAESQRRLESKLKSPRALKKSALMVVLANS LRKNKERSAGLFKRADDQSSSSSCSMSDDDDERSIHVMVRPSRYHHDLSTSRLCSFGG LNLQLRRTAAHADIVRQCVPFMEEEQERRTIGSNTSTYLPPDEDATELRTAGGSGSTP SRDPLPPKSPPRILPANFAWSHSCADSTLSLPRLPEAVPPKLETAYVALPGGTTRTTL TLPLAWKDQKRVHTAGAVDGHPQLKRSNIEAAAGGMVDRRIHGVSLQKQPRAKHSNRR NRSNVATNKWRTTDAGDPGKSRRTSRLTNSLPPTQFVAPQPSRITVTPRKAAPLHPPP QPTAKPPSTPQPVAAVEAALSKHIKRLPGSSVAKDPLEATMAAYIGMLYVSPSSRRPP H257_16582 MEAATSDVRVENAILHGGTSARRPQDRKRQQQHHHRQPQPHNIQ HQHEASIAKRHPSKVAPVPTASSVILNEAIRGGDGDERATGTPFVAGPVLGSLPDGYD FVQSTLHKWAAVLTDSHSDDDDGTQVSSDNQVESLYERFVDPTASELSTKTRTAIAMD LVSEMMFKMLPLTPGMANAVVHTLLHAIYDTHDPHADWRDHRLHVDRIPIQSPPAVHS MTPPSDHSSQRTATTDKVLPVLAPSSEPLSTTHWNPPSTDEPLDLTSLAQQWNDLVVY RCQTTGTFVDCVDLLPGAIQRDLAQVLATKWMTPRDDGPSTQQPQSRRRIKTNEVSDS DGEVGGSNNSDDEDKGLTTSDVLETTTTWEGEEEGELEAAAAWEEQTDCIEDEKGEED GGTEVVGILLDVYRLMLINNPRAQRQARQRLQSVLLPLVGDLSSRGGGFTELTGDADD EELRQLVAQLIEDDPISLVDLGLT H257_16583 MLPTLQVREDAVRGRGLFATSPIEADTVVLRDEPIAVASMDAPR MTCEVCKRFVGSIQLHLHVLAEHVKPAVEETDPAKPSSAITLLPTMEDEPSLPNLPSP VVHAYVTQYPTAATPSIVCSQTCSDVVHAQAKHIFGPSLVESIAEAASPWVDSDHMIL VAALMATVLHRISRQSKLDWDDAVRDILHLCVAPPTTSKTFQHGNLKQSAFRAVLAAF EPTTPTRSHDYFKAHMTLDMCQHFVAIVQANAVGVQVPSPLSRYFASCEDNPATKQTL VMHASTLVEAALQVLCEDDQDHSDDDEEQGSSGDESAGDETTDQVDGSEEDGETIQFE WCRGDVATSTNGPLRFSSSALPDLGGLALFPRFSMLNHSCDPSGALAYLGDSPQISVF ALKALAPGDEVTIAYIDTNMSFESRQAELQARYGFTCTCSRCALDNMHRTNKKNAKTS KPKRQRVA H257_16584 MTSTRAIPHLNSTSFDAWLNQLDAFLFDCDGVLWRGDAAIVGAK EAIALLRARGKKVIFVTNNSTKSRDTYVTKLAGQGIPAVVDDIVTSASACAAYLKKTN VQGKVYVVGEAGLATEVSAQGFENFGLQDNGKTTLPHPFQVDPSVGAVVIGLDRGISY YKLSYAATCILQNPGCKFYATNNDPTYPTDGAILPGGGACVAALQTAVGREPDAIIGK PNQALLQTILSTHSLDPSRTCMVGDRLSTDIEFGRRGGLYTLLVMTGCTSYEEKAAIA DEFQRPDFFVEDVNVLNLLAATST H257_16584 MTSTRAIPHLNSTSFDAWLNQLDAFLFDCDGVLWRGDAAIVGAK EAIALLRARGKKVIFVTNNSTKSRDTYVTKLAGQGIPAVVDDIVTSASACAAYLKKTN VQGKVYVVGEAGLATEVSAQGFENFGLQDNGKTTLPHPFQVDPSVGAVVIGLDRGISY YKLSYAATCILQNPGCKFYATNNDPTYPTDGAILPGGGACVAALQTAVGREPDAIIGK PNQALLQTILSTHSLDPSRTCMVEMIDRIRWTPTWPLRLGRRSLVYGH H257_16585 MFTLSGYSLQNNHEASMGTQTLAADVAAIERQELECHMERVALD FKCIELQHSLDEHRRRHRRRVVGRAAIALAESLDRTLVEQRKLERDERERLRSEREHA AEVARLARQEARDKEVQQMAEEKHKMEEAEAAKKRQKQQEKLRVAKELAAKQQHDDAA LRRVEADDRRQRQLEKAAAQVEREVAATQQAAEDERMKRKADEKRAEVQRKAAPSKAA DQATTTTPDTSISTTQSKPAKKRKKLQVATDDEAAPLERPISVVKKQKKQNIAAAGSS KDDMQVKNGVARLEHVEPPAKDDVDGMSDADPQKKKKKKAGMMKQLAAQELQRKKDAA RKLKADQKAAAKQHKADAKVLKKDQLPIKKKSTKKPSKKPTTDQPPLDSSEDDAAMAP LVVQPTKPAKQHWEPADDSSDDEAAGLSLFDRMAQKMRQQILAKDNSTTPPLSSQMPK PARQPPPPPSVAADATPKRSILSTIFSLPQLKKP H257_16586 MEHVLPVTSPIPSPRRRAYVNNPVAASDVWGLTKDMNWPASRGT VTALLPDLVAMNLELSACAVTPGHIALTFRYSDTVSNYSLVVVLDAIPEQKFDQVFQI DLIKALCSPPSSELRSNHETFRSANTDMLISRHESPVTHVAFLRSRVGHNVNQPQKVH PLRCVITREDGGAYVWEWGHDKFDWTYLNSFNVSNEPDGSGAPSPRITTMDSFAASAA CHGLAFHDKGSLAASSSKGGAVVARLVSFETTPTLAKHPTHIIVGSVVPMLLPPPSSV RWMQGSHVGLWMVTNDNHIYLRSSTSPMTLHTAWGVSQNPADKVEEGAVNSVTETPPP ELLFRCIHSTTGALVTLHPHTGQVWTCQARDGGLVITPCAYRLALPQDPTDHIRHLAS HRQFLFVLTTHACIVYDVHSGTEAARVAAPDAAASFWTSGPGGAMGLWSWNGLWRLTV PSAKQYAHSVACDDVTQSPAAALRHLQDFGPNLQFDQAAMALQVLRHPPTASKAAHTA AKLVFEAAAESPAWLLALVADHAIPSALEADLYNLVNQLSVATTSTSSSHHLRTTPLN LESIDHLRAWLDVRQRRAAIIHDLSYLTPSTTTAAVTYPSSLAASQEILRMSALHLAS LVAALPHGRNLLTQLESVLLLNQHDRPSHVLFHDERVTAGESLRHPAYFESVARLTFQ HRPEAFGALVRSIASACPRVLTLRGVVRLVRSHADRALAAVLPLRLHLLVQPASVDAA VLAYTDALVASRAYMEALQMLLRFQLYARAKLMFAELPPAVQPTWFWHLIEHVVCHLD VLPMDELAAVVALKPTHIPNASVLAALHRAMPPKKVSVQMLRPVLQTLVA H257_16587 MTVVGGGVLADGATTYCWASNASTTAAQVDVASFGVLGTGNGYT CPVWVSLNVNRTYATGAKPTISWALLMLDPSASSSWAAFALNPITQNVTTSQLFYCQA DAEENCRPFRGAAKPLSSPIFAQSFQQKSASFSSVVELPSTPGTYIVFATTTLPTAVI TSNRLDIALFTTITITGQVQAESSAASHTAMYVGVGVGAALLVLGIVLWCCITRRRMR RLEMELHRATFATSSLPRSVSSYRPNHHVRHDSHLHSLPKLSFSSHQHGGVARLSCED GVDYALNRHPYHHQSPAIFELSPRQYHHHADVDFVLHPRLSASEYYYPQELPRDDVSH HHVHSNSRQYSVPRNSDHYTDVAFFPQEEDTVGDMYQYWRQQHPQPTTPSDSSTDYST QPQYPLRYHGEC H257_16588 MKPGSRRGTQRRSVSQNHASNTTPSAKDTSREDPMDVYAKRIEA ALTASLLQPAFTRVENISCQLLQRRLLGSARYWFKSVKLDKTTSVASKKWLVCMYDIP WRKAVVDRAQTSTRSLFPDSPTTVDVFPNLKPVVIGTFETERAANLACDAAWKVRDQS NPVSVTNDPTDPLPPCWNCRLQITVVSDAFQRKPHAERLHMVLEVLLALAHPPPHTSG HPPPPPTEPETTVHHHQPPSSMKKFGTVGACVRRLPHLRHLACDVVLVLKTLHQFRPV HTTVDSLPLTERMGLSHGLDRALGVPATAKTTAKDVTRLVVTKHQPPPTSSKLPHFYH GLPIELKAMIAADQRAQRASATFDALSSHLEHNSEATMLQKFIKRKHECSTAAMMLQR VYRIHCVARTLRRLLASHRHALTIQRVYRGYVARVFVQELFVVMSLASTHIQAVFRSY TSRERTKSLRNRKTAGAVHMQRVFRGFQARKWVFWIRFHVASAIQIQRVARGLMGRQR AAMYRHAKYKRTVVVPAVKLIQRVYRGHVGRKQCQRLQHQHFVDKIQRPAAIQIERVA RGYLGRLVAKQRRKQLVAALVIQDTFRKYKARRRWAFVCQVRFENRMASRIGAAGRGY LARQVYKREVRKIRVRTVVVPAARTIQRVYRGFVVRKHLEEFRDQVEAASVLQHFWRQ KQKEAGERTVWRASIDRIKSHAALTVQCLVRSYFARLRVEAERAKQRGRHGQAAVVVQ SAWRSYFNRKTIQSMRELMAIEVYARKFTALKDNLEMVQFDMADTMGDIAYMTKHRKK SLQQIHDLKQMRLDWELRVPVLDKELSNMTGQDIARGWQTAFETEKLVIHFSMLLSAE EIQSKKQQIREYDAEIATLDAEYEDLERDVDESLLQETSLIEDYRRLELHRASSQYLD HAKQSVRRQRLRWKVRTNRSNLVLREAAALARSKAPPPSTSLSYAGRMASRRESDERV RQHNAHTHATKMEALKGSSNLHVVAVADAVVAECRKIVNAGSLAMQLDRSDLRDDPAA AGMCTTCGHMQCMCHVLAASTASNAPSNAAPILNGSRKRTNNGPGIALGRRRRHHRQT DGVVVADDENSVQVYNPS H257_16588 MKPGSRRGTQRRSVSQNHASNTTPSAKDTSREDPMDVYAKRIEA ALTASLLQPAFTRVENISCQLLQRRLLGSARYWFKSVKLDKTTSVASKKWLVCMYDIP WRKAVVDRAQTSTRSLFPDSPTTVDVFPNLKPVVIGTFETERAANLACDAAWKVRDQS NPVSVTNDPTDPLPPCWNCRLQITVVSDAFQRKPHAERLHMVLEVLLALAHPPPHTSG HPPPPPTEPETTVHHHQPPSSMKKFGTVGACVRRLPHLRHLACDVVLVLKTLHQFRPV HTTVDSLPLTERMGLSHGLDRALGVPATAKTTAKDVTRLVVTKHQPPPTSSKLPHFYH GLPIELKAMIAADQRAQRASATFDALSSHLEHNSEATMLQKFIKRKHECSTAAMMLQR VYRIHCVARTLRRLLASHRHALTIQRVYRGYVARVFVQELFVVMSLASTHIQAVFRSY TSRERTKSLRNRKTAGAVHMQRVFRGFQARKWVFWIRFHVASAIQIQRVARGLMGRQR AAMYRHAKYKRTVVVPAVKLIQRVYRGHVGRKQCQRLQHQHFVDKIQRPAAIQIERVA RGYLGRLVAKQRRKQLVAALVIQDTFRKYKARRRWAFVCQVRFENRMASRIGAAGRGY LARQVYKREVRKIRVRTVVVPAARTIQRVYRGFVVRKHLEEFRDQVEAASVLQHFWRQ KQKEAGERTVWRASIDRIKSHAALTVQCLVRSYFARLRVEAERAKQRGRHGQAAVVVQ SAWRSYFNRKTIQSMRELMAIEVYARKFTALKDNLEMVQFDMADTMGDIAYMTKHRKK SLQQIHDLKQMRLDWELRVPVLDKELSNMTGQDIARGWQTAFETEKLVIHFSMLLSAE EIQSKKQQVGSCRRFS H257_16588 MKPGSRRGTQRRSVSQNHASNTTPSAKDTSREDPMDVYAKRIEA ALTASLLQPAFTRVENISCQLLQRRLLGSARYWFKSVKLDKTTSVASKKWLVCMYDIP WRKAVVDRAQTSTRSLFPDSPTTVDVFPNLKPVVIGTFETERAANLACDAAWKVRDQS NPVSVTNDPTDPLPPCWNCRLQITVVSDAFQRKPHAERLHMVLEVLLALAHPPPHTSG HPPPPPTEPETTVHHHQPPSSMKKFGTVGACVRRLPHLRHLACDVVLVLKTLHQFRPV HTTVDSLPLTERMGLSHGLDRALGVPATAKTTAKDVTRLVVTKHQPPPTSSKLPHFYH GLPIELKAMIAADQRAQRASATFDALSSHLEHNSEATMLQKFIKRKHECSTAAMMLQR VYRIHCVARTLRRLLASHRHALTIQRVYRGYVARVFVQELFVVMSLASTHIQAVFRSY TSRERTKSLRNRKTAGAVHMQRVFRGFQARKWVFWIRFHVASAIQIQRVARGLMGRQR AAMYRHAKYKRTVVVPAVKLIQRVYRGHVGRKQCQRLQHQHFVDKIQRPAAIQIERVA RGYLGRLVAKQRRKQLVAALVIQDTFRKYKARRRWAFVCQVRFENRMASRIGAAGRGY LARQVYKREVRKIRVRTVVVPAARTIQRVYRGFVVRKHLEEFRDQVEAASVLQHFWRQ KQKEAGERTVWRASIDRIKSHAALTVQCLVRSYFARLRVEAERAKQRGRHGQAAVVVQ SAWRSYFNRKTIQSMRELMAIEVYARKFTALKVCRREMTSHILSI H257_16589 MRQAKERRAYSQSPPRGSPTSGEGRISLRVDQPVEGCEVTTHAF YRSSDGDIDDDDFRLKFSWSKSHSKRCCANKQCARIGNGEGNVVLLMATVDCAVCLRQ GLSSDSARFCTLECFRSAWSTHRQIHTNGTTKLRIRGADDLDRHKSVVQEAPVRPTTE RWSVLQAAKTYTPSTADVGHILRVECTPLFRTGEECGPPKYMETNIVLPFPPMAPTRQ MVPAIGKDERLTAARLRIHGGFRILSYNVLAEIYATRQMYPYCPMWALNWSFRKQLLQ RELQLYNADILCLQEVQADHYKNHFLPMMTAWGYEGMFQKKTRESMGLEGKVDGCAMF YRSSRFYLKEQYAVEFNEAATDFIASMWSNFELAYPSASNQERETFQASMARVRQRLV RDNIAQIVILDVVATEPRKAATCISIANVHIFSNPEFPDVKLWQTHTLLDQMDHLCHS RRLPVIVCGDFNSEPSSAVYSLLSQNHVRSDHPDLKNLAEVLHLSKLTHPMTLQSAYA AVFGSEPAYTNYTGSWVGVVDYIWFSADSLVAAAGLEVHPPHVLRAYARTCLPNCQYI SDHVPICIDFSFKTPNTYTSTGGRY H257_16590 MALQQSAAVPSAVAMEKGRVEMRHTYRSDDESEDESSPPMMQAC YYTKYGKPSVVQIGQLPRATLVAPDDILVRVHAASINPIDYKRREGAFKLILESKWPN IVGYDMAGVVVLCGTSVKNFHVGDEVFACVPHDRIGTLAEFAAVPERAAALKPKNLSF IQAAAVPISALVAMQTLRRLNLKPGQSFLLTGGSGGVGTFALQLAKNVFKAGQIATTA LQQKDHILTRLGADIIVDYSDSHFEKELTDYDCAMDCTSEAKKCVECVKSGGIVASIA DTPPPEAMGDLEDELHGRPSCCLGIVLGCLSYSMKAKARARSVEYAYVLVSPDGRMLR EVATYCQDGLVRPVIDKVFPFAQALEAMELLEAGHVTGKIVIEMPANAAKDRHQPESE H257_16590 MALQQSAAVPSAVAMEKGRVEMRHTYRSDDESEDESSPPMMQAC YYTKYGKPSVVQIGQLPRATLVAPDDILVRVHAASINPIDYKRREGAFKLILESKWPN IVGYDMAGVVVLCGTSVKNFHVGDEVFACVPHDRIGTLAEFAAVPERAAALKPKNLSF IQAAAVPISALVAMQTLRRLNLKPGQSFLLTGGSGGVGTFALQLAKNVFKAGQIATTA LQQKDHILTRLGADIIVDYSDSHFEKELTDYDCAMDCTSEAKKCVECVKSGGIVASIA DTPPPVREYSISIIYIYI H257_16591 MNAVHPVLPPIGGDKGSRGGGGGMLPPIGGDKGSRGGSGGGLNG DRRVSAGGSSGSFPTTARERRMSTIQVVKMGKSTRNMMNKPVVMLPDAAAMSADITEE LRNTAPNMGRDVIAISTTATPVQVSMSPQEEMMNHAHLEKALKNKGDNTIRDSESVKE EVKHWLLEKQYIMHPHGRVRLRWDVACIVLIFYNAFVIPYQVSFEKTAADSAWSVTVD YCIDAFFGVDIVANFFTGVDMKGKVYFDMATIAKRYFSTWFVVDFSSTFPFTQVLAAQ DEQLGKILRLFRLVRLLRLFRMIRILNRLQHALLIRSTISSLFRYCLTVVFISHWFAC FFFWVSYQDSTSMEPPVNTWLKEKSLFSATIADQYVAALYWAIMTLATVGYGDVSAIS TNERLFAIFAMFAGAGIFAYGITNIVALVSSLTAHETAFREKMDEINEYMAARDLPRQ LRIEIREFFQNARKSKENDMLQEQELLNELSAMLRSKIALAINDHFLWKFPFFKGSDP NFIMDLALSMRMICFAPFEDVCVEGELGHEMFFIFRGAVEVLKDGIQLTVLGENQYFG EMAILNKDCKRMATVRTLCFCELRMLSRVRFLEALVHFPKMMQKLANYSKARAQAKNN LGTPQSTQRDSTDDDTRVVGRKNSKPKNPSYRLNWKSSIEPDHEGLSGFGMHSGGIGA EAVLQLDRIKRVQEVLTGKVRDLHSMMDSMLSTQAADESPPRSEQTT H257_16592 MSKGPDENGLEGGDIWGEIEPEILGMTNDELKQRIRLLDNEIRI MRSDITRINHESQTQRERIKENNEKVKLNKQLPYLVGNVVEILEMENEDDEQDGAAAD ADVGRKGKSAVIKTSTRQTIFLSIPGLVDAEKLIPNDLVGTNKDSYLILEKLPAEYDS RVKAMEVDEKPTEDYNDIGGLDKQIQELVEAVVLPMTHMERFLAIGIQPPKGVLLYGP PGTGKTLLARACAKQTDAIFLKLAAPQLVQMFIGDGAKLVRDAFELAKEKCKDKSKGG AIIFIDELDAIGTKRFGGEQSGDREVQRTMLELLNQLDGFTSNTKIKVIAATNRPDVL DPALLRSGRLDRKIELPHPNEEARARILQIHSRKMNVDMADVNFDELARCTDDFNGAQ LKAVCVEAGMLALRREASIIKHEDFMEGIGVVSAKKKATLQYYA H257_16593 MSKLSSTHDVTFVQETRFRAPSLQDKVSYHWQRLTNHDGVVFFE APLYPLEPTSPATGGLATLLHPHSPLKNAVDIPHDNPILRGRYLQVRCVLGPATIVLH NVYAPISWSERARFFDELPRDFPPHFLHIVGGDFNCTLNKDLDSLNPSAATMAGTDAL LTWMRDLSIVDLFRQQNPLRKTFTSPKLINRLDYIFCSSSLARLAHWKAAHLPHIPHA DHVACRIIAQRQTTRHGSGSWKAPPWLLRLPRAATIIHGCLDRFLAKSNGFHNVGKAY DILVTDIRQQLKLFHDEQLDKQRLPLKKLALEIAALLQVPNMRQDPVLVDRVRDLQQQ IRHLHDQQKKFLQEQAFQLHLYKAERSSRFHFSSPIPTPLRKTVFKELEDANGNLVSD QTGVSNTLVDYYSDLFAAPELRASDDDLSAFLGPLTRDTQLSVQAQQELAAPLLANEF YHAIRHSSSNSAPGPNALPFEVQLHASPHLTSMQLVSTLILLHKKGPKSQAKNYRPIS LLNVDVKILTSILAHRLQRHVRNIIHHDQQGFIRQSNIQTNIQRLDDMLHYVKQHSPS SMVALLDFEKAFDRVDHSYLLREHYGFPKAFVDIVRVLYSGRRTRILVNGHLSKSVRI HRGVLQGDPLSPLLFVIALEPMCQLLRQHPKYGIRTGDRVHTGSFFADDSQLYAVNEK SLHRQLALVQGFCDKSGFRLNVDKTQVLTYCQASPCLAPLLVATDSPTKALGILVAPH LTPKARFSYVFDKFIARLSLWCYKARTLAGKVAILHSICLPVMWYQLSFVPADKACAK LIDNAMLQFLHGEDINPSNNTHGLRLIKKDLVFMSKHTGGLGLHHALKLWQQHNRAVM IRCVKAFAVPSSKSAIPSWITPGYALLEKAFHPWGSPRDLLLAQGTSPFMKQFMKHPG ITPMWTSLLSEWFTSRWTMFGFPSNAPSWTVPLWHNAFLPGLENLYDHCSASSQPQAR TLASLGLTQVSHFLTPCHRLWPASTVYALIHQACIRSNLEPPTKHWITILMTKLSNLF EVVPEANPPPFRLPVRRPPLLEVSWILRPDLVPIELAAASSTAAKIRAPPVADSSLIP TKHLGLPADFYADPKRLKQLAVFSRPEHILPRYGEFVYKTLLRANAMQYLFQYRSPQP TCIFCGSNETYQHFLFACRYGLSVWHHFKRIQRALQCPFPRNAFELFFELPKPQDGYY VRGLLKIWPIVRACVYYQIWLQRADRTFRPDLTPKTPVDTAIHAANLIKMHLRLLLRD LPLKKGYSKVFNVLRALSADPWLKLHVIPDSVHA H257_16594 MSKMPSVKLLRETITHGLATPSTLLVLDLRRLRKTAPGFHLTLH SLGRHQDLTQPAPRDGGCVYTSPVRRDAVVTSEVTEPMRHEAESSFIGEHLLDPTVTR GVSDGDGSIPGVNLADLRSKDPLDLPLQHVWPRPVLSTMRLVTRLPTVHPSVMSLPER GMNQLQLKKTQIQHQEWLELRSQLSIETRRTSLTTIELTMGSPLMGEIRITRPATRKT KRGPQ H257_16595 MMIADILTKAIPREQFETLRSKLGIEDGTTHSVNMDHDLSGV H257_16596 MQVLLWSAMLLRTAHSCAFLGYYKGPASTDQAMVVTPGVPCPGY SPCPKGSYCKHNQVFPCPAGVYGNATQLSTVSCSGLCPGGFVCPVGTIEPIPCGNANV YCPVGSRATKQVPLGYYGIGDTSYTRQSIALCELGSFCVQGNMAVCLAGIFGASMGLS SAACTDVCPAGHYCPEASIVPKPCPAGTYGATTELSTSACSGVCPEGYYCPPGTTTPV ACPSNYICPRGCSAPTRIPSGQYLSTVLSSDVESTLASILELCPPGSYCVQGEVIACP LGSFGATSGLTTSACSGPCPGGYYCPVGTVAPIACFDAATYCPEASSAPQPVEFGFYS LPPTRPTHQLPCEPGSYCVGGVKSACPAGSFGSSVGLTSSACSGKCPGGSYCPVGSAD PVACGHSKFVCPDGAAAPQSISRGFCGIGDTILTQTSSAIAPPGSYALEGQCYICPGG YYGASSGESALTCSGLCSPGYYCPPGSTSPTQFECGLNAYCPQGSSQPIVVSPGYYTY SGATDPCPPGQYRATATSNANILLASWTAIQVNYGDELFPYAVCLPCPLGTFKLVEGD SISLCQLCPLYTTTSSTDRTTCTCFRLSGGIRWNTATDKLVFDGAVCTAVPAATLTPS LLPLNTAFTKASQFQCSRGSYCVRGQRLPCPGGRFGTQMEETSALCSGVCRRGHYCPV GSISATAQPCGGAHLYCPQGSPYPLPITLGYYSIDSVLGLDSDPTRHDAQVSCEPGYY CIHGQRFPCPGGRYGAATRETNPLCTGVCRRGFYCPASSTSATQEPCGGSNVVCRTGS VAPIGVFAGYYSGSDTTRADAVTRESMRWFQKPCEPGYYCVNGVRNPCPTGTFGSTGQ LVTPFCSGKCSAGYYCTQASTSATQIMCGDVSVFCPVGSSAPLAVDAGYYSVGATNST RVGQALCNVGQFCRGGIAYDCPQGTYGDIPGLTVGQCTGWCAAGFYCPPRSVSATANR YYNNAIAQHLRGNTYVDVPMDTIPSEAKAHACNAHRADLHFDAKTNESAARNERPFG H257_16596 MQVLLWSAMLLRTAHSCAFLGYYKGPASTDQAMVVTPGVPCPGY SPCPKGSYCKHNQVFPCPAGVYGNATQLSTVSCSGLCPGGFVCPVGTIEPIPCGNANV YCPVGSRATKQVPLGYYGIGDTSYTRQSIALCELGSFCVQGNMAVCLAGIFGASMGLS SAACTDVCPAGHYCPEASIVPKPCPAGTYGATTELSTSACSGVCPEGYYCPPGTTTPV ACPSNYICPRGCSAPTRIPSGQYLSTVLSSDVESTLASILELCPPGSYCVQGEVIACP LGSFGATSGLTTSACSGPCPGGYYCPVGTVAPIACFDAATYCPEASSAPQPVEFGFYS LPPTRPTHQLPCEPGSYCVGGVKSACPAGSFGSSVGLTSSACSGKCPGGSYCPVGSAD PVACGHSKFVCPDGAAAPQSISRGFCGIGDTILTQTSSAIAPPGSYALEGQCYICPGG YYGASSGESALTCSGLCSPGYYCPPGSTSPTQFECGLNAYCPQGSSQPIVVSPGYYTY SGATDPCPPGQYRATATSNANILLASWTAIQVNYGDELFPYAVCLPCPLGTFKLVEGD SISLCQLCPLYTTTSSTDRTTCTCFRLSGGIRWNTATDKLVFDGAVCTAVPAATLTPS LLPLNTAFTKASQFQCSRGSYCVRGQRLPCPGGRFGTQMEETSALCSGVCRRGHYCPV GSISATAQPCGGAHLYCPQGSPYPLPITLGYYSIDSVLGLDSDPTRHDAQVSCEPGYY CIHGQRFPCPGGRYGAATRETNPLCTGVCRRGFYCPASSTSATQEPCGGSNVVCRTGS VAPIGVFAGYYSGSDTTRADAVTRESMRWFQKPCEPGYYCVNGVRNPCPTGTFGSTGQ LVTPFCSGKCSAGYYCTQASTSATQIMCGDVSVFCPVGSSAPLAVDAGYYSVGATNST RVGQALCNVGQFCRGGIAYDCPQGTYGDIPGLTVGQCTGWCAAGFYCPPRSVSATANR CADGYYSIRGQGSCMQCPSSRSTFRCQDKRECCA H257_16596 MQVLLWSAMLLRTAHSCAFLGYYKGPASTDQAMVVTPGVPCPGY SPCPKGSYCKHNQVFPCPAGVYGNATQLSTVSCSGLCPGGFVCPVGTIEPIPCGNANV YCPVGSRATKQVPLGYYGIGDTSYTRQSIALCELGSFCVQGNMAVCLAGIFGASMGLS SAACTDVCPAGHYCPEASIVPKPCPAGTYGATTELSTSACSGVCPEGYYCPPGTTTPV ACPSNYICPRGCSAPTRIPSGQYLSTVLSSDVESTLASILELCPPGSYCVQGEVIACP LGSFGATSGLTTSACSGPCPGGYYCPVGTVAPIACFDAATYCPEASSAPQPVEFGFYS LPPTRPTHQLPCEPGSYCVGGVKSACPAGSFGSSVGLTSSACSGKCPGGSYCPVGSAD PVACGHSKFVCPDGAAAPQSISRGFCGIGDTILTQTSSAIAPPGSYALEGQCYICPGG YYGASSGESALTCSGLCSPGYYCPPGSTSPTQFECGLNAYCPQGSSQPIVVSPGYYTY SGATDPCPPGQYRATATSNANILLASWTAIQVNYGDELFPYAVCLPCPLGTFKLVEGD SISLCQLCPLYTTTSSTDRTTCTCFRLSGGIRWNTATDKLVFDGAVCTAVPAATLTPS LLPLNTAFTKASQFQCSRGSYCVRGQRLPCPGGRFGTQMEETSALCSGVCRRGHYCPV GSISATAQPCGGAHLYCPQGSPYPLPITLGYYSIDSVLGLDSDPTRHDAQVSCEPGYY CIHGQRFPCPGGRYGAATRETNPLCTGVCRRGFYCPASSTSATQEPCGGSNVVCRTGS VAPIGVFAGYYSGSDTTRADAVTRESMRWFQKPCEPGYYCVNGVRNPCPTGTFGSTGQ LVTPFCSGKCSAGHLTSPMHESSKLPSLKVVGIEGYYCTQASTSATQIMCGDVSVFCP VGSSAPLAVDAGYYSVGATNSTRVGQALCNVGQFCRGGIAYDCPQGTYGDIPGLTVGQ CTGWCAAGFYCPPRSVSATANRCADGYYSIRGQGSCMQCPSSRSTFRCQDKRECCA H257_16597 MAIQDAHVHFVEVTTPRPKGTDQRLLESGPNSPSSRRRRYHFHK QWLLQGIVLVAFATLFLCVVIPFVHNNEHIQALRINQNGTTTVSNPSNTGKVNMLVSI SGMSTETYEVTVSAVLNQVPASVLNNDSTRIVTPFRLQVGSNVLAINENTTYVNAPVT SKVPLLTGSLAWYPFDKYLMKLEVQTVTGTSQYNAGGFKPIQDLDFVVLTPSDYTWTY TVRDTKPDDFGAFVPGVGTVSDLSKGYTSLTIEVTRDFNIYMALVFVGIWAVTIAIGW IGSMSVIWKRRPADNPVIFISALFAVPTFRNTAPGKPPYGCLFDILCTYFSIGVIITF LLLVAFAYMKKAKKTARHKKDDDDTIPVATLDAGGNVAGDAAAAGDAGDAGGGGDAGD AGGGAGDA H257_16599 MKRHIPWRVHFCIAFRRATNMQRGSSSLDADRPTTEYKSQESNQ ATKLDMVDVAVADVPPPPAATTSSSKKKWLIAGAISVVVLAGAGAATAIVLVKKSNSS TSSSSDAAKGSTSSTAAPTIPPVDDGDLLGPSGNSTGSSGSQTSVPSNPKSDPEAATA ALTMLAIGDWGSTTGKEFGVPGSCCKLYKPSNLIDTSNPRYKVDFWSQRYVATLLGQS AAELKPSRIIGHGDNMYWNGVGSLDWKYRFEETFEKVYDAPSLQGIKWVNVAGNHDIG GSTFICGEQDNQFVECASTAEMLKYLNERFDLQAQYVSPNQNRWLMKGHYYVERVTKN DVTVDIFNLDTNYADSHGGRQVCCQCYGYSQKYGYDSSKCNDVVAGDAACAGGNVDMF NACMDQIDAWAVDSYMQAARDMKASTADFKIINTHYSPHFHMTPDKMKKWYKLTKDTN VAVWFNGHTHGFNHDIANWGTHFIENGGGGGIVTETSTGAQNEFLKSQWIAAGNPYGF MELSFSKDWLKVQFATFDTAWQFGGVNYADTVQGGVNRGHCWYIPSASYTALGALGVP CNDSVDGVIGAPIR H257_16600 MSSSAPVDPASVQVPVSSEGASLLHGGAAPAATAAKNTKLIALG VAAVAAVGTGIYFLVSPLANLNVAPANVEGGDNTTSTTLLPLTAVATSVIPATTTATT PTVVATTTGPVTDPEAMVPALTFLAIGDWGSTVGKEWGEAGSCCRLYKNGQVDTSTPR YQVDYYAQKYVATLMAQSATELKPVRILGHGDNFYWNGVGPWDVNYRFAETFEKMYAD PALQGIKWLNVAGNHDIGGSAFICGPQDKQFRECASVDEMIKDLRSHFDLQANYKSPN QDRWVLKHYFVERVSKNGVSVDVFNLDTNHADAHGAMQVCCQCYGYAAKLGVDNVICR NTNVGDATCAGGSVEMFNTCMAEIESWATDSYTQAARDIKASTADFKIINTHYSPHHH MNPMRMKKWYDLTKDTGVHVWFNGHTHGFGHDISTWGTHFIENGGGGGIRTDSDSATD NGFVKTQWVAGGTPYGFMELSFSKDWLKVQFATFDKAWKFGGLKYPDTVTGGIQRGHC WYVPSGNATGPGKECKSSINYAIGAPLR H257_16601 MEVEHKYISTENIQSMLDENSSMIVEIIQLNNAVKHERGAQLAD VQDKLDKKRKKLNRNLMTLAKWADESTEPPVKSAPRPPPVQQVPPIHIPQQQQQQPFA ASGNIAVPLTIPDPIAVSPHAASPVAPAVVPTSPVNPAVKNETAADVPTSPIKPSAQE ESTADDSMGVEEAVASNEPETSSEFAGHQLDDTANQSEPIDESAGAVSTPTPDHADAY ESISGEQTNDQSAPEADAKEGENSSMGEEGNPVIAPVEVAAVVTSEEVEKSSDAPVVE DQVPIADDAMETTELPEHDEVPEHATEGEAVAEAANDVEEAKKDATEGGLVAKEADDV EQVKKYATEGEAVAEVPNDVEEGKKDATEGEAVAEVPDDVEEGKKDATEGEAVAEVPD DVEEGKKDATEGEAVPEVANDVEQVKKDATEGELVAGAGDVEEAKKDATEGELVAGAG DVDEAKKYATEEEAVAEAAGDVEEAKKDATEGELVAGASDIEQVKKVATEGELVAEAA NDVEEAKEHATEEDSVGDSSQVNEAANDVEEAKKDATEGGLVAKEADDVEQVKKYATE GEAVAEVPNDVEEGKKDATEGEAVAEVPDDVEEGKKDATEGEAVPEVANDVEQVKKDA TEGELVAGAGDVEEAKKDATEGELVAGAGDVDEAKKYATEEEAVAEAAGDVEEAKKDA TEGELVAGASDIEQVKKVATEGELVAEAANDVEEAKEHATEEDSVGDSSQVNGDAPPV EEVVQDVVNSEVEVPAKDDHAESKHDD H257_16603 MATWCIGAPQQNGKCRPNVVTHPCTGRVLSNPSNTDNYALESAE FIVPWWGVVCDPSTFPVAVVELTLPHQGLTCVLESLNFTVFSNLVTLNLEGNAFTGPV PDWVGTMTSLEVLNVNGNQLSGPLPESIIDNVKLEELSLAQNQLTGPVPNMLKVLPVA VLDLFSNQLTGTLPVDVLRNPRLRYLDLSENDLFGLLPLSIHLPRIMYFDVSFNRLSG PLPPNLQLLGRDDTVPQSSSVLTLFDASNNLLESAIPGLIGKLERLSTFSVRNNSNIF GKVPPLPPSLLENFDPTTFDGPTHHLACPLPELGPSQTWGPVVCLCKGAKADPDTCAA CAAGFVLGATSNICEGCPPGSSPQGDICSLCPEGTFANDIGSPACQPCPDGFTSSSGA SACDPCPAGTSTSDEGTCLACPSGMFSPTEGSTCLPCPINTFSVNSGSIACTPCPYNA VADASSTQCTQCPPQTTVDFLGSGGCVPQPRPGAGYVGVDEIADCVAGTFNDRTFARC QPCARGSFSAEAGATNCSLCFLGSFANSTNASRCTDAPPGTFVATRGATRSNLCAPGY FNDVAGASRCVRCSNHTMAPRMGMSACVMAPPGFVLEIATWPSFLLTLYQHQSRFDAL NVSKATEIVQEAWLFVAPEPVNAMVVSSFDNRLVASTDGQLFGGTTSASLYMVLDTTA STLDLLQYIPTYLVVLDMLLDEAAMLLNTDMTSTRLDVLKPLAVTVAVACDKGTYSNG SHCVECGVGTFAAGSGASKCQKCPRGSRASSTGNHKCTPCARDEYADSTATMCIECPT FSMDLTLVCPQAIGRLLWLLFYIFYYINLVWMSHLWLYSNPTRVPPLMESYRSNGGQT VNTAIVFPPPIPISAATLRNDTRMQTRDKSCMV H257_16604 MLDLSSLVQAVVAAVMLLAVHRYVNARRPANSFPYVPSWIPFFG ASFHFRHGPMRVLQAYTVTYGPVFNLTVLGKTITYVTDATLFPPLVKCPALGLFPLKI KFYERVFGSTSHDDPDVFAFLAKHTRQNILAHLSGTSLAQWTAKSHALFQRQVAEGGF GDEFDVSVYAWLAPKVFATLLDTFYGKGLCTPQLAHDFDLLESKIVALYAGAPATLLK VTEPRQRLHEALREYIEAHTDTVAPIVGERWDFCRDKQVDQAAYQLAFVWAMTSNVVR TLFWLLCHLQQYPVAWSAVEGEVRACVGAQKGDTPLSESVQTTCPLLDSAVKETLRLR FSGSVLRVAVAQATVDLPNGTQLRMQPGDEIMLWGGLGCHDPSRFHNPDDFQFDRFAR YPDLAKDFRPFGLGKFNCPGQFFAVEFLKVALATLMLDTEISQFEGSASPNYTTAGVF APKDAEAVRMCIRRRVFMADHPTMDEPSNGCVSCA H257_16605 MSSSIARSPPPLPKPSSFSMVCSKPLKRKRSCGDVTDCDHRPMT KKGLTAHMHASLALLQHLPALNVHRSSRLARRGAVDVGDCMIHALGSVLQSTAQLSTT NVTTQEATTTTSVAPCLPKEDSIRQPHPVSFST H257_16606 MKLFTCSDIGDGGVFIIDIDDQQKVGHLKDAIKAKNTSKVTCDA SDLTLYLAQKHGEWLKVDLIGRR H257_16607 MPGKTKSTSSISFWKALVEYRSPDVNSSTVVALSEGTSLLGNPS LGSCVLLRHCYLHLFDLDIHDMTTPHVVIVGSLGIGKTFFGYLVLLRLAKAGKTVVYE NGVTNTRILFSRDDDAKPAADDIESTSYHTMTIEQSSPQKHFLLNGICVHHPISGGGL LISLGWPATRCAAVANHQAKLEQALEVVTLDYTKRAISEIKWNGDEYRSDYFIAVWSL RRLRLRIEIRARSVPTPVRQGQKGTLLDFISNPPIERMAVSA H257_16608 MGIDSLLVHLGSVMCETHVSRFGGKRAGIDVSVWMYSGAAATAT ELALHAANKVDVMTLEHTLAYESYCISRLELLLKHNITPVVVFEGAGMPTKAATSARR EHDRQKHMMRGLNLHATHDLVESGKAFARSLKITGAMGRKLRRTLLRVHPTIECIVAP YEADAELAHLSLTNYVDIVISEDSDLIPYGCATILYKMNDHGQAVEFRRRHIGACESF SFIGWSELQVLDYLHEHHDDVLPHNFDADFYRALLTFRHHIVYNPVQERALMLHDWAT SADDIREWANEVDPPTFLGNIQVTHAHAKGVANGTLHPTTYAPYHD H257_16608 MGIDSLLVHLGSVMCETHVSRFGGKRAGIDVSVWMYSGAAATAT ELALHAANKVDVMTLEHTLAYESYCISRLELLLKHNITPVVVFEGAGMPTKAATSARR EHDRQKHMMRGLNLHATHDLVESGKAFARSLKITGAMGRKLRRTLLRVHPTIECIVAP YEADAELAHLSLTNYVDIVISEDSDLIPYGCATILYKMNDHGQAVEFRRRHIGACESF SFIGWSELQFLQLCVLAGCDYCPTVPGVGFVSAYKIAKACPFPSLVLDYLHEHHDDVL PHNFDADFYRALLTFRHHIVYNPVQERALMLHDWATSADDIREWANEVDPPTFLGNIQ VTHAHAKGVANGTLHPTTYAPYHD H257_16609 MNDDAIDAVSDLSVHAHALLKEAAKWTSAVSVTLSKLRSASASS RPQLSQDTEAAGGRYPSLQLEIARLREKAAQSTESMRMMEARAEQAEAKALKLEKAHH NLSVKYMRVKNERNASIHRFWNWVGCHVSVVGLEYALLLTQAWGYECGWDSSNRTSNS NLQDGNEEQCRRLLDEFKASSGVFVLPTPPATPSAPLPLPEWQPFHTDDEGEYAEESA GGPTSHSMGSATSLPQREPGSGRTETPVDSASGQRSNGKAKTKKRKKKRKCPDMVLHD EDASKHAVQAKRQSVATSPAQLQLQGAELPAKAYQDNEVHHHPTPLNEGATPGTGSHS QPSPLDPTVAPAIAALATQKSIHKPASDISGTPVTTAPTSSAAPPVSTTPMTPTTKST VLPRRLHGSQLLTHPVKLPLISTHALRIARQLLQYNPRPLLLQLPSRKKVSFHASTKA TPSPFPVIPVATACPANISKLLAESRATKPWLQYTKLSSFLPENDRRDPTPHDVAVRT FNATLREFWATSAALLWECRFSWQPDPDTLNQVGDAVVLLVGQLWLLIARSAYNSDQG NRLVEFLSGFPHPAWPVMTATFMPLKAMHAILPPIPQLPPPPSSKKASPKTRPTDRII SMDEQLQWALGTTFAYVANRKLTPPVVAKLADLGLRDPAVEFRRMAEVAWTFVKLKCP FPHEPYPFVSVVVADDPVGWQWSHGLYPPRTMYTKRIPSLRNATTTTAAAASSSSSST TTTTTRHEPPAGMDKARRLLQGLLDDISTDCGTNHGDHDDDSGDNDSDNGSDDSGDDG SDDSGDGSGDNDSDDSGDDSGEGSEVVQIQDVHAPFVCGQDGGSRRTAIDVASSSDDS QD H257_16610 MRVFAAVAASMMALAAAQTPEDKVKTFRKCRQSNYVTEGNQIFA VDPLNPTIKTPILIKGVQWKGMETTDGIPAGLWGKSVQRDSGINGTSLAYMLKFLSSN KFNTVRLPIMGDNFLNPSFLPKVGYIHGENREIALYDKGFTPKMADLLARFIGSFQKY RISVVLDLHALSNEFLQDAYWYYPVKETVEESTAYKVAVLLATYYCKPEYWNVLGIDL KDAMTDVDWPATAAASGDWPAAAETIAAKVNELCPQWLVFVTGGSKGSFVVKTNSYKV WPGLNFANATTRPLKKATNIVYSPQAFTQGVEPLGYFFNPSSNCSNAILPDKDTECVV IENGLKVPSTKRALACDNSKLQCQSFTPLSTPDLTALYSRLLDENIGGVVKQASVPVV FASFGGIYGSSQPLQSAVIDQLIKYIATSTAGGFFASLNPDTQMWLEGPPPGNKTIGR ARYGLMTSSSWQVGHADLLAALAELKSTEIPCYGDEQPGDPDAATSGAIMGTSWLQSG GGGVAVVTAMLLLLV H257_16610 METTDGIPAGLWGKSVQRDSGINGTSLAYMLKFLSSNKFNTVRL PIMGDNFLNPSFLPKVGYIHGENREIALYDKGFTPKMADLLARFIGSFQKYRISVVLD LHALSNEFLQDAYWYYPVKETVEESTAYKVAVLLATYYCKPEYWNVLGIDLKDAMTDV DWPATAAASGDWPAAAETIAAKVNELCPQWLVFVTGGSKGSFVVKTNSYKVWPGLNFA NATTRPLKKATNIVYSPQAFTQGVEPLGYFFNPSSNCSNAILPDKDTECVVIENGLKV PSTKRALACDNSKLQCQSFTPLSTPDLTALYSRLLDENIGGVVKQASVPVVFASFGGI YGSSQPLQSAVIDQLIKYIATSTAGGFFASLNPDTQMWLEGPPPGNKTIGRARYGLMT SSSWQVGHADLLAALAELKSTEIPCYGDEQPGDPDAATSGAIMGTSWLQSGGGGVAVV TAMLLLLV H257_16611 MDEQAPQTPPLRRTYKRIPMSAKRRIVNAFDNAKD H257_16612 MALTRATVVASRQVKTTKCPFMAANHASKQALIPNISQLARMCP HMSTMMQTQARSTHTAAVAPKVLEYEPKNFPSLTTTSAAARRHKYERLGGLSHDEYER GFQKTIHRIKTEGRYRSFANLERKRGEFPKTLFHHPEGHTKEVIGWCGNDYLCMGQHP KVVGAMHEFLMKSGAGAGGTRNIHGTNHNHVMLEKELADLHQKDGALLFTSCYVCNDT TIATLGKLFPGLIMFSDSLNHSSMIEGVIHSRCEKYVYKHNDVADLEAKLKAADPNAP KLILFESVNSMEGTVAPMHAICDLADKYGAMTFCDEVHAVGLYGNRGAGIGERDHVMD RLTMITGTLAKGYGIMGGYIAGSAALVDAFRSTCPGFIFTTSLPPMLAAGARASVNHL KSSQEERMMMHANSAELKRRLVSLGFPILPSLSHIVPVMVGDAVKVKRASELLMEKHN IYIQPINFPTVPRGEERLRITPSPAHTEDMMDDLVGALLSVWDELDLARDGCDPLPEV QFLNSDLPRMEAA H257_16613 MVRGDIYIRRALKAHDNRQRGGSDGITRRDGLGNDRVDVFLCNV QVLLQRVAQVLVLRHDPRQFVGLLFGDVGPERGGRLMHLTHAQPFQVPCHGSHFFPQS VDLVFHAVAFLTS H257_16614 MLGRSVARAAAVSRALPLSVAAAPLSTQSDAIAKTSFKDLFSTE ANAKNSVEYVVAKVDDLVNWGRRSSLWPMTFGLACCAVEMMHTAGSRYDMERFGMVFR ASPRQSDVMIVAGTLTNKMAPALRRVYDQMPEPRYVLSMGSCANGGGYYHYSYAVVRG VDRIVPVDIYVPGCPPTAEAFLYAMLQLQKKIKGNKGLLLKLRK H257_16615 MAVKLAPPPLFHVREIQSCRRMVSSMRCVIVISEFFHRLLDALT AYLRARHTYILPLQSPRAYTQWRYRATMARRIQCKWRSYTHRRLLHLHLRARRKYALK AGLPLYEHAATTIQKLVRGRQSRRHLQQRGRHVLEKRNVYGKPQWWVLDDVVTSQVRL ARLQRRRDEYQALVQQLRCDEQAKKMALDRFRALEAMLTLSPPDQPIQTDLVDGYPSA YAHDVVQRFLAQHLPKSRANLSAAETELRLATQSHPLLHQAATIISARYRVMQCKIPF LQHRNAVRATEIRFKRSKAESLVRMNVAMHQRAVLRIHGAATTISSWWKMVAAVALGI SLRKRRAHFKLNVLLAIVMTMLPKAKAARRRHLVATVVVRYRAVVSTRLVTKVVAAWK GYAKEEVALGMQRRAREERGMLCFIESCVRRLQRSVKHFLHTTRPNYTRAVVSGLHPV VALHLEQCQGDLAILARAVESDVQGWLGAEDFSLWVHLANEYCLPLALLEPVDAWWPR ALELLRLHVQSSDRQPWRVCVLAFLDDVSPHYAAMDAMGTQLLHIEAKHELVCVARSY LVHLCATHHVAMHPNRAVLAGQELAKQWQQDLAIRRHLHASPNTTTEAAIACYSRFHS DNGPSPLLCSNCFVMFEYDLHPSQCPCGFAYIRRPTTCTYVQPVPLHNNEMVVRFPHA ERSDLLLVHAYFHALAPLGHANRLQSPPHLWRLAVTRALPWIEVLSSHNLHTLDALCQ LPRKLVENTVNLPVRVHAHLVAMVAELTRQWQELIEMEAASAGSGFGPQR H257_16615 MPTNGVVYALCDCHLRVLSSPIGCLNCVPSGSAYVHPTAAISSS LHPMVCTPDLNVLHLPLRTRGVPRSLSGGGDIEQLWHVAFSASGEGMYVVHPAPSTAY IGARNECVYMALYSYTHRRLLHLHLRARRKYALKAGLPLYEHAATTIQKLVRGRQSRR HLQQRGRHVLEKRNVYGKPQWWVLDDVVTSQVRLARLQRRRDEYQALVQQLRCDEQAK KMALDRFRALEAMLTLSPPDQPIQTDLVDGYPSAYAHDVVQRFLAQHLPKSRANLSAA ETELRLATQSHPLLHQAATIISARYRVMQCKIPFLQHRNAVRATEIRFKRSKAESLVR MNVAMHQRAVLRIHGAATTISSWWKMVAAVALGISLRKRRAHFKLNVLLAIVMTMLPK AKAARRRHLVATVVVRYRAVVSTRLVTKVVAAWKGYAKEEVALGMQRRAREERGMLCF IESCVRRLQRSVKHFLHTTRPNYTRAVVSGLHPVVALHLEQCQGDLAILARAVESDVQ GWLGAEDFSLWVHLANEYCLPLALLEPVDAWWPRALELLRLHVQSSDRQPWRVCVLAF LDDVSPHYAAMDAMGTQLLHIEAKHELVCVARSYLVHLCATHHVAMHPNRAVLAGQEL AKQWQQDLAIRRHLHASPNTTTEAAIACYSRFHSDNGPSPLLCSNCFVMFEYDLHPSQ CPCGFAYIRRPTTCTYVQPVPLHNNEMVVRFPHAERSDLLLVHAYFHALAPLGHANRL QSPPHLWRLAVTRALPWIEVLSSHNLHTLDALCQLPRKLVENTVNLPVRVHAHLVAMV AELTRQWQELIEMEAASAGSGFGPQR H257_16615 MARRIQCKWRSYTHRRLLHLHLRARRKYALKAGLPLYEHAATTI QKLVRGRQSRRHLQQRGRHVLEKRNVYGKPQWWVLDDVVTSQVRLARLQRRRDEYQAL VQQLRCDEQAKKMALDRFRALEAMLTLSPPDQPIQTDLVDGYPSAYAHDVVQRFLAQH LPKSRANLSAAETELRLATQSHPLLHQAATIISARYRVMQCKIPFLQHRNAVRATEIR FKRSKAESLVRMNVAMHQRAVLRIHGAATTISSWWKMVAAVALGISLRKRRAHFKLNV LLAIVMTMLPKAKAARRRHLVATVVVRYRAVVSTRLVTKVVAAWKGYAKEEVALGMQR RAREERGMLCFIESCVRRLQRSVKHFLHTTRPNYTRAVVSGLHPVVALHLEQCQGDLA ILARAVESDVQGWLGAEDFSLWVHLANEYCLPLALLEPVDAWWPRALELLRLHVQSSD RQPWRVCVLAFLDDVSPHYAAMDAMGTQLLHIEAKHELVCVARSYLVHLCATHHVAMH PNRAVLAGQELAKQWQQDLAIRRHLHASPNTTTEAAIACYSRFHSDNGPSPLLCSNCF VMFEYDLHPSQCPCGFAYIRRPTTCTYVQPVPLHNNEMVVRFPHAERSDLLLVHAYFH ALAPLGHANRLQSPPHLWRLAVTRALPWIEVLSSHNLHTLDALCQLPRKLVENTVNLP VRVHAHLVAMVAELTRQWQELIEMEAASAGSGFGPQR H257_16615 MYVVHPAPSTAYIGARNECVYMALYSYTHRRLLHLHLRARRKYA LKAGLPLYEHAATTIQKLVRGRQSRRHLQQRGRHVLEKRNVYGKPQWWVLDDVVTSQV RLARLQRRRDEYQALVQQLRCDEQAKKMALDRFRALEAMLTLSPPDQPIQTDLVDGYP SAYAHDVVQRFLAQHLPKSRANLSAAETELRLATQSHPLLHQAATIISARYRVMQCKI PFLQHRNAVRATEIRFKRSKAESLVRMNVAMHQRAVLRIHGAATTISSWWKMVAAVAL GISLRKRRAHFKLNVLLAIVMTMLPKAKAARRRHLVATVVVRYRAVVSTRLVTKVVAA WKGYAKEEVALGMQRRAREERGMLCFIESCVRRLQRSVKHFLHTTRPNYTRAVVSGLH PVVALHLEQCQGDLAILARAVESDVQGWLGAEDFSLWVHLANEYCLPLALLEPVDAWW PRALELLRLHVQSSDRQPWRVCVLAFLDDVSPHYAAMDAMGTQLLHIEAKHELVCVAR SYLVHLCATHHVAMHPNRAVLAGQELAKQWQQDLAIRRHLHASPNTTTEAAIACYSRF HSDNGPSPLLCSNCFVMFEYDLHPSQCPCGFAYIRRPTTCTYVQPVPLHNNEMVVRFP HAERSDLLLVHAYFHALAPLGHANRLQSPPHLWRLAVTRALPWIEVLSSHNLHTLDAL CQLPRKLVENTVNLPVRVHAHLVAMVAELTRQWQELIEMEAASAGSGFGPQR H257_16615 MAVKLAPPPLFHVREIQSCRRMVSSMRCVIVISEFFHRLLDALT AYLRARHTYILPLQSPRAYTQWRYRATMARRIQCKWRSYTHRRLLHLHLRARRKYALK AGLPLYEHAATTIQKLVRGRQSRRHLQQRGRHVLEKRNVYGKPQWWVLDDVVTSQVRL ARLQRRRDEYQALVQQLRCDEQAKKMALDRFRALEAMLTLSPPDQPIQTDLVDGYPSA YAHDVVQRFLAQHLPKSRANLSAAETELRLATQSHPLLHQAATIISARYRVMQCKIPF LQHRNAVRATEIRFKRSKAESLVRMNVAMHQRAVLRIHGAATTISSWWKMVAAVALGI SLRKRRAHFKLNVLLAIVMTMLPKAKAARRRHLVATVVVRYRAVVSTRLVTKVVAAWK GYAKEEVALGMQRRAREERGMLCFIESCVRRLQRSVKHFLHTTRPNYTRAVVSGLHPV VALHLEQCQGDLAILARAVESDVQGWLGAEDFSLWVHLANEYCLPLALLEPVDAWWPR ALELLRLHVQSSDRQPWRVCVLAFLDDVSPHYAAMDAMGTQLLHIEAKHELVCVARSY LVHLCATHHVAMHPNRAVLAGQELAKQWQQDLAIRRHLHASPNTTTEAAIACYRHEDI HTLSFSLHLPTSCSTH H257_16615 MARRIQCKWRSYTHRRLLHLHLRARRKYALKAGLPLYEHAATTI QKLVRGRQSRRHLQQRGRHVLEKRNVYGKPQWWVLDDVVTSQVRLARLQRRRDEYQAL VQQLRCDEQAKKMALDRFRALEAMLTLSPPDQPIQTDLVDGYPSAYAHDVVQRFLAQH LPKSRANLSAAETELRLATQSHPLLHQAATIISARYRVMQCKIPFLQHRNAVRATEIR FKRSKAESLVRMNVAMHQRAVLRIHGAATTISSWWKMVAAVALGISLRKRRAHFKLNV LLAIVMTMLPKAKAARRRHLVATVVVRYRAVVSTRLVTKVVAAWKGYAKEEVALGMQR RAREERGMLCFIESCVRRLQRSVKHFLHTTRPNYTRAVVSGLHPVVALHLEQCQGDLA ILARAVESDVQGWLGAEDFSLWVHLANEYCLPLALLEPVDAWWPRALELLRLHVQSSD RQPWRVCVLAFLDDVSPHYAAMDAMGTQLLHIEAKHELVCVARSYLVHLCATHHVAMH PNRAVLAGQELAKQWQQDLAIRRHLHASPNTTTEAAIACYRHEDIHTLSFSLHLPTSC STH H257_16615 MYVVHPAPSTAYIGARNECVYMALYSYTHRRLLHLHLRARRKYA LKAGLPLYEHAATTIQKLVRGRQSRRHLQQRGRHVLEKRNVYGKPQWWVLDDVVTSQV RLARLQRRRDEYQALVQQLRCDEQAKKMALDRFRALEAMLTLSPPDQPIQTDLVDGYP SAYAHDVVQRFLAQHLPKSRANLSAAETELRLATQSHPLLHQAATIISARYRVMQCKI PFLQHRNAVRATEIRFKRSKAESLVRMNVAMHQRAVLRIHGAATTISSWWKMVAAVAL GISLRKRRAHFKLNVLLAIVMTMLPKAKAARRRHLVATVVVRYRAVVSTRLVTKVVAA WKGYAKEEVALGMQRRAREERGMLCFIESCVRRLQRSVKHFLHTTRPNYTRAVVSGLH PVVALHLEQCQGDLAILARAVESDVQGWLGAEDFSLWVHLANEYCLPLALLEPVDAWW PRALELLRLHVQSSDRQPWRVCVLAFLDDVSPHYAAMDAMGTQLLHIEAKHELVCVAR SYLVHLCATHHVAMHPNRAVLAGQELAKQWQQDLAIRRHLHASPNTTTEAAIACYRHE DIHTLSFSLHLPTSCSTH H257_16615 MYVVHPAPSTAYIGARNECVYMALYSYTHRRLLHLHLRARRKYA LKAGLPLYEHAATTIQKLVRGRQSRRHLQQRGRHVLEKRNVYGKPQWWVLDDVVTSQV RLARLQRRRDEYQALVQQLRCDEQAKKMALDRFRALEAMLTLSPPDQPIQTDLVDGYP SAYAHDVVQRFLAQHLPKSRANLSAAETELRLATQSHPLLHQAATIISARYRVMQCKI PFLQHRNAVRATEIRFKRSKAESLVRMNVAMHQRAVLRIHGAATTISSWWKMVAAVAL GISLRKRRAHFKLNVLLAIVMTMLPKAKAARRRHLVATVVVRYRAVVSTRLVTKVVAA WKGYAKEEVALGMQRRAREERGMLCFIESCVRRLQRSVKHFLHTTRPNYTRAVVSGLH PVVALHLEQCQGDLAILARAVESDVQGWLGAEDFSLWVHLANEYCLPLALLEPVDAWW PRALELLRLHVQSSDRQPWRVCVLAFLDDVSPHYAAMDAMGTQLLHIEAKHELVCVAR SYLVHLCATHHVAMHPNRAVLAGQELAKQWQQDLAIRRHLHASPNTTTEAAIACYRHE DIHTLSFSLHLPTSCSTH H257_16616 MQAAGLPPQYAAELTKLAKVNTKVLLACSNPRNSNAGSPIQWTP LGKPVDGGVQLYTGNDSSIHDKSQSALNLVCGVVYVQAPLHEVSHALGNLTTNPYLKR VVDDPVVIDSRTLYDMDKRDRRATRIQWMSIRSASALMQHRDFVVVQHQDEVAIPSTS SRGSTVRGWVSCIHSVNLPMVPPFTQTYLRGGVYASGFVLRETNQRRITEAVVVLKVD FKGYAPRLLCTGTLKAWAMCVGRVAKYFRTHRSADNLHLPRVHLDDAHQLAGNGRCWV CHDRFGVHSDKHSCRKCHKRVCSACTTWADVDLDIVGRINVVVCTSCASNASSNASSN ADQSRPTPKQRHAPSRSASARQAPSSHSVDSPKQQPKMEECPHEIADVDKEVSPRFTQ DIPMRPSAATWMDTLRCDRRRPLQHKYDKFDDVIEGCSLNEPPPPTKEMPSRPRQGSM PTPRVGQVAALHHGEPPPCRRSLTPTSHHPSPRHDLEYATSLAPPIRLKSSHTNEPLV RPWVATTTSSTISSTVAQCSFETSSDDTIDRASSGLLQLPYDLETSITRTSDVSVVEA FTSTGNVLDLTKGDIASFRL H257_16617 MLQLTDDDVSRMKSLGREVCTHVAYSARRTESSRSPVRWTTIGF ENHVELFTGQDLDDTNRTLAYMCGVTHLSATIDQVADLFNATDVDVPHVRDFYAEFHA DWTHSQIVAPIRSRSRQYPRHMISLKTATMVSPTATSSRDFVYLESQEDVMDQKRNKR GWVCAMHSIDLATVASPPDCVRGSLYRSGFVFTESDVPGELEAIYLLQIDFKGGDDVS PQLGQTMMKRRIMSLQVLADYFASRPPPPHATSSSTNSPPSRVPSNNHNNHHVPQPPL GPSSYRKMQAPLPQQAGAPPHGGGYPSDEYTRHSRDLRHITASPSQQSDPPPSRYHDD ASYQYGRQGSQPTQPVAPNSHHPFHMALPDQVQVSGSRLQASPRLQLLGDIHLKPKHA ATQCACCVSGFNFLKKKHNCRVCGEVVCSSCCTQQRPVVPVEGIKKYHICTLCTMDSR RSVAASHPGLNNLQMTKSEPNNRSVLSGAGGMIRSPKSSLSGTQPPPRYKSPHGQPPA QDMRQGGTVRLRQPPPAPRPPYPDYDEMDLRLNNIMNPVHANMYSKPTMPQLQNYHPP SQQLHQAVAPLHNRPSHHHASGYPPSHHHHHQHQHQHHQPPPQHQQPPYNPTRPSTQP PPPSRGFRQHAPPTYENYGGGGASSMVSTNYPVPRYNGTNRPDDPRMSMLRDERESFL NLYDDVGTSVSRAQPVYRHPAPHNNPSMLSSRPVATQEVYIPPDAPTTTAVNLGDLRN APDVLAALNQSRAGAGTGLRLEIISPHRTTQPALAEPESEPYGRSYPDHAATPPPVTG GYTQAKETTSSPKKPLFSTPRAEGAALVPPQHPGIYKGSRYRIKETRYYATSTDANPD EYVTQDRDSILVDMPSSAPPLMDAPSDPTALTVSRFLDHDIDMFLQPMENQPTTAATI PAAPLLENGANHPPPNVADLLARLQQHQPPTPSAATRPNESGDVVALTQLLVDRLRMA SDEERAVIRGALAAAVQ H257_16618 MQNVSAAPSISTTMGLRPSSHALSCPSSNDLDEVVVVGGPATTD FGTRSGVYVNGTTTCPLILAWHFNSPVTVTVQYDPNGKPSCGGGHGPWGSSRLQWNAL STQNLPPSAPSTSTDVSPSLHPVKSPDSNPGVLDGVVVGGP H257_16619 MVQVVVVPVLNDNYSYLLIDEASKTCAAIDPVEPEKVLRAADEN NVTITLVLTTHSHADHDGGNPKMKQLLPDVVVVGGRNDNVRGVTREVDDQEVIRFGGL QVRVLSTPCHTPGHVLYLCDDALFTGDTLFIAGCGRFNGTSHHCCILISMLFSIIADG TAAQMHYALNQVIANLPEHTKVYCGHEYTTNNLKFAAAVEPENPAIQAKLHWSQFTPS TIPSTIASELDTNPFMRVDHPAVQKYAGSADPVTVMAVLREKKNSFGLGAGPAK H257_16619 MVQVVVVPVLNDNYSYLLIDEASKTCAAIDPVEPEKVLRAADEN NVTITLVLTTHSHADHDGGNPKMKQLLPDVVVVGGRNDNVRGVTREVDDQEVIRFGGL QVRVLSTPCHTPGHVLYLCDDALFTGDTLFIAGCGRFNDGTAAQMHYALNQVIANLPE HTKVYCGHEYTTNNLKFAAAVEPENPAIQAKLHWSQFTPSTIPSTIASELDTNPFMRV DHPAVQKYAGSADPVTVMAVLREKKNSFGLGAGPAK H257_16620 MAAMPDGIESFRVAAERALRDQRVQFDLKQREEDLVRLQAENVE MKAKMKEMKASMEANIEDRERVIMYKVKRIDELQDKLARREEDETGRMDRAVHTVTEQ LGIVTAERDLLRSKCVVSDATLKDMEAFQAVKGSLEAELARLQAENTQIQAACAARLR EVEVSHVMHLQRMKREKDDDVARTRRDMEKAMLDSLDGTTRRAVLENEKLTLELSYQS SKLEKMISQNEVLKRSKIESRNNTDILTEMTETLSKKVKFYEKLFQKMHQKERMAVEQ QLVAAQTKANHQRDRANVLLSAKPPQQASTITSNTPSDTKNGSNWQEALDTHLVERYK TKRGIDVVVQYNQFLHGGGLDATASSSAKPKPKTNSKATAAPPRPSRRAAPVHYSPQV IESIRLPHIVDTGDRPYVPKAEYLESSTSHARPSRRTADGSYTART H257_16620 MAAMPDGIESFRVAAERALRDQRVQFDLKQREEDLVRLQAENVE MKAKMKEMKASMEANIEDRERVIMYKVKRIDELQDKLARREEDETGRMDRAVHTVTEQ LGIVTAERDLLRSKCVVSDATLKDMEAFQAVKGSLEAELARLQAENTQIQAACAARLR EVEVSHVMHLQRMKREKDDDVARTRRDMEKAMLDRYVSWSWTVVVVDGWRSLDGTTRR AVLENEKLTLELSYQSSKLEKMISQNEVLKRSKIESRNNTDILTEMTETLSKKVKFYE KLFQKMHQKERMAVEQQLVAAQTKANHQRDRANVLLSAKPPQQASTITSNTPSDTKNG SNWQEALDTHLVERYKTKRGIDVVVQYNQFLHGGGLDATASSSAKPKPKTNSKATAAP PRPSRRAAPVHYSPQVIESIRLPHIVDTGDRPYVPKAEYLESSTSHARPSRRTADGSY TART H257_16620 MAAMPDGIESFRVAAERALRDQRVQFDLKQREEDLVRLQAENVE MKAKMKEMKASMEANIEDRERVIMYKVKRIDELQDKLARYNLVSTIELRLTPCSREED ETGRMDRAVHTVTEQLGIVTAERDLLRSKCVVSDATLKDMEAFQAVKGSLEAELARLQ AENTQIQAACAARLREVEVSHVMHLQRMKREKDDDVARTRRDMEKAMLDSLDGTTRRA VLENEKLTLELSYQSSKLEKMISQNEVLKRSKIESRNNTDILTEMTETLSKKVKFYEK LFQKMHQKERMAVEQQLVAAQTKANHQRDRANVLLSAKPPQQASTITSNTPSDTKNGS NWQEALDTHLVERYKTKRGIDVVVQYNQFLHGGGLDATASSSAKPKPKTNSKATAAPP RPSRRAAPVHYSPQVIESIRLPHIVDTGDRPYVPKAEYLESSTSHARPSRRTADGSYT ART H257_16620 MAAMPDGIESFRVAAERALRDQRVQFDLKQREEDLVRLQAENVE MKAKMKEMKASMEANIEDRERVIMYKVKRIDELQDKLARYNLVSTIELRLTPCSREED ETGRMDRAVHTVTEQLGIVTAERDLLRSKCVVSDATLKDMEAFQAVKGSLEAELARLQ AENTQIQAACAARLREVEVSHVMHLQRMKREKDDDVARTRRDMEKAMLDRYVSWSWTV VVVDGWRSLDGTTRRAVLENEKLTLELSYQSSKLEKMISQNEVLKRSKIESRNNTDIL TEMTETLSKKVKFYEKLFQKMHQKERMAVEQQLVAAQTKANHQRDRANVLLSAKPPQQ ASTITSNTPSDTKNGSNWQEALDTHLVERYKTKRGIDVVVQYNQFLHGGGLDATASSS AKPKPKTNSKATAAPPRPSRRAAPVHYSPQVIESIRLPHIVDTGDRPYVPKAEYLESS TSHARPSRRTADGSYTART H257_16621 MHKEEARAFADTLSDEDVEYLKQVARKTCARVASASRMDASSSV TWEPIGHKDGVDIYIGEVNEGDSGSRKVNTPGSMRKYLCGVTYVPASLDDIVNIFHSK SALQKVKNNGSQTTFNAFEREILNTKTLYKIRKRTSNAPRHCISLKWMRLGSTVKEMD DRDFVFLECQDTIFDEKVKRRGWVCSMHSVQLPGCPPLDGYVRGSLYRSGYVFRETET PNVLQVVCIMDMDFKGTMNANLSNLMLKSRVMIAGAIREHFQALQEELNQPQGEELDA MPCPLCRDYLASTRCTTCDDVVCMNCCQLIDPENSVCTVCIMNGKVDQLNANQANDTD YDDTSIGDDRSILLPPTVPTSKNARKPSVVLAPLPDLDDDSSMSTEEQRQSSEDNNQT AQDYALTLQPPGFFAQLLAAPTYSRRRDLSDAISYVEPDGTDPLYALAVQRLQVAASS EQEATRLAIVNLYYKHVVEC H257_16622 MVFGFGSSSKDGNVKPPPTPKCPELTMEQVQQLKAVAHMTCTDL VYASRLLPNSKHGVQWTPMESPNPRLRFYSGTQQHSHVTTHEVRFMCCVTTVHAALDD VIDVLNGSTRSSVLANDIKSNLNGDILAKASLKTFKYLDRLKIRLRWMVLKSASPYIP MRDFVFLECQNSIRVAGHAGWACNMHSVALPGFATFDESHNVIRGSFYRTGYVVTETD IPGQLRLVHMMQADFKGTSMPVHVSDMMMQRRVANIAFLPAYFRKLVLIDRIVAAAAD EKIPRPNQASAAKHCTQCRKKFPLLGKKLACRLCGEVACATCSHSLHVELHIRGYNGI RVCALCDAGDDDDDDPNDLMRSILTEVLMHVPGTEYSSPSIPPRDGRALATLPRDSDI LGDPNVDSLVFSARQPQPSIYYGSTCTIPEDIEDEEPPLSLREPSRGPSAKDLRNMSI ASRGSQVRGPRGLDWLDPLDSFRSSVASGIHFSLYSGARSTNDLLRDSSDTHEL H257_16623 MLLPCHDLLLGLAKTHCVVDLSARSKWVPTTSRRRHMDLYQATS CPKQRARNVVERSMYSKVSVVASLATVTGLLQGANPKFNHAFHRDVVTRTTVATICQT RAKRVVVQHDTLMHAHVACDVVFVECQEAMICSDNRHGFLCAVHSIPSAIHTTASESV LPRANVRNSGIVAVESLLLPGVVDIVYVLHMTFPPSMAPAVCDKVMVQRLSSLRHIAA FATPVGLRWLNAFLPR H257_16624 MNATTVPSKATIQGSFRAASTTRTYLTYQKQLFLFCQEVLAVDP VSAGPSACTDFFHHLYGQGKTARTVDSAKTALVAYFRDLKVDPNPARDVESKQYVVGL QKYNKKNNIDDEKKAHPLSVHELSCLVNSLSTSHLIVGVMYRFFLSASYLGCFRISEM LNLTWDDVAMKHDGDSQ H257_16625 MVLEHRARNLPRLLGTILLVFAVQFIVLSWYFGVKFEDMHGQIF HMTMERGVDTEGDDDTSMSPNTAPNGHTESSFRRAIVVYFPHDKQAELLPAFLGLRAS WLDMLDHEPSKWRTDLVVYTSAPAAHPNTPASPLLSVLDTFGCTTTRRRSNDEPNRCV VVPSYVPIAPSTPSARLVDSIHVAAMPRMNAYQWILRTDMHAFVTRAFATWKPSAMAV SRGLYPSGSEAVLARLVHRPAPPHVGATWYGPTKLIQACAAQAVHVMTSSVVQHADLT PHLDDSGRILRLFGAHFALANCTVGFTVDVRDDMLDVPSTSGDSTADHAHVVVPADEA AALYAAAPDTSMSWQKKDVVHDYVQYMAVQGRHALANVSVDLTSTPVTAALATTTTTT TSTTPTTVPTTTSTSTTTTTSTTTSSTTSTSPPPPWFVRAAVVFIPTGGEGARFVQQL RWFRRSWQHMALTEPMTWRTDIVVYVSGPVPELAALNCSAANVRTSRESANACVVIPT YTRLKTDAFDYAFGDSINVLAVTDIPEMAHYDWLLRTDLDTFLTPAFSTWQPLKMTVG MGGYSFSETNAARLARISGDLGLHDSHLNNIGSTWYGPADLVRTCAQLAVTTMQYLHE HEFTAQEKSDEYGIQGWPEWHHGVLTLYAGHIAINHCTKDAGVVKDDVNLDYATTSTD AVAQHAHLHTWQNDRRFSKFVFMSGGYSSENKSALDIATVADYAMYMALDSQETAPPP PMMATSSTVDADDSFVRAAVVFIPRKTREDVFVKQFRWLRRSWLEMQKSEPALYRTDL VVVSDGIIKDLRAFGCTTKPRASRESPNACVLVQDYKLLYSKEDFDYRFGDSINIVAH STPVLDQYDWLLRTDIDVFLTPTFATWRPAKMVVGGGGYQTPTNIHRLDRIASDLNLH VAGMHSVGSTWFGPTRLIQACANLTVYMMKYLHEHEFTAQEKSPAYGTKGWPEWHYGV LTLYAGHVAINHCTFESLAEKDDNMLDFPTASNEPVTTHAHLHTWQNRGRFSKFAFED GEYAAEDIDKLDVTKVSDYAMYMALDSQETPEPTLVPVSYAPDSQQGQVFVRAIVVCI TSSHSEAAISHFRSLHQSWKYMLKSEPTLWRTDLVVVTDGPALPELHALGCTGMYRTT NEYKSFCVQVSSSSSSHVTRDDDVASGTSSQMAHAMHLIQLDLTELHSYMWLLRTDVS SFVTPGFSTWRPPTPVYGPAPDNAEPSSVHDVTGIATELALDMTSPLGPLTNVGSTWY GPSKWIVQCAKAALAVLLHLHNNQTSLLIYNSSGASVAMAVAMSHCTNNDTAPALLNN DMLDVPTTSAASPHEPAHLKAVMIENDVTYFSGWAFAAGAYADVQLESLHADDTAHDY AMAMALKAHGGLTPTSQPPSLTTNLDEIRSEKTDQVLPRLRSSASLG H257_16626 MYKRGLLGGDAIEILGRGACHVPLGRGVDLLLGLPPSLADLNHL GCAFGLAQRFLALAHLVRADVPDSAEHEHSRDQPDRDSLGKRALAHGRGRVVAARERP H257_16627 MGWRQLFLVIAAIALLAHGTSSQAVDAQTPPIDFGDLEALSFAE PTASEKQRNQMDVMVIVGTVTATTARVIYEPLVNDESSITLSLILHKGPRTNDVVVKT LTHLQYQSFPYAAAFDTLVPNSTYFVHVQVGTSPSPTYVAVARFRTPPLDISNDKLVV LALSCDRFLDDADDSHWATLAEEVRDPAYYGTVHTGDQIYADSLEVSFRRAANFDATL HAYRGLYRRAFGRPLAQRVLRHGAHYMQVDDHDIVSNWSGDTWYSHHVMMRAGLQAYF EYQYQLLSDWTNVPPCQSGPKEELYRTVYHHVTLGDHQLQLVFADTRFERGLTLSTNQ LVSSSQLSFLNSTWAENASMRVLFTSVPLFFHTAMSAAIAHFVDGELYPGHAYFTSTV QAIWSQLPAKTLLLVAGDLHMAQRATVCDASAKCVPQLIASGITQHSTAMEDRRLLVF FYAITQWLQPLACLWGQESVCIRSASAHYGQNYAKLTWDHGQWKSEVVTKEYDLVTET ILQGLVTWGLDTRTLVSVGVIMLLRWSGMLSWWI H257_16628 MADKLAAELQLPNVSVLQLQELKWSTKERVWVQLVDKNPSSADV CERMKVMADDRKQARAVEKAEALPRDVIRKSEDSYRADFMKVVETELARIGAPSMLTW QRLVMEKDCNYQAMRILLTKIKSSQKRHRVNTLLPAIELKPPAFPPQPTDSTHPAHRH NRSSTAALRDLQPDVPSPPPSAGHPSRRPPTPDDGPSWNNNNDLIDDRSPSPASPAAA LSKAKRLARDEGQTTRRHVSTTPPAHPNQHLPLDIIRPTQQQQHPRTAELKAALADNA ALRRQMDDMIKLHNEAMAVDVKGGGVSTRRVRLLQAQNLQLQRQVDMLTEAVHLRQHA HHDLAQVVSSVMEILQTGQKQAEEAGAEVQDKHGDMWMMAVPRTLLAELKQVESRLHQ ATRKCNMELPLRYSADAVHDTVTLTDLHTHHASTGPEHVPELHHLRMDRLHALESALS TCVDDLDAVGHMLLQTRQHGDILAMTSRVTRGIRGVMEQVALFGSAVCHQPLGPGSKP TSEPRAQDILSLLPAKDKVLRMHIKRLISYEAGRTLQLKLMATEVATYQEQASKQANL MTRLVDGVRCVCQDKLSWVQQTLQPALHGLVQVYDQLKLANEEAATSQPWSHLFCETF EQHVDTLSSIEVEYQQYATTANAKLDNTIHALTVASLPTSSR H257_16628 MADKLAAELQLPNVSVLQLQELKWSTKERVWVQLVDKNPSSADV CERMKVMADDRKQARAVEKAEALPRDVIRKSEDSYRADFMKVVETELARIGAPSMLTW QRLVMEKDCNYQAMRILLTKIKSSQKRHRVNTLLPAIELKPPAFPPQPTDSTHPAHRH NRSSTAALRDLQPDVPSPPPSAGHPSRRPPTPDDGPSWNNNNDLIDDRSPSPASPAAA LSKAKRLARDEGQTTRRHVSTTPPAHPNQHLPLDIIRPTQQQQHPRTAELKAALADNA ALRRQMDDMIKLHNEAMAVDVKGGGVSTRRVRLLQAQNLQLQRQVDMLTEAVHLRQHA HHDLAQVVSSVMEILQTGQKQAEEAGAEVQDKHGDMWMMAVPRTLLAELKQVESRLHQ ATRKCNMELPLRYSADAVHDTVTLTDLHTHHASTGPEHVPELHHLRMDRLHALESALS TCVDDLDAVGHMLLQTRQHGDILAMTSRVTRGIRGVMEQVALFGSAVCHQPLGPGSKP TSEPRAQDILSLLPAKDKVLRMHIKRLISYEAGRTLQLKLMATEVATYQEVVI H257_16628 MADKLAAELQLPNVSVLQLQELKWSTKERVWVQLVDKNPSSADV CERMKVMADDRKQARAVEKAEALPRDVIRKSEDSYRADFMKVVETELARIGAPSMLTW QRLVMEKDCNYQAMRILLTKIKSSQKRHRVNTLLPAIELKPPAFPPQPTDSTHPAHRH NRSSTAALRDLQPDVPSPPPSAGHPSRRPPTPDDGPSWNNNNDLIDDRSPSPASPAAA LSKAKRLARDEGQTTRRHVSTTPPAHPNQHLPLDIIRPTQQQQHPRTAELKAALADNA ALRRQMDDMIKLHNEAMAVDVKGGGVSTRRVRLLQAQNLQLQRQVDMLTEAVHLRQHA HHDLAQVVSSVMEILQTGQKQAEEAGAEVQDKHGDMWMMAVPRTLLAELKQVESRLHQ ATRKCNMELPLRYSADAVHDTVTLTDLHTHHASTGPEHVPELHHLRMDRLHALESALS TCVDDLDAVGHMLLQTRQHGDILAMTSRVTRGIRGVMEQVALFGSAVCHQPLGPGSKP TSEPRAQDILSLLPAKDKVLRMHIKRLISYEVSMQRFEDMM H257_16628 MADKLAAELQLPNVSVLQLQELKWSTKERVWVQLVDKNPSSADV CERMKVMADDRKQARAVEKAEALPRDVIRKSEDSYRADFMKVVETELARIGAPSMLTW QRLVMEKDCNYQAMRILLTKIKSSQKRHRVNTLLPAIELKPPAFPPQPTDSTHPAHRH NRSSTAALRDLQPDVPSPPPSAGHPSRRPPTPDDGPSWNNNNDLIDDRSPSPASPAAA LSKAKRLARDEGQTTRRHVSTTPPAHPNQHLPLDIIRPTQQQQHPRTAELKAALADNA ALRRQMDDMIKLHNEAMAVDVKGGGVSTRRVRLLQAQNLQLQRQVDMLTEAVHLRQHA HHDLAQVVSSVMEILQTGQKQAEEAGAEVQDKHGDMWMMAVPRTLLAELKQVESRLHQ ATRKCNMELPLRYSADAVHDTVTLTDLHTHHASTGPEHVPELHHLRMDRLHALESALS TCVDDLDAVGHMLLQTRQHGDILAMTSRVTRGIRGVMEQVALFGSAVCHQPLGPGSKP TSEPRAQDILSLLPAKDKVLRMHIKRLISYEVSMQRFEDMM H257_16628 MKVMADDRKQARAVEKAEALPRDVIRKSEDSYRADFMKVVETEL ARIGAPSMLTWQRLVMEKDCNYQAMRILLTKIKSSQKRHRVNTLLPAIELKPPAFPPQ PTDSTHPAHRHNRSSTAALRDLQPDVPSPPPSAGHPSRRPPTPDDGPSWNNNNDLIDD RSPSPASPAAALSKAKRLARDEGQTTRRHVSTTPPAHPNQHLPLDIIRPTQQQQHPRT AELKAALADNAALRRQMDDMIKLHNEAMAVDVKGGGVSTRRVRLLQAQNLQLQRQVDM LTEAVHLRQHAHHDLAQVVSSVMEILQTGQKQAEEAGAEVQDKHGDMWMMAVPRTLLA ELKQVESRLHQATRKCNMELPLRYSADAVHDTVTLTDLHTHHASTGPEHVPELHHLRM DRLHALESALSTCVDDLDAVGHMLLQTRQHGDILAMTSRVTRGIRGVMEQVALFGSAV CHQPLGPGSKPTSEPRAQDILSLLPAKDKVLRMHIKRLISYEAGRTLQLKLMATEVAT YQEQASKQANLMTRLVDGVRCVCQDKLSWVQQTLQPALHGLVQVYDQLKLANEEAATS QPWSHLFCETFEQHVDTLSSIEVEYQQYATTANAKLDNTIHALTVASLPTSSR H257_16628 MKVMADDRKQARAVEKAEALPRDVIRKSEDSYRADFMKVVETEL ARIGAPSMLTWQRLVMEKDCNYQAMRILLTKIKSSQKRHRVNTLLPAIELKPPAFPPQ PTDSTHPAHRHNRSSTAALRDLQPDVPSPPPSAGHPSRRPPTPDDGPSWNNNNDLIDD RSPSPASPAAALSKAKRLARDEGQTTRRHVSTTPPAHPNQHLPLDIIRPTQQQQHPRT AELKAALADNAALRRQMDDMIKLHNEAMAVDVKGGGVSTRRVRLLQAQNLQLQRQVDM LTEAVHLRQHAHHDLAQVVSSVMEILQTGQKQAEEAGAEVQDKHGDMWMMAVPRTLLA ELKQVESRLHQATRKCNMELPLRYSADAVHDTVTLTDLHTHHASTGPEHVPELHHLRM DRLHALESALSTCVDDLDAVGHMLLQTRQHGDILAMTSRVTRGIRGVMEQVALFGSAV CHQPLGPGSKPTSEPRAQDILSLLPAKDKVLRMHIKRLISYEVSMQRFEDMM H257_16628 MKVVETELARIGAPSMLTWQRLVMEKDCNYQAMRILLTKIKSSQ KRHRVNTLLPAIELKPPAFPPQPTDSTHPAHRHNRSSTAALRDLQPDVPSPPPSAGHP SRRPPTPDDGPSWNNNNDLIDDRSPSPASPAAALSKAKRLARDEGQTTRRHVSTTPPA HPNQHLPLDIIRPTQQQQHPRTAELKAALADNAALRRQMDDMIKLHNEAMAVDVKGGG VSTRRVRLLQAQNLQLQRQVDMLTEAVHLRQHAHHDLAQVVSSVMEILQTGQKQAEEA GAEVQDKHGDMWMMAVPRTLLAELKQVESRLHQATRKCNMELPLRYSADAVHDTVTLT DLHTHHASTGPEHVPELHHLRMDRLHALESALSTCVDDLDAVGHMLLQTRQHGDILAM TSRVTRGIRGVMEQVALFGSAVCHQPLGPGSKPTSEPRAQDILSLLPAKDKVLRMHIK RLISYEAGRTLQLKLMATEVATYQEQASKQANLMTRLVDGVRCVCQDKLSWVQQTLQP ALHGLVQVYDQLKLANEEAATSQPWSHLFCETFEQHVDTLSSIEVEYQQYATTANAKL DNTIHALTVASLPTSSR H257_16628 MKVVETELARIGAPSMLTWQRLVMEKDCNYQAMRILLTKIKSSQ KRHRVNTLLPAIELKPPAFPPQPTDSTHPAHRHNRSSTAALRDLQPDVPSPPPSAGHP SRRPPTPDDGPSWNNNNDLIDDRSPSPASPAAALSKAKRLARDEGQTTRRHVSTTPPA HPNQHLPLDIIRPTQQQQHPRTAELKAALADNAALRRQMDDMIKLHNEAMAVDVKGGG VSTRRVRLLQAQNLQLQRQVDMLTEAVHLRQHAHHDLAQVVSSVMEILQTGQKQAEEA GAEVQDKHGDMWMMAVPRTLLAELKQVESRLHQATRKCNMELPLRYSADAVHDTVTLT DLHTHHASTGPEHVPELHHLRMDRLHALESALSTCVDDLDAVGHMLLQTRQHGDILAM TSRVTRGIRGVMEQVALFGSAVCHQPLGPGSKPTSEPRAQDILSLLPAKDKVLRMHIK RLISYEAGRTLQLKLMATEVATYQEQASKQANLMTRLVDGVRCVCQDKLSWVQQTLQP ALHGLVQVYDQLKLANEEAATSQPWSHLFCETFEQHVDTLSSIEVEYQQYATTANAKL DNTIHALTVASLPTSSR H257_16628 MKVVETELARIGAPSMLTWQRLVMEKDCNYQAMRILLTKIKSSQ KRHRVNTLLPAIELKPPAFPPQPTDSTHPAHRHNRSSTAALRDLQPDVPSPPPSAGHP SRRPPTPDDGPSWNNNNDLIDDRSPSPASPAAALSKAKRLARDEGQTTRRHVSTTPPA HPNQHLPLDIIRPTQQQQHPRTAELKAALADNAALRRQMDDMIKLHNEAMAVDVKGGG VSTRRVRLLQAQNLQLQRQVDMLTEAVHLRQHAHHDLAQVVSSVMEILQTGQKQAEEA GAEVQDKHGDMWMMAVPRTLLAELKQVESRLHQATRKCNMELPLRYSADAVHDTVTLT DLHTHHASTGPEHVPELHHLRMDRLHALESALSTCVDDLDAVGHMLLQTRQHGDILAM TSRVTRGIRGVMEQVALFGSAVCHQPLGPGSKPTSEPRAQDILSLLPAKDKVLRMHIK RLISYEAGRTLQLKLMATEVATYQEQASKQANLMTRLVDGVRCVCQDKLSWVQQTLQP ALHGLVQVYDQLKLANEEAATSQPWSHLFCETFEQHVDTLSSIEVEYQQYATTANAKL DNTIHALTVASLPTSSR H257_16628 MKVVETELARIGAPSMLTWQRLVMEKDCNYQAMRILLTKIKSSQ KRHRVNTLLPAIELKPPAFPPQPTDSTHPAHRHNRSSTAALRDLQPDVPSPPPSAGHP SRRPPTPDDGPSWNNNNDLIDDRSPSPASPAAALSKAKRLARDEGQTTRRHVSTTPPA HPNQHLPLDIIRPTQQQQHPRTAELKAALADNAALRRQMDDMIKLHNEAMAVDVKGGG VSTRRVRLLQAQNLQLQRQVDMLTEAVHLRQHAHHDLAQVVSSVMEILQTGQKQAEEA GAEVQDKHGDMWMMAVPRTLLAELKQVESRLHQATRKCNMELPLRYSADAVHDTVTLT DLHTHHASTGPEHVPELHHLRMDRLHALESALSTCVDDLDAVGHMLLQTRQHGDILAM TSRVTRGIRGVMEQVALFGSAVCHQPLGPGSKPTSEPRAQDILSLLPAKDKVLRMHIK RLISYEAGRTLQLKLMATEVATYQEVVI H257_16628 MKVVETELARIGAPSMLTWQRLVMEKDCNYQAMRILLTKIKSSQ KRHRVNTLLPAIELKPPAFPPQPTDSTHPAHRHNRSSTAALRDLQPDVPSPPPSAGHP SRRPPTPDDGPSWNNNNDLIDDRSPSPASPAAALSKAKRLARDEGQTTRRHVSTTPPA HPNQHLPLDIIRPTQQQQHPRTAELKAALADNAALRRQMDDMIKLHNEAMAVDVKGGG VSTRRVRLLQAQNLQLQRQVDMLTEAVHLRQHAHHDLAQVVSSVMEILQTGQKQAEEA GAEVQDKHGDMWMMAVPRTLLAELKQVESRLHQATRKCNMELPLRYSADAVHDTVTLT DLHTHHASTGPEHVPELHHLRMDRLHALESALSTCVDDLDAVGHMLLQTRQHGDILAM TSRVTRGIRGVMEQVALFGSAVCHQPLGPGSKPTSEPRAQDILSLLPAKDKVLRMHIK RLISYEVSMQRFEDMM H257_16628 MKVVETELARIGAPSMLTWQRLVMEKDCNYQAMRILLTKIKSSQ KRHRVNTLLPAIELKPPAFPPQPTDSTHPAHRHNRSSTAALRDLQPDVPSPPPSAGHP SRRPPTPDDGPSWNNNNDLIDDRSPSPASPAAALSKAKRLARDEGQTTRRHVSTTPPA HPNQHLPLDIIRPTQQQQHPRTAELKAALADNAALRRQMDDMIKLHNEAMAVDVKGGG VSTRRVRLLQAQNLQLQRQVDMLTEAVHLRQHAHHDLAQVVSSVMEILQTGQKQAEEA GAEVQDKHGDMWMMAVPRTLLAELKQVESRLHQATRKCNMELPLRYSADAVHDTVTLT DLHTHHASTGPEHVPELHHLRMDRLHALESALSTCVDDLDAVGHMLLQTRQHGDILAM TSRVTRGIRGVMEQVALFGSAVCHQPLGPGSKPTSEPRAQDILSLLPAKDKVLRMHIK RLISYEVSMQRFEDMM H257_16629 MCPSPDTPATTTSVAPLLHGAAHFDPATCNGRTLYQLLTGGVVP RPIAWISTMNRAGVTNLAPFSFFTVVSIDPPIFAVTQVYPGPHRKNKDTVVNLIDTNE CVVNVVSEGMAATMNATCAEYPPGTSEMDVLGVKAVASSVVRVPGVARSAVRFECTLR DTMDIGNGRVMLLNVVHIAVDKAVLGPDGASIDSTLTHLVGRLGGDAYTRTNDQLTIK RPTAL H257_16630 MSVQKPTKPTGFEGEESRIHRIRITLTSRNVKNLEKVCADLKKG AVDKNLKVSGPVRLPTKILRLTTRKSPCGEGTNTWDRFEMRIHKRIIDLHSPSEIVKQ ITSISIEPGVEVEVTIADSN H257_16631 MAPAYDPAFVGHVEAEDKAAFQNRLVEKLSEVLEQEVEGVMAEY IVVMVGNQKTMKEIHDEMVDFMGAESAESAVACIYNLLRGPPATAIDASPSPPPPSAS PSPEPSSDSPTTTTAPPSTKIINLSGIKASSTVDLSGKSSSTIRVIGSSATSKDLKHA LKSRSERFGIPEKKIVDDKPSSSSSSSRPTKLSITERLGGRDTKKDAPPSSSSSRTDR SRQDSQRPSRSEPRDSNKKRKGPNDPDDKASSSRDQDDQRASKRPARDGDRYPPGPRG PYPFPPMFQGYPPYHMPPPEFMYGYPPHHPHHPHPHMPGFGYPGMPRGPYPPPGAPRR FNNKWINPAAAAAAEGATDVAADKTDEPAGEPDAPKPQPVGFGYPPARPRFQNKTWVR PEPQDPPTPQADEALSASLPKTP H257_16632 MSNIYVTEPNTEGKVLMRTSFGELDVEFWPQQAPRACRNFFQLA MEKYYDNTLFHRLISGFMIQGGDPTGTGDGGESAFGEPFADEFHSRLRFNHRGLLAMA NSNKSNTNKSQFFFTLDACDFLTKKHTIFGKVTGNTIFNLLTVNDMETSPDERPVEPI KLLSIEILWNPFEDIVPRAIKGSASSKATTAAMDKKKRRKGTKDLKLLSFGDEADEEF VQQPVKKIKGGLSSHDVLRDPKLSQRVDESLQTKVASMPVHVDPISDGDDNGDDIGDD DVAAASLSTLKARISDKLKKKSALVHTTAKPKLHDAHADHEKDASDVDHDGKKKKKSK KESKKVQKDEYTLLKEHLKKTHKAAAMLKGDKLKAAEAEKAYQEMLTPLQLRRQKYLA NKKSSAGDRQKQTLSRLESFKSTLSQVLETKKKKHENTSTENDTEVYHGQVMADGSDD DDDEIHKDPSWMTKELKFKKHIDDQYRMGYDPTADNYMTIDTKKGGH H257_16632 MSNIYVTEPNTEGKVLMRTSFGELDVEFWPQQAPRACRNFFQLA MEKYYDNTLFHRLISGFMIQGGDPTGTGDGGESAFGEPFADEFHSRLRFNHRGLLAMA NSNKSNTNKSQFFFTLDACDFLTKKHTIFGKVTGNTIFNLLTVNDMETSPDERPVEPI KLLSIEILWNPFEDIVPRAIKGSASSKATTAAMDKKKRRKGTKDLKLLSFGDEADEEF VQQPVKKIKGGLSSHDVLRDPKLSQRVDESLQTKVASMPVHVDPISDGDDNGDDIGDD DVAAASLSTLKARISDKLKKKSALVHTTAKPKLHDAHADHEKDASDVDHDGKKKKKSK KESKKVQKDEYTLLKEHLKKTHKAAAMLKGDKLKAAEAEKAYQEMLTPLQLRRQKYLA NKKSSAGDRQKQTLSRLESFKSTLSQVLETKKKKHENTSTENDTEVYHGQVMADGSDD DDDEIHKDPSWMTKELKFKKHIDDQYRMGYDPTADNYMTIDTKKGGH H257_16633 MAGTREGGGLRERWTAQGRGVTFMSPQTMKERLKRRCMEHVKKN RKRILENLRHQATDVPSEVMDVSESICLEDLMLRGDLTHDDYLEVLTSLESALHEEMR LEELELAEELLEAEEAWIADFQALDLHQGYHASEFILCPLCKKHGLDVKTDLNHGMTT TAECVCGLYLPLLRSHGGDPLTRFTDAMSNAFEAHSCMCDEVPNFHLSHDHSPSAVNS QHDDVRATHLYLDCNCCGSLIQVI H257_16634 MEDLQKRLAALEQGAEDRHLEVLGGHLGARREEQSGTEWPAERI RQTFVDFFINHPSLPHVEYKSCPVVPLDDPTLLFINAGMNQYKPIFLGQVDPSHPMSK LTRAVNSQKCIRAGGKHNDLDDVGKDVYHHTFFEMLGNWSFGNYFKAETIDLSWTLLT KVYGLEPDRLYVTYFGGDDKQGLPADEETKQLWLKHVPESRLLPFGCKDNFWEMGDQG PCGPCTEIHYDRIGGRDAASRVNADVPDVIEIWNNVFIQFNREPDSSLVVLPSQHVDT GMGFERLASILQGKTSNYDTDVFVPLFASIQALCGTTEPYTGKLGDEDPTLKDMAYRV VADHIRTLTVAISDGAAPSSDGRGYVLRRILRRAVRYGEQFLGAPRGFLSKLVPKVVE MLGGAFPELISKQAQVIEVILDEEESFGRTLSKGLDRFKKIAAALKSEKKTVVPGEDA FFLYDSMGFPLDLTQLMAEEQGLVVDVAGYDLAMKVQRENSGKKVGVAGVRPLVLESA ETAYLTGHQIAPTMDDAKYIQQHVTASVVAIFTTTATASTFVQSTTAHEHAAIGVILD TTSFYAQSGGQIYDTGVIKNGTTHFNVHAAESYAGFVLHVGPLTKGTLHVGDVVQVEV DYQRRNKVAPNHTMTHILNFALRRVLGTIVDQRGSLVDDARLRFDFTSNKPLKPDQIA AVETICKDVISQELPVYVESAPQAHAKRIQGLRAVFGETYPDQVRVVSIGAAIPSMLA DPENAAWKQFSVEFCGGTHLTNTKEAVSFALFEEGAVAKGIRRISAYTGDAAKQADAR ADDLQKHLDDLAKLPVVDIVTSVAAFRPVLDTALISLPRKEALKHQLNNLIDKIKAWQ KDEAAARAAAGVAHVQTLVAAAKANSDEFIVLSLDVGAEAKLGRELLDAAVQVHPDGS FFIFSVDADRTKTAGFAQVSAKHHAAKGLDAKSWVNTAMASLGGKGGGKDPLTATGQA KTVQGLDDAIAAAKAFVH H257_16634 MEDLQKRLAALEQGAEDRHLEVLGGHLGARREEQSGTEWPAERI RQTFVDFFINHPSLPHVEYKSCPVVPLDDPTLLFINAGMNQYKPIFLGQVDPSHPMSK LTRAVNSQKCIRAGGKHNDLDDVGKDVYHHTFFEMLGNWSFGNYFKAETIDLSWTLLT KVYGLEPDRLYVTYFGGDDKQGLPADEETKQLWLKHVPESRLLPFGCKDNFWEMGDQG PCGPCTEIHYDRIGGRDAASRVNADVPDVIEIWNNVFIQFNREPDSSLVVLPSQHVDT GMGFERLASILQGKTSNYDTDVFVPLFASIQALCGTTEPYTGKLGDEDPTLKDMAYRV VADHIRTLTVAISDGAAPSSDGRGYVLRRILRRAVRYGEQFLGAPRGFLSKLVPKVVE MLGGAFPELISKQAQVIEVILDEEESFGRTLSKGLDRFKKIAAALKSEKKTVVPGEDA FFLYDSMGFPLDLTQLMAEEQGLVVDVAGYDLAMKVQRENSGKKVGVAGVRPLVLESA ETAYLTGHQIAPTMDDAKYIQQHVTASVVAIFTTTATASTFVQSTTAHEHAAIGVILD TTSFYAQSGGQIYDTGVIKNGTTHFNVHAAESYAGFVLHVGPLTKGTLHVGDVVQVEV DYQRRNKVAPNHTMTHILNFALRRVLGTIVDQRGSLVDDARLRFDFTSNKPLKPDQIA AVETICKDVISQELPVYVESAPQAHAKRIQGLRAVFGETYPDQVRVVSIGAAIPSMLA DPENAAWKQFSVEFCGGTHLTNTKEAVSFALFEEGAVAKGIRRISAYTGDAAKQADAR ADDLQKHLDDLAKLPVVDIVTSVAAFRPVLDTALISLPRKEALKHQLNNLIDKIKAWQ KDEAAARAAAGVAHVQTLVAAAKANSDEFIVLSLDVGAEAKLGRELLDAAVQVHPDGS FFIFSVDADRTKTAGFAQVSAKHHAAKGLDAKSWVNTAMASLGGKGGGKDPLTATGQA KTVQGLDDAIAAAKAFVH H257_16635 MLPAGLVREYGWNLLEKRSCICNDDVMKVALYFICSLLRRHVRQ VCTVVENVEVCGGRTSLSSPSTLVRWCGHVMHEDHPRLASCARRHAMRSSQMPLHCNQ HCKLLSKPMVYLLYVRPSLVGH H257_16636 MDMLKQPDVDHDERMDQFSTAKSHDDDDADDPNGVVKDDNPSPT STKLSGVGDTRADRLEEMQSVVKKVVYESRGPLYMDLCSRTSPHLGALVKSFRATADG GKGQAELSGNVHVGDLVLALNDVDCTILPFQRIVLEAKNANFPLVLQVLPKVYVPEYF PISSPSAPVPHRGSEQVLPPSDPAQPPTPTNGSGGGRWGKFGQILDMRPKRSSLTAAE MPKGTIPHSPRDLIAIPTTTTTSTSTPTSPSPSSPHTLGTIPISSVGWAATPPAPQHQ QPQPVTPPPGTANDKFQQKFKHWQDSITLDKVVASSSNLFKFIGGKSPSATYHNINDP DDEWTPWLAGATIAFREPGNPFHTSGLHLVSASKPMGVQDGQVHCQWYRVVHPNHRHW LLLQGATQRTYMPSIDDVGVTVGLSCHVSRLGVSSPVKLIELTHPLVIDPSVEDTTRM MVEAGSALFSATLASSELVSFQLKVNSTHVVVIQISEDDFNTVVDAPYDGHLHVYLDP EDPTRFILRFRPLGDAVGTCDTGLNPTIHQATLATLHLTAQSASTRDIIASTVRTFRA NRLSADVDASARDVEANYFGEVVDKAKLTAPAVSLLVSDKTDGDCPSLSEDVRGVALV GDGKSPPVQTMTETAAAADDVAILKKQLASQALLLKATQNERNFMAVAVEVRERKLED QAAAHKLLQATVDTLRGELQVAHAAIARTKQVEANAQQMERSLSDLRQTNQELEAQLA ALRSHDHEVDAKWAALDEDCRTVRAELAAQQALYMTLVEERNALKAKTTDLSKELRRL LKHGQSVGDLEAHLIERTQLQIDLAEAKADVKRYMDEMNEFKNALDCHVKQRGMGDVE MQRVLSQNKELQRLVTHFSTSLSASQDQVAKWKKLFDSSPLKHVMTTQRRLSKSTSFQ RNEQLVFDEDDEEEEEEDEEEEEE H257_16636 MRPKRSSLTAAEMPKGTIPHSPRDLIAIPTTTTTSTSTPTSPSP SSPHTLGTIPISSVGWAATPPAPQHQQPQPVTPPPGTANDKFQQKFKHWQDSITLDKV VASSSNLFKFIGGKSPSATYHNINDPDDEWTPWLAGATIAFREPGNPFHTSGLHLVSA SKPMGVQDGQVHCQWYRVVHPNHRHWLLLQGATQRTYMPSIDDVGVTVGLSCHVSRLG VSSPVKLIELTHPLVIDPSVEDTTRMMVEAGSALFSATLASSELVSFQLKVNSTHVVV IQISEDDFNTVVDAPYDGHLHVYLDPEDPTRFILRFRPLGDAVGTCDTGLNPTIHQAT LATLHLTAQSASTRDIIASTVRTFRANRLSADVDASARDVEANYFGEVVDKAKLTAPA VSLLVSDKTDGDCPSLSEDVRGVALVGDGKSPPVQTMTETAAAADDVAILKKQLASQA LLLKATQNERNFMAVAVEVRERKLEDQAAAHKLLQATVDTLRGELQVAHAAIARTKQV EANAQQMERSLSDLRQTNQELEAQLAALRSHDHEVDAKWAALDEDCRTVRAELAAQQA LYMTLVEERNALKAKTTDLSKELRRLLKHGQSVGDLEAHLIERTQLQIDLAEAKADVK RYMDEMNEFKNALDCHVKQRGMGDVEMQRVLSQNKELQRLVTHFSTSLSASQDQVAKW KKLFDSSPLKHVMTTQRRLSKSTSFQRNEQLVFDEDDEEEEEEDEEEEEE H257_16637 MDDLAATATAVADQDEGSSGLLSKELMEELGGDEGELLTVRKKK VKGQAKAPSAAVIQAATKLSKTKRKKLVQLEARKAKEARRDEVLQSLEAQKLPQAHLN LMYSTARLGHKETLKERLKRSVNQEKAGLTLTASAREELYPELRQPKATSEAAAGGVA AASSEDDSMGIALANPILTTSPDPIEIEKTPTQPTQGDSKAKKSRKKKSVLTTPASVT PAKAIATPSTPLSCTAAAPSTSANDGPSAPPPATAAPSTLSHVDNNEATSESAMMVKL LALRAKNEAKRLLKAQGGGPAPAAAADKYEHLPKYTPKPVPLNKTHEMIALSKLVAPL QLQRKVTVTRVEAIQLGRMQLPVCNAEQEIMEAIESNSVVILCGETGSGKTTQVPQFL YEAGYGTDGMHPGMIGVTQPRRVAAVSTAQRVATELNVPFGKRGSVGYQIRYDNDHVG DSTRIKFMTDGILLKEIQQDFLLKKYSIILLDEAHERNVNTDILIGLLSRVAPFRAQM AIEEKEHFESLSAAEKAAAPAPIQPLKLVIMSATLRVEDFTENKTLFPSPPPVIKVEA RQYPVTVHFNKHTEMNDYVQAAYQKVVKIHRKLPEGAILVFLTGQREILQLVRQLRRN LGSGKKKNKAPATNSRNRMQDEAWYIREHDDDDDQADLDDADVYGEETGDDSGDDSGD EEEGDEEGDEEGEDLFPYVHVLPLYSMLANDEQMKVFEAPPAKHRLVIVATNVAETSL TLPGVRYVVDAGRTKERVFDLKSGISQFEIQWISKASADQRAGRAGRTGPGHCYRLYS SAVYDNEFQKFSPPQILCQPIEDVVLQMKAMGIENILQFPFPTPPEELALHTAVTTLL HLGALHRTTNTITGLGKTLAGFPVAPRFAKMLLLAQQVGCLEYAIAVVASLTGQSPFI LERERKEAESYRKEANDEDKLPSIDAESSEPQKNEMTQVDNAWTEELKEAEAMQRHAQ WMDDDSDVLSMLRAAGAYAYSGGSSVFCTENHLHEKVMEQMLKLRSQLTNIVNKLTVG GHAAVTLRPNMPPPSDHDQDMLRQILAAGFLDQVARRVPAGTITSGTKIERNCAYMSC NGIVTEPLYIHPHSHLFTTNPSKLPQYVVYSNIVRTSRAYMKTVTAIEPDWLHAVAAD SPLCDTSEPLDAPPPKYNAALDRVECYVKPTYGSYKWELPAVLVEYPAGPVKFRWFGR FLLDGLVVSALKPLLATKLREPSVSLIKKKFDAKIQLLVSALERSNVSSRRALVAQWQ CNPQFLCEQVLNWVQDNHKAALKQHWNALVMRQVQAL H257_16638 MGTKRVKGKKKLKSIKTKQTDLHGSKRRLVKLGKEQKKGMKGIV ANYITRSQAIKKLQITLKDFRRLCILKGIYPRDPKKKASGKATTYYYIKDVMHLAHEP ILNKFRDMKTFMKKIKKALGRKEISDARRINESKPTYPLDHIVKERYPRFQDALGDLD DALCLVHLFAVMPSVKGIQSKMTTDCLKLVREWQNYIAASQTLTKVFVSIKGLYYQAE IKGHTITWLVPHTFTPTMDKHVDLRVMLTFLEFYQVLLKFVHFQLYTELGVAYPPKID LQLDAAGVQLAALKLEKKVVDDTTSPASNEGDATAADTTDNEAAAVAQQQQDSEARIQ SLQVQAGDNDEEAHDEEASTYGQLTESLTGAFSALTKDFVGDSADSDDNSTKLLTGLR FFLSREVPKACLEFVIRAQGGVVGWDGVGSPYSEKSELITHHVMDRPQQGHRYFTREY VQPQWVFDSINNQTLLPLTKYVPGAALPPHLSPFVDDVAEGYVPDYRKQLDKLKSALD VAGGEEIDVDEEEEAESEDEEDAYVQGLKAEADGGVAATIDNDDDDDDDEDVATKKRP AAAVEGKPSKKAKREAEDEELHDMAVNMMNKKAKRLYGRMQHGIGKKSDKVKALEDKK LALLAKK H257_16639 MPATSAAAATVVTSPELMRCIFAHQCGVYEDILPLTKLLPLHLS NRSLYFLMIGNYPVFRHHLDHFARGFTPWLKVHGTSSLPRLFTCVISMPFTVELFSAC VGHLDIVDFLIDHDYVDPSIPLMDLAAWAGQLAVMTHLLARKPRDETSKHHTLTPTTL DWAACNGQLNVVQYFTKTMMAPCTTDAMDGAARCGHLDVVQYLHANRHEGCTTDAMDR AACYGFLDVVQFLHVHRQEGCTHRAMDAAATGGHLEIVQFLNERRDEGASSDAMDGAI LNGHLNVVKYLVDHSSVSTSSVDVLHAALALGDQPMTAFLMNRFCLEGDFWSDEDNHH NDVVDYGIITGF H257_16640 MAIMFKYKEGSSCMSEMEPAHGAAGDQVTKKQKTSAEGSSTPKP TIEWKKTPSFPPTEGSCTVWLPRKQRYCSHHATFGSTKCTTHAALDTRSSAVVAEATG AGVDGEELPPHAAETVATSSSRKTNLDRHLKRMLNPFSIPPLKAAPVWSELFTDPSLP LCIDIGCSKGLYIRDYRDKKQLTSNWNFVGVEIFEPYVVAANAATAASTSLEPKNLAY VHANINNSLETLVAGQVIGRVSLLFPDPWGCGLATEHKNKKRRVMSLSFAKRLAAAMP IDSEFYLASDYEDLALDIRSHLLATGAFDVPEEGQYKPTMTAPAMRQSYENKEKKERL LDASKGVGKIAAESDATTLWLATMPLGVPTERDIICENQWRPVYRLVLTRNSTLS H257_16640 MAIMFKYKEGSSCMSEMEPAHGAAGDQVTKKQKTSAEGSSTPKP TIEWKKTPSFPPTEGSCTVWLPRKQRYCSHHATFGSTKCTTHAALDTRSSAVVAEATG AGVDGEELPPHAAETVATSSSRKTNLDRHLKRMLNPFSIPPLKAAPVWSELFTDPSLP LCIDIGCSKGLYIRDYRDKKQLTSNWNFVGVEIFEPYVVAANAATAASTSLEPKNLAY VHANINNSLETLVAGQVIGRVSLLFPDPWGCGLATEHKNKKRRVMSLSFAKVRLFRTT LPHLTL H257_16641 MKRKELLAACESLVKSYDPAIVTVDAHVDEALKGYADADRLFLH QVLYGCVRYKDVLKVVLSNFYQDNSAKCSRNDYTKFLIMGYLALFRLDEIGMAGFHGF VSTHNPTAMHVFLAYLFDDAILHGPVKAEWLRLLDQEFVETQLIAKLEKHRPEIDQVL GHLHAKAFGMAAARESLKQSGGVVRVASKQPTVPVAPNITKPKPRAIPEPTRIPLETK AHPVPDLNKLTLADIQDHQKHRRDAMKEQVLKKYQESVAQPFQLEETRSNLEAIKQEV EAQRMAEVNRKFKAKPAPTFSDKDAPVKLNTAAILREDALYKKKQEKEAKLIQAYESD LRDASEFYRWQSDMIKKDDAAHRAQVETRRLEMVQAQHEAIEASLRAKVENRDVANQM KLVSKENDERRRLEEMAIDDSNRQGALEIKLTREVAPREAEERVKSDNHRKREAVNAM LETERARKAAQDAIDQAQREDLIRQIRALDRVHREHVAIFDPTESANLGLLDEMSLVE LRERLQLRKVEEAELEAQRRELILQEKKEREADLKSRVSNISRIRQLAASANRTSRDR RKQLEQDKRDQDKHMRDQGNLKLAQKMAAQRADRDAEFQRLKEEGELVANKRMFLGAA KNMLEVRHFMQQTMGAERQASNRQNAVQTVPPSCCCLGLWFESNHTWHDGRKREWNPR PSTWTRRFDRRIDTSKRCSTKPRCARTTPLWTRPWARPSSGCGMTRTCGRPWYTTNRL GGATRPRSCNIETCTRRKCRRLTWRMAGRFEQPQRPIKRASRRM H257_16641 MKRKELLAACESLVKSYDPAIVTVDAHVDEALKGYADADRLFLH QVLYGCVRYKDVLKVVLSNFYQDNSAKCSRNDYTKFLIMGYLALFRLDEIGMAGFHGF VSTHNPTAMHVFLAYLFDDAILHGPVKAEWLRLLDQEFVETQLIAKLEKHRPEIDQVL GHLHAKAFGMAAARESLKQSGGVVRVASKQPTVPVAPNITKPKPRAIPEPTRIPLETK AHPVPDLNKLTLADIQDHQKHRRDAMKEQVLKKYQESVAQPFQLEETRSNLEAIKQEV EAQRMAEVNRKFKAKPAPTFSDKDAPVKLNTAAILREDALYKKKQEKEAKLIQAYESD LRDASEFYRWQSDMIKKDDAAHRAQVETRRLEMVQAQHEAIEASLRAKVENRDVANQM KLVSKENDERRRLEEMAIDDSNRQGALEIKLTREVAPREAEERVKSDNHRKREAVNAM LETERARKAAQDAIDQAQREDLIRQIRALDRVHREHVAIFDPTESANLGLLDEMSLVE LRERLQLRKVEEAELEAQRRELILQEKKEREADLKSRVSNISRIRQLAASANRTSRDR RKQLEQDKRDQDKHMRDQGNLKLAQKMAAQRADRDAEFQRLKEEGELVANKRMFLGAA KNMLEVRHFMQQTMGAERQASNRQNAVQTEARVESQTKHMDTKIRQTYRHKQAMQHEA SMRENDAALDKAMGETKQRLRHDKDMRKAVVHHEQARRGHAAEILQHRNMYATQVSAA DVANGRTFRAAAASNQEGQS H257_16641 MKRKELLAACESLVKSYDPAIVTVDAHVDEALKGYADADRLFLH QVLYGCVRYKDVLKVVLSNFYQDNSAKCSRNDYTKFLIMGYLALFRLDEIGMAGFHGF VSTHNPTAMHVFLAYLFDDAILHGPVKAEWLRLLDQEFVETQLIAKLEKHRPEIDQVL GHLHAKAFGMAAARESLKQSGGVVRVASKQPTVPVAPNITKPKPRAIPEPTRIPLETK AHPVPDLNKLTLADIQDHQKHRRDAMKEQVLKKYQESVAQPFQLEETRSNLEAIKQEV EAQRMAEVNRKFKAKPAPTFSDKDAPVKLNTAAILREDALYKKKQEKEAKLIQAYESD LRDASEFYRWQSDMIKKDDAAHRAQVETRRLEMVQAQHEAIEASLRAKVENRDVANQM KLVSKENDERRRLEEMAIDDSNRQGALEIKLTREVAPREAEERVKSDNHRKREAVNAM LETERARKAAQDAIDQAQREDLIRQIRALDRVHREHVAIFDPTESANLGLLDEMSLVE LRERLQLRKVEEAELEAQRRELILQEKKEREADLKSRVSNISRIRQLAASANRTSRDR RKQLEQDKRDQDKHMRDQGTTTVLLLFDPNLKLTRLELPKNHHNQR H257_16642 MVEAATKAHDDQHFFHRHSHLREFICGGTAAAINIIVTFVPNKI MFRQQLYGTSTVDAWKSIRDDGWPRLYRGVRPPLMQAAVSKSIMFGLYNSYHAKLTTT FGNHIGGLSTSHYAAFLSGTTEAVLTPFERIQTLLQTTKYNTTFSSAADAVVQVTRRG PRELYRGVTAILIRNAPSNIIFFGLREPVRDLLPETTSSHAIFAADFVSGAVLGAFLS TLFFPLNVAKTRMQSVYGTTRHMGVVEALELTYHERGGSWVKVYRGVHINFVRSLITW GIINSAYEKLMTLTE H257_16643 MRVLAGGVVVASLLPLVRSWKQWMWKGAGPGPRRGHSLALHGSR AIVFGGRADDTRATHVPKSYDTVETQGRLEFSTYTDHPVQDTCTDDTCTVPIGVYYND VWAYDINCTRFADDSCVDKSWVQLHQGLPWGGCNMRYGALVCPKPPERWFHRAEVFQD SMLVYGGFGILCTDYCDDMWRFNFQDNSWTEMHELGNPLGPGKRWKFSSSATASSMYF FGGYRLWHGFAPQNSEANVWSDLTVYPRGGYLDDLWQFDVANGTWTERHPKPTCDATD TCSIEWPSGRAGHASVILGDGLYIYGGYQTFFPYPATDGRGGGRGVLEGTSPGYTPFP TNPYYLSDLWVFNLTTGTWREVAPRFGTMPGPRAEHSLVAAGQVFVLFGGYRSNYYYE DTWQFNTTASQWLQQEAFIHALYPPQCTDDLALRADLFSGTYQPYVAVNDTTKSTEEL EKEAHYGTTHFSVVAVPTRGVLDVADTFYTQARRKAPGWDGCRDRIDNRTDLPWILQW SRPAQRAGHMAVYHSGYQLMLMYGGYGVTREELYASSSTTPAFTYDDWWSYSLANCIK NCSLHGVCSYGRCMCDEGYYGVDCSNMTCPGSVCAFNDITKGTSCVHCCFSGFEHTNN DTYVPNIQKSPCSTTNTHYSNGVCDGFGQCICRPPFIGADCSIRNCAYNCSGHGFCSV EFPNSRCLCDPGWAGKYCDQRVCLNNCSYPNGICVNGSCYCSMLYDPYNSTLSHFPFL GQDCSFMLPFASANRLSVGLVILLALVVQAVAYSS H257_16643 MRVLAGGVVVASLLPLVRSWKQWMWKGAGPGPRRGHSLALHGSR AIVFGGRADDTRATHVPKSYDTVETQGRLEFSTYTDHPVQDTCTDDTCTVPIGVYYND VWAYDINCTRFADDSCVDKSWVQLHQGLPWGGCNMRYGALVCPKPPERWFHRAEVFQD SMLVYGGFGILCTDYCDDMWRFNFQDNSWTEMHELGNPLGPGKRWKFSSSATASSMYF FGGYRLWHGFAPQNSEANVWSDLTVYPRGGYLDDLWQFDVANGTWTERHPKPTCDATD TCSIEWPSGRAGHASVILGDGLYIYGGYQTFFPYPATDGRGGGRGVLEGTSPGYTPFP TNPYYLSDLWVFNLTTGTWREVAPRFGTMPGPRAEHSLVAAGQVFVLFGGYRSNYYYE DTWQFNTTASQWLQQEAFIHALYPPQCTDDLALRADLFSGTYQPYVAVNDTTKSTEEL EKEAHYGTTHFSVVAVPTRGVLDVADTFYTQARRKAPGWDGCRDRIDNRTDLPWILQW SRPAQRAGHMAVYHSGYQLMLMYGGYGVTREELYASSSTTPAFTYDDWWSYSLANCIK NCSLHGVCSYGRCMCDEGYYGVDCSNMTCPGSVCAFNDITKGTSCVHCCFSGFEHTNN DTYVPNIQKSPCSTTNTHYSNGLIITMYYSTN H257_16644 MTSYGYNSGNRSKQPQHMMDDDEDEDLYEGFNYSIDLAPPQTAS MQQTSSYFKTGQSGQNGGNNPPGTAFRAPPSQMGRQVPTARLQTGQQGSGEVARPMTS VTGAGFSSNPKTAAGQRMFDPLGEARKTPAPPLAEKAENSPKETAKELEKLVNALIEQ SSEAAVKHESEEALRLAKEAGKKERAFTKHCETHGLSDMTNIDLTYAVFFNLANAYHL NGMWKEAIQSYTPIVKNKQYPQGGRLRVNMGNIYFEQQQYPTAIRMYRMALDQIPNTS KEIRFNIKKNIGSAQIKLGHYQDAATTFEDIMEGNPDFQSGFNLIICYYAIGEHEKMR RGFTNLIGIPMEGVGDDDGQDDESKEGEPPAGAVHLKKDGLKAEIRERQKKASEFILT AAKLCAPALDKKDWLAGYNWVIDAMKVDHEPIASEMEICKALHFLKNKDFDKAIEVLK AFEKKDPALKAMAATNLSFLYFVEGDYAQADKFASLAVRHQRYNAKALVNKGNCLYVK NECERAKELYLEAIGVEADCIEAIFNLGLVNIKIGVLNEALQAFEKLHSIVPTNTEVL YQIANLHDMMGNYRQAAKWFNILLSCFGSAKNIADPGVLARMGQIFNKDDDETQAFHF HLESYRHFPVNLDVISWLGVWYVKSELYEKAIQFFERAAQIQPTEVKWRLMVTSCHRR MGAYQKALVLYEQIHVDYPDNLECLRYLVAICKDLGQKYEHHQQALAKLERENAAKIN SQTNTTQQQATPQQQQSMNRPASGQNDRGGGSAGGRRGEANNQHPDNSVYDHGGRTQQ REATREENNKSSSFHVEADESDYAVPKPMSSNAASSSPKVARKDKTDEADDWGDADVG NLLGD H257_16645 MIVRAVADDEFTSVDVGASTARLVWIVTSTCGVVLLLVDLPSIL SPSPSISLLLPRFLQQPAHPVDTTALANLVVGYLFGGFWVHCREQSLSLWTKALGWTV AVASVGNLALVGYVLRALLDADGDWTVFWLGRRRPRRSPTLHVFDPNV H257_16646 MSKIAPKVPHGRKASSEREARGKGATFDGMPALGPLSVRPRPST PLTTQSSRRGTAHNLRRRSVFRPVESPKKVPSEKDDPVRRSSMGLATTLLNPYSAADR NESSMITKLMEEKYGFNYLTNEFSANFLVEREYQNYYTEISLTSACNFSLFLWVIWVA STALDLYLLFHADDGQVDAISSARLSVYFSLPFLVPTPFLVALCQYKQYEQHMQPIFN VIVHCFALSLMGGGFFCSTDQMKGFTAKNMNSIMDYAIGMNTVANAAIGFVANTTSAA IMRDGTPSLVVHLADQTVQFALQTPTGNFALLQNLTYPALSGGSIVLADLVLNGTQVF NLNNRTDWWRFPDREGTLEQILTFFILDVMLPFSQLNANLVRPIVVLIAAPMFHLNAA HYIFVAFNINVFYCLALSMTYPVSSSSFLMRCKFYLVFVLATLTVIMVYRARQSDRFM RLNYLNVRSVKEEVRITQAQKEQIQNENRSLKRMLQAHNAGTSDMLDLDSPMAKVITD LTKIQQNVTLDKSLQANLSEIVTLLTKQGHNLFAPDIHEQLKGKSGEVDIDDDTKGWA TTVLASKAYQRNNNRRSSTTTDDEAEGVLASINLHPDVRLPDEDVLSKINAMMLLYGW NVDIHEVAEMAQGSPISYVTYVIFERHNLFTSCSVERNVMLNFFWFIDAGYLPNPYHN NCHAADVVNYVEFMLSAVDGGFIMTLLNMQEVFAAIVAAAIHDFRHPGKSNNFLVKAG HSLAVRYSDASVLERMHLAESFILASEPQFNIFSGMKPKQYTEVRKAIIEMVLTTDLS VHLQLVGSLKTALLSQNKNDVMESPMMLMKIIIKCADIGHSSKATLLHARWSELIIEE FFLQGDEEKELGMEISPFMNRASENSAKNQVGFFEFIILPFFDVVAEIVFTPGFKPIL DQVHRNYNMWKKAEMLQLKNIKDILDQVFCVDEHRMVSAQANRTSFVPRPSHIGVHNS SSPRGTGNSGTPAMPVAPSPPMTSTGGGGPSTHHNSMCVTPPAAAPVLETPDEEPSPP LPGVE H257_16646 MSKIAPKVPHGRKASSEREARGKGATFDGMPALGPLSVRPRPST PLTTQSSRRGTAHNLRRRSVFRPVESPKKVPSEKDDPVRRSSMGLATTLLNPYSAADR NESSMITKLMEEKYGFNYLTNEFSANFLVEREYQNYYTEISLTSACNFSLFLWVIWVA STALDLYLLFHADDGQVDAISSARLSVYFSLPFLVPTPFLVALCQYKQYEQHMQPIFN VIVHCFALSLMGGGFFCSTDQMKGFTAKNMNSIMDYAIGMNTVANAAIGFVANTTSAA IMRDGTPSLVVHLADQTVQFALQTPTGNFALLQNLTYPALSGGSIVLADLVLNGTQVF NLNNRTDWWRFPDREGTLEQILTFFILDVMLPFSQLNANLVRPIVVLIAAPMFHLNAA HYIFVAFNINVFYCLALSMTYPVSSSSFLMRCKFYLVFVLATLTVIMVYRARQSDRFM RLNYLNVRSVKEEVRITQAQKEQIQNENRSLKRMLQAHNAGTSDMLDLDSPMAKVITD LTKIQQNVTLDKSLQANLSEIVTLLTKQGHNLFAPDIHEQLKGKSGEVDIDDDTKGWA TTVLASKAYQRNNNRRSSTTTDDEAEGVLASINLHPDVRLPDEDVLSKINAMMLLYGW NVDIHEVAEMAQGSPISYVTYVIFERHNLFTSCSVERNVMLNFFWFIDAGYLPNPYHN NCHAADVVNYVEFMLSAVDGGFIMTLLNMQEVFAAIVAAAIHDFRHPGKSNNFLVKAG HSLAVRYSDASVLERMHLAESFILASEPQFNIFRYYLILVILFNIIIRSVLRTT H257_16646 MPALGPLSVRPRPSTPLTTQSSRRGTAHNLRRRSVFRPVESPKK VPSEKDDPVRRSSMGLATTLLNPYSAADRNESSMITKLMEEKYGFNYLTNEFSANFLV EREYQNYYTEISLTSACNFSLFLWVIWVASTALDLYLLFHADDGQVDAISSARLSVYF SLPFLVPTPFLVALCQYKQYEQHMQPIFNVIVHCFALSLMGGGFFCSTDQMKGFTAKN MNSIMDYAIGMNTVANAAIGFVANTTSAAIMRDGTPSLVVHLADQTVQFALQTPTGNF ALLQNLTYPALSGGSIVLADLVLNGTQVFNLNNRTDWWRFPDREGTLEQILTFFILDV MLPFSQLNANLVRPIVVLIAAPMFHLNAAHYIFVAFNINVFYCLALSMTYPVSSSSFL MRCKFYLVFVLATLTVIMVYRARQSDRFMRLNYLNVRSVKEEVRITQAQKEQIQNENR SLKRMLQAHNAGTSDMLDLDSPMAKVITDLTKIQQNVTLDKSLQANLSEIVTLLTKQG HNLFAPDIHEQLKGKSGEVDIDDDTKGWATTVLASKAYQRNNNRRSSTTTDDEAEGVL ASINLHPDVRLPDEDVLSKINAMMLLYGWNVDIHEVAEMAQGSPISYVTYVIFERHNL FTSCSVERNVMLNFFWFIDAGYLPNPYHNNCHAADVVNYVEFMLSAVDGGFIMTLLNM QEVFAAIVAAAIHDFRHPGKSNNFLVKAGHSLAVRYSDASVLERMHLAESFILASEPQ FNIFSGMKPKQYTEVRKAIIEMVLTTDLSVHLQLVGSLKTALLSQNKNDVMESPMMLM KIIIKCADIGHSSKATLLHARWSELIIEEFFLQGDEEKELGMEISPFMNRASENSAKN QVGFFEFIILPFFDVVAEIVFTPGFKPILDQVHRNYNMWKKAEMLQLKNIKDILDQVF CVDEHRMVSAQANRTSFVPRPSHIGVHNSSSPRGTGNSGTPAMPVAPSPPMTSTGGGG PSTHHNSMCVTPPAAAPVLETPDEEPSPPLPGVE H257_16646 MPALGPLSVRPRPSTPLTTQSSRRGTAHNLRRRSVFRPVESPKK VPSEKDDPVRRSSMGLATTLLNPYSAADRNESSMITKLMEEKYGFNYLTNEFSANFLV EREYQNYYTEISLTSACNFSLFLWVIWVASTALDLYLLFHADDGQVDAISSARLSVYF SLPFLVPTPFLVALCQYKQYEQHMQPIFNVIVHCFALSLMGGGFFCSTDQMKGFTAKN MNSIMDYAIGMNTVANAAIGFVANTTSAAIMRDGTPSLVVHLADQTVQFALQTPTGNF ALLQNLTYPALSGGSIVLADLVLNGTQVFNLNNRTDWWRFPDREGTLEQILTFFILDV MLPFSQLNANLVRPIVVLIAAPMFHLNAAHYIFVAFNINVFYCLALSMTYPVSSSSFL MRCKFYLVFVLATLTVIMVYRARQSDRFMRLNYLNVRSVKEEVRITQAQKEQIQNENR SLKRMLQAHNAGTSDMLDLDSPMAKVITDLTKIQQNVTLDKSLQANLSEIVTLLTKQG HNLFAPDIHEQLKGKSGEVDIDDDTKGWATTVLASKAYQRNNNRRSSTTTDDEAEGVL ASINLHPDVRLPDEDVLSKINAMMLLYGWNVDIHEVAEMAQGSPISYVTYVIFERHNL FTSCSVERNVMLNFFWFIDAGYLPNPYHNNCHAADVVNYVEFMLSAVDGGFIMTLLNM QEVFAAIVAAAIHDFRHPGKSNNFLVKAGHSLAVRYSDASVLERMHLAESFILASEPQ FNIFRYYLILVILFNIIIRSVLRTT H257_16647 MSVEDPWHGAIWDAASAIFQELNPENPSMVDLTELETLCMRMGV RLAAHDLTQGMYDLDTTGAMVIPLDVFCLWWLRRLRSEEAAAAALAIEAAAATGPPAT HVWETVVDGAARYYYDHVSGETKWDLHEFVAAARSYFASLKDESTDDRALLTLFAKHD LSSRGKLDADEWRGLLLGLGLPGTLLSMADVAGQANDVTYEHLHRWWHANVPQKSRER MADWTEWWRLVDETHIVTFWNERTTVRQWYPPSIPTALVTLLQAEGFVQTPTSLDEAF AQWFQALDVDGDGALNATEFTSMLALLGHTNVADKDVRVAMTDCTFKYWGDITPSVES LVGYDAIVMWWRQCYAKGVLGDWEEVATIDEVDGRARMYYYNWKTQVTQWEPPVVTGQ LQTLLDQFSADPTVSTNERIRRLFVQYDTDETGALDASELERICAALGHRLDGPALDS MMRVLDTSGDGVVSLEEFQAWWHSKLHVDHQFQVAVEQRSRADDIRAIVATYLKRSIH DSTPFESNVVPRLVQLLGRTCRGAPLLRALHEMDADGTRLIDVSTFISWYLKYDKACG EAETKAREAQHAQEAMDVWVETVQDNGTRVYVNSRTNETMWEKPGIQQHMDAMGKDLK AIFRQFDKDGSGSIDAVELQALLGKLGQPVDGDQMQHVMKAMDTSGDGVVTLDELTTW WVCMQRRVIGTANAAVLKDQVMDYHQMSKDAVKALRGLFHQFDTDHSGSIDTHELKHL LHRLGYNPSEAERKKLLDAIDTSGDGSINVDEFIAWWVTVHRTREIQSKAAQDGHLLA SIQAASAAAAESATSASKAAATRFDLPDLSVTNFRNKLVDLRYNWSKGPPLELPVPEP DAPVDFGGPRLFGTADISHTHPSIVAVMRLLIDDVVLITPLLLPDAAQRIQKMYRSKL ARKKLIQTLNDRFVHHHDPTTGASYYMNRLTKEIRFTKPLLLGHHEIQSPRSRLREKH VHQAMTFRRKWMVSIMQANALSTTNQQQHLHHLSSSPTFRTAAFYVLWDISINALIYI YAYMVNYYRYQVLCNIKARWKLGVWPALAAKEYTLAQLVVRHYPRQLKKPGPWGDLPL HFAMRHRLSMAVITTFLKGNVDVVTMTNASGHTPLHLACRDYPSMEVVSILLGAPHGT LACSRGCPGTLQTPLHVGIRHHAPLAVLALLLDADESVLFQRNQARNTPFHEALTANS RSDQLDILKLCVLYDTSPSNSSSLAAMPAFESAWPLHIALQHNPSDLIVRYVLDLAPQ ALVVPFRQLLPLFLTMKHRRSEALIMHFAQQTVVAIHPPMTICTSKQFNPVHYALLYG FSPGLVLFLLTLCPEWASQANHMGDFPLHIAVASTSPSDLNVLKKLLLLDPAPARLPN VAGRLPLHLAVERGDVDAVKKLLQVCPWSLLDKITGTPYDALLLTAKATTTTSNNESI VDALLVPPKLAPKRPKGTVLGLSPYYVAATSRSSSSVSCFDKLHVLDTCTSDDLYEMA RKKMRQAFHKPTDQWDLPKILRLMALNPLDAAIQTRSLLAINGIVRSFDESSRETCLE TLDIVRTLQHTMYDFTTNPRIQLLGQKCLNHLLPTAFAKAKYQSRIDPLYKF H257_16647 MSVEDPWHGAIWDAASAIFQELNPENPSMVDLTELETLCMRMGV RLAAHDLTQGMYDLDTTGAMVIPLDVFCLWWLRRLRSEEAAAAALAIEAAAATGPPAT HVWETVVDGAARYYYDHVSGETKWDLHEFVAAARSYFASLKDESTDDRALLTLFAKHD LSSRGKLDADEWRGLLLGLGLPGTLLSMADVAGQANDVTYEHLHRWWHANVPQKSRER MADWTEWWRLVDETHIVTFWNERTTVRQWYPPSIPTALVTLLQAEGFVQTPTSLDEAF AQWFQALDVDGDGALNATEFTSMLALLGHTNVADKDVRVAMTDCTFKYWGDITPSVES LVGYDAIVMWWRQCYAKGVLGDWEEVATIDEVDGRARMYYYNWKTQVTQWEPPVVTGQ LQTLLDQFSADPTVSTNERIRRLFVQYDTDETGALDASELERICAALGHRLDGPALDS MMRVLDTSGDGVVSLEEFQAWWHSKLHVDHQFQVAVEQRSRADDIRAIVATYLKRSIH DSTPFESNVVPRLVQLLGRTCRGAPLLRALHEMDADGTRLIDVSTFISWYLKYDKACG EAETKAREAQHAQEAMDVWVETVQDNGTRVYVNSRTNETMWEKPGIQQHMDAMGKDLK AIFRQFDKDGSGSIDAVELQALLGKLGQPVDGDQMQHVMKAMDTSGDGVVTLDELTTW WVCMQRRVIGTANAAVLKDQVMDYHQMSKDAVKALRGLFHQFDTDHSGSIDTHELKHL LHRLGYNPSEAERKKLLDAIDTSGDGSINVDEFIAWWVTVHRTREIQSKAAQDGHLLA SIQAASAAAAESATSASKAAATRFDLPDLSVTNFRNKLVDLRYNWSKGPPLELPVPEP DAPVDFGGPRLFGTADISHTHPSIVAVMRLLIDDVVLITPLLLPDAAQRIQKMYRSKL ARKKLIQTLNDRFVHHHDPTTGASYYMNRLTKEIRFTKPLLLGHHEIQSPRSRLREKH VHQAMTFRRKWMVSIMQANALSTTNQQQHLHHLSSSPTFRTAAFYVYQVLCNIKARWK LGVWPALAAKEYTLAQLVVRHYPRQLKKPGPWGDLPLHFAMRHRLSMAVITTFLKGNV DVVTMTNASGHTPLHLACRDYPSMEVVSILLGAPHGTLACSRGCPGTLQTPLHVGIRH HAPLAVLALLLDADESVLFQRNQARNTPFHEALTANSRSDQLDILKLCVLYDTSPSNS SSLAAMPAFESAWPLHIALQHNPSDLIVRYVLDLAPQALVVPFRQLLPLFLTMKHRRS EALIMHFAQQTVVAIHPPMTICTSKQFNPVHYALLYGFSPGLVLFLLTLCPEWASQAN HMGDFPLHIAVASTSPSDLNVLKKLLLLDPAPARLPNVAGRLPLHLAVERGDVDAVKK LLQVCPWSLLDKITGTPYDALLLTAKATTTTSNNESIVDALLVPPKLAPKRPKGTVLG LSPYYVAATSRSSSSVSCFDKLHVLDTCTSDDLYEMARKKMRQAFHKPTDQWDLPKIL RLMALNPLDAAIQTRSLLAINGIVRSFDESSRETCLETLDIVRTLQHTMYDFTTNPRI QLLGQKCLNHLLPTAFAKAKYQSRIDPLYKF H257_16647 MADWTEWWRLVDETHIVTFWNERTTVRQWYPPSIPTALVTLLQA EGFVQTPTSLDEAFAQWFQALDVDGDGALNATEFTSMLALLGHTNVADKDVRVAMTDC TFKYWGDITPSVESLVGYDAIVMWWRQCYAKGVLGDWEEVATIDEVDGRARMYYYNWK TQVTQWEPPVVTGQLQTLLDQFSADPTVSTNERIRRLFVQYDTDETGALDASELERIC AALGHRLDGPALDSMMRVLDTSGDGVVSLEEFQAWWHSKLHVDHQFQVAVEQRSRADD IRAIVATYLKRSIHDSTPFESNVVPRLVQLLGRTCRGAPLLRALHEMDADGTRLIDVS TFISWYLKYDKACGEAETKAREAQHAQEAMDVWVETVQDNGTRVYVNSRTNETMWEKP GIQQHMDAMGKDLKAIFRQFDKDGSGSIDAVELQALLGKLGQPVDGDQMQHVMKAMDT SGDGVVTLDELTTWWVCMQRRVIGTANAAVLKDQVMDYHQMSKDAVKALRGLFHQFDT DHSGSIDTHELKHLLHRLGYNPSEAERKKLLDAIDTSGDGSINVDEFIAWWVTVHRTR EIQSKAAQDGHLLASIQAASAAAAESATSASKAAATRFDLPDLSVTNFRNKLVDLRYN WSKGPPLELPVPEPDAPVDFGGPRLFGTADISHTHPSIVAVMRLLIDDVVLITPLLLP DAAQRIQKMYRSKLARKKLIQTLNDRFVHHHDPTTGASYYMNRLTKEIRFTKPLLLGH HEIQSPRSRLREKHVHQAMTFRRKWMVSIMQANALSTTNQQQHLHHLSSSPTFRTAAF YVLWDISINALIYIYAYMVNYYRYQVLCNIKARWKLGVWPALAAKEYTLAQLVVRHYP RQLKKPGPWGDLPLHFAMRHRLSMAVITTFLKGNVDVVTMTNASGHTPLHLACRDYPS MEVVSILLGAPHGTLACSRGCPGTLQTPLHVGIRHHAPLAVLALLLDADESVLFQRNQ ARNTPFHEALTANSRSDQLDILKLCVLYDTSPSNSSSLAAMPAFESAWPLHIALQHNP SDLIVRYVLDLAPQALVVPFRQLLPLFLTMKHRRSEALIMHFAQQTVVAIHPPMTICT SKQFNPVHYALLYGFSPGLVLFLLTLCPEWASQANHMGDFPLHIAVASTSPSDLNVLK KLLLLDPAPARLPNVAGRLPLHLAVERGDVDAVKKLLQVCPWSLLDKITGTPYDALLL TAKATTTTSNNESIVDALLVPPKLAPKRPKGTVLGLSPYYVAATSRSSSSVSCFDKLH VLDTCTSDDLYEMARKKMRQAFHKPTDQWDLPKILRLMALNPLDAAIQTRSLLAINGI VRSFDESSRETCLETLDIVRTLQHTMYDFTTNPRIQLLGQKCLNHLLPTAFAKAKYQS RIDPLYKF H257_16647 MADWTEWWRLVDETHIVTFWNERTTVRQWYPPSIPTALVTLLQA EGFVQTPTSLDEAFAQWFQALDVDGDGALNATEFTSMLALLGHTNVADKDVRVAMTDC TFKYWGDITPSVESLVGYDAIVMWWRQCYAKGVLGDWEEVATIDEVDGRARMYYYNWK TQVTQWEPPVVTGQLQTLLDQFSADPTVSTNERIRRLFVQYDTDETGALDASELERIC AALGHRLDGPALDSMMRVLDTSGDGVVSLEEFQAWWHSKLHVDHQFQVAVEQRSRADD IRAIVATYLKRSIHDSTPFESNVVPRLVQLLGRTCRGAPLLRALHEMDADGTRLIDVS TFISWYLKYDKACGEAETKAREAQHAQEAMDVWVETVQDNGTRVYVNSRTNETMWEKP GIQQHMDAMGKDLKAIFRQFDKDGSGSIDAVELQALLGKLGQPVDGDQMQHVMKAMDT SGDGVVTLDELTTWWVCMQRRVIGTANAAVLKDQVMDYHQMSKDAVKALRGLFHQFDT DHSGSIDTHELKHLLHRLGYNPSEAERKKLLDAIDTSGDGSINVDEFIAWWVTVHRTR EIQSKAAQDGHLLASIQAASAAAAESATSASKAAATRFDLPDLSVTNFRNKLVDLRYN WSKGPPLELPVPEPDAPVDFGGPRLFGTADISHTHPSIVAVMRLLIDDVVLITPLLLP DAAQRIQKMYRSKLARKKLIQTLNDRFVHHHDPTTGASYYMNRLTKEIRFTKPLLLGH HEIQSPRSRLREKHVHQAMTFRRKWMVSIMQANALSTTNQQQHLHHLSSSPTFRTAAF YVYQVLCNIKARWKLGVWPALAAKEYTLAQLVVRHYPRQLKKPGPWGDLPLHFAMRHR LSMAVITTFLKGNVDVVTMTNASGHTPLHLACRDYPSMEVVSILLGAPHGTLACSRGC PGTLQTPLHVGIRHHAPLAVLALLLDADESVLFQRNQARNTPFHEALTANSRSDQLDI LKLCVLYDTSPSNSSSLAAMPAFESAWPLHIALQHNPSDLIVRYVLDLAPQALVVPFR QLLPLFLTMKHRRSEALIMHFAQQTVVAIHPPMTICTSKQFNPVHYALLYGFSPGLVL FLLTLCPEWASQANHMGDFPLHIAVASTSPSDLNVLKKLLLLDPAPARLPNVAGRLPL HLAVERGDVDAVKKLLQVCPWSLLDKITGTPYDALLLTAKATTTTSNNESIVDALLVP PKLAPKRPKGTVLGLSPYYVAATSRSSSSVSCFDKLHVLDTCTSDDLYEMARKKMRQA FHKPTDQWDLPKILRLMALNPLDAAIQTRSLLAINGIVRSFDESSRETCLETLDIVRT LQHTMYDFTTNPRIQLLGQKCLNHLLPTAFAKAKYQSRIDPLYKF H257_16648 MEGVLWKRGKTLVSSWHERYFVLKDATLAYFSKAGDLQTRGMIE LTTDAHVSAIELKKKHSSKSLYCFSIFFLHALPKDHHQPAGFMVGCESREYATCWRNA IIHAIDNTDVSVDHHPRSPTTAPATAASSSSSSSSSLRREPIHMGQPSSTSSLDLQQQ DVGRRELLAKMDRKWPMFRGTYDVCSVVGGMTIHSEQRSSKPAGSGDASASSSKPEWQ SWQQLNSLLVSAISVAVISFVAGGIGGISIPTSFAFALAAAGGTLWLRSADEDEDVPS FKASRVVPGTPLEVFRLLVDTSVRGLWDGAVDSMRVLQTLDAHSDIVHIVFKPVWLWP LWLPASDACLLRYWRETEDGSYVLCVQSAVHAECPVTDHVRVLCQGGGVTVSPRCTSS ASTSDVPTSLVSMVVHANPQGLFGAWMRRMHVVFQYIQPQLLALIGLEEAMEARKYMA LAHDAATDEDEYEDSAKDGQPAARKPVEVAMELPTCMPRHVWSEPPVGFTMVRGPDYM TNRKKVASAAPAFRLAGVDIFETGAVSVEHICARPDNVMQTVPDQPFAFVLNFLLPGP PKYSLVLYYHVPHPSVLTDGSPFAELMTDFLDGTDEYRNERFKLIPSIVEGNFIVKQA VGSTPAVIGNKLRQPYFKTPKYFELDIDVTSSAVANRVTGLVLGFTKKLIVDMSFLVE GKHGHELPERLFGACRLSFVDMAHAKKLV H257_16649 MSKGRSRGGIATWVLVLSMLLAMITMDVTVAEEAPTSTWTHKHV HEFATIAQTRASEMETSKKLWIALYGARDDPILSVLDQVGAELSYAVSFAWISADVAQ SYGLQAATPPILILFRDEPKLNPYQKRMYRTLELAHQFPSRLDVRGVKRVVRDKAPSA VLTSWQDDDIAWGVSTDATTTADSTNPPRVILVTKKSSPSLLYKSLSIEFPSLRFFAL AESPAVLDQFQVSSVPSLLVGPSSSSLTLFQESDGDITNVHDLRRFLLPHVPQNADPS STAASSSSLWLSRDELDVAVRNTSAAWLVVIQSKSSPNVVDPSSDEWTHTIADLERKV GVDLIRVAMVDIPSSPSSSHGIFTVPYGPSVSLEKAPSAASLSGVAKQLVASLPDATA ALYGPSDIQAFFGRMITTPNTISFVLFTAKQDTPVMVQALALSFPSQVHVGVVFHPDD QTKQQFGLSKLPAMVAVMSPRDPSVPRDQFSMTFYDKKLMGPPTYANVRRFLDQVVQA YVVPTNEPAKNAGKQKPVVHPVTSQAEFTHACTSLCVVGFTNGPSDVSTGAILNDVAA KNQHPLQFITVDAVCQREFAAALGADAWQIPTIVVYSPGKRRYVRHVGGLDVDATLAF VQSVLSGKTKTIPLSTAPALAEECAGRAEDEDAVVSNDDNEDDGDVEDMLREIREEEL KQAELRKRQLHEEAEARKLAQAAADAAAAASKAKEKKKKTTKASKGKKKRVKTPTTPT KDEL H257_16650 MDELHLEANVNPMQDAARENDVAALHALLSSHDVDEVDQYALTA LHWACDAGSYEVADALLQAGADANRVEDRHFKRRPVHFAALKGSKGLLELLREEGAAD MHATDGTGRTPLHCAAHSGSVEAVAWLLDVADADEMAVTYDHHTALDVATLNYQWDVV KYLESRHDHYSRDQVKPRDTTTPSHPMPCSSSRPELDEIMS H257_16651 MSPEELTFTLVLLALYAVPSTFLVLRRLFTDPKGCLTKFFVLNF VVLLLSFFFVWQLVEAIQTSDMIAFDPYEILNIPGYSTKKSIRKAYRALSQQLHPDKS RDPLAASKFSRVAKAYEALTDPAGIANYKKYGHPDGKSFHLVDFKAMSGTTGLAIIAA VYGGVLVAGILMALFGGDRYKPEVNPELVERMMAGWHDKMSTFEILTRIVTGVKQPLE EKAAGNCCGGGGSLYEMDDDMKAFLVALETHQCISAIEHRDISRITGQDHVQRDVIAL YYHLNQAKVHAVKDLVVPCILPPRAKDIALQLPYLLDVFVELSIKMVADKRSDSATIV HALRLYPSLAQGSLVADAAAIAVQRGRFPDGAKVPQLTLTEATLTVDGESDVFPKDWV TLRVTCARQHVVPSKPAAKALTIYDKIDPSYLYRKEQLWVVAYEGTTHTLLGAWKVED PSDSHQVSDALGFWAPASTGAFKVEVRVLSTTYLDVEASQTLTLNVVKQPQQHQSTSI FEELDSDDE H257_16652 MVVGVDMLLNATVNVTVNGVVMAMTWQELTKALSSSTESVAATT IATALDLFWLLFGGMMIFFMILGFALLEIGCCHVKNTKHILFRNLMDLCITGVTFYAV GYGIAFSDGDAFIGHSRFFLQGPQFQTDDIATFNGRHYADWFFQWAVAAVCVTIFSGA VAERITLHAYFLYSLLMGAFFYPVAAHWIWSTTGWASVLAPKSALLFGVGAIDFAGCG CIHMVGGMSALVGCLVVGPRTGRFKSDGAANEMPKQSVMYQCMGTLVLWFGWYGFNCV STLSLSGTMGHVMAKVAVNLTLAACTGGILTVLLDKLVGSKSWDPCMGNNGILAGCVS ITGSCSVIEPEGAVALGAIAAVLYLALSKLVVKCGIDDVVDAIPVHLGCGTLGALAPG LFASSKGVAMYVGTGSCGIFYKCNGLQGSQLAAQVVYVLAILAWVGAFCTALFVSLKK LHLLRPDTTVEVVGLDVMEHGGPAYDDGKDTHVTQTKVQPTNYPTKEAKAESVKTREI IDDDDDDLHETRTMATTSPKPTDTIDIDVRSTDEVLPGTSFD H257_16653 MDPYRLLNVARNATQKDIRKAYLKLAKQLHPDATGNDQEKATLF KQVNEAHAMLSDPDSRADYDQSQSNRFGSSHRATHRHGAQSSRHDTEYSPRGHTRRSN AHDSDNPMYGINHDVWYAHHYGIHAQRSARWTATRNQGYGMHIAQDMYENDMERIRRS EETHRIKNGYFLRQEARERKRAAEYKPPPKANDDDGCCIS H257_16654 MPQLPRVVAAKVLHETRWLRMKELRYKDLNAIERSYVSVERTTR PVTADVDAVLVLPLVRRDAGSSAVLIRQFRPPLDNWAIELPAGLIDAGESVEETVTRE IKEETGYDVTKFVSVGPPIVTDQGITNGSCRFVVVEVESSTATSNSGNDASPPPQALE DTEMIQVFHVPMHSLLETLQERHTEHGDAIDARLYSYALGRQLSLASHGVD H257_16655 MQTPNKRRLYTEQEDIMLFRQVNAERPFETKKGEVMKVWGLVAR ALADHEDFARPQFDPKKA H257_16656 MDNHVHYNRESARASGVAETYDERIALLDELLAAFVDAKEQEKK RLVNDANKVDQSEREGECIRNEAMNSLGKRKHQECDDDGEKASGSGSRFTKITTAMQE ESKAERGLRQSELEFRKFQLEVEREERQKDRELAAEQARLHHETILAMLGALTKRQ H257_16657 MQRDPLNVTFAEYFRCRITTKLIVARDTTIDVVSVLVVNTVSTT IADYHADASNTKKLWDPEGPANTTQNKYVKLTLLSYNNVVRRVWDNASKARNA H257_16658 MSAVYSTAPYRTIGAGSKHGREAIGVLLGQIDFRGLLIVLLSEG RRKHILLLFQLSSFQLGITRYTLHKVMAVGNALAQTQSYLIPLAAFRWSN H257_16659 MSFATAVLFLLGATIAATSPSPARLAELKKIGLPANYDALPNSS KFIPLDKVTASAPIYTPLACMDEPTIVFPNGSNSTYLCWLSQFPSFDTDSPNALIDEC KAMAH H257_16660 MDEPTIVFPNGSNSTYLCWLSQFPSFDTDSPNALIDECKGANDG NCFLIGNDRRTSPTLGFDYFLTSANQRTIALPPRRGFEPVWKSVYLGHDNFGLSFYQE RTCLDAYWDGPVLKACNAAATRCQFRTQLYETPSASATLR H257_16661 MSNAARNSTAPNPRPLLEPSGNHSGDLLQGPNESHGSWNSPAST LPLPPTAAPPDDADMAAHPSTGGDWPPPGPQRLRARASEPTCLPGPVAPSRTTPLPPS LPVEFEDGNFDLSVCEL H257_16662 MPALSGPAASSGANRNSLDYNKVIRGFTAAAKQHTKAAFVPPPA DAIEAILLELSKPKKDRVDILATINLARPYTPKVAMARFTLGVDVVYHSHRAVIPGTG CHTNAWRIYFSDAAIPDQLRINGEPINQIKYQRFDYRVYFKVTKGTPFHGINGVSSHC VDIEVKRPHEEAPDDQTVNTPGPKSSVIGNAITVTSKKAKKGTKPMQPNKNVALTESI TSEIPPPLRQFDSTLQQSIRPENSTGAIGPVTSEYESVFEDDAEAGIDTEDTFMDNNQ SVEVDETQGTDSLTAKTTGGICDAEFL H257_16663 MTPRMGEELWRIKETMFTGDEDMHRAMGRVVVDNDQNNESVTHF DTSTATQGSASSTTVLPANFHDPTPTISMTT H257_16664 MSDVQQQESPEDSGGSMHMLQARRYAKKAKQKTGYDLFMLEMKD VFQALKQTEPDASFVKQKWKGAPSK H257_16665 MHAGEVDFIADERTVERMGKTWHQYLVHWARPHLLGYTRSWVIS RFMKHCPEALGVYTRWRQHPGNLTYSEYRVRDARFLEFGENPTKTCLFQAFKIISDKL GLHLRATAADLVLFMTTNSIPLSHGIPPSKLRAFVQFLFARGLRICLKTFASELLTNQ SGDPKLDLYRIVLAEGNGMFLVTTVSGHTAHVWVLTCVGSVSELTDAEGTIGVGELPS FHRNKANGPST H257_16667 MGGIEKLSLRGCHAHPVGDQLVELGLTPNQLENPTHAFSIQLME HTIAFRSKGVAYQSIFDVFLGNFSTNIKDVAYRQFIDASRQFAAVPYLLRHGEFVVKA DEPFPKPVCPACTGDGATSAGPIIPV H257_16668 MELDTPNTSRGGRRHKRVSDKIYKFKCNKKSTNLRGAGRPPVLP EPDALLQFMDARRHQERAITCTHMVNFLKQHQNTWLQDYIRRQNLLKLLRHYCVRHGY THQQACTAKRTITDLESTRAEFAVSFHAKHATTANDCVYKVDETGIQYDMPPRYIWSK QEGTPKLSKGEKHSYRMTAVLTIRRDGAKLSILFVTKGQSGGASTRMRQYLWNALAER IDGQSLLVLDNFDSHVSKEEVDTTAEIGFDVCPLPPNATPHCQPLDV H257_16669 MKKERRSSAIMKESVNAVMSFTSIMENTNKFKIDELTFHKESNA IAMRKLELDEKRYLLDKAEREARMTATL H257_16670 MTDQYDVQAEGKKKPAHRFTTPQDVDLLKEVMSICPHDAPYGQT SARWAEKATLASLRASGTDEEYDEREQLLQDLSDMIDMISNKKKATKEDKCKKMDKRE SDDHTVRVAALTGMKRKSLGMKETTTILKKQRRR H257_16671 MQFTSIILLVFAYTLGLAASPSPARPAEVKKFGLPANYDALPNA SNFIPLDK H257_16672 MVKVLIALSALAVATTAGSVTELPESVTKLIDYSANPCDDFYQY ACGAWYKDAVIPPNRSITDTAFYKMAIQNEAVLTKILSDNKTKLGEFYHSCLDTATLS SLGLTPLADSFKAIRSANTKLDLLVVAGELAKKNGIPAFVDIKASADAKDSTKNALFG VPSPLSLPHSYYKSDWRIIEADYKVYITSVLQLAGYTAEQAAAAVPVITRFERTVAYI GLYKFEEPENAVSPYTAFTFYELDQKYPLLIGSWLKANGFNVHDQSEGSNDWVGFTKL AYIDNTEALLNKTALDDLRTIVEYKLIHASSNHLTPEFRTANWNLFGKKIDYEEVEPT REKFCVSQATSTVGELLGQYFFDAVWSADTAKTVDELAKALKSSFSTGIATADWLDNS TRARAQTKLSKLVHLVGGPDKPQLYPTLTLDSKSYLKNRWKISQVNIDTNLKLNGQPV DKRKFVMPPQESTPQYRYNMNQMEFPGGTLQPPVFDVKYDAAQNFGAIGTVIGHEIIH GFDQTGRKFDGDGNLNYWLSSATTTAFNAKAQCIRDQYANFVVKNEVTDDVLGNINAW VSLDENIADNGGLKTSFRAYHEYLKKFPSQYTEEAGDKLFYLSYAQL H257_16673 MTRPTKTLKAKTPTKKDLQEQVRLLQEAMHGTVGVEAAACADQV VQVTLPPTFYRDDMVVTLLELRYGRFKETFSKCNSNQKECSLWERLRLQFNIIVGGDN AVTTTSLKNKEYDIRAKYKAIRIKEAATGNDSDIPIDYPVYWDDMVAAFGDMRGLGDV EFGDDVPFPTENSGQANKRKAVDDLESQRQQRSKSKVDIGAGLVSLGDALAK H257_16674 MDSNAAICAAVVAAVQAVVSHDGRNNPGPKVHKIVVPNSSWETV KTNPGYDQWFRRYLRCRRSVFTTIARKVDAKWTQVHGRLYHNTAILVDDRVACAMHYL THADGYDATALVFRISKTMVRTYTLQVCQVLCQCYLADAVGMPTSQAAWETIRGGFED VAGVPNAYGAIDGTLIAIKRFTDYNGWYCRKGFPAFNMQAVVDDKMRFMSYSIHSGSQ NDKALFRESQFGKSCHQNVPRGGCFVADGGYKLYSHILTSFALRFGMNADEAHYNLLH SRTRMAVECAFGLWKNTFRIFQVNLLHGSPAEMVLLIKSTLSTKAKEI H257_16675 MAWVVLVTGGSRGFGRALALAFAANKASADDGDLHLYLWSRDAA GMAATAADVTAAWQRQTSHSIHVTQTVVDLSDDSTYGPAIDTFLEEAQVVASVDRVVV VHNAGSLGQVGRIAEVASPQVIRRHMELNVNSVLWINKRQDQMSILRLTIVNISRLLQ MYGTQAQAARAARDMHFRVVATEEDTTRVKCLNFAPGPMQTEMGNEIRDGPATDPALQ RMFKKLQADGTYVDVNVSAQLCVSHVFGPTLVSGTHVDYYDIYQG H257_16676 MDRSGNFVTPTAVWTDVPIACLSEFQTLEQVLDERLVLFTQSPM KATPEPPSWASTASS H257_16677 MKFGAFILASAAAARQSVMSLSSTEKAILGVNLAEWENEFGSFA TEHGLLPRTPTTESARTEGAILDDKLQRLLDTKFEAELAQEQNPDAVFSWKNPFALLN EAEFKRHVAISFEQDGQQFLNGTNEVEVAPPASHREAAVDWSTRCNPPVRDQGECLSC WAHAAVGVAEAAHCIATGNLLSLSVQQVTSCSTKGGSAGCNTGYPSYAIDYAAEGLCL DSSWPYRGQTGTCNNQCTKQRLAIGASTHTSGESGLMNALNKQPVVVTVASANSVWKN YVGGVVSACPAARSDHAAIAVGYDGQSYKIKNSWGTRWGDGGRVLSQTRRVPRPI H257_16678 MLLMMLLSVAPLLMELVAMAPVAMAPLWVILLLAIPLSLALHWV LLLYFVVMLWALVQFVELVVVAPQFSGRISLVPGLFLLLMVWPLVVPLLVLLLLVLLL SVVPSPVLNVVLSALFVVLVLLLVVLLLLLVDDL H257_16679 MTHSSSFADTKPARTWQRGRQLKPHLWRASTWALPQDLPLDADI IRSDGSSTMVESDSDNTVAPQTAPFERKLFSGRKKLNKAARGKEKSPSHAESLLKGLE SVGSGLQSLGTAVTAAHLVPDNAINNKIISSLEVQTAAINRQSGQLERLLEFLINRG H257_16680 MVKVLIALSALAVAATAGSVTELPESVTKLIDYSANPCDDFYQY ACGAWYKDAVIPPNGSITDTAFFKITIQNEAVLTKILSDNKTKLGEFYHSCLDTATLS SLGLTPLADSFKAIRSANTKLDLLVVAGELAKKNGIPAFVDIKASADAKDSTKNALFG VRSPQSLPHSYYIFPFDWSIIKADYKVYIASVLKLAGYTAEQAAAAVPVITRFEHTVA NFGLYKFEEPEIAVSPYTAFTFYELDQKYPLLIGSWLKANGFTVHDQSGGSNDWVGFT KLAYFDKTEALLNKTTLDDLRTIVEYKLIHASSTHLTPEFRTANWNLFGKKIDYEEVE PTRETFCVSQASSTVGELLGQYFLDAVWSADTAKTVDELAKALKSSFSTGIATADWLD NATRASAQTKLSKLVHLVGGPDKPQLYPTLTLDSKSYLKNRWKISQVNIDTNLKLNGQ PVDKRKFVMPPQESTAQYRYNMNQMEFPGGILQPPVFDVKYDAAQNFGAIGTAIGHEI THGFDNTGRKFDGDGKLNNWWSSATSTAFNTKAQCIRNQYANFVVKNEVTDDVLGNID AWVSLDENIADNGGLKTSFRAYHEYLKKFPSQYTEEAGDKLFYLSYAQLWCSKNTEDQ LLWNMRVKYPPGRLRVTGTLQNDAEFARVFQCPTVSYMNPPEKCLLWE H257_16681 MPRAQVKVISPEPSMIQPYGQAPIQKGWVDSSSNAAELRISRAV MERLGFSEDELLSHAFTKQEVWDVSDVYKPSAMASISRLTQVTALNSECGNESMHCVT PNIQVATLSDERGDDRGTVSPSAQDAEAEQNKRRAMVEAVFQALLHAPKDDVALREEA CVVEGKMHNELTEDLQRSP H257_16682 MRHGTIFFEVRSKHEAAHCIATGNLLSLSVQQVTSCSTKGGSAG CNTGFPSYAIDYAAEGLCLDSAWPYRGQTGTCNNQCSKQRLAIGTSARTSGESGLSNA LYNQPVVVAVASANNVWKNYVRGVVSACPAARSDHAAIAVGYDGQSYKIKNSWGTRWG DGGYIYLRANAGGRGTCNVAEYVFFPKLGASPYQPKPGCGNCNACYYPGDNSCLSDFN KADCEYYSAMHGTKWCAN H257_16684 MKFGAFVLASAAAARQSVVSLSSTEKAILGVELAEWENEFGSFA TEHGLLPRAPATESARTEEAILDDKLQRLLDTKFEAELAQEQNPDAVFSWKNPFALLN EAEFKRHVAISFERDGQQFLNGTNEVEVAPPASHREAAVDWSTRCNPPVRDQGECLSC WAHAAVGVAEAAHCIATGNLLSLSVQQVTSCSTKGGSAGCNTGFPSYAIDYAAEGLCL DSAWPYRGQTGTCNNQCSKQRLAIGTSARTSGESGLSNALYNQPVVVAVASANNVWKN YSYKIKNSWGTRWGDGGYIYLRANAGGRGTCNVAEYVFFPKLGASPYQPKPGCGNCNA CYYPGDNSCLSDFNKADCEYYSAMHGTKWCAN H257_16685 MHGVGNQEYLGVFGLFTDVIYLITYCIRRSDTANALRDPLLRCN VFMPGLKREDVEKFLLDANLRHEDGGFCV H257_16686 MDHLVAFQAVGRFIGRALVDGQKLPLQLKEGEGCAKKMGEAMLG VSLSLDDVEHLDPVVLLYVLTTPDNVADLALTFSATECVSDGHVTEVDLIDHGRHVAV TNDNKHDYVQRMVRYLLFDRVETQLQAVLLGLHEIVPPELLLVFDHKEFGLHLCGLTD IDMGDWKTSTLTSSNLKGHDVLEVRDVVESLTRPDQAKLLQFSTGSSQDPIQGFKGLT SYDGKICYFTLKGTEYTPGRYPVIHACYNRIDLPLYPTMDLLKEALTMVLMMSDPTGF TME H257_16687 MDFSFCVAPSPTEAVLFSDKATRSHCMCCTRRFHILRKRTLCFK CDESVCFDCIIVWQPKNQTQTQVLCKRCIIKYKTKDVAKKPTKTLQRPSSTTNETLRW STASSSCSDYLADFNGRHGSSEPDLAPVAPVASYMENHQPERSNSRLKLSPQQLRAVE SKRKQGTRHVQSSKATPRTPAWHTT H257_16688 MPRQEDFTTASARRNRISQQTRSGYTSGLNQIKKWVVQAGLHDL LGPCSESRDGTTLDLNHFTYKHFLSFIEWTVENKDVEMGTISGYRSAIQSLYKDQGLR KSVAHGLQTGEKVYRGKRPMTFDLFESLCVKSMALNDGGFAHLFLDRFGKSLAALVCG GSSKAKKDIGTHSIRKGAATFVSSGSTGGPSIISVCLRCGWFLGNVMERYFRKLEKNE VILISMPAKILDGVRSIVEDHANTEQA H257_16689 MMTAIEVPNLKNKFQAVKSEFSTLRWSMDKETGNATEQPFDLPT YWDFLVEHFGDKTGLGHHEFGTSDPPSPAKSVDDISTADGLKDDVTNVDDACHVSNGP GQRKLELQPEMQRQRERRKKGKIDVASGLVSMGEIMAKGLVDAAALSHNGGVNGGVSK QLQVVLESISDFKTARTGKTTTMRQLVNRHGNPVADGEDTVVIQGLVKLAPSVLDFGR NHTKRRPAASEVSVKSTIKLFVVLLTNTTVQYPHNPGVCADTDPLCW H257_16690 MNDDEVTLELDFDHDALAKTPKCTPLWDDDGVAALFRLRYKFQL SARFYSKNNANKKTAYVMLAAELSVATEKEYSVAQVQDKSQEKKTNPSKSKSHSEALE AVFMVIKDGLIHLGSSLSAAPNPQPTPPTGATLDDVLQAIQSQTIAQLVAHLNAQKEK H257_16691 MEEAIRVHGLTAERILNMDETSFASRHKSKDVVALKELMHEIDQ TKKERDAAAKKTKEMRAIRAKKKKKNEITSIGADEQGLDDVDGDENNVGDDNEDAELA EFVCLEEWFHDNLRCDQTVIRRLVNLLHQRLQPNERQSSHSFEKKVSVTLYFLGSEGD YRETAAAFGMAKSWCITVVATVVDVLASQAKLWIRLSTYSGDWSRIERGFYKHSNGGR VCVRVPQGEIPDFENRDERKIIGPDGRHHYMLLRTAQHVYPL H257_16692 MDEIPLLPLEVYLLRVSSISSITFYTTTSFDDGNSHDGIKAFLQ ARLGGITACNPWLRGQLKRSKDGLVLEVEHRNQPLQLGAYDLPQLSPDMPYNDLTTSL EPLAVLRGTELVGNPDQPIFRVAWISISPTVGAFYMSLSHVVADGYTYYRVFNMFGAA CTPSALTPRRHPDLPMRAKGYNDTMDLHRSVSMLWHMASTALVSPTPTISVHTLSNEW ITSEKEAALPTTAVSTNDIITSWYFQLCRADVGFMVVNTRDKYPHVTHDLAGNYTTLV GYQPQDYASPSLLRASLQSVPYRRAVSGALPWMFYKSTAMITSWASQRDAAPPALPQC TLVAHFPVADASYNPPYTSLAVVFRKSPTELGIILRTRSPFANASALADGTTSSSTRC SMADQDKNVAFA H257_16693 MASEQAASAAPLVKPKSAFAHFQKHITSAVREELKNRGSTDITD VNDTDPANLGAVQREVSARWNNLTPEEREPFLDAAKVDRERYDEECLLRDRQVEEERE RRRQDRYALDVDGKRERKVTVETIVKEKREKKPAKPLTDEQSETKRKRDEVSQLAKDA KDELRAEEERQKEDLKTKKANAASARLNYLLGQSDIFKHFGVKAPAVKGSSKAATTKK KKSEREEDDELLHDKHDTVRLTVQPSVIKFGTMRQYQLEGLSWMVNLANQGINGILAD EMGLGKTLQTISVLGYFKEFQNISGPHLVLVPKSTLSNWLNEFNRWCPSLRAIKFHGD KVERDRVVDQLLCPGLAADKRKFDVCVTTFEMCLKAKSTLSKFAWHYLIIDEAHRIKN ESSQFSMIVRTMATEHRLLLTGTPLQNNLHELWALLNFLLPDVFSSSEQFDEWFNLDT EDEEAKKQMITQLHRILRPFMLRRLKADVEKSLPPKKETLLFVGMTPMQKALYKTLLL RDMNTLTGGSAASKSALQNIVMQLRKCCGHPYLFEGQEDRSLPPLGDHVVDNCGKMIL MDKLLKRLKARGSRVLIFSQMTRVLDIMEDFCRMRAYGYCRIDGNTSYDDRESSIEDY NAPNSSKFIFLLSTRAGGLGINLYTADIVILYDSDWNPQADLQAQDRAHRIGQKKEVN VYRFVTANSVEEKIIERAQQKLKLDAMVVQQGRLQEKQKNLTKNDMLDMIRFGADEVK MHLILLYVTIISLGRVVSTDKPRHNEISLERPQYLDFCVALDCCAWMDSLSFWGKPKL MSFWKYQFIEALMYTYICIYFGIRPAKVDFSTSHRTLLWRSSVLTRLVGRLPRMDEWQ FYNRRRMTEIHDIEVSAYELAKASGDAVDSTSMYLSPALQAEKEHLIQTAFGDWNKPH FFLFVKLLARYGRSNLAAIAREMVKPYDEVARYADTFFTRGSELTDWDKIRKSIEKGE SKLLEIQRLADQTALKIKRYANPYDDLVINYQGKGGKLFTEEEDRLLLCLVHTYGYGS WEKIKREIHAAPVCAFDYYLRSRSAAELGRRCDALMRICEKDNVDFDLKEKKDAALQR ELADQRDELAKRIADAKAELNRNQALVDEKIMKEAKKMQAAREAKRQKKETKADVDSA KVDDALPEPVREELRQMIAQSTDKEASTIALKFCAKHVKCQLSQVLAIIQLYAAPIAH SKPGQPAWRLHPEYAVALSSSRSRKRPLDDDESKDGAAAASSKDSPRLPKSPWSPSAM KQASSKKAKKRTISLYIYQ H257_16694 MDLSSSSLAWDGTWRFHSPAFQVDSSGLMTSVLTVVRSLSMGLG FHLVLSPPSLFVRSELALFSTIWSEFVLDGKPRVLRVFPNGESTMSNAGGLMYGDYMG FTIDANRTLCVDVVCWPVQGGTASCYVIRLVLRRSLPHFLQISATVQVTHKVTDQITW NMTAAERMDVLRRYTLATVLVVEVGYTRALLPQEG H257_16695 MSNAGGLMYGDYMGFTIDANRTLCVDVVCWPVQGGTASCYVIRL VLRRSLPHFLQISATVQVTHKVTDQITWNMTAAERMDVLRRYTLATVLVVEVGYQRVV DSEEGNVWL H257_16696 MSSTTMPPWIFVLLCTISFFNDFDQAITTGAPAQFQYFIQVSHN TTDPGALLGLLSSSFVTTYAISMPLFGYLAMTTKPFRVISMGLTVWVVAVALSSVSKS ANSFELLLAGRFLSGVGGASFQCIAPTFINDHSPSSVQTLWLGVFIMSACLGSIAGGI AASMMSATSWGWDSLFAMEGLAMLPLLCLCRFGIPDEFDRISSDVTNESQSLLAENDS AAPKSFFGEVWGVCSNAAFVWLTLGLAAVVFSGSGLSMFTTLLLIGVGVFSSETEANL MLGSQGIVTMFVGTFLGGVLLDWTSRGAAYKRQYFAIRQMVLGFPVAAGVVLLSVAAL PDKTWYLVWHGLSTVTFSAMSPVMMTAVFHTVQPSQRSLAVGLKSLVLHVLGDVPAPI VMGVVKDTWAPHCNSVLVDGVVVLNPECHQDKDGLIQALLFPLVWMVWAITCFGIALY YARRTMLKEKSAALCVASN H257_16697 MERSKRAATSRSERTAMLEFLRILKNFALLTGQATKGKPMKRGE KLTRSHGLARLAEYVNAVVLAVRPWNTQNAKSRYISIYGAGRARVVMACLTRTSKRVH I H257_16698 MPPWIFALVFTISFFGDFDQAITTGAPAQFQYFIQVSHNTTDPG ALLGLLSSSFVTTNALSTPLFGYLAMTTKPFRVISMGLAVWIVAVAISSVSKSANSFE LLVVGRFLSGVGAASFQCIIPAFINDHSPSSVQTLWLGVYMMSVNLGAISGGIAASTL STTSWGWNSLYAMEGLAMVPLLCLCRFGIPDEFDRISRDVTNESQGLLAESGSAAQKS FFGEVWGVCSNPAFAWLTIGLAGTVFFGSGLAILSTLLLIGVGVFSSETEANLMLGSQ GIVTIFVGTFLGGVLLDWTSRGAAYKRQYFAIRQMVLGFPVAAGVVLLSVVALPDKTW YLVWLGLSTVVFSSMPPVATSAMFHSVHPSQRSLAVGLKSLVLHVLGDVPAPIIMGYV KDAWAPHCNSVLVDGVVVLNPECHQDKDGLILAMLFPLVWMVWAITCFGIALYYARRT MLKEKCAALCAASN H257_16700 MPCKKPLGKYLKDPLESDRSTHAHGYAEEDDLVARSYIKLIIHK DQVKYVSKTQTKYDTWQALKDIHEGASTTYLLTLMTEINNPRWTSDYCELFRKLEAAG DLIRECANATKLLVLMPEQFHNTVLHINRNHSTQPKYKTRAASRPRTPILPTGAGQAH RTNDVAFQANRRKHVLLLHEARSLFKTQLQMATYASDGTKSSAEVERVAAGETTDKIT PTTPQKKSSS H257_16701 MAASGSPEAEFNLCMSSVRESVEWSFHIIKSLSSFVSYDKKMKP HGNQISMYFGLQPPDLNMYIVIALIKYQALMCIVLRSINCGLLTIKIYVQLTAQPKLL LCDIFPQYHFRLRE H257_16702 MVLGRTSMVQHFRARVKLPPSAAPHFLPRSAKAETSHPRRVSVT RAAGMVAVMGLLYDQATLMHPPPLTLDRKTTRLVPVQMRKRTSKSDSPLLVSSSAVRG DRPTMEDALFIAPNQRFTAVFDGHGGANVSSYLKETLYDHIVANLGPHKDTWSLDEIE SSLRDAFRAVDAAILKRREWITQGSTATAVLLVDDLIWSMNVGDSRAVLCRHGRALNL TRDHKPNDPMERLRVEGAGGRVHWHGLRDPRGHPIADMGAYRINSNLAVARALGDGDQ RPYVSGEMELKCFRRDPSDKFIVVASDGLWDVFTSAEVVTFVHNVLAGEVGSWRQKYT TDTMEVAKRKAKMATYLTQEALNRGTVDNVAVVVIWLDQVK H257_16702 MVLGRTSMVQHFRARVKLPPSAAPHFLPRSAKAETSHPRRVSVT RAAGMVAVMGLLYDQATLMHPPPLTLDRKTTRLVPVQMRKRTSKSDSPLLVSSSAVRG DRPTMEDALFIAPNQRFTAVFDGHGGANVSSYLKETLYDHIVANLGPHKDTWSLDEIE SSLRDAFRAVDAAILKRREWITQGSTATAVLLVDDLIWSMNVGDSRAVLCRHGRALNL TRDHKPNDPMERLRVEGAGGRVHWHGLRDPRGHPIADMGAYRINSNLAVARALGDGDQ RPYVSGEMELKCFRRDPSDKFIVVASDGLWDVFTSAEVVLYFTSESMLLYV H257_16703 MIRASLPFTRLPGLVTRGCFKFKNTHSAMFATFSGPTTVAAARS ARAGAAVAGDRLRIAKDSVLTGPTAGSANYHSAALAVEVPDDIVPPLVVQQHQLHAAS SIVAKKSVLNRLWQHIETGEENAFYVVDTSAVEARFNLWMKHLPYVKPYYAVKCNPDP SILQTLARLGAGFDCASQAEIAQVLAHGVDPRSIIYANPCKQPSHISYATQQNIDFMT FDGCDELTKMKRIDPDARVVLRLFVDDSHSQCPLGTKFGAVLNDVPAILKHAKAIGSN VVGVSFHVGSGCSDASAYTDAVVRARKAFDIGASLGFDFELLDIGGGFPGDANAPISF ESIASTLNAALSTHFPSDVKIISEPGRFFAATSHTLAVNVIGRKLAPNSLAAFSAAAT SSSPAKAARGHRGLHAGADPYYMYFVNDGLYGSFNCLLYDHAQVHPVALGGSEDQKFS SSIWGPTCDGLDCIAKDIDMPVLDIGQWIYFANMGAYTSAAGSHFNGFAPPDKVYFDC HDQHDDEE H257_16704 MMSTNDTGVMAQIGDACSALATCDECIKTYTCHFCERDLQCHAI GSTSGCIKGMSTCHHIEDCVRSTPQYVGYGPPGYVVLGVLCLVATLVCCVGGCSVLVG MIRRGRATSPVPPRRDSAKPSNADIDNDVTTSLLSPVDDVEDSADRGALPVSTATATQ QTATFRTLCSRTIWLGSLVAFTILALMYYPRVPDYQICNQEFDWESIFASLISVTPKI HYQIITSVVNENRFAFHLDSGVADISHNGIKVGTWAISNWTAEAGAVTDMLAMVKIEP STYLEALSLWKDFHYNNLTFQIDTNLTGSIRWGSFKLYGFTVQAPAVDFLVGDKYPRD LCKCTEYLTPTSDANIFLQ H257_16704 MMSTNDTGVMAQIGDACSALATCDECIKTYTCHFCERDLQCHAI GSTSGCIKGMSTCHHIEDCVRSTPQYVGYGPPGYVVLGVLCLVATLVCCVGGCSVLVG MIRRGRATSPVPPRRDSAKPSNADIDNDVTTSLLSPVDDVEDSADRGALPVSTATATQ QTATFRTLCSRTIWLGSLVAFTILALMYYPRVPDYQICNQEFDWESIFASLISVTPKI HYQIITSVVNENRFAFHLDSGVADISHNGIKVGTWAISNWTAEAGAVTDVQIYYFDVH IYIIYIVIVSRCWRWSRLNRARILKRCRCGKISTTTT H257_16705 MDLVRRFDELCESVDEETRNAFHRSIEVLNRAIDILSLERMCFS FNGGKDSTVVLHLLRLVLAKRALVSHPGASEEEFDAAYRSLLHQLPVMYFDTPDQFPQ VTDFIHHCIHTYGFQCEMQTSSYVQGIQSIISKRKTQAFVMGVRRGDPGTEDLEHFSP SSTGWPAFFRVNPILQWRYDYVWLFLRKLKLEYCMLYDQGYTSLGSVHNTERNPELVH INDSGDTEYWPAYKLLDNESERCGRASKY H257_16706 MSQANKSALVTAGVVGGVAVGSLLLRSAMYPIMTYRAETFIVEM LKQADIIIGRDIIVHNPEIFLDWSSRGMLAIGESYMAKQWEALLPLDVVLTKLLKLPS DAKRKLFKSWDAKFVHLTGRVFNFQSPSRAGIVGAHHYDIGNEFYKLWLDPWMQYSCA YFKDIPDTDLDTAQVNKLHLIAKKLKMEPGMTVLEIGCGWGGLGIFFAKHYGVHVTGI TISNEQLKGARLQAEAEGVSHLTQYTYCDYRKMTGQFDRVVSIAMLEAVGYKNMDEYY TVIQRCLKTGGLALVHSITSNRSTKTAHQQWILKYIFPNGFLPSVTQMCEFAEKKFVV EDVHNIGPDYDKTLIQWSVRFQQHLQNGNIVKDDVFIRMWDFYLNYCAAGFRARTIQL HQVVYSKHRAGRYDAVR H257_16707 MASSTAPRVLRSWKPSHYMKFGSQRLRPALDLLQLVHEMPSAAN VVDLGCGPGNITPFIRDRWPAARIHCVDASQSMLTSARLSHVGLGLDRIEYVHGNFES FRSDIPVDVIYSNAALHWVSYNVHETLLPRLLSFLKPGGALAFQMPDTRQQPSHVLMG EAANQLSLDVSNVRWVTTDVNADAYYKLLRPLTRDIHLWSTEYVYQLEAQDNNIHPVV DYVSSTGLAPYVDALTPAQRPAFMDIYQELIAKAYPVQEDGRVLLPYKRFFCVAVQS H257_16708 MHAPMWSMPWRLFVYMVRRVTENTTLHLVFVWTTSRSRWAAHFL PSSIIDMNTFTHAKHAAADFLQELKLGAMEVLLNQLQTQTHRLLHALLQGPESWRLQL QELAGVQSVLDTVDNTVHDVDSKAIVASCLLPVATPITKLIASDRSEVAKKACGAVAQ FAEMSGTAFAPFADVVLPSLVSTAPNKVQVFRQAGKDCLTTISTVSKYDMKILVSLWR QSRTSEARCLIVQQVTTVVRVWPKSELAEHCDDVLLLLSGAIQDPKDFVRAAAREALC AFAETWSERMDQVAEIPPLPQRPLIIAEHASAQLTAALRKKQMSATSVARPHLPLRRQ LRPAPTPRRDGNKTMSAATVVPVVISIELAPKSVAGSSCHAAEAQWWSLGASLGQFLT TCVTKAWCALRSAATSVTKAVVVAKTMLWSVYGLVQRIYTWAMSANVDTPSQGIETA H257_16708 MHAPMWSMPWRLFVYMVRRVTENTTLHLVFVWTTSRSRWAAHFL PSSIIDMNTFTHAKHAAADFLQELKLGAMEVLLNQLQTQTHRLLHALLQGPESWRLQL QELAGVQSVLDTVDNTVHDVDSKAIVASCLLPVATPITKLIASDRSEVAKKACGAVAQ FAEMSGTAFAPFADVVLPSLVSTAPNKVQVFRQAGKDCLTTISTVSKYDMKILVSLWR QSRTSEARCLIVQQVTTVVRVWPKSELAEHCDDVLLLLSGAIQDPKDFVRAAAREALC AFAETWSERMDQVAEIPPLPQRPLIIAEHASAQLTAALRKKQMSATSVARPHLPLRRQ LRPAPTPRRDGNKTMSAATVVPVVISIELAPKSVAGSSCHAAEAQWWSLGASLGQFLT TCVTKAWCALRSAATSVTKAVVVAKTMLWSVYGLVQRIYTWAMSANVDTPSQGIETA H257_16709 MNSNVLFSVLAALATTLPASVSATDVSVLGVPGTFHVPAGVSCG GSDLTRIGAYPGPQPRQEFGSCCPALLNRLLLTKGQVGHPIGSNEIHD H257_16710 MIATELQAVANSRRGDHFDALQFVHHAPKPRNADLSAAAPFLPL CMRCLAHKLQASPPPVYSSLLHGY H257_16711 MDGRPLGKGRVVRVTQRKGGLGTQEGVLGRVVFVATGGDVHEGR DAVLGQNVFLAAILQNPLFAQNFGAIAMVICDTYSRTGSTTTVPQLIPVAVHGRSRCT LFYLSFAQASCSKNTDARLANHLPDPHPPGRFRVTGVLQNNAEFARVFQCPTDSYLNP SKKCLWE H257_16712 MADLLASIASSSSPPPAPTSVHADKLGLTASQVSHFLSEATAYA AGHGMLVQAPEQRYAHLPYCLLPVPFPRQQFELVRLLYLHRLGSLSQVLVDSQGIVLS PIFALLVDRVAADPDWLHEQLQNVLAEDAFTRRLVELSKAVQKEGVVQTAALGIHRSD YMLHDDPSNATSPQILQVELNTIAASFACMSSLASDLHRFLLERYEAQIPSAYYGNVG DLATHLPRNPALHALPAALARAHSHYGRPSAVIVFVVQPNESNSVDQRWLEYTLWTAH KVKVFRRSLHQLATAEVRGATRELWVDGVEVAVAYFRAGYTPTDYPSETEWIGRTLVE RSLAIKCPNIAYHLAGTKKVQQVLATPSELRRFLTEDQSVLVEKSFTGLFGLEQASPD LPRIKALVAANPTGYVLKPQREGGGNNLYGEEVVEALATLTPAELESFILMERILPQE QPAVLVRNGAPVSGDTISELGMFSVALFDNGKAILNEHAGHLLRTKLSTTNEGGVAAG FAVLSSPFLV H257_16712 MADLLASIASSSSPPPAPTSVHADKLGLTASQVSHFLSEATAYA AGHGMLVQAPEQRYAHLPYCLLPVPFPRQQFELGIVLSPIFALLVDRVAADPDWLHEQ LQNVLAEDAFTRRLVELSKAVQKEGVVQTAALGIHRSDYMLHDDPSNATSPQILQVEL NTIAASFACMSSLASDLHRFLLERYEAQIPSAYYGNVGDLATHLPRNPALHALPAALA RAHSHYGRPSAVIVFVVQPNESNSVDQRWLEYTLWTAHKVKVFRRSLHQLATAEVRGA TRELWVDGVEVAVAYFRAGYTPTDYPSETEWIGRTLVERSLAIKCPNIAYHLAGTKKV QQVLATPSELRRFLTEDQSVLVEKSFTGLFGLEQASPDLPRIKALVAANPTGYVLKPQ REGGGNNLYGEEVVEALATLTPAELESFILMERILPQEQPAVLVRNGAPVSGDTISEL GMFSVALFDNGKAILNEHAGHLLRTKLSTTNEGGVAAGFAVLSSPFLV H257_16712 MPLDMACWCKPQSSAMRTCRTASSPCPFRANNLNWESSCPLFLR CSSIVWPPTRTGYTSSFRMSWRKTPLLAVSSSCPRPCRRKGTKPSLSAHRHECCGSVV QTAALGIHRSDYMLHDDPSNATSPQILQVELNTIAASFACMSSLASDLHRFLLERYEA QIPSAYYGNVGDLATHLPRNPALHALPAALARAHSHYGRPSAVIVFVVQPNESNSVDQ RWLEYTLWTAHKVKVFRRSLHQLATAEVRGATRELWVDGVEVAVAYFRAGYTPTDYPS ETEWIGRTLVERSLAIKCPNIAYHLAGTKKVQQVLATPSELRRFLTEDQSVLVEKSFT GLFGLEQASPDLPRIKALVAANPTGYVLKPQREGGGNNLYGEEVVEALATLTPAELES FILMERILPQEQPAVLVRNGAPVSGDTISELGMFSVALFDNGKAILNEHAGHLLRTKL STTNEGGVAAGFAVLSSPFLV H257_16712 MPLDMACWCKPQSSAMRTCRTASSPCPFRANNLNWYVFSIFIVL VHYLKFLWTRRESSCPLFLRCSSIVWPPTRTGYTSSFRMSWRKTPLLAVSSSCPRPCR RKGTKPSLSAHRHECCGSVVQTAALGIHRSDYMLHDDPSNATSPQILQVELNTIAASF ACMSSLASDLHRFLLERYEAQIPSAYYGNVGDLATHLPRNPALHALPAALARAHSHYG RPSAVIVFVVQPNESNSVDQRWLEYTLWTAHKVKVFRRSLHQLATAEVRGATRELWVD GVEVAVAYFRAGYTPTDYPSETEWIGRTLVERSLAIKCPNIAYHLAGTKKVQQVLATP SELRRFLTEDQSVLVEKSFTGLFGLEQASPDLPRIKALVAANPTGYVLKPQREGGGNN LYGEEVVEALATLTPAELESFILMERILPQEQPAVLVRNGAPVSGDTISELGMFSVAL FDNGKAILNEHAGHLLRTKLSTTNEGGVAAGFAVLSSPFLV H257_16713 MMKKVAVVAVTVVVTASLGMWRLSSTGDLKHVMHWVQNHPVVGG GAYVVVFAMAVVACLPASVFELAAGYIFGFGWGWVIAASGKTLGSVISFALGRYYLQG WVHKMLRRGPPLFRALAQLTSRNELKWKIVILTRIAWMPIGIKNYGLSILPVSFALFF WPMLLVGSIFSAISAYLGHTATHVKSILTGDGEVEGGGGTPTSVLHVSMMVVGAGSAF TLIGILGYHTRRHLEEMTKDEGSDGGDVDAAEDQPLKPAAPSPPISPETEDRTSDTLV H257_16713 MMKKVAVVAVTVVVTASLGMWRLSSTGDLKHVMHWVQNHPVVGG GAYVVVFAMAVVACLPASVFELAAGYIFGFGWGWVIAASGKTLGSVISFALGRYYLQG WVHKMLRRGPPLFRALAQLTSRNELKWKIVILTRIAWMPIGIKNYGLSILPVSFALFF WPMLLVGSIFSAISAYLGHTATHVCTYISSSRTIRDGEVAR H257_16713 MMKKVAVVAVTVVVTASLGMWRLSSTGDLKHVMHWVQNHPVVGG GAYVVVFAMAVVACLPASVFELAAGYIFGFGWGWVIAASGKTLGSVISFALGRYYLQG WVHKMLRRGPPLFRALAQLTSRNELKWKIVILTRIAWMPIGIKNYGLSILPVHLYSLH IPYIKRLLVGVVCIVLLAHALSWIHLLGHLGLSRSHCYPRMHIHLILPNDS H257_16714 MDISGQVQHLHEMINLGHGVLLEAQNLNLKQPLQSNASLFTFSD MDVTPSSPSGGDVSMLDEKDDDAAGLVTLTGDEIQDSFTKLAALKSAYIRHSSELLES LQQKKEDIASEALLARRDGLRKDVSDRNVVLKGLIDRLRNLQVALRILHGRDSVDPAA SSVAMEEI H257_16715 MDLNHKLNRERSSSAKWASDVHLAVEYLKRQHEVIEWLQHAMDR PMPTHDLQELLKSGVVLRELMAILAPTSATPKPIARTYSATMAPWKERENISVFLTDC RRLGMSECSLFGTDDLYEGTNMVQVMFGMQYIKAWFTGGVRSPRSPKNSSDGVWSFSE SQVQDVVAQFQKHKSQGNLLQGYLTRHESNSDVSTLREPEPASEVTTKEPAVFGDVRI QSVGLPASPSTSDLHAVSDNTIAVNHTHHEQVEAPASSSPTTRDDDEAMGTPTEQYVL ATTPILTDPPSDQVSSHTAILPSVQLNAISDDAAVPQIDPSMHRVRYGCCCRVM H257_16716 MSDTSDNQPEVALFGDDDLESEEIISTDDRGGSTEENKLDEAMG VLQNVLIDPDFVQMQTDFCQKHCEYFDDASENKLIYMDLFKEYTLLIENYLEARLQAE IEDFTMDELCQMIQDHEDEIAGDVVDILMSCADFDEFKSLMLSFKQNDQAVFEIQGDS LICN H257_16717 MRQNGFQVPFDLFQVTAWIFFPILVGGFYAFCVPFLPRTAAVAT GIVYGINGAVIVGLAAITTSINPADRNVMHQGDTAQVTPDHLYCNVCTTYVDKKSRHC RICEKCVATFDHHCKWLNNCIGEHNYRYFFSLIVAIFTFTTLQLVLALYLFVQCFTSP STIRLYAASTYGCSGNNTTVKNDGLCVSDAFPLVAIKVMLAIYLSILVPSFYPIGQLV YFHIKLYFLDTTTYDYIIHQRRRQLHPEETGDDLIAGCHGCRTAPTQPAPIPAPVAPK STSTPMLTGFGRPSSAHVQDTSSHETKSPVSPSQRENMTYEPIETPNGHTKKKSQQQQ AFFV H257_16718 MVGPPSYAKQVVVRNDSGHTIHVTGLFGSDGQVADGNELIRQTV AVGPHSSATLGEHEYNMGTWTAVAPVYSVQADHPESNHQAQFKPQVSGVVSAVHVVLA SDDNAGRLSLSQSHTVE H257_16719 MKVPGWEYVQRVPPAFFSLAIVLLSRQIIDPQNSVHVWIARGLF AASQLSCIAVLVYLYFQSRENKDPATVIVKEDLGFGQEGEKTEKITIGEHDQRAALKD IQKVALGLAVSSFIHVYWGFIPPLVIGVYNGPQALLQMPLVRVLLRGERAWGKLQRPW AEPIASFGKRFEAWNDTITSAFTTDNNAKPKKEKKEKKEKKRR H257_16720 METRTAYVAASSALFAAALCILGMCGVRHVRNPCMHMRPMQTLF VRLDVGFAIYTLLFYLRVIFAENQVFEPISQCLFSIVEGITIFSFFNMMLLLVGGTAA AVGYMNQDDDESNESWLVSPYKQTLDRFRRRLISFLFIKPVLAAVDGWAVNRQTRNPL TDAYRVVHSAIAIAMIVLTVLTFLGVLQTYKQLKAHISGSFKLTAKFVVVKGMLLLST LQWSVANTVVRHWTPIDLYLYSTVCVGEALVLAVTYFFVFTAQEPDVPHLDSDAAPFR LGSVAAIWDLFEYPVGKHVTYTAAL H257_16721 MWTIIKRYQVGDIAVDGVSGKEGLLLWCNQLLAPLHFHVSNFTT NWSNGVAFCYLVHALQPALLPNVVDWCDLHSAMENLATAFSLLEAHFAI H257_16722 MPTEYESKLKTIFTGMQRLTATDAQSSSVKDSGKRRLGFSMYES LCSKSLAAVDSGFVHLFLVISWNLMARSKSTETIQLDHISFEEDAVGITYFKSKTDQA GTKRRDPRHVYANPSSPALCAFLAFGNYFACNPTLTSGALFPGARQRDRFGKALKTLV LSVFGDNAEGAVGTHSIRKGAATFVCSGSTSGPSVISVCLRCGWSLGNVVERYMHYEK AGDQFVGRVVAGLPLNSAGYAQLPPHFISIRRRNRRQRRPMHVSWSFEEHRDFWSPET QFGLTCASFRLPAQ H257_16723 MAGNMQAAPQMQRRPSNIQGGDEFWIDVQKKAFTRWANSYLSDR AQVITDLYTDLGDGLRLISLLELLTDAPFPSKYTKEPRFRIHKLENLNMVFGFLAKEH VMVTNIGSSDILDGNGKLILGLMWTIIKRYQVGDIAVDGVSGKEGCVLHCYMKHKRLW QLVQSTAPDKLFAGVTVPVASSFSSKMRRMLASTSEDSLLSVVCCTSSYR H257_16724 MTFEVSSRGGRFPLVAFSLQSPTPAPTPAPTPASTPAPTPAPTP APTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPASTPAP TPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPAPTPVPTTTITPVCSGIEDN VDYFGHDIDSTSQSSAEFCCSDCKATAGCRLFVWTDYNGGTCWLKSEVGAKSFVAGAK ASIVVTTPAPTPAPTPSITPVCSGIEDNVDYFGNDIASTSQSSAEFCCSDCKATAGCN LFVWTDYNGGTCWLKSEVGAKSFVAGAKASIVVTTPAPTPAPTPSITPVCSGIEDNVD YFGNDIASTSQSSAEFCCSDCKATAGSAIVEASCSQQVDVDYLGNDIASSQRASSDSC CEDCKGTSGCLAYSWTDYNGGTCWLKSATGSPIPRVGVVSGVLF H257_16725 MTLPGTSGCLAYSWTDYNGGTCWLKSATGSPIPRVGVVSGVLF H257_16726 MSGVRSLEGLLAAPQDVNDDDIIHVLTECARQSSNVSFREQVET STIDDSSFLPFLTSRWLALQHLDGSSPSTIDQGVAAIDDDDDDGLSYSYTTTTSDSAA TDIPSRSAFLDDSDAASTHVNVLLAMYRVLRNICASSPINQTKLKAVLPFTTNVLTGM IGYLDCSHDEELHAVIVTCMQVMLQFVANMTVHHTANQDILWPAFHPHLLEKVLVECQ KYRKVLAYAAAVVLNCLHPPHAIRTTDLVKSRQIVILLLQRCLSFQSQDESDPALEWI TMIFQVLVKRHYTADLYRNLSASLLSTLWSKLTPEQMLLLRMVDLVVAPDDSSSPVDG VESSRSNDDLIAFLCAEYTGLHVPNEAPSNKNDVNAAVSADRQAMWNVMETESSKLML RILGTLTSSACVEEHSENVLTFIPVLIAQLQRLSPTHARETNAAAALSGDDVVQDETF GYRSAGVRVLGNMAHRNPSVQDALRACGGLEVLLNSCNIDPNNPMIREWALVALRHVC EGNEQNQAYIRALAPQQVVSRVDLSKMGVEPVLEHNKVTLKPL H257_16727 MTSGKRKRSAILHRLTVDTAMPNSIRPQHHAGAVSDEAPFLAST FRGDGGGLMDVGPFRVGTDGIGFLEFGMVLSLMANDRSGVMASEGFTACDVRLERTLS PALFDDQKQHDGQLVGCHFKDCLFEVLPKMNYDATLAFEKAQLTKKDGLSGFSNPLSE MRFKSESEKRLNATAYSHSHGKHVNYGQGIQLRHIKSGKFLSTTPSSYDTSDSLDICL TSGSSASHFVLLPRFKLRRKGEPVQIQDQIMLATDDTRLFVQTSLNRFDQTALSSRLL TASVAHTSMIQWRLLPYDSSDAVQSTAETYRHKAGHGGFHAGQCLRLCHLETGSWLAY DSASSSLRLDGCGATDHTSSAMGVLSPDTLWEVECSRVFEGGGIHWTKRVCIRHVTTG KYLALNDNTTTNMMAVAQRTPQMFTFRPMTHVNIRSVVAHDSVVQIQHVDSAAFLHAA ANTPNILQLSSEGSAASSMLSCPVEGISCPNDEDAFKLVSVPQVEVHDVMLLTSYRRM LKRFVQFFDATTSPIVQVCTSTETFLLDVLAAVDAMKSFAFQDTTQDPRRQSLLRKHQ YMELVTRMLEAPFDPWGGPFTMAYVSLFRPDSRPAYDPMQSNISMTLVELDDGSDEAS HDSISTPPPGRFCLSETCMTTIFQIVRASNMLLFRMFSSCKPSDALSCGRALSVLMKM LGHGFKASFPLSYLIQEKFHLSGEFKSFSKIIRDFLDLIQRQGKSYRYVQFLVVLCTA NGLAVPKVQEKICDLIFVPSEGYKDAILIQTRPTHTNTFEVCLPTRRGNEWKPLKDFY DEYYKSNLHTTLAPYFYGLLQLYVALCMDRNYKCIEILKDKFPRKCLLACVQDVKLAR SIRAVLMNLLLVIHIDCDPQTPVASPNYTRIWKDTAMTMIQIPQVDTTKYALEDREFF RQVKDLLMDYIARRKGVIVVGVGELARNELTLAMLRTCQKLVEFGMFHSDLQELVGHL VDLLDCRTDTILLKHQDDDGTSQPVEYAVPLFENSVQRTKNPFSRQYSDAYPPLPYRP MKRLSYMGTKPRGAHQPCQTTVSRFKASEGNTIVMEIKDCICATLLRVDALRLDYQLS LVLSYVKERNRLGSGSMVASDLSPLAQALAQRTFHLTCEYSLQTLANHRRLDTILLQT LMYEHPLLVSKALELLYRQFNQHEQLIKALDHVFLLVNDNTVHVYAKLQADVNQLRHL AETTEVWMDLTSSGDFDAAARACVLLKSLNGLMQSTDDMTRLISNLDVLTYVMAMIYS GSHYFHELFPSKSTVSPTSPQKAAATSSLVHDVLQDQQRGAIRNVYDGCMEFLLAYCT TNVQAVAEFAMTLVEFVEALPSAQHVLLAIYSNDLCDAIPHDVLVQFVRWSVYYKHRS NDARYLTFLKDVAVSSTSTQQALLMQCMKNPMLVDTQDVSDQTYAAEIFHLLTTVATT TQARDICAESIVTVNGWVDLFDQFQPTDFSNHPTETSDSFGVAAMKYLQLVLLPHEDC IYKMDDTLHVRLFDCVRKFSLFAIRCYLTGLMQLDLREDKARQQPVSRDDPVITQALI PTLLAYFPKLNPALLVSAHLDMDEWMAAWFAYVFCSVSHTTVADASAGGSLHLCMRWL DQLWKSDLEALLVESCQRSSRPFLGIGLGGMRTSEPFLVDFAKPFGDILSDLKAYRAY CQAKTTDHHPSASLVSSLPPLAAIETPIKPLETGHDMIPALQTVPKQHKWLFGLWGRS NNNHKSAVLPSDPSPSAAARKLPSPRHYNMPNFGAYAEDDSSIVQDYLKYIRDHRLTK NAIRNELSAMMQSILCLEDALKEENVTNSGVPAVISTFDDVAAKLVSHFKMLKQPKYV NMRLVLLDVFTRTILSLETESKRRRMQLKLDQLGLTDVIVDLISHSDDFTVFDKCVWL GIAMLDGMNARVQEKFHVLITQQHEHSAEFLGKFKLHLDMLFAHPHTDHSNHVETKSG LLLSQIMYFSPKTRSDLSEPTSKYQSASRQFRFLQLLCEGHYLRNQMCMLGQGNNASS SVNVVEMATLVVVEFHSNVSWDAMALLRQVMDTITEFCQGPCPEAQMCVANYKFISAV NELLWRDSTTLTITAVELLRQLKASIVITLLSLLERRTDHEIHRRLVQELNLEAVKAN LIVVHEYFHHKYHGNYDGNEACSRDAFLSMGFNLHILMQQLMEFQPSLISSLYPMDDV AYRHAYDFFDDRCARVEIVWPQTNIDHHHHASTTSNNHGDLISMYFPLHPICVCLTDR TKRRLQSDVSRDANKLNDFYDKTHDVIVEMQHQCTLRQYVWMAFLTRHLSRLKMAAFA WSLVLNALIVLYNHIDRQQVESPPWIVQSAGYVHVALCLAMVTGHCINDVPLLFQQQA TTLSRTHVVSAYKQSNLSADNSSTLRFDSTVNETFQDVEDKVRAIGDPRMTRQHHLHR PRPWARVVWFVLWVVVWDAKTMYHLGLLGLSIGGAFFHQPLCFSFHVLDIVNRSSELR YVSKAIVYPGRSLLHILILYLLAAYVFGFVGLVYFAKYFDKDDYNGCDTLWVCFLTSL DEGLKNNGGIGGFLAPSHRDTGDPLAYPRLAYDLLYYVGLIIILTNLAFGLIIDTFAT LRTQHKENQDDLKDRCFICSIDCFTFNRMTKGFDHHTRHEHNIWHYIYLFVHLRKKHF THYNGVELYLATRMAKRDVTFFPSHRALALEKLHDRNRPLDDTASSCCSSSTSTTRMP HRARPHVPGTAFQDVVGNGGGHASRRGSSITTSTTGTGGSGDVGSLGAALALSPLDPH HEDRSTADQLADLVQDLYAQQQEMLGLLHTVVLTQQQHIEARTPTNQQPHDRHRASHR RAKSTSPFKTGQERYVM H257_16728 MFWQSAEKKLFAAIAKGKEAKLRKYIAKCDPNVMNEEGWTPLHA SSFHGQVEITKYLLSINNADVDLKDDRGRTPLYLASEGGKAGVVQLLVDKSLVKTSTD ITNEGGMGPLHVACWHGHLKVVKILVAAKCNVNLPACLGSTPLDMAASRGHLEVIKYL VQSLANVHALNKNGWHALHTASWWGHVEVVRFLMQICDPAQKTLAGNTALDLALEHRR HDVVSVLQAKEDAHLTLFDAVRLGRVCLVRKLVHTASIHDQNENGWTAMHVACANGHV DLVALLSRYIDVNVQTKDGATPLYVAAGNGHLGVVQLLVTNLAAVVTLGPTSGWTPLH IASSKGFVDVVRYLVTVLPMDLQTNHGSTALHLAATYGKLNVVQYFALKRPALDAMDK NGCSALHDASANGHVDVVRYLLLHHAAVDLKNNNGQTPLYQAAQHGKVEVVRVLLDHN AAVGAVSNNGWTALHDACANGHLDVVQVLVPFVDINVRTKDGGATALYVAAGTGQLAI LKYLLDRQANPLLASKIGWTPLHSACYNGRVDVVQVLCASMGPDQINIPTNAGATPLY VAAGNGQLTVIQYLLNHHSASICLNTRHQPTTTTPLHRAAASGHVEVVKCLIQFMDVN TRTLDGQTALHKAAQYGHMGVVALLMASGINYELVSHSGWSPLHSAVWGGHLEVVRLL VEHIDVDIQTDGGASPLYVAAGRGYEAITTFLLAKNANVTLPTNIGWSALHVACSLGH FSVALQVAEYVNPRLCTSIRWTASKVAYLTGSDEVRRAMEDKMAATLTMKRTVVPRHH MPMKELVDDEDGDWERTSELTTEGTMYSLDEDGYF H257_16728 MNEEGWTPLHASSFHGQVEITKYLLSINNADVDLKDDRGRTPLY LASEGGKAGVVQLLVDKSLVKTSTDITNEGGMGPLHVACWHGHLKVVKILVAAKCNVN LPACLGSTPLDMAASRGHLEVIKYLVQSLANVHALNKNGWHALHTASWWGHVEVVRFL MQICDPAQKTLAGNTALDLALEHRRHDVVSVLQAKEDAHLTLFDAVRLGRVCLVRKLV HTASIHDQNENGWTAMHVACANGHVDLVALLSRYIDVNVQTKDGATPLYVAAGNGHLG VVQLLVTNLAAVVTLGPTSGWTPLHIASSKGFVDVVRYLVTVLPMDLQTNHGSTALHL AATYGKLNVVQYFALKRPALDAMDKNGCSALHDASANGHVDVVRYLLLHHAAVDLKNN NGQTPLYQAAQHGKVEVVRVLLDHNAAVGAVSNNGWTALHDACANGHLDVVQVLVPFV DINVRTKDGGATALYVAAGTGQLAILKYLLDRQANPLLASKIGWTPLHSACYNGRVDV VQVLCASMGPDQINIPTNAGATPLYVAAGNGQLTVIQYLLNHHSASICLNTRHQPTTT TPLHRAAASGHVEVVKCLIQFMDVNTRTLDGQTALHKAAQYGHMGVVALLMASGINYE LVSHSGWSPLHSAVWGGHLEVVRLLVEHIDVDIQTDGGASPLYVAAGRGYEAITTFLL AKNANVTLPTNIGWSALHVACSLGHFSVALQVAEYVNPRLCTSIRWTASKVAYLTGSD EVRRAMEDKMAATLTMKRTVVPRHHMPMKELVDDEDGDWERTSELTTEGTMYSLDEDG YF H257_16729 MLKEEDPLIELIREWIMAPIDESAGLQLSTLEVFTLVEDMINEH VKLPHGSRLKKYIPKVKRMFMPLNLMDAVHAYDAVTHFSRRKRVPPTFKDVRHILNLA TIHAIAPTLKLVTFDADDTIYEDGGSISESSDMVTVIVELLKKGKVVSLVTAAGYPGE PQRYEARLRGILDALAKLPEDAQSRFLVMGGECNYLHVTSRDADTGAVSLRVVDPVEW KDGRGQRWDQAEVDQLLDQAQSTLEEMVALLDMPAKILRKERAVGIYNPTNKRFVYEN LEEIALTVQQVLVTNIPHCAFNGGNDVWVDIGNKALGISALQHYVVRLLPGDTELQGH ECLHVGDRFTRTGNDTLSRDVSSTVWVSNPQETADLVKLLVPLL H257_16729 MLKEEDPLIELIREWIMAPIDESAGLQLSTLEVFTLVEDMINEH VKLPHGSRLKKYIPKVKRMFMPLNLMDAVHAYDAVTHFSRRKRVPPTFKDVRHILNLA TIHAIAPTLKLVTFDADDTIYEDGGSISESSDMVTVIVELLKKGKVVSLVTAAGYPGE PQRYEARLRGILDALAKLPEDAQSRFLVMGGECNYLHVTSRDADTGAVSLRVVDPVEW KDGRGQRWDQAEVDQLLDQAQYMIHSTLEEMVALLDMPAKILRKERAVGIYNPTNKRF VYENLEEIALTVQQVLVTNIPHCAFNGGNDVWVDIGNKALGISALQHYVVRLLPGDTE LQGHECLHVGDRFTRTGNDTLSRDVSSTVWVSNPQETADLVKLLVPLL H257_16730 MWRAFKHRPAAAAATSTLRQRFLSAATTSSTTKHGTPLAERYEV GSVIHGFQVLKKQPIPEYSVTALQLQHIATKAEYLHIDTVDTNNVFSINFRTPPYSSN GISHILEHLVLCGSKRYPVRDPFFNMLKRSLNNFMNAMTASDHTMYPFATTNAKDFEN LLAVYLDAAFFPTLSALDFSQEGHRVEWENSPQQQQQQLQYKGVVLNEMKGVLSDSQT LFSTRLQTELMHGSIYEHVSGGDPSTDLTTLTHDELVEFHRSKYHPSNCLFYSYGNFP LESHLDTIATSVLSQFSETTNPSPPPVVIAPLPASNFKRMAGVVSERHVTGPDDGVSP TPASQTKWCHAHIVPELLSTDLYECFVLRLLSYLLLNGPSAPLYQALITSELAVDFGP GTGFDTSTVNPTFGVGVEGFEDLDTIKRSIQDTLVQVVQDGFDHARIDAVLHQIELSQ KHIVGRFGMSLLRGVTSTWCHRGNYLDALSIHPFLDRFHADMAANPRFLQEMLDKYIV QPHLRSGVALVMTPSTSFVSDQQANERRELDLIAETLTPKQRKAIEIQAETLADHQKQ TPDVHCLPTLTLHDIPVDQPRLDVEYRAASDIQFIPQTTNELTYIRMKFDISAVPEDL RWFIPLFSAMLGQLGTSKYKFDEIGTVLQTVSGGVSCSHLILPDTHDTSCYSEALVVE TLCLPHHVSNTLRILEQLFADTQYTTPPNLAQIKSLVLSASAAANASIASTGHSLAAY RAAMGLTSYAPAVESARGMTSIAALQQWADAIEADPAALDALGAIFRRLAALTFRRDQ MGLSVVTEPHLVTQVDAELAKMTWQHQKQDDLAGKLVHGGLLPPVLPTNNQPDKNALL FFGYPSLSVNFNVKTFASVPLFHPDHPALSVLGQVLSSCYLHQHVRERGGAYGCSASQ GEGVFTMSSYFDPHTCQTLDAYADAVEFAVGGHFTDEDVHQALLATFSSIDAPQAPSA KGKGLFTRGFTHDMLQARRSQLLGVTKADLVRVATDHLAKAAKTHAVVVGKEESRQEL VHRGFE H257_16731 MAGSHSTEGWVDVQKNTFTRWANSHLSKKRMEIDDLYEDLKDGL RLIALLQIISRQPIAAKYNRNPKMRIQKLENLNLIFGFMARNNMTITNIGSSDIVDGN SKLVLGLMWTIIKSYQVGEIAVDGVSGKDGLLLWVKRSLTDYPTVDVTNFTSSWTNGI AFCALIHKHYPTLLDFDSLSPNDAVENVSLAFGLMEAKFGIPQLLNVADVAGNPKPDD KSIMTYVSLLFQEFASGVQKKKAITTICKAVAMAQRISECKTQYETSAPTLLAWYANK CQAWTAPDQTASLKSVQALLLQFNDYKRNERPQYEASFVELESVMGRWVASCKNNHRD VPTLTPSFDSIAQLRKQLQEVEVQYETACRSHVLQCQQTDAILNAVLLDLTKLETWLD KVNVDFPQDESIQPCTNSADAEQALEGLRFFHEVEVGRYQQLLTRVHLAVETKLAGQT TAASAIARVNATQIAFTATLDRMQGLQVRMKDTLEFQRHVDGVVKAMRLTTQTLKNDM ELLDETIDAQNVHAIVLDDSTGDEEAALVAMKAAFEADVAPLVESTVVSVFAAELESK RETLERAHRDTELLALDAFGRRVDVLKAKVDAKLAELNQAVTDAQRRVSLSVEFAKVA TKMVELAAGISTQINAADGSLDEQLAALESLDALQMHSKDDPTHLCSVMDELEGVNES LESLRVFTNPHTTETIQSCRATFASLQQALMERQQELEKEIAMDKLGHITPAQLNEVN EVFHHFDLNKDGKLARDEFIMACKGLGFDMTEDACHDVFDKLDTDKSDEIDLHEFSTF CADQLQSGSTQADVHAAFEILARDMAITQDKLHEHFDASIIEYLLAHMPPLAPSKEDE GDEDQRGHKYDYNSFAAALFQ H257_16732 MWSILVAMAVVAAVTEPGKRCPGSPNQCSLHGSCMINRHGEYIC NCQWGYTGFDCAQKMCPHGFDPVTSDALQEKKLRVSILHLPPSSSILVQFHGHVVELD AAAGGATHLTADVCAQVFRRFRNLGDLSCASTAVSADASSSSLPVAEFDLTLHSFPVY PVMNNLFHHAGNPSASDFSCDPPSACRFTSLTDANIKAYLPCSNHGLCNAVSGLCACE PGYHGVHCGSNVDAGNMLEGAALGPFFSGHVLHLSADRAPSPSFDIIHAEVQGIPMLT VNGLGQAALHGADASWAVPSVLASHVHVSGGGVQVTQANVNLVESRLILSHSSHPGHS THPPPPLLHINVGSTSASDLIRMCVANATVFQVTGDGHTNIKNDLNVGRDVAVGHRLE VAHSSHLNGPVTIQGNVLHANHTTLAGGVTVRGTTAIHSEDLGSVTVGKLTSLVALSV TDAASACLTCRHAENHTPLFDVAASGATTIHDGGLHIKKGGLQIQAGGQTIESGGLTI QSGGLHVQGGAVTIHDTLSLRQGLAVVSTDALRSPLRGQATHPHFAGAVLALDAATPD ATQTIAVDGAEYGQLLIIQTSDPDGLESLQIPPFSSALFVFDGQKWQTLTATEFDTTR LTNVKQLTAAANLNLGAFQLTAHSLQVGGQPANRVALYGKGGVLTSDESLGFDPLTST LQVHQLQVDQVVGKIDMTNSELRGVDIIGGYIRGINMSAMVMEVTGEMYVESNAYVGG SLSVDGHVMGSGSYVDSSDGRFKINISTLFNALDTVLQLRGVTYTYNRSGFPDKHFSS GEEVGFIAQEMELVLPQVVTTDQNGYKYVAYSRVVPVLVEAVKTQATQVDALASQVAK LQAQVDRLDAALLSLKATT H257_16733 MLSLVKFAAFPTLSIRETHGPVATSGTSVQPAQDKTTTKDSAWE RSGWAFI H257_16734 MAPSAALSVLSSSFLIHGILAFQCGRAFRAWTDGDAAATAGHVA MCKLHPKLMYSKRAVDFAARHGHTSTVTWLLSHRIGIVSYLTWDFAAKGGHLGVLQAL HAHQPSSGGSANALDWAAAYGHEATVRWLLDMYPKTVATSFAMTRASSEGHLAIVTLL HCSGRVQNCKTSAMDMAATNGHLEIVQFLHVHRIEGCTTSAMDGAATNGHLEIVQFLH SNRMEGCTTSAVHGAASNGHLEVVQFLRHSAMVHPALVIQLDQAAGNGHLEIVKFLSR GGRATTIAMDMAAANGHFEVVQFLHATRVEGCTTSAMDMAATNGHLGIVQFLHRYRSE GATVQAMNGASEGGHLEIVQFLHAHRSEGCTTSAMDAAASHGHLEVVAWLHMNRLEGG TTRAMDYAALHGHLEVVKWLHYHRPEIGCTTWAMDWAAAKGHLELLMFLHDHRREGCT MQAKAWATANRHATILEWLASHGYCA H257_16734 MAPSAALSVLSSSFLIHGILAFQCGRAFRAWTDGDAAATAGHVA MCKLHPKLMYSKRAVDFAARHGHTSTVTWLLSHRIGIVSYLTWDFAAKGGHLGVLQAL HAHQPSSGGSANALDWAAAYGHEATVRWLLDMYPKTVATSFAMTRASSEGHLAIVTLL HCSGRVQNCKTSAMDMAATNGHLEIVQFLHVHRIEGCTTSAMDGAATNGHLEIVQFLH SNRMEGCTTSAVHGAASNGHLEVVQFLRHSAMVHPALVIQLDQAAGNGHLEIVKFLSR GGRATTIAMDMAAANGHFEVVQFLHATRVEGCTTSAMDMAATNGHLGIVQFLHRYRSE GATVQAMNGASEGGHLEIVQFLHAHRSEGCTTSAMDAAASHGHLEVVAWLHMNRLEGG TTRAMDYAALHGHLEVVKWLHYHRPEIGCTTWAMDWAAAKGHLELLMFLHDHRREGCT MQAKAWATANRHATILEWLASHGYCA H257_16735 MSTVKGVDELSPVGKPSGGSGEQRCGPWSDAEVAYATRLSDDFK LGLLSDVPNGIPLRKWLSEKLNCTPMRLSKKFDKTSGVLGMCRYTCNVMTIASMSAEK SKRRQEQLESLEAAFRQSVQDEHLKLSSFEIQQTHLQHQATKKRKKYAAALLKSTKRP RPMAGVKVFAGQPHQQRNTNSSSTVTPNQTDLSVGFLLLELQRGHVSPSSTRVVD H257_16736 MDWLKKKTKEVTSKVAKIQKDQKVKGQTFSGTGHSMQTPSAAPP PPPSRQAPPRPASADSNLSEDERMLRRQQQAEAAAKRGVPPPKKRPANVDSSVTRPDN AFEDDSSTSEAFEQAKAFEKLRIAEAGYNPYVRPSIIYRRPFLPRVLKCVCPRYEATI SSSTAARSAAVITAQTSPSRPTSRDVPSPSKQRPSSPSLPPATAATMHKILQNILDHP EDEKFHKLRLSNGAIQAKIACVPEALAFLHEIGFDAVVLDDHEEYLVLNATRTSTDTL AAAVARLQNGTST H257_16736 MDWLKKKTKEVTSKVAKIQKDQKVKGQTFSGTGHSMQTPSAAPP PPPSRQAPPRPASADSNLSEDERMLRRQQQAEAAAKRGVPPPKKRPANVDSSVTRPDN AFEDDSSTSEAFEQAKAFEKLRIAEAGYNPYEATISSSTAARSAAVITAQTSPSRPTS RDVPSPSKQRPSSPSLPPATAATMHKILQNILDHPEDEKFHKLRLSNGAIQAKIACVP EALAFLHEIGFDAVVLDDHEEYLVLNATRTSTDTLAAAVARLQNGTST H257_16737 MMMHDNTDRKRKRGALEDISNTHKSSNVVPSTRMTTRLNLKAAA AQQLTQSQTSVSETQSTSPSQEDVDAPVVISAKKRKASQDVVLTTATSGTGLVHRRSS LSARRVSLESQTQEQRERQRMFDVRMSSLSSEFDLDVYVDQVRMIDSKENDAIAREVD MFHRSHEHKYRVQSDYLTEVKDGVKSDINCRMRGILVDWLVEVGEEYKLVPHTLHLAI HLVDRCLSTMRLARGKLQLLGCACMILACKYEEVVAPSIEDFVYISDHTYTNDEMLAM ETTVLEALEYKLSGTNVYHFLERFILAGCTTEVQQHFAHYLTELAVVDYSITISYPPS ILAAAVVYMTRLVTDEKCPWTPTLHYYTKYNAVQVVDCVAHLYKIHDAEYQVSLTDPE KTRAVTDKYSTRSHVRVGKLAPVAPPSSMSS H257_16737 MMMHDNTDRKRKRGALEDISNTHKSSNVVPSTRMTTRLNLKAAA AQQLTQSQTSVSETQSTSPSQEDVDAPVVISAKKRKASQDVVLTTATSGTGLVHRRSS LSARRVSLESQTQEQRERQRMFDVRMSSLSSEFDLDVYVDQVRMIDSKENDAIAREVD MFHRSHEHKYRVQSDYLTEVKDGVKSDINCRMRGILVDWLVEVGEEYKLVPHTLHLAI HLVDRCLSTMRLARGKLQLLGCACMILACKYEEVVAPSIEDFVYISDHTYTNDEMLAM ETTVLEALEYKLSGTNVYHFLERFILAGCTTEVQQHFAHYLTELAVVDYSITISYPPS ILAAAVVYMTRLVTDEKCPWVYCCHLHTLVALTTSG H257_16738 MSDAATVPLIEPGVDSNGIKTGDWKAPIFGCFDTFVPNALMTFA CPCVTLSQVLARLGSFPYTTALITTYSLAVYFVVAGSLNRQWLNVLSAVLAVGLAIFK WNLRTKVRTMFAIPGVWWEDALCTIFCSCCSLAQIATHVESYTPGACSFDAKATLQGY RL H257_16739 MSSQNLLKKPSDGGMDDNGITTHKWKVSLFGCLDTLVPNTLMTV IFPCVSVAQIAARVGFVNYLTALIVTFVAYILFLVAIGVHTTALDVIAALVGIVSFGY LWYMRTKVRFLFHIPGSIVEDGCVVLFCGWCSIAQIATHVESYTPNECAFDAKATLPG YIV H257_16740 MQDSTAETATDGWSSSLSTKDDRGVDGQATDIPTALNPWTLSVG SMYVLPFIASRSNARQQAALRAHLPSDADRADFTGSGPGFVMLIRYTDSPVGPYDELL LVPGAFTPPSSSTPIAVEHHIQERYLQAHGLLASRRVTRIYVSSEASLRNGRRNWGIR KELADFTWTQQPSPSTWSSLTNVVVKDRLSGDVLCDVTLKSVNTWRVPVRMDWLQGLA LPPLEERVIDDQGLPSPDNAWVQTVLGGTLRMQPASMVVTAPSFTTVHEDKFPNLHEL NLWPGVHCSGIMTFTATKTQTFPPPKPCMPRDRSQ H257_16741 MAGEGSSSWPPGWPSSLEEGVSTTRRSREGEEDAATRSTSPFRR PSSTMSSPSTSGGVGDVLSKRRFKLHEPDEMILHLQRHQEKLRWRQQLDEQVQEKTML KAKEDDEKRIKEEDQAQRERQYQRQQHLRAQQKLGHVSALPNPPRQQQTYVSSGGTTS AASIHTPLPPSTTHVNPPPVPYSSPSRGGGGSMSRNDPNNSPDVISQMQAMLDELRLE RVQIQLERQQMQLERDAMIRERQQLDHERDLLARDRGMVHPNPFVYPPPAAAAATTTS PSSASPSKSPGWTDFNVISPTSVQRNGLHLSESPTFSPYRDTKAAASSSRQARRNGAS ASQPSHPMDDHELNPMEQSLIGISEFVALASVDDNSHNRRVIQSSGRYNWDRDLDTGK AAAYTTNRSTASSEEGNMTSSKLFQVKVLVDGQH H257_16742 MQCPAQVYPPNSRLFVVCGRLIGNEELDGLFSPFGNVVSVRIAL DRSNKSRGFAFVQFTEATEAAAAIEKLHGAIVHGHTFKVSLAHASSPKGKKKEGGMML PSGKRARDDRGDKTTHASKRTGAATVSSLHGTTSTPSPPTELQLVQSVLSDIVQQIVD MTVTSSLKPPSSTVSTSLKRKDSDADSSADTHTYNKRQTPVKPSSAHHHSRAAAVSDF LPRKMPKRPPPPPIFIRPPPPPCSTRLFVTSLYEYTHQELHAMFHVYGDFDHVQMVHC QGKLHTMAYVQYTKMSTAQYVVESFADDRSLLHVLFADETKQTSRIMLNWLHIGCTMP ANMLTTIVSQCDGMEFIDIIPLSSGSATSGRCNVKFTNEAMARAAFGYLRTLPSILSL QLIPDPTSTYDVDIRAVENQFAHLMSTPYYPSICSAPPSSSTPTCAAPAVPGADDDDD LVWLHVTSPSPCTWSSIQSVVTPVPVLDLVVEGDNDDDGGARKSQTTSTTSTTSSNTC SPPPTTIDSTSDDIACSKSPFFNAWVQFGSAKHALAALRTLQQDTAPFVHVAVAPPMQ RHAKKAKRWV H257_16743 MTMDARPIDSVITNPRTRRRARVRKGVDATNPNLEGLTAYTQLR ASLSEVATFFDWARLSSSQVKAYARVLGDAVLERQTLYRLVDRPSASTTRGGKVEHSI SVEWAVLAAPLGFAARDVVYLDCQDEFIFCEEASGRQRRGWIRAIHSVDVPGYGDLWA SHRIRRTHVFRSGHVFVESAQEQGILDYYHVLVAVAPALRMPKVVQLKIMKQHVTQML HLEEHLMVHRMLLVLDRNHPPAPSSSICGLCDAKFGFFIPRHGVCRSCRQVVCKPCSQ VWRSGDTVDGTIMLCLACADTLLDDDRPRGGPVVASGSPPIMGRTTQQQERQDRTETR SREGTFTFLSSTRSMRHTVLDTCAIEDVTAMTKDWKAVGAATKRSCHGTDAAVDRPCQ AARAIDPRDLNDALDDVVRFMVALQRGGDFPDNERATTG H257_16743 MTMDARPIDSVITNPRTRRRARVRKGVDATNPNLEGLTAYTQLR ASLSEVATFFDWARLSSSQVKAYARVLGDAVLERQTLYRLVDRPSASTTRGGKVEHSI SVEWAVLAAPLGFAARDVVYLDCQDEFIFCEEASGRQRRGWIRAIHSVDVPGYGDLWA SHRIRRTHVFRSGHVFVESAQEQGILDYYHVLVAVAPALRMPKVVQLKIMKQHVTQML HLEEHLMVHRMLLVLDRNHPPAPSSSICGLCDAKFGFFIPRHGVCRSCRQVVCKPCSQ VWRSGDTVDGTIMLCLACADTLLDDDRPRGGPVVASGSPPIMGRTTQQQERQDRTETR SREGTFTFLSSTRSMRHTVLEYVHPTCICTWIEELIHPSCVCSTCAIEDVTAMTKDWK AVGAATKRSCHGTDAAVDRPCQAARAIDPRDLNDALDDVVRFMVALQRGGDFPDNERA TTG H257_16743 MGRVGGPAGLCGARCRVLGLPGRVYLLRGSIWTAETRMDSRDSF RGRSRVRRLVGQPSDPPHACVSVGSCVCGKRARTRDLGLLPCAGGGGTGAAHAQEHLM VHRMLLVLDRNHPPAPSSSICGLCDAKFGFFIPRHGVCRSCRQVVCKPCSQVWRSGDT VDGTIMLCLACADTLLDDDRPRGGPVVASGSPPIMGRTTQQQERQDRTETRSREGTFT FLSSTRSMRHTVLEYVHPTCICTWIEELIHPSCVCSTCAIEDVTAMTKDWKAVGAATK RSCHGTDAAVDRPCQAARAIDPRDLNDALDDVVRFMVALQRGGDFPDNERATTG H257_16743 MGRVGGPAGLCGARCRVLGLPGRVYLLRGSIWTAETRMDSRDSF RGRSRVRRLVGQPSDPPHACVSVGSCVCGKRARTRDLGLLPCAGGGGTGAAHAQEHLM VHRMLLVLDRNHPPAPSSSICGLCDAKFGFFIPRHGVCRSCRQVVCKPCSQVWRSGDT VDGTIMLCLACADTLLDDDRPRGGPVVASGSPPIMGRTTQQQERQDRTETRSREGTFT FLSSTRSMRHTVLEYVHPTCICTWIEELIHPSCVCSTCAIEDVTAMTKDWKAVGAATK RSCHGTDAAVDRPCQAARAIDPRDLNDALDDVVRFMVALQRGGDFPDNERATTG H257_16745 MQTVPEASLTPAIAPPPTTTSEPNPSLVITTGGPAKIIRLVPTH TIHDSADDYPELDTGSDGVDHNGISVGQWRQHLFGCIRSCVPNTCTAFLCPCVSAGQI AHRMGVGRFVPVLLSFGGLYITLLICLAASTAGGRGVALITGIGMWFYLYRLRATIRL AFQIPGSVLEDMCATVCCTVCSMSQMGAHIESFDSKNTCNLRPKSVLPGYNV H257_16747 MRSSQPQQPYAAASDGSQIMRGQWKSGLFHCCDHPVPNGLMCCC CPCVLLAQVVGRLGLFDYVLTLLVLFLLSCTGFGGLVVAAFVVYLRAHVRRWFGVPGS VLEDICVGCCCCGCAIAQMATHVDAYTPGKCSLESKDALPGYQTQFQHQSPPPPHAAS TSYDAPSSAATYSAERV H257_16746 MLAGLICMSFPLEKRFGVLTHFYRHRRPKLESSTLNTLVVRIRD WGWLVVVLALRAASATAAPPRTLCRERLPMAVSCWTPGCGLLDRTCPPTDPVGRGQ H257_16746 MLAGLICMSFPLEKRFGVLTHFYRHRRPKLESSTLNTLVVRIRD WGWLVVVLALRAASATAAPPRTLCRERLPMAVSCWTPGCGLLDRTCPPTDPVGRGQ H257_16748 MSSNQDQPTAVTTMPDVKVVVADDGQSNVVLLTGQWKAGLFSCC DQLIPNCLCACCCPCVSLAQIVARLGVGDFLLTAIVACLLMFTGFGGLLIFLYVFYLR YKFRTMLQIPGSACADCCAALCCNCCVLAQLATHADSYTPGKCDFNAKATLPGYSDMF SRPAPVEPTPAAGVPTKNV H257_16749 MGCTTSNQNTVDIPLSQPPLAPDQDRLQHETVTFDNNSQPTVVD SDHTLLDHDRLQHEAATFDNNSQPTVVDSDHTLFQPYSESSCAPPSPKQLPLVNRPPP ETLRTTMFIANELGQYPDSAVDNIWSEASSGALPPPTTLGSGINIWAIQSMPGPHLSG TNGNIWESMDPPTNDHSKVGPGWQYTADDTTYSTTSCLITSEGPVQNVWSHIDSSVSS NYPRTMSSSSLHFDGNHSCPSLQSTTCSTCNAL H257_16750 MGPLKAMLKTAWLLEEDDRIGDEVFTAQEKRLAMPPLAPDQDRL QHETVTFDNNSQPTVVDSDHTLLDHDRLQHEAATFDNNSQPTVVDSDHTLFQYVPRNT IH H257_16751 MGCTESTTAATAEPQQPETTTAKPQQPEATTASGAPDQPAAAVV AVPTTTTTGTVAETEEMNTPSKCM H257_16752 MVRVVALAACVVALARANAAPVFDPLVDAATAAVASTPPISLEN VPIPVPSEIEDHFQPGDYVHSFESPSPSSVFLSSQAGLPDPTKEHWFNRQKVDHTDPS NNSTWNQRFHFNMNHYGGPGFPVFLVIEGEWVSSHASVTSNGYFFNQLAKKHKALIVS LEHRFYGKSQPFADFATPNMKFLTSHQALADIANFQDFFTGALDIKNAKWVAMGGSYA GNLAAWVKLKYPTRFAGTWASSAPVNAKVDFYEFSTHVSKALQYYGGYACVANIQTAF ERLQSVMVSKEPTDVARLKELFNPCYNFTSDQDRGVFQREIYSKFQRAATSDDLTSTT LAGICHSFADPTLQPLEKLSRFINHTVAANKCTYNSFGGMFFQYNSRSRFVRNPVARP WFYQNCNEFGYGQATNSGYGAFVPLQFNNIHVQTKILCAIVFNISNVDTRVANTNLAY GGLNINVENVVFSTGTNDPWDSLALTNDTGTLNPRSTVVDILESSHCRDMYSPKPTDS GHLKWGHQRIEAAIDSFLLD H257_16753 MSLTKNEMVEIYKQFPAQQATHATAPSTDPGRAKPKLVWVTAMV VAFLHNRCDSHDHPLKGPKSAKQLSRTWGKIAFAINLDCDQSVTKVPQAQDIEYATIC KDLAKTGNSSSSVVEPPSWSDLQSWLAAKSGMGNVEYAALKSTEEGARNRTINPGFDS DTEHDNVDSIEQDIEEADGGMMPTSSKRTANVERRQGRSRLPARKRSQEDVHGSIYCF VG H257_16754 MRSHVAALEANGMVYQNNRATWASAPRIVPKKEVGDLRMTIDSR PINACTEPMPWPMPNLDSAMVCLVGTNVYFTLDWTKGYWQLPLHADSQMYFSFMTPFG VYTPTRVLMGQTDAVAYCQSVVHQMFGELQFRGLLAWLDDLLGSTKTTDELLDLLDQV LSICAQFGLKLSPQKCHFFLREAEWCGKLNSRTCGLVAADDRGRPPAVCVCHQLDACE HPRLQPAGGSVATSARRGHQGSRKLQENGVSACRTPFRGMVIRPSQVFQRCETRVGAC GAPVAPREDMTVCVFMDARDLFWGAVATQVPPADLDLPLEVQRHQPLAFISGSFSGAS ARWPIVEKEAYAVVESCKRLDYLVVRPGGFRLFTDHRNLMYIFNPSGSNANMAKYQAD KLQRWSLVMSTFPYTIECVSGDTNVWGDLLSRWGSVPADQPVANVRKLIHVVSPLQQV DFKWPTAATISGIQRSTMEGGGTPPNGVDWDDDSHFYVDPDGRIWIPDDGGSHFKNEV IEKMRKLVGAHHHITTAYSPWANGTVEVVNRLVLRAVKALLSEMKLNADEWPHVLPLV QGALNHQPADRLGGIAPVTAFTGLSAKAPLAGVVHPTSKEVYVTDWLGAARQKHVTDL QVALEEMHRNVAQLVPPYEVTVHHACRLKMYHEGGSEVTEDLEAQIAFGDGGFHVERL DEARCVDGQHQVLVKWLGLDDEESSWEPAANLLDDIPVVFRKWAAANKEVPAALIKTL DFP H257_16755 MGCTQSTTSAEPQQPEAPTASGATDQPAAAAVVAAPTTTTTTGT VAETEATVPLTSDATPEVPVVDGQDVTIVGHSIDAKGVVLYHIQTPATDGSDQPVIVK KRFNEFKQFHRQVTASSTDAPVLPALPSAGLFTTFQRTQDTLIKTRSARLQQILQAAS RDQVVAFVAEQPKTPEVAVDEAPVVVAEVETTSATAPLDQKPVESAPTDTAPATAEVL QPAAAAVAESTAEVAVQPAAVAEVPVKTDAIPKSEPVQVVEVATAVASSKQQDAPPAN VDVVVPVVRADEKAATAVA H257_16756 MGVLDALRKYQILATSSAASVPLPDVNPEDLSSNSLVEAVEAIE KARKALPNPGLYENILGEVKSVLMPGTFEGFRFDLQRGLGQRFAVNHALLLGNPMIPG GVYQFGATCVLGDGPDPMLLRGNVSHDGSVDARWHLKLSNALKMRAQAQLKQEAHASQ AMVDVDYVGDDFTANVKVANGLLLGVSYLQSVTRQLAIGGEGYYYGKHRKTIASYVAK YNDPAGKWSTIGTYGSQGTYQLHYLRKVSERVRLATELVYNHANGEAQSTLGVEVDLR QTRFVSSLDQTFKLATALECKVLPQLMLLLAVEGTPANDDLKFGYGFQFYM H257_16757 MSASVVELHGDVYIKFAPPGTSKRHSCKSCDKFTPSCVQCKKRR RKHKRQAAARRTSIWETASLNRTWEIPDEIKLQLPLVATEKSDGVPARDTFLEWSGLC LPPPPSAVRHHHHYNQDGGHDLTNQSHVATRSAEAHTLSESQRNSYFGATGAASMKAI YSKLASQRYLQTGGTDGLVDKFKSMLASQSEPSPDAIGSLNSNEAFTARHKFLSLCLD HELPPCLRLIIRSKVSPEINVSHMSMGDQLVQVFCECLLELPMVLSLNLRNNRLTDVG IPAVVRVVAKKHDLCYLDLSENKVDGEGSSALASYMATPSCGLSTLILSHCDIDDGEV VAFAKALCTNRTCHTLDLSRNIIGSNEALNVVQPDLVTGGEALAEMLATNGHLTVLNL SWNFLRLNSAVELGRALAQNNTLKELNLSYNAFGNDGAQAIGCALQRNICVESLDMSH NNIPSKAAFVIAQSLHHNDTLTSLAMDGNPLGRIGGATLLQAISTAYNKTLNISLLGC NFDIDDSSGFDPTNATGSYDLNMDVPYERAIALELLRLANTQKGCKFLSFNHILDKTT HAIPVEKREVNKARAKLVTRRATHAVLRGRMAPDKLDTLFKELDADGSGSIEASELHK GMHAQGLHLSLNEAKQMVGRYDLDGTGTIEFPEFLDLMSQYYFDDKPVTEWVDTSTGM PLEVPTSGRLKCEFLDLHIPSEADETVSKAGVQLLIENIAKDPNQIELIQLAKQNMHL RQSEAQLLLDTMVTKMDIVDALVMLLPQVVDANHACPLIELNTTPAQRLRLQAHLREM FGPIVGMATGHYSLDLGDDHDRASFKKIMELNNKLMYYRRTKNLKDTSQHENYMCFRN ELYNNKPMVMTPSFYDTLPNYGSLDFDFVQFSRPMPDILPMSDNRFKQLVAKLYLDRM ELAVPLSFRRAPTPAVRTLNPKVYDELIDLQRFRTRIPELYNRDIATPIEFTPPKEQH DITPERVKYSGRRLLLELQALFCSRWITTRQALYVLAKWPLAFGTTKVDAALMLFDRI LDLYNYSQVFTALVDSEVAQLIFRLGWLNLWSPLIPEMYYELDLTIYEQREVTKVLVQ LAMDEPGENWQGATFGWDRDSPMPGWVLNMSWLTPGNFPQKGYLRVEYYSGADKGCSP VWASRHTSAQNVLAELPKQFDVFLAHREALRRLGRRGSSGENAPKIIDPLDPNAPIDE LLPETEDSLNPPTTSFM H257_16757 MSASVVELHGDVYIKFAPPGTSKRHSCKSCDKFTPSCVQCKKRR RKHKRQAAARRTSIWETASLNRTWEIPDEIKLQLPLVATEKSDGVPARDTFLEWSGLC LPPPPSAVRHHHHYNQDGGHDLTNQSHVATRSAEAHTLSESQRNSYFGATGAASMKAI YSKLASQRYLQTGGTDGLVDKFKSMLASQSEPSPDAIGSLNSNEAFTARHKFLSLCLD HELPPCLRLIIRSKVSPEINVSHMSMGDQLVQVFCECLLELPMVLSLNLRNNRLTDVG IPAVVRVVAKKHDLCYLDLSENKVDGEGSSALASYMATPSCGLSTLILSHCDIDDGEV VAFAKALCTNRTCHTLDLSRNIIGSNEALNVVQPDLVTGGEALAEMLATNGHLTVLNL SWNFLRLNSAVELGRALAQNNTLKELNLSYNAFGNDGAQAIGCALQRNICVESLDMSH NNIPSKAAFVIAQSLHHNDTLTSLAMDGNPLGRIGGATLLQAISTAYNKTLNISLLGC NFDIDDSSGFDPTNATGSYDLNMDVPYERAIALELLRLANTQKGCKFLSFNHILDKTT HAIPVEKREVNKARAKLVTRRATHAVLRGRMAPDKLDTLFKELDADGSGSIEASELHK GMHAQGLHLSLNEAKQMVGRYDLDGTGTIEFPEFLDLMSQYYFDDKPVTEWVDTSTGM PLEVPTSGRLKCEFLDLHIPSEADETVSKAGVQLLIENIAKDPNQIELIQLAKQNMHL RQSEAQLLLDTMVTKMDIVDALVMLLPQVVDANHACPLIELNTTPAQRLRLQAHLREM FGPIVGMATGHYSLDLGDDHDRASFKKIMELNNKLMYYRRTKNLKDTSQHENYMCFRN ELYNNKPMVMTPSFYDTLPNYGSLDFDFVQFSRPMPDILPMSDNRFKQLVAKLYLDRM ELAVPLSFRRAPTPAVRTLNPKVYDELIDLQRFRTRIPELYNRDIATPIEFTPPKEQH DITPERVKYSGRRLLLELQALFCSRWITTRQALYVLAKWPLAFGTTKVDAALMLFDRI LDLYNYSQVFTALVDSEVAQLIFRLGWLNLWSPLIPEMYYELDLTIYEQREVTKVLVQ LAMDEPGNFLITLYRRGEKVPLGRNRGKLARGDVWMGSRLAHARVGPQHVVADPGEFS PKGISPRRVLLGRRQGLQSRVGQSPHVGPERSGRTSQAIRCISRPSGSFATSGTTGK H257_16757 MSASVVELHGDVYIKFAPPGTSKRHSCKSCDKFTPSCVQCKKRR RKHKRQAAARRTSIWETASLNRTWEIPDEIKLQLPLVATEKSDGVPARDTFLEWSGLC LPPPPSAVRHHHHYNQDGGHDLTNQSHVATRSAEAHTLSESQRNSYFGATGAASMKAI YSKLASQRYLQTGGTDGLVDKFKSMLASQSEPSPDAIGSLNSNEAFTARHKFLSLCLD HELPPCLRLIIRSKVSPEINVSHMSMGDQLVQVFCECLLELPMVLSLNLRNNRLTDVG IPAVVRVVAKKHDLCYLDLSENKVDGEGSSALASYMATPSCGLSTLILSHCDIDDGEV VAFAKALCTNRTCHTLDLSRNIIGSNEALNVVQPDLVTGGEALAEMLATNGHLTVLNL SWNFLRLNSAVELGRALAQNNTLKELNLSYNAFGNDGAQAIGCALQRNICVESLDMSH NNIPSKAAFVIAQSLHHNDTLTSLAMDGNPLGRIGGATLLQAISTAYNKTLNISLLGC NFDIDDSSGFDPTNATGSYDLNMDVPYERAIALELLRLANTQKGCKFLSFNHILDKTT HAIPVEKREVNKARAKLVTRRATHAVLRGRMAPDKLDTLFKELDADGSGSIEASELHK GMHAQGLHLSLNEAKQMVGRYDLDGTGTIEFPEFLDLMSQYYFDDKPVTEWVDTSTGM PLEVPTSGRLKCEFLDLHIPSEADETVSKAGVQLLIENIAKDPNQIELIQLAKQNMHL RQSEAQLLLDTMVTKMDIVDALVMLLPQVVDANHACPLIELNTTPAQRLRLQAHLREM FGPIVGMATGHYSLDLGDDHDRASFKKIMELNNKLMYYRRTKNLKDTSQHENYMCFRN ELYNNKPMVMTPSFYDTLPNYGSLDFDFVQFSRPMPDILPMSDNRFKQLVAKLYLDRM ELAVPLSFRRAPTPAVRTLNPKVYDELIDLQRFRTRIPELYNRDIATPIEFTPPKEQH DITPERVKYSGRRLLLELQALFCSRWITTRQALYVLAKWPLAFGTTKVDAALMLFDRI LDLYNYSQVFTALVDSEVAQLIFRLGWLNLWSPLIPEMYYGNFPHTAFIGILPHSRSG QSST H257_16758 MLREAGSWSTKLGQTTNDHRDGTKVLGKERVLQNGGQEHILAKN GIPAFVDITASGDENDATKNALLGWATIQADDKVYNATVLQLAGYTAEQGAVAVPVII RFEQSLAGVALNEFKEMEATILEINTSILSNYAFLSAQYNNATVSPSTTISRDHVKNT YLLRFALSLPTHGDSSMPYNVAAIFYSLEKRQFVCDFVAANASSRLSMKLHHCQKNRL FGVTVVDTCTWVQPDEASPVNIPDSTYYTVYHSGQVWGGRAMCWVKFTWRCALGVYIL WLMWRVYYRHYGPLVRNLRAIGLDTQCHTYQVKEYIVDVGDPKWLILSHPIVVVGMTV DCIVGAAYLGVATIRVTQVTDVTEFAVGCLYGSRTVWAAYLTMRFITPLVKRHCWERH FQPLDPGVMALTASLYAGPLVFIVCNSPLLLLFQPLLTVFLPPEHHYDAVDSELVTIG LLMLLASVPIVRSVWIPKLLRQYSIKQGGPTTLRSHVASSQFNDLKQRILFGLLRRPV CSVDQVGGSLHSLLDECCRVQRLPLFSPRGADCFVTCVPPTTNDTTVTVKQVRLSLIH SLDLRAHDRDDIATSSIKICDKAPCVYCRGCLHCELFALCDKVSGQVEPMRSRWRVQV PMDDVIIYKTQAVQAAALDGLLPTSLFDKPVSSSGRSSVVADHFIIYPNKKMSM H257_16759 MVIKAGVMYKHNSPLLWWRPRWTPYVYTLTNEHDLQACDVHSGN VVAVVALADCQLAYSCVKSHADIEWSECSKPKQTNNEGLPWRLRLDSSKRFRGSGIVL AMASERDWVEWIEALKSIPSMTTTTTTDDKVATTSSTDPRRSSSCTTHRTATGRNTQT ILLKSAINQSLEQYEPTKPTRSTSAK H257_16760 MSIINLDDNVKQGVLYKRGAGGFLKRKNWKRRYFQLNDGELRYY DTTSGVQKGVVRLSTNDFVEIMPRDCYKTGTSASTEWRLAVNTPSRRFFLSASTEYDM YQWADAITRALPASRRKACANDGHTARPRMCATIYCK H257_16761 MGCNQSTAATNATAPAECSHHHVVSKYSVEASNRSLLRIADHMD ELKDAVPDEVVDKWGWLVEQLVAKGETNDSDGVATMADQGSPPSSEAPDDDTEIVRSV GQPAHVDATPATTIIPKLAESGDVAPPSHVQGETDDSNVEATQDVVDGLVHEVIQGAL LESATSQSNQTTPTLDTAEHPIVEQHVESVESTASESQEIVANHPIVEQHVQSVEFTA SESPEIVESPASYHAIPSVPYDQQGHTTTLPLSPHDQPPQHEHVDSIVVDDVALGEAS LTSTTYESPSPRVETNCLSVESHATTGSHNDTTSQLVQVQPPESEPTLCQPPTSKSWA GVTGAPPTFEIRGTIVEGGVVKYIICQVPNGSAKPVHRRFNEFKLLHATLTPIMAIQR GDQLPVKIPPIPQGGFYTRLYRQNQTLINERSLAFEALLNAIAAHPLASERVEFLQFI A H257_16761 MGCNQSTAATNATAPAECSHHHVVSKYSVEASNRSLLRIADHMD ELKDAVPDEVVDKWGWLVEQLVAKGETNDSDGVATMADQGSPPSSEAPDDDTEIVRSV GQPAHVDATPATTIIPKLAESGDVAPPSHVQGETDDSNVEATQDVVDGLVHEVIQGAL LESATSQSNQTTPTLDTAEHPIVEQHVESVESTASESQEIVANHPIVEQHVQSVEFTA SESPEIVESPASYHAIPSVPYDQQGHTTTLPLSPHDQPPQHEHVDSIVVDDVALGEAS LTSTTYESPSPRVETNCLSVESHATTGSHNDTTSQLVQVQPPESEPTLCQPPTSKSWA GVTGAPPTFEIRGTIVEGGVVKYIICQVPNGSAKPVHRRFNEFKLLHATLTPIMAIQR GDQLPVKIPPIPQGGFYTRLYRQNQTLINERSLAFEALLNAIAAHPLASERVEFLQFI A H257_16761 MGCNQSTAATNATAPAECSHHHVVSKYSVEASNRSLLRIADHMD ELKDAVPDEVVDKWGWLVEQLVAKGETNDSDGVATMADQGSPPSSEAPDDDTEIVRSV GQPAHVDATPATTIIPKLAESGDVAPPSHVQGETDDSNVEATQDVVDGLVHEVIQGAL LESATSQSNQTTPTLDTAEHPIVEQHVESVESTASESQEIVANHPIVEQHVQSVEFTA SESPEIVESPASYHAIPSVPYDQQGHTTTLPLSPHDQPPQHEHVDSIVVDDVALGEAS LTSTTYESPSPRVETNCLSVESHATTGSHNDTTSQLVQVQPPESEPTLCQPPTSKSWA GVTGAPPTFEIRGTIVEGGVVKYIICQVPNGSAKPVHRRFNEFKLLHATLTPIMAIQR GDQLPVKIPPIPQGGFYTRLYRQNQTLINERSLAFEALLNAIAAHPLASERVEFLQFI A H257_16762 MGCSQSNLPTGPVPSDVDASTVNTNPVLEDFPVVLPDKVAEIPA KAADGHSNDAAAVDVLETENNGVPTQMEPAKAYVILDEVVYVKGVVHYTVQNQSGQTV HKRYSEFKKLHDSLCKTPSCEDMPQAGVWTSLQRSNPALIANRRAKFEVLLNTWASDD ETKQVLAAFMNAAA H257_16763 MSSVVLFCVLVALATNFPASVSAIDVSVLGVPGTFHVPSGVSCG GSDLTRIGACPGPQPRLEFGSCCQALPNRPLLVLGCVPRLAATSGVHDPGKASFHHPR KHKY H257_16764 MPLRRGRRPMLWVGLLAVVLFAPGPTRAMCANACSGHGFCNSFN VCDCNRGFTGGDCSLLRCPVGKAWGLITATDTAHQMAECSGRGTCVTSSGTCSCQNGF EGSACQHVICLESCSSRGRCITMEQLASDPLTALNLLDKPPLEYSSYVMWDADMIRGC LCDDGYGGYNCLIDRCHGGDDPLTTGQTEEIQLVTCTASYLQHTIALQYDTTPTAGTF VLKFGRLRTSPINFNAPASAALGTSMTEMLQTLPTIPAVTVARRSTATATFWDLSFAP SSVEQHSFQPRWRVVEVQSFFCAADSGYLSLLYNKYLFGNIPSTALASDLKLKLESYV KIGTVDVTYSSGTTLCRASGNQVTIAFTLMRDRDFIGDLPALVVDAANQGQRNGLFLG GLAPTVDAVATEIVKGIDTCHRVEVQSLTCGATSGFFSLSFEGRNVSNLPYTIAAADL RLAMLASGNQVTIAFTLMRDRDFIGDLPALVVDAANQGQRNGLFLGGLAPTVDAVATE IVKGIDTCHRVEVQSLTCGATSGFFSLSFEGRTVSNLPYTIAAADLRLAMLGAFPSLI DIDVTYSSGTEACDSVGAGTVITISYVVVSTNGPSGNGDLSALTADRTNGGTSGLAHA SPNLLQLTASAVEVTKGARCVPLSSTFVSAPTQQITSTVVLGGGEFSLGFRGQSTGPI PATARPSQVVAALTKLPAISGVDVTFTTGEACATPANVIKLVFTQEFGNVPTVTADAR GSPVTVQVFASGAVDPVTSLASTDGTKEVVECSGRGTCDYSKGGACKCYTGYIASNGR GLPATSLIRRDDCGAMAVTIASCPGDVPCSGHGTCSNEPMFKCTCAVGWRNGDCSERE CPHGLSWFSYPLVNNVAHRDFAECSNVGLCDRTTALCQCSPPYVGPSCALMACGGSGN ECSGHGQCLTLRQLAPLVRSNGVVAGFTFGDDPNNPWTWEAEKIQSCLCDPPFYGYDC SLVDCPHGDDPNTYLDVQEVQQVQCIASGGSFTLTFREQTTASIAWNANLATVKQALE ALQSIESVVLTFSGSSTTVCTATTPGVTTTIRFVYELGALPCLQNDKSQLLDAVQGDG TPGTGTVNVNCGGETLLGQYLSVVGTREDAVCSNHGVCDTQVGVCRCDPFYASSDGLG GAGLRGDCSFRTAMAKGGGGGGSSAG H257_16765 MVAMAQGTHRHMGDDGNEEVLVVRRGLLFSSGQIEARHFGHDTT TSAHHRQHWALRYVELSTDHMLRFYTTPGGAITSSVNVNHCQNVHTAVLDTPAVSGRR LTSAWRVHVQVDSTQSIVLGALSESSMKGWATALQAQCIRPLGQTPSIKPNVPRTVAA IETIAKVWRQLFPPPSPTTSASHERRKRYHYQRTMSATR H257_16766 MPTSVGSSRRSTSAPVALEVWTCPELTALIFQFQQGVPGSFLPL AQSLHMYRQCPPLLMDSFGVWMSCHTLDDLKRFCRLCLHLVSAPVMDQAAAMGHLPAL MLLHTLGVDCSSRAMNLAAKEGHLDVVTFLHAHRSEGATTSAMNWAAEYGHFEVVQFL HRHRTEGCTKGAMTRAAKAGHLNIVEFLHFHRNEGGYRDMLHGAVAAGHVHVVDFLTS YRKEEPCSPLAMDDAARLGHLTMVQYLHSHRFEGCTAQALVGAAANGHAKVVQYLLSN KSHYVLKPALALTSSAAAGQLKIVQKLSPFVDAKACRDAVVAAAKHNHFDILEWFHQE RPDVVDKVRRKLYIQGDTSNVSTALARVAASIAQDQ H257_16766 MPTSVGSSRRSTSAPVALEGVPGSFLPLAQSLHMYRQCPPLLMD SFGVWMSCHTLDDLKRFCRLCLHLVSAPVMDQAAAMGHLPALMLLHTLGVDCSSRAMN LAAKEGHLDVVTFLHAHRSEGATTSAMNWAAEYGHFEVVQFLHRHRTEGCTKGAMTRA AKAGHLNIVEFLHFHRNEGGYRDMLHGAVAAGHVHVVDFLTSYRKEEPCSPLAMDDAA RLGHLTMVQYLHSHRFEGCTAQALVGAAANGHAKVVQYLLSNKSHYVLKPALALTSSA AAGQLKIVQKLSPFVDAKACRDAVVAAAKHNHFDILEWFHQERPDVVDKVRRKLYIQG DTSNVSTALARVAASIAQDQ H257_16766 MPTSVGSSRRSTSAPVALEVWTCPELTALIFQFQQGVPGSFLPL AQSLHMYRQCPPLLMDSFGVWMSCHTLDDLKRFCRLCLHLVSAPVMDQAAAMGHLPAL MLLHTLGVDCSSRAMNLAAKEGHLDVVTFLHAHRSEGATTSAMNWAAEYGHFEVVQFL HRHRTEGCTKGAMTRAAKAGHLNIVEFLHFHRNEGGYRDMLHGAVAAGHVHVVDFLTS YRKEEPCSPLAMDDAARLHGSSFGWSSSQWSRQGGPISFVE H257_16767 MLHSIDLGNRGVLMKLLFYCGMYELMDGPPIERLLLVEPSERLH VGQALQHPYFAVTSSATVNRQTSSPSMGAIGGAAGGSTTPPSRPDIKTELLCGMYEAS SASVPTGR H257_16768 MHATPTTVPVMIKEGSLFKKGAGGGLLHRSNWKRRYFKLTDNEL LYFDPTDGHLKGALNLSACGHGSLEIQPEDCTKTGTSASSGVWRFALRTPSRRLVLAA KTEDDMTAWIQALQVVLDANEVKSTHIQQRMPSTL H257_16769 MDSPSSQRPMNMTNSKSSQSRPSSPRLVKAGTAFKKGSGGGFLI HRHNWKPRYLVLTLDALLYFDHQDGHLKGSVDLSDVYDTLALEIMPKDCHKTGHSAAS EWRLRINTPSRQFVMAASCERDMREWVEALLSVFRANERRRSDTNRRQQATSSAVSSQ WTTNHPQVLCGTTMTF H257_16770 MSTDQIVKRGTLFKKSSGNGFFKRYHWTPRHCILTTASLSYYTH QGGAWKGSIDLTECTLQSLEAMPADCPKTGRSVATGWRIAIQTPTKRYFIAATCERDM HEWLQALKEVVLVNEKRRRRLSKITSPPLLPSCRVNLFRLWFKNI H257_16771 MSTDQIVKRGTLFKKSSGNGFFKRYHWTPRHCILTTASLSYYTH QGGAWKGSIDLTECTLQSLEAMPADCPKTGRSVATGWRIAIQTPSKRYFIAATCERDM HEWLQALKEVVLLGH H257_16772 MNVKCGTLFKKSSGNGFFKRYHWTPRHCILTTTSLSYYTHQGGA WKGSIDLTECTLQSLEEMPADCPKTGRSVATGWRIAIQTPTKRYFIAATCERDMHEWL QALKVVIKINEMQWMKTPTAAKRVVQQRTTQCGVVR H257_16773 MDIMTVSTLLILQFTMNVKCGTLFKKSSGNGFFKRYHWTPRHCI LTTTSLSYYTHQGGAWKGSIDLTECTLQSLEEMPADCPKTGRSVATGWRIAIQTPTKR YFIAATCERDMHEWLQALKVVIKINEMQWMKTPTAAKRVVQQRTTQCGVVR H257_16774 FPPDDKMRWAMPTTVLLVLAALSCVASLGQRQSPIDLMPAQAQV ARNNNGNLLQIHLGSAPGTLVHKGNTVQVDWSAGPKSRLVLDGNSYKALQLHFHAGSD HRVNGHQFPLEMHIVHQSVTDPTQLAVVGVLFEVSTHMNPFLTQFFPLLPQHPSGKMP PIKQLRGKLLGIHRGHQFYRYSGSLTAGSFSENVEWVVLSTPQPISHEQLNTYLRLFP KSSARDTQPLHGRVVTLVEL H257_16775 MPCNEAQVLGTAMTRLLSVVRACPPSGAAVRISRRCHIGDFSIL SDFCKKQVGQPPDEAVAFGATVQAAILSGNDSSDRLQDLLFLHVTPLSLGLKQPATFS TDNQPGALIQVFEGERSMTRDNNLLGKFSFDGIPPMHRGVPQVDVTFDIDANGILNVS TIEKSTGKEITITNAKGRLSKDDIIERMNGLENYAYNLRNTLNVEKLQGKLDEADKKV MEDKVTETIKWLDATKEEYEAKQKELEGVANPIMQKLYAAGGVPDFGAASAQGPKIKR HASIYNLMEPFLHLN H257_16777 MMFFVDIRSGIHILFGRSIHRQHNRHTSMLGHLVKQYNALEHNV RLTYLYSAFFWSSRSIILDQVLAGYIYVLTGSNEPVGMVTGINGLVRMLVTFPAGYAS DRFRRDTVLKFAGVLGLICAAMSLSAYISGHMLLLYVAYACWGGYFAIQRPATEALFA DSIPNGQREGPMTTKYILMNVAGTLGPVASIVFFYIYGDSWSLSGLQIVLCGGMIVAI PGLAVLFFFNDDLAYENSRRANNRLSIIEDGELSDLDVTPRGKERTLLLQNDSDNEPP ECMYALHRMIIISLMSR H257_16778 MALEHSFRSKSFAKCWRTLSSIAAFMQQQQQTGSTEHSFLVAID VMFVVAATYWLVCIRPMPKTYLSEIIFPLQFYLRGVASLWLTLVTALVCVYTLGWGGC PDLDERPVALLTTDTAAWGVSTLLIWMEHRRGLRTSPLLLGFWLLRWSLLTKSLWMRV TPQVVGSDSPPFVVVLHMGCLFLCQSVLASAGIWPTRQLTSSSPEVLALARAHIISFS GKSLVHRSTALHSGFSLHQQQHPTPTSYGSIGQAKTLSCATTPQHDVTLPCHPTLKIC IPSWTVSRRSESSYVSYKILIMSGHDETWSVRRRYSDFAHLRRGLPSWMTDHVPFPDK SHLQRFAPQVIDTRRALLESFLNSVVAHPDFDARASLALCDFLDLEYIANPNDYLV H257_16778 MALEHSFRSKSFAKCWRTLSSIAAFMQQQQQTGSTEHSFLVAID VMFVVAATYWLVCIRPMPKTYLSEIIFPLQFYLRGVASLWLTLVTALVCVYTLGWGGC PDLDERPVALLTTDTAAWGVSTLLIWMEHRRGLRTSPLLLGFWLLRWSLLTKSLWMRV TPQVVGSDSPPFVVVLHMGCLFLCQSVLASAGIWPTRQLTSSSPEVLALARAHIISFS GKSLVHRSTALHSGFSLHQQQHPTPTSYGSIGQAKTLSCATTPQHDVTLPCHPTLKIC IPSWTVSRRSESSYVSYKILIMSGHDETWSVRRRYSDFAHLRRGLPSWMTDHVPFPDK SHLQRFAPQVIDTRRALLESFLNSVVAHPDFDARASLALCDFLDLEYIANPNDYLV H257_16778 MALEHSFRSKSFAKCWRTLSSIAAFMQQQQQTGSTEHSFLVAID VMFVVAATYWLVCIRPMPKTYLSEIIFPLQFYLRGVASLWLTLVTALVCVYTLGWGGC PDLDERPVALLTTDTAAWGVSTLLIWMEHRRGLRTSPLLLGFWLLRWSLLTKSLWMRV TPQVVGSDSPPFVVVLHMGCLFLCQSVLASAGIWPTRQLTSSSPEVLALARAHIISFS GKSLVHRSTALHSGFSLHQQQHPTPTSYGSIGQAKTLSCATTPQHDVTLPCHPTLKIC IPSWTVSRRSESSYVSYKILIMSGHDETWSVRRRYSDFAHLRRGLPSWMTDHVPFPDK SHLQRFAPQVIDTRRALLESFLNSVVAHPDFDARASLALCDFLDLEYIANPNDYLV H257_16778 MALEHSFRSKSFAKCWRTLSSIAAFMQQQQQTGSTEHSFLVAID VMFVVAATYWLVCIRPMPKTYLSEIIFPLQFYLRGVASLWLTLVTALVCVYTLGWGGC PDLDERPVALLTTDTAAWGVSTLLIWMEHRRGLRTSPLLLGFWLLRWSLLTKSLWMRV TPQVVGSDSPPFVVVLHMGCLFLCQSVLASAGIWPTRQLTSSSPEVLALARAHIISFS GKSLVHRSTALHSGFSLHQQQHPTPTSYGSIGQAKTLSCATTPQHDVTLPCHPTLKIC IPSWTVSRRSESSYVSYKILIMSGHDETWSVRRRYSDFAHLRRGLPSWMTDHVPFPDK SHLQRFAPQVIDTRRALLESFLNVL H257_16778 MALEHSFRSKSFAKCWRTLSSIAAFMQQQQQTGSTEHSFLVAID VMFVVAATYWLVCIRPMPKTYLSEIIFPLQFYLRGVASLWLTLVTALVCVYTLGWGGC PDLDERPVALLTTDTAAWGVSTLLIWMEHRRGLRTSPLLLGFWLLRWSLLTKSLWMRV TPQVVGSDSPPFVVVLHMGCLFLCQSVLASAGIWPTRQLTSSSPEVLALARAHIISFS GKSLVHRSTALHSGFSLHQQQHPTPTSYGSIGQAKTLSCATTPQHDVTLPCHPTLKIC IPSWTVSRRSESSYVSYKILIMSGHDETWSVRRRYSDFAHLRRGLPSWMTDHVPFPDK SHLQRFAPQVIDTRRALLESFLNVL H257_16778 MALEHSFRSKSFAKCWRTLSSIAAFMQQQQQTGSTEHSFLVAID VMFVVAATYWLVCIRPMPKTYLSEIIFPLQFYLRGVASLWLTLVTALVCVYTLGWGGC PDLDERPVALLTTDTAAWGVSTLLIWMEHRRGLRTSPLLLGFWLLRWSLLTKSLWMRV TPQVVGSDSPPFVVVLHMGCLFLCQSVLASAGIWPTRQLTSSSPEVLALARAHIISFS GKSLVHRSTALHSGFSLHQQQHPTPTSYGSIGQAKTLSCATTPQHDVTLPCHPTLKIC IPSWTVSRRSESSYVSYKILIMSGHDETWSVRRRYSDFAHLRRGLPSWMTDHVPFPDK SHLQRFAPQVIDTRRALLESFLNVL H257_16778 MALEHSFRSKSFAKCWRTLSSIAAFMQQQQQTGSTEHSFLVAID VMFVVAATYWLVCIRPMPKTYLSEIIFPLQFYLRGVASLWLTLVTALVCVYTLGWGGC PDLDERPVALLTTDTAAWGVSTLLIWMEHRRGLRTSPLLLGFWLLRWSLLTKSLWMRV TPQVVGSDSPPFVVVLHMGCLFLCQSVLASAGIWPTRQLTSSSPEVLALARAHIISFS ATSHPHKLWFDWPSQNLELCYYPPARCHVALPPNVEDLHSKLDSVTEVRVFVCVVQDS HHVGARRDLECAPSVQRLCPFAPWAPVMDDRPCALSRQIALAALCTSGH H257_16778 MALEHSFRSKSFAKCWRTLSSIAAFMQQQQQTGSTEHSFLVAID VMFVVAATYWLVCIRPMPKTYLSEIIFPLQFYLRGVASLWLTLVTALVCVYTLGWGGC PDLDERPVALLTTDTAAWGVSTLLIWMEHRRGLRTSPLLLGFWLLRWSLLTKSLWMRV TPQVVGSDSPPFVVVLHMGCLFLCQSVLASAGIWPTRQLTSSSPEVLALARAHIISFS ATSHPHKLWFDWPSQNLELCYYPPARCHVALPPNVEDLHSKLDSVTEVRVFVCVVQDS HHVGARRDLECAPSVQRLCPFAPWAPVMDDRPCALSRQIALAALCTSGH H257_16778 MALEHSFRSKSFAKCWRTLSSIAAFMQQQQQTGSTEHSFLVAID VMFVVAATYWLVCIRPMPKTYLSEIIFPLQFYLRGVASLWLTLVTALVCVYTLGWGGC PDLDERPVALLTTDTAAWGVSTLLIWMEHRRGLRTSPLLLGFWLLRWSLLTKSLWMRV TPQVVGSDSPPFVVVLHMGCLFLCQSVLASAGIWPTRQLTSSSPEVLALARAHIISFS ATSHPHKLWFDWPSQNLELCYYPPARCHVALPPNVEDLHSKLDSVTEVRVFVCVVQDS HHVGARRDLECAPSVQRLCPFAPWAPVMDDRPCALSRQIALAALCTSGH H257_16778 MALEHSFRSKSFAKCWRTLSSIAAFMQQQQQTGSTEHSFLVAID VMFVVAATYWLVCIRPMPKTYLSEIIFPLQFYLRGVASLWLTLVTALVCVYTLGWGGC PDLDERPVALLTTDTAAWGVSTLLIWMEHRRGLRTSPLLLGFWLLRWSLLTKSLWMRV TPQVVGSDSPPFVVVLHMGCLFLCQSVLASAGIWPTRQLTSSSPEVLALARAHIISFS ATSHPHKLWFDWPSQNLELCYYPPARCHVALPPNVEDLHSKLDSVTEVRVFVCVVQDS HHVGARRDLECAPSVQRLCPFAPWAPVMDDRPCALSRQIALAALCTSGH H257_16778 MALEHSFRSKSFAKCWRTLSSIAAFMQQQQQTGSTEHSFLVAID VMFVVAATYWLVCIRPMPKTYLSEIIFPLQFYLRGVASLWLTLVTALVCVYTLGWGGC PDLDERPVALLTTDTAAWGVSTLLIWMEHRRGLRTSPLLLGFWLLRWSLLTKSLWMRV TPQVVGSDSPPFVVVLHMGCLFLCQSVLASAGIWPTRQLTSSSPEVLALARAHIISFS GKSLVHRSTALHSGFSLHQQQHPTPTSYGSIGQAKTLSCATTPQHDVTLPCHPTLKIC IPSWTVSRRSESSYVSYKILIMSGHDETWSVRRRYSDFAHLRRGVLYFVAPTFISEYY IHVQQLVRLRSSRHG H257_16778 MALEHSFRSKSFAKCWRTLSSIAAFMQQQQQTGSTEHSFLVAID VMFVVAATYWLVCIRPMPKTYLSEIIFPLQFYLRGVASLWLTLVTALVCVYTLGWGGC PDLDERPVALLTTDTAAWGVSTLLIWMEHRRGLRTSPLLLGFWLLRWSLLTKSLWMRV TPQVVGSDSPPFVVVLHMGCLFLCQSVLASAGIWPTRQLTSSSPEVLALARAHIISFS GKSLVHRSTALHSGFSLHQQQHPTPTSYGSIGQAKTLSCATTPQHDVTLPCHPTLKIC IPSWTVSRRSESSYVSYKILIMSGHDETWSVRRRYSDFAHLRRGVLYFVAPTFISEYY IHVQQLVRLRSSRHG H257_16779 MLSKLWTYTRDAEHNVKLSYLFTITFWSCRSIIFQQVLSGYVYV LTQSNEPVGMVKGIQGVVQMISAVPGGWACDHFRRDTILKISSVLGIFCALLSVVAFY MGHLMMIYVAFGFWGVFSALQGPALEALFADSIPNGERSFPITIKHMLMNTAMVAGPG LCIVFFLIYGDSWSLEGLQNVLIIGTVIGVPPLVLLFFFNDDLAYENYTKSSLEKPRA LSFVDEDGVLEFAVADDDVDANPRASEASKLLAGSPVAAASDSTSPSSAAPTTTLLAD NTFLCFGPRHVPYLLFLADFVICNGAGMTVSFFPVFFQNDYGLTPSQVNILYFVQPLL IVVLSYITQRLSTRTGTIETVVVTRIFSTLCLGAMAFVTPLSLEIGLFLLRSGFMRCS EPLRTSLMMDYVPQHLRGRWNSLEGLTQFTYSGSAVVGGYLIERHGYRLCFFITAVIY VVGVAIECLLVPIIRNHHRKLQAKSAVVKLVAA H257_16779 MLSKLWTYTRDAEHNVKLSYLFTITFWSCRSIIFQQVLSGYVYV LTQSNEPVGMVKGIQGVVQMISAVPGGWACDHFRRDTILKISSVLGIFCALLSVVAFY MGHLMMIYVAFGFWGVFSALQGPALEALFADSIPNGERSFPITIKHMLMNTAMVAGPG LCIVFFLIYGDSWSLEGLQNVLIIGTVIGVPPLVLLFFFNDDLAYENYTKSSLEKPRA LSFVDEDGVLEFAVADDDVDANPRASEASKLLAGSPVAAASDSTSPSSAAPTTTLLAD NTFLCFGPRHVPYLLFLADFVICNGAGMTVSFFPVFFQNDYGLTPSQVNILYFVQPLL IVVLSYITQRLSTYDYLSIYI H257_16780 MVRRYLQQLDHNVKLSFLFTFAFWSSRSIVFEQILSGYIFVLTS SNEPVGYIKGVQGIVQLLAAVPGGYASDHCRRDTILKLSAGTGLSCAFLTLYALYMNH MPLLYVVFALWGLFFAIQVPALEALFADSIPQGKRAYPITIKCVLTTVATIVGPCICV VLFQVEGDSWNLWELQLVLMVGMSLGIPPLFLLFFFNDDLAYENSIKTAVVAAKETQP LVALEAPSSASNMESEHNTFLWFGPRHVPYLLFTSDFIICNGAGLTINFFPVFFQHDY NLTPSQVNLLWVAQPLLVVVLSLVCQRCATWCGDIETIVATRFFATGCLALMTYATPL SLVVALFLMRSGFMRCSEPLRTSLLMDYVPQHLRGRWNALEGLTMFTYSGSAMVGGYL IEHHGYRYCFLITAAIYGIGLLAELLLLPIIRNDPKSQSKLRNH H257_16780 MVRRYLQQLDHNVKLSFLFTFAFWSSRSIVFEQILSGYIFVLTS SNEPVGYIKGVQGIVQLLAAVPGGYASDHCRRDTILKLSAGTGLSCAFLTLYALYMNH MPLLYVVFALWGLFFAIQVPALEALFADSIPQGKRAYPITIKCVLTTVATIVGPCICV VLFQVEGDSWNLWELQLVLMVGMSLGIPPLFLLFFFNDDLAYENSIKTAVVAAKETQP LVALEAPSSASNMESEHNTFLWFGPRHVPYLLFTSDFIICNGAGLTINFFPVFFQHDY NLTPSQVNLLWVAQPLLVVVLSLVCQRCATWCGDIETIVATRFFATGCLALMTYATPL SLVVALFLMRSGFMRCSEPLRTSLLMDYVPQHLRGRWNALEGLTMFTYSGSAMVGGYL IEHHGYRYCFLITAAIYGIGLLAELLLLPIIRNDPKSQSKLRNH H257_16780 MVRRYLQQLDHNVKLSFLFTFAFWSSRSIVFEQILSGYIFVLTS SNEPVGYIKGVQGTGLSCAFLTLYALYMNHMPLLYVVFALWGLFFAIQVPALEALFAD SIPQGKRAYPITIKCVLTTVATIVGPCICVVLFQVEGDSWNLWELQLVLMVGMSLGIP PLFLLFFFNDDLAYENSIKTAVVAAKETQPLVALEAPSSASNMESEHNTFLWFGPRHV PYLLFTSDFIICNGAGLTINFFPVFFQHDYNLTPSQVNLLWVAQPLLVVVLSLVCQRC ATWCGDIETIVATRFFATGCLALMTYATPLSLVVALFLMRSGFMRCSEPLRTSLLMDY VPQHLRGRWNALEGLTMFTYSGSAMVGGYLIEHHGYRYCFLITAAIYGIGLLAELLLL PIIRNDPKSQSKLRNH H257_16780 MVRRYLQQLDHNVKLSFLFTFAFWSSRSIVFEQILSGYIFVLTS SNEPVGYIKGVQGTGLSCAFLTLYALYMNHMPLLYVVFALWGLFFAIQVPALEALFAD SIPQGKRAYPITIKCVLTTVATIVGPCICVVLFQVEGDSWNLWELQLVLMVGMSLGIP PLFLLFFFNDDLAYENSIKTAVVAAKETQPLVALEAPSSASNMESEHNTFLWFGPRHV PYLLFTSDFIICNGAGLTINFFPVFFQHDYNLTPSQVNLLWVAQPLLVVVLSLVCQRC ATWCGDIETIVATRFFATGCLALMTYATPLSLVVALFLMRSGFMRCSEPLRTSLLMDY VPQHLRGRWNALEGLTMFTYSGSAMVGGYLIEHHGYRYCFLITAAIYGIGLLAELLLL PIIRNDPKSQSKLRNH H257_16780 MVRRYLQQLDHNVKLSFLFTFAFWSSRSIVFEQILSGYIFVLTS SNEPVGYIKGVQGIVQLLAAVPGGYASDHCRRDTILKLSAGTGLSCAFLTLYALYMNH MPLLYVVFALWGLFFAIQVPALEALFADSIPQGKRAYPITIKCVLTTVATIVGPCICV VLFQVEGDSWNLWELQLVLMVGMSLGIPPLFLLFFFNDDLAYENSIKTAVVAAKETQP LVALEAPSSASNMESEHNTFLWFGPRHVPYLLFTSDFIICNGAGLTINFFPVFFQHDY NLTPSQVNLLWVAQPLLVVVLSLVCQRCATY H257_16780 MVRRYLQQLDHNVKLSFLFTFAFWSSRSIVFEQILSGYIFVLTS SNEPVGYIKGVQGIVQLLAAVPGGYASDHCRRDTILKLSAGTGLSCAFLTLYALYMNH MPLLYVVFALWGLFFAIQVPALEALFADSIPQGKRAYPITIKCVLTTVATIVGPCICV VLFQVEGDSWNLWELQLVLMVGMSLGIPPLFLLFFFNDDLAYENSIKTAVVAAKETQP LVALEAPSSASNMESEHNTFLWFGPRHVPYLLFTSDFIICNGAGLTINFFPVFFQHDY NLTPSQVNLLWVAQPLLVVVLSLVCQRCATY H257_16780 MNQWVISKAFKALSSCWQQCQAATPVTTAAATLFSSCPQNHMPL LYVVFALWGLFFAIQVPALEALFADSIPQGKRAYPITIKCVLTTVATIVGPCICVVLF QVEGDSWNLWELQLVLMVGMSLGIPPLFLLFFFNDDLAYENSIKTAVVAAKETQPLVA LEAPSSASNMESEHNTFLWFGPRHVPYLLFTSDFIICNGAGLTINFFPVFFQHDYNLT PSQVNLLWVAQPLLVVVLSLVCQRCATWCGDIETIVATRFFATGCLALMTYATPLSLV VALFLMRSGFMRCSEPLRTSLLMDYVPQHLRGRWNALEGLTMFTYSGSAMVGGYLIEH HGYRYCFLITAAIYGIGLLAELLLLPIIRNDPKSQSKLRNH H257_16780 MNHMPLLYVVFALWGLFFAIQVPALEALFADSIPQGKRAYPITI KCVLTTVATIVGPCICVVLFQVEGDSWNLWELQLVLMVGMSLGIPPLFLLFFFNDDLA YENSIKTAVVAAKETQPLVALEAPSSASNMESEHNTFLWFGPRHVPYLLFTSDFIICN GAGLTINFFPVFFQHDYNLTPSQVNLLWVAQPLLVVVLSLVCQRCATWCGDIETIVAT RFFATGCLALMTYATPLSLVVALFLMRSGFMRCSEPLRTSLLMDYVPQHLRGRWNALE GLTMFTYSGSAMVGGYLIEHHGYRYCFLITAAIYGIGLLAELLLLPIIRNDPKSQSKL RNH H257_16780 MNHMPLLYVVFALWGLFFAIQVPALEALFADSIPQGKRAYPITI KCVLTTVATIVGPCICVVLFQVEGDSWNLWELQLVLMVGMSLGIPPLFLLFFFNDDLA YENSIKTAVVAAKETQPLVALEAPSSASNMESEHNTFLWFGPRHVPYLLFTSDFIICN GAGLTINFFPVFFQHDYNLTPSQVNLLWVAQPLLVVVLSLVCQRCATWCGDIETIVAT RFFATGCLALMTYATPLSLVVALFLMRSGFMRCSEPLRTSLLMDYVPQHLRGRWNALE GLTMFTYSGSAMVGGYLIEHHGYRYCFLITAAIYGIGLLAELLLLPIIRNDPKSQSKL RNH H257_16781 MLQAEQCRVTMAMDHLERYRRTAVFALGRDASLVLREPNAGGQS VVSEALSMEYMHQMFGAVDVVTEMQIQYWSSNWKKVDYICTMHGQRIAVSVTRAMKFH KNEPFTTADAQVLLRKKLHGLVVAKTGVCRAQRYVKSILHIWCQTKAIADTIATCYEA IVAELEIVDNVVLMATVALEDGIFDNNLALVEPQ H257_16782 MWTTPWSHRVYLAIMTCAFYIECCYALLWEFLESPGSWHKYTEV RPLSEAIYGQILLCVDNSTGDAVAIKRAKAVNCKFHRPVSKSLHHMVAVYEDIEMERV ILRDLNHRHGGHPHILRLRDEFLANGCHNFVLEYCPQGDLMDMLLVQKRFPIDQTQRC FRQVASAVHFMHACGYAHRDLSFENVFVDGKGQCKLGDFGLAIGLDARPRHPAGKSSY AAPEVYMGKPYSPGHVDIWALGMLLFIMLTGEPMAEKAVVSNAKFQHLATHGVEYVIA ANATWHALVPPHCLTLLVQMLHLEPSKRLDIESVVAHPFVSTCA H257_16783 MGATKTSCATALAHQSHHDIATQPSAQHNMKGDCYRYMAEIHDG VTSSTKLALASYDKAWTLVTAELAPTHPLRLSLALKFWVLRSF H257_16784 MDRSSLIFLARLAEEAERYDEMAGHMKAVATNFDNELSSDEGNL IAVVFKNEMGTRRSAWRVLRNIQMKTQDTQQAATTQAYIQRIEDEVRTLCHDIVAMID DHLLPKASNIESQAFYHKMKGDYYRYLAEIAPSSDDGTSANLALESYEKARDLMSAEL PPTHPLRLSLALNFSVFYVEILNAPDRGALMAKQSFDDAMGELDMLSEDNYRDTTLIM QLLRDNMTLWMAIQA H257_16785 MELVWSEGDTKRVPLDDAVTHLKAARASSGKSIRSLLQGSSVQV GANSEPEANTKRAKYLETRRQYLQRIQEEKEYNKMLGKLSKPKRDSEMQKEMKSVTQH VSIGVNMIAAMATAFFVAYYISRSVTESETTRLLAGLAGAISMMMVEMVLYMARATKQ EELARIMRKHDT H257_16785 MELVWSEGDTKRVPLDDAVTHLKAARASSGKSIRSLLQGSSVQV GANSEPEANTKRAKYLETRRQYLQRIQEEKEYNKMLGKLSKPKRDSEMQKEMKSVTQH VSIGVNMIAAMATAFFVAYYISRSVTESETTVCSRIGMIRTSGEGSLWDASDCWLDWL GPSA H257_16786 MLGYHMQVDTTLSDPMHPHRCSIHPHANSVDNPRLKRVRWPMSS PFTLVILSSELMGTVGSYQHGIVVDMLPFLAFDPKRLQKDKALTHKMLTVAGVHKVLS TWLTTFGFDRLRLLFDRLGYMRFLVASDAVYFGNYPLLDALHTSFTLSSFRGNFLDLA ALANDLEMVRYLHERGHNGCTTAAMDAAAKCGNVNMVEYLDTHRSEGCTAHGLALATI HGHTAVARYLQDKGLAKYEKNWLMAALQRMRTRQN H257_16787 MDRDTLIFLARLAEVAERYGEMMDHMKAVAVNFEDELSTEDGNL IAVAFKNEMVSRRAAWRVIQAMESNAKDLDQAAAIHAYRLNIEGEVRELGQEVLATID DHILPKTTSVESQAFFHKMKGDYYRYMAEIDTQSNNESAKLGLASYDKAWSVVTTELP PTHPLRLGLALNFSVFYSDIMNSPDRAIQLAKQSFDDAIEDLEALSEDNYRDATLIMQ MLRDNVTLWLSSAA H257_16788 MDRGTMIFLARLAEEAERYDEMAEHMKAVAVNFEDELSTEEGNL IAVAFKNEISSRRAAWRVMRAIEAKVDDPKKAAAIQSYRQNIEQEVRDLSQEMVGILD DHILPKATAVEGEAFYHKMKGDYYRYMAEIDTQSNSGSAKLALASYDKAWSLMTTELP PTHPLRLGLALNFSVFYSDIMNSPDRAIRLAKQSFDDAIEELDALSEDNYRDTTLIMQ LLRDNVTLWSAQDEE H257_16789 MTGTIREGVEISLNEHRFLTYKLLESLSHTSANVNPAMLRSTND YFDMVVAKDKQLLRTVKQLNQHQTAQKELDALKAQIADKEQKIVRYAKELRSGQQSIA SVLAKHRRTLTQCAQDAASKVVLDPLDIVAYAHKIAGSTSAPPNWQPEYPMFGFVPPA PTPEMMRAGVLSRGSTSRATYFAVVLVPSTSFNPDIYLYILSDILVIEATVTKESSYE AFKKGQQADLASPLVEGGVGGAISYASLGGGAADNGLIPGWKEGEVELSVEALVKLRG LSVFAEYDVALPGHLRPTDPIPADALEALRVKVEAQRKRKAEHAAANNGPDAKKFKQG DAESSSSSSDNGASSDEESEESEAEKVQTIRWSLSDDEDSDD H257_16789 MTGTIREGVEISLNEHRFLTYKLLESLSHTSANVNPAMLRSTND YFDMVVAKDKQLLRTVKQLNQHQTAQKELDALKAQIADKEQKIVRYAKELRSGQQSIA SVLAKHRRTLTQCAQDAASKVVLDPLDIVAYAHKIAGSTSAPPNWQPEYPMFGFVPPA PTPEMMRAGVLSRGIIEATVTKESSYEAFKKGQQADLASPLVEGGVGGAISYASLGGG AADNGLIPGWKEGEVELSVEALVKLRGLSVFAEYDVALPGHLRPTDPIPADALEALRV KVEAQRKRKAEHAAANNGPDAKKFKQGDAESSSSSSDNGASSDEESEESEAEKVQTIR WSLSDDEDSDD H257_16790 MVSGFRMLGVAVALHPASSTSSNPATACKTMAESTCALLNADLT PFATQSTLTLSATSTTTAKSALSVDTLVDGAEAYSKAATQTLVNQVDAIRGYDQNWFR TSLHLMAMWGCASTLTDADKERCVGPNDPYAAMDTTGKACVQVMGPGNCSALGLCERK STCYWPPPVDNREPYFSQAELDAATQWVHAGYMESFVPFVIPGLFLSMVVLVATTAFV TCRCCLGRCYGSSPFKHGYSKCGKVVPALIFVFFSLVIAGLTAVAWTQNQMMSDGVQG AFQAMDLTFANLNILSTNAFTPVRAVQQELNSTTDRINQVVSNTAWIHQDFTTLQQVT TTLTTAMQTSVGPFPVGCVLGASLVCIACPPSICSAFPAALNEWLVALDTVHQSVDRT ATTMQDSIASAHGTIGGAMDAASSLLTMVRTRATDSQVSLQVAWESFKLIAAYRVEVV LAVFVLGLIVALFGVMAICAGFRSNSARLIKAMHVSWALGAFASFVGFLISAAMLVMA ILGNDACHYVNEVQHDVESLWPGQLAKLLDSCYAGESPLDALDLSSSLAFSCSLPTDL AVATTGPSMLSSIEPLVNQMNGFDLSTFGVSNSTADQFIAIAAATTPGITRANLLTPW VVYSLPDAGPLCSTSPSTAAICFMTNYCNPTTTCLTDYSRAHDYVVATDEIALQLQQL QGDFTGLAVVHSSTWPLNLSSIRQMANTYTSALVGISSGSLRALQNGAVGNLLLHIDQ MKCAMQCSWLAQTTSLLYTSVCANLVGSTLTVSLCLFVMSVCLLPMIVMAVVLEKRLR GKTKGAKAPMASAAGSDSEAIDAAKKSNGPSLPPATCLSKQV H257_16790 MAMWGCASTLTDADKERCVGPNDPYAAMDTTGKACVQVMGPGNC SALGLCERKSTCYWPPPVDNREPYFSQAELDAATQWVHAGYMESFVPFVIPGLFLSMV VLVATTAFVTCRCCLGRCYGSSPFKHGYSKCGKVVPALIFVFFSLVIAGLTAVAWTQN QMMSDGVQGAFQAMDLTFANLNILSTNAFTPVRAVQQELNSTTDRINQVVSNTAWIHQ DFTTLQQVTTTLTTAMQTSVGPFPVGCVLGASLVCIACPPSICSAFPAALNEWLVALD TVHQSVDRTATTMQDSIASAHGTIGGAMDAASSLLTMVRTRATDSQVSLQVAWESFKL IAAYRVEVVLAVFVLGLIVALFGVMAICAGFRSNSARLIKAMHVSWALGAFASFVGFL ISAAMLVMAILGNDACHYVNEVQHDVESLWPGQLAKLLDSCYAGESPLDALDLSSSLA FSCSLPTDLAVATTGPSMLSSIEPLVNQMNGFDLSTFGVSNSTADQFIAIAAATTPGI TRANLLTPWVVYSLPDAGPLCSTSPSTAAICFMTNYCNPTTTCLTDYSRAHDYVVATD EIALQLQQLQGDFTGLAVVHSSTWPLNLSSIRQMANTYTSALVGISSGSLRALQNGAV GNLLLHIDQMKCAMQCSWLAQTTSLLYTSVCANLVGSTLTVSLCLFVMSVCLLPMIVM AVVLEKRLRGKTKGAKAPMASAAGSDSEAIDAAKKSNGPSLPPATCLSKQV H257_16791 MSVTVLINGYGILQDPQNRFPDIFVFVLTVSQQQNVATTSSWTV YRRLEMFEQLSLSIGAEFRHLNLPPCPLVASANVSNMQVIERCRDDLSRWLAPLLSYP YVNSLMQSRSFIDFISAEANTIPAGLLLSQHFFPQAMHHSTSLSIPIPAGQANIGEMD MDFMFSADDEASGAKDDDMFHFGDSHQPTTPVATSSTAPPPADGKVTLEDFHLVKVIG KGSFGKVILVRKRDSGIVYAMKVLRKENIIKRNQVEHTRTERHVLGYVRHPFIVGLNY AFQTVDKLYFVLDYCAGGELFFHLGKVQRFKEPRARFYAAEITLAIEYVHNLDIIYRD LKPENVLLDENGHIRLTDFGLSKEGIQDDFSGANSFCGTPEYLAPEILNRSGHGRAVD WWSLGALLYEMLTGLPPFYCRDRDKLFEKIRQGELTFPKYLSPEAVDLLSKLLERDPT KRLGTGPTDAGEIKSHAFFNEIQWEQLALGQVPPPWRPSFNGALDTSQFDKEFTDMPI FSPDNRSGGGGMMGTSVGRRHLYRDTEGLFQGFTYSEEESYMEKANPSARQPTSGHHM MPPSQF H257_16792 MLRWFFLSNAAVAVAVAADPSWPPTGQCSVPGYTSWTSQCPSLT TSVMPIVVTKRDVGGFGNVNMSALDTLDEARAVLQGTSAVNAMKGMRSESVEYYNSSL ANMMITFCYVTSTTDELDRCVPPTSPHAQRNKGNASNTCLVVPGDGSCAAKNLCERLP NCLWPTADPTKPRLPRFTQDQIDAANKWVTKNYAESLAPYAGPGIAFAVLTFLGFLAF FILRCFCNRCGGRDPVERGYTWCGIMTPGVAFFVFSIVIFICSAAAYVQNNTVTARMH DLLDVLTEVIGNLNINSKNLLAPLHAIQDLQGITTAQVHSVLADTSWVTAGALQLQSI GDNFDLTYTNAFPKTCIQNGDVCLTCPATICGGSSVAPQATLTEWRVVADQLESTFQM TRDAVYSGSVTLFSAANDAEDILTSVASATSDSGATVASVQMTFDSISYTRSGLVLSI FILGLFVAFLGMAGFVKGICKEKTKWVHLLHASWGLGVVLCIISFVVASCLIAVSAVW FDGCQYLDMVMNDMTPYFSAETSRVVGACIKGTSTLAALGMATVHAKSCEIAERYRVA QQLGMATRFTTLSALGTDIMSYNETQFGWDPVQHYGIVKTAADAIGSDMVAMANYAVL DRPWTLFVNGALPADCTSDTDPALCYMKTKCTGGVATPCYTTFRDALVYTRARNSVQD KLMRMRQDFVGGVNYPNSIGWKGGDKSVLELARAYAQRLERFVTTTLTPVATLNVWTQ VNALQCTSAPGCGWINEEYAMVHNILCQDLLGACLNIALSVFMNALFLLPMAVCGIVL QKRLRAIRGGTYGAMEPPTAGGGGGGELTGRQKLEKKLEALTKGQTTL H257_16793 MSTRTGSMKAAPAKPAAASTTGATAAMSRVKLQEATATNVASPK GISTKKTNDGEIQQARRRLSVVSDNKLVEGLAAVSTVADADGVQNGTSTIGCYAGVSK KGYAPYNPRKKNQDSMVMQMHAPSKSLLLGVFDGHGEAGDGVSQYFRAHFPTELFNHA QFAPTGDVAKDTAGIQAAIQFALNAVEKRVIRDASIDTEFSGSTGVVVVIRDRLLIVG NVGDSRITRGFLQAGLLTASSLSKDHKPDLPAEKARILASGGRVFAVEYDDGIDGPPR VWLGHMDVPGLAMSRSLGDAVAHTAGVSSEPEFFVHTLDTTDRCLVIATDGLWEFMSD EEVIKMIAPHTDPKQAVDVLILEANRRWMKEEQVIDDTTVIVAFVDIK H257_16794 MTATQWPTGLLILGTLFHAIYLLSIFDIYFKSPVVHDIPAVDVM HESYPPPAKRLVIFIADGCRADTFFDATDRYAVFAHSRLREANLTSLIHSQFQDDEPT RAPFLRHVIKHVGSWGVSHTGVPTESRPGHVAMFAGMYEDVSAVTRGWQENPVDFDSI FNQSTHAWLYGSPDIVPMFAKNVEHVHEKHYSSADEDFAKDATVLDTWVYHKVQALLD DAKTNRTLFNQLQANQVVFFLHFLGIDSNGHAHRPHSREYISNVALVDRLVEGIHTML EAFFQDKATAYIFSADHGMSHQGSHGDGAPENTRTPLVVWGAGVQPPTLKSALDTHAA HGSGFAMDVPSHSHDQVFDQLKAHTVPEADALRLWNLSSFVRKDVLQADIAPLAAALL GLPYPRNSVGVLPFSYMEQGLYRARAVVFNAKSLFVHASIRQQLKQNATWPIFFRGFP RLDRCLGQFATIDRAFGAKAYGDIEAISQRIIGDALAGLRHFQRYDWLLLMAIITLGY LGWMLVLYVAVARWPFTESSLVQHDDGSWDMGSVTSVCVGSGLLFAYNHQATSMYYLY LVFPLVFWRFLLRERQFLLAQRGVGWSVVGLVLVSIELIVWGYSNRSVFSALCLVIGI YPNMNSIYDRTTARLWMGSCVILSLFPLAPLDYGDDLNLVVAGGCIGLAVGTFVWCAV TPTPIHGSSLVFIGLSIGSVLGTMAALSSNMHLAPWIYLNWFAAFGPLLSLFWVSYPP SLTLRLVQIMMAVAPVFVLLSISYEVFFYAAFCTTLLLWIRLETSTVAPRHTRQHSTG LAVTPEDIRLALWYLIFFKLSFFATGNIASMSSFEISSTFRFVTVFNPFVMGALLVLK ILLPMVIVTCAFHVLLTLKGHHPNRLFLLVVLLSDVLALHFFFLVKDEGSWKEIGNSI SIFGIVNLKMVFVPLLLFVAKLLFRQSTATDRSLHLD H257_16794 MTATQWPTGLLILGTLFHAIYLLSIFDIYFKSPVVHDIPAVDVM HESYPPPAKRLVIFIADGCRADTFFDATDRYAVFAHSRLREANLTSLIHSQFQDDEPT RAPFLRHVIKHVGSWGVSHTGVPTESRPGHVAMFAGMYEDVSAVTRGWQENPVDFDSI FNQSTHAWLYGSPDIVPMFAKNVEHVHEKHYSSADEDFAKDATVLDTWVYHKVQALLD DAKTNRTLFNQLQANQVVFFLHFLGIDSNGHAHRPHSREYISNVALVDRLVEGIHTML EAFFQDKATAYIFSADHGMSHQGSHGDGAPENTRTPLVVWGAGVQPPTLKSALDTHAA HGSGFAMDVPSHSHDQVFDQLKAHTVPEADALRLWNLSSFVRKDVLQADIAPLAAALL GLPYPRNSVGVLPFSYMEQGLYRARAVVFNAKSLFVHASIRQQLKQNATWPIFFRGFP RLDRCLGQFATIDRAFGAKAYGDIEAISQRIIGDALAGLRHFQRYDWLLLMAIITLGY LGWMLVLYVAVARWPFTESSLVQHDDGSWDMGSVTSVCVGSGLLFAYNHQATSMYYLY LVFPLVFWRFLLRERQFLLAQRGVGWSVVGLVLVSIELIVWGYSNRSVFSALCLVIGI YPNMNSIYDRTTARLWMGSCVILSLFPLAPLDYGDDLNLVVAGGCIGLAVGTFVWCAV TPTPIHGSSLVFIGLSIGSVLGTMAALSSNMHLAPWIYLNWFAAFGPLLSLFWVSYPP SLTLRLVQIMMAVAPVFVLLSISYEVFFYAAFCTTLLLWIRLETSTVAPRHTRQHSTG LAVTPEDIRLALWYLIFFKLSFFATGNIASMSSFEISSTFRFVTVFNPFVMGALLVLK ILLPMVIVTCAFHVLLTLKGHHPNRYVFLPNIYIFIYLLFSIS H257_16795 MTMMSRFLVRACVALVALLTAATATPNAAAVSAISAETLNAHFK PAVPFHIESATQVHAEDAKSQWRVTSNKGAFDIDVQEDASTNVLSLLTVYHIDVDGTK TLIYEPKGLPTTWLLGAGLALVLVGVVVLTSSRPSSIARAPTAAPPSSPKGSAGATTA ALRRRRSKLD H257_16796 MFRLVVVLIIGSWLTWWQQPVDIANSPSPAVVANSTPVVFYSGF NYTGEATSVTTDLGDDSARTWLNRVRSIRLAPTVSLVGCASVNLTGLCRVWNSSQPTL GWFARGLQSFSIENTTRAKPALDLSPAVIVLYDERNYDGVATPLGLSATNPNTAQVSS SIQSIRIVSPGVELVGFEGVNCTGRRHVWTGDAPELGDLDIMSYRVNAIAAPITLVTI GDSITLFGSIVDDSGWVWMLEQDYKPSNGKVVNRGIGGWTSRRWAPHLAHDILEWGGA PTPPDLVTICLGANDAVLPALDPGLQHVDVHEYVAYLDQMVAHLHSTFPSCKVLLITP PAVNNALTFEAAQPTAGSLRENNETGRYAAAMVALGLKLDVPVVNIWNATQGQMYLFS DGLHFSIAGNQLMHQLVLESIKTNFPTLAP H257_16797 MGYFRRRQAAPSPPQCPDMSMTSLPLDWEALAQWMHDESSATSV AYHDIDCVWTLAHALYEAVDTCPVDVSIFHGLTHASTMPFLAAQQNHGHFYATFTILV TILERALYDLYALLHDGPKSNMILRDLLHSPELERRLPPGYMHVLHVLFLPSGLNIRN LVWHGFLAPFELPPCLNALLFALLADPCLQHPSTSAKLLQQLPSMDSEWTRTALNGFK LSAGIVLPATPTNLHPAIAHVMVVKSRWGLIRHAMAAYTAGQSLMCLFVAIPVLEHIL RCEFVSQNAPAVPSGMQSAQLKQYYSTLDGFGQRHQHQVLLARDLFTSNHEVQGSDLL TNRLYETLPRGVLEVCLDLFMAATGPNIRAKLCHGELSLDSLVSSQCLPSTDIITDDS MTSTDICAGLVMAVLLEILYPHEQPATRFQVSLASYDCIFHPYNALKRSLDTIDATLQ AWDTLLASFAYTTSPSETVAGHIEWTWTALTSVTTAHPTVQFTDKAHRMIPSQLPTSP WDSKTMQFNSIPDGLVILSGFLQTLSKALATNHCPRSRFLVGQDYSAYREPHGDNLEL PRLNLATVHNLPAAACMLAILEACRTLLAKFTARLLALQDFVVHGTARTSHRRSLVNL VLMAPSITRIIRLAAAIVEHQVSQAHRPHREIALDGVAEKLLPFVVALDDDKKSIDKQ VVMALQFWNSKAVRVPICREFSS H257_16797 MGYFRRRQAAPSPPQCPDMSMTSLPLDWEALAQWMHDESSATSV AYHDIDCVWTLAHALYEAVDTCPVDVSIFHGLTHASTMPFLAAQQNHGHFYATFTILV TILERALYDLYALLHDGPKSNMILRDLLHSPELERRLPPGYMHVLHVLFLPSGLNIRN LVWHGFLAPFELPPCLNALLFALLADPCLQHPSTSAKLLQQLPSMDSEWTRTALNGFK LSAGIVLPATPTNLHPAIAHVMVVKSRWGLIRHAMAAYTAGQSLMCLFVAIPVLEHIL RCEFVSQNAPAVPSGMQSAQLKQYYSTLDGFGQRHQHQVLLARDLFTSNHEVQGSDLL TNRLYETLPRGVLEVCLDLFMAATGPNIRAKLCHGELSLDSLVSSQCLPSTDIITDDS MTSTDICAGLVMAVLLEILYPHEQPATRFQVSLASYDCIFHPYNALKRSLDTIDATLQ AWDTLLASFAYTTSPSETVAGHIEWTWTALTSVTTAHPTVQFTDKAHRMIPSQLPTSP WDSKTMQFNSIPDGLVILSGFLQTLSKALATNHCPRSRFLVGQDYSAYREPHGDNLEL PRLNLATVHNLPAAACMLAILEACRTLLAKFTARLLALQDFVVHGTARTSHRRSLVNL VLMAPSITRIIRLAAAIV H257_16798 MLSRSSFLRRNFATAVRYHAQGVPTEVLKVEAAPAATAALQAGE VALKFLAAPINPADLHMIRGGYGIKPTLPAVGGNEGVARVTAVGSGVSGLKVGDRVIP AVAGFGTWRTDAIAKEADLLAISNKIPVEYAATLAVNPATAYRLLADFATLKSGDVVI QNAANSAVGQAVIQLAHLRGIKTINIIRDDEQYAETVQHLKGLGATIVTTDDYLGSAD FKRLIADLPAPKLALNGVGGASSLQITKALTKGGVSVTYGGQSHEPVLVSTTALIFQD VSVRGFWLSEWSKTAPVAERKAMLSELATLFEQGKLRSWVQTYPLADFDQALDTVVHR LTKRKVVLLLE H257_16799 MEIVDILAKYSRSAKPAPDPSAPLLPPIDQSNNHMLPLLPSTPR HVKSKPSKAKVAVVPHYFTRSPRPPPGATYSAVATDVPRGDIESWPLRASHRGKPLPD SDHDTKQRRHKDDQRGGQPLKPAFLQQLEAFVVRELSLLGVTTATTSSPNSPSPSPRL ARLQVFRECFVRLIDDFKTYKPLLLAIKDEYEGLLDMYALRASKIPQYEATIRTMEQE VHQVMAEHNLVNTMKVKEWKRQLKTTQATLAGYAAANAALTDSTTKLTAELNEALAKV ADLMATNQALVHTMKRQDDRQKEHESQMSDLHGTVHSLTTKYSRAQDEILELRNSIVT LEDKAGGVDINADRRTIEHLTREVQELMAYRVAHDKPPQPKGPLFDEASLVAKVMAEW RTHLPECIFTSDAVDITTISGLVQAWKSSYNAARRPVSSSPIKPTTATTTATPATTAS IAAIRDIAHSVFLTGLQDERNEGVDTLMQPEAIIGDVFVGKGTGDAVPDYLHYDGYIR NKFYSKRDTERLISDIWLQKATTEKLTVRDHNIHPPQSTNPRRTANSISGNGTRPSNT RKPTVTPPLAPSGPTPPCITIPLRQYFHSYLAKKYPNRTDAVECAYNLCAALEQYQYD SECRIFQLILDGEIPEDARADQLRVVYAVYEAYVALDKNESDTSKRKGYVQVGAALRE LHILFPWKSDESMAALSRALLVESKGQPTLNYTTLLEQDRNGNQSSFCECIRNQHLDE LTTLKRSLLHELRVEERRAGPDNNGMLSLDSVRRVIRRCDPSRPTAAVNVLMAECTAL PLDRVETETATLVNAHGVRTKLVSMLIKPAGKLPVLP H257_16799 MEIVDILAKYSRSAKPAPDPSAPLLPPIDQSNNHMLPLLPSTPR HVKSKPSKAKVAVVPHYFTRSPRPPPGYGGMEASIATYSAVATDVPRGDIESWPLRAS HRGKPLPDSDHDTKQRRHKDDQRGGQPLKPAFLQQLEAFVVRELSLLGVTTATTSSPN SPSPSPRLARLQVFRECFVRLIDDFKTYKPLLLAIKDEYEGLLDMYALRASKIPQYEA TIRTMEQEVHQVMAEHNLVNTMKVKEWKRQLKTTQATLAGYAAANAALTDSTTKLTAE LNEALAKVADLMATNQALVHTMKRQDDRQKEHESQMSDLHGTVHSLTTKYSRAQDEIL ELRNSIVTLEDKAGGVDINADRRTIEHLTREVQELMAYRVAHDKPPQPKGPLFDEASL VAKVMAEWRTHLPECIFTSDAVDITTISGLVQAWKSSYNAARRPVSSSPIKPTTATTT ATPATTASIAAIRDIAHSVFLTGLQDERNEGVDTLMQPEAIIGDVFVGKGTGDAVPDY LHYDGYIRNKFYSKRDTERLISDIWLQKATTEKLTVRDHNIHPPQSTNPRRTANSISG NGTRPSNTRKPTVTPPLAPSGPTPPCITIPLRQYFHSYLAKKYPNRTDAVECAYNLCA ALEQYQYDSECRIFQLILDGEIPEDARADQLRVVYAVYEAYVALDKNESDTSKRKGYV QVGAALRELHILFPWKSDESMAALSRALLVESKGQPTLNYTTLLEQDRNGNQSSFCEC IRNQHLDELTTLKRSLLHELRVEERRAGPDNNGMLSLDSVRRVIRRCDPSRPTAAVNV LMAECTALPLDRVETETATLVNAHGVRTKLVSMLIKPAGKLPVLP H257_16799 MEIVDILAKYSRSAKPAPDPSAPLLPPIDQSNNHMLPLLPSTPR HVKSKPSKAKVAVVPHYFTRSPRPPPGYGGMEASIATYSAVATDVPRGDIESWPLRAS HRGKPLPDSDHDTKQRRHKDDQRGGQPLKPAFLQQLEAFVVRELSLLGVTTATTSSPN SPSPSPRLARLQVFRECFVRLIDDFKTYKPLLLAIKDEYEGLLDMYALRASKIPQYEA TIRTMEQEVHQVMAEHNLVNTMKVKEWKRQLKTTQATLAGYAAANAALTDSTTKLTAE LNEALAKVADLMATNQALVHTMKRQDDRQKEHESQMSDLHGTVHSLTTKYSRAQDEIL ELRNSIVTLEDKAGGVDINADRRTIEHLTREVQELMAYRVAHDKPPQPKGPLFDEASL VAKVMAEWRTHLPECIFTSDAVDITTISGLVQAWKSSYNAARRPVSSSPIKPTTATTT ATPATTASIAAIRDIAHSVFLTGLQDERNEGVDTLMQPEAIIGDVFVGKGTGDAVPDY LHYDGYIRNKFYSKRDTERLISDIWLQKATTEKLTVRDHNIHPPQSTNPRRTANSISG NGTRPSNTRKPTVTPPLAPSGPTPPCITIPLRQYFHSYLAKKYPNRTDAVECAYNLCA ALEQYQYDSECRIFQLILDGEIPEDARADQLRVVYAVYEAYVALDKNESDTSKRKGYV QVGAALRELHILFPWKSDESMAALSRALLVESKGQPTLNYTTLLEQDRNGNQSSFCEC IRNQHLDELTTLKRSLLVSRHVLTFFNGVLELLVCVVIVARTARRRTSRRTRQQWHAQ PGLCPPRHSKVRPEPTHRRRQRPHGRVHGLAVGPSRDGDGHARERPRCPH H257_16800 MFTTLKALTILAVVTMAAASGDVIGDTEAICGTDQSLASVALKD SETTKYQLAQSVARLKISGGWCTAWLWGSEGHLVTNNHCIGSAADAATAIAEFGAECA TATDPNNGIKGACVGTYVSNSSTLIITDPTLDFTLVKLNVNHGINITQFGYLQARDSA GTLVPNPSASHT H257_16801 MASADGIFDFFEGTESICLADQAQATRCLNDAQPIKYQLAQAVA RIRTGTGYCTAWLWGSEGHLVTNNHCVPNATVANRTRVEFGSECSTCTDPYNDVRGAC IGTFVANSTTLVFNDKSLDLSVLKLNLNPGVNLTQYGYLQSRAANVTLDDQIYILGHP RGKPKRIAFLNDDGTHARITNTSAASRCREQDTLGYNVDTEGGNSGSPILGAHDNKVV ALHNCGGCEITGRNTGNKMTKIVALLKSKNLLPNDAVADDRC H257_16802 MFSTFKSAVVLALVAVACIEACGVDQSLAARCLTDAHPTKYQLS KAVARIRTSVTYCTAWLWGSEGHLITNNHCVPNESVAKETRIELGSECSTCNDFFNDI KGACIGTLVVDSTTFVFTDYDLDMTLLKLNVSPDVNLTQYGYLQSRATNVTLDDQIYI LGHPGGKPKHIAFLGDDGKHARITNSSTPAGCGEKDTLGYNVDSESGSSGSPVLSPDD DKVVALHNCGGCELVVQEPVAQGCSGR H257_16803 MQTDGVMLLLMAKTVRQRQFVLALLATQHVERPLIPEVRFNLDA MTDANAVLDYRFDVVGNPQTRLLCSTAGGSELGAGKVVTSR H257_16804 MPAGCGEKDTLGYNVDSESGSSGSPVLSPDDDKVVALHNCGGCE LVGQNTGIKMPNIVALLKSKNLLPKDAVADDLC H257_16805 MNPDTSSLNNNEDAGLAARNAIVTKAENDTLRNATTEILGEKLS MARANAVHLRLWTDILKHHEVDNSVNDPTTLIANINQLGTPPVLVLTLFLPHWPAS H257_16806 MKMGEAYREVATSPKPPPGSTFIGSETSLLSNSFRHLWLNPRST ETHGPFCTNVVVTSKYTKWSFLPKFTVESFAKLANAYFLLVSILQCIPAITNTGWPST LPVLMFILTVDGTLAIIEDRRRHLADDEANSASCRVVFNGNLVAMPWSALQVGHIVKL DNRGTAPADLLILAVHEVDVEHKAGICYVETKSLDGETNLKLRQAMESTMHAQDEVEV SALHGRVECEQPNKAINRFAGSFFTDQPNGSIANHPISIQNIVLRGCQLRNTEWMYGL VLNTGPDTKIMQSFAKPETKWSSINDHVNVMIKWLLAMLLSLCVCAASAQVYWDYRFS THTCAKLNACYLSLPRHTPFYRWLVACGQYFLLLYQMIPVSLYVTISTVMLIQAMLMA LDLDMYYDVLDSRMIVRTMALNEELGQISYIFSDKTGTLTCNVMEFRKCSINGVSYGL GTTEIGRAALKRKGLPVPEVPVSKYGAKVPYVNFHDPKLHQKLTDIPLNSSTNALTNE AEFFLHLAICHTVIPEQATDKHGDAVLRYSASSPDEQALVSAAKFFGFAFESRGLGVA RVRVTNKSLQQDPSASSELWEFKVLDVLEFNSDRKRMSCVVQDPNGAFMLLTKGADNV ITPLLANDNDADVVAATLEQLQTFADDGLRTLTIAKKVIPTAYYHQWTKRYKAACASL DQIDKRKNGHPNDIDACMVEMEQDLVLLGATAIEDKLQDNVPRAIARLMEAGMKVWVL TGDKQETAINIAYACQLMDNDMMQYVFNLDEYPDLASLRASLALCVADLDLEITRRSL VIDGDALEMVMADTDDACAMFLKVAMECASVVCCRVSPSQKAEVVGLVRANNVKARTL AIGDGANDVAMIQRAHVGVGICGMEGMQAVNSSDYAIGQFYFLEKLLLHHGRLNYVRM SKLVGYMFYKNIILVLAQYFFLFTTGSSGQKEYSEVAFQLYNLAFTSLPIGVLGVFDY DVPWAVGQLYPALYKVGISGDLFNTLVLFKWICASIFEAGVIFAVAVFGFNQRELGAG SGDLQQYGIVLFALVVLVANFKIISIQQSWMCWGGVAWWLGVLAYIPLTLYVESNWLF LSQANYGATQNTLNGPTFWFVLPLTIVTCLLRNFSWKVFQRSFYPFLWQVVQEKHVLG KSLHNPERYPNVKLGNDFGGDLEVAWLGDGMTLPTLSHPSGSRYSNSSSRSVSRTNSG FAFSADPHSSMAEGIMITQQDDAAMAAALKAGASRVRLTRLDRRSSDFSTDQQHPTGV FV H257_16807 MGQGDVPTAGTAAPGTAYREITKSPKPSSAEITTDNAAPSEYRQ LYLNPRGNEVHGPFCTNVVITSKYTVWSFLPKFTVESFAKLANAYFLVVSALQCIPAI SNTNGIPSSLPVLLFILAVDGTLAIIEDRRRHLADEEANSAKCNVVNRSTGALETILW SALQVGQIVKLDNRGTAPADLLILAVHEVDVDHKAGICYVETKSLDGETNLKLRQAME STLEVQTEAEVSALHGRVECEQPNKAISRFAGSFFVDQHDGYIANDPISIKNILLRGC QLRNTEWMYGLVLNTGPDTKIMQSSAKPVAKWSSINGQVNRMIQWLLLLLVLLCSGSA TAYVFWDNTFNAYACATAVPPTCYLSLETNSAVQRWFVGFGQYFLLMYQIIPVSLYVT ISTVMFLQAIFMSWDLDMYFEELDVRMIVRTMGLNEELGQISYVFSDKTGTLTCNVME FRKCSINGVSYGLGTTEIGRAALKRKGLPVPEVPVSKKGAKVPYVNFEDPRLHQKLTA IPLNSSTNWTKEAEFFLHLAICHTVIPEQATDKHGDAVLRYSASSPDEQALVSAAKFF GFAFESRGLGVARVRVTNKSLQQDPSASSELWEFKVLDVLEFNSDRKRMSCVVQDPNG AFMLLTKGADNVITPLLANDNDADVVAATLEQLQTFADDGLRTLTIAKKVIPTAYYHQ WTKRYKAACASLDQIDKRKNGHPNDIDACMVEMEQDLVLLGATAIEDKLQDNVPRAIA RLMEAGMKVWVLTGDKQETAINIAYACQLMDNDMMQYVFNLDEYPDLASLRASLALCV ADLDQVEITRRSLVIDGDALEMVMADTDDACAMFLKVAMECASVVCCRVSPSQKAEVV GLVRANNVKARTLAIGDGANDVAMIQRAHVGVGICGMEGMQAVNSSDYAIGQFYFLEK LLLHHGRLNYVRMSKLVGYMFYKNIMMALAQYFYLYTTGSSGQKAYSEIAFQAYNLAF TSMPIVVLGVFDYDVPWAVGQRFPALYKPGITGELFNTTVFFKWIAASIFESAVIFIV TVYGYNQLEQGLGSGDLQQYGILLFALVVFVCNFKIIPMQQSWLVIGGVVWWLGVLSY IPLCLYLESSWYWLSSSDFGATQNTLDGGVFWLIIPVASGMCLLRFFSWVVFQRRFYP FLWQVVQEKYVLGQLESPPCRDVETGTRPVDDTFHEGAGGYLRHSHHSTSSSQSVSRR NSGFAFSSDPQSSMAEGIMITSTKDSRASAMKTAEGRIRVTRLEQRSSDYALTREAKQ PSGYFI H257_16808 MLRCASVVLAVAASVAIAQTPPDASTCLPWDATVEKAIHPSLTD LYKALSPLAVMSPGFGSCLRNGQEGWILLVQSIASNDDCNQTIKWLQAKPMSDIPFQH ANRTQAQAMALAMHLLHLNDTQLLSTCTNTIPAVAACVMNKILKDVTVLKTASPCCAS WIDSYTDPLPLSLFTSNLLKHVSNVFCSTQVVAGAKTSCVMTGVKAVIPSSVDMVDML TRLADFLVAPNEQGCAAQEGLPFNDTAGSPRSPLFHNATPFSGCSASLDAFVQWIKSV PYLRLISTFDPSRLFANGSAMCVTGQKLLPYLELFLPQDKPAAMALITDFYANKCMHI ANGFAASCKFRWPATEYYVASNWPYETTNSATATSAPSSVPAKSPASVPSSSAAAASA LGWTASMVVLAAMT H257_16809 MPSPATPHQPNHDKCKLSDLERRAIYETLLGRSNNGRIAHGEYT TTAATSQCHWKTFARIWKRGQESLRNGSVVAVVNARFKGNSGPKVQRSPSDIRAAVKA VPLVARQILRSVAEHSGVPKTTLVRHMAEEDQLKSKSSYSKPFLTEDNERSRVMEKTL ENMGKNDYKLPHMRKDASIKDLSLFNVNCDPAIHRSAQAFLSTVNSQVQ H257_16810 MFPTFKSAAVLALVAVACIEACGVDQSLAARCLTDAHPTKYQLS KAVARIRTSVTYCTAWLWGSEGHLITNNHCVPNESVAKETRVELGSECSTCADPGNDD QGGCVGTLVVDSTTLVFTDYDLDMTLFKLNVSPDVNLTQYGYLQSRATNVTLDDQIYI LGHPGGKPKHIAFLGDDGTHARITNASMPAGCGEKDTLGYNVDSESGSSGSPVLSPDD DKVVAMHNCGGCELVGQNTGIKMPNIVALLKSKNLLPKDAVADDLC H257_16811 MMSSTSACAPITVSVPGTAPLEVRLPMGGARVTIPMARAEAMRP SMDVNPGANMHQRVFASHSTSILAIDAMPQELALTPATSARRTIAHVHTITRHSSKVG SHHAIPVAKRQAKQEWRLLHSHPHPRQRPPWMSPRYKWPGLWPLKQG H257_16811 MMSSTSACAPITVSVPGTAPLEVRLPMGGARVTIPMARAEAMRP SMDVNPGANMHQRVFASHSTSILAIDAMPQELALTPATSARRTIAHVHTITRHSSKNG ASCTAIHTLDSAHHGCRPATSGLDYGH H257_16812 MDQLDAINTAMHAFSNLSKARKGWGSHTFSKHEPGWTFWCYLYQ WVIQEVASFQGDAGRVTVLTTVIERDMYALDALEIPRSLSLYFMWGVQYSLILVYIVT SRCCIGPFNLFELDRVGAIVWADRLLILLRSLSALSLLSTGKLELKLTDLCAKEWHCI NGAINCRHRFRLGADRRRRECC H257_16813 MEKSIEINGSNEYKLPHMKKDASIANLSSFSVECDATSYESALM HLNNRLTEEVHFEAMVNSQEQVI H257_16814 MDGRVWKSYLRTVLHDHIEEASVILVDNFDSHPLDVGVMAPFKR YLGNLWLYEDIFTGEDEVPFSLTARQKRLALIKRAVAAWEMVSTDAIRGSFEKALPQE PMD H257_16815 MARIMYPIAFKLQALGLLETMTVYQVATELGVARRTLRNWVTKR AQILAYRGNKKHMKLTPGVPSEVLPDPPGLLEFIHGLRDSERALTTIHMVTWVKRNQR EWLVSYLVDKRPGCGYNLLLQRFFKRHGISRQRPGISKRSQGDLEDTHDIFAAEFHRE YRAHGAESVYNVDETGEKHSMRMTAVLTAKADGSKLPILFVMKGTPGGRIETSEFPTF PAGHSYAVQ H257_16816 MGPKLSIEAKYTSASPILKEYRQVHLREIRQVIQNYDDMQREYG DIMAVNQDQFDQLFSVVCSDTAVHFQEFDSRGVGRVDVLEVLAVLIVFSKDLIEPKIQ ALFSLFDFDKTCYISHTELVMLMICCTRGLCRVVGLERPENLELECLANEAFAKIDSN RNNRVSLSKFSFWLHHEQSVILYLKKFASTRLIADAMLLYDKQLKLAIDLFLAAAVVR HKSSLGNSSEGAVSYPACTLVQVRSVIAALKLRHLQPAGVDEVIGYMTHMVEANEEST NPVIVLPNFLSVMSPALAFFAADDDHSMTVDVHELRILLWLMRKKEPTDLQTKGMLLA LDDDENGKLSCMEWVHYASGIDQSTGSLAFNAQLRYLFEHCDREGNGSIHVADFSVGL KTVVTRCVHAAIPRTNKVGDKKWAIIDDMLASLVKEIMDCVDVNNSKTIEWKKFKAHL EFIEDRVAKVKSYVLEFIVVS H257_16817 MGDVAELKKKCDGLKDKIQSVRTAKSNGGFENDGTKAIPPAPKC RRVLKGHFGKIYAMQWGGDSTSLVSASQDGKLIVWNAQTTNKVQAIPLRSSWVMTCAY EQKQRNMVACGGLDNLCSIYQLGQAQVMRATKELAAHDGYLSCCRFLDEANIITSSGD STCILWDVESGEVKTTFKDHTGDVMSVSINPHHQNMFISGSCDSTAKVWDVRSGKSTH SFHGHESDINSVDFFPDGNALGTGSDDSSCRLFDLRAYGELNNFSNDKILCGITSVSY SKSGRFMFAGYDDYNCYCWDVLSNTGAHVYQLTGHENRVSCLGVSPSGQALCTGSWDT LLKVWA H257_16818 MYPWRSSSLPRWWAIRNSAPHLNPLRLGNLPHFRRSVLAHVHAQ RRSHCVDQAVHEIASRPKLRPPVAPRIRVPTRGPARRFSGNATPWTLTGRSCVRPEWL RSETAPDSSSSLPVAGLHGHVLRH H257_16819 MAAVRTGDSLTTFVDQLDTSLPGSLVLRVAEACMDIQVLIQRGA LSENVLGSAHSDNVQGEVQQNLDLLSNDAMLTRCQDDPTLAGMCSEESEDIYVASSSG RYLLLFDPLDGSSNIDVNVSIGTIFSILPRASNDDDDDHPSKSVVEAADFCQRGDRQL AAGYVIYGPQTTLVLTVGHGVHMFTLDVSRRVFVCTQPRVTLSPSTQEFAINMSNTRH WEPAVAAYVADCLAGTQGPRGKNFNMRWIASMVAEVHRILCRGGVFLYPWDVRMKGKM EGRLRLLYEANPMSFLLEQAGGAASTGIKRMLDVVPTALHQRVPVVLGCRDEVQVIVQ YHRDVSDAQP H257_16820 MVQWALFWGCMLTAFAPISFLFFTVVAQRAQLVILSITAAFFYL LGLLVAATLWTVIPPLHDSIHATIPVAILVQELFRYAFFIVYIRCEQAVKRVTTKQNQ LPLNDLTSSFASGVGFALMRALMMYGTVLASSLAGEGASFTATCPQIPLVFASALSTL ALTLLDVALMVLAFEGYRKRSAAHIVAVVLLHVGSGLSNMLNLNEVGCSASIPLTYVA ASLAVVAATLSVKRASSSFAS H257_16820 MVQWALFWGCMLTAFAPISFLFFTVVAQRAQLVILSITAAFFYL LGLLVAATLWTVIPPLHDSIHATIPVAILVQELFRYAFFIVYIRCEQAVKRVTTKQNQ LPLNDLTSSFASGVGFALMRALMMYGTVLASSLAGEGASFTATCPQIPLVFASALSTL ALTLLDVALMVLAFEGYRKRSAAHIVAVVLLHVGSGLSNMLNLNEVGCSASIPLTYVA ASLAVVAATLSVKRASSSFAS H257_16821 MQHEPKQPGEATSEGLTDPPPPHQDAQDKVRLKDGKDMYRRVNT PSTAPPASSSSERLAVVTSSSAPVSTTSTTSSDCRTVSLHDAAHNSALFGTGTNVVVT SKYTTFSFLPKFLFESFCKVANLFFLVVSILQTIKPISNTYGVPTNAPTLLFVICIDA IFAIMEDLRRHQSDDAANSATCHVVVDKNTVADRLWSHVKVGDVLQIRNREVIPADVL ILSVEEPDPNVPSGICYVETKSLDGETNLKLRQALPATMGSLRHTADLLKLEGTVLCE TPNPYINKFAGNMDVTVDGQAWPREPLSIKNVLLRGCTLRNTDWVYALVLNTGSDTKI MQSASSAPTKWSDVMITLNKCIGILCIGLVVLCSVAATVFVTWQNQIAREAWYLHGTI AANKVVLETTGDAVQTWFIMCFYYFLLLYQVIPISLYVSLTTVKFLQAMFMAWDLKMY HAESDTPAIVRTMALNEELGQISYIFSDKTGTLTCNVMDFRKCSINGVSYGSGLTEIG RAALKRAGQPIPPEPQADPTVKSIPYVNFVDPALDAAMRRDGDDSVALQRQKCHQFFE HLAVCHTVIPEKLDSGEIRLSASSPDEQALVAGAQYMGYTFESRSVGKAMLDVAGVGK KSYEILEVLEFNSTRKRMSVVVRLPTNELMLYTKGADMMIYARLHPSSKALEAITSQH MEQYADDGLRTLALAVKSLDESWFATWSAKYRAASGSIDELDKRKHGQPNAIDTLMEE MESDLTLIGATAIEDKLQRGVPECLSSLSAALIKVWMLTGDKEETAINIGYACALLDN AIVQVVINMDNCPTADAIRDKLAAAADAFHAKQGQDRYALVIDGEALEMALKPDMKHD LLGLAQHCVAVICCRVSPAQKAEMVMLIRDHLPEARTLAIGDGANDVAMIQAAHIGVG ISGQEGMQAVNSSDYAIAQFRFLQRLLLVHGRWNYMRISKVVLYMFYKNITLVLAQYW YGFLSGASGSKVYWELGVQVYNIFFTGLPIVVLGVLDQDLPDSMSVKFPTLYQAGPNR TLFNFYSFFRWLGAAVYESLVIFFVMVYGYNSKNNAIGSESRVEYGMVAFTLAVLIVN LKICLVMCNWTWVPRLTWWLSVLSWFIIVWLGTTAIPWFATLKVGYDEFGSFLPTFES GSYWLILVIGSSIALGRHFSWNQYQRLFTPELYQILQEAVLVEKTQTQRMTIANVEEA RDFSVSLNDVTADELAKFHPQDTFGSPASAARKARKAPRRPGISRRNTGYAFSCDEET TLAESFIATNHLHNEPNTRRSQLNLNAPALQR H257_16822 MAKSATTKNIAREMKMHIKLGHALAWSKQTDQGRTTMLHGREWQ VALPPATVASAAPATTTTPSQRGDVLPESVETPVHISLAAAALLDSIIPAIEQPLASP AHDPTINTIYDMQQT H257_16823 MPPRRQQPSCTLARKRALLAQFDGLSVSSQAYVSYDFLTFMADV RRNEHILTSMHMINFMKTYHKAWLDAYVDGKVDPYKSLLRLCHWFALRQRFSQLVPCN TKLAELDMVLIRVLIRNDFAATFYEMPRPTVIWWTISTLT H257_16824 MATSYKAATTTEAAATPLPFLSKDTKHLLSGGVAGCASRTAVAP LERLKILYQVQDVMKRGTEPKQYTGMAQSLRKIMVEEGWRGMFKGNGANCVRVFPYTA IQFAAFERLRPVLAEPGATDLSPLRKLLAGSIAGVVSVALTYPLDFIRARITVQGNLT TQHYNGILHAFQVTIQQEGVKGLYRGMSPTIVGIAPYVGLNFMVFESLRQNAPKDEFG RQDMLYLLGCGAIAGACGQSAAYPFDLMRRRFQLNTLSEKQYTGTWDAVRSIYQSEGV VGFYKGLVPNSVKVIPSIAVMFVTNEALKRYLME H257_16825 MDNYGSLHRIVAKSSVDFILNPFHEQSQGEVIGSLEYLLGGRRP AQLELVEPSQSPCLAVPTSVTHRNKCSVEECTTAAVSKGRCVRHGGGTRCSVAGCTKR TKRFNRCYLHGGFVLCSTEGCSSKAKRYGRCWAHGGGAQCSEESCSKLVAKGGLCWSH GGGHRCRASGCERRSYKRQQYLCDQHA H257_16825 MDNYGSLHRIVAKSSVDFILNPFHEQSQGEVIGSLEYLLGGRRP AQLELVEPSQSPCLAVPTSVTHRNKCSVEECTTAAVSKGRCVRHGGGTRCSVAGCTKR TKRFNRCYLHGGFVLCSTEGCSSKAKRYGRCWAHGGGAQCSEESCSKLVAKGGLCWSH GGGMHLWNPMHIQCALIL H257_16826 MHRTSMDFLLNPVACDANEVAEPHFQTASQQLLARPLHASSPPL LLGPHPQSWKLETAVQELISVEFRHTCLTQPTAASQPQRHQCKILGCTTASVSKGLCV RHGGGTRCAEPGCTKRTKRFQRCYMHGGFLMCSEHGCASKAKRFGRCWAHGGGITCTE AGCDKLSVKGGLCWTHGGGCRCDVQQCGRRAYKRFGFRCQQHATNTAAVRSVT H257_16827 MMHGRRVQAALWNDMALKRAFNTVSIPTSSQKRGLPQLRPDQFK ALAGSCLARVEGALEPLLPPVNTIFHVSRTSNPDALVVTLAAHEFQLTVLAKTQKIEL VSPISGTRTYVYNPRTLRWQDHVDSHDLEGLLTRDLMRLCTGVPGF H257_16827 MMHGRRVQAALWNDMALKRAFNTVSIPTSSQKRGLPQLRPDQFK ALAGSCLARVEGALEPLLPPVNTIFHVSRTSNPDALVVTLAAHEFQLTVLAKTQKIEL VSPISGTRTYVYNPRTLRWQDHVSE H257_16827 MMHGRRVQAALWNDMALKRAFNTVSIPTSSQKRGLPQLRPDQFK ALAGSCLARVEGALEPLLPPVNTIFHVSRTSNPDALVVTLAAHEFQLTVLAKTQKIEL VSPVRSYEETTSAMCCIVEASFIRRSRARVHTCTIPGHSDGRIM H257_16828 MSVDPILLHDNSPMLITPFERFIFVLDDVSFNVYSGAGYPGQGG VYYGKRGRLYVSSYRLVYVELDPSNRHFRSFSLPLYNIESSHRFCVPYFGRPTYEGIV SSVPGGGLVGPGKFCVTFHGVGFDEFRSLYAPLFDHSRDLHRQMHALPTASIVQVPGE RWDRIVLNVNRPTDPPIPAFIDHRKAVMFIFKAQHCVILTLLVDLRQVQHIISYLKPA PTGGLERIHSMFRAGLHLNHPPPPPQDAVLGDVVNTHGTSETQRMRLQAIWQLLVTGF ELTKYPNAGPARKRVIWLTLDGRLCVGRSKTDKHAGKFMHLWNIERVVKGCESAQFSK SLSWRDARGREQQCWSVEAKRGKKEDAKLHSFALQVTSVNVRNILVDCLGLLVELMQG DADGNYPRGARVRIAKHYASTGEILAMADVQSVMSRQGSDMSDITSDGEESVMPDDSD DSGDDDA H257_16829 MSSMSVLGVLAKSRTAPTSSPIGSEKPAAPRHRGSVPSAIVKSI RGASFRPSLVVFSSKPMTATESAPPSTITANPIYDAYKQARLEVLRMEATWQLLVTGI EVTKYPHQGAARTRVLWLSLDGRLCLGRVKGLKEAAKAIPLWSIDQLDRGCTASQFNL SLSWRETRGREQTCFSVRGKHAGQDFALQVQSARVRNVIVDNLNMFLNHVQGDVDGDF SKAMRVKIAQHFAATGEVLSIQEVRTLLHRQGSDTSKLHPCPPSQTTFASRFDSDSDD SDTSTP H257_16829 MSSMSVLGVLAKSRTAPTSSPIGSEKPAAPRHRGSVPSAIVKSI RGASFRPSLVVFSSKPMTATESAPPSTITANPIYDAYKQARLEVLRMEATWQLLVTGI EVTKYPHQGAARTRVLWLSLDGRLCLGRVKGLKEAAKAIPLWSIDQLDRGCTASQFNL SLSWRETRGREQTCFSVRGKHAGQDFALQVQSARVRNVIVDNLNMFLNHVQGDVDGDF SKVAQLVCRHGMDHTMTW H257_16829 MSSMSVLGVLAKSRTAPTSSPIGSEKPAAPRHRGSVPSAIVKSI RGASFRPSLVVFSSKPMTATESAPPSTITANPIYDAYKQARLEVLRMEATWQLLVTGI EVTKYPHQGAARTRVLWLSLDGRLCLGRVKGLKEAAKAIPLWYLFSMINVDDVGNIHC NWIGALTSWTEGAQRRSLTCRCRGVRQGGASKRVFLFVASMPAKTLRCKCSRPAFAM H257_16830 MPPADDHLRGLLELLAPDSLSVNGNELDHASQDEREAAAHAATQ TKPGDIILVTTGGFVYSTGRYLTQQPWDHALLVVDATKALHVGFPRICYVSLERVLLP KRQPAIYRVPSIHASPNAQTLLQKYAQLMQDTPYDLARAILLGRHLMLEHLFNIQPTT RTAAPPRRAWVCTDAILSLLAVCSPTFHRQLKANTPRLHLSKLGIASLKDFQTLHHHS VLDRVPLPPYNFNVQPTPAFDTAYFMTNVLAFPNPVVLWDRFHSVVERWAAHAAYQHP QALQKQIQALVYTVLFLLVLKKHSVVLCLLHRTLQLYLVKQVALTLMPPSKL H257_16830 MPPADDHLRGLLELLAPDSLSVNGNELDHASQDEREAAAHAATQ TKPGDIILVTTGGFVYSTGRYLTQQPWDHALLVVDATKALHVGFPRICYVSLERVLLP KRQPAIYRVPSIHASPNAQTLLQKYAQLMQDTPYDLARAILLGRHLMLEHLFNIQPTT RTAAPPRRAWVCTDAILSLLAVCSPTFHRQLKANTPRLHLSKLGIASLKDFQTLHHHS VLDRVPLPPYNFNVQPTPAFDTAYFMTNVLAFPNPVVLWDRFHSVVERWAAHAVCILL RKFPMGRVPWSCELGL H257_16831 MSTSKDVGAAATAASSKGKLSGLFQKNAADKKPEVTSTFQFGFQ AETPVAAPGENNTVEPSKSKKSKKKKTKAGQGAAATPAIAEGVVTHAPPGSSSPAAIE ARVHADQHVAVAAVTDAVASVSIDESNAKKKKKKKKKSKAKSKSAVSDAPTEFEFLFT FDKPGFSESELAAMALASGKKSKPNKSQAKKKQVSTSKEQPSPVEVSPPSTNSTYVTL RKAPDNSSEVQKMQLRYGKGKRIHAAKKKPSAPVVKADKLAGPPAAPAAFKFNF H257_16832 MQQLAMVKWMVLVVFTVAAVGQPSPTCSADTKFMLTTCAAEVQQ SILYPRLQLPDRICRGVVCWPFLHRQVIPCVDATHILYERVRSLCPGKNGDATTKEVS TMPMTTTSAGPTSSSIAPFNHPAPSRGSPATPTTVAAVEPILAIIPTPGAMNASSVDA SVPAFEDGKHVTMEPSIAADVSAMASALAHNGTNSSWIVAPRTTMGVYAKTPEARGAN TTMDDSMVGDGETSVRTNPGGPPTQSNGATTAFASGVVLLLAHLS H257_16833 MVWWYGPCAAWSCCASISCKENATSIYELSELISAREGTDGHQV YRATHRDTGDVVALKVLPRSFLIDSKQRRHMRREIQVLTKLNHPNLVRLYDVYESSNT VEIAFELAQGGQVLRRVLEPHASVFVLSEAELSRAFADIVQGLMYLHALGWIHGDVRP EHILYSEIDGSSKAMLVDFGCAGPPSIFEQSLNQQRSRDPRFLPPALRHSHPMLLPSF QHAVQVDLWALGVCLYVMLFAQFPDVEASTLSFPREFCHVSRAAKDLVGRLLNSDPDK GMSAADIAEHPWLRQPSVAPRGRWNPYILALHEQFVTTFGQPENSDGDLPCPSSRRLH SSSSSDPHSSADMPRPSWVSTDGVLVLDSVAMHSADAFIHQADMDMLDNVRQSEDIPL TLDEVEAVKTDRGWRVMCKELGLSAPSSQSSSRTPYGSMPSP H257_16833 MVWWYGPCAAWSCCASISCKENATSIYELSELISAREGTDGHQV YRATHRDTGDVVALKVLPRSFLIDSKQRRHMRREIQVLTKLNHPNLVRLYDVYESSNT VEIAFELAQGGQVLRRVLEPHASVFVLSEAELSRAFADIVQGLMYLHALGWIHGDVRP EHILYSEIDGSSKAMLVDFGCAGPPSIFEQSLNQQRSRDPRFLPPALRHSHPMLLPSF QHAVQVDLWALGVCLYVMLFAQFPDVEASTLSFPREFCHVSRAAKDLVGRLLNSDPDK GMSAADIAEHPWLRQPSVAPRGRWNPYILALHEQFVTTFGQPENSDGDLPCPSSRRLH SSSSSDPHSSADMPRPSWVSTDGVLVLDSVAMHSADAFIHQADMDMLDNVRQSEDIPL TLDEVEAVVCACWL H257_16834 MDSTGQGGDSPSCKSQPAASLSATPSRPSQNLETEPLLAPCDTV YITLDSKEYPSPQAPINDDPCDQVPTLRSKVLQFVDSNAFSRWIVSQWNETFAFESLC VRTTFRNGGVPSYSWQGLPLIYAAILVGIAVAVVADGLTYKIDDDDDDDDDDNNKRKW QRRPSSTDISDAIHQYTWYHVLVAVLVFNACYALAAYRENLQGWRRHLQMTKAMCVVS AQVLFFLKMFGAFHGSWLWVAAPVVPWTALLALRLQWQTLVGLTAIQAAYKLSSENTA ETDQWSWALVFVPIWVLLVLIASLWLLLRRSSYGVVYYWLPQVFGFFFVAVPWVIKMQ VYPEVDAYATKNPSANATAGGPGQPVIVLDDDDDDPFAFRYWWLLLVWAVPVVFFAFH LVITALYKTFAARQQHI H257_16834 MDSTGQGGDSPSCKSQPAASLSATPSRPSQNLETEPLLAPCDTV YITLDSKEYPSPQAPINDDPCDQVPTLRSKVLQFVDSNAFSRWIVSQWNETFAFESLC VRTTFRNGGVPSYSWQGLPLIYAAILVGIAVAVVADGLTYKIDDDDDDDDDDNNKRKW QRRPSSTDISDAIHQYTWYHVLVAVLVFNACYALAAYRENLQGWRRHLQMTKAMCVVS AQVLFFLKMFGAFHGSWLWVAAPVVPWTALLALRLQWQTLVGLTAIQAAYKLSSENTA ETDQWSWALVFVPIWVLLVLIASLVRLDVCVVIFILSFSPKCG H257_16835 MFNLATKPTPRAMARQLNLPETPHLPDESMPTPTYEQPGDRAFQ NYKRIVFSIASMAVVGTVAALALYNPNASTSNASVGASALGRPDLSNEAPPQHPELPA ELDRLARQNAATTTVPYLRAGAPDATAVGVPYLVGSAGTWEDKPTLQGAALEREVEQL LQAKSNAKSVDTLNGPPSHVKLLELQQAKKQSHDSIAVPYLVISADSYDDTHAVFAKE LELLKAKHNVKGLHEGQPAKDKLLHLLLAKKQHNDGAADVVDIAQVDQDGAVVVPYLW ENSDDQVPLTILGDKSVATAQSVKEKLVKVKVNNAKASVGSQQRRNGLELLNADVVAK LSGDEVFDEGTHDRLEKLLVLLDARNKDQAKYKETALYKAAEKGQVAVVRQLLEHPDI NVNLPNDEATRL H257_16836 MYYSKKHGHYGLKVEASVVPTGFALNVTAAVSGSVADISIFEAN EAFHADKMRKTDAERDTPDAGPMLDEYPNDRAILANKGFQGLHRRMRAITPAKRPPGG LLTMSDMEYNDNIATDRVIVENYFGRLKTLWAIVNESYKWKRENYDLYLETCVALTNC HIRFSPLRVDDSHERNRYLNALMKKAKRAVAVKKHREKRKLRLGTFLPCGENAYFDLD TELYPSGDDSAIFESKAFDQQLFTKSFTLTKVLYDITSHNGNHLERLEMTASVTK H257_16837 MSAQTTPTAYGATEPLVGGPNGVPLAHELQWKAGVFGCFTDCWP NCAMAFFCPCVSLAQTLHRVGVYSYTVGLLVFGVLYALMGISDYIQFTRNVDDNDDMW GPADSWATVYILLGAVNVILVMRVRAAVRDLQHIQGGSVCEDFWCVLCCQCCIIAQLA TEVHAYDKGECQFGPKDSLPGYVV H257_16838 MLLHEFRIPLHMNVEEFQIAELYMTMADTVQVIKNEPYDNRNGH LGKVSAVSGQPIPRTHGQYTLKCYTFDDVPTLLSVVLPQGHMTLIEETWNSYPTSISY LTSASSLLSKSKFVVTCESVHLPGYCEHENALGLSKDDLRRRSVQVLHIHRPLNQHAA SHQWDPSTYTCTKSGRGPLSDGWELKQVPVMTVYKVMRIQFDYVGLRQKMERAICGHL EAVLHRSMRKLQCMSHRWYNLSMADIRILEAVVEFKRSRP H257_16839 MFVYVTVLATLTNHLPMSSPSPRNKAASPVNKADYMAENMVESV PTAVPVDPSTVPMHPGVDHNGLVVGRWKADVFGCFTDCVPNCLMASCCPCVSLAQTVH RIGMYTFTNALLVFGALYVATSVLSILQSSSMSSTVVYNRSGWVVYSTTSTIWSWISL CVQILSIVLIMVIRKRFRITFQIPGSDCDDCCCSFFCSCCVMAQMATHAEAYTPHECT FGPKDTLAGYQF H257_16840 MSSTTPKDQVASPSNKADYVADTNAETAPVAVAIDAADVAMQPD LGVDHNGLVVGRWKADIFACFNQVVPNCLLPTFCPCVSLAQTLHRVGMYTFNNVLIVF AALYAAHVVLSFIQTSSSSILWSWIGLALQLVAFVMVMVVRRRIRTAFQIPGSDVEDC ACSFFCSCCVLAQMATQTESYTPSECTFSPKDTLPGYRFE H257_16841 MCPTTAPKPNPLVARHNRFTNRNTADMTAHTAIQPKPHLFPIRH WLPSPNDTAWTSRPNMTPPKPSPTPTDVHTDYSKPMCPPAAHLTDPPYNRFTGFNTTD TTPHAAIQPKPHLFPTRHWLPPPNDTAWTPRPNMTPPKPSPTPTDVHTDYSKPLCPPA AHLTDPPYNRFTGFNTTDTTPHAAIQPKPHLFPTRHWLPPPNDTAWTPRPNMTPPKPS PTPTDVKTDYSKPLCPTISHLTDPPYNRFTALNNTAMTPHAAIQPKPHLFPKLITQRH GMDTPAEHDIAETVADIDGCQNGLQQTAVSHHLGLARRLMQSWNQRMHSSDEEIDVII STAVQEAVRQAAVEHSRSWSGSRRGRQPNLDRNRAGGHQQIVDD H257_16842 MVLAQKDLALLLAHAKTKRQRRFVSAVIAAQAVERPLIPDVRFD LNAMSDANALLEFRFDVAGVQQLGFLLGLPAVVITTARNRVLRDEAICILLSRMAFPT RLFDMARTFGRSRPVLCDVFLHVLNEIYDRWNHLLYFNYKLLQRNVDRYCAAIHRKGS PCASVFGFIDGTKIQTCRISTVNDGINLQKEIYSGHKRVHCLNYQAVTVPDGLCAHFF GPIEGRRHDTTMLRESRLLGYLANHPEVFSRKVIYGDPAYGVSEHMLSGFKGNGLSTM QRDFNNLSNGTLK H257_16843 MSSSPSHPTTTTSTTPRETPGSPANNYVATVDKSVDDHVPTAVQ IDVASVPLGATVDDNGLVIGKWKSDIFGCFNDLVPNCLLATFCPCVSLAQTLHRIGMY TFNTVLIVFAGMYLLYLVFYILQCSASSSISFNSMGYPVVSAAATFWWYIALALQIAA FVLFMVIRMRVRKAFQIPGTPLEDCACSFFCSCCVLAQMASHTESFTPNQCTFSPKDT LPGYEF H257_16844 MWDIIHLEEKWFNADKVRHKVNLDRRTNDPLRVQAHYTKATGSS PRPTRKVMSAESLRVATYMQEHPDDAAEIRDELKFWNEVMDDQVPMTKERAEATLPFL TALECCPLFTNTSPIMLCPPGLDPLDVRSFLTAESSSHLHADQASDEHRPGQPANLGR PDLNPSFSLIGPTVSTFQFTRVPHPSLAAVAH H257_16845 MAKRGQVMVRWSAVAEAVQSQDGFDRPGFDGKRAQNRFTLLLEG HRHKDEEGKRASGTDEGYGEKFQLLDDLLSAFDDWKNEEKVRLEEVQQEADRVDAMAA TIRDEAMKSLGKRKKAGQDDGEARSGGGSAMTKMMKMMHDDSKADLEFRMRVYDSDLK EREIIREKEFEDRRCERELRAEQLRFQHEQLRVQHEMMMKLLSTLGQSQ H257_16846 MLRESRLLGYLANHPEVFSRKVIYGDPAYGVSEHMLSGFKGNGL STMQRDFNKWMSRLELFRLQCF H257_16847 MSTTPTKTHATTDFIAADKAPAAVDVAAVPMTGSTFDQHGVVVG KWKADIFGCFTDFVPNCLLASCCPCVSLAQTLHRIGMYSYTTVTASPTMTRYTIGISF TYFYITMVLQCCSLPHSS H257_16848 MYTFNTVLIVFAGMYLLYLVFYILQCSASSSISFNSMGYPVVSA AATFWWYIALALQIAAFVLFMVIRMRVRKAFQIPGTPLEDCACSFFCSCCVLAQMASH TESFTPNQCTFSPKDTLPGYEF H257_16849 MVRWLHVEHPQYVTTQGVVVPVTPALCKLMFSYASVKRSLNGME LVPRKYNSVSTINRVKSAVVFLHREAKVAVSTELNAMMKEYVSDYRRKFAQLKESGEA PITEEKSPLPFGGYSYLASVAVATEYDYSCLGVLLLTRGAQVPESPTLLFGYNAKERF SAWLAKTCAANADDIAGLGLSISDIVMVWLRACWSLGGVQGRYIFEGSGGDQFEGRAA TGLNVNDVEFGALPPHFGQSVSLSPAQKELILPVYSSFYPATFRSTVPYLLASLVHHH AWLKSTLHHSHPLFLYHVWLSGSLPALLAGLHGGTLYNPIANMMATEMSYHTVYDTIS NQ H257_16849 MVRWLHVEHPQYVTTQGVVVPVTPALCKLMFSYASVKRSLNGME LVPRKYNSVSTINRVKSAVVFLHREAKVAVSTELNAMMKEYVSDYRRKFAQLKESGEA PITEEKSPLPFGGYSYLASVAVATEYDYSWYVTAHSFLLLYWNLMARVVSTSSIRYEQ ITWKSCTIPFNILFIWLLHDALRISFGLMKNDQEGRMSYPRHVYTYPSHPAICPNLSL GVLLLTRGAQVPESPTLLFGYNAKERFSAWLAKTCAANADDIAGLGLSISDIVMVWLR ACWSLGGVQGRYIFEGSGGDQFEGRAATGLNVNDVEFGALPPHFGQSVSLSPAQKELI LPVYSSFYPATFRSTVPYLLASLVHHHAWLKSTLHHSHPLFLYHVWLSGSLPALLAGL HGGTLYNPIANMMATEMSYHTVYDTISNQ H257_16849 MAACRASTLMFSYASVKRSLNGMELVPRKYNSVSTINRVKSAVV FLHREAKVAVSTELNAMMKEYVSDYRRKFAQLKESGEAPITEEKSPLPFGGYSYLASV AVATEYDYSCLGVLLLTRGAQVPESPTLLFGYNAKERFSAWLAKTCAANADDIAGLGL SISDIVMVWLRACWSLGGVQGRYIFEGSGGDQFEGRAATGLNVNDVEFGALPPHFGQS VSLSPAQKELILPVYSSFYPATFRSTVPYLLASLVHHHAWLKSTLHHSHPLFLYHVWL SGSLPALLAGLHGGTLYNPIANMMATEMSYHTVYDTISNQ H257_16849 MAACRASTLMFSYASVKRSLNGMELVPRKYNSVSTINRVKSAVV FLHREAKVAVSTELNAMMKEYVSDYRRKFAQLKESGEAPITEEKSPLPFGGYSYLASV AVATEYDYSWYVTAHSFLLLYWNLMARVVSTSSIRYEQITWKSCTIPFNILFIWLLHD ALRISFGLMKNDQEGRMSYPRHVYTYPSHPAICPNLSLGVLLLTRGAQVPESPTLLFG YNAKERFSAWLAKTCAANADDIAGLGLSISDIVMVWLRACWSLGGVQGRYIFEGSGGD QFEGRAATGLNVNDVEFGALPPHFGQSVSLSPAQKELILPVYSSFYPATFRSTVPYLL ASLVHHHAWLKSTLHHSHPLFLYHVWLSGSLPALLAGLHGGTLYNPIANMMATEMSYH TVYDTISNQ H257_16850 MDSVQDGVAEDTKFVGSLLVACLAGPRTLKNKMDETSSNDHPEG DHTPALSGMHGADESDNEPITVGIPSQAPAFGDLLGIHQKFNDANEFVTCVKAWARVQ GFTLLRTGNNFSEKKPHPVHGGRGAIMWRSTLYCTHKDQACSGRSTCEWHIKFS H257_16851 MANAVLRQDGTPAASRTAARLLRRKEQPVQQVWKEFIQRGTTTT KPQASRDMSHRTRLPVTSDLIQEFVRHRRQDRQRTVAKDVAHFLRSENRLDFDPESES STQHVR H257_16852 MVWAIVKGQYTQGAKFKDVHVRLTQAFAELAACSIKGCIHKADR QLNKLAEYIMEQQEVDASDSDDDNSDDGNDSNSDSSSSESDSTLQAVDYC H257_16853 MIIFERQINLFIARFQKKHLTWDERRAVVDHLLLRVGQPSCKLQ LGAISDVARLFGRLRHTIAEIWKRANVSLGSDTLPTSLVLCNDIATQKKSGSVESQST PTYHRVVEQCQ H257_16854 MVQKFVRDRRATRKRTTAVEVKEMCVHDIDVDDKKQFAASYRTM QRFLEAQGYKRGRRKGYSTYHLSKAIVAGILDFPTMASKVMALDIFTGGKSRAKEPKD YHGMFDHAYYVKCFGRLLDEMDASGVTKALIVLDNAKYHKCLPESTPTSGRRKSILLD ACRLYGIQTTGKEFKSELWDMLASHIKAHIHPVIVEMAKHRGHCAIVKGEVGRQYTDM TKFADMKIRLEAAFANLKPNSIKGCVRAAQEKLKKLHEHLVQIDTLVSDEESSDDSDN SSDDGGDSDMAKHRHDRHARRQRPRPAPSFAHSAYHDVLCNHAILALLLQFQHGVFYQ LLPRYRSWTRQFLSGALTGLTQRELHLSSQTDPRYIVHRAIFDNDLASVLLLQRCRPH LLTSAALPLAAASGHVEMTAFLLDLGLSSSNALDFAAANGHVAVCQQLQDTPSTPNAV NAAATNGHLNVVKFLHSLNQHEATTDAMDGAAQHKHLDIVQFLHFHRSEGCTTLAMDS AALNGDLPMLEFLDTHRAEGCTPRAMDMAATHGYMEIVRYLHTHRPEGCTTLALDSAA TSGHDDIVRFLHENRQEGATARAMDGAATNGHLKTVMFLHTHRREGATWQALDGAAKY GHLNVVEYLHTHGLSTGDAAFALACGNGHLKVVKYLYDKRGATGDVAAAMEAAVENGH LNVVKFLHMHRVAKVTKYVLQLAVACQHQKIVQYLRRR H257_16855 MCQAVRMLASQTTVPTHYSMAAPYVAPSQPPAPRLNLTPLMMAV MSGNDLAVHELLHDPCVDVNEADAEGNTAIFLACGARQETIVLQLLAHPGLDLDHSNH ELATVFHIACLHGLVDTVRYLASHAHVFINQGNCVGNTGFIIAAAHNHVQIVSYLLEH NSLDANQGDDNGLTAFMAACARGHVDVVRLMMTHPSVDVQCRDQRNLSATDMAQMNGH QSVVDLLLTVLPSNL H257_16855 MCQAVRMLASQTTVPTHYSMAAPYVAPSQPPAPRLNLTPLMMAV MSGNDLAVHELLHDPCVDVNEADAEGNTAIFLACGARQETIVLQLLAHPGLDLDHSNH ELATVFHIACLHGLVDTVRYLASHAHVFINQGNCVGNTGFIIAAAHNHVQIVSYLLEH NSLDANQGDDNGLTAFMAACARGHVDVVRLMMTHPSVDVQCRDQVSSFSL H257_16855 MCQAVRMLASQTTVPTHYSMAAPYVAPSQPPAPRLNLTPLMMAV MSGNDLAVHELLHDPCVDVNEADAEGNTAIFLACGARQETIVLQLLAHPGLDLDHSNH ELATVFHIACLHGLVDTVRYLASHAHVFINQGNCVGNTGFIIAAAHNHVQIVSYLLEH NSLDANQGDDVRCHAWIEDIYN H257_16855 MCQAVRMLASQTTVPTHYSMAAPYVAPSQPPAPRLNLTPLMMAV MSGNDLAVHELLHDPCVDVNEADAEGNTAIFLACGARQETIVLQLLAHPGLDLDHSNH ELATVFHIACLHGLVDTVRYLASHAHVFINQGNCVGNTGFIIAAAHNHVQIVSYLLEH NSLDANQGDDVRCHAWIEDIYN H257_16856 MAGDFELLFAIDVFKAHITSLCASGRPPQRGVPLTVTTVSTYEL VRTRSYPSSHSLGQHMSTVLMEDMALREFVADIRVNGKGMLTFTSHEHMTWHAVRGRL PCSQCGRFFNGPKGIRVHQMLNHNISFASAQGEALATDWQLVVYTAPPLLLAAATSHT PSTTTTTSPAATPQPAQPRASLAVPAPMAKVSNPGILAAQAGHTSVLIALVESGTWDP KSEDYNGCNALVWAAGAGHVAICQYLVTSCAVDAHVLQGKRDMRRSPLHWAARNGHIN VCKYLVLELHVAVDSPTHDGTTAFHYAVWNNQLSTCDWLASVGQCNVHAVNSYGCNAS QWACMTGSVDMLKFLHCHQLDFALINRNGHSALHKAAIKGHLEACRWLLNVAGLGWNH MQKDEDGFTPQRFAMENGHVELGAYLAQAEASLRTITA H257_16857 MDPRNDHAQSESELYQTVVHTRQEKLRSQLHKVAGQKAFLRHEH RRLMLQRALLESEYQRFEASLSSDWFCHEDNSTAKDRLRIQVGGQEFEVTLHIARKDP KSLLAALVAPDSPLAPSAVGCFCIDRDWNLFRLMLNFLRDGILPNDPKLLRDLYVESE YWKFDSLKLAIEQGKIQLKPATKPIVNNTSTRPAETASKSGASTTSNATTNASHPWWN EPPHWWGRPPTTQKTSEGQTKADKQPDPYAWWKGTKYKGNDYAKFLTDQLATEHGGQC KPSSEKCNDASTSSSQQPTTTSSHASYLGQSLFSNHPPPHSSTGTIKATPKNEQEYPL MRSTWTSVRHLT H257_16857 MDPRNDHAQSESELYQTVVHTRQEKLRSQLHKVAGQKAFLRHEH RRLMLQRALLESEYQRFEASLSSDWFCHEDNSTAKDRLRIQVGGQEFEVTLHIARKDP KSLLAALVAPDSPLAPSAVGCFCIDRDWNLFRLMLNFLRDGILPNDPKLLRDLYVESE YWKFDSLKLAIEQGKIQLKPATKPIVNNTSTRPAETASKSGASTTSNATTNASHPWWN EPPHWWGRPPTTQKVVSFSHITNHTCIGSDM H257_16858 MAPHGHSHAGYGATNEATPLVSSPKKKSSIALSESSLRARKKLQ WACLFSLVFMVAEVVGGFMAGSLAIMTDAAHLLSDVAGFCISLFAIWVQTLPASSKMT FGFHRAEILGAIVSVLVIWVLTGFLVYAAIERFQDAISDSPQEHVDGKLMFIVAVLGL VVNLALMKILGHSHSHGIGGASHGHSHGGNDDHHDDHHGHSHGGDDDHHGHSHGHDEE HGHGHNEPKKQGDDNGKPSLENLNIQAAYIHALGDFIQSLGVCIAGALIWYNPKWQVA DPVATFLFSILVLYTTVGIIRSSVHVLMEGTPEGFDVDEILHGLETLPSVSQAHDLHI WSLNAGLPSLSVHLVTNSDNVDDTLHEAQNYLISKDIHHSTIQVERALTTYPRDCSDT VHCGQLSPK H257_16858 MAPHGHSHAGYGATNEATPLVSSPKKKSSIALSESSLRARKKLQ WACLFSLVFMVAEVVGGFMAGSLAIMTDAAHLLSDVAGFCISLFAIWVQTLPASSKMT FGFHRAEILGAIVSVLVIWVLTGFLVYAAIERFQDAISDSPQEHVDGKLMFIVAVLGL VVNLALMKILGHSHSHGIGGASHGHSHGGNDDHHDDHHGHSHGGDDDHHGHSHGHDEE HGHGHNEPKKQGDDNGKPSLENLNIQAAYIHALGDFIQSLGVCIAGALIWYVTIPWLE MHVETSCVVGTTPSGKSPIPWLRFCSRSWSCTRPWASSEAPCMCSWKAPPKDSTWTRS CTASRPCLPSPKLTTCTFGRSTRASRRSACIW H257_16859 MMAPRLPLSHPYFATPVLSATDRQSYVDQANASNRDTVRQARNM ELFPVYRESTHDKTQRRVTLRLGHDALNPRLMCMSAHTQVSCTIEAVADLYHTNTIQR SFEHVVAPSVLDRTCLYPLIHRGQVAQAPLHYVSLNWVALQMPSVIADRDFCYLECHS EFQDNEDLRRGWVRSVHSVSMMDEFLCPPLDDKFGITRGRLYRSGQVFVESASNPSIL DMFQVVCVELHGMVSQDIQHAVLVQLAEECTHVDEYFRSVNLCLCRLFDASALASKAH SCACCEKPFKLSLFTSVKRLLCRVCGHTVCEACTEMWDLLYKKPVRVCVACAEQAKEP FNLSASRTSDVPLMTFHPGMLLHDGASPASIDTSQSESSGAVDRLTVDQFLEDHGSGR RPRQTSVTHSTAKIVLFDQNASDDVGDDVSNQKLRDLLKNGHMYNPEAVKQIEAALM H257_16860 MLNHHDRSALALLAAVETSYLAWMDLQSRMASTLWVSLTLLSVY CHHLRPLLQPPPSSLPPGCLGAEQSKTRLHIMWTYAQPKTKCQQALPKK H257_16861 MFPRKASDHAKSGGSVLPKEFYTRPDLTQPESDFLGSIATNMCM EVIIYAQENGGSVKWKHKNSSRDGVQIFQGEEFGGNEDLTYVCGVNTIRGALADVADL FHLTNDDKLASYARVFEPDLIDMFTIHDIVKPSLENPLHYIGVRWSAVESSSPLVKNR DFCYLECQDEFVDTRTNKQGWVRCIHSINIPSCPSLEKTLGFVRGSYYRSGFVVLETD KPGILEITHVLQVNFKGSVPAWWRQQTLRRRVASIGRIDKFLQGKKLSAGHILGDIDL PPKKHVVHCHLCCRRFDLVFTRRFRCRKCAHVICKHCSDHWYLHLPVSGDKRVRICSL CAASTLDKSALSSVDDHQSPNIQRNPSAAAPPLQPPHRRPKSTPNLCPPSIHVEPQCG RSLSNDSYLDSQTNHYSYDDMDDADSFQDSSVYETNPFEKQLQLGQNMTQNIIPTIST TDQGESPTLEHRMRPRDDLNDSYLESVRSIHIGRHNHNASTTTSPTSPGNATDWFNAR LFGQADVNLDPLDRQWGNAFTSYGQQTSYDQHQPKYTNKDAGNETSSFGSVDSDRSSS SSAESSSGGFRYSLQVPHQ H257_16862 MVKVLISLGVLAAAATAGSVTELPESVTKLIDYSINPCEDFYQY ACGAWHKDAVVPPDKQKIDTSFYEIAIQNKAIFKKIYSDNKTKLGEFYNSCLDTATLS SLGLTPLEDSFKAIRSANTTLDLLVVAGELTKNGIPVFMDIKSEPDYNDSTKHALFGF RAPLPLDRPYYFNYFKWKAVEAEYKVYIASVLQLAGYTAEKAAAAVPVIVRFEQTLEG VIPRELKEMEAAAPLYTAFTYYQLDQKYPLLIGSWLKANGFDVRDEGGRSTVWAGFRE EHYFDKTEVLLKNTTLENLRTIVEYKLIHASSKHLTPEFRTANWNFFGKKIKGEDVEP TREKYCLSETEKTLGDLLGQYFIDEVFPADTAKKADELVKALKSSFSTGIATADWLDN STRANAQTKLSKFVHLLGGPEKPQLYPTLTLDSKSYLNNRWKVSQVNIDTNLKLNGQP VNKHKFIPAPHDVNAFYHPYTNQIVFPAGILQKPFFDGQFDAAQNFGAIGMFIGHEIT HGFDHIGRNYDGDGNKNPWWSNATNTAFTTRAQCIRAQYSNFVVTSEVTGAVFGNING KISLGENIADNGGLKTSFRAYHEYLKTFPSQYTEEAGDKLFYLSFAQAWCSKNADDYL RSILRSKYLSGRLRVTGALQNNAEFARVFQCPTDSHLNPSKKCLLWE H257_16863 MPINANAVLNRLQDQTIRDWSYLEASFTTHGEATRAANESDEAA AHPIMDKFITDLGSEEIRTLTNFTVTEFETLWSFVGTAMQSAWMEGRGRRSPTSPKDA MFMALTVLKHFSSWEKHAADYGFKAPTFEKLIMRVLSVIEPIFYRRFITTETMAELTQ TGQRFAHFPYALYAVDVKFQPSNRPADRFAEQKHYFSGKHHLYGYKIEAAVSPDGRCV AMSTADPGSVHDLTIMNSRKHVHLANLAKSASESLVPDHGEQAALHRGSDLERNANVS SNRVIVENFFGRVCSLWRVSCATYTWSERNYSAIQRVTFALTNFDLSLLPLRHDDEDF YGRVLARYQRMANEKKRKRQETQRRYRLNRQERAAMDAVRVMRF H257_16864 MNKALVEASSGSLGALFACLVLFPLDVAKTKHQASTDSTTKTKE RSTMALLRSIWTEEGARGLFSGLGPKATHTVLSNFFYFYWYAWLKAAYAKSRGPLTTS ATLAIGAAAGAINMTITLPLEVLTTRIQTTTSSSSSSAAELLGRMYREQGVLSLWKGY VPSLVLVSNPAIFYTIFDRLKLQLGRQLSAVEAFVLAAIAKAIATILTYPIIRAKVLM QASKTNNHDTASLSMFQVLQRTWNEDGGVAGLFQGCNAQLVNTVVKSALLLMTKDQIA QLTVRLLYPFRSIPSATS H257_16865 MAPPMPSSQLPLPLPTNFFHTKALDARSQQSLLHTAQSCGAHLL RNAHAMDDHLVYAMHTDPASHRRMKMVLGKDTLDLSLTCMIGHTQFHASLEDVAAFFR SDSLGCAANDGLELDSRHLYTLAAPTKDDPLRYTGVHWTAYKMPPPSTSPRDYCYLEG HSEFTDPKTSRRGWFRVLQSVDVAACPSLLAPCGILRSHWFRSGHVFMESGRHGLLDC YAVLAVAPGDHNQHHGMSFMRKWITQVMAVPNAFLTRRLATAPLLPDDALRPKDSVKM CMVCTSRFNLFNSKHHCRLCGQVVCGNCHLSWKVRNTKVRMCVQCTDRGGVTSFRDSC SMTWTSSLDDPRRRGGPSSNQHSSLNQRESFASSADATATSSCSDDQHLVLGDLDCLG SFTVEGAKQLNYDHLFDFSVLLHTHPLNDTTTTNQVNAAAAHPPFRLKPTALYPSNVA TATATTAAATMTAAATLFYPSDRSTATRGATATATTTAAATLFYPSDGATATRVATTS AATEGIATRMATATPATNVKPTTTLYDATMLQPLSVAKKCDTVKAAPTLDMATYSESV VNVQALLHSKRTDGCSTSSTSTSSTPCAPPTTHSATLLHELLEQMYDGKSAIVQGLYR DLVAQTSSTTTPS H257_16866 MTTNLQRQLDAYAAAMGVPMPAEMARHAISQLFVLEKLFFEDPP HPTSVLHDPTHWTALVGGSSLHVTSSPLANSAFSITSSSSKFSTDDATGTSVVLSEQG YTVLDPFAQANHTTYSLAALEAGVHALHAAGYPPAFIFVFDEAWAYLDAVWGAVYEPL LGPGCVLEADLNCWHLHRPVSQTTASNPYIGVNFGAAHRDLGFDQCHDADEQFTSLTC WLPLNRHGATADNGCMHVVPIDADDFFYAPHHPQHRRSVHSPSAVPLIVPAGHVATWI PSLVHWGSACETTCSSIEPRMSMGATFRRQTAKRSQFVPGEDATDGPQPLNRDQLPHL TLEKRLAYVAKALLAYSHWYPGMSGLNLPPDRDDTVLHE H257_16867 MTTPNAMKLNEHNYCDWNTYFQGRLMAKGILDQFTVRPTNPPDL DDQKAFGILIETIEAGQYRHVEGAANVKTEYEALAIHHRPTTKIHRIQVAMEWARLSW DMRQETLPYFIHRFQTLVKRRHEVGAPLFTPSPPPLQRYHRTKMSSIASNSRSQKNTS TVPGTHRYDPDV H257_16868 MTTPNAMKLNEHNYCDWNTYFQGRLMAKGILDQFTVRPTNPPDL DDQKAFGILIETIEAGQYRYVEGAANVKTEYEALAIHHRPTTKIHRIQVAMEWARLSW DMRQETLPYFIHRFQTLVKRRHEVGAPLFTPSPPPLQRYHRTKMSSIASNSRSQKNTS TVPGTHRYDPDV H257_16869 MAEPSTPLSTSEMTRLREKRERHKKNKADPLVPLDGLLDRLLQK HIDPIIVSQTAQWMAAHDALSVQVQIKLRAFALSDRTKSFNHKVMLFYVLHEFLKIGA PVVDVPSRKQSTAASFDAVRRTRHMEWMATVEHILHACVREYASVRDSYKPRDMKPIE DHRKKLLKTLGRWDELGIYRGKLKEWKKVVLGEVKVRKAPPRILQNVVAPFDKEGLDL RRELDRGNIAWFFEPVEFRSPRAKLRHWRFTGAAFIDTLGRCLGLEAHVVVAAMSFYQ TLFKRGFYAKERYKLAAAALFLSAKASSQRMKLLRMVHVMHYVLETPLVTGDEEKEEL ERLHLLHYELQVLQGIEFDLTIALPFDAFAAAAAAFPPAVKDAAFVALKELYWTKMCI EFPVKTLAAAAWYIATGAADPKAIRRLKLDKVLEHECDVIQDYYIECKEWKAGQRGEF DSRTESDADLKRYLAAQRGGLSNLLPGMENPREKTNLKPDELELVGDLRLELAATQAS AAIKPPKVNLDKEPKPTDRKPRDTDDHHSRSRRHRDRGRSGTKYGGMRKDHHSSRSRS RDRRQKPRRDSTDDRRTSRKRGRSGSSRRRSDSDSSDRYRKDRR H257_16869 MAEPSTPLSTSEMTRLREKRERHKKNKADPLVPLDGLLDRLLQK HIDPIIVSQTAQWMAAHDALSVQVQIKLRAFALSDRTKSFNHKVMLFYVLHEFLKIGA PVVDVPSRKQSTAASFDAVRRTRHMEWMATVEHILHACVREYASVRDSYKPRDMKPIE DHRKKLLKTLGRWDELGIYRGKLKEWKKVVLGEVKVRKAPPRILQNVVAPFDKEGLDL RRELDRGNIAWFFEPVEFRSPRAKLRHWRFTGAAFIDTLGRCLGLEAHVVVAAMSFYQ TLFKRGFYAKERYKLAAAALFLSAKASSQRMKLLRMVHVMHYVLETPLVTGDEEKEEL ERLHLLHYELQVLQGIEFDLTIALPFDAFAAAAAAFPPAVKDAAFVALKELYWTKMCI EFPVKTLAAAAWYIATGAADPKAIRRLKLDKVLEHECDVIQDYYIECKEWKAGQRGEF DSRTESDADLKRYLAAQRGGLSNLLPGMENPREKTNLKPDEVRIRWDCSQLCLYVAGT RGRPPTGIGRHSSLGSYKTTKS H257_16869 MAEPSTPLSTSEMTRLREKRERHKKNKADPLVPLDGLLDRLLQK HIDPIIVSQTAQWMAAHDALSVQVQIKLRAFALSDRTKSFNHKVMLFYVLHEFLKIGA PVVDVPSRKQSTAASFDAVRRTRHMEWMATVEHILHACVREYASVRDSYKPRDMKPIE DHRKKLLKTLGRWDELGIYRGKLKEWKKVVLGEVKVRKAPPRILQNVVAPFDKEGLDL RRELDRGNIAWFFEPVEFRSPRAKLRHWRFTGAAFIDTLGRCLGLEAHVVVAAMSFYQ TLFKRGFYAKERYKLAAAALFLSAKASSQRMKLLRMVHVMHYVLETPLVTGDEEKEEL ERLHLLHYELQVLQGIEFDLTIALPFDAFAAAAAAFPPAVKDAAFVALKELYWTKMCI EFPVKTLAAAAWYIATGAADPKAIRRLKLDKVLEHGTHVSDIYIYINIL H257_16870 MTELQTCRYSHGKCKQPRATKKNGTMHTLCEFHRTKACAHQKKL DAKRRDEKLRLLENKKSMKQHRHPDIHLWKEDENRIPMMGAADKFKPVWETSDNAAGL YSTYIGSVSHHQNLHQHHPPPQTHISQHTPRSDLYDSGGGGGAYDDHQQRYKYSATQG GNTSSSTLLSRTSTESPLGRRDDSSRPPPFPSRADATAGGFPSYASPTTYSTYTESAV YDRDNHHLPPRMVHHGPHMTTFDTRYDYYEDAPSPAAGYPSRPTSALTFGQYPPQPVY HQ H257_16871 MAFPAPSALFVAAIAIASASDKFTNGIHRHLEQSGSVPAVIVSF KKSHQEVLASVPDINSATSRGAHIASIRQALVAHAKTSHSDVLAALHAFESTTETTLT FDSLWASNVVYITNPSESLLNTLAAIPSVDKIRPAVTAHLPPIKIEQESASSPHANEW GVDVIGAANVWASGNKGKGVVVGGIDTGVRGTHEALKTNFRADHGWFDPYHNNTAPVD VAGHGSHTMGTSVGTTGVGVAPEASWIACLGCDDQDCPEYALLKCGEFMLCPTDVNGN NPDCTKAAHVVNNSWGSNDASDTFYDATIAAWRKANIIPVFANGNAGPKCSTVGSPGQ GKLTFGIGATQKTDAIASFSSRGPAPDGRIKPDISAPGQSIRSSVPTSDTSYAVYSGT SMATPHVTGAVALILAAKPGVTYDQIYKAFISTTDTASLTPTNQTCGGVSELQYPNNV YGYGRLNIERAIASLSSSTPSPTTTKPAC H257_16873 MCAYVLAYPNACRCCWKCDRDLMDTADAAEISHVHADDDHHDEL CMYKSGKCSEKRSWKNGKQLKLCDAHRLEQNAIKMRSDKGLSMRRKAVREEKKRIERM RHAEERKKMYLDASWTMGQASGATSEDTRQTVPWNGPFVDIGDDVVTAMCLHTLERLG NDVLVPLYEQHPELDDGQKIVVMKVAMVELHKKMMQQLLHMEQAGWIHSTTTWSPTAA DADLCLHPDN H257_16874 MSKGECQTKNVNEYDNEDELNEPPSKILDEGDIALLKTYGLGPY SRSIKSVEDDIKKVQQSVNDLVGIKESDTGLSIPSQWDLVSDKQMLQEEQPLQVARCT KIINAGEDDAKYMINVKQIAKFVVGLGEKVAPTDIEEGMRVGVDRTKYAIQIPLPPKI DPTVSLMTVEDKPDVTYDDVGGCKDSLEKLREVVELPLLHPERFVNLGIDPPKGVLLY GPPGTGKTLSARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFTMARSKKACIVF FDEVDAIGGARSSGEEGGTDNEVQRTMLQIVTELDGFDPRGNIKVLMATNRPDTLDPA LMRPGRLDRKVEFTVPELEGRTQILQIHAKSMSCDRNIRFELIARLCPNTTGAELRSV CTEAGMYAIRARRKSVSEKDFLESVNKVIKGYQKFSSTPKYMVYN H257_16875 MKVGVVGLGAIGSLFFNRILHQINPRNMPRSKTDRTNQIYALVK HAHLPHLKKVSILDQQRRLVLEVPTHTSPNADAFCTDYFVQQARSLDALLVTVKSTDT YEVAARLKAGNAINKDSLVISLQNGLGNVKILKDVLETDNVLHGVTYMSGVSIGPGSV IQGGAGTTIIQNAEHLSDSVQDTLGHLCTLLAASGIQSQLVPSHDLQSVVWTKLMVNA GINPLGAILNVPNKSVVTGQDNLAIVEAIVNEVAAVANAQGITLNLHDQTPLAFTTAA AAATGANFCSMCVDIQRRKPTEIGSINDMIVAYGQQTGVPTPCNAFLTHVIKALERVS TLS H257_16875 MKVGVINPRNMPRSKTDRTNQIYALVKHAHLPHLKKVSILDQQR RLVLEVPTHTSPNADAFCTDYFVQQARSLDALLVTVKSTDTYEVAARLKAGNAINKDS LVISLQNGLGNVKILKDVLETDNVLHGVTYMSGVSIGPGSVIQGGAGTTIIQNAEHLS DSVQDTLGHLCTLLAASGIQSQLVPSHDLQSVVWTKLMVNAGINPLGAILNVPNKSVV TGQDNLAIVEAIVNEVAAVANAQGITLNLHDQTPLAFTTAAAAATGANFCSMCVDIQR RKPTEIGSINDMIVAYGQQTGVPTPCNAFLTHVIKALERVSTLS H257_16875 MKVGVVGLGAIGSLFFNRILHQINPRNMPRSKTDRTNQIYALVK HAHLPHLKKVSILDQQRRLVLEVPTHTSPNADAFCTDYFVQQARSLDALLVTVKSTDT YEVAARLKAGNAINKDSLVISLQNGLGNVKILKDVLETDNVLHGVTYMSGVSIGPGSV IQGGAGTTIIQNAEHLSDSVQDTLGHLCTLLAASGIQSQLVPSHDLQSVVWTKLMVNA GINPLGAILNVPNKVLLGRNPFNIDIQMERERGHRSRQFGDRRSHRERSGGRGQRPRH HAQPP H257_16875 MKVGVVGLGAIGSLFFNRILHQINPRNMPRSKTDRTNQIYALVK HAHLPHLKKVSILDQQRRLVLEVPTHTSPNADAFCTDYFVQQARSLDALLVTVKSTDT YEVAARLKAGNAINKDSLVISLQNGLGNVKILKDVLETDNVLHGVTYMSGVSIGPGSV IQGGAGTTIIQNAEHLSDSVQDTLGHLCTLLAASGIQSQLVPSHDLQSVVWTKLMVNA GINPLGAILNVPNKRGHRSRQFGDRRSHRERSGGRGQRPRHHAQPP H257_16876 MSLPFADMHGGGDGIRDLDHSVEDVEDDNDTMDLDDDEEDDSDD LDTEKNRGGDRRRQWTSQDDEYILRFVHHHGTKRWSRIARLLPGRTPKQCRTRWLNFL DPTIDKAPWRADETEVIFAAQARVGNRWAEIAKLLPGRTDNAIKNHWYSTSRRRQRQA AKQRDVLAKRMKTIKPVQSLARPKKTSVSITDAPRRLAPHDFSDMSPPSTSSFSLQNQ PSAWHMLHRPPNQNKAPNEPLVPLRPMPPPPSPVKVAPLELKHKRLESVLKTHHRDRS NSADLFLDFLTHVQK H257_16876 MTKRMTRTTWTRRRTEVATGAGNGRPRTTNTSSGLCITTAPNGG RELPGYCRDERPSNAARGRLYMCPLCTRAHECRWLNFLDPTIDKAPWRADETEVIFAA QARVGNRWAEIAKLLPGRTDNAIKNHWYSTSRRRQRQAAKQRDVLAKRMKTIKPVQSL ARPKKTSVSITDAPRRLAPHDFSDMSPPSTSSFSLQNQPSAWHMLHRPPNQNKAPNEP LVPLRPMPPPPSPVKVAPLELKHKRLESVLKTHHRDRSNSADLFLDFLTHVQK H257_16877 MQFEGAGITLERNVIAMLSECGDIPPMYEDPDFAAKPISLYLNP DKVPEYAVSSKRTSNGAADDSAVAWYRPGHVTADPDYFKCTAGCGVLREGTGLNDSWL VGVFAALALHPDNLIENLFVSPMHDFKTYGIYTCQFYKDCQWLEVVTDTRLPYSQSLD DVPKAANNSVSRPGHWLYGSSVDKSEVFIPLLMKAYAKFHGSYEVLHNGSILEAFVDC TGGSVKKIDLTSDSSRKLIETGELWPKLVNHVNFKSVVTVQLKMAAMLYNEVTGSGIL KNHLYVVQHVKELGSLKFVKLKNVWQKGLWKGDWSNDDSKWEDNLQVEAALRADPACE FNRTKADGTFWMIWEDFVEAFNELYIVRNFPSTFHQYCVRGEWIGQAAAGPPMKPPSE ATSSSAPGRKWLIEPDSEPSWFLNPQYRLVVAEKTSVVVSLLQRDFRVFGGDNFGVNF VLLEVKKRPVAASMVWEWDKLAVVAEGHTGTGGEKCHPEREISKGSVVLEPDVAYIFI PYTDHGGVEMEFFFRVFSPKPVQIDSLMPLNTLVVQGRWRVDDDGNTNAGGPLVHHLT SGVENLNWCQNPQYLLRCIGASKPVDLKLVLKRTGLKSTAKGHRRDHQKDKGQLIGLA IVKPDADESAAQQQLGKKKEKTNFLGEPVNPKKASVEYATVIGGLPSRKLLVKADEYC VLSDFSSAHVASVFLRKVPPEWLAKGLLVVPSLGEARGEGGYDLEVHSDASAMTLDEI PSTLSQTIAGEWSDKAGSAGGSHLSAEWKKNPKFYLTLKCVRPAAVTIDLYRSEFEWR AKCKKDSVGAMMGFYLFQGSKGTRESSTVVVDGKRWTETDFVPLHHVSISDLSLPPVF NESYVIMPATWEPNKCGRFLLSVSADCEFTLQGEQES H257_16878 MLLGSVCMLALAAAATSSEVNLSVVLPGNYVEVTTTIPVNLPFC ASAQWAVQGKTYDGLTACNAPSNLVGAVLLSVNPFRCAEYSLTTDVRGVFGCNRCYFG SLATPTQVFPAEHPNSQSNVFYVRESVTGSYNMASCLYTQDKGLASLCDVVHRDSIGG PSNATCIKGTLATPFATPLNDAAPCKKYAVVDGEIACK H257_16879 MMAASPAAATTMTPADCSEGATSPATTDVPADMRCRYAYKECRF VRSQRKNGKLHSLCELHRRKANSVQKLYAMKRRNTPTESARNRQTANNNINAPLGRSP MLPSSMKMESSHLYPPTHHYYPSPQHHHRHPEEAVDDDTYQRLMEIKQRIQDAWERRS FPPSADGPVDCYPSSPPAAYRLPSMYAAQY H257_16880 MAERAPQSEPIGERKPLLSNANASARSEPTGSLLRAPIPPPITV ARVSDVPGSSLSFRTREEPSASSSVGSTSPKEEAPRQFMILGDYGGNLQSELSEDSLF LPDSSKLNDHEKKRKAQHMESFDYDFFESRVNQQHEHEQTEEEIHMLNLGRWVMTFFI GLGTAGVACFIEKFTELFSSIRLETMERMLVKEQTGHSNFGSAYLVYAGISLGYVAIA SYCVAILCPVAGGSGISEIKATLNGIKIHRIVRLKTLFCKALGVLFSVSGGLPVGKEG PMIHSGAIIGAGLSQGKSSSFGLDTSWTKFKGFRNDKEKRDFISSGAAAGVGAAFGAP IGGVLFALEEGASFWHQNLTWRTFFCSMVSAFVLNLWSAFQNQDYGTGGEKWGHLGNQ TGTLSFGSFDAENKSYAIWDVPIFLAIGMVGGLLGALFNQANTYLSLFRRATPALSHK YGRFLESLGICLVMSITSFWLPVAFGTCLPKSGPYADHLVQFYCPAGHYNDLASLYTV TGARSIMQLLHHTDDVSFTFVTLVVFFASFSVLACWTYGIAVPSGLFVPSLLAGAAYG RLWVHALVYFHIPHTAPVGMFALIGAASMLGGMARMTISLTVIILECTGVIEWGLPIM VCLMAARWVGNSFNHGLYDIHIHLRHLPFLEFDPPFYARYLRVYNIMGSNVIQLAHVA KAGDIFDMLKRTCHSGFPVVNELDKFCGIIQRKHLCVMLQRKDFSLNKPDPYTRKPAG EESFLYNEQYALSYRDIESTYPRYPSITDIRLDSTERDLWMDLTPYMNPTPHTIQDQT PVPRAFRLFRSLGLRHLVVLNRHNQVKGIVSRKDLTPEHLKASLDHLSESEKLVIQGY FTRYSHHSEAIDKTMLRLSRVSDTA H257_16880 MAERAPQSEPIGERKPLLSNANASARSEPTGSLLRAPIPPPITV ARVSDVPGSSLSFRTREEPSASSSVGSTSPKEEAPRQFMILGDYGGNLQSELSEDSLF LPDSSKLNDHEKKRKAQHMESFDYDFFESRVNQQHEHEQTEEEIHMLNLGRWVMTFFI GLGTAGVACFIEKFTELFSSIRLETMERMLVKEQTGHSNFGSAYLVYAGISLGYVAIA SYCVAILCPVAGGSGISEIKATLNGIKIHRIVRLKTLFCKALGVLFSVSGGLPVGKEG PMIHSGAIIGAGLSQGKSSSFGLDTSWTKFKGFRNDKEKRDFISSGAAAGVGAAFGAP IGGVLFALEEGASFWHQNLTWRTFFCSMVSAFVLNLWSAFQNQDYGTGGEKWGHLGNQ TGTLSFGSFDAENKSYAIWDVPIFLAIGMVGGLLGALFNQANTYLSLFRRATPALSHK YGRFLESLGICLVMSITSFWLPVAFGTCLPKSGPYADHLVQFYCPAGHYNDLASLYTV TGARSIMQLLHHTDDVSFTFVTLVVFFASFSVLACWTYGIAVPSGLFVPSLLAGAAYG RLWVHALVYFHIPHTAPVGMFALIGAASMLGGMARMTISLTVIILECTGVIEWGLPIM VCLMAARWVGNSFNHGLYDIHIHLRHLPFLEFDPPFYARYLRVYNIMGSNVIQLAHVA KAGDIFDMLKRTCHSGFPVVNELDKFCGIIQRKHLCVMLQRKDFSLNKPDPYTRKPAG EESFLYNEQYALSYRDIESTYPRYPSITDIRLDSTERDLWMDLTPYMNPTPHTIQDQT PVPRAFRLFRSLGLRHLVHESSCAIVQSTCYCCRWC H257_16881 MADRNAAARVRAEATAKRDREAAKRHLEASGAGPSQYELKGVIR QVGYDINMGDNTLQVARKSQPQGYGGNIPMTAIPLPTSKAKVASSSLNASSTKQDDGD SDLLPGWKATVDKESGDVYYWNKATKETSWDKPSIMKSKPEKGVTPLPYGWEEVQDPL SSDVYYWNIHTQKTQWERPVSLEQAIEAKSKLDNLLQFCGSTAMFPQDDETSRGKTSV VSTSPSSSILYPSNFRHEATAISGSIACTHVVQVDSPRVAALSTAAVHISARRRFARF VNAPLKRLSAFLKKVEYKHQIKRCDF H257_16881 MADRNAAARVRAEATAKRDREAAKRHLEASGAGPSQYELKGVIR QVGYDINMGDNTLQVARKSQPQGYGGNIPMTAIPLPTSKAKVASSSLNASSTKQDDGD SDLLPGWKATVDKESGDVYYWNKATKETSWDKPSIMKSKPEKGVTPLPYGWEEVQDPL SSDVYYWNIHTQKTQWERPVSLEQAIEAKSKLDNLLQFCGSTAMFPQDDETSRGKTSV VSTSPSSSILYPSNFRHEATAISGSIACTHVRTVRTVRGHASFVPLNDDAHDGGVLVG RPSRFAARSRLVDRRRPHLCTKKIRAFCERTLEAPFRFFKKS H257_16881 MADRNAAARVRAEATAKRDREAAKRHLEASGAGPSQYELKGVIR QVGYDINMGDNTLQVARKSQPQGYGGNIPMTAIPLPTSKAKVASSSLNASSTKQDDGD SDLLPGWKATVDKESGDVYYWNKATKETSWDKPSIMKSKPEKGVTPLPYGWEEVQDPL SSDVYYWNIHTQKTQWERPVSLGKTMRHPVARLLSSRPHLPLQFYILPTSGTKRPLSA DPLHAPTSSKSIRRA H257_16881 MADRNAAARVRAEATAKRDREAAKRHLEASGAGPSQYELKGVIR QVGYDINMGDNTLQVARKSQPQGYGGNIPMTAIPLPTSKAKVASSSLNASSTKQDDGD SDLLPGWKATVDKESGDVYYWNKATKETSWDKPSIMKSKPEKGVTPLPYGWEEVQDPL SSDVYYWNIHTQKTQWERPVSLEQAIEAKSKLDNLLQFCGSTAMFPQDDETSRGKTSV VSTSPSSSSTKRPLSADPLHAPTSSKSIRRA H257_16881 MADRNAAARVRAEATAKRDREAAKRHLEASGAGPSQYELKGVIR QVGYDINMGDNTLQVARKSQPQGYGGNIPMTAIPLPTSKAKVASSSLNASSTKQDDGD SDLLPGWKATVDKESGDVYYWNKATKETSWDKPSIMKSKPEKGVTPLPYGWEEVQDPL SSDVYYWNIHTQKTQWERPVSLEQAIEAKSKLDNLLQFCGSTAMFPQDDETSRGKTSV VSTSPSSSSTKRPLSADPLHAPTYVPSVPSVDMHRSFP H257_16881 MADRNAAARVRAEATAKRDREAAKRHLEASGAGPSQYELKGVIR QVGYDINMGDNTLQVARKSQPQGYGGNIPMTAIPLPTSKAKVASSSLNASSTKQDDGD SDLLPGWKATVDKESGDVYYWNKATKETSWDKPSIMKSKPEKGVTPLPYGWEEVQDPL SSDVYYWNIHTQKTQWERPVSLEQAIEAKSKLDNLLQFCGSTAMFPQDDETSRGKTSV VSTSPSSSSTEPLYLHSSPVNHPS H257_16881 MADRNAAARVRAEATAKRDREAAKRHLEASGAGPSQYELKGVIR QVGYDINMGDNTLQVARKSQPQGYGGNIPMTAIPLPTSKAKVASSSLNASSTKQDDGD SDLLPGWKATVDKESGDVYYWNKATKETSWDKPSIMKSKPEKGVTPLPYGWEEVQDPL SSDVYYWNIHTQKTQWERPVSLEQAIEAKSKLDNLLQFCGSTAMFPQDDETSRGKTSV VSTSPSSSSTEPLYLHSSPVNHPS H257_16881 MADRNAAARVRAEATAKRDREAAKRHLEASGAGPSQYELKGVIR QVGYDINMGDNTLQVARKSQPQGYGGNIPMTAIPLPTSKAKVASSSLNASSTKQDDGD SDLLPGWKATVDKESGDVYYWNKATKETSWDKPSIMKSKPEKGVTPLPYGWEEVQDPL SSDVYYWNIHTQKTQWERPVSLEQAIEAKSKLDNLLQFCGSTAMFPCVEYDMTRTSSP RNDRWCIK H257_16881 MADRNAAARVRAEATAKRDREAAKRHLEASGAGPSQYELKGVIR QVGYDINMGDNTLQVARKSQPQGYGGNIPMTAIPLPTSKAKVASSSLNASSTKQDDGD SDLLPGWKATVDKESGDVYYWNKATKETSWDKPSIMKSKPEKGVTPLPYGWEEVQDPL SSDVYYWNIHTQKTQWERPVSLEQAIEAKSKLDNLLQFCGSTAMFPCVEYDMTRTSSP RNDRWCIK H257_16881 MADRNAAARVRAEATAKRDREAAKRHLEASGAGPSQYELKGVIR QVGYDINMGDNTLQVARKSQPQGYGGNIPMTAIPLPTSKAKVASSSLNASSTKQDDGD SDLLPGWKATVDKESGDVYYWNKATKETSWDKPSIMKSKPEKGVTPLPYGWEEVQDPL SSDVYYWNIHTQKTQWERPVSLEQAIEAKSKLDNLLQFCGSTAMFPCVEYDMTRTSSP RNDRWCIK H257_16882 MAAKTTDRRWSFVRAQEFFSGDGKPDTLDIRGMTAAAKGVSSKR QKFRMSVVKNGVTIYGSKPCPNAENELGQGLLGPSASTPTASAAAAAAAAPLKDRERI FIEDPRAPCLAIDYSNDTYKMMPSIERFSAHTGVCQILGTKLIRGGKHQVAVGDILRF GSVGLLVTEIDTGRTGASDASLSPADITHLTQRLVCLDETQGDVDSGDDTDEANGNDG SQCDDPSQRLSVTRNSTVNVCYVCYDESEDDNPLVAPCKCSGDTKYIHVNCLKRWHTN GDKNEICAVLDESDARTCSICKAAYPARIKIPSTNTFVSLLPDRLDAPSIMLQVVTKH SSSTLNTSTRYQLSYKTLLNTESARPLMIGRSSQCDLVLKYRTVSTIHAELHYSKGEW FVKDAGSSNGTLRYIYRPLTLPGNQMLHVKFGRTVLSIKPTKKLRLPSLFGLGKNEHQ HEDVHHGHPNSQDGTSRARSLQGRAFSADAVDPQGNMHDVSRRLDHLGINGRS H257_16883 MVALKCRNFSRLVLIFTNVLFLVLGGLLIMIGGYMISSPDLNEF TSDTISGAIIASGVLIVLVAVLGCCGAHWESKVFLCPYATLVTVSIMSQLALAAFMLQ LHKSLVKLSDLDYDVQHEALTTEDTAILSELHRVFKHAYSMCAPEVDLTKTFATGAMA VQCIGGDSSYNWFADFVSQRCMITAADLMPSSPFQKCAGEAIVQNVTILAEQALFCSC EIKLVTWMDNQSEMIGVVVAAIGGFEMALVFLSCYLMCTQRRRSKGYQEIRMPLKMQY NNAHLYDTMTAPYQHNHTQTAPPAYAPLVQNTG H257_16884 MSSTVAAVRFSKEECRCSHPLFSYKYLRTHRAGSIKLLRCFPHC CPDHSSTSFCATSIDLVCSHHGASGIEGGVAFLRMQSRGDPVLPVGAIVAASDVLSDV RSHDNLRGDWVPSVYCYYNEATHEMVYQFNQATGFGWHYGWVGTAKTSHRSTQHVLIG YLFRHVATSSQEPKFCVVASTSSPPFLVMSYRRACAFCQKLRTVSQIHCTCEGDLNLR RAKPLHFTPLAAHLRLPVVSPSLVVLAPSPETMEARLHAVYTLLSRPSVRAFAPYAEL VHRLLHTQLKSILSLEKDLVLFHWFPLQPEPAPSEPPLASMAPLLEGVVLGLFTASQS KWREEAAANLLDRQALYQGYTAWLRHMYDAIGGHLGRVTWAELVNQSQQTIPSHSSGC FEYFVAQMREVFMAVEPYQLKERRPDCSLNLVSDRSFQGSWIYDPPPSTGYLPLSHSM VCPGFDLSLASLLRSLTMSYSVTLTLGPSSFYISSHLSLWPAKPAEFIVDGMAHICRV FPNGESSMSDLHGFVLGDYTASCTPHSIRLCLFSWPTLSSSPPTASYRLTLHAISPVS SQDALQVTVLLTASHVPNRLLRSHIDLMDMTASERIGLFASTWIDQSNPLAAFDMRYR RRRQEEDDEQQTRS H257_16884 MQSRGDPVLPVGAIVAASDVLSDVRSHDNLRGDWVPSVYCYYNE ATHEMVYQFNQATGFGWHYGWVGTAKTSHRSTQHVLIGYLFRHVATSSQEPKFCVVAS TSSPPFLVMSYRRACAFCQKLRTVSQIHCTCEGDLNLRRAKPLHFTPLAAHLRLPVVS PSLVVLAPSPETMEARLHAVYTLLSRPSVRAFAPYAELVHRLLHTQLKSILSLEKDLV LFHWFPLQPEPAPSEPPLASMAPLLEGVVLGLFTASQSKWREEAAANLLDRQALYQGY TAWLRHMYDAIGGHLGRVTWAELVNQSQQTIPSHSSGCFEYFVAQMREVFMAVEPYQL KERRPDCSLNLVSDRSFQGSWIYDPPPSTGYLPLSHSMVCPGFDLSLASLLRSLTMSY SVTLTLGPSSFYISSHLSLWPAKPAEFIVDGMAHICRVFPNGESSMSDLHGFVLGDYT ASCTPHSIRLCLFSWPTLSSSPPTASYRLTLHAISPVSSQDALQVTVLLTASHVPNRL LRSHIDLMDMTASERIGLFASTWIDQSNPLAAFDMRYRRRRQEEDDEQQTRS H257_16884 MSSTVAAVRFSKEECRCSHPLFSYKYLRTHRAGSIKLLRCFPHC CPDHSSTSFCATSIDLVCSHHGASGIEGGVAFLRMQSRGDPVLPVGAIVAASDVLSDV RSHDNLRGDWVPSVYCYYNEATHEMVYQFNQATGFGWHYGWVGTAKTSHRSTQHVLIG YLFRHVATSSQEPKFCVVASTSSPPFLVMSYRRACAFCQKLRTVSQIHCTCEGDLNLR RAKPLHFTPLAAHLRLPVVSPSLVVLAPSPETMEARLHAVYTLLSRPSVRAFAPYAEL VHRLLHTQLKSILSLEKDLVLFHWFPLQPEPAPSEPPLASMAPLLEGVVLGLFTASQS KWREEAAANLLDRQALYQGYTAWLRHMYDAIGGHLGRVTWAELVNQSQQTIPSHSSGC FEYFVAQMREVFMAVEPYQLKERRPDCSLNLVSDRSFQGSWIYDPPPSTGYLPLSHSM VCPGFDLSLASLLRSLTMSYSVTLTLGPSSFYVCSTRPSAMMWFTVSIYIYWTNNPVY TVLPDLVASVVMASQTRRVYRRRDGSHMSSISKRRKLHVRPPRVRSG H257_16885 MPALRISEEGNSARTFGGHMQDSDMYMDGLVLVCGGGRTYRPGS VEEGTKAATVAIPRVVPCTAAGTGGSLLSVASTSTTIDLVSSHVAPSTSYVVM H257_16885 MPALRISEEGNSARTFGGHMQDSDMYMDGLVLVCGGGRTYRPGS VEEGTKAATVAIPRVVPCTAAGTGGSLLSVASTSTTIDLVSSHVAPSTSYVVM H257_16885 MPALRISEEGNSARTFGGHMQDSDMYMDGLVLVCGGGRTYRPGS VEEGTKAATVAIPPSTSTTIDLVSSHVAPSTSYVVM H257_16886 MSPSVSSPLGGLGDNLFTPVMKLRGQTFDDVSGQLVQDEERMQG DVSLSVYSEYLDAAGGYGAVVSLLFGLILWQALTVGSDLWLNVWTGTVATESPQQFLA QTWYYLSIYAALAFLAVFATVIQSLIVYSSCMKASRALFEHMTDALVAAPMSFFDTTP VGRILNRYTNDIGTIDVSIPFQVTFIASSTFVTVCSVATAVYMTSYIGLVVLPLLYVF VLTGRFYVKPARELERVNKITKSPLLNLISEAIEGVLVIRAFGDNQLRRFQRLHFRNV DATNESMFAKEVVTTWFVLRIQFMSAMVLMVVSVALVFLRSQLTPGLVGLALNYIFSS LSILEYLIPSYAQFETLMVGPERVAEYCRIQPEPPRVISGAVAHDWPTNGDIAFTNMA FRYKVNDPLVLQDVTVHIQSGEKVGIVGRTGAGKSSLTMALFRINELARGSIHIDGVD ISRVGVKTLRSSIAIIPQTPVLFKGTLRNYLDPFDEFVDADLWGCLQKVRLADRIAAV EGKLDSPVEENGENFSVGERQMLCMARALLRQARIVVMDEATAAIDHETDQNLQRVIS TEFAASTVLTIAHRLDTVLDADRILVFDQGRLAQCDTPAALIGAGVGIFFELCHEGGY LDKVVNSQPLE H257_16887 MGKNKSRVKKEFRKAERAAAASRKVIQRDQNILKRKASSQRELV SFNAIHYLEQIDVENLDAIRKSLIEKIRTVERVNEPFQRDLRNVNSGLNSNRIKYALD ELIKVQATLSLSSGYVTEAIEDRSEETEDRH H257_16888 MVFRPVSLKAAAAKSPHKLTVGKCHGVSKTLVEAEVQTYCKSLR DEDIAVGTKVLIVKALSIHPSFHGGRAKALSNWVYEFLNRNHLCLRRPTRQGQKRSNH LQATMDDFVGNVNDRFLSFEAWRKSVWVPFIGDESPSVLLLDDYKCHKQPSFTRKVAK VVHKDGLNNTSVSFGALTLVFAQGGAVVLYNDGNIVRKVLFLLQQAQREEAGVACLLA GNKAEHLENLFEVPIFAFNLKAANNQVVDSPVKSPQVCLCARRLMELKAVDIVGVSSG EGTRSCTCHDMCGDSLTVDDLVVCRLEVQGESVNLKEVLKVFLLVASE H257_16889 MGKNKSRVKKEFRKAERAAAASRKVIQRDQNILKRKASSQRELV SFNAIHYLEQIDVENLDAIRKSLIEKIRTVERVNEPFQRDLRNVNSGLNSNRIKYALD ELIKVQATLSLSSGYVTEAIEDRSEETEDRH H257_16890 MAEKLMSPSDIERMFEVAINKFERLLEGKSKTVRSEKSPPAVVP RKERSTSSNSSLSKPTAGKASLGSYGSYNNQLLLLTLARLVRREQSHYSKYTTMPAAF FVPNREPWPAHVRGTSVNINGFRAAKKKDKIHPKIEKKLNDLNFVWDLNQHKWQMNLW GLRIYKVCHGDVDVPLSFVVQNKDDTYPNELWSFALGQWLAKTKAAVHDLPEGKKRAL AEVGVQWVE H257_16891 MTEIKIKEVLNKTLRGLDEALVDYMVSILSDYDGSDPLVDTIAP FLLSSGFTDDEDEANRYCSSLQAAMQDAGLLATAADDTFKKLDVVQSMEDMSRATEAE MNGIMERMWGFENIRKTKNYSMDACANTQSQRQIRKEAKKELSELEKDKMDEDEDRMW EDTRVLPDMSTDNGEKDIHVDNVTMNFKGQTILSNTSLKLIFGRRYGLIGKNGAGKTT LLRFMSHYEIEKFPRHVRMQHVEQESASKLSLVEDSVLSVVLAADYERTLLLAEEKQL LESNDNPERIHKVHERLQQIDSDSAESRARTILAGLQFPESVVDGPAKALSGGWRMRT ALAGALFMSPDLLLLDEPTNHLDLEAVMWLEKYLETYPKTLIVVSHDRNFLNQVTTDT IYLAKQQLSYHRGNFQSFENTQEELLKNQRKAYEAQQMKVSHMQEFIDRFRCNAKKAP LVQSRVKALEKIMRTAVEEPEDPRAFKMNFPPPEPLGRPIISVENVAFGYGDGRPELF HDVNFGIDMSSRIGILGVNGSGKSTLINLMLGKLDPTHGKCVRNPRVRISTFTQHHVD SLDLGKTAVENMMELFPGHEPDEFRTHLGRFNLSGELAMKPTRKLSGGQKSRVGFAVL TWRLPHVVVLDEPTNHLDIETIDALIDALRNYKGGVVIVSHDQHFVNSICTELWVVGD RKVTRFQGSMAEYKKAILNE H257_16891 MTEIKIKEVLNKTLRGLDEALVDYMVSILSDYDGSDPLVDTIAP FLLSSGFTDDEDEANRYCSSLQAAMQDAGLLATAADDTFKKLDVVQSMEDMSRATEAE MNGIMERMWGFENIRKTKNYSMDACANTQSQRQIRKEAKKELSELEKDKMDEDEDRMW EDTRVLPDMSTDNGEKDIHVDNVTMNFKGQTILSNTSLKLIFGRRYGLIGKNGAGKTT LLRFMSHYEIEKFPRHVRMQHVEQESASKLSLVEDSVLSVVLAADYERTLLLAEEKQL LESNDNPERIHKVHERLQQIDSDSAESRARTILAGLQFPESVVDGPAKALSGGWRMRT ALAGALFMSPDLLLLDEPTNHLDLEAVMWLEKYLETYPKTLIVVSHDRNFLNQVTTDT IYLAKQQLSYHRGNFQSFENTQEELLKNQRKAYEAQQMKVSHMQEFIDRFRCNAKKAP LVQSRVKALEKIMRTAVEEPEDPRAFKMNFPPPEPLGRPIISVENVAFGYGDGRPELF HGEPQTHTNIVHLGGSYVLPQNTVDYFCAKTPNSIDR H257_16892 MPHRRPSHVKITKTLQSLEPYSGPAMFATIFTVGIVLGFALDAT PNIPEPYGRISSVIGWTYFAAWSMSFWPQIISNFSRQSVVGVSLDYQLYNLLGFVSYT IFNCSFFWDTTVQADYMALHDGHRNAVQINDVFFALHALTATLVQAYQCLVYPCGDQT FSVLSQVVVGTSAATCALFYLVSLASSMSEGLFTTLNFLYLLSYVKLGATLVKYIPQV YLNHARRSTVGYSIYGVLVDFLGSILSIGQLVMDGAVTDDWSAISGDPVKFGLGAVCI FFDAVFMVQHYVLYPDTDEKHGLALVAQLSTSSSTTVIVQVADTEDDPLLKHVVKPTS SYTFVAAADHTKNDSVVMKKKKSSQSLRHLQEKIAQDPNVMVHVPYVVV H257_16893 MSRRSLLVLYLLLSSVSFATSELSFDQDIDRFSIEASQSTAKAS KPSSGSRPAPRPAPAPRPAPRPAPAPKPAPAPESRPASRPSPAGGSGRPSPALNPRPA VAPQTAPRPPTRPAPAPRVPRPAFAPSPIPPRNSGPVVYVKPAAARPVVVRPITYIHY PYYVRPLYISRPIVVVSPVFWATNMCYRSHCHVQYQRCVQVFGDGCFCYPGLLQCLQT ACTSFYQTAVDQCLEQQVQPARCIVRCHAQRYPVAFSTETSPSPSGRATNVTNDTIVF IDDSYAFDDNVEYTVVVVLYVEATTAALLQDADYEIATAIAGVPSSKEYFDVSNVTLT FQDVLVNDTAPVLEVTASISLSSFKVMQATDTMFQAMMLGNSNSTFGAQLVDAHVLFD PAQVSVADVKSDVSFASDDNAQEIPGNGGGIPSYVSPRTIVVAVLLLLV H257_16894 MNHLPPTSWPPRAPPLPPPSGLPPYMYRSYGHPPPYFFPPPSLP PMDPDSAWLAQFSAHHLPSHETPPRTPPPTTMRGVRQTLLECLQRIRECKSLQEEMDR FATAYTTTRDEFHNLPARARTKLHYERRRAALTETLTRLRTQYSSFFGDDTALRQATS MAKRIHRKKLYRRNMKHHRQVHRHVLDGLTKSVHSAPTDELAAPGASIRMKLDHICHK LTLLQQLKASRGSTNEDDDDGVTRDVTAFLTNKAVQKTANPTLELPQQTEATTRLHPL FDQDMTMESLVAVRRAWDAHLSGRGGSRIPPHFVIPPLPSTTDSSSPWHAYLTPAVPL GP H257_16894 MNHLPPTSWPPRAPPLPPPSGLPPYMYRSYGHPPPYFFPPPSLP PMDPDSAWLAQFSAHHLPSHETPPRTPPPTTMRGVRQTLLECLQRIRECKSLQEEMDR FATAYTTTRDEFHNLPARARTKLHYERRRAALTETLTRLRTQYSSFFGDDTALRQATS MAKRIHRKKLYRRNMKHHRQVHRHVLDGLTKSVHSAPTDELAAPGVRELIFFHDSLLT NVCVGIHSYETRPHMPQVNVAAATQGLPRFHQRG H257_16895 MGTSRRLMWLMAALCLTLCAFSASAQQALFPGTTACQRCANDST QCGDAYKGIPGKYCGPWLSSGVKQACCCPPTARCVIPINAASCGCDSDPTPINKPTTS SSKTPFWVWILVGVGVLALAVVIWRCCCVTVYEPEPVYVPAGGVTYVGQQPVVVQQPY GGYGYGNGYGNGGNMAAGVAIGATAGIVGGVLIGEALADHGDHGNYYGGGGGGDYGGG GGGGGGADFGGDF H257_16896 MEAALDACVNDKVLRRRLLFHWTTAHAMLLRIPESSFSTSTLKP EDEQQPPKVSSALALSRIHSSAFIRLLHTMQSSKDKPFSRSLPSTVMEHFCDACFGLI IPGKSAHVRIIHQSHNAAVNKKLARVHAASKRKAKVLHKSIAPCIRVRNAVVTTCHHC RHRHTLPGTPVAAKKVRAAAAKPAVVAAPVTLKRTHDSIFGPPPSPPRKLLDGKKKKK KTPTPTPPSALDSFFKSLQ H257_16897 MLDDAEVIAENERALAAFAEGDRTAEALASHPALERILRQIHEV GILYYDWALVKVVVLAKVHAAIAAYDAVGPSTMPEEIDRTELFNIIQMRPSPPFTLQR LIEVLHHPTRYYRQSSKFLNAVHKLFEVSSAADTDDPRNPRLAISRRHRHNPSLRHLI D H257_16897 MLDDAEVIAENERALAAFAEGDRTAEALASHPALERILRQIHEV GILYYDWALVKVVVLAKVHAAIAAYDAVGPSTMPEEIDRTELFNIIQMRPSPPFTLQR LIEVLHHPTRYYRQSSKFLNAVHKLFEVSSAADTDDPRNPRLAISRRHRHNPSLRHLI D H257_16898 MKAGSTKKRSAASVKAAAPLQHLDTPDDSPLEDDVVPEQVTTSV ISACKVHRCRFLKYEPSTITALGFNTTGDMLAVARQNGDIELWNASLRQFHLHAVVSG RSTAVISSVVWTSAHRLFASSLDGTVSEVNLSTLRFVHSTHANGGPVWCMQYKASEQL VAVGCEDGRIRLYAVPEDDSEPLVFHKVLGGTGRRVVSLAIHGSSDYLFGGNDEGVIY KWNTRTGRNESRMTLERFAKQPPAVVWSLVVLADLTVISGDSNGNVHTWDGVSGTLMQ TFAQLTADVLTLAVDTTETLLFASGIDNQVIQLRKGSTASSGKAGHQWAYAYSHRAHT HDVRALAISPSSDAALLVSGGVDTHLVWYNAAKFNALRPNKATPLPQRPFVSLAAQVR HVLVQHPTSLEVWKLASCAATSSSSPSPTMLAQLKLAGEANIVASAISPDGRFIACST ASVLKVFSVSGREIKKLPLAATVAHPAYSMVFTADSERLITGDVAVRLIDLTSLSVIK SLVKKNAVQTKSLAVSSDGQWLAVGDLNNHLSVFNLDTMSFYADFPTPQDMHTCMRFH PNGKILVVATVSNHFACYDVEQKNLTEWSRDNHHKLPKTLFLRQPYHIKGLAFHPTQP NSVVFWSQQFLFHIDIDQPIVRDDAKSKRRRTLSIGGTADSSSDDVPDVPTGHPTYQF IDHYGPIAFADFFNASGATTPELVVVEMPFFKMLNALPDALHRPKYNGSGGGGH H257_16899 MSLGEHQKEMLALARQQNVLVSGKSGIGKTFAAAFLIRETLLND AEGKALVLVSGAAKTTEVFNLVTRLCSAKVVVASESSEHLWRDASYSKRECAHARVLC VSPAIASNLFHLGHLSYANVALIVVEDTEEMFTTAATFTATLASKYTKQPRHTRPKLF AMVSKTLSELDLPTSSNPLYPLVSAFCITQPTFRKRATIAPIIVETFQYEATNYTGTV GHPVEFLRGANALHCNAEALLASLLALGSNGSTNSQYDVMIESRCKQFADAAETVLEQ LGLWCFLKYIELELRHELDEALLSQNDRRLDAHSINLLTTSDEAPSVISAASDMSDHA DVKSTRSFLDWIVTQQQTEHGGLQATHSRLKKAADLVGAYMENAASHGGRCWIFMQRR AHTRVVAEYMTACFPSYPPCCSLQGGSQASIAGEAATNGALKAVDVEGRFNDGRNPVL VSTALSTEVDQIALCGLVISMDEVVDPHKLLDFRQRAHPDHGVFKYIIADTPLDFEKY RALFTKMATLLSLDNGNGGNDQETLDQAVMPRLKQRYGSHHHHHGGHGGRTKYELYHA DVKAKMTLDNSIALLTAFCHTLPGIKIYDNRPLYVIKRHLVGRHHDSQKRFRSTSTTT SNNYDDDDADNHRKFLYSASLKLPSMLRVKHVLLTPKVVSAKQAKCMAAYKAVELLLK RGFLDRSFKSKLLVNRNFIPQESVDEDMELETQNSYDIPAATAVEMGLAPIKSKFTQD TDQAVMYLYGLGGMPYGILCTEPLYGGKTNGSWRFELKTSHVMTPAVQSVHMSTHPKQ VTLPRAELVLALQFHVMLMRLICYGVDAAANHRDDDVEAAFSSKNDKGYIVVPVLLGG DEERVDIDWAVLHNLMQTALLRPAWPLPTTPHGLAIDEWIFVSNRRRDVAYVVQGITP VLVGDVARRVVANNQYWSYTIQRAKSAPGVPILGRWYTKDALLKSTPEQPLVHGIEIP AAVPLIRYVLEQGTSPLEYGHLKERLLIPDQTSILSLRKSQYFEAIRLLPLLFEFERK CQLSTLMFAVGRDIHVKYLEQATTKPAYERLEMLGDCFLKLESTWWLYQNRPDVKSEG TLSMLRGDMIRNDRLCKLSMDKMLHHYMIYPADFEQRPFRTWIPSCMGRTPDAVIAHL KWIADVLESTCGAYIEGAGEVAGRAFLEWTGCSVCETPQVYNRTYFPHCLPQPVPAQV DPETPRDLATWDLTHLGYDDIPERMYLLQTSLKYNFKDKRLLLEAITHPSVAQWLIHA DKTTTNVVWKGDYERLEYLGDALIEYLVVTYAYIAHPTWQPGALTDWKGATVSNDALG KAALICFHIDQIMLTGSMRLDPLLVDKLADLKRLHAEGSSERPHVTMPKIFADGFEAL CAAVFLDAGCDLHVIRDIFLGPLLSVVGPDAVAHVTRKNTPKPVDISPPPTLSTTDAA GENVEEDNLRHGEDVRNNDNDEAAVKPEPARVSHISTHQQPIEIIEIEDSDDE H257_16899 MSLGEHQKEMLALARQQNVLVSGKSGIGKTFAAAFLIRETLLND AEGKALVLVSGAAKTTEVFNLVTRLCSAKVVVASESSEHLWRDASYSKRECAHARVLC VSPAIASNLFHLGHLSYANVALIVVEDTEEMFTTAATFTATLASKYTKQPRHTRPKLF AMVSKTLSELDLPTSSNPLYPLVSAFCITQPTFRKRATIAPIIVETFQYEATNYTGTV GHPVEFLRGANALHCNAEALLASLLALGSNGSTNSQYDVMIESRCKQFADAAETVLEQ LGLWCFLKYIELELRHELDEALLSQNDRRLDAHSINLLTTSDEAPSVISAASDMSDHA DVKSTRSFLDWIVTQQQTEHGGLQATHSRLKKAADLVGAYMENAASHGGRCWIFMQRR AHTRVVAEYMTACFPSYPPCCSLQGGSQASIAGEAATNGALKAVDVEGRFNDGRNPVL VSTALSTEVDQIALCGLVISMDEVVDPHKLLDFRQRAHPDHGVFKYIIADTPLDFEKY RALFTKMATLLSLDNGNGGNDQETLDQAVMPRLKQRYGSHHHHHGGHGGRTKYELYHA DVKAKMTLDNSIALLTAFCHTLPGIKIYDNRPLYVIKRHLVGRHHDSQKRFRSTSTTT SNNYDDDDADNHRKFLYSASLKLPSMLRVKHVLLTPKVVSAKQAKCMAAYKAVELLLK RGFLDRSFKSKLLVNRNFIPQESVDEDMELETQNSYDIPAATAVEMGLAPIKSKFTQD TDQAVMYLYGLGGMPYGILCTEPLYGGKTNGSWRFELKTSHVMTPAVQSVHMSTHPKQ VTLPRAELVLALQFHVMLMRLICYGVDAAANHRDDDVEAAFSSKNDKGYIVVPVLLGG DEERVDIDWAVLHNLMQTALLRPAWPLPTTPHGLAIDEWIFVSNRRRDVAYVVQGITP VLVGDVARRVVANNQYWSYTIQRAKSAPGVPILGRWYTKDALLKSTPEQPLVHGIEIP AAVPLIRYVLEQGTSPLEYGHLKERLLIPDQTSILSLRKSQYFEAIRLLPLLFEFERK CQLSTLMFAVGRDIHVKYLEQATTKPAYERLEMLGDCFLKLESTWWLYQNRPDVKSEG TLSMLRGDMIRNDRLCKLSMDKMLHHYMIYPADFEQRPFRTWIPSCMGRTPDAVIAHL KWIADVLESTCGAYIEGAGEVAGRAFLEWTGCSVCETPQVYNRTYFPHCLPQPVPAQV DPETPRDLATWDLTHLGYDDIPERMYLLQTSLKYNFKDKRLLLVISSYLYDIH H257_16899 MSLGEHQKEMLALARQQNVLVSGKSGIGKTFAAAFLIRETLLND AEGKALVLVSGAAKTTEVFNLVTRLCSAKVVVASESSEHLWRDASYSKRECAHARVLC VSPAIASNLFHLGHLSYANVALIVVEDTEEMFTTAATFTATLASKYTKQPRHTRPKLF AMVSKTLSELDLPTSSNPLYPLVSAFCITQPTFRKRATIAPIIVETFQYEATNYTGTV GHPVEFLRGANALHCNAEALLASLLALGSNGSTNSQYDVMIESRCKQFADAAETVLEQ LGLWCFLKYIELELRHELDEALLSQNDRRLDAHSINLLTTSDEAPSVISAASDMSDHA DVKSTRSFLDWIVTQQQTEHGGLQATHSRLKKAADLVGAYMENAASHGGRCWIFMQRR AHTRVVAEYMTACFPSYPPCCSLQGGSQASIAGEAATNGALKAVDVEGRFNDGRNPVL VSTALSTEVDQIALCGLVISMDEVVDPHKLLDFRQRAHPDHGVFKYIIADTPLDFEKY RALFTKMATLLSLDNGNGGNDQETLDQAVMPRLKQRYGSHHHHHGGHGGRTKYELYHA DVKAKMTLDNSIALLTAFCHTLPGIKIYDNRPLYVIKRHLVGRHHDSQKRFRSTSTTT SNNYDDDDADNHRKFLYSASLKLPSMLRVKHVLLTPKVVSAKQAKCMAAYKAVELLLK RGFLDRSFKSKLLVNRNFIPQESVDEDMELETQNSYDIPAATAVEMGLAPIKSKFTQD TDQAVMYLYGLGGMPYGILCTEPLYGGKTNGSWRFELKTSHVMTPAVQSVHMSTHPKQ VTLPRAELVLALQFHVMLMRLICYGVDAAANHRDDDVEAAFSSKNDKGYIVVPVLLGG DEERVDIDWAVLHNLMQTALLRPAWPLPTTPHGLAIDEWIFVSNRRRDVAYVVQGITP VLVGDVARRVVANNQYWSYTIQRAKSAPGVPILGRWYTKDALLKSTPEQPLVHGIEIP AAVPLIRYVLEQGTSPLEYGHLKERLLIPDQTSILSLRKSQYFEAIRLLPLLFEFERK CQLSTLMFAVGRDIHVKYLEQATTKPAYERLEMLGDCFLKLESTWWLYQVAI H257_16900 MADNPDECKFFRPEHGSQERCLRNSTQDLRPSQVAQLHRVVSQQ SPDTRLNIWSALQTQPSATSVDYVHDLLRVSAYLLKTFPYETCSGYQTLTQLHASFTA PWDRGALDALLVSMHDLPTLAALFHSVDLSRQSVILDVAAEYPTPFETLLGWCSVSPA SPTLLHSTLAIVANTDHFPLWMTLIVNVPLLHLEPFLNLLGSFSSAGQLEDLASVFLT QSDPSALIEPLVALASQGVASIQSLLPHLFASSIALVIVSLHPLLASLETLAKHLSPN EDVLEDVITTISYLEVSLTDANHVLQFASALPDPLPFIAFVLVLAKTHTQHLPRCFNV FGHCNTNDQLELVRLFLLDDINVTFGIALVQFPLAQLSALLTITSTLPPSELHSLAPY LETLHPDSLDPLLTLLTLPDLVRPHIYTILDGVDSPFRLLQSLQHLWLDAPTIVDPTL RLLVRFGSDQKTALATTVLHTSDRSLNCRVLGFLAAPPGEMDAREVLRLLRHVPSSSY ATLVELFQSPLRSKLELLVLSELLEAVTSPVTIQSLLQALIHLDEPTLHALFNYLEHV PDSLMLVELMQAFTSADVQLYLDLALGLSQSMLRELNGFIEGLDTSGRAAFLRVIPNP RRNILSRLIMSVHTFDNITIGNILRALEHHSWDIRSLLVEQFRILDDPMALAQVAAVH ESLGADLNTRDSLETYAMIASYCSKSVQIQLAAVLYRLATSDRIDLLTMLRNHAEWLP TEAIDAGFWTEENIARLCTLLLGHNSTVATHLLQLLGKLNATFHEPFLTTCQNLSGDM AYFVEIFHGATVSNVRGFSPLLFGGDLLTTVDIHRLAKCVRKMLHASFGLDLAVQLLV QFPNISSFLRYFDRVGTSQSLLVHVMAIFPTATVAVVRFLESLDMDDAAFVMRRLLEL PRDSKVRFEALLHQPMTRLTGREKALYLSVVDDHKLVDQSISTTMMKSVSVGAFLPSL NLLWSCDDVESKSLSRKLKRVGQIPFRTDCRNQTTPPVNVEKEFYTLSMEPNRDFSLS LASQLREAAPSTTPLSPLSGPLTDNQLNKPDIMTPTEVPPPAPDPIQCIDSDEYESDD DDDQSAPSKPLFDHVLADNLLEKHPLHTLIEEDLDADGLPRLHIPGRRKRPTARSMRG LMPAIQNIAPQIGKRRPSTSPGSPFEAIAHDANLMRWPPTRIQVARTPEAKRKVFDAR VHKSMGTLVLPVLKGQHDLSTIRRTKSCTGL H257_16901 MKAAFLIASLAAVATADVRQHRYTCVKNNCKLQPLTSNNVAGTT SLAICELTCGGQGSLWPQPDSVSVGTETIAISTESANHRIVFNGKAAYDASPLVSSFK TNFHQALRYKGAQGNGKDIEITANIKSASEVLDLNTDESYDLSVDGIKVTINAATVFG YRNALTTLAQLTEFDEFSNTVRLVTKVTIKDSPAYKNRGITIDTSRNFYSVESLKRII RTMGGNKLNTFHWHVSDTNSYPFQSKVFPNITNYGASTAKQIYTHDEIRDIVKYAKGF GVRVVPELDAPAHVEL H257_16902 MKAAFLIASLAAVATADVRQHRYTCVKNNCKLQPLTSNNVAGTT SLAICELTCGGQGSLWPQPDSVSVGTETVAISTESANHRIVFNGKAAYDASPLVSSFK TNFHQALRYKGAQGNGKDIEITANIKSASEVLDLNTDESYDLSVDGIKVTINAATVFG YRNALTTLAQLTEFDEFSNTVRLVTKVTIKDSPAYKNRGITIDTSRNFYSVESLKRII RTMGGNKLNTFHWHVSDTNSYPFQSKSPQVSILSV H257_16903 MASLPPDPGKHPTIPLTLSNTLTMPTTMATVIVHRNGVSTSIRV PATGIIRQHHPSSSENDSASDTTDSSVDLPLTARQLRSKRAADTFLVRHDRTRNPRSP SRPHHTHKPTRIHAPPGPARPAPPQMASPRMTDLSKLDRHARQTPPTTQVKLHHSSLV QLCHPLRFLLLFSPRSRPKVPLHRAPYRAYGVDPLQPPWRPIPSPSPFPLHTSLHPYQ HALHTAMGLHRQPFLPFQHAATASSSKQTNSPANHAPPHEYTPYSHTQLSSPRPAPSD VQPSTTLASAPAPPCPAPATPPPTMTPPRVTLWTTTYPAHADYLNPSQIHTTRLPSPH PSGHPTP H257_16904 MQHPESNPTQSTTHPTFEDMPTSPAPTPPDPAHTHPIPHTGSPS HPTRPPPVKRHHSDIEPDLDFSHPSVHLPPTKRHHDMRNLPTPHYQPSSYEPGQNEQG VADTTIRDDDQEEYDANTALQFPGIRNLHDEGQPILYPTMQRYWEREAHPFQGFTADD IAEVDLQTTSILNYRREIEDICQERFGLTLLLGDLLQRTIQAWAAPRRGYLFLRDISV VMIYQYAGVLDNGLAFHQLKYRNPSKTSHGDLMCALRALGATDAISVGTDWTWSPSMK LTPLRDLCWDNPTSTFFHPQATSAATLVDTKVHIGRLPPLTTTDDILAALRGSHLPTQ TSISQGTTMPPSLLALQHP H257_16905 MARPAYISVTLLSTSTSSPVAPACLLRRYSAGTVADTATRVDTV TASPIWTHGIAPAATPNTHPPLAGTYVAPTQARAVQVATATRDTTHIPPPNTPPRKAT KTNTKPRQLPLQRHPHQVPGHTTGLNLYLQRELSTYVDQRIVSATTPLRQEVESLRAD KEALAALVSASSAAFSSLDARLLEERRRREAAELLHAEDNCLRTEAHVRPNTAVTQHE SQ H257_16906 MPSGSQVSCTARPSATPSVSPRPSTFFSVKRHAASSACHTTILT PGSTTTPMAWASSTAKLQPQPKAVPIPPGCQRGGSPAHDILMESLQSYQTESGLTDHP LAFRIPPPASDTTFIGTLLRDLATSTPALTITTQWHQPPTSRPQRPNDRPILAHLIPA LSTTLISINHSHANKVRWVGDFTNEKGTMLLSLPSFCTKYGWNRATLKRFSPIWDAIP TVTPHNPLYSGNRLSSGVLNIPASHYLSPLCRLYHGPIFPTWINP H257_16907 MLVVPHHLTHQDGRPDTFSYRIGRRTSLQTRHTPAGPEIAVTFW HELRRGTDIWYSPTPREARSRLRLVPIAGCAILTGDLLRTSSTQRHKFIPWTDTTWTI PGTHHTHRGNNNRALIASTAMHRTDQAQLPPAHHTPPHATPACSACHRIADTTVCMDC GQWHHPACMPYCQVVLTPLHPYVRPPHPPPSCRTDGTTLTGYIRIHPDHITPHTLRSP QPPGRSLKHRGGHPRYSNIYRVELRSLMALLNPVGTFTGDWIRAHQDSTSITDPVLRA KQALLAEADSLATLAHQLLPHTDYAHHIIPDSWELRDHQDLPVTGATAPWLGAIYSRH DWHAAQSSKPDARRAIQPLRLNTGDLCKWDLPALFFYWRAICYTLHTNVRNTAFNHGG TPTAEHRFGLTQRLHSRKISSSPHKPSYSQHGSQTKISTSLATALLARVPSLA H257_16908 MTIPNRDLHLTNIGNLAQALLTTAANLNMEVDHLTRQPPTGDGQ TGSEDWQQQRTEMETELARLRETNAHQRTQLADYHRVYNENKASHELLESRVQELLKR WSNDVANRDQQLRIRSVHRFWNWLGKTCAMVNPKSVTVLCEAYSVLLREGAPTAPQTN DIVKIPPFTAKASAPVYAPAQSRPLTPQPSRPNGKSAAEREVSSSGAGDDGRPSKRAK STPVSPKLKPSGNEFKRISKNPRTSLSQHPSVQSVPGFTQEMLDVYEEVRATKLWERY RRVGSILPDRDGPEGQHVRRFNTSVATFWDRYGQQLWERTYAPFGNANHLEPLFHQVF NLHVELQLLINNTDYDDTLVHFLCFPHPAWPVLSLNPPTPKKILAGDPGWSAIVMDYW VNRSVRFWPEVPPIPHSGKTGNEVWYRLGRLYRGTSSTRGAHGVTNRTLSCQ H257_16909 MHRNLGQVLGALPPTTRVFCGHEYTLQNLSIALFVEPDNVAVQT KEGEGLPTVSSTLADEVATKLFMRINEPTVANHAPRGSRLADVMTHLRQMKDDNVHHV AAARVNALLLETSSKATSATQGDTWR H257_16910 MISIDAELDLLSTSTADDSVLPPPAKSQMWSLPTNPYFDLLREF ADVFPDKVPSHLPVDKGVRHEIDLLPGTKYCVTQQWPLPREQVEAIDAFYAARKAAGH VRESISPHIAYVLCQETQRQVVLTRIS H257_16911 MAIFQVDDQALLYKSAIPTHAFRDSGKLKLYLTPLPTTTNGATT EGGHLDSALRPHRKPLQCLAHVGHPQVTSTWSKTGHLQHGDQTQRQEHPHLKSAPHAN ADCEPGDYICGHPSARS H257_16912 MGLQREMEQATEQSMAVSIARPKRMQPKLPRGKRGRQSESDTRV GLFETQGRWLVCAFEHMTILEIPLAEFVNWKNKMRERISD H257_16913 ACFGDSGGPLIKSTSAGLALVGDVSFGEPCAKGFPDVYGRVAAF RAFIDQASRGHTWV H257_16914 MCAATQHIDPRNRRTVVYTDECFVHHHYNKNDISLHDPTDELDI QPKAKQKGKRYCFIGAIVDGGEENSIFIAYDKFVGAGRKQTKDYHGMFNHEYYLTWFQ RLLDELAARNLQNTIIVMDNAAYHKCRPGDTPAFRSRKVELQIACDRFGLDWTPSEMK SVLWAKIKPFVDGIKPVTVVMAEAAGHEVYFSPRHHSNLQPIEVVWAIIKGNVGRQYQ DDTTFQEVGQRLDAACVNLTSHSIFGCIRKAEYDLLDLHRHVSIIDDDNYQGDNEVAG DGSEGTESSSASQDSANDAGF H257_16915 MFDYPWTTSTTPSQEFGATLAKHALDIAFVLQLFVYIAEHVRQH GVSLGSLQSQYASICTARLPTSAPVEIPANATMEQLGHIDQMTQHHIEQRENVIRGEA EAYRCVRIRIGSLASAPLDCFFASVTSETFSAYHRTP H257_16916 MHDLGLTRKVLEKRAREAADFELHDYYRRLRPFYSNPDQLVFVD ETSKDGRDALRKYAWSKRNTNAIVALPFSRGQWVSALAAFSTGGFLSWDYVDGKFDRD RFHKVMTDRILSYLNPWPLPRSILILDNAKIHMYKELQNAVLSTGALLLFLPPYSPQL NPIEVGFSLLKRWINKYPMAFRFDIRATSDVAFVECTNQRDDVGYNLYRYCGYNTDDL DKGTFQMSEM H257_16917 MAATQRHHDEWFDANFIDHRRSGSFGHSTSVADTFRAVHDTFEH ENKVAREERDLNFNDNVASNADNFDGMDYQTADDNGLSSDNITAYISVLTNSRNYFPL VPVADTFRAVHDTFEHENKVAREERDLNFNDNVASNADNFDGMDYQTADDNGLSSDNI TAYISVLTNSRNYFPLVPGKCTQIEIPLRSK H257_16918 MFDYESPSHPFLILAQRLSRTDGKRVVIIDNACNIQNYCMQREP WIFRNIWFLVDRLDYANHINCSSCGYMRRDNFMLFIQHYMATLNHRRVNTIQVECQVR SSFKSAQWQELNAMLSEMQGAIQPPATQRP H257_16919 MERYIAADGRMKGIAWPEHLQHVLIADRLKGRAAKWYSHALKGV KEDDRTYTMLKERMVGAFGLHPMRTSDTIKADMISRAKRANETWQEYAEVLYAMAEGI TVPEAWLVACFTKGAEPAAAKDLHMGKVKTMLEGVQLLELLYGDNAVQEDEALSAKDL KMIETMDKKMTETMTVMCRMIASPTKWRWTKEGPDGEKPAKRYRTDRQTCWNCGTLGH VATECTLPEVPGAIEKGLEELKKKKAAKAATGWAAGKE H257_16920 MKLFVAIAAVVATVFAQDVNFTDVSESQERGDGIRVVGGKEAPV GQYTWTVNLRRSGCQKDTGLGP H257_16921 MAQFDVDDFVLYIHVWSISHSKLSVTWRGPAQVVKTTSDWIFEI QNLVTGVVREAHSSHLKFYANDALDVTEELLRHIAHNADGHVVDQFLDCRYNDRMAAF EVCVRWRGLHAIEDSWEPAANLLEDIPTEFKRYMRSNKADPQVKAMAAALAVTQSLRG IVANLPFAEPLNPSQEGIQVFD H257_16922 MVFPNCRQGDANIDLSSSALGEVKTFDLDDNCIDLCDGKGHDLS VNPNVGHGYEEISECVY H257_16924 MKRGRPGADDDAVPDGWIVRMSASKNKPYYIHVSTNKTQWHHPN SDKPQKKSKPFGGAEVADATTVAVTRAFRVGGTNVSSKSASLCLFQPWPHQVRAVARV VAAIATHSNNPVASSGATPSNFLIQHSTGSGKSYTIACLAYQLLYTRDIAGRGFHTVI ILVDRIKLDQQLGDTVESFLHRNGIESVFRAETIEHLSTVVGMPANAQKVIVTTTHKL ALLVQDKVLLARLLASSSGASLGNITHVAIIADEVHRSHTSGTRDSISTVLDALHHKT YYIGFSATPSVHTLRMFGHRDDRTSSLRPFDCHSIAQAVDAHHIVNVLEHFTSLTCTY SVAQSTENNVGISHKDNLPWLQMQLASSHPAILAAKASSMMQHFVQTCKTTQVFAKCL VVARNRKDVVTYHRLLTAFMTHQNLPGRVYCTFSPFDNVHENQFNTCTLPQADVIVVC DKLDTGYNEPALVAMYIDRPLVAYGRIVQLLSRLNRCREGKSRVFVRDYANHPAHIRR AFDEFAHESSESSSSQPDLTKVALDLKTASVVLWTTLPGLLLDGDGRRHDALVDVADV AAAVHRCHMDTYLQIKHALAMYLDASAVLHRESPYLPRLWVAEFKRRVVDDFVGGSSS IHDISCDQTCAACVAAVRVISNVTLDTTYHGNLWRNAMYDRLYDLLTNAPATSQSTAP LDARPEDGVDYLLRSLTR H257_16924 MKRGRPGADDDAVPDGWIVRMSASKNKPYYIHVSTNKTQWHHPN SDKPQKKSKPFGGAEVADATTVAVTRAFRVGGTNVSSKSASLCLFQPWPHQVRAVARV VAAIATHSNNPVASSGATPSNFLIQHSTGSGKSYTIACLAYQLLYTRDIAGRGFHTVI ILVDRIKLDQQLGDTVESFLHRNGIESVFRAETIEHLSTVVGMPANAQKVIVTTTHKL ALLVQDKVLLARLLASSSGASLGNITHVAIIADEVHRSHTSGTRDSISTVLDALHHKT YYIGFSATPSVHTLRMFGHRDDRTSSLRPFDCHSIAQAVDAHHIVNVLEHFTSLTCTY SVAQSTENNVGISHKDNLPWLQMQLASSHPAILAAKASSMMQHFVQTCKTTQVFAKCL VVARNRKDVVTYHRLLTAFMTHQNLPGRVYCTFSPFDNVHENQFNTCTLPQADVIVVC DKLDTGYNEPALVAMYIDRPLVAYGRIVQLLSRLNRCREGKSRVFVRDYANHPAHIRR AFDEFAHESSESSSSQPDLTKVALDLKTASVVLWTTLPGLLLDGDGRRHDALVDVADV AAAVHRCHMDTYLQIKHALAMYLDASAVLHRESPYLPRLCVDSSSTPPCLCLLC H257_16924 MKRGRPGADDDAVPDGWIVRMSASKNKPYYIHVSTNKTQWHHPN SDKPQKKSKPFGGAEVADATTVAVTRAFRVGGTNVSSKSASLCLFQPWPHQVRAVARV VAAIATHSNNPVASSGATPSNFLIQHSTGSGKSYTIACLAYQLLYTRDIAGRGFHTVI ILVDRIKLDQQLGDTVESFLHRNGIESVFRAETIEHLSTVVGMPANAQKVIVTTTHKL ALLVQDKVLLARLLASSSGASLGNITHVAIIADEVHRSHTSGTRDSISTVLDALHHKT YYIGFSATPSVHTLRMFGHRDDRTSSLRPFDCHSIAQAVDAHHIVNVLEHFTSLTCTY SVAQSTENNVGISHKDNLPWLQMQLASSHPAILAAKASSMMQHFVQTCKTTQVFAKCL VVARNRKDVVTYHRLLTAFMTHQNLPGRVYCTFSPFDNVHENQFNTCTLPQADVIVVC DKLDTGYNEPALVAMYIDRPLVAYGRIVQLLSRLNRCREGKSRVFVRDYANHPAHIRR AFDEFAHESSESSSSQPDLTKVALDLKTASVVLWTTLPGLLLDGDGRRHDALVDVADV AAAVHRCHMDTYLQIKHALAMYLDASAVLHRESPYLPRLCVDSSSTPPCLCLLC H257_16924 MKRGRPGADDDAVPDGWIVRMSASKNKPYYIHVSTNKTQWHHPN SDKPQKKSKPFGGAEVADATTVAVTRAFRVGGTNVSSKSASLCLFQPWPHQVRAVARV VAAIATHSNNPVASSGATPSNFLIQHSTGSGKSYTIACLAYQLLYTRDIAGRGFHTVI ILVDRIKLDQQLGDTVESFLHRNGIESVFRAETIEHLSTVVGMPANAQKVIVTTTHKL ALLVQDKVLLARLLASSSGASLGNITHVAIIADEVHRSHTSGTRDSISTVLDALHHKT YYIGFSATPSVHTLRMFGHRDDRTSSLRPFDCHSIAQAVDAHHIVNVLEHFTSLTCTY SVAQSTENNVGISHKDNLPWLQMQLASSHPAILAAKASSMMQHFVQTCKTTQVFAKCL VVARNRKDVVTYHRLLTAFMTHQNLPGRVYCTFSPFDNVHENQFNTCTLPQADVIVVC DKLDTGYNEPALVAMYIDRPLVAYGRIVQLLSRLNRCREGKSRVFVRDYANHPAHVHH HGSFIAERNDL H257_16924 MKRGRPGADDDAVPDGWIVRMSASKNKPYYIHVSTNKTQWHHPN SDKPQKKSKPFGGAEVADATTVAVTRAFRVGGTNVSSKSASLCLFQPWPHQVRAVARV VAAIATHSNNPVASSGATPSNFLIQHSTGSGKSYTIACLAYQLLYTRDIAGRGFHTVI ILVDRIKLDQQLGDTVESFLHRNGIESVFRAETIEHLSTVVGMPANAQKVIVTTTHKL ALLVQDKVLLARLLASSSGASLGNITHVAIIADEVHRSHTSGTRDSISTVLDALHHKT YYIGFSATPSVHTLRMFGHRDDRTSSLRPFDCHSIAQAVDAHHIVNVLEHFTSLTCTY SVAQSTENNVGISHKDNLPWLQMQLASSHPAILAAKASSMMQHFVQTCKTTQVFAKCL VVARNRKDVVTYHRLLTAFMTHQNLPGRVYCTFSPFDNVHENQFNTCTLPQADVIVVC DKLDTGYNEPALVAMYIDRPLVAYGRIVQLLSRLNRCREGKSRVFVRDYANHPAHVHH HGSFIAERNDL H257_16924 MKRGRPGADDDAVPDGWIVRMSASKNKPYYIHVSTNKTQWHHPN SDKPQKKSKPFGGAEVADATTVAVTRAFRVGGTNVSSKSASLCLFQPWPHQVRAVARV VAAIATHSNNPVASSGATPSNFLIQHSTGSGKSYTIACLAYQLLYTRDIAGRGFHTVI ILVDRIKLDQQLGDTVESFLHRNGIESVFRAETIEHLSTVVGMPANAQKVIVTTTHKL ALLVQDKVLLARLLASSSGASLGNITHVAIIADEVHRSHTSGTRDSISTVLDALHHKT YYIGFSATPSVHTLRMFGHRDDRTSSLRPFDCHSIAQAVDAHHIVNVLEHFTSLTCTY SVAQSTENNVGISHKDNLPWLQMQLASSHPAILAAKASSMMQHFVQTCKTTQVFAKCL VVARNRKDVVTYHRLLTAFMTHQNLPGRVYCTFSPFDNVHENQFNTCTLPQADVIVVC DKLDTGYNEPALVAMYIDRPLVAYGRIVQLLSRLNRCREGKSRVFVRDYANHPAHVHH HGSFIAERNDL H257_16925 MKLFVAIAAVAATIFAQDFNSTGVSENQEQGIRVVGGEEAPVGQ YTWTVNLRSTAGGYSLCGGTLIAPDYVLTAAHCVANGKPGFVAVGTHYIGGTSDGEQI SVVSSTSHPSYQEAYYGFDVAVLKLSRASKFAPLPLAKDEVAAQTSVKLFGWGQTSGP SGSNSVVLKENTFVVRSNAECQTRLRATNNYRWWTATATHLCAGGDVGQASCFGDSGG PLVKSTSSGLALVGVVSFGEPCAKGFPDVYGRVAAFRAFIDQASRGHTWV H257_16926 MKLFVAIAAVAATIFAQDFNSTGVSENQEQGIRVVGGEEAPVGQ YTWTVNLRSTAGGYSLCGGTLIAPDYVLTAAHCVANGKPGFVA H257_16927 MFYMLFRSSQFEEGNVMAKDSNVATKSKDPLHSSWRKHMPDGDW IPDVSVMACMACKGEFSFWNRKHHCRRCGAVVCDSCSTSRTTHIHRDITETSEESARV CDPCIQVIDEYIATGLTKRFGGQASRDNNDDHEEDHHPNAYFTPQKVERNGRAVMTMG RYRAEIKESEGNRYIRDNDL H257_16928 MFQRASFRLLDEGNAHVELSPKHDSAEPPGQGKAKSILPPHLRP WLPLLVYAVTAVSTLATMTYFSFFAATVDGTAPTMMGCRYFGYWNGPTCGLNGIDCQP FESDWKPIRCPTRCLWDSSSVLEVIGSGVYKGDSRICKAAIHAGVLGTDGGCAMMKYS GSRTNFEASTAFGVTSQAFDSWFPKTFEFKQAPSASHCTDLSWGILAVGTLACFGLVF FPLPPVVLIHTMSIWGFVYVALIAAPDGYDYKAILVRLSTQVFIVVAAVHCWYHWAIK YTFADFASASKLIQAILWGLGYVIPFHGMLHLSFLAYIPWLNFDLGGYKYNDVSHTAT YVVLVVVGLVLLATVAFLLVHLHRQSKLYRTLTGYVLLTLYCLFVYALFPSTILHLHH VMVGVALLPLTRVPKWPAFAVQAAGLGLFIQGYAAWGWPTFLDILPPSYRIDIGEVAP VALNITAAAANVTWQPLDAVFGYALMLNGVQVARTDRTWATVENLQPNQTYYVQVSGI GNGGTDGWPGAKGNFTTALV H257_16929 MDDRSGAKRKAPADPFSVDQTQAHALLKQRIYQPRNGGSINTAS PGLVPRPKKLLSSSVSVTRLPKQLVNPKMKPTASTPLLPPLQPSPRNPASLACIPSPL VSVEECWGEQRSTAQNTFTASLNLDARYLHEFECGNFLYLERKPHSDQVVYDLQVVEQ YATNQYNYYTMSKAGVTHFTTDASEFCALDKWELEYQRFTAMRRIPFFQKYRVWKNYN VWKQNIHLAKMQHAKKTLNTQLFLLNPQLQGTLLRLRALTLSVTQVSLLRLVPKRTYT LSQLEHEQTQAIVDLSASLASFSSQVVQLCVSACDAVVDSFLEKNKIVAEHKMTFMER AALRKECRSLTNFLRLADVLVIDAMMQLSVTSFQTFLAQCRDSAVPLFSVLVDTDATG SIVPTPSADTWRRSFEVLLKQCLTVTDVPERLLGHPKLLGYTSATAEDEGKTSWSISS LNLATLLNDDAGFNTVSSEIFAALDDAFEAVDAYLEVFLPFFEVYVQDLDTMAHPERF HDVSIEQFSDEIAVFEGQMKAFVKIPASAVVGVFRVDSDQFKQRLLPTPQQCIVCIKT LLPQLMKEQSQRIMDVLTELSPLAFSTPSTPDKFVGKVVHMEKLGTILPDVKLKYRRV YDMACLMDNFEWRVPDDIKEDIILMKEGVISLEGTLSRFDTDLEAESARFTQLINDML PPLMKNVLTLQAKLQHPKLETMQTPIGDALAYIADQQQVLNQLVAEAKTLNEYQAQLK QPLSEFTEVQEVVDDLTLKSKLWTALGEWEQCTVKYNDTPFDQIDVQAMGGQVQAYMK LASQAQRALPQNEVAAVLVAQVDQFKLVLPIINDLRGTFLQDRHWSQIHSILGFPVQG DTSLTLGTLMERQAMTHGEAISVVSVAAQQESVLEAMLHKVAAVWHKLELEVKPYKDS KDVFVLGAVDEVLAALDDSIVTINTILGSRFIGAIREEVDAWRKKLVGLQETLDEWLL VQKNWMYIENIFSAPDIQRQLPEASKVFAHVDLSWKAIMKRTNDSPLAIAAGSFPGIK ETLTQHNVHLDKIQKSLEDYLETKRMAFPRFYFLSNDELLEILAQSKNPHAVQPHLRK CFENLVQLEFGEGSVDMLAMISSEKERVPLGKNLKARGNVEDWLKALEVSMKASIYKL MKVGLADYDTRLRKEWVCEHPGQVVATVAQMTWARQTELVLVKAQSMQEWLGQVVSEL NDLIVKIRGHLTSLERKVIVALVTTDVHARDIVECLWKENVTNVGNFIWQQQLRYYWD QDVDDVLIKHSDSVIQYGYEYMGATSRLVITPLTDRCWMTLTGAYGLKLGAAPAGPAG TGKTESSKDLAKAMAIQCVVFNCSDQIDYKMMGKLFRGLAQAGNWTCLDEFNRIDIEV LSVVAQQLLTLREGRIQQKEHINFMGVEILLKDHHVIVTMNPGYAGRTELPDNLKVCF RPVSMMVPDYALIAEIMLFAEGFSDAKTLSRKMCKLYILCSEQLSQQPHYDYGLRAVK SVLVMAGSLKRANPTLTEDVTLIRALRDSNVPKFLSDDLPLFQAIVYDLFPGIEIPSN DYGELLVMLELQIANAKLQNVPTFVTKIIQMFDTFNVRFGGTLVGPTGAGKSTCYRIL QNTMTALREKGSTNPLFQAVHTRVLNPKCITMGELYGEFNELTQEWHDGLASMIMREA VVEETPDYKWTVFDGPIDALWIENMNTVLDDNMTLCLANGERIKLKSEMRMLFEVMDL CAASPATVSRIGVVYMTSTDVGWYPYVQTWLTTLVGQFPSDWLGHLRTILKPLAEKTL EFIRSFAVEPVPTVDVSLVTHCCKLVQSLLTKCVDSSKQFGYSPEEQLDMVNKLVVFS LIWSLGASMNHTTLEKFDEFLRKLLEDNGINVQIPTQGLVFDFFVDFATKRFASWNDI VPVFKYNPATPYFDMIVATSDTIRYTYLLRTLTLANTPAYVTGVTGTGKTVIIMDLIR ELTTATDDIPAGFVSTTLSFSAQTSSLVTQQSIESKLEKKRKNLLGPVANKKMVVFVD DVNLPAVEVYGAQAPIELLRQFLDFKGFYDRDKLFWKDIADTSFVCAAAPAGGGRSHC TPRFVRHFHVLCVHPAREASLKLIFSSILGGFLERFAAPVKALRSGIITCVIEVYNRV CSELLPTPSKFHYTFNLRDVSKVFQGMLMITPAKCSDVDTMNKLWVHEACRVFGDRLN TVQDTVWFEDLLLHLLGAHFQVKWTTETLFHGPCPLVFGDIFRPGVPNPVYEICEDAT KLVKLLESANDDYNMRFSNKMNLVFFRDAIAHLLRLTRILRQPRGNAMLIGVGGSGKQ SLARLAAFTQDAACHQIEITRGYGTVEFHEDLKTLMLKAGVQGQPTVFLFTDSQIVDE SFLEDINNVLNSGEVPNLFAADEMERIVGDMRPVVKNLGLPETRDQCISTFVYRVRNF LHIVLCMSPVGSALRIRCRAFPSLINCCTIDWYMNWPKEALQSVAQRFLAHVNLPSED IRVSLIDMCSIVHTTSNDFATAFQSQLQRHVYTTPKSYLDLIQLYLKMLKIKQTELQN IKSRMEIGVKKLDETNSIVDNLKGELIKLQPILTQKAAEAEVLLKQVSIDQKAAAEVR LRVSKDEAVVGKQAEEVSILQADAQKDLDIAMPALSNAQTALNSLSKSDITEVKSFAK PPEAVETVMSCVCLLLGEKQTWDAAQKVLKDSSFIERLMNYDKDNIPAPLLKKLSKCV SEPGMSVEVVSKVSKAATSLCMWAHAMDVYSKVAKEVGPKKANLDAMNEKLQAANAVL KTKQDELRVVNEKVMLLEKQCKDTLDEKDALAKEAGTTEKRLVRAEKLISGLSVEGKR WKESVASLGDGILAMVGDTFLAAASISYYGAFTGSFRQNMVDCWREKVEELQIPCSQA KYSLATTLGSPVEIREWQLNGLPTDGNSTDNAILATRGERWPLMIDPQGQANKWIKKT QVPEVTKMTNANLLRSLESCIRNGKSLLIEDIEESLEPALEPILQKAVFKQGGRVLIH LGDSDVDYDPAFKLWITTKCANPHYLPEVYIKVTIINFTVTMTGLEDQLLGDVVKHER PDIEEKKNRLVVTMAQDKKQLKDIEDRILQKLSESSGNVLDDEGLIDTLASSNATSKI IKERVQEAEATELEINRAREEYRSVATRGSILYFVVAQLATIDPMYQYSLPFFQRLFN ICFDATPTSPVLSKRLEALIDFQTTYIYTNICRGLFEVHKVLFSVLICCKIMLYDGRI TPREWSLFLRGASSTKKGAPSNPQPDSITDAQWQLVLELETLGPQCEGLSTSFQHEWS AWNTWLKSHDPPHPHLCPQSYSSRMTSFQNVLLIKALAQEMVQRATLNMLGVEMCAGF GQLGTVSMEDIYKDTDRKTPCIFVLSAGADPTGMLLRFAKDRQFSDRLHLISLGQGQG PRAEALIEVSKTNGDWVLLQNCHLAKSWMPALEKKVDELATDVTVVDSFRLFLTSFPA AYFPVTVLQNGIKLTNEPPKGIRANLIRSFVTLMSSDSSFFDMFESGGEFEEGGVVFS KQMVWRKLLCSLVFFHAIIQERRKFGPLGWNIKYEFNDTDLETSYACLRKFLAEQPIV PWDALRYVTGQINYGGRVTDDWDRRCLTSILNGFYTPQVLTDSYKFSASGTYSAVTAN DYASVMAYFESLPVHAAPEIFGMHENANVTFERNESWQMINIVLSLEPRDAGGTGGQS NDEKVLELAASIQTQLPMNLRLDEAGPTTFRTRTVLGTVVMDSLATVLSQELVKFNIL LSKMRQSLMEIQRAIQGLIVMSSDLDNMYTSFLNGRVPGIWDVVSFASLKALGPWVQD LLNRVAFFRTWLVQGEPVIFPLPAFFFPQGFMTGTLQNFARKYQTAIDCLGFTFAVME CQADSITSSPSDGIYVDGLWLEGARWNAKDKSLEEARPGEMFSPMSLVHFLPAANIDR NKDEYPCPVYKTSVRKGTLSTTGMSTNFVVAVYLPSTKTPDHWVLNGAAFLLNLD H257_16930 MPNTRYLGIVGTANMLPPALQRLHAWRVVLASQSPRRLELLTNL GLHFDVVPSTFEENLDKRQFPTPEHYVIENAKQKALEVLQRLTAQGTTVDLVIGCDTV VSHEGRILEKPKDEEDAFSMLTSLSNAKHDVFSGVALCFSPSNVVHVFCERTTVQFIP LDATTIRDYIATGEPMDKAGSYGLQGRAKAFVSAVDGCPNSVIGFPVDRFCLEVAPFL T H257_16930 MPNTRYLGIVGTANMLPPALQRLHAWRVVLASQSPRRLELLTNL GLHFDVVPSTFEENLDKRQFPTPEHYVIENAKQKALEVLQRLTAQGTTVDLVIGCDTV VSHEGRILEKPKDEEDAFSMLTSLSNAKHDVFSGVALCFSPSNVVHVFCERTTVQFIP LDATTIRGTFHPMFMLMYAE H257_16931 MMMQPLLKTSLQKHGDLAKAVEKLRMEAKMTSEYSSSSNSGGLR RSLKEVGLTPRFRSNDYVKVYRGHSLRKARVVHVTATEYFVHYQGLPSDMDEYVPHYR VIEDREMKASTSTANAARDDELEQLRQENRRLKEDLQKSQERIKRAEDDMAEEWRKEC SVLQAKCLLVSDHCREAVTRVYNVLEEKQRMLDSWNCVICTTTAVDSAFVPCGHMFCT GCSSQCKTCPICRQEFIMRLPLYKP H257_16932 MMEGIVSSVVEGVDQGMAVIQPILQDLSVYAELLTPLKFEAANA YLATVADAAGLPLDQVRYVSCLFGAYPFALVFSLLPSATLKHLFSLGVGVSLAQFVFG ASWVHTLIMALSTYLLVVLAPAKYAPRLVFVWNMLYISASHLYRLYVDYMGWSLDITG PQMLLVIKLTAFAYNYFDGVVDIKRLNTPTDNKALASVYASRKSLSIPQLPSLLEFFA YVYCFPTFLAGPAFEIREYLDVVNGVKKLGPGCTLAAISKLLVGVFFMVLMVVFGGKY PITLLYSKESGDLPWYQHVATLYITLFFVKSKYYSAWKIAEGATVLCGFGFEGVDAKG GSKGWNLVSNMDVLGFELPLSLRDASRAWNKGTQNWLERYVYSRTNNSLTATYFVSAF WHGFYPGYYIFFMSVPMATNVGRLAFKRVRPWFLQEDGKTAGPFKAVYDVVGGLASVL ALHYLVIPFQALSWENSLQALSNLKFSGHIILAVLYVLFHLVPVRKLKSAKKTE H257_16933 MMEQVVGAVDAVAVALCPFGFEYLHSQVAVLSESVGFAPDQLRY CLSLFAAYPLALVFRLLPVGLKHWFSFVVGVLMAQFVLGSQWIHSLITSTVTYAIVAA APSAYSPQLVFAFNMSYMSASHLYRIYVDYLGWSLDFTGPQMLLVIKLTSFAYNCYDG TDAKLTTNPTNSQLAKVYASRRSLAISALPSLVEFYGYAYCFTTFLAGPAFEYREYID AVTCRHFKPSAMTTTSCFVSALSKLVLGLGCMAAMATFGSSFNLHTTLHATFPTVFHQ WGAIYIALLVTRCKYYFAWKVAEGSTVLSGFGFEGFTKDGHVKGWNAVSNVDILGFEF GQSIRDLSRAWNKGTQAWLQRYVYERMGNSLLATYFVSAIWHGFYPGYYLFFLSGTLT TYSFRHYIYILTNLGTVPLPTAVNRLARSVLRPYVLESKTNKTLYDIVGTLATALTIN YLAVAFVSLSWEESVFGWKSLAFVGHVGLVAAYIALSVLPRKKVAKAA H257_16933 MMEQVVGAVDAVAVALCPFGFEYLHSQVAVLSESVGFAPDQLRY CLSLFAAYPLALVFRLLPVGLKHWFSFVVGVLMAQFVLGSQWIHSLITSTVTYAIVAA APSAYSPQLVFAFNMSYMSASHLYRIYVDYLGWSLDFTGPQMLLVIKLTSFAYNCYDG TDAKLTTNPTNSQLAKVYASRRSLAISALPSLVEFYGYAYCFTTFLAGPAFEYREYID AVTCRHFKPSAMTTTSCFVSALSKLVLGLGCMAAMATFGSSFNLHTTLHATFPTVFHQ WGAIYIALLVTRCKYYFAWKVAEGSTVLSGFGFEGFTKDGHVKGWNAVSNVDILGFEF GQSIRDLSRAWNKGTQAWLQRYVYERMGNSLLATYFVSAIWHGFYPGYYLFFLSVPLP TAVNRLARSVLRPYVLESKTNKTLYDIVGTLATALTINYLAVAFVSLSWEESVFGWKS LAFVGHVGLVAAYIALSVLPRKKVAKAA H257_16934 MPTLLEQYPALSVIYPFEWENKYEFTWENEFCKDTMTHIIALCA VYCVLCLGGREVMKKFSPFGLTSALALWNLGLAVFSTIGSIRTVPFLLNSLFTRGVYH NVCSEAFPHYGRGPVGLWVTLFIFSKIPELVDTMFIVLRKKPLIFLHWYHHITVLMFC WHAYANLSPMGLFFVAMNYTVHAVMYFYYFLAAIGIRAPWAKFVTVIQLTQMVVGVAV SVAGVYYIRSGAPCLLDRENLKWAIIMYSSYFALFLKFFIERYFLKKTTTPVAKKTV H257_16935 MKIFGSAWKKAFLVASVLAAIGVNNHAGASVDIAAADIAGSGKV VDQLDGALLNGDKFQFQAEVSRLMDIIINSLYKSKEIFLRELISNASDALDKLRFLAL SDSSLLDVLKELEIRISFDKTAHTLTIKDTGVGMTKDDLVKNLGTVAKSGTANFVSAM QNGADANMIGQFGVGFYSVYLVADKVRVVSKNNDDDQYIWESSANASFTVSKDPRGNT LKRGTEITLFLKKDALEFQDQAKLKQLVSHYSEFINFPIYLHTSREETVEVDDDAGDE DEEEGEEEEGEVATSGDDDDDEELEAVEEDSAAPVEKKTEKKTVWEWQRVNEIKAIWT RPREEVEDAEYNHFFQAIHKDSTDPLTWIHFVAEGEIEFKSILYIPSKAPHDMYHKFD HKRADVKLYVRKVLISDQFEEFLPKYLNFIVGVVDSDDLPINVSRETLQENKILRVMR KKLVRKVLEMLRKLSESDDGHGDDDDDDDVVEVDEQAKDKAKADSSSYLTFWENYGKN VKIGIMDDPANKGKLLKLLRFKSTKSSDKYISLNHYVARMHPWQDTIYYIAGESVDAV EKSPFLETCKAKGVEVLYLVDPLDEYVMQHIPDFDGKKMQSITKEGLKFGDEDEKLIE RKRKLYADQYDGVLSGLKKVYGNKVSKVTISKATAVDSPAVMVTSQWGHSANMERIIK AQTFANPAAQNPAAQKIMELNPRHPIVGKLRDLFATAPDATETHDLSWLLYDAALTNS GFAMDDVDHFASRVYRLMKTTMGLDSLDLDPEINLPAEEDDAVEDEDVVELATDERVD EKGADQQPKAQDKELPKGAEKVDVKDEL H257_16936 MRVLPWIAAAFFLTGVADAWVKRRWDHSRSFKKLGLTDLTCPTS HVANTDDTAVTTPPSLPASCCLCQTFMQRIETNLNATENDHEMDVVFRISEEKKTIPY SRSEGRILEVLESVCKDVSLPDPHTSPKVKVAVKNACQGFVDEFADDLIGLYYNNLAP QQTAMCVDRLQLCASQLNDEL H257_16936 MRVLPWIAAAFFLTGVADAWVKRRWDHSRSFKKLGLTDLTCPTS HVANTDDTAVTTPPSLPASCCLCQTFMQRIETNLNATENDHEMDVVFRISEEKKTIPY SRSEGRILEVLESVCKDVSLPDPHTSPKVKVAVKNAVTLPPFGFLIHM H257_16937 MDSEVPIASPPSKVSAPLQSLLPSTCGVLNALPELSGHPKKSYA LGWNLDGSTLASGSTDRTVRLWDPAGHGYTEMQGHSDSINQLMWNPTSKHHLATAGND KNVRLWDAKSAKSTSSIALSSAVMNVAYSHDGKYIAALCTGSTRDTGSISLIDTRKHK VVTRMPTPYEFQDMLFSESGFLFAAAGHSAGYGTLEVLQIVPDAADRKLPPTLENVHK VHAAHSGSCFAIDLARNGRMLALGGVDSLVSLWDLDEVYCMQTLVTSQSRIRFVKFSH DAAFLASGSDDLVIPIVEVATGRPAFSIALQEPPQQMAWHPSKHVLAYLGDAPSNDKN KDRQGVIKLVAVTPP H257_16938 MEREKYGNVMGGKLSLKGMPLSKKSKKKRKREHAEETEEKGDVA KKQETEVLVPIAAMTPAQKKHQKFKAKREEEDIKKQASKTYRERVDEYSQYLGNLSEH HDVPRVSAAGNDIGLDLARKRHRASSCDEPAEAVVRHALQSAVNAIAAENSVDLTTLT FRVRRLHEHVPSTALLGTTAYSSDIPLRLYHSKRLDHLSDLHATATLLLGHLVHQSDA IVQVDSAENSSRLVLYTRPAPPPAEVLDVTLPLEVLHQDDAIIVVVKPHDLPSVDGRD RPTSLHRILRSKYPNVRMVHRLDMETSGVMVVARTLSAAQSLNAQFRAKTIGKTYTAV VEGLLSCDSCVISASLAADPTHRVKQVVDERRGKPSETLCTLLLRHLDKGHSRVHLTP VTGRTHQLRVHMQSVGHAIVGDSLYASQECTSSRLCLHATTLKLVRPTTNIPMTFSSN PPF H257_16939 MGWQACWLTVFLAAWLCCNSVVRGQTCSLSSSITKAPGVVQDRA SPSGLYAASMECHWQISSTQANTVVELTFDLLNLVQYRGSNNDVLLVNLGSSKPGASI PKGWAAYRRHQVSAGTDDIGAWDYTSSNGGTNVCPATSFDPVTTDLTTGSLLTDPQRN LTWIYFTGAYTPDTDNAMTLTSSASDVYIVFRSFIQGTDTSTTYGFQIRYDFVPSYCA PATVLSPRVDVSDTITTSASYTVQDNVVGQMQPNITCVWHLQPFRLDASGDHLGMDAV WISFTSFDLQGVSGLAIYDGGSESAPLLASYSVHNAPQGLVITTQGTAYLKLSTDANA RSRGFTMSWSTSFCPYKCSGPSRGVCQYGHCLCAKGWSGASCSVPQGWFCTDSHYNST DGCDCGCGLYDPDCDAIGETNQPKLCTPSTSTAAYSGSQGKLSTGECLFCTLPPSLPA PKSLPMVTWDGDYIEQSCPFNYQCPQGNVCTTTGKCVAVLPDSSPVLGSSSSYRKQCV ATSDCPAFTVCDTDTFQCEAPDSLGLSLTPPSTVCSLVGLTNTSTFLGLTIEVRLRLA SAQPSDTIVAYPGLSITHSSSLAFSASILGAWNSDVNIADGLWHDVAWVWDNVQGSMT LYSKQTVLATTTVAPSVPPLAPNQALTFGSADGAVAYFRMSSIAKPASTLFAPTSSSD SPSVVAEFNFGQGSTRDWSANQNDLTGSPSLIVSPSSVYACVAYPLDISTSFTTGMVL SVTGIVLSTTWEVGVKAANGIALLQVTSVTAKSVQVLVDTVAVTSLAIATPVTSNLSI RLQKLSPTSIEICINDVSCVKILPSTTLASTFYVAHGGFTSTCVTRADSLTALAPLVA VPTLTKDTREACIFPFTLPLRDCTAFESYVATKAYNAAAFVAVAKPYAYATLDQLVQP CQCNTMPPWNTSNYVITRVNSTAPPSVTVQITYNYIKTQVTAGGPQTNQCASQCFFIE NTNQGPPLTPALPFNSSANATFVSGTTAKRYTMTREFMFQQDAHNIWQVVGTGVARTE RCVVEGPDEAVVATSVSVFSCQTIGGSASDPPSPQPYCVLQNTKKTCLAEQNGCGIEN GQVVYTSTGGSFEDGYESNSVTDGVHECTFNIYPAIHPKALEPFAVLTYVVPKSQLGQ GDVLAVTTSIGTSANLISGSTVRTLMQREAEFTLSTAGDKSGTPGDGFIVEFDTVYSF PTAPTAHFCSNAVTTLSFQDSVVFPTYSFDNSTLVLSPNSISNCDFILQAANASAGSV VWVQFLSLALTSDRIDLFDLNADSTPSSLLATITSTSYSVEHSAVDFNGATDYLVSDM PVEVPSSILFWIQVASTLTADCTVASACLTNNIPKPMKVLGSDNHFPGFNVVLAPDTG VLSVQFNTGRSFSLLFDVRLSSWLHVAIVRRNSDLFGYIDGTLVPVASAATTSNATTT TTISQGLLYIGGQPSLPDATNIYFSGQLRHVVLFSEPKTVFEINRQMNLPCEESDVSL VVCYSFQTRLDLSRFANHLHFHGPSILQSSALVLSHNLRKIFTANSSKVLLRYSSPTS SATADAFRLVAWSTFCVMPCFGDCDDRGHCVCRPGTSGRHCNVTIPPCSNHVIVPEGS GTITLPSPSSTVVRDHHFVPDISSRGGFGALVDCSWHIQQSNSIVMLDMQLVDLTDDN VILSIYDGDRVATMYTSAYNLSAAMAMDRAAFFSRGQVQYTQAVIRNISSTVALATLW PSTFNASACSKVYHVVTFERGKVCADASAVGLSRRLASETIATFWWTLSSSAYASVLT TSQLYFNSFDQNSANITVEYHLRPIDTRSNADTSPYPALFRLRRRTSSFSTCKDGSDV NLDGLSGQLAEFTSILRPDLGTSGYTDQCLVTPLFDYTGNWAIDASKLYYGAFRTKFV LDQVQSIPFTMVVQAEVVLTTKDQYIFSQENQAVGSIFLKFAPTTKASGVWIFGTVGQ NDGVASTNPTSFTGESVHLAITVVSGVWTYYVNGVRHSTLNTVTNYQTCVATGRASCQ LPILTFSDVQNRLVIGATVSNGQGDGFWIGTIQRVKVYESALSEAIIGCLAGGSRATV IQSTVVNAVASSTNSTTATLSTIDITTVTVQATSVISRLVREWTLVRSPCQVPPTSLQ LDGNAVAYMLNVPDVQLSSSLVWNSFDDTLAQLSWRNGSFFSQSTLKRSKRYGFGLTT ELIVRLLTEYGNLVENTPYHITRLYVNSVGPTGAVVSFTLTNVFRSSVDAKATLVRFN DTWLVPASIERLVPPDFGVVPPVKCISSTNFTASAGYFSDGQPTETSPSVHDTLCKWE IQAPPAQTIRLQLDLFNIDCTEGVLLLVDLDTAVSTALCGNITTRSITVGRNMRFVFG IGPATGPQPTKSTGFYAKYWFSGHNSTQNTTDVPATYSNWTLVETSPTTGTSQCQVDA SAALSPLYPWQVQSVSLTSIFNRTCYATEMQSPNISQWDVESVDYDGGSPTCLQWEHD DSMPWTATDVQISRTNDLTSIPPPTLVTQSSPALELTSHSSIPLIPFQSTKSSAEIRF QSQGRGGRSRVVVAYSTRKVYYVAPPSYRPADGSMGDGSLDAPFTTAFADLFANVLSD GDLLRLFPGRYSGSSYCNLVVTKAVGVDSIGGPDRTIVDCLGTFRGWQLAHVRGLTTI TGITFTQCTVASNVHGAALWISGPTVLHQCRFVHNTDGIGIVAVVAPSASRLVDCEFE LNVGRSALAILSATVTVADSRFLNNTSTGTEEIVVVTRYEGTGGANVLGNPASATVSN CTFARNSGAAALGLKFSSNAVVTNCSFLSNQAGGITVFAAMLVFTFNSAQDNNTTGLL GTVRGSITSSWNVFDSNRADYGGAVFVTAATSWNGSWNVYQNNVATVAGGAMYGTPDN FVESHSSFLSNSAGPDSSTTDVATKFTGGAISFQNGAHIDFKSNLFRGNSASTAGGAI YLQSSSATIHSNQFDQNTANDGDGGAVMLAQCTQQGVTFQSNYFVANEASNGGSVALD SSTSVTLDGDRFDASLAFLYGGALYSTSQSEVQLVNVSILRGVADNGGGVYATTDSIV TITNSTFARCSSRFDGGSIYVINRVFLQLYQVQFDHSSALGNGGTLVAMSQRTQLVMM NVEMVHSTADKGGAVYLIDCKVSRGNVHGLRIGQSVGRVMGGAIYAVLVEMTLSNLVT WNTSSVNGGMLSLEDCTATIIDSEVQLATAVVNGGAFYAIVSTLTLISTYLERNAAAN YGGGVYGFASTLHVTDGSVISMSTSNFGGGVFAASSTVYLDEMTFEGNLAATGGGLCT DMSDVWATKSTFDGNVASDMGGGGYISYNYVQLVNSTIVENEARQGGGLYLTKLNGFD IHDSIISQNRLNHELRAIGGGLVVIQLGANAAIGNSTLEGNVGGDDSQGGAVYADNSG VTSNSTVTIRIDSAIFKGNAAGAGGAVYLTAMAVDFIHTVLQANLARTGGGGAVYWQG KAEPGGLQSQSFSLNQAYCGPDFASTPYALQPVYTVPSTVEDWAGEASGQPFEGTFLV YVVDQYMQTVKTDYSVLVALQSATSGAFVTGASQATAVAGVCDFKGASVQQLPGRSIT ITVSSPSLRALGNVTLPVRKCVRGEMIPLGVSQCIRCTFGKFSWNTSDTICHDCPTGA VCGGGDSVIALEGYWRFANSTGVCPNLDLPYDNCKLNVCLGSSCGGYVAAAHTATVRL DGPNNSMVLSLSSGEDASASYSVADQLFVVGKVVTVVGTANDQVYVTGDDELPTEGSV NIFWPADQVCSDGYTGNLCLQCARGFTRSGKSACVACPANYTLTILVLIGGVFAVVVI VVVLIRMAINKAKKKSNLTSILTKIFTSYLQLIILAESFNVDWPKEVLAMFRVQGAVA SPGDKLISMACLLDYYTQDVSYVASLSAYYTQLVLYLCLPVCGVVFPAIYWTTRYRAI RRRHHAKDWVTAVQPGTLDLVSIESVDKLFESVGEKPSDLVLVVVHSFVQQTPAPVAS VKAAYLAAIKMETRAKLVVSVIVIMFLIHPSLTNHLFQMFACTQLGSDETGAPLYFLN PDLDVKCYTASHFRWMYCVGIPALIGFTLGIPVFALYVVYSCRHNLDDLSTKLEYGFL YIGFRREYFYWEIWVMTRKILVCFIAVFLKPQGTGPQALAATVLVFLGLYVHMDCQPY EDKRVNRLEQMALVTSLFTMFCALFLYQVEVVGVSRVLFGAMVIAANCVFSLEFSRLM AMALKDKAVGAMSKLTQLKALQNAVHGIQATRRLTTTDRKVYVSQTPKLG H257_16940 MAVPSTHDAVRRTCMNAAWVKAQAATSKVDPSARDPATNRKVHP WLRPSLRSARFKVQDLRMTPNVYTATCGFQETVYGMGATVDASTGSIVNQGTVQGTFV AEWGGWPTHEVTSYVNAILLQEVLGYDVSFVYSSGTYSTERMSTMGRGVCTPTHLNPE VWTTSQMTTLKQHANESTMSNIGYWGRSGHYTLRANVQDALLGPLSVSGNLTRPISAD FWREFTLTNELIEFFSVHQHNRSRICQVKILRRRRGGLLGRMLEIARVYAERSPRQAV YVSAQYAVGVRPWLPPSDHVQQQRASVLLLCGGLGPPSVRGRNHAKSRRHHVLPLRTR YVSH H257_16941 MADMTKFQASSTEPANFAAACQWVDNLPLCDIRHHVTYTINGCN DTIRHVSFQWTRPDPTNVSLPFVCDGGLIELPLEFHTSRSCDWLNGHEIDYRQPACDG SFYSYTISACSSASTRQVTFAWLLPDASNLGISSECSGGAPLPSNAVIDCDFVPFSSS AYVGIAVFTAVVLAVLAILTALVLWFRERPIIKRSQWPLLVLMLVGGFFLCATALLGG GAPTPWLCTGRPITASLGYTMVFGSLLAKSLRVYLVFHQKAMKRVVITVWRALQWFLV VLGIDSCILAAWMVVDFPHPVTVTGPSTDFHGDVDTQQCSSSQFIFPALSMFWKGLVT CGGVYVAFQIRQADSDFQESAWMFASSCIVVVGGCVLLIVSYAATMPATSVFLFQAVL ILLCTVAVMTLMLVPKLLKLYAVTPIEVFASRKSTASLVHLMSSKKQLKLNSQRQSSG SLQAPTSAVRVQSSGASQDLRRDATSVASVASSL H257_16942 MGATPFKAVSPAQAADEMTALGSAYAVYGPSIVSNAIGGDVLAS LEFEQLPMLFSTVGVTNTIHQAKLTSLLKLHRPSKTQRFDVFLSHDWGVDGATHAKVG LVNTLDMSTAVLLYRRRPSH H257_16943 MARKRHRASSCDEHAEAVVRHALQSAVDAIAAENSVDLTTLTFR VRRLHEHVPSTALLGTTAYSSDIPLRLYHSKRLDHLSDLHATTTLLLSYLVHQNDAIV HVDSAEDSSRLVLYTRPAPPPAEVLDVTLPLEVLHQDDAIIVVVKPHDLPSVDGRDRP TSLHRILRSKYPNVRMVHRLDMETSGVMVVARTLSAAQSLNAQFRAKTIGKTYTAVVE GLLSCDSCVISASLAADPTHRVKQVVDERRGKPSETLCTLLLRHLDKGHSRVHLTPVT GRTHQLRVHMQSVGHAIVGDSLYASQECTSSRLCLHATTLELVHPTTNIPMTFSSKPP F H257_16944 MEREKYGNVMGGKLSLKGLPLSKKSKKKRKREHAEETEEKSDVV KKQETEVLVPIAAMTPAQKKHQKFKAKREEEDIKKQASKTYRERVDEYSQYLGNLSEH HDVPRVSAAGNG H257_16945 MASNADVGRVPESCAAGQKRLGLLCYDKCPVGTTREGLDCHSIC PAGLADQGLFCRNSEYGRGVGYPWKFGDSLNDSGMYQRCQMDHDRTSAKNGSWLCAPS AYRATLGLVDVSVDLTPKCGSLGGGGGLVLSCAKKITVMAPTLGTCATNEDHDAGLCY SKCNPNYTGVGAVCWGRPPALWMLPTCDKVAAFISNKQWVASFLEFRRR H257_16946 MANILAFLTVFAAMASATVNQIDDHQPQHRLIFTTLNELGGCAS RRIQQSSVCADLHSM H257_16946 MANILAFLTVFAAMASATVNQIDDHQPQHRLIFTTLNELGGCAS RRIQQSSVCADLHSM H257_16947 MPPKAKPSKQTKRKTPAAKPKAPAPSPPAKTLAYDEDGVDTLFE AMSIVAVRCDEDGERFWIAQLLDDTIEDMLEDESAQVNVVYFDKVVSDKSTSYRLGSY DAIPVQAIMCEIHLDEPSPGDFKLPKRHLDRIESILANVDAGEDVPDEMLQPLKKRKT STSSEATTKRGNGAKSPSRTSAVKLDKLSGLVKKKVQVPTSIHLQDESMAGKHAFRSK CSDVVSSSKEIIRMVLVKDYKMLENLLKKPSMHKHVYSFFVTRSAGIPKTAVHYAIER ADLKALTLLLAAAKPDQTPPKFAKKPVCALVSLDTGNHTSSFSDYNRRALNASRGGKE GNNALLKDEGSGSTLPPLNLDGVDSTVDTFLWGNPTTTYEMIMLFYPGDTWVQSSSRV IPLVARCGNCTLLCKLVGILVARNGWGYNALHAQVLADMGPLDPFKKVSVLKKANMHS IRPLHFAAINPNPAHLATLVGEVDQSALAEADAGNWTALHYAATSSETGPLKVLLKAG ADANLRTKAKDTPLTIAAKLGRLQHVKVLLEHSDIVCVRALHLAAQHGHDQVVQVLLA HGANPNASTPMKESALALAAEGGHLNCVNALLQNKGTVVDVLDKVRRTPLMVAVMNGH VDVAIALLNAGANPNAVDTSMNSVMHYAAGYGWLSCVKLLVAVESATWFQNSWGYSPM AVAALKGRYDVSQFLLDLAPPTEPAVDFRDSNGATMLFLQCKLAESVDQIAYLLSKGA DPNIPTTSPVVAFPLQQVLLRLPEENKESNVDGGEVLVEMAKLLIQHKAYITHEDMHD VRQPLALAMAKKHKPLFELLLPMSDLAAWGGPKKENLWMTAVAQPDPTYLTAMLNKSS RHVPIVQDVDGCNLLHYVAISSAITVEMVALLFTRLTKSEIAAAMASVNKAGHTPLLT LVAFERLHKADVDYTAEDNRYCRLLRLYLQHSTQLESMVVKETTESLLHVAASRTLSA ANGRWRGADDVLQVVVESHGWPVATVNAPSTATGKTALFLASENGHTRGVYALLRKKA DPNLVVKNTHDDSSNWTSPLLAAVANGHVEIATNLLQFGGKVSEGFRGLKTPLHVAVE RNDADMTDALLSSGADVCAVNADGLSALSSAILQGFSVTKVELHANEVSFGDSFNAPL NDSWDFLCAKVPTPVATLPPTTNNKKASEIEEDEDDESQASDTSGDSEEVQEKEEKEK EEPPVVQNAGISAISVLLLHASAAPAIGLADSRGRTPLHYACGNRDVHLLRALVHLSP AAINARDRHLRTPLHFAVNAAVLTPEATFDIESVLVKHGADVNAVDAFGFTPLHFAFQ KVNLDWQYDHPAKSAVDFQEILASVPTEVATDPIETVRNLCLVNGIQVTGQDILGRTC LHVAAATGAVVSSLSILHIAPPELLEMTDQYGDTAVATAMAYGRQAVVTTLIQQRANI QVSFTKNKAKVSLYYSAVQKQWQGVCHLLLNAGYCRRQAVEDSLRSHGFTLTQNLIAG LVNNTKHKSTLTQTNDKGETLLHVLAQQSVEFQGVVRAVAWQLIDAGVSVSATTNEGA TAMHFAAIHGDLNLLRFLLHLDPSLVHTLTKFNESPLVFALKHSTEKYPDAVLRSLVF LGRSKANVAQPDAHGHTVLSLVLDRFVDHRTMSQTSQVVLLDLVEFLLQECKVSPNGR FPTTASFVCSPQTNDIVKCVTPLIRAVHISSVFLREHALAMLLHHGANVVETDDQGNT VLMHAVVQNHLDDLRICLGLVPYAERKTVSQTTTLPCEPKTWTMDISAADRAAALNVA NVYGETALHLAVQPRANGSFENVHIVELLLKHKVCIEALDKRKVSAVDLAKLQHSGIL LGLLTNSKVVARDVDTCETYAAIPPVDHDATVYLSQCQARGLVKTVPIPLVKSPLCQA GPGAIVHVNGVTEFSVLLSKVDVQAGQHGVNVFYRMQIVHNVVQDVFVLFTNWGRMGE SGKYQHTPFKCVTSAEDEFKKIFKSKTGNVFGHDLFVKKIGKYMVNPRRRERHEYHES VTASFTSTSLTHPKSILDDAVQQILGVVTDLKCLEQAATGYDHSLRDMPLVELEPSVL ATALDRLSEIKTILEENASVLKKMNSTDQPLEPAQIGALADSWRAATDGIAEKSSRYF ELVPRSDASCDDVPLASFLTVQDVNKEITRVRHLLDVAHTSKIILGAKANAGHPLDYC YDAMQVHLTPASSADVDVISAYFEAGFSCKPSTHKVTRVLKVQRKGEAERMQDIAVPG HHTLLWHGTKKSNLMGILSRGLCIAPPEAPTTGYAFGKGIYFADSAEKSFNYCGSDPY TLPDKRKVHYMLLCDVALGTTHRVVEPEYREVAADGTHSTFAMAKYQPNPHDTLVTPI SSCRVPLGKLQQLGEEISLPSAWAIGNIPDFSKSTVRPWMLQTNRLDRAGLALLDKAL LTGQTKVEWENCLQVPLQPLHIFGERWAKVTKLEVQVEAKEFYVNGRERIVRCHVTLE FENSTKYSYSAHKYFDVVTNEPLANGFKFHLERPALTHNEFIVYNQAQVKIAYLVEIE VA H257_16948 MRVSNPHGELAVCNEKYVKECTELVMSHRGIDVIGNFDAFVSVE VLWLNHNNIEKITGLDNCFRIKYLYLQHNHIRSLEGSLRHFTFLRELRLYHNNLHDLH SALKLLEKLVHLEDLDLFGNPLAEEDKYRLHVIASIPSLQVFDRHVVTPEEQLSAKKL SIKRSGGSSAHEHRQGPRLQASTMQRYAPLSGTLADELERAKCFELVSPASQAAGPSS PQANDTTTSLARNCGMDDWVMCHLRKVFKSLDTKKLGGVLNTQFATVVSEMLDQGYQL IWADQPLTEESNLSALWPLMGKAIGPDPDDDDERPRHVISWAAFSQAFTQRHVGGNQE PFYWVPLGIRAIEERAQEYFDKAALLQKKLSLLTSPNNQLTQQVHVYSQRGYHLTSLK DQLTRTSHHEIVRTQHQTPPSVLRDSVTLFSYKTKGAKKLMSQPDEDEKKEGTALTKK YNVKSKDFQAYLEAKEARKPVRVTKQSLAI H257_16948 MRVSNPHGELAVCNEKYVKECTELVMSHRGIDVIGNFDAFVSVE VLWLNHNNIEKITGLDNCFRIKYLYLQHNHIRSLEGSLRHFTFLRELRLYHNNLHDLH SALKLLEKLVHLEDLDLFGNPLAEEDKYRLHVIASIPSLQVFDRHVVTPEEQLSAKKL SIKRSGGSSAHEHRQGPRLQASTMQRYAPLSGTVKMLLKEVATIERNQKLADELERAK CFELVSPASQAAGPSSPQANDTTTSLARNCGMDDWVMCHLRKVFKSLDTKKLGGVLNT QFATVVSEMLDQGYQLIWADQPLTEESNLSALWPLMGKAIGPDPDDDDERPRHVISWA AFSQAFTQRHVGGNQEPFYWVPLGIRAIEERAQEYFDKAALLQKKLSLLTSPNNQLTQ QVHVYSQRGYHLTSLKDQLTRTSHHEIVRTQHQTPPSVLRDSVTLFSYKTKGAKKLMS QPDEDEKKEGTALTKKYNVKSKDFQAYLEAKEARKPVRVTKQSLAI H257_16949 MMKMFLAALGTPVVSKAIFHALRPKDFDAIQRKRAADPSHAVVL PTGGLLLGSGMDISGSCPGSVYVQLGAGIPTALPVFGGVLAGSLLASALAKPMDEKAK VKRFVALPWMAHAFVGLFIVGLAVVLEVLVPEDPLPSAAWLPSIAGVVVGSLQLPLVL VLRRSLGATQSYESVLGLGMYPIRNTSAGKRLNVPSISDLSTLLFVVFIVAGSAVATV TSTPPLPLGPLPSVAASLVGGALIGVGSTVACRCTSGHGLSGVALLMISSLIVLPFIF AGGIATGLVRSSSCMARRKRHMGESATEDNDEESVSSPSILGSMLSLDEELNGGRKST KSPRKSHIPIYDPESSPTKSSRPPKRKAYPPKEEPIEPSVLHQDSVFVSTPDEIPTKP APVRLDFPNHVTGSPPRHLTSVAKGSSRKAPHTAGGYSSIHKPAETNSSTIKTHNVDT TPLPSDIPGANMEPVDQYPTESVHDNTRTVEPSDGTDFDDQIALVMQELEALNLLHSQ LMETKAKAREHFQRSHQSNVSNPAVLHAPLATTTNGGSKSPRRLGTLHDTPSETKPSK SPKKGGRHLKPDTAAMTTAALPPLPAYPMAAVQDAYPWSKFMLQQMHQQMMQSSHHVH GAATPVVYVPILNPAYMAEVKHQSGYDNKQHVQPLVPSPPSSVASKAPDEVASVESTT KAPDKKPRPVKKASPKRPHLAKKHTSRELVFPR H257_16950 MGSMELRPYGADSQVITVTKQVRLGSLKFKAVGGPLMFRGLRNL KVRLTTMVQCVFSWMPRWPWRLTRACQEQRRQWLVDVFRLKFGRDPR H257_16951 MPVNHLLQERVSYIASITKQADKDVDGFKDTSTPLDLEDGALRE GGPLVYTSPEVLTLLFQYAVVGICRSGLTGMGLPILTYYFGLESATLSASSGLITLGW SFKAFFGLLSDCFPIMGYSRKPYILIGWVMTAICFMVIALNPAGPSVILDRSDENIKA AQSYGSVIVLICALASFCYIMADVACDALVVELSQREPERVRGRLQSSIYGTRFVFEG LVTALSGFLMSSERYGGKFGFDISVNAYFGILAAPVVVNWFLVYFFMKDRKRGAIHFA MYFHDVYELIQKRAVWQVMIFYFMFNLLATGIGSLAGNYIQVYWAHVEPVNSAVVGVI ANFIIAATFFAVGRWGTHWNWRFILVISTLCGAVIDAIVQYLTIYDIVRNQWFYIGVP LTAQVPHAVQFVVSTFVVVELAGDGNEGLMYGLLTTMGNLPMPFGTMVTNVYSTQLKV TKADIETDTAEVRNHAAYSYLVVYATTVLACCWVVILPSQKAAVKEMLQHGAKYPTIG ALIIVLTFVILSVSVTSIMMTMFESTSCHLLAGGQGC H257_16952 MSELDPGRIARRVLRPVHRTWNASKIVEGPGPVRLRGGFSGHHN EGDNGWRLIRPGVTGDQQRLRLPDVDMGQNDVNLHNTLALPKNPTFKGSTTDEQRVFM TAYNLYTSETYALTADGEWVLWKETQGIVKNIMHAVKLASLNRGVINVEAASETTYLS SSEDPGSRRETFPALFRKHRLFVY H257_16953 MPTMEALREHGTTFRNYPYAKYATDVKFQPSHRPSGRFGEQKHY FSGKHKLYGLKIEASVSAQGLLVDMGPHEPGSVADLTMFRKRLDVHVTNLKKTPTEAT VNDNDKGYYGLTESVRAIHPKKRPLFGAIKYTMAFND H257_16954 MAWQRPEDQLCGRDQDHEAQHMKKKRIIGGDKQMRKLDQKSQTL FAYIVSSPDPLEMASVRAQAREIWPEWLDKSHSTWKCGDNFRKSCTCFVQRHFPEKFN NRLRLTSADASHIVPSSAGALWNPTDGTNPAERGHLVPFGDGAPLNPANGTPSHAAVD IDNANQPLLHPSSTNCPDSHRETDNDDEDVTSVTFQDGTPVKLANRDEYGLGYKHIDN IAWSNFLERASTNEGSTTSPAHDAMPCHLVFRNDSATTS H257_16955 MTPFGVYTPTRVLMGQIVAVVFCQSAVDFMFADLLFKELLAWLN DMLGYAETPRRPPRHPRSSPHDLLLLRPEAQPEEMQLLPDQGRLVRSRHNRRRPALPH MNARTACARATCHGCRPPAVCVRDQLDSVQHPLLLVSPLRQILDAATKKIGSAKKTKL TRIKETLLAVVPMAHPRVDKMEAFAVLESCKRLDYLLIRPAGFRLFTDHKNLQYILNP AGHRWGAAQAQVPTRSVRRLLVMVSPLQQPHFDWPSPAGIVLTQQVVVKRGETPPAGE ACNENKNLFLNKEDRIWIPQSATDLQQRVCIIAHQGAVGHRRIEATTKAVRDGFAWST LKVDVKTAPRRRGPSSNRSHDQGRPRWLRLEHPQSRCQDRTKAPRWGAAQAQVPTRSV RRLLVMVSPLQQPHFDWPSPAGIVLTQQVVVKRGETPPAGEACNENKNLFLNKEDRIW IPQSATDLQQRVCIIAHQGAVGHRRIEATTKAVRDGFAWSTLKVDVKTFVQTCCLGVD DSVVPCPLGSALHKILVVKDDMSRFLRLCTARQATTRQRQSKSKAVQLQTFAIDDFVL VDDVSRQVKKLSLHWHGPSKSPVADTQHLKQPYNLSHHHACRLKMYCEGGRDMIEDLV DHIAFGNKGFNVDKVGDVHGKNGEYQALVYWLGLDEEKKPHGSPCAFSITIFPSFSAA GFTDMKTKNK H257_16956 MKLTAFVLASVAAMKQSNLTLTAEENAVSGDALYSKLRGNPQSP PPSRSTAEPMTSMSKSGCSDCDACYYPGGNSCLRVFSQEDCNYYSDKYGTKWCAN H257_16957 MDHGGVVSVVMSSANHHRKVRGFILEDTLMCCAPAFPIGYCQVF VSFNDQQYTKCSFRCRQSSFLYFRSPAISHVSPVCSPSHVGSTVLISGIELIDTGTIQ LRFTYADDRDARQVTQFVPGKLNQDGAIVCQTPVLNVSQSVVYSRLDLSLNGCEYTNV GRPFYFFSQHKLTKVEPSGMALELPTSQRLYLSPEIVSDGIQLRLHVSYRLSPSEPVT MSVLGPVDATSWTAEWIDWECPPLVATVSSPAQLVHVHVEIALNGQHFLDVGNLLSIC TPYCLPQVHRIWPIAVPFEHATELHLFGHGFDHSSDIFVRATIPGYTTQVQVSRTLVA TFISPEQIVVECPSAADFSPSHSPSPSHSPSHSPSHSPTRQPSSKRRAGVGGWKVIPI SLEVATRHGQFSRLSVGSQYYFAPVTHRMAPLSGFTTGGTVVTLTIHDSMVAIYCASA QANHTIPFMFGNVSVCGNLCDSVITCVAPPLPPGHHAVHMAFNQQSFQPVQIHGHPVY FEAFPPPHVAPPDAAHASVQFGPTCGGTPLEIQGHGFVATSDSVTLVQFWFSHESNVV VPGTVVNAHVVTCVTPRVDHVGRAVVLVSCNGQQFSDAPHHLTFEFHAPTVVSVSAAC PHSGSLRGGTAIQFHVVSGLPQDLSHVDAVVNVVERSMIRTIPAAFDRSSRTLSFSTP AWPYPAHVAFHLSLNHGTFFVPTCMSFLYYSPPPPIRGICPAAGPVGGRTWVEVECPG VVDTGEVTFKLTPIQSSDEDQNILQPPVVLVVKADFRNNGTSVGFYTPHDHIIPCGVL CRVEMSLNGLDYPVIVEGPISGASNQDGNVVVFQFYPPPRIVAMSPQWGQVDADTTIT LYGDHLADYGAPIQVQFTPWGNPNLAVIVSGSVLCDSNNNKTIECLLTQGSVEAGYCR VELSLNGQQFSATEYPDPRHVSPLHVVYRRLLFPFRVFVPPFFVATTFGSAGGGSRVL ICGGHKLVKYVTRGDGKCHVQLTPVRLLGQMTAKLPDKVMVAAQVDATVGTVLCRAPT FRAPCVVTVELIFGDIRNIDSSSVHLAKEKLHLYEPPTIADVTPSCGPLCGGSILTLQ GMSIIDTNQIHVRFQSAANKHEFCIVSGHVSSTLPNGTPSKTPVILCRSPDIIQRLLA SPQLPSTPPFTSSSAAASSMVSPRKPVSRRHNKQRQSSPSCSSRSHSIVDASRHHRPG YRITGHLQPPYETLVADRSSFGWVDGDHPYLDVLVDFSLNGGEQFLPRSVPYRFYKTP VSTAQWTFGPVNIPLTNMMDTGLVTSETRHRRLVLSGVGALYESKCAGVKFVDETSKT HELLVPCSVQAGNKIVCELPSFPVEGKYLVYFGLNSLEFEQLPGYIQVHPPLQMLSWS PQQFPYQGGHYMHLTFAASAIIRHQLLQNVNHLRLFRRYSSILPTLSQPQTPSKTADE PPIWRPRRIHRIELVIPQGNIPKGSLVHVTLRHATTGWIITQVQFEADVGMCDVDATD WDDGQLVELSAHVNPSFNTFRVRFTDIKCPTVVILVKLPSNPSTYIAVGEPFDVVNSS GVVIWSANPSQICHEMEIPSEANTQQYYYYIRAATRSRSSELSGVVLLLSHNQTIIHT NLPLAQQLTTGMYIIGALQFQPHKVYIELGLWVPSTTATGPNDAMPSIPPITSLAALP DAYRRDFTVQDNGIPEHDWLVLESTDPTTLNLTVCFKSGTQIATVAPLEHLGTADQNH CTVQCVVPTSFTSAGPVTAWLALNGICFSDQITLTSYDPSQWTLDTIEPACGLHGKST PVKLRGRGFIETGAIQVRFGTPTHVMHVPGHIRVRQCFHVTVVGLAVTGRTYMEANHV MFSLLVQYNDHEPSATGCRRMLHHLKKDMGTLLWNESLQFEVLGPAIELTLTLRTAIC DAGEDDRAKPKSTFKTIATGKWSVPELQPGSTCRHVVSLDSIDRSTTKRTVELAVTLG DPVLDTQTIEANTPILPHASSLSVQISSGQYQGVPGAQFHVYELPYITQLKPTFLAYT SGGTVSIRGLGFFDSGNISLKAVLLSAAFDFEHGQDDATVQAKLDTLVVQQDNMVELP VVFISASELRCDLPPQLPSRNVVLCISFDRYTYTTLTSAAILHTYDMTDVVPNTGPIQ GHSFLTLHGTNLSLCQDVTPLIRFSMFRNQKLIERVFVNGELHAGVLHCYTPACRLGL DKLHVTLDVSLGGPEATYSSDNIPFMYYKVPVLRSLSPTLHLVPGATDVMVHTVESWE NSSHQLNLADRTCRFRMKGQAQVTHTVDVGSGILRCRLPRFTVPVATPQLLPGTVKDD PLVPKLWVRNSGLFVTVLGARNLKLLSNGSNTHSALCPVVLLSLEGQHLRSAAKEVSS HPVFNQQFDFDLCGDEGHTHGDLVLTVEHEVKSLRNEVLGNVIFPLADVHHTVLLRAW FPLQPPATETLSQIRTTRHLDMAEKSTTPPANSSANIFPRGEIELFIHFEPMVLKRET TVRESALRGKLRSVMKKTIDAEAIAKRVLVKPTSPDERKRSILTKTPTISKLYDQEPY VIPNEIVVDVALNGQDYLSQCPISYFIQPLPVIESIAPKCIPSRGGSRLTIHGYNFVD TKCIRIAFLWGVEHYAALKQSFRIETDVFASVPVTVVEAKFESPTMLYCVTPPTKPMP KPCFSLLVALNGLDFNSIFLPPLHEGAPSSVLWSPDLWNSYQIKEITADLPMLECKVY EAPVVHSVQSANAIYTTKLVFRGENFGSADAPKALFVHVPAKDQVKMEDAVMTLSIVS SMQMECWSPDFPPGSMVLIRIAMNGHDFIEMPGTLRICNAPKITSLEPSWVFAAGCPP LRIVGSNFVETGNIMVSFTPDIASTTSPIILVRGWYKDGAIHCSVPTVPTTDDTPCSG FSVDVSIGTNSVTEYTGHAHRLQLYHHAPVCTQISPADGPIWGGTTLTLRGRHFIDTN TLTVRFTLLQFTASKWQMVPEDFIVQATFDSADLLTCVSPLMPAEGPVAIQVSINGHD FSDVTDTTWFVTWRTWQTRICIIKQSMHHDGGARLAWQKYFELKHVPKLNFYGGRVKT TSLKIQETHHPPVKPTPPKRLDNLPELVRDFAAKPPTSTDFDDESDANPESELYIPPN IVWPQDALHNSKPCIGLVARLRQLYQAPSTQVEIYSRLISMFDNAALLNAKYTTHTPI NSPSPPDSMHRRVSFPPLRRPAAASIDLSKLQRQRLGLCCLGLAEGIRWIFPDATQAD VVELWVFLDPDKTGAVSLDTVCSRLQADPRPPSPEPGPGHYNPQPEHNHHIPTFNMIE AATSPRSETPPYPFMDVGLARDALHPTSPRHTFAHRDNIKAQWCDPLYKGPGSELAKP NLHLDLNVLSTTRRTPDTKFPQLITDDAKQTTDDGKAKMASSRRPTSTAVKFSKLRKG KMNAAQLKRRSTNVFGKPTDTLRKVSRVVGFVARKNAAQTSVAISKQYKDVKPVYLDI LRPLDDA H257_16957 MDHGGVVSVVMSSANHHRKVRGFILEDTLMCCAPAFPIGYCQVF VSFNDQQYTKCSFRCRQSSFLYFRSPAISHVSPVCSPSHVGSTVLISGIELIDTGTIQ LRFTYADDRDARQVTQFVPGKLNQDGAIVCQTPVLNVSQSVVYSRLDLSLNGCEYTNV GRPFYFFSQHKLTKVEPSGMALELPTSQRLYLSPEIVSDGIQLRLHVSYRLSPSEPVT MSVLGPVDATSWTAEWIDWECPPLVATVSSPAQLVHVHVEIALNGQHFLDVGNLLSIC TPYCLPQVHRIWPIAVPFEHATELHLFGHGFDHSSDIFVRATIPGYTTQVQVSRTLVA TFISPEQIVVECPSAADFSPSHSPSPSHSPSHSPSHSPTRQPSSKRRAGVGGWKVIPI SLEVATRHGQFSRLSVGSQYYFAPVTHRMAPLSGFTTGGTVVTLTIHDSMVAIYCASA QANHTIPFMFGNVSVCGNLCDSVITCVAPPLPPGHHAVHMAFNQQSFQPVQIHGHPVY FEAFPPPHVAPPDAAHASVQFGPTCGGTPLEIQGHGFVATSDSVTLVQFWFSHESNVV VPGTVVNAHVVTCVTPRVDHVGRAVVLVSCNGQQFSDAPHHLTFEFHAPTVVSVSAAC PHSGSLRGGTAIQFHVVSGLPQDLSHVDAVVNVVERSMIRTIPAAFDRSSRTLSFSTP AWPYPAHVAFHLSLNHGTFFVPTCMSFLYYSPPPPIRGICPAAGPVGGRTWVEVECPG VVDTGEVTFKLTPIQSSDEDQNILQPPVVLVVKADFRNNGTSVGFYTPHDHIIPCGVL CRVEMSLNGLDYPVIVEGPISGASNQDGNVVVFQFYPPPRIVAMSPQWGQVDADTTIT LYGDHLADYGAPIQVQFTPWGNPNLAVIVSGSVLCDSNNNKTIECLLTQGSVEAGYCR VELSLNGQQFSATEYPDPRHVSPLHVVYRRLLFPFRVFVPPFFVATTFGSAGGGSRVL ICGGHKLVKYVTRGDGKCHVQLTPVRLLGQMTAKLPDKVMVAAQVDATVGTVLCRAPT FRAPCVVTVELIFGDIRNIDSSSVHLAKEKLHLYEPPTIADVTPSCGPLCGGSILTLQ GMSIIDTNQIHVRFQSAANKHEFCIVSGHVSSTLPNGTPSKTPVILCRSPDIIQRLLA SPQLPSTPPFTSSSAAASSMVSPRKPVSRRHNKQRQSSPSCSSRSHSIVDASRHHRPG YRITGHLQPPYETLVADRSSFGWVDGDHPYLDVLVDFSLNGGEQFLPRSVPYRFYKTP VSTAQWTFGPVNIPLTNMMDTGLVTSETRHRRLVLSGVGALYESKCAGVKFVDETSKT HELLVPCSVQAGNKIVCELPSFPVEGKYLVYFGLNSLEFEQLPGYIQVHPPLQMLSWS PQQFPYQGGHYMHLTFAASAIIRHQLLQNVNHLRLFRRYSSILPTLSQPQTPSKTADE PPIWRPRRIHRIELVIPQGNIPKGSLVHVTLRHATTGWIITQVQFEADVGMCDVDATD WDDGQLVELSAHVNPSFNTFRVRFTDIKCPTVVILVKLPSNPSTYIAVGEPFDVVNSS GVVIWSANPSQICHEMEIPSEANTQQYYYYIRAATRSRSSELSGVVLLLSHNQTIIHT NLPLAQQLTTGMYIIGALQFQPHKVYIELGLWVPSTTATGPNDAMPSIPPITSLAALP DAYRRDFTVQDNGIPEHDWLVLESTDPTTLNLTVCFKSGTQIATVAPLEHLGTADQNH CTVQCVVPTSFTSAGPVTAWLALNGICFSDQITLTSYDPSQWTLDTIEPACGLHGKST PVKLRGRGFIETGAIQVRFGTPTHVMHVPGHIRVRQCFHVTVVGLAVTGRTYMEANHV MFSLLVQYNDHEPSATGCRRMLHHLKKDMGTLLWNESLQFEVLGPAIELTLTLRTAIC DAGEDDRAKPKSTFKTIATGKWSVPELQPGSTCRHVVSLDSIDRSTTKRTVELAVTLG DPVLDTQTIEANTPILPHASSLSVQISSGQYQGVPGAQFHVYELPYITQLKPTFLAYT SGGTVSIRGLGFFDSGNISLKAVLLSAAFDFEHGQDDATVQAKLDTLVVQQDNMVELP VVFISASELRCDLPPQLPSRNVVLCISFDRYTYTTLTSAAILHTYDMTDVVPNTGPIQ GHSFLTLHGTNLSLCQDVTPLIRFSMFRNQKLIERVFVNGELHAGVLHCYTPACRLGL DKLHVTLDVSLGGPEATYSSDNIPFMYYKVPVLRSLSPTLHLVPGATDVMVHTVESWE NSSHQLNLADRTCRFRMKGQAQVTHTVDVGSGILRCRLPRFTVPVATPQLLPGTVKDD PLVPKLWVRNSGLFVTVLGARNLKLLSNGSNTHSALCPVVLLSLEGQHLRSAAKEVSS HPVFNQQFDFDLCGDEGHTHGDLVLTVEHEVKSLRNEVLGNVIFPLADVHHTVLLRAW FPLQPPATETLSQIRTTRHLDMAEKSTTPPANSSANIFPRGEIELFIHFEPMVLKRET TVRESALRGKLRSVMKKTIDAEAIAKRVLVKPTSPDERKRSILTKTPTISKLYDQEPY VIPNEIVVDVALNGQDYLSQCPISYFIQPLPVIESIAPKCIPSRGGSRLTIHGYNFVD TKCIRIAFLWGVEHYAALKQSFRIETDVFASVPVTVVEAKFESPTMLYCVTPPTKPMP KPCFSLLVALNGLDFNSIFLPPLHEGAPSSVLWSPDLWNSYQIKEITADLPMLECKVY EAPVVHSVQSANAIYTTKLVFRGENFGSADAPKALFVHVPAKDQVKMEDAVMTLSIVS SMQMECWSPDFPPGSMVLIRIAMNGHDFIEMPGTLRICNAPKITSLEPSWVFAAGCPP LRIVGSNFVETGNIMVSFTPDIASTTSPIILVRGWYKDGAIHCSVPTVPTTDDTPCSG FSVDVSIGTNSVTEYTGHAHRLQLYHHAPVCTQISPADGPIWGGTTLTLRGRHFIDTN TLTVRFTLLQFTASKWQMVPEDFIVQATFDSADLLTCVSPLMPAEGPVAIQVSINGHD FSDVTDTTWFVTWRTWQTRICIIKQSMHHDGGARLAWQKYFELKHVPKLNFYGGRVKT TSLKIQETHHPPVKPTPPKRLDNLPELVRDFAAKPPTSTDFDDESDANPESELYIPPN IVWPQDALHNSKPCIGLVARLRQLYQAPSTQVEIYSRLISMFDNAALLNAKYTTHTPI NSPSPPDSMHRRVSFPPLRRPAAASIDLSKLQRQRLGLCCLGLAEGIRWIFPDATQAD VVELWVFLGNYCSYFRFYPSFDPSFGWLFYAEFGQIN H257_16958 MSSQQAIRAQNDYIFNLQVQADKYARLISSVNLRIKTIDEEWKT VREKLAELRLKRNEGDQRGGGINAVKSKIMSESREVGKLENRLASCRTRECKLVATNT ELKKKIDALRASRLFSQSVFEKNQKKLREIQRQMQDTFKHSTAIMAERDKVVAQAQSL SNMNLEEQDAFDEIYQNLAIVITREKENAEAFRKEAMKSEALDTHEDGYFRGNFRIDE ESEMKQTLQKLDVALRDDKAAIESVSERLKVHESTFKSIMKLVGSDDHHKLVDLYTKK EEENFALFRYVQNINNECEQLEDQTISLQHERQKYSDELKEGSANMRKRLIESLEDTR QKILKENADYERLRQTAQREFGPVARAVDKLYNSLGCNEMMPPSGGPVAPTGVGKAND DRNAMMIRMSSMNDLLAAHGITEGNILQFLAIIEQRSNDLVEQFTRRLQHKHPQNLAT TSLGAHLQPSDPNRANGLHLNFNLNQAPPLLTSHDANSGDPDNNSGDEDDSLVPVSTA ELQRKAAKTLAMQLSNAKMSPTRNKKKKQK H257_16958 MSSQQAIRAQNDYIFNLQVQADKYARLISSVNLRIKTIDEEWKT VREKLAELRLKRNEGDQRGGGINAVKSKIMSESREVGKLENRLASCRTRECKLVATNT ELKKKIDALRASRLFSQSVFEKNQKKLREIQRQMQDTFKHSTAIMAERDKVVAQAQSL SNMNLEEQDAFDEIYQNLAIVITREKENAEAFRKEAMKSEALDTHEDGYFRGNFRIDE ESEMKQTLQKLDVALRDDKAAIESVSERLKVHESTFKSIMKLVGSDDHHKLVDLYTKK EEENFALFRYVQVRVLRQFFIILFTTFNAIQTQNINNECEQLEDQTISLQHERQKYSD ELKEGSANMRKRLIESLEDTRQKILKENADYERLRQTAQREFGPVARAVDKLYNSLGC NEMMPPSGGPVAPTGVGKANDDRNAMMIRMSSMNDLLAAHGITEGNILQFLAIIEQRS NDLVEQFTRRLQHKHPQNLATTSLGAHLQPSDPNRANGLHLNFNLNQAPPLLTSHDAN SGDPDNNSGDEDDSLVPVSTAELQRKAAKTLAMQLSNAKMSPTRNKKKKQK H257_16959 MSGIPNHSNRIVPRTHGLKETGSCFDTTEVPPPRRKHHDESAQD PDLVRAFSLSKPIRKETTDQFLRKGTGYGGLADSERTHKRDELVPISPRKEKHQPGAF KERYNPPDTSFRKFYERGDLPIQIDHGGVKNLVAWKVNITKLDFHHYLPIFFDGLREI EEPYAFLSEQGIKDMMVNASSKVLPVIPQLIIPIKNALNTRRGGIIVKTLHILQLMVT CDKKPGADGLNAPGLIGQALVPYYRQILPILNIFIRKNDNLGDGIDYAQRKQENLGDL IQQTLETFESNGGDDAFINIKYLVPTYQSVCVG H257_16960 MFFLIVLHPYLMRTFVTAVEQKWPMQALTESGHRFKNLPAARYA TYVTFQQTNVPHGAYTEKKLYYSSKRSLYGHKVEVSVTSFDESIDSHLANLAKRTSET TLEDSEPGIEQWAVLAGKGYQAIEYNLRAVLPLKKPVGGILTFAEQAKNDRIASDRVI VGNYFGRLKTLWATCSNTYRWSRKSYDIVFQACLALTNVHIRLHPLRAEDGDANAQYI NRLNAIGAKIIKTKRAARKAYMSKRKVSFIV H257_16961 MEKDPALGKVPPHITAMASQPSSANMLNTRAMGTATTLQMHDEE QIALLLWVQNLRSEGIPVNRLLLKCKAMEVAQDLGFLPSQFKASSTWISGFIKRWKLS WRAKTRSGQSNHSQGEATLAEFSQRIRQVVLENEIDDIYNADQTDRMTAMLLAHTKVT KYPMFLVLKSSKSTVKKNVQENLTRRNGFGPRVWPESLSSTSDMPRACKNLKKVLLLW DDFSAHFGDEVVAYAPSCDVMLEKIPPTFTRICQPADVSWMKPMKAAMRKRWVSYLRA EIKHHSSSQDGFRLLPPTRSDLVEWVNDAWENLPRATVVNGFVKCNIIDTPANTPASN ADDGTSSSTPTSTQTQAND H257_16962 MKTIAAFAALAFAPIVVEGHGRLITPPHRGYIGKLPKYAPFVPP NWSDNSLNGGGIEATKNGQFGICGDPFTQASPREHENGGIYGLFPQYGANVTGACFAP GAAIELKVELTANHKGYFSFGLCKLNAPDDVETEECFQPLAQPSGAAKYDVTPGNFFD FTYVLPAGVTCEGESHCVLRWHYTGGNNWEENTWGQENFWNCADIYISSKCPAA H257_16963 MLHGDHATMMGLISTDPRVAFMYDFIGYLVRNAGPSGMCPAVWS EFVRDMSFTSVAPNGLLHDPHLDKIATVWGEVYNSSLAAVVASCGYMRKDNFMLFLNH YMTTLNKRRIQAVIKESASQDSIKRQQWRTINQYIHALQQDIRHHANEPQCTATTPCK LCSPSQA H257_16964 MSKLGLSSSCPYGTAYSSGKSGFTIGILQEANVRSNFTVQRQIQ LGFYQLRQGNHNTYSKECPTSERSCSSAADGCDGGEASHDAQLLYDQSDEGTDYIAGD EEAQDEEAQDAQQSNDNHLNAVAMCGYLYLLPSLSSNFKNPTFDAKAAHVDVQGLTVN DIKPQCNGLTSLPPSILFVVEYDTYSTTHGLKCSNTSCVRYLVYNGVSCGFLRATHAV YFDIDLCHYIRSAWLEGPTSLRGTWRTLMAVHALLGYILTSSMV H257_16965 MKLNADEWPHVLPLVQGALNHQSADRLGGIAPVTAFTGLSAMTL RAATRCPRKFTSRTGLVPPVRNMSRTSKWRLKKCTATWWCKATSCVSKRVDAAIENPK SSLRVSRSAILCFRSGRQPSDKIGPALARTLPSDQSHHRPCDGDSAAGAITSRQIAFG DGGFHVERLDEVRCVDGQHQVLVKWLGLDDEESSWEPAANLLDDIPVVFRKWAVANKE DPTVAALIKTLGFP H257_16966 MSSEDDDADVSSYEQQRIAKMQRNKLAMENLGLLGAKDELKRKR QEARMEQDAARKLQKKADKSTFVPRRTARGTKPITYIQDEWRDPNEKNRQNMEALKKR LASAPTFSKEACLLCGLVVLRSAMRQHVGAHIVAESFATIRCGLCGLESSTCEHKVQL GLPTILPVGDIATTDLCPKYFPVVLKKASRGKCTNLPLRCSKCRTWLWTYTMKTHWTS VHGSTRGMSKKEAAAATVSADEIAAMTAEMERINVQRLEAQYSDNSDSSSDGAFRQGA RGGVVVRSYALRERRPLGDIDTDDNEFSSAPDSDYDDESD H257_16967 MATRGPRKDKVWLIDEKDLARSAETNATDTNATRDYCVMLDKRF SESPFSDEGDIDIADYGALRPGGALNLFSWEAFGLLSQYAGVGILMGVLGALQYPVFH NYLQMEGYQTASYSVLISLGWSSKIFFGILSDCCPIFGYQRRPYMVLGWTICAVCCLI MALTPFPAPYYGREDLVRLGRSNMTPNELKFINVDAPHSGGFFIVLSMICSLGYVLAD VAADAMLVQYAQREPAAIRGRIQTAVYFTRDSFSMVPILVVGFCMNDFKYGGAFSWSI GPNVVYAALTVPCLLAAYSAYALMAEDKVAKVSFRHYMHNAWGLLQQRVVWQICAFKF LHTLSFGYNSTLNDPVSSIWVHTQPLVSTAFSIVYQLCRVVAMIGIGKYALNWDWRLA MAGSTLVFVIVDSLMNFLTTWDVVRDQYIQGVVYSFAACPVASIFLFSGYLLIEIADV GNEGLVFALLTTCSNLAVPLSTVLAKSVDSYFAATIKDIQRDDNEVRWEVSYSYITTA AFKLFSLVFLVLLPRQKAYVVMLKRTGSLSAFAAASVLVVFFVGYTWNVMTNMLSIFP STSCLRIAGGKGCESSDLIERR H257_16968 MAQPPKSTRIRLLDEKVIMQLQQLGESDMLTLTGTPQDYCVILD TRFTQSNTSEFHDDEQPKFGALRPGGAVNLLSWEAFGLLSQYAGVGILMGVLGALQYP VFRNYLQMEGYQTASYGVLISLGWSSKIFFGILSDCCPIFGYQRRPYMVLGWTICAVC CLIMALTPFPAPYYGKKELVRKPLSKISPNDMKYINKDAPGSGGLYIVLSMICSLGYV MAVVAADAMVVRYAQREPIAIRGRIQTAIYFTRDSFSMVPILVVGFCMNDFKYGGAFS WSIGPNVVYAALTVPCVLAAYSAYALMVEDKVAKVSFRQYKHNAWGLLQQRVVWQICA FKFLHMLFYGYYSTLNDPMWSIWIKVQPIVGTAFNIVYQLFRVATMFGIGKYALNCDW RLAMAGSTVVYVALDTTLNFLAIWDVVRDQYFQNIVGSLVALPQASIFLFSGYLLVEI ADVGNEGLVFALLTSCSNLAIPLSTVLAKAVDLFWNARLVDIQRDDVAVRWEVSYSYA VTAGFKLLSLVVLVLLPRQKAYIQALKHTGNLSVGAAATVLVVFLFGYTWSVTTNILS IFPSTSCLRIAGGKGCP H257_16969 MSPHVPGSVADVTLIRSRLDEHQRFLSKEASESIINDNGELFRG HPNSWALLVDKGYIGLTASLRYIHPKKKPTGGALDRHDLDRNKEVSSDRVIVENFFGR VSMLWKVSYATFVWGEKLYDDIQRLTFSLTNFDASLLPLRLEDHDGYRAVMARYKCMA AENTTKRAAAQRRYVQLRAERLAASAARADRVVFTSPSANRRR H257_16970 MRVTSMLERLQDQSASDRLHLQQSLVEFGEAADEDNDVQDANNP LMDKVIEEAGDEAFRVLTNFTPSEFDIIWANVERAMNSKWFEGRGRKSKMTPKDDLHI TLTVLKHYQSSEKHAVDFNLKAPTLEKIVVKVVELCSPILYNTFVTMPTMTSLGRKFV HYPYALYATDVKFQPPHRPSGRFGEQMHYFSGKHKL H257_16971 MTGCSRSPSSKAALAGSLSLIPSSAETSEGHVLDLHDPQSFLAV VAPRVQGVKYKVEHWQNELLITTNRDVNFKLMSVPLHIVLDKQKAADNSQWTSVFAYD PAVQVAS H257_16972 MVPYGKVPGENRGEQPMEPIIYLEDPYYYVRDDSRSNTEILDHL RAENAYTKAALSHLDGPQDELYKELLSHVQEATIWCSRTL H257_16973 MSMTTNAKASSAAKNTITSDMICIQGAMDAQRKLNSAHHATQSP FKTTQDLSVDSTPNSLSATTQPSTTLMQCIKKLLAQLPPQDTLRLLTDHLCALMSTMS SNFPTIYRVLDQARSNASLVQKQAVQLDEAGRRIMSLEAEIDDLHHERRQLMTDLADS NDVAKRMFTANNELPEQCLALEDQLWEAKAANTAMAVGHALEIETLSMEMCMHLQDSN IQLRQLR H257_16974 MSSCVDVSTADIIEATAVAHGDFTLATSLKMWKAVTSALWRRLG AGHPVRLERFGIFAFDSTHTPIFFNHPQFLHDANIDGHAALEPGLTSIAKLSLSAVAL ELNVSRDVVERFLNQLFITLGRLVADANGKMIRLGCLPVGDWLYCKHATSFQFQIHRK RQDKYNPSHCGTNVSSKASKCTSGASQNSLSSTNKAIAKPSSKSSTTAPERKLQSKAG RPSTSGHSLSSHHHRPITSRSIQSNQSEHFVASNDSGSAASTNPRDVLEQVKKAILAR GGANGIQGISRMMRIMDDSGDKRLSRDEFKFGLRDYGVDCSDSDVDSLLRAFDTDGDG FISFDEFLVALRGDISPRRLRFIDMAFQKLDKSKDGRVTIDDLRTVYDVSKHPEFIQG KQTADQILSEFLRQWDTVDHDGVVTYDEFVTYYRSVSASIDTDDYFELMMRNAWHLSG GDGQCANSSIKRVLVTHPDGHQSVEQVDETEKSPELELCRERDFVKYCSLLLFTPPCT VDVLAQKLGANRVLGNGQERIHVKVLAKVLSHLDKSLSSRQAQVIANSFDTCGTQLLH IPSMHQTLARRFGTRHEPAKSIMDKLKFKLCASNPSGGILGLQRVLRDWDTSGDGWLS KDELKKGLDQCKVDLNLQQVDHLMTLLDTDKRGGIRIDDLLTALRGELSGPRLALVRQ LHANLEAKCKGNVMLADLKLHFNPSRQRSVVQGKLTERQAVVEFLAQWDGSIDNSNQK KERVVTVQDLAAYYANIGASIDGDDEFHQLLRDSWHVQTAQPTNTRPQTNAKLSTKNT HRAGGEGVSSAISARRGTPPIGSATSNPVKTLAEREHALVTMKSMRDKAALVVQSKFR CHKARVIVDCVKRTQAAQAARRQEVADDARKGKPRVSRPALNSYHGF H257_16974 MSSCVDVSTADIIEATAVAHGDFTLATSLKMWKAVTSALWRRLG AGHPVRLERFGIFAFDSTHTPIFFNHPQFLHDANIDGHAALEPGLTSIAKLSLSAVAL ELNVSRDVVERFLNQLFITLGRLVADANGKMIRLGCLPVGDWLYCKHATSFQFQIHRK RQDKYNPSHCGTNVSSKASKCTSGASQNSLSSTNKAIAKPSSKSSTTAPERKLQSKAG RPSTSGHSLSSHHHRPITSRSIQSNQSEHFVASNDSGSAASTNPRDVLEQVKKAILAR GGANGIQGISRMMRIMDDSGDKRLSRDEFKFGLRDYGVDCSDSDVDSLLRAFDTDGDG FISFDEFLVALRGDISPRRLRFIDMAFQKLDKSKDGRVTIDDLRTVYDVSKHPEFIQG KQTADQILSEFLRQWDTVDHDGVVTYDEFVTYYRSVSASIDTDDYFELMMRNAWHLSG GDGQCANSSIKRVLVTHPDGHQSVEQVDETEKSPELELCRERDFVKYCSLLLFTPPCT VDVLAQKLGANRVLGNGQERIHVKVLAKVLSHLDKSLSSRQAQVIANSFDTCGTQLLH IPSMHQTLARRFGTRHEPAKSIMDKLKFKLCASNPSGGILGLQRVLRDWDTSGDGWLS KDELKKGLDQCKVDLNLQQVDHLMTLLDTDKRGGIRIDDLLTALRGELSGPRLALVRQ LHANLEAKCKGNVMLADLKLHFNPSRQRSVVQGKLTERQAVVEFLAQWDGSIDNSNQK KERVVTVQDLAAYYANIGASIDGDDEFHQLLRDSWHVQTAQPTNTRPQTNAKLSTKNT HRAGGEGVSSAISARRGTPPIGSATSNPVKTLAEREHALVTMKSMRDKAALVVQSKFR CHKARVIVDCVKRTQAAQAARRQEVADDARKGKPRVSRPALNSYHGF H257_16974 MIRLGCLPVGDWLYCKHATSFQFQIHRKRQDKYNPSHCGTNVSS KASKCTSGASQNSLSSTNKAIAKPSSKSSTTAPERKLQSKAGRPSTSGHSLSSHHHRP ITSRSIQSNQSEHFVASNDSGSAASTNPRDVLEQVKKAILARGGANGIQGISRMMRIM DDSGDKRLSRDEFKFGLRDYGVDCSDSDVDSLLRAFDTDGDGFISFDEFLVALRGDIS PRRLRFIDMAFQKLDKSKDGRVTIDDLRTVYDVSKHPEFIQGKQTADQILSEFLRQWD TVDHDGVVTYDEFVTYYRSVSASIDTDDYFELMMRNAWHLSGGDGQCANSSIKRVLVT HPDGHQSVEQVDETEKSPELELCRERDFVKYCSLLLFTPPCTVDVLAQKLGANRVLGN GQERIHVKVLAKVLSHLDKSLSSRQAQVIANSFDTCGTQLLHIPSMHQTLARRFGTRH EPAKSIMDKLKFKLCASNPSGGILGLQRVLRDWDTSGDGWLSKDELKKGLDQCKVDLN LQQVDHLMTLLDTDKRGGIRIDDLLTALRGELSGPRLALVRQLHANLEAKCKGNVMLA DLKLHFNPSRQRSVVQGKLTERQAVVEFLAQWDGSIDNSNQKKERVVTVQDLAAYYAN IGASIDGDDEFHQLLRDSWHVQTAQPTNTRPQTNAKLSTKNTHRAGGEGVSSAISARR GTPPIGSATSNPVKTLAEREHALVTMKSMRDKAALVVQSKFRCHKARVIVDCVKRTQA AQAARRQEVADDARKGKPRVSRPALNSYHGF H257_16975 MPISALLLRWKGVLECCSRTIDTGTLASKSANSCDFFISSSSEY AVDLALWYFPSELAADVLFIGNIMKILGNNSQHHHEAIYTRDHRVPCHVTTRLFVRPV RPSRW H257_16976 MMMSMTNHAKASSAVKNTTTSDMMCIQDVMDARRKINSAHHATQ SPFKAAQASSVRRTPNGSSATTQPSTTLMQCIKKLLAQLPRQDTLRCLTEHLCALMST MSSNFPTINRVLDQALSNSGLVQKQAAQLDEAGRRIMSLKADIDDLHHERRQLMTDLA DSNGVAERMFTANKELTQQCLSLEDQLWEAKAANTAMAADHALEMETLAKEMCMHLKE SNLKVRELSAHLAESRGAAQRILTEKEALYDICFSKEEELREVSRRPWRKTTPWRKTC TCTSRTCILNATNSAPT H257_16977 MADLQRKQREEKMGAERKRMADDLLRRETQELKRPKHVINDLKA KARKHVEDMQVKRAQQAQERAHLLGKDVKRGDIKQRTIRVSWSNSKGSHSDETLVAAF REYGEIELVKMKGPDSARIIFVNALSATQAARIEGHNDITWRKVTLVGHAVHEQASAK HHHHHSHHHDQHSHHHETDAAKVQLELHPISPAELAQFEAVVFAHLNALSQRGVPTS H257_16978 MGDKSKKLEFIDVSAEPHDSPVTSPRSRAATASSSVENSPRAVP ASAGLLSGVTESVRKAKHPVAASFHLLFKLLALGVYILGGFVSNSFVFIFVICVLLLA FDFWTVKNVSGRLLVGLRWWNRINEDGSNEWVFESLEDMSEIDPLDAKIFWTALYGAP GVWTLFLLVAVLKFNVEWALIAIVALTLSGANVVGYTKCSKDAKSKMHSMMTTGALEA LHSSAGASILSTITNLAFSNAANGSGRSSSRQQPAHPSVVV H257_16978 MGDKSKKLEFIDVSAEPHDSPVTSPRSRAATASSSVENSPRAVP ASAGLLSGVTESVRKAKHPVAASFHLLFKLLALGVYILGGFVSNSFVFIFVICVLLLA FDFWTVKNVSGRLLVGLRWWNRINEDGSNEWVFESLEDMSEIDPLDAKIFWTALYGAP GVWTLFLLVAVLKFNVEWALIAIVALTLSGANVVGYTKCSKDAKSKMHSMMTTGALEA LHSSAGASILSTITNLAFSNAANGSGRSSSRQQPAHPSVVV H257_16979 MARDYDHLFKLVLIGDSGVGKSCLLLRFADDAFTESYITTIGVD FRFRTVKIDKKTVKLQIWDTAGQERFRTITSAYYRGADGIIMVYDVTSQESFDHVNDW LNEVNRYASEGTCKLLVGNKSDMTTKKVVSFESAKAFADSLAIPFLETSAKNAQNVEE AFLTMASELITIRELVGSSTTKPHGTTLSNDSAKQSNSNSCCN H257_16980 MEAAVLKLGHPLEEIRLRSLRSIHTKITMGLWPHPHKLPVKIQH LLMELLPSLAPDYSPAQDILTQLQAVNTTPHSTETKCALNAPRTPAPRVAPTDRPMGG KSIEATVAPPRPDVPSSVQSGWTFSHVQLCDADDQLLFEFEVKLKMHGNTKEGLRLFI EFGETVLRDFPAEVFLQRPAILQYLLHLLQLPLIELNQPPPSTSSSSSSPCFGEVFFG YTSARNNRRRHPDSALFFVLLGLLEDLVAGLSKSFQLHMDASYHSQVVISLSRSKPPP PLSSSHPLPSYPCAGHPRRDHGGIAWSYSGAMCHVFKAVASLLTRPLVPRLHVLGLLL PLIDSLRDVSAATDAIDSFESDRLAECFAVLMDALDNSTSPDDHPSATTVYYYTWQVL VQMLTSMGTSNLVLPPRLLQTVQNVVFDQALYEVAPSYRHKLLPIVVGVNPHIENLLE QHIATMDIVKNWPAFVHHVDQVVENDQDVEDNQLPQQALAILSVLDHVRPIDASLAVL TAAAKTLRLVTDDDKLFTNLLSALLLARHGAKTSSHFQDVAISLEASRSPAAVFVPAS IVVCVCRLLVDTLPPQLDSLWRILKHVLPSADQSAVPFLQHWAYADTPQSPAHQHMQS VLAAKLRDMQGDFAQSVVGMARCLLHTSEFVRRSASVGLASALVDDCCSYVEQRDWMV QPEFTPGDPFHDLLTPLRHHVATFKLPWHDHPSPPSTLPPLHQNNQQNVVGNVRKLIA ILQSPSLEWTIKESALHQMIQTVDRLVTSSSCDDVVVVVPCLIDAVVPFLAHSNAKFH LLPLVLVRDLLSVSPDVRQLVRSNHNNILHVLLPFVYSPQTDVRACAYFVVLVLTCAP EVWGESPLWMHLPSMITSTFGLHAATLWPSIKVPSTPTTTPISTTPSCYDDDNREVHV LHHSPRDFVAAAIDAVNAATSHRQYLHAMYQLTCLARVDASVVGSAISTQFESKARFL QCLHTIPTSWRDQVVLASLFHVLALVVHHMDMSALMYILIAIKSNAILPLFTRHPTHQ QQYGGGLHVKVLMLLLSMARCKDKGLVEFIAALVLDTALHDHLRAVFILGDDRPAQVL SLQLFESVTSQSSMLSPWSTNELAPTLGQLVGGGESSCIVYSSASFGGKHVVMWALSC LLTTHSSFSTAMSANRFVFDRDARVRAMGFRLLLLNDPAFITLAKDAVVDSTECAAVR VQAAHYLVRQHVQDADFAAKVAAALTDQKQRTSFFLSPEFVLVCARLVPPAISTTELL KFTSLGYHREMYRRACEVVTGISMSETRQSKKIIAVAFQNTWQTVHQGRAIQCIGEIL TGLDPVNSTLLPHLHEIYALTDDSIEYVHLLGAAMRVLCRLLDQLSTIDQVEELTLVT KLVEMVHTDRSLLPACHVIHCLSKHSTWKRVVQNAQGEAIADALMAIVADKPSNHVPQ VALALQSLFEAHESRFIDRAMDTGFVRHCLTQLTSHLSLTTDEIALYCNLLRSLLGHH VQAKQLAQRFNLPGMLSSMWPRMIKHQSDPNLVETWLHLLNNYVYNDQSAKQSVVGSS STPPSPLSCLKQLLDHATSVATTTISHARLTFSVLRSVLLNPDCVLACIKYGYVAKLM GIVGTLVYKHQKNKRNNPCDSVVAHVVAVVANVSFSPDGRAEICRYGALKDVLTDLLA TPSLALPTALLLRNLTFTSIAKAQFVQWKPIMASLLVFLSHENPFVSNFASTAVWSLL HNNQKATTHVVELDWSTHVTDATVYLTQALAQTSNESDRVLLSQAQANLERISQLIEC NNADKDDQLKPLDRLAISLNTKCVRRDSTKNELSRSN H257_16981 MHRTCRNVLRLHQATKIRVPSMASAALANAAPSVRSIHVPSSSS SPLVRSSFAKKNVRRPSLFTVSVRNMFIQTETTPNPQSLKFIPGRAVLDDRFSTGVDF TPKGPELRRSLLAKDLFAIDGIVRVFFGKDFISVTKKNDDIDWDVLRGQIFGTIMDFY ASGKPIMTDEDVITDTTILDTDDEVVAMIKELLETRIRPAVQDDGGDIFFRGFDEDRG IVKLQLAGSCAGCPSSSVTLKNGVENMLMHYIPEVRGIEEIVDDEDVKAVNESEFQTL EAKLRAAGIPSI H257_16982 MWKNVFKKRMSKGAADAVATPTAINQGKEHAEVSVDVEKPVQAA DSTMTPRHDDVSPESCDDDGLYVVANFTGLMVLQGATEKRQIRYVMEIVCPSTRQKCE CVKRFDQFIDLRHRVMDTLKQCRSKTCSKCVGIAAVIHAQPFPGRHLFTTLHHVRDRA LPLEAFLQCILDSAFTWKGCKRARHAFSQVVGQFVGVPVDCMVSNRSNGDRPPPLDFR KSIRHLMVERQESVGGGTIVGTSDVSSSSPIMPEVVSVAASFPEDMEDDNEQKEDEGR PDTIEPATPIISTDEPVKDTADDESDDRIERS H257_16984 MQYISVECVATNMGATQRPCPLFENNVMSWSAINLLWRPCSTRR QAYPELKFKRSDDPSPQYATNALLDCAASEGVLAVDLKYRVWNPQYSRFLHLDWNCCR GAPTDDAMCGR H257_16985 MPILTFIARVSDGMLLVASMESAGDYNDNLDTYKQQGKQILKKL NQRSPGKCSIDSGAYAFHYLIEEGVCYLTLSDKNYPKRLAFLYLEDIHGGFIDELRRD HGDNWRDTVTTVARPYAFIKFDKYIQKKRKEYTDPSSSQNMSKLNNDLADIHNVMRQN IQEVLNRGEAIDRTPSSIFVLITLLKSVVGVSQISSNIADRSKEFKWGAKKLSLQAMY QKYGPLVAIALFVVLVVYFKFF H257_16985 MPILTFIARVSDGMLLVASMESAGDYNDNLDTYKQQGKQILKKL NQRSPGKCSIDSGAYAFHYLIEEGVCYLTLSDKNYPKRLAFLYLEDIHGGFIDELRRD HGDNWRDTVTTVARPYAFIKFDKYIQKKRKEYTDPSSSQNMSKLNNDLADIHNVMRQN IQEVLNRGEAIDRVSQISSNIADRSKEFKWGAKKLSLQAMYQKYGPLVAIALFVVLVV YFKFF H257_16986 MRTTPQDQWTWFLVFATVFLSFYIFTSPFVENRELLDRWTPYVY FSMGLYTWLLSAVVVHVPLTKLGMMDYDVKQPISLFLPIFFSSIAILAVFQAVLYFLK CFGLVSRLSSVSWNRLVMTILRNSAAVSVSCCILIVHCDAEEEDANFPKTYNRHACDH VFGVASSRIPVPASYQGALYIWLTGMTLAMINFVFERMVGFQMFSMQWHRVDDDDDDD ENNKHATLPPDHALPMVPWYSMFMFDTGFQLLISLKIFLGRFDKRTMQAALHPNYKDY MFDHLAHKDELWFDFMADCGDGFNSSFQIARMLAQPHLKVNVPLSNSKFPREAGGALT LPRGDCIVLGGDLAYPHPDADSYESRFWRPFEYAMKPPIWYDPAAVSTKKPALPPPCK LLKEYAGPTCFAIPGNHDWFDGLNTYSRFVCERDWLGGWHLPQDTSFFALKLPHGWWV LGCDLALEHDINVEQFACFEAIVERHMGPSDRVIVVTHEPSWILDAYEGNKSEEKLQY LITSILKGRVVVRLAGDIHNYTRHSLVESNHVSVPAKKQRPSPAPSKLSVQTTSENVP SLSPFSPVTKHFPHMNSHDTINPIQLSPDRSNCSAASGVPSTSPSSKAEAAPVHLIVS GGGGAFLHPTHVPSGDNLVASHRLYTRASCYPSEKVCRRYALLNILGFRRRNWRFDIV GGLGYFFLAFSMFPRCSVGQIYENAEWTDVVVNFVADLWTVHYQMLTTSYLSLATFLG LVVGHWLFADSTSSAKRLVISVAASLCHSMAAFSVLIAFESMFQAASDRGALGGHDGG VDALYQYYQLHVPDMVQLLRPFDYFGLIPLYSACVKYILTVFDVPQAVAIYRTNICQA GFHSLARSEVLVYYYSVFLYFWVAATPLSGIIVGVYLYLAVNVFKSHYNEAFSALRVA SYKNILRLHIKPNGDLEMFALGVDKMPTKWIRDPAWSGTGHKLAPSYEWKVPSYWKPK MSRVDNILRFDMEEETFDRKLNSEDRSHVKLVDYVLYPKN H257_16987 MLARSRALQRRGVSAVVHSSVHQTVACRSPTPFVLKPKYMSLNA ATTNLSRATLENVTANRPVAWWLMGCAGMVGAMVAVGGATRLTRSGLSMVTWKPHGGL PPITDQEWADEFELYKKFPEFQQRQHMTVDDFKQIYFWEYSHRMLGRTVGLAFAAPLA YFLIRKRIPKEMYGRLAALFGLGAFQGGIGWWMVRSGLEERDPTDRREIRVSPYRLAT HLGLAFTTCGLLSWTAFGVFSPPLESSFKLVTDTITPAGLVKICQVRKWLHRSATVLA ITIASGAFVAGIDAGMAYNTFPKMGDQWLPDGMFDMEPLHVNFFENTPLVQFDHRVLA LTTLAGITGAYALARNPNVWWQIPAPAKTALNLNVAAAAGQVMLGISTLLNCVPIPLA IAHQTGALVLMTSTVYTLHTLRFARPLGYKWASSIKQASKP H257_16987 MLARSRALQRRGVSAVVHSSVHQTVACRSPTPFVLKPKYMSLNA ATTNLSRATLENVTANRPVAWWLMGCAGMVGAMVAVGGATRLTRSGLSMVTWKPHGGL PPITDQEWADEFELYKKFPEFQQRQHMTVDDFKQIYFWEYSHRMLGRTVGLAFAAPLA YFLIRKRIPKEMYGRLAALFGLGAFQGGIGWWMVRSGLEERDPTDRREIRVSPYRLAT HLGLAFTTCGLLSWTAFGVFSPPLESSFKLVTDTITPAGLVKICQVRKWLHRSATVLA ITIASGAFVAGIDAGMAYNTFPKMGDQWLPDGMFDMEPLHVNFFENTPLVQFDHRVLA LTTLAGYTGQVFIKVFSWIILTMVGSLERMPSRVTQTCGGKSQRQLKLRSI H257_16988 MPAPSEDENDTTAALAEWAEGFTRAEKCARQVQYRKMKKNEVNK LKQVIHQLELTKATTIARNASSKVNRMLSWKDVAEALLCDRRLAESQHKALAKDQIQN KKHLLEMYQWVLAQVSLQRAPNAMCSTWRNVSLLASPESRSLGKQWITRQMYHNRDRV FQQYGYPSLDTTAPEYIFHVQMTFSENGYTLEYIRQAVSTWSLETFVDYYNQTLLPLQ CAVIYFDPTIPLIVNEVEGHTRQYAVVTPNNEYSNVLVGEFHVQDECTFVIQQIQSDE MCDGGTPQRNRMSWEMVRRLPGGGGIVARNVLLLSQLFTAKDGLLSLEEDAKSWGIDL DGTPDHLKEARYPQMFMEVAEREIAKHRAWYIANKQTIHV H257_16988 MCSTWRNVSLLASPESRSLGKQWITRQMYHNRDRVFQQYGYPSL DTTAPEYIFHVQMTFSENGYTLEYIRQAVSTWSLETFVDYYNQTLLPLQCAVIYFDPT IPLIVNEVEGHTRQYAVVTPNNEYSNVLVGEFHVQDECTFVIQQIQSDEMCDGGTPQR NRMSWEMVRRLPGGGGIVARNVLLLSQLFTAKDGLLSLEEDAKSWGIDLDGTPDHLKE ARYPQMFMEVAEREIAKHRAWYIANKQTIHV H257_16988 MPAPSEDENDTTAALAEWAEGFTRAEKCARQVQYRKMKKNEVNK LKQVIHQLELTKATTIARNASSKVNRMLSWKDVAEALLCDRRLAESQHKALAKDQIQN KKHLLEMYQWVLAQVSLQRAPNAMCSTWRNVSLLASPESRSLGKQWITRQMYHNRDRV FQQYGYPSLDTTAPEYIFHVQMTFSENGYTLEYIRQAVSTWSLETFVDYYNQTLLPLQ CAVIYFDPTIPLIVNEVEGHTRQYAVVTPNNEYSNVLVGEFHVQDECTFVIQQIQSDE MCDGGTPQRNRMSWYLTFMCFQIDG H257_16989 MADCRDVLYRSLEASIERCGGRLDEVKVLETARHFDSDRQPKAY DDTTNPGENAVRLVCCPHSAEAARTCFAAAQDIMSCVPPEAMIHVNTEQSMHVTLFHT SHPHDRRPFSPAIRTQELTTLRDMAAAFPSFTLSFHSIIVASSGSIIMLFDDPHDTVH RLRHEAHATFPSLPASQTTTIVHTTLARLMSPSISADSLVTVQDKCRVVTARLRREAF TVLLSSLWYVEETHYFSAGSGPRTSIPLPPPVLHVPEA H257_16989 MSCVPPEAMIHVNTEQSMHVTLFHTSHPHDRRPFSPAIRTQELT TLRDMAAAFPSFTLSFHSIIVASSGSIIMLFDDPHDTVHRLRHEAHATFPSLPASQTT TIVHTTLARLMSPSISADSLVTVQDKCRVVTARLRREAFTVLLSSLWYVEETHYFSAG SGPRTSIPLPPPVLHVPEA H257_16990 MSTPIADLPVIDLTKFIGAADLTAADVVDECNKVAECLHKYGVL VVRDPRATEADNNLFLDLMERYFEHTDFIEDARPEYSFQVGVTPEQQEKARNHCTRAE GLAKEHQPVTLCPPELDKKSRFFWRAGPRPENSQFTELNAEPVVPKAFPEWEDVMNMW GNKMLAAIHEIVQMAALGLGLEKDAFSSLMTVAPHLLAPTGSNFSKYNTLNDVLAGYH YDLNLLTIHGKSRFPGLYIWLRNGEKALVRVPDGCLLVQAGKQIEYLTGGYLEAGFHE VVVSNETLSVIEARRLANQSLWRVSSTLFSHINSDDTLQPVGRFATSDRLAKYPPILT GHQVRQELAHIELGTGFTLHRD H257_16990 MSTPIADLPVIDLTKFIGAADLTAADVVDECNKVAECLHKYGVL VVRDPRATEADNNLFLDLMERYFEHTDFIEDARPEYSFQVGVTPEQQEKARNHCTRAE GLAKEHQPVTLCPPELDKKSRFFWRAGPRPENSQFTELNAEPVVPKAFPEWEDVMNMW GNKMLAAIHEIVQMAALGLGLEKDAFSSLMTVAPHLLAPTGSNFSKYNTLNDVLAGYH YDLNLLTIHGKSRFPGLYIWLRNGEKALVRVPDGCLLVQVRSIPYFCLPT H257_16991 MVAGLLALVVDGVDVSVVGLPGVFAAPNGRTCGGSDASRLGVCP GPQLRLVFGSCCEVVPSRLPVIVLGCVPRRSATPLCEKKNPQITTASTMPSSATPKTT TPMPIAPRTISPTLQVLTTELPPPSRSATFRPPRTSALVRTGVVPSTAVPPSATSKQF SPWVVVVGCVLAVLVVVLCSLGMQSCCRSRRQGKGRFSTNSRFLSTRTPTNEVPWVDV PDLRSSDTSNTSSIAMMPPPTPPDGAPRMWDKLPSCSVCGIEESTFVMLYRGGGGGTD LNCFQCSKQWL H257_16992 MAEAAKAECLSRFPNALKRSPASYFKPKSGRQRDVDTVYGQSCP FYHGFAGRLTRSGFIYNDDEFQMPFDVSSQQVVDDDSALEHAAEEHVRDWRQLQDALE MVARLEPICATGQEAMAWARWTVIPVLLYADRLINETTWAAYEFNALLAPKNPHDNGD VKPRVKDCVGMTYTTGEHWDYIYKGHDGVCVCETCEGGGGGKEEECKVLWTPLMQAFS DLGVQDVHWVSQWTDHPGFVGGLVPGGGYLCGVFVAFDGYYWTDPA H257_16993 MVVSNVMNFCRRVFFGVAPSQNEDVIVEGSAIFLEDGEDNDDGN HIPPCASPNNHDSDTDDDDDTSPSPHPHRLPPAKRVKRQIKDDVVSSSPPQHVPNVYT RVLLSTELLPVVAKFQPGAYVDILPFLKVRFCQCKTRRGLDRWVEYLEQLDTIFPAWY AIHGLSRIRHLHRCVPKGPTRAVIHAASVGNVTAMRRLRRMYRFKLVLDDCIIAAVIN GQFNVLQYLHADESVNSFPKHCMQYAAACGHLGIVQFLDAYRPEACGGPAMNAAAEFG QLRVLKWLHYHRREGCNTWAMDAAAKYGHLAVVQWLHENRDEGCTVLALEEAAERGHF EVVTFLREVVRLPWSRRALKCMLRWGGPLEVLQDAHTAALDML H257_16994 MDPSYTTYQQQVMMQEEQRKQHRQQQPLNSMSNMYMQQQPMHRS QQQQHVAYPMQQQPMMLYPNDVAPLGSYDVAPVASHLGMSAPPVSAEYGYYDSQTQTP SQRSTNIPYDDSAAPAVSSVQQQQQQGGKRHAPRAAFPDYKTPPQFLYVKDKTTGASS SVASTETQMRNLRVAEDDPSIKAEHPPSSSPSRQPPVELDMNILSKLLGTDPSLITRD QVRNILSNPDLLNIYKKLLEEDQRKKKRLARNRDLAGQRRKRSKELVETYEAEVNQLE NILAKSLAHEFGQGDIQTLLEALGGEHKQSITLTKDAKHQQTASLLAQLLRHALVFQD ANDDSWMLALAACDDPEFLSLKLEVGLTDAQCRQLARLEPAIHAEATKVALVEKVVAA LHAQEWLHFPNSENLVDLFRGPLNDAQLQKFVQWTRVNHRVIHVLQVAREGADAADKD DDAHLVFDFPADM H257_16994 MDPSYTTYQQQVMMQEEQRKQHRQQQPLNSMSNMYMQQQPMHRS QQQQHVAYPMQQQPMMLYPNDVAPLGSYDVAPVASHLGMSAPPVSAEYGYYDSQTQTP SQRSTNIPYDDSAAPAVSSVQQQQQQGGKRHAPRAAFPDYKTPPQFLYVKDKTTGASS SVASTETQMRNLRVAEDDPSIKAEHPPSSSPSRQPPVELDMNILSKLLGTDPSLITRD QVRNILSNPDLLNIYKKLLEEDQRKKKRLARNRDLAGQRRKRSKELVETYEAEVNQLE NILAKSLAHEFGQGDIQTLLEALGGEHKQSITLTKDAKHQQTASLLAQLLRHALVFQD ANDDSWMLALAACDDPEFLSLKLEVGLTDAQCRQLARLEPAIHAEATKVALVEKVVAA LHAQEWLHFPNSENLVDLFRGPLNDAQLQKFVQWTRVNHRVIHVLQVRPSNIVFLPTT TCTHEYIGQSVDTL H257_16994 MDPSYTTYQQQVMMQEEQRKQHRQQQPLNSMSNMYMQQQPMHRS QQQQHVAYPMQQQPMMLYPNDVAPLGSYDVAPVASHLGMSAPPVSAEYGYYDSQTQTP SQRSTNIPYDDSAAPAVSSVQQQQQQGGKRHAPRAAFPDYKTPPQFLYVKDKTTGASS SVASTETQMRNLRVAEDDPSIKAEHPPSSSPSRQPPVELDMNILSKLLGTDPSLITRD QVRNILSNPDLLNIYKKLLEEDQRKKKRLARNRDLAGQRRKRSKELVETYEAEVNQLE NILAKSLAHEFGQGDIQTLLEALGGEHKQSITLTKDAKHQQTASLLAQLLRHALVFQD ANDDSWMLALAACDDPEFLSLKLEVGLTDAQCRQLARLEPAIHAEATKVALVEKVVAA LHAQEWLHFPNSEVHSK H257_16995 MLAKTVAARVGKVAPASSLLLVCDIQEIFRTRIYEMPSVIHASN TLVQAAKTLQIPTVVTTQYAARFGPTVPELEFGPDVKTFDKTRFSMLTDDVRAELDAT KPTSIILCGIEAHVCVLQTCLDLLEQGYDVHVPVDAVSSSTSLLRSTALTRLQQSGVY LTSVESIVFQLVRDSKHPDFRAISSLIKQHGAIPSGFHHDF H257_16996 MSLWSVLSGGVGGYFSVYMVLGVALIAKALQESWLAAFRQHEAL VVGGKTRRLSKLRFGFWSLMWAHLGSRWMYWLPGLVLIFVWWWRRHGPSFWSDSTDDI VDDSDVPALEPFDPSSPLSLHPAHPPPSKQPASSSAAPQTNPRAHKKPPSRHPPSTDN NQVRRRQEQRFQALLAHNTQCEQLSRPPKPHGWLVYDPLQGTLVVAATGQPAP H257_16997 MAMLVDLRTTIQNNKLPHGRFTRLATKYGCHRSTITALWNAQSV NNNDDNNGSIHALHNKRQGRCGRPRIRNEDVLDAVAGVDVQFRQTIRSTAHHASMSKS TLHRRVQEGLLDRRSTNLKPALTQAHMSSRLEFCLSNVTQRDPTQSAFEFLDFDDTIH VDEKLFRMDKDARDFTYRRAKMLPSDEHRTSDSSAK H257_16998 MDADEAPTSAPPAAAIAAVEKEELPTTPVNEDQATDENKVDDDA EAQELPAASDDDKAVNDDSNKDETVEDKVMAPKRKKASSVPRVEIPGERRSDRARQAP RSVYTTADETPSEVEFVVPVGPGTKLRDIDYLAEKVSKCGKRDAEVLKMLYQVMFSRR FSLGIMKDAKQHILDFSGYLPFDDDADKDKFRDDLAIKLCRATVGFVDVLMDFLQVDR SKKSFVDSGAQGGKDDKVERIIDWLFAPTPTEVKPKAKPAKKAAKKSTKTKAKKPSDV ETATDDEDAIPSAATPKKPGKKKVPVSKKRKVSASNEATTSKKKKQVVAVAADDAETE SENDFEEAARKAEAGLKKPTGKALPVDIQTRLKQIVTEGDVETLTLKTVMDTLTAELN VDVKGHKKAIKDFIANSL H257_16999 MTDADGGHAGYPLSMRRSSSSASWIDSTIHSNISVKYDVNASAL SVDATGSVGVLAGRKGLYVIDLESPYQPARTLHHQTKWDVTVVKCNPHVLYKGVVAST SNHNTLLWNIDYNTSSHVGGGVLSSHQPLMSTLRAHTRPVSDVAWSPSEPTVLASSSA DTTTHLWDIRTPRKPAQSLCAFTTSATQVEWNRLDAFSLATAHAGERFLHITMIGTVT TGAPVWRARYTPFGDGLLTSFNRQDHFVRLWSLTRDNTNLVEPKLVFDFVGHKDLVKG FAWRHQEADHGNPTGVFQLISWSKQQELRMWKVDMALLEGCGLAKSYPPTSNQNQNQP PHSSDWSCLVDDIAVTSSVDAGPSTPYGVPPTSRHHSTHVMSAYKFDLTALKADFIPL PLPPPPPLHATTTSSTTTSSTTTIPSHPPPAVESNDNKTSSTSTTTTRHRRDVMANVE EWHPAHDPAANRVMTSHPPHPPHGGGGIDDDVLCLSSAGGPAAAIRPTIREPIRRVSG GCFSGPNCLVYFDSRVAIGQSKVLHTSARPVVVLPPPTSGSSSRPPLSFMTTTNNMDF NVFVDEPDNGTVSHESDDHNTLYLSRQFLPYHPSPPSYPRHRHSHATALPSTLMSTTN LTTTSTTTSTATTTTTSNYQTSNNADSTLVLRVHILDCANLCHHSSMLITTPDVHAAA AAAAGNIEQAQMWSILSVSTGLDLIDGSSLHLPPWHAHPFGSSLVRHMLELYEAAGDV STLAAIVCAVHLSQPPPPSPSSARRLVMEAPATRTTSSGSTDSIDDDAVLSPGRSSTA KDQPNLSPLLDRSDLARYDGYKRAQADLLYRQGATNARCDLLKHLHTPSDPHVGLTLA VYCCHCGRPCNELYCDACQKFSVQCAVCELIVRGQSMYCMNCGHGGHTDHLVAWFATE NACPTGCGCWCKQAPGPAEFQIPATPTHFARSYSF H257_17000 MMAGVPRSSPPPLPPPPRGLIGNLGLLSYALMQLAGQAVDVTVI TPCAELISTSVMNPNVWSERIWDTLKSFAPQRARDISRLLSVAIAKSLPVFTTESSVA WTDTTTRLQSHAFDVLSTPEGHAAIQDTVAAVIKTTQALGTPEVKAATSQATSAIKSY VQMLATPEGQVMLDDVHNWVSHSLDVASSAESSIFLFEVATHLCHVFDSHKVDDGPPL TVSSTTDVSSIPTINSNTLNGSSDKAHYIPVNGDDSMKKTRRHQSRKAALEASMLRKL GVREPMDEVEETLELPTDMTGVRGTANNELLSRAFESFDEGQEHEDQVARDDNGEREQ WHVEQTHPTLRRRHHRQRLHQALRNSPKVHARPRALSKQYRHLQLSRDEGTALQTMTP MDRYAIKVLSMVVVVFVAVVLLIVLLALYRVLLV H257_17000 MMAGVPRSSPPPLPPPPRGLIGNLGLLSYALMQLAGQAVDVTVI TPCAELISTSVMNPNVWSERIWDTLKSFAPQRARDISRLLSVAIAKSLPVFTTESSVA WTDTTTRLQSHAFDVLSTPEGHAAIQDTVAAVIKTTQALGTPEVKAATSQATSAIKSY VQMLATPEGQVMLDDVHNWVSHSLDVASSAESSIFLFEVATHLCHVFDSHKVDDGPPL TVSSTTDVSSIPTINSNTLNGSSDKAHYIPVNGDDSMKKTRRHQSRKAALEASMLRKL GVREPMDEVEETLELPTDMTGVRGTANNELLSRAFESFDEGQEHEDQVARDDNGEREQ WHVEQTHPTLRRRHHRQRLHQALRNVRRGM H257_17001 MDEGDCTICLDPLLHELHALPCGHVFHGRCIHAALRAKKQCPQC RRQVVAQAPIRLFFKASTTTTGDGPSPSKSPSSPSGRRLDDVVATYETKLSLLRKQLH AMNAEHEASKNDLKKWEEYGQKSQAAYKNLASKHATLLRANETLTTDLRTAKQSIVAL EATVTRAHADTATVQFLNTNDMNALESDLANPAMVISALKKANRFRMLQYEKVVQKLH VAKEQVNRLTEVAMQGGDAASPRRKKAHKRPHTAGPLPAFDVRQSSMLASFHDVMPAA PGASNAQTVVTQGRQLHWMHREDLKPREIAHPISSRLVLHPRDMHKENHSLHDRSTKP KKAAPAHTNPITNWLR H257_17002 MESAAATPLAASGATPRRDSNRSTQLSSTSSPPSQGLDVHGGDD TSGVSTSQRSKLAQQADRLKNMLRGGTPLVGGVPSDAARLAQKMQYYSTMRVHMKRVQ YALEEEKRNSFYKELLVYLLFLAIMMTTVCTLPIQVPFEHNDALEQAYLDQEFNNVSF KKNFYEVDGLDEMWQWFNDVLLDTYYHPTELNVRRISSIQVRSGRMQGMPCELMDTGS TLSLFPDEVCYPAFSLHQQDTSPYMSSSDGDPSVGKIIAYEKDLPLLVRSLLFTPSII NARMDYGTGGYTAYLPRDNATAGAVVLGLLQQSLVVPSTRYVAATWALYNPSSAVFTH FQVMFEISSTDHIELTQRIWSFHVLGYRSLAAFLSLENILMLFLGGVTGMFTVREVQS CSEVGVQKYTQSMWNAVDVLQLGCLYALVWTWFKYLWLCHDVVPVLEDIVRRHTCVSI LSGRDCFVDLGHIGSVVQDVTNISACVALVSVAIVFKYLRLNTRLNMLWTTLRLAAKD LVAFVVIFVFIFFGYAVMGFLLFGTHVREYRSLSGSLASCFQMLLGAFDLSTLSQANP VMAGLFFFTFMIFVFLIVVNMFIAILSEYYSIAQDEKRAADAAKLDLLLVPHPTSSTS TSTSTSSTQPSGGGDRMKHPKPDVLIEYDVLQQLTEYWTNLTWRVRLTRKEPVPLTGG ACVLLVDFAYLQAERTRLAHKFRTAIRVIRICLAFIRPLRRFFVDFDAAHVVAWSLFA KKSLYGSKTSSHIRHADMYRKFPAVYVPLASNAANPLIMIDQLQPGDVLELDDGSLTF DRIALHVMGDQSLYVADDDDSVTDPSIQQRRMSSFGLHHVHPSIGGGGSHIKCCRVVY QGESILAGHETVIVSKWSWVRYFACSWWRHVSSLITSSPITSSPITSSLKKERNVEIS DDDIAQLLNVQLAHDHGRGHSCRFDELVRQFRLFLAKKARLGTIRLPHHDLETCVMRE AIAFVERFDKALLPLDARELHGYKYSPTPTDTSMVRLPNSIARLSEFLAHNAHEVWAQ GRVAQGWKYGPQRDNQLKLHPDLVAYDQLSDESKAYDRDTSIEALKVIQALGYIMQPT HHHSTGNSTTSSSTSSTITGSRIANTLMSATSSSMMDFDVEFGLAVADGDTYAPQPIA TDDISLSPELNSLVELLAENTHDVWAKKRMEEGWVYGPQRNDPRKEHDGLVPYVYLTS DEKDMDRNTAVQTIKCILRCGFTITHSKQQRHPRSSSAKFKLFGRSEDAQMARLEDAT AAAMTVQKAKDAFMGRRGHKTYGSVTFADSPTHHHPSATPSVARASSVELPPTTGGSM PLTSTRLRTSLRRTPSLPPSGSAGVSFLTTDAEPPDATTPSHDNDQNDDDVQIHIQR H257_17003 MQQQAAAAAPPHRYTAAAIAALYTDVSKHLKDLNAHSNVAVRAV TLPSDDDIAALVESRATFFPEKYPVAAVDTSNAANLRTRDDVARPGGGKRANGAHVLV AGKQRAVPAADLPTIKGFLRKHSIEMANTRLGLQQSSNAKKDARSQSVVARKRRQAMI ATATEAETRKKALISELMRSTKFNMHDIFQMSCQFKELARKNGTISCDHFATIIGSHL GKLVGSVTRTPITDEDSAMAGVSSIGETISASNALVQRLYGVFDQDLNGSIDFREFII GINSLVQGTLEQKLDSLFKMYDKDGSGTISISELVLILNGGQEKMSQLAIYIDDYFAH VDTNGDDVISEEEFVQAASVEPLVLEALSKPLSFNRHTSFELRQSLRCITERLKLDWS VMLSILDDVNEATKPDREAAAQERMGLVKTNQSEPPAYEAPPAPLTSAQFHNIMFQYL HDPQADDGHTLQDLFKSYVPSQAPADPKNRGKANCRDFVRDLAGMLRMLVVDTTHDDA CSRFYFRFFDYDCDMQITREQLSSVVCSSFGTMGNELLETMKLLQGIDANGDGELTKE EYLEAAKKNPLLLASIYICI H257_17003 MQQQAAAAAPPHRYTAAAIAALYTDVSKHLKDLNAHSNVAVRAV TLPSDDDIAALVESRATFFPEKYPVAAVDTSNAANLRTRDDVARPGGGKRANGAHVLV AGKQRAVPAADLPTIKGFLRKHSIEMANTRLGLQQSSNAKKDARSQSVVARKRRQAMI ATATEAETRKKVVGKQALISELMRSTKFNMHDIFQMSCQFKELARKNGTISCDHFATI IGSHLGKLVGSVTRTPITDEDSAMAGVSSIGETISASNALVQRLYGVFDQDLNGSIDF REFIIGINSLVQGTLEQKLDSLFKMYDKDGSGTISISELVLILNGGQEKMSQLAIYID DYFAHVDTNGDDVISEEEFVQAASVEPLVLEALSKPLSFNRHTSFELRQSLRCITERL KLDWSVMLSILDDVNEATKPDREAAAQERMGLVKTNQSEPPAYEAPPAPLTSAQFHNI MFQYLHDPQADDGHTLQDLFKSYVPSQAPADPKNRGKANCRDFVRDLAGMLRMLVVDT THDDACSRFYFRFFDYDCDMQITREQLSSVVCSSFGTMGNELLETMKLLQGIDANGDG ELTKEEYLEAAKKNPLLLASIYICI H257_17003 MCMYVCYRIKGFLRKHSIEMANTRLGLQQSSNAKKDARSQSVVA RKRRQAMIATATEAETRKKALISELMRSTKFNMHDIFQMSCQFKELARKNGTISCDHF ATIIGSHLGKLVGSVTRTPITDEDSAMAGVSSIGETISASNALVQRLYGVFDQDLNGS IDFREFIIGINSLVQGTLEQKLDSLFKMYDKDGSGTISISELVLILNGGQEKMSQLAI YIDDYFAHVDTNGDDVISEEEFVQAASVEPLVLEALSKPLSFNRHTSFELRQSLRCIT ERLKLDWSVMLSILDDVNEATKPDREAAAQERMGLVKTNQSEPPAYEAPPAPLTSAQF HNIMFQYLHDPQADDGHTLQDLFKSYVPSQAPADPKNRGKANCRDFVRDLAGMLRMLV VDTTHDDACSRFYFRFFDYDCDMQITREQLSSVVCSSFGTMGNELLETMKLLQGIDAN GDGELTKEEYLEAAKKNPLLLASIYICI H257_17003 MCMYVCYRIKGFLRKHSIEMANTRLGLQQSSNAKKDARSQSVVA RKRRQAMIATATEAETRKKVVGKQALISELMRSTKFNMHDIFQMSCQFKELARKNGTI SCDHFATIIGSHLGKLVGSVTRTPITDEDSAMAGVSSIGETISASNALVQRLYGVFDQ DLNGSIDFREFIIGINSLVQGTLEQKLDSLFKMYDKDGSGTISISELVLILNGGQEKM SQLAIYIDDYFAHVDTNGDDVISEEEFVQAASVEPLVLEALSKPLSFNRHTSFELRQS LRCITERLKLDWSVMLSILDDVNEATKPDREAAAQERMGLVKTNQSEPPAYEAPPAPL TSAQFHNIMFQYLHDPQADDGHTLQDLFKSYVPSQAPADPKNRGKANCRDFVRDLAGM LRMLVVDTTHDDACSRFYFRFFDYDCDMQITREQLSSVVCSSFGTMGNELLETMKLLQ GIDANGDGELTKEEYLEAAKKNPLLLASIYICI H257_17004 MKTALIISTVAASAIGTEPPKASNCVCRFFESESTAKADLLVKF TSNKASLDAITTAKSSNYRQGVYDVLIEQAKANEARLAPLLPSGQHISSVYIAGGVAL CSVSKETFDRLTASDFIEYVDLNNTNDIALPDVIKGDRSSSRHRDAGNEWGVETVGAP AIWKYTRGKGVVVGSIDSGALYTHEAIKDNWRADYGWFDPTNGTATPYDSQDHGSHTI GTMVGRGGIGVAPDAQWISCTFGDAPTLEVMLQCAQFILCPTKPEGSAVDCSKDVDVV NNPWTNPWFEAAITAWKAARIIPVFGIANRGLECSLAASPRAYKHPDTLAYFSSKGSV SNGPVYVKPDVSAPGYYVRSVGIVSNSAYASDAGTSMASPHVAGVVTLLKSVNLSLDF DAVYTYLTATADQNELNTTEPHAWLASLTNETLPGSPHCGGPPDDSWPNKRFGYGRVN VATILHDGKLNDKRRPTCHEEI H257_17005 MMMQPTTVFLVFCMLVNSVHGVQFDIPTRVEKCLSDEVAKDSFV LIQYDVLGNAQGRTGVSVMIQDPLGKYIKEDSDVDVSSGDLHKFSFNAGTAGSFSTCF FNSNEYTVRVSLDFKHGVEAKDYSEIAKREHLLPVEKELRKMEDTVDEIHREMLYMRE REASMRDTNESTNARVLWFSSFSIFVLLAMGLWQVIYLKKFFKSKKLI H257_17006 MAKLAAVACVLAFLACMLGTTQAVIFQVRASATKCLTTDVDEGS LVITQYQVLGSVRGKTGVQFWLEDPQKKQLSSDADIDTAKDESHEFTFTAKSYGTYAV CFANSNAVSVQIAFEFKHGVEAIDYSDVAKREHLMPVEKELRKLEDTVAEIHREMLYV RDREASMRDTNESTNSRVTYLNAMTIAVLLSVGVWQIIYLKSFFKSKKLI H257_17007 MRWYCVSPALPKGAQLRVRTAPSASAPESSSHRLNIYDVVSTSS PPLKAPWLQVAWQQSEGYVMASTPDEMPLLVPWESTSFVKVVFVYPETELRHKTTHES VVLELDAYLGVVSVLAADSDDVSYVVMYQGEPYIVEQVDAVIMAERIHESMRFAMNPD LPENATIVVRQWPHRDSDQVDVLTHGQEINGEIRSEDWIKIHEHAWVMWKLASRHNLE LLQPVQTTSRIDPVEEKVQDDTTHTVGLPTESEDNPRSQHVNGESDLPTTITCDKVAI CDDVHPQERQMYADRCPSSSSHPAQPTDCLDSVIAITCPDPSHPVIHHQSTSWDDRPI HGTNEPPCDTVASSTTSHVQVNNTRMDHARTALDDRPIRQSSRESDVVQSSDSTDNLH TDKVQHNPPNGHDTPNGHDTPNGHDIPNGHDTPNGHDNDDRPMRPLAVRYDDAKEEEK EEGKTNVLASTSSTSSTSSIETTSPVQYDKAFPAVPSPHDDMMLDQPSPPLSKLHQFA NDVADVHLMWSLQVEFLTALCPLVLSPSPPLTLDDHTVVNKMLTAKLNAPSSMHSKVL PVFLELALPYLASCAEVKLTRPLLPGLLRLFQVKAAVAKLEPFLTAFVRQFAVADRWD ALEHVLVDDSSDGFQPLTELGAARLLIWWSLVLCGDMTLAQPSSWTCPSLRLCDALVV YASHRATKVRAAAVDILAYVVRRESADMAQVDTVSHMDTWLALVADAGVCDAVQSRLK NTLTPAEADTSRVCKDSCGVADKVADKYDVASTTSSTTSSTSSSTPQRKAKSRFAQWK QAADSIVVGHRTTTTSLSNSSSISSTTTGGGDGHVMTFAQWQQDPSTDDAASTTTTTS TTSNHTPRKLAQAKFAQWKHAQQGQPPHGDDVINVSAPTELSSTQRGADDVINKNGVI NEDGVIQDENMTNGDDVINEDDVINGDDVIEAADPDAPRGVVEGAPILAMAPGDGNVP GESGDVGEEFAHDDDSAEEDFLEQSHDICLSSVIVASPGRVETKPNEAPWTLDGEKSH DWERTIVVEPTDDDDDDEQEKDIMDHVLNDENDQSDGRFTHDDVIHDEEQVDDVIDCD DGRDDVINDDGPYDDVINDEEQDDVIHDGQDDVINDAQDDVINDDDQGEDIGNDSIEQ VDATSDAFLPHNLNVDAKPDHDHDRQDVVVARAICFSDVSDDDDDVAVLGGLDDDDDH DVVDEYAILFVPPCDTASVRLPVDKDQGQSTEFDAEFPPESTDLWDPDVLFLPPRMVD AELARLRRLWGDDTRGFWGAVLDASPVVILAAHFPFNGGDDDQDSDDLMHSWQKEDED GEVLTEADREAIAAYVRQWHAAHLALRLELTPPTPVPEDGRSGTDKLKASRLLQRPSS FGMSASLTSSQAAVPTTPSFLRKPTSGSSGIKLPRTASTPPPTTALPTSSGGPGTPPT PARQMRLPTTRTTGLRTPSSRSIKQ H257_17008 MRRMYRFKLVLDDCIIAAVINGQFNVLQYLHADGSVNSFPKHCM QHAVACGHLGIVRFLDTYRLEARGGPAMNAVSEFGRLRVVKWLHYHQREGCNTWAMDA AA H257_17009 MTKHSDTTSSPLQSVTVVVHLDGDAAHGIHVGGVTANTSMCWVL TESIRAYIETYTAADLPGISGLYDMTSGQVVDLASTVIDVPNNQVDEDTCPLLRYGAV RLPDTGRRHRPPIPDESSTSSPQEQKSDPFRLFVKTIQSSLGKATQRSNSFPRFKLLP PPPSPSQPPPLDNVPSRRIQSLPTTLSLVDCVTQLRSASVAELSLACNVSTAVARQVV AIANTCVPQVPTTPPSTRTTTSSSSTTTATSSPSNQIDPTTKGAGPSFSSFPPPFNNQ EDVHDIEEDSPAFRRVLQDMDSISATAHGRVQTIVSAAAAVIQASQGFMEAFRHFQQA MDDTLHWWTNQNESDDDCASSTPRQSFLLRPFWQHMEEDVLSSHVAITDALNVHVITS WNQFAHLYHGDEYARRRHKLNMRFTQHHSLALAYAMTPLANDVMLNMEAEGQDRVLGA AHDAFESARHDVVTHFRSMLCQGLHLWNATGCALSKLHSAEKQPNLVEGDGGGAHVVV VGSSTALKPELVTDEGDEKSKGGSSSQAVEATTLAMNKKVMMQGYLFQVVSATMARSR RWVYIQEGRLLASTDDLLMLEPEVVVAHLSSATAIRCQSAAFHQPSPVYRQLRYGFQI TNNNNERSTASTWQADTRQSLDQWIQALTFNHDQSNLGSTVTTTIRTLPLPTSSITPS CPPSSPTPQRKAVSTRHRYRRCDESILVFHPPETSADSFHEIVRHTFPCSVQSYLQRF VQDPSFSKSFLAHERATAISFTSWQLVEPHQHQLQQQEQDETSCYTRRRSCVLPVESA LTNGTSRIDGTDMYQVVSDPPSCLRLLSSDVSVDVPYGSYFTVQSCTTVTSANDNDDN DDQCQVVVTVGVYFIKHTMFRRMIEQACVTEATRSFERLAQHMLAALQAGN H257_17009 MCWVLTESIRAYIETYTAADLPGISGLYDMTSGQVVDLASTVID VPNNQVDEDTCPLLRYGAVRLPDTGRRHRPPIPDESSTSSPQEQKSDPFRLFVKTIQS SLGKATQRSNSFPRFKLLPPPPSPSQPPPLDNVPSRRIQSLPTTLSLVDCVTQLRSAS VAELSLACNVSTAVARQVVAIANTCVPQVPTTPPSTRTTTSSSSTTTATSSPSNQIDP TTKGAGPSFSSFPPPFNNQEDVHDIEEDSPAFRRVLQDMDSISATAHGRVQTIVSAAA AVIQASQGFMEAFRHFQQAMDDTLHWWTNQNESDDDCASSTPRQSFLLRPFWQHMEED VLSSHVAITDALNVHVITSWNQFAHLYHGDEYARRRHKLNMRFTQHHSLALAYAMTPL ANDVMLNMEAEGQDRVLGAAHDAFESARHDVVTHFRSMLCQGLHLWNATGCALSKLHS AEKQPNLVEGDGGGAHVVVVGSSTALKPELVTDEGDEKSKGGSSSQAVEATTLAMNKK VMMQGYLFQVVSATMARSRRWVYIQEGRLLASTDDLLMLEPEVVVAHLSSATAIRCQS AAFHQPSPVYRQLRYGFQITNNNNERSTASTWQADTRQSLDQWIQALTFNHDQSNLGS TVTTTIRTLPLPTSSITPSCPPSSPTPQRKAVSTRHRYRRCDESILVFHPPETSADSF HEIVRHTFPCSVQSYLQRFVQDPSFSKSFLAHERATAISFTSWQLVEPHQHQLQQQEQ DETSCYTRRRSCVLPVESALTNGTSRIDGTDMYQVVSDPPSCLRLLSSDVSVDVPYGS YFTVQSCTTVTSANDNDDNDDQCQVVVTVGVYFIKHTMFRRMIEQACVTEATRSFERL AQHMLAALQAGN H257_17011 MKERAEKRPRAIKANVRKAGMDLWLWVLMHSPDAILHAAVGPVL FSPLLKLVTENDISMSSDEVPVNVRHERNVIVVEALPALTSIDSIATIGRISVHCRR H257_17012 MHKVHIGIKWWQSHLHDGPSQVGRRGGLKHPRGLGFADRGLGDG GAVLGPRHSHVFRSVELDIQQHGRVVVSQLDVGEVARSDVELCEVEFRASVVVVNLEP LGEHVETFGGHDLLQEVDLVVFNRGVHRRFEERRGVHCAQHQLEGMLGAIEKLWQVEV GFVRECLMDHSKQRHLLHDKHVGGKHLGFVPRKVSVLIVHRTLERRWIVF H257_17013 MSLFFWKKDVSRLTKSLHHLLHEVAPALPDERDDLDDNDALLAD TATPPLSPRDDPSSMLNGAVSEVDVLDVDATGRVERLLMKIRLVVCGTPDVPIQTDEC VQVVDMLLRYHVMERLVHPDLLVQISFEAQKSVGAIIKAMVHQHPAAIRPIVCQVSFL GRLCLGYACPATEVVLVCGAMLRDCLDTFDDAISLFLSKMPAEFDVLVTVACTHAHFD ISSDALTNISCLLTHHTPELDAESDRVFTQYQRLLASANYATQRHALQILSKVLLDPR NGPAMMRYISDKHHLKVVMQLLREQSDALRLDAFHVFKIFVANPSKHDDIVSLLLRNR DKLLKFVVEFGNDHSNAYPSLASEIRLLVFTLEKLVEPATTNPPLPTNSTATTAATTD DDEVPLHPPTPSQIVVLDT H257_17014 MATAEPSSQPSESTLGLSLKKSLAASTGAMITSLFVTPLDVAKV RLQSQSNPKQHRPSPSPTLSIACRRSCGNATRNMMSSRPHTLCVACVRSLSMQCSIPS ASRRHLHGTTDALRYVFRTEGLRGLFAGLPPTLMLAIPSTVLYYTSYDHLVHEGARLF PELAPLMPLLAGSSARIVAATVVSPLELVRTRMQNGVEKNMVSILRKAVQDNGVRSLT RGLQATLARDVPFSAIYWTCYEQLKARLMERPEFDQRPVHQAFCAGAAAGMLAATVTT PFDVVKTLQQVDVSMQLSTSQVLRRLVATQGVAALMTGLTPRIAKIVPSCAIMISTYE VGKMYLGVA H257_17015 MISTLLHACSLWAQASMYVRDELLDVHCVLQLAVDLHQRGALSP TLEVLGCRQCRCGRLHVAAPKLLHALSDLIAHEEHLLHMAIRHLAVHVMQDRSWTLQV LDVLRPAQSCLGVVCAIDTMKLPLWLQAHRSAILEACGGRHYLAVHRRFVRSMLSPSS HIEELTSLLHKEPPEDDPDTADSYTSCDQCTVFVPSLAVPLLPHLRHIGTFTSVRLRK ARLTYHPMTPLLTACTDTTAATVPGHAMSHPTSPSWLQLAAMELHIRLGMFHYTTRRR WPSTDMDTSSLGSPSSLLVLCSSKLSKYPHIVLAPELEVDDAGSVPYLVECHGGNIPI VLSIPHGGSAACRLFGTWQKEHLHLRKPSSTSKKRFSNLSDARTVHVAAETAATLDGN MQPYMVLAKFHRKFVDVNRAIHDDAYVPKKALAARMYAHYHSTLVHVLQDMWLRFPMF DPLLLDIHGQRAKTCPTLGISAVESKDILYTGTRNGRTLHSLPLLQRQFGLALDAALR HHGFQGMYPPPSMTVPERSEFLGGHIVQTYGFGVSNVNAMQLELGTHMRGTEVTDDDS DTCIHQRKRTAAALATAIQAHLHSTAFAKSHL H257_17016 MNRVGPFDSASSPTPDPSFLDRHAGSSAYFGFVYLVATIGLSMW YLTILEPYMGNDLFWPQFNSTGTQTFVIDAFHLENNYVDSTTADHQPTPFSILDMAIQ RDYSGSDTVVALKATYPRRMISEQLTSIEGAIQGIHTATSVASVLKLNTAYCWLDFDR LWPVAHTQGRLERCRDRYADNAAVYMESMLRIVPWNEWMAQSGSDFTASIATYLGQSD LGVRWLKSVPNAFVSVEVEAAYWRQKHMTTYRLQWGNLFMTGLDESIAIRNALGMVQY VLTYQVKPVFRQQVWTSVYMNWGIWNDMTGIVYTQLDHANVSLVRNSTDDFDLVVVYD TFASPSSGTVVSLLRSELGPTNSIDMYVVPRPPSALALLKSFQTMWTVLIEHHDPTLF AMFNAIPDTELDPVPPSWLDPLRVFYGGNPMCVFNTPTSYVQAPFGFDDTCTSAVRHT VSTSKWHVLFATMAVNLTIQSRQDDFNRTSVCDLCPTTSHTCLNVVHQAHTVYEQWQS TLSQIPLDLHEHFRRARDAFVAASNVSLIQFADDQGFVDGNYFDQSNLLVQPLVTTDD VAWNFLGWLHMYDWMTGTREVVSFEGNLQSLALLSTADVDHVYVPSNLEVPQRACKYV WYISVYVTFVLGGVAVILLMYAMGVATPGRPLLHFNRVVGCTWIGRPFLLVRGVAAIV MLSTSPVVLSTSMDGVAYLQGMPRSWVQTLVVAGEATWVAYVIQDVLLIVTDSYAKVY CPVATAITWVIYVVVDIATPVVAISTEIDRHCAFVNMDTHIACSSGVVEIGSSTRAQW LVAIALGSTLISLLLTWLAELLLYVSVIRSTVQSPIIFPGASAAFLPRTHGLPLESLD RIVCVMSGLLPFMFQNKQYVLDLKLWVVVPSSVLNYHLTSIPSAKTHFHQFIHVATKP NESCPEATEHCESNKLQQQHPPPQDQPHPVATTWKFVVLAGAGFVYMVGTSAASVSYL AVTQVNMANDFWWPNFNSSGTHLYLANWFNHQQLMHTTNVTAPAVASTLAKLEFASLD KYNDSNSPIQFTSFAATHAMYDMRMSLHAIVIGIRSMDGCKVPWISTQYCWVDFQQRW AMARSEARQLRCDTAYAANGAVYFESMARNLNWNQFNRCWGDAFDVAIRYDLNASDAG LDWLAASVDSTRGSSTSSSSRTSVSDEAGYWSREGIRHFTMQWQNFKSVGVVEYFQVV NAFGVAYDLTLKDTKSSFHLDTQTSRKLSWGLVGDFDAVTRYGLALGKSSLVRGSANY LYDGDQVPSPLEALMIANGTLVDFTVPVTNVFPVQVPSTALLLRQLIGPYGTIDAWHV PVPTSLQQFTASVTEFIVATLASKPAQVQTMWTTLPIVQVLRPTPPGLVDWNLVGGNL LCDYKWPRVAMFSFYGVSSACSSILAEHIDATAMSIVYSLVGVNAMYNDNVNLTAISQ LAGDDDQAAADVLTMLSTTKRYVETNLSCDMTWTALQEQAQRVQAEVADMAIEIAQFA VPDETITSDTGYGIRPVVDIDIPPIIPPPININVSEEVVLITATQRRLEQGGGDPPPA QLYHMNVFDTNQAAFHLFAWSFMYDWVLGVREVVTFQGDVGRITLLSTASSRYQATSP QPLEIPTNVALYLRYATMYITGTIFAASVVATVYILVARGHIEGRNMFKLNRVAGIVY MGRPLLLLRSMAAMSVLSTATLELEQSSSGVLTYFIATSTRPLTVVGAVKMFLAAGEV SWFTFVLNDMFMVVTRQYTSPYAFKSSLIVWMASGVLSFASPVRDIATLRRDCMIRAV DFDMSCSAGTIEIGQWRRVAVLMALCVTWSGVCYAYERIRHPLLSVTEHVPSPFLCAS AKWMYNTDSWMFHEVYYLDKASAALNGMLVVQVRATFYVLDVKSWRRFTIDVPGELRL SHTEPRAKELNVALPLTLYMY H257_17016 MNRVGPFDSASSPTPDPSFLDRHAGSSAYFGFVYLVATIGLSMW YLTILEPYMGNDLFWPQFNSTGTQTFVIDAFHLENNYVDSTTADHQPTPFSILDMAIQ RDYSGSDTVVALKATYPRRMISEQLTSIEGAIQGIHTATSVASVLKLNTAYCWLDFDR LWPVAHTQGRLERCRDRYADNAAVYMESMLRIVPWNEWMAQSGSDFTASIATYLGQSD LGVRWLKSVPNAFVSVEVEAAYWRQKHMTTYRLQWGNLFMTGLDESIAIRNALGMVQY VLTYQVKPVFRQQVWTSVYMNWGIWNDMTGIVYTQLDHANVSLVRNSTDDFDLVVVYD TFASPSSGTVVSLLRSELGPTNSIDMYVVPRPPSALALLKSFQTMWTVLIEHHDPTLF AMFNAIPDTELDPVPPSWLDPLRVFYGGNPMCVFNTPTSYVQAPFGFDDTCTSAVRHT VSTSKWHVLFATMAVNLTIQSRQDDFNRTSVCDLCPTTSHTCLNVVHQAHTVYEQWQS TLSQIPLDLHEHFRRARDAFVAASNVSLIQFADDQGFVDGNYFDQSNLLVQPLVTTDD VAWNFLGWLHMYDWMTGTREVVSFEGNLQSLALLSTADVDHVYVPSNLEVPQRACKYV WYISVYVTFVLGGVAVILLMYAMGVATPGRPLLHFNRVVGCTWIGRPFLLVRGVAAIV MLSTSPVVLSTSMDGVAYLQGMPRSWVQTLVVAGEATWVAYVIQDVLLIVTDSYAKVY CPVATAITWVIYVVVDIATPVVAISTEIDRHCAFVNMDTHIACSSGVVEIGSSTRAQW LVAIALGSTLISLLLTWLAELLLYVSVIRSTVQSPIIFPGASAAFLPRTHGLPLESLD RIVCVMSGLLPFMFQNKQYVLDLKLWVVVPSSVLNYHLTSIPSAKTHFHQFIHVATKP NESCPEATEHCESNKLQQQHPPPQDQPHPVATTWKFVVLAGAGFVYMVGTSAASVSYL AVTQVNMANDFWWPNFNSSGTHLYLANWFNHQQLMHTTNVTAPAVASTLAKLEFASLD KYNDSNSPIQFTSFAATHAMYDMRMSLHAIVIGIRSMDGCKVPWISTQYCWVDFQQRW AMARSEARQLRCDTAYAANGAVYFESMARNLNWNQFNRCWGDAFDVAIRYDLNASDAG LDWLAASVDSTRGSSTSSSSRTSVSDEAGYWSREGIRHFTMQWQNFKSVGVVEYFQVV NAFGVAYDLTLKDTKSSFHLDTQTSRKLSWGLVGDFDAVTRYGLALGKSSLVRGSANY LYDGDQVPSPLEALMIANGTLVDFTVPVTNVFPVQVPSTALLLRQLIGPYGTIDAWHV PVPTSLQQFTASVTEFIVATLASKPAQVQTMWTTLPIVQVLRPTPPGLVDWNLVGGNL LCDYKWPRVAMFSFYGVSSACSSILAEHIDATAMSIVYSLVGVNAMYNDNVNLTAISQ LAGDDDQAAADVLTMLSTTKRYVETNLSCDMTWTALQEQAQRVQAEVADMAIEIAQFA VPDETITSDTGYGIRPVVDIDIPPIIPPPININVSEEVVLITATQRRLEQGGGDPPPA QLYHMNVFDTNQAAFHLFAWSFMYDWVLGVREVVTFQGDVGRITLLSTASSRYQATSP QPLEIPTNVALYLRYATMYITGTIFAASVVATVYILVARGHIEGRNMFKLNRVAGIVY MGRPLLLLRSMAAMSVLSTATLELEQSSSGVLTYFIATSTRPLTVVGAVKMFLAAGEV SWFTFVLNDMFMVVTRQYTSPYAFKSSLIVWMASGVLSFASPVRDIATLRRDCMIRAV DFDMSCSAVLIDRIQERLKSGSGGGWPCSWRYV H257_17017 MGIASFQHLTAMLRVSVMLSSTRKETSRTHSQSKRMRETLRAIE AAAMFDEISILYDNQPTVRKSILQGKSVRESVTALFSARFKAAKDASKPWENADLWGH SADICAFRRLVHEDIYVFGESKEKDKKVVWTLEKYGYPESSRKRGVFKFVRDQVPMSE WTSAVKFNRDALFLKNKTKLH H257_17018 MQVQRILKRESANRPEVTVDTTSCPEWYLALATSIGLVQGGDAF DSFQQCRSRVLDSPNVVVHDLCNFKVCASIRKYGQVVVTQAHLAHSQFLFTTKADAHR SELPTAAARKTMTDDDATAIRTQRRTLRNTSPDPDVQLLTSNIIRAAIMKATGVNTAG TFITNVKKLALDLISGTVAAGYQKLHAYMVQLAEDNGGTYSVF H257_17019 MLEHSKQKIKLSDTDKNLLYRVADATTEDKATKAMHEVRETVPR AFLYLEAIPGGMQHWSTWAINQAGFATYVVKTSNGAEQKNAWLGVLLRASNPVSDLYG YMTKLMWKFKKRYDQVVGRRPGGLVEAAKRHLNQQLKRSREYIAFELNKGVRDVRHIG MFTVRHCEVAATKFTHRVHIVDVPERTCTCGYWQDSLLPCCRALAATKTHMLSAIHTK VWRVQRRWRVNHPSYVPSVLTCYHVVLGTTTVLMPGARLLRSSIERRRQRQQLTLQWP NMIDDPTVESGREPRNLYAVDVLTAMRWSVSAVTSTTIRNCWAHTGVLPRNLGHSLQL LLTVNDQQIATLQS H257_17020 MSKLSLPPHFFQCPQLSDTDTADLKAFAARASIDVIRHARLHNG PIKWSVVEDDHDLRVLKGYDPAAPAGVLSYASSTYLYASIDEVASLFRAETDEEYQAY RRHFAPDLLDGAPLYTLTSPTPANPRKFVGIKWMAVASPVVAVVKHRDFCAIECRYDF DIQGRRGYVRCLKSVSLACCPDLEASMGFVRGTYHRLAHVFLETDRPGYLHAFQLLQA DFRGNIPTWLSKLSAKKRAKSLGDMDLFLRGQRLTRTAFLNDRELVLKGNRSRCFDCQ VAFGTFGTKWSCRKCGEVVCKACSKSWRVHVDGMSQSLRVCTSCTTSNGLSGELSIGS QLKHTKYLTPSITISNSTLQGQTKGSTTTPTLGGGGWHPRAGTPSSSSAAPTPTSRTT GSSNPRFLKSASSALNRGPTSPPKFDCLNESIIQHPTGDRSTTNISVNDLDDLDGSFI PTQHHHPPHQHYRGQPPHAVGGRRLTVVPPSNQQPHNNSTLPTELNHRNDLIPLVMSP H257_17021 MLVGQTKGSTTTPTLGGGGWHPRAGTPSSSSAAPTPTSRTTGSS NPRFLKSASSALNRGPTSPPKFDCLNESIIQHPTGDRSTTNISVNDLDDLDGSFIPTQ HHHPPHQHYRGQPPHAVGGRRLTVVPPSNQQPHNNSTLPTELNHRNDLIPLVMSP H257_17022 MAQYVHQQRAGGSLVRLSRRVPPSSPLQGFCTSSMSRSTVMHAL VAYYFLGIKRTAIARLFSKSVSTISNWIQQHEKTCPTYLSASWQGPGLLLGGDCDDRV LYRGFLWCP H257_17023 MSKLPPHFFRCPLLSDADTADLKAFAEQASIDSVRHARLHNGPI KWSIIEDDHDLQVLSGYDPAAPAGVLSYGSTTYLYASIDDVASLFRAETDEEYQTYRR QFAPDLLDGAPLYTLTSPTPANPRQFVGIKWMAVASPVVAVVKHRDFCAIECRYDFDT QGRRGYVRCLKSVSLACCPDLEASMGFVRGTYHRLAHVFLETDRPGYLHAFQLLQADF RGNIPTWLSKLSAKKRAKSLGDMDLFLRGQRLTRTAFLNDRELVLKGNRSRCFVCQVP FGTFGTKCSCRKCGEVVCKACSKSWRVHADGMSQSLRVCTSCTTSNGLIGDLMTRAAS TTSQLERTKSVTVSTPLATSRGGINAAAALTGRGGSQRRAGTQLSSSAAATPTPRTLY TPKVPRFHKSASTTPTSARLPSSPLSFDFLNESIFQHSTGERSTGFSVDDDLDDLDSS FIFTPTQLHDAPRNSRQLQSQGQQDDRTLTDVPPWHQQQLVRYDPTKAQDPRHTDKVP PLLRDDLDHRNDIIPLVMSP H257_17024 MRGKRGKNLTNTDRNAILHRLLALFTAQSTLPRGAYCDVKHEDK RRQCSDVTSRIKGHCGRNLKHANMAVRMKAVPKSKRTIFRRGIFVKYSSSVRLSLTDV NKVVRVKWAMDHLHVVDGSQVGFGNWMEYVHVDEKWFFGTCVKKTYYLAPGEEPPHST CKSKHFINKVMFLSVMARPR H257_17025 MASFPLPSTFFRCPPLSRTDEFLLQQFAEQASLDLVHYSQLEHG PVMWTLLEDDHDVQVFVGQDPTAPPRVINYISSTQVHATIDEVARLFHTETPHEYATY RRNFAKDIIDGALLYTLAQSSEANPRHFLGVRWMVVGSPVPAVIKHRDFCSIEGRYDF DLNGKRGWLRCFKSIELAACPDLEPTLGLVRGRYHRVGFVFVESDRPGVLQVTQLMQL YTGGHLPSWLQRFSAKRRARSIEHIEMFVRQNRMALMIFSDAILVDKTSRRRCFLCQH KFGPFRMKWSCQQCGEVVCKSCCRILTEHVGSSTSGRQEPTRVCTTCLFGSGPSYRQA LFWPSSSTPQHASSSCADFEPSNPRLALFDREVDEFRQDQTPNNTLGRQQQQHPTRWP VERPFTTRQDPQNIITSKRLYDLQGTGDNMASYAMYGQGHPLYIQPANHWDYYHSYPS PLPRYNYDHDKRCSYDQSMYERPGSLGSLPMDGGCPQPPTLVSLPVKSDGGRRNDLIL LRKPVPAPVAKA H257_17025 MWTLLEDDHDVQVFVGQDPTAPPRVINYISSTQVHATIDEVARL FHTETPHEYATYRRNFAKDIIDGALLYTLAQSSEANPRHFLGVRWMVVGSPVPAVIKH RDFCSIEGRYDFDLNGKRGWLRCFKSIELAACPDLEPTLGLVRGRYHRVGFVFVESDR PGVLQVTQLMQLYTGGHLPSWLQRFSAKRRARSIEHIEMFVRQNRMALMIFSDAILVD KTSRRRCFLCQHKFGPFRMKWSCQQCGEVVCKSCCRILTEHVGSSTSGRQEPTRVCTT CLFGSGPSYRQALFWPSSSTPQHASSSCADFEPSNPRLALFDREVDEFRQDQTPNNTL GRQQQQHPTRWPVERPFTTRQDPQNIITSKRLYDLQGTGDNMASYAMYGQGHPLYIQP ANHWDYYHSYPSPLPRYNYDHDKRCSYDQSMYERPGSLGSLPMDGGCPQPPTLVSLPV KSDGGRRNDLILLRKPVPAPVAKA H257_17026 MGGEDAAKRHRKWIQLMGEAVKADGWGQVLEAVEAYEKAAEVIL ADLPTMGLTDDQQDIAEKVVVTMNLRATGLSSVDGSPRPNKDDMDVLLQVLNSVTRKV PRVFPLDLAELAPIPAPVARDSLPLREEPQHAAKASKRRHSSKGDVTDKADDDTPHVV TILIQKIGLKDVERYVDPQIVVSVVDKDLVVVEEKQDTSIAVGRVAPYIMFDSAIELR THLHVLRQNDSTIYFEFVHYKKAKRKKSVRCWAMLEMDEIKAGGGPLALELYTKPCDV AKKRVHLFTVKPLYLHVDIRLEPVPQA H257_17027 MDRNSSNPVTPPPTMPSDVSVSSTDTSLSESTDAKAPHPSQESH EQVEKKYHALLSTMEQKRTHHGRGLVDASPNDYAPKHFHDFPPRNVQKHEKLEKHVRT GLPTPDVVQDRHIVQPLQDKPNPCSAKLTRM H257_17029 MSFPHLRPLEHIFSMVKLVLVAAFVAALATAKIAPSVHRHLELS ESVDVVVEFQDGNTNALQAASADLRSIQGRGPRIAHLRSLLVNSMESSQQAALELLSA QPEALSVRSEQFYISNRLFLYGVSRVVLDALAKLDGVARIRSPVVAHLPVVDTEVVVD LPVVDTNSSILANEWGVNLIGAPSVWAGGNRGEGVVVGVLDTGAIYTHEAIKANYRAT YGWFDPTDKSPTPIDTNGHGTHVVGTSVGANGIGVAPGATWIACRGCTTSSCPEAALT ACAQFLLCPTDAQGLNPKCELAPHVINNSWGGGSGSSWYQANVNAWQAAGIIPVFANG NAGPNCGTANSPGDYKNVIGVGAVGADDKLASFSSRGPARDGTLKPDVSAPGFQVRSA WNTGNAAYNTISGTSMASPHVTGAVALYLSRNKGAKYADVYRAFTTSVDTSTLTPDNK NCGGVADARYPNNNYGYGRINVARAIGGGAVTPTTAPTNTPTTTRTTARPTPRPTPRP TPRRTTRRPRSGNKEADIAALEEGLADLLDN H257_17030 MGGVSSTWYIYSPVGTCTQPSLSSRVCDGVWDGKANTCTIWDPT FTKDKCQIKSDYQWAAKVNQTQPPVTIKQTAAPTPAPTTNPSCACTGGSNCANKVCNS CVINSICTTAFATKEECTMFNNGAYWCK H257_17031 MTQPLPDELWMHMLRYMDTTVAVFSCTQAFRRTNQRQERGVLAS LWCLGRAAGKNQVWPHLHVTRVLLDNPSSRGHVEAIVAHYSVVHLNDHLNEVFDLDWL SQFLHPTDELVWHSLPKFKDRHVAWLWYSKWVDPFHSQITAMTCGVVAPPIPWLQLTR LTSLNLGSAQMGSLAPVLEFASSTSSHLVHLDVELAKVGNGVVSDDMLRHTNHWLTTT PVQVFKFSAWKWTHQWGLSMVVDTFFQALFGSDKLRHSHARHLTIHRIKPITHNGLDC VFQALVNSQIQKLMITACGLFGSKWNRLASHVAAAQSLQCVDLSKNQMSDEGATCLAT ALRSNTSIQHLDLRLNGISEIGAEKVRMMSPVPSLQIMESKDWHK H257_17032 MATAATDDDASCPVLNRVEQQRNFGIDCGDEILFAAGAWAPGGE HTKKLHVKNVSNRTLKLKYDLPRTKYFSMEFPVLITLSPGTSRVLDIAFRPVQYEEYD DFIRVLVHIIDGGVKATSGSFRLPVKARISMLHTVIPSAGIDFGFCPTADTTEFKFPL HSTGQIDATFHWTLPDAGEHGRPFSIKPASGEIRAGQTLEMTATFSPQTASVYVVTAS FVAQEQTKNDGTTTTSSNHHHRQQRQEHSMKISGIGKYAYFAASETDLEFGELIVGGG SSLKHPTDKEFILRNRSLVRASFQIVPVETDHEPVFFFSPLRGVIPPEASVPITVKYT PLSPGTFTCDTFRIQTPGGHHVTVSCRGKAIGPRVSLWKKNLASNLIKANSLNFKDVQ VGIMSTRVLVLKNESNVAARFNFMAAPCGVFGIDKVAGVVPPLLDMSITLTFCPENAG NFYRRLFILVQNQSTIYVDILGTGYDNEVRPSPFQQAHVDAYRLRCQHHWGHLSPDGL ETLLEEKGDAYFLQGALAKQQMGGDDPKLLTRSGESVLSDVRICDEFFHVATHRSNAI VVSESLLEFFFGSPRATKSLVVTNYTQGKVTCLWRVSETNAFETPTFRIWPTICDIAP GASASFTVSFTPTRGNAYYFAELESHVFFKSNRTFRLVNPQTLTPPWCVVVHASGHSF PTPNSQFLSKVTFETAKPDLCPFPPAYVGDSVYETVSLVNASDTPALFSVVQDPCRVF WVHPPMGRIPPNGFHLVQIRFTPTHPRRYVHRLVTIVNFVTHVTLELTGTGARPQLVC VDNLHTRSPVDTVYIKPTATGLASTRLFYLHNTSRVPLVFRWQVPQALHSTFHLSPLV YRLNGNETAAITCIFSPSQLKQYNQRISVHVKSILNEKPAVSGYPMSQDTSVKLVGVG TSGAISFDPPSIQLPTVLVNSRMAISFHILNSSDCDLIYHLHVIRRRDVAASQSTTNE QGDDLTCQFISFSKPSGTLGARSQQSVELTFQANVAGIFAYKISCAVSSAPVEPSLHA AAAADGTCFHMDVDASASFPSLVIEDLRVLRTPTSTAWTQFQVPALNAFLAAPLTQHE VDFNAESSPDMTTLSSFHMQFTPATEGTPSQVAYVQLRNPGSLVVAYRIYYPSETDVE LERWADRGEPTANELRQNIIVDSKLFTISPRSGVLQPHETLVLSLSYSYESLQYDGIH DLSVVLNVSQGKKLTLILHGRTLPVSSPLLFLPSDVCVLHPVQVGQSMRSTYPLAKPC TQQIPVFNCGDQPLQVDIDDSSWSVLNARQFNFPILECRTSRVVVPAHATAFIDVDFC PLQDITYSSELRLYATALHSENTYEQSTTISVVARGYTAPLTFPHMHLSATTGGPPST SQLLAHSSSSSAALLSHDRVDFGLVCVHTDNVQLVTLTNTSCTATVGFTWDQGHPLVV SKKVLCMPSQGQLLPLQHVLIRIVVRPHIDLMVIDHDIACWIRVLSEGRGGDDDVSAP TRMTNQFNTTLQSLTTTPSTTFEYPSPSTHGNGASTTTTTSGVTRPSVITRSTVSSRS HTNRTSNTTSSPTTTSTPTTTTLSVKHQPDARGANSSNNRAPSTSGSQKHKGWRLPFH RSEVPPTTPIPPPDPVYLHIFAYVVPVEFYRQQIPPETYRRSPLPLPTNDVSYADSIA TLRQKWGYHDPKMAKQSRTVLEAVLSYLVTEVLNSGAVTEAMAELPLEPPTPVFVQFN STKRAAKASRLCDDVKRLTATVLENTMFNLIQEIACGEFDLTCLPKQLVFHTPDHDDD NDTVETIQEDRE H257_17032 MATAATDDDASCPVLNRVEQQRNFGIDCGDEILFAAGAWAPGGE HTKKLHVKNVSNRTLKLKYDLPRTKYFSMEFPVLITLSPGTSRVLDIAFRPVQYEEYD DFIRVLVHIIDGGVKATSGSFRLPVKARISMLHTVIPSAGIDFGFCPTADTTEFKFPL HSTGQIDATFHWTLPDAGEHGRPFSIKPASGEIRAGQTLEMTATFSPQTASVYVVTAS FVAQEQTKNDGTTTTSSNHHHRQQRQEHSMKISGIGKYAYFAASETDLEFGELIVGGG SSLKHPTDKEFILRNRSLVRASFQIVPVETDHEPVFFFSPLRGVIPPEASVPITVKYT PLSPGTFTCDTFRIQTPGGHHVTVSCRGKAIGPRVSLWKKNLASNLIKANSLNFKDVQ VGIMSTRVLVLKNESNVAARFNFMAAPCGVFGIDKVAGVVPPLLDMSITLTFCPENAG NFYRRLFILVQNQSTIYVDILGTGYDNEVRPSPFQQAHVDAYRLRCQHHWGHLSPDGL ETLLEEKGDAYFLQGALAKQQMGGDDPKLLTRSGESVLSDVRICDEFFHVATHRSNAI VVSESLLEFFFGSPRATKSLVVTNYTQGKVTCLWRVSETNAFETPTFRIWPTICDIAP GASASFTVSFTPTRGNAYYFAELESHVFFKSNRTFRLVNPQTLTPPWCVVVHASGHSF PTPNSQFLSKVTFETAKPDLCPFPPAYVGDSVYETVSLVNASDTPALFSVVQDPCRVF WVHPPMGRIPPNGFHLVQIRFTPTHPRRYVHRLVTIVNFVTHVTLELTGTGARPQLVC VDNLHTRSPVDTVYIKPTATGLASTRLFYLHNTSRVPLVFRWQVPQALHSTFHLSPLV YRLNGNETAAITCIFSPSQLKQYNQRISVHVKSILNEKPAVSGYPMSQDTSVKLVGVG TSGAISFDPPSIQLPTVLVNSRMAISFHILNSSDCDLIYHLHVIRRRDVAASQSTTNE QGDDLTCQFISFSKPSGTLGARSQQSVELTFQANVAGIFAYKISCAVSSAPVEPSLHA AAAADGTCFHMDVDASASFPSLVIEDLRVLRTPTSTAWTQFQVPALNAFLAAPLTQHE VDFNAESSPDMTTLSSFHMQFTPATEGTPSQVAYVQLRNPGSLVVAYRIYYPSETDVE LERWADRGEPTANELRQNIIVDSKLFTISPRSGVLQPHETLVLSLSYSYESLQYDGIH DLSVVLNVSQGKKLTLILHGRTLPVSSPLLFLPSDVCVLHPVQVGQSMRSTYPLAKPC TQQIPVFNCGDQPLQVDIDDSSWSVLNARQFNFPILECRTSRVVVPAHATAFIDVDFC PLQDITYSSELRLYATALHSENTYEQSTTISVVARGYTAPLTFPHMHLSATTGGPPST SQLLAHSSSSSAALLSHDRVDFGLVCVHTDNVQLVTLTNTSCTATVGFTWDQGHPLVV SKKVLCMPSQGQLLPLQHVLIRIVVRPHIDLMVIDHDIACWIRVLSEGRGGDDDVSAP TRMTNQFNTTLQSLTTTPSTTFEYPSPSTHGNGASTTTTTSGVTRPSVITRSTVSSRS HTNRTSNTTSSPTTTSTPTTTTLSVKHQPDAKRGANSSNNRAPSTSGSQKHKGWRLPF HRSEVPPTTPIPPPDPVYLHIFAYVVPVEFYRQQIPPETYRRSPLPLPTNDVSYADSI ATLRQKWGYHDPKMAKQSRTVLEAVLSYLVTEVLNSGAVTEAMAELPLEPPTPVFVQF NSTKRAAKASRLCDDVKRLTATVLENTMFNLIQEIACGEFDLTCLPKQLVFHTPDHDD DNDTVETIQEDRE H257_17033 MLVTSCQHREDAHADGVEHEMDVVVRGKPQRNNNVKQLYEGHFA SDTSMQQEHELLVSITVLLVLE H257_17034 MRPCASFAQKLTQGSPMVQVSDNKWSTAINATMASAVIMQGSPE PVSSTCDHPPRELDHELRIRELLPTTFLNKWASYSLAMGVL H257_17035 MASGPTYARESTPLLSASMRANEDRYYMGQDKRSLLNTAESGLS TVEAARRLKQFGPNELVDRTHKVSLGVKFLQQFGTPTAVLLWIAIAAEAATADIPDLA GLVFLQLVTAVVGWFEILKAENAATALKASLKPEAQVIRDGVHQTINAALLVPGDRVT LSGGCAVPADCDLCDQGGNHILVDEAMFTGETFPSMLGAGDTVKMGSLVTQGDTVEGI VSATGSQTFLGLVHASMPATYKQEAGLFRPMLVEITLVFSALALVLVGVCGGSLLVHG HSICDSIGFSVLLFLLSIPLSSSQVVSSSLAVGSRHLAEAKVLVTHATAIERLAALSL LYVDKTGSLTRNKMELQDELPIFAPHTTREDVLVMAALAAKWKEPPKDAIDTLVLNAI DLRPLDAYTLVNHRPLDNLKRTESTVRRPPTKSTTNGSGKNDERHDTCSRTFKVTKGA PHVLLSLCSNVDDAMREAVTSKVLELAMRGVRAIAVARTNPVTDGGTSATGGWVLLGL LTFVDPPRHDTKRTLELLHEHHVSVKMVTGDTRALAAESCRQLHLGPVVLTPSQCIDH PEYVEAADAVAEIDGPAKVKIVQLSQADGHVVGMTGLGDTASLRQANVGIAVDGATDA AKAAADVVLTKAGLSVLLHGIVLARQVCRRILTHVTYRVASSLHLLFFFVVAIGWRRR AVPRVTGLVQASTNTSSITSPSSEVEGEFSLPVIALVLLSLLNDGLLASVAPVDSVVA SLQPPTWHPRRFGVYVGSLAIVSTGLSVVWLWWLLDNAASTTSSTLTHLLQRLGLLDA TSSTLSYGQVQMAMYLQLSLSDLGLYFSARATDGGLACLVTCPGKPLGLATALSAASA TLLALFWPFQTMQRVSERLVAVTWVFVILGFGLQELAKGAAVGCLERVLPEADNSAEW QRRNRLALLHQHQAARQDGTLAIGLDSAVDRLARLDIELKAVRSVLQAASASCH H257_17036 MVERFQLVDEFAEPKQVALVRDRQNLGGVGEVLHEVARFHVSTV SLGHRGDVRDGRRAGNYPPNHWHKHEGSRGHGGDAHAEGDILVPLHGMSRCVRLGVHG ARELGAELVDVVRVVRRHVDEQRDEVLDAAERSHLPLRSRDASRLLDDRHHRVVVELV SHFQQ H257_17037 MSTTNSAYDYIVIGGGSGGVSSASRAVEYGAKVLVIERGRANGG AGMGGTCVNVGCVPKKIMYNAGFHAEILHSAKDYSFKEVVNVKFGSFDWAAMKAKRDA YVNWLTGAYEEGLGEENIDHVIGAATFVDDHTVEVNGLRFTAPHILIAVGGVPQLPTI PGIEHAISSDGFFDLPTQPKKVAVVGAGYIAVELAGIFNALKSDTVVFCRGDQVLRKF DPLVRDLVNDEMAKSGVTFVTQSHLQSIRKEADGTLTIVATVNDIRAEFPGFNAIVSA IGRIPRTFDVGLDKTTVELSTDGFVVVDAQENTTVPGVYAIGDATITGWELTPVAIAA GRRLADRLFGHEANACIHYHQIPTVIFSHPPIGTIGLTEPEAIAKYGQANVTAYTSSF SNLFYSLGKPADHKPQTAMKLVCIGVEETVVGAHVAGLGADEMIQGFGVAIKMGAYKS DFDNIVAIHPTASEEMVTMAPWGKIKDQIQLPYGTARAPPTFKQPGHL H257_17038 MAAKKSDEIDREIAAVIAEASKQDLGKPKKKAAPRLSLPDDMRN HHVGDVNAGTYTRVHLRVRAETRFGEELHCSGASYTMGQYNPSESIELVTSPDEYPVW RTVKPLILPRGIVHKYMYAVFSGGVFAHWEPIDCDRQVVPQGRDMTISEDYGTFDPHS TLVLSSPSSSVYTRVPPASSLSSKVSSSSKDHSLSVDAPLSSLTRSMSLQRFQRNKVK LQPRTTHGRHTPSDYKPSNPDSTLFLVCYHLPIDISKDPVTGTWTAAWNKDSLISRSE KSIAESMKVKWVGCITTEVTVPSTDNAPSTVVPMTDSDMAEITAVLAGMDAFAIFLPP ALARNHYQGYCKSKLWPMFHNVDILDIYSSVWEEDLHQANHDQWWEAYTAVNTRMAQA VAAAAAPHDVVWAHDYHLLLFPKLIQDHFASIHTPRPRLVFFLHVPFPTSEIFRELSN GAALLEGVLAVDVVGFHTFDHARHFLNACKRFLGLTYQSQSGVNLGVDYKGRNVVIAI SHVGIEKNLIQEAVLWPQVVAAATALRDKHKGKLLLAGVDVCQRLSGVPLKLLAFEQF FNQCPTWKDKLVLVQRVHLTSSRQGDEAYSSHEIKQLVTRITASHGADVIDYEESATP LSLADRLALWLACDMLLVTSIRGGLNLHPLEFVFAKGASKASDLHAKAGVVLLSEFSA CCCVLNGGLRINPWNITEVVNSLDRAINMSGDERLGRRARDLPYITNQPASNWTKQVL SILQDSLDVDDQSSLDFRKLDPIKIKHAYAASTRRIFLLDYGGTLIARENMPMYMKKD FTAVSGKIPTPRMLHALQTLCADPRNAVFVVSGVSQVNLTHVLGHIPSLGIAAHNGAL FSWAKSIRFGTPNEDVQGNENAKEDKSRAWYHHRLVEYDWSPIRELVDPILRTYCSRT NGSVIRYMDQGIAWNFRSCDPEWGHMQSTSLQADLEDVLKDIPVTIVRKKGLLEIVPE GLHKGVVARHILTLDAASHGGHPDFIFCMGDDTTDESMFKAIYEYYAESSTSTSSTTS STMDPSKDQQLQHVFTCTVGKKPSNAHLFVNHVDDVEELLHTLGTP H257_17039 MTTVVHRRVLAGAATALFASSVYPVYNPRCMDGAMRLDDDDPIV HATREIAFQTGVTHPENVHVYVSMDGETGGSMGANVFGRGSVCISNAVYRGFHLDENV DDEDDDDLPSKEEAEFILAHECVHLAKNHSLVMSSFVPMAMLSSASLTTKVSNKFLAT LLGVGSLVVGGIYLSWWMEYEADHGAASLGHRFHIGGLSTLERTRRRNCMFKQTHPTR WITDEGNYLADTAHPWLTTRIDHLASHNIDDCHNCAFCSLVSTPFRST H257_17040 MPSMVPRRLPRHHRRSHPPTGVDINFGSYENPPHLFAWSRSPDA ALEAEKSNETKRCDQVRPAAVPATVLSPEVVTDRSILVTPPTTTPAKGVEFVTKLSNA TINLIYHYSPHPKHKLLTWRVAKIPFQHRIPRIQAATHEYWEWLHWYSSWQLYYQQHH ELTHPLKRNPTVDVVAKPIPVTTCTRQKDKALPRRNSMSFWVDAGKPLAVSDGGPSRA KPHVKPRPTQSTPCWQSNANFEATRASSVATEVAVMPMAQMPMAAAAAPSDGKGTVSH QDTDKELTLEELAC H257_17041 MTASEISPLLRPHQPSGNSASPQSKPWMKAVLMIMLASVLCVLN VASFRSESVPESIIEEHYDVIVVGGGPAGSVVASQLLAKSPSLSVLLIEAGDATQSAL GGTFHSSFTDTSLPTHWTPFDVPFYWSHVAHLEAFHWNVSNTFIAKALGGCGIHNAML YVRALPEDILAWNMAPIWTWDVAQAIYIATEDYDGPKNLPHHGYHGRVRTTRPKLLET ASAAFVAGCAQVGDIYISFQLICAGLPQSEDFNAPNGRFGVGYYDFNIRNGVRDSAAM TFLKPLLTTPSPRFRLRLNTLVEKVNIDDKHRAVSVNIRTSTSISSIIHATEAIVLTA GAIHTPKLLTLSGIASKNVLADLDIPVVVDLPLVGNNLQDHPAIALLFQAQTPLDINF TTAWEDYIHRAATGWLSTPGLAAGAFLVPPGSTAPQLQLTFFPRNSEPQWTNASNSQL LFTIALLAPEARNRVVVTSKHMDIPVQVTSEIPQVASEHLTPVDAYKLVYGIRVVREI AASLAMQEVVGAEVMPGEGKATDEELLEWVYTSVYRNSHWVGSAKMGTTADNGVVNQR LQVLNVSRLYVADASVIPIIPNGNVHSTVVMVASHAATLIAQDLAAP H257_17041 MTASEISPLLRPHQPSGNSASPQSKPWMKAVLMIMLASVLCVLN VASFRSESVPESIIEEHYDVIVVGGGPAGSVVASQLLAKSPSLSVLLIEAGDATQSAL GGTFHSSFTDTSLPTHWTPFDVPFYWSHVAHLEAFHWNVSNTFIAKALGGCGIHNAML YVRALPEDILAWNMAPIWTWDVAQAIYIATEDYDGPKNLPHHGYHGRVRTTRPKLLET ASAAFVAGCAQAGLPQSEDFNAPNGRFGVGYYDFNIRNGVRDSAAMTFLKPLLTTPSP RFRLRLNTLVEKVNIDDKHRAVSVNIRTSTSISSIIHATEAIVLTAGAIHTPKLLTLS GIASKNVLADLDIPVVVDLPLVGNNLQDHPAIALLFQAQTPLDINFTTAWEDYIHRAA TGWLSTPGLAAGAFLVPPGSTAPQLQLTFFPRNSEPQWTNASNSQLLFTIALLAPEAR NRVVVTSKHMDIPVQVTSEIPQVASEHLTPVDAYKLVYGIRVVREIAASLAMQEVVGA EVMPGEGKATDEELLEWVYTSVYRNSHWVGSAKMGTTADNGVVNQRLQVLNVSRLYVA DASVIPIIPNGNVHSTVVMVASHAATLIAQDLAAP H257_17041 MTASEISPLLRPHQPSGNSASPQSKPWMKAVLMIMLASVLCVLN VASFRSESVPESIIEEHYDVIVVGGGPAGSVVASQLLAKSPSLSVLLIEAGDATQSAL GGTFHSSFTDTSLPTHWTPFDVPFYWSHVAHLEAFHWNVSNTFIAKALGGCGIHNAML YVRALPEDILAWNMAPIWTWDVAQAIYIATEDYDGPKNLPHHGYHGRVRTTRPKLLET ASAAFVAGCAQAGLPQSEDFNAPNGRFGVGYYDFNIRNGVRDSAAMTFLKPLLTTPSP RFRLRLNTLVEKVNIDDKHRAVSVNIRTSTSISSIIHATEAIVLTAGAIHTPKLLTLS GIASKNVLADLDIPVVVDLPLVGNNLQDHPAIALLFQAQTPLDINFTTAWEDYIHRAA TGWLSTPGLAAGAFLVPPGSTAPQLQLTFFPRNSEPQWTNASNSQLLFTIALLGTICI GCHIVCNHTN H257_17041 MTVKEHYDVIVVGGGPAGSVVASQLLAKSPSLSVLLIEAGDATQ SALGGTFHSSFTDTSLPTHWTPFDVPFYWSHVAHLEAFHWNVSNTFIAKALGGCGIHN AMLYVRALPEDILAWNMAPIWTWDVAQAIYIATEDYDGPKNLPHHGYHGRVRTTRPKL LETASAAFVAGCAQAGLPQSEDFNAPNGRFGVGYYDFNIRNGVRDSAAMTFLKPLLTT PSPRFRLRLNTLVEKVNIDDKHRAVSVNIRTSTSISSIIHATEAIVLTAGAIHTPKLL TLSGIASKNVLADLDIPVVVDLPLVGNNLQDHPAIALLFQAQTPLDINFTTAWEDYIH RAATGWLSTPGLAAGAFLVPPGSTAPQLQLTFFPRNSEPQWTNASNSQLLFTIALLAP EARNRVVVTSKHMDIPVQVTSEIPQVASEHLTPVDAYKLVYGIRVVREIAASLAMQEV VGAEVMPGEGKATDEELLEWVYTSVYRNSHWVGSAKMGTTADNGVVNQRLQVLNVSRL YVADASVIPIIPNGNVHSTVVMVASHAATLIAQDLAAP H257_17042 MRVDTKFLVRGLGFFNDAYDLFVMNVVNVVLEEEFGKDVYTPAM KGSVSAAALIGAVFGQIMFGYLADVLGRRVNMIITCAILILGGVICACASGGSPTGTL WVLVVARGILGVGIGGEYPLAAAASAEDASSVEERNRRVALTFSLQGLGSLTAAIMGN LYVAGYAPGPRGSASKDDLDFIWRSLFGIGIIPAAFVFFFRYRAEETATFQQAQQQQQ QHHGLAKTNSTTTPTKATSQICNNIPVRFVLQVYGRWILGTAGTWFLFDIVFYAQNLF SASILSVVGISEPTLTNVTTQNVVVACVALPGYYVAVYFINRLGRRKMQLQGFTCMML LFMILSSVWSDLQHDTTGFVLLYGLALFFSNFGPNTSTFVLPTEMFPTAIRARCHGFS AAMGKFCAAIGSYGFARSSGQDNLGATFGAFAVFCFISIPLTLYCTFDNPSPLSDGDA EFDRRLRIFRGTALQDEDFNDIDDDDLADEEVDVDIAASRIIHHGPQSV H257_17043 MVFGQPWRPRQHRQVQQQKHQQRWHRHQQQNHLRPLLESGPTPA PTVNQARVCAAGNLKYPKKVCHDCVINRVCTSFVNLNAASSVQCGAEIDTLYVTYIKF H257_17044 MVLSTNERTKVPHHDPCVVHSGLRSFALVGPVGHYNELSLRLFP HFALLVTVVDFAHTVRSSNALLLVVRLCNRRAPQQNPQQNRYNVCGAEF H257_17045 MSCAPAKRPPVHRTYAFKQEALQAWDELKGGGTTLVHYCRARKI PVSTFEKWLIKKDEIASVCTADVNKHVLSLQTNKSRKTSKKRKKLCVDSRHQELHEWL VAAPKPLYTGAMRDKVRALWPEWSDEDHPECKTPHFLAKWCRRVAVRFDHTAGSTASS REASQASSGDDGDFWEDEGSQTQPGDDGDFWVGVAIPDGEIPAAKRQWLCPETMVHTG TTGGHAYVRQPHRKRIMHPIANDDIAQGDFRDDYHFDALLARDSFSAPSSSCDRVVAR ATATRQTRSVPPISQESSNRALVQLPCHPKTDATTPAQPKPATSHPCDTAHVIWTSPT PLRTSRGASTTENLTIGKRSQVAPNATVPPTPAQNASQCCIAGHAAANGEDCFIESEI RRKYLELDAYLQSTTQDEVPPVRSDYAPCATAHTQSKPKADPHYTTFLSRQASASRVL GHMTPYATLTGPHLSPTSTHAAPASTATKPTTNPLPNTDNEGHREDPPPPS H257_17048 MEREQQLKKKYEQHQVRMKFEVVPPTALTEVYHHIDDCLPATNS LAPRRARSSRGVAFGTDTHNPHCRRCSKQFPCTLVQLCDNLITQTECAPGRCSANELC RNQAISKKLFPPTTIVDDSKLTHALRVDESVPAGTKIIEYVGEHIGKEEVAARKEKRH GAKDWYIVRVGTNGDLYVDASKFGNNSRFINHAYVPNCRFEVWYVDTKPRLMVVANTA LEQGTILTLSYMNAALAALELVDFMASVHHGEHILTTAHLVTWLKTYQLEWLAEYMSS KPTDERTYKSLVQWCLRFGNPHGYHHRVPYLVKSTQEELAVTQETFAADFGSTFGHLP KHA H257_17049 MPLLLIVKGRPGGDIATKEVPTYPAGPVYAVQKTAYMNQRVWNM YLREVLKPELDCPSVLLTDNLKYHLSKKSYKIMQDELYSGAFLQPLSANTTSVQQPLD VGVMGPFKQMCHTEWIKEGKVVTATAKRLVMIKRAIKVWDGMKEDTAASDLTLLETSL EDFGEAARQGMDMDEAPVNPIMDKFVNVLGPEGIRSLTNFTVSEIESLWSIVDDALNA AWLEGRGRKSTTSPKNRLFMTLTVMKQFCSWDKHAADFSFKAPTFEKMIMRTVSKIEP VLSNKFIVCPSMATLTETGCRFTNYPYALYAVDVKFQPSLRPTGRFSEQKHYFSGKHH LYGYKIETAVSPDGRCVAMSDAHPGSVHDLTIMRTRRDVHKANLTKTPREAALSDHGE LSTAFPTYWPCLVDMDYIRIAHEVRGIHPKRRPANGALDANDHECNRRVSSDRVVVEN FFGRVCSLWRISSTTFTWGEKIYLSLQKTTFALTNFHLLLLPMLAEDENYYAMVLARY QRMASEKKRKRAETQHRYRLRKSNCVFCK H257_17050 METCSMCEAARNGWPEAEEGQELCAVHAVPSTAQDVPPPARKRG RPKGAKNKAKVQDVQGESTPKKRGRPPKSSSSANMPSTPSEADVTPIPVGVSEFTPDH KSIRSETMFRNVSFRPLREMQIHKNDKKLLACYADCQDFMLSGVVTDVRYVVDSENDP DIDSPGKNTKAEVKAMVALYKITWNHTRFQRDEPWITARLFY H257_17051 MDNWYSSVELCLTLLKMGMYCRGMVRSNRAHNPRFGMFDKKQIK SVMRGSSLVSVATSLGIIAVSWLDGTVVNMLSTADATTKSYVHRRIGSETRQQECLSL VGLYNKYMQGVDRHDQLRERFSIASGASFKHWYKKLGFALVDIAITNLYVLYTLCEPV NRRDSHMHFQTKLANQMLFETDWTLFSETQVPMEYANVPMTQSAKVLKKAAADKAAAE GKAAALKRDASLRQPPTPSFTCRATDKQAEVSYDQRMRRYCVVCYFERNKELIKTQWC DVHKVYLCTKAYVPSDQQVPAHVCPHAAWSCWDKFHSFYHPKGLFKKDGKMDRGSELY RLKKHSVMEHKASSAKKTLIFL H257_17052 MTAVMTDRITTTAATRTTRSLDVEAVVEAAAVPGLLRVKMTAAV AHTRLPIQMTAAKCKLETPDGVHHHH H257_17053 MPIIVHDAIVLEDATSAASPEAGVLGNAPSFARKKCAPAVPATS AASSEAGAVPAPSQTAEVDAVPAPEEVAQVVAPPLQVDVVAAGDDDLVVAPLEGGN H257_17054 MNVELPGSDHDEERETRSPSDRSASPTAGSAFQDRFQDRGRPRS QETVRRLFRSPQGRRQPVAVDESWTYRRPTGPAPDSTEPTDAPVAEKEDVDMSHTDVY LHNAPVLPKNPTFKGSTKEERRTFMATYNLYISQTTALTVNGTQQFVMPVNACIDPAS K H257_17055 MGKNPYEVTEAEWVAWFRQGYDVDIRALDSLKKLIKAAVVFDMS VQDADSRIGKMLDGQAAAIPRDRQEWVIN H257_17056 MALTRKKSLKKDVYRFIRWLREYAIGHERFVGYKEDTKPAAKPD PPKTNQGGTHGLRTAPTQSTPRAPATATTPQAPLGLTSANSSLKCKSTNHRVRECPGI TEEEAVKPPKPHGRVLGRGRSDGDRGRRDGGRGNGPQGVLTVKASLLDSGADLSVASG GLVSALLAEGAAPEITIMGPFSLRPY H257_17057 MFTVFCVVEGNGRPFPVDIAGTVGHLKEGIKKAKMYQFPSDQLD LYRVEGLVQAQDGQFLLNGNPIDLTRASLDSLGDHKANMPAASLIAECFDTTNAPLAR KIHVVVARLDDLPNTSYKRWTKLDAVMDQYKSWHGGVYGAPLADVSWSDVGWVFDKYT IHQELPREVIAPDHMGAIYACLKLGTKALGGVVGSNESTRLFFITSI H257_17058 MTALAAALGPWLNAWGLSRLPKLFACLPHMQRVVMLVAIWNNRL DVVQYLHKTVGLEWFQGDKLLDVAARCNHLGMVLYLHEHGCGGCTADAMDAAAGNGNL AIVRYLHQHRGEGGTKHGCFESAKNGHLDVLTYLNQHVTAIDHNDEGWINVFDEVATN GHLETVQYLHPYVEKCTSSAMDDAAKNGHLDVVQWLHVNRHEGCSYEAMVSLASGLDK TLSLVLRSTH H257_17059 MFYSQLILAKKGPLGQVWLAAHWDKKLTKATITAADVGQAADSI ANPVVPLALRVSGHLLLGVTRIYSRKVNYLFTDCSEALVKIKMAFRPGVVDLPEQQVT ANPSSINVSSFGEFDAHIPYDIHALVAPSLTEWMTTPSQTQARRQDITLADTSDRHQD DSLGGKDSFEDSFGGGDWQAFDIDTNQELDSSTISDIERARDGDASSLVAVPKDASLL LDNSDAELNKPADNTMDDVDMFQTDKDDDIDMMQVEVPDIDMNPEDPYADHGLDMAPP LSPPPRPEDNASSQTIDFAIEEQDKSSLEPQTPSQKKLRKRKIGRDSMTELSSASIKK GLKDVSDIVRVRGNNTSSKRIKVHNEEEDPMPRLSAPSTSASMSSTLLGLFRVSMMAQ PTTLLSSCTCTDQGAGKQTQDDQQPVERMRRQSVQGVVSYAEEGGDEDDIVDGRGLKS VIGGGDDMDKVAEFEFGGGLEPLHHADHGVDMQDEEEEKEDEHDIHLDLDLTLAPVND ILANNEDDHHSGATPNDHHKWHPHTIKVLKVLRQSLEDKDTVSYRALAKTTRSRRTAA ALFFEMLQLKTLDLIEVAQPNAYGNIHISKAPRFMEHIPAVDGE H257_17061 MAFAGESTTSVDGHADSPGSNKWLVGGLAAERRSPGEGTKVMLK PSQTLRPALRKLRQLRGSPSTSPLPMRSLRDRSADAVIPSFHQSILDFNALIDADLEE HVTASTQVKSPQPPTPHRANITRLSSQFFLQDSPHPHDDPPCGWNPFANSFSITRNA H257_17060 MDEEEFAHYAEVLLSMKEYEGFVWREGFRKKQHLKRLNEKHARR LPAFTVKDSIPAMLRYAKTNQEFWDQVCAMQANFGPEVDLPSHINLKQPMKTPYRHYS KLKSTLHQLVRDWAVEGAEERERCYQPIVDELKRVLPVGPDNRNQQKVLVPGAGLGRL ALEIVSAGYATQGNEFSYQMLFTSNFILNCARQPDAYTVHPWIDNPSNVMSFDDFGRA VSIPDVSPDSLLALTSSSSPGGAEPNFSMCAGEFLEVYADERETWDCIVTCFFIDAAP NVIEFIEAFERLLKPGGVWINLGPLLYHWQNGSGADDDRYDQSIELSYEEIKHVAEGY NFKFTREERKECLYTCNPTSMLRSVYNCIMFTAIKGTPLEPCSPSTKAPSSKK H257_17060 MDEEEFAHYAEVLLSMKEYEGFVWREGFRKKQHLKRLNEKHARR LPAFTVKDSIPAMLRYAKTNQEFWDQVCAMQANFGPEVDLPSHINLKQPMKTPYRHYS KLKSTLHQLVRDWAVEGAEERERCYQPIVDELKRVLPVGPDNRNQQKVLVPGAGLGRL ALEIVSAGYATQGNEFSYQMLFTSNFILNCARQPDAYTVHPWIDNPSNVMSFDDFGRA VSIPDVSPDSLLALTSSSSPGGAEPNFSMCAGEFLEVYADERETWDCIVTCFFIDAAP NVIEFIEAFERLLKPGGVWINLGPLLYHWQNGSGADDDRYDQSIELSYEEIKHVAEGY NFKFTVQCIVYIVTCIV H257_17060 MDEEEFAHYAEVLLSMKEYEGFVWREGFRKKQHLKRLNEKHARR LPAFTVKDSIPAMLRYAKTNQEFWDQVCAMQANFGPEVDLPSHINLKQPMKTPYRHYS KLKSTLHQLVRDWAVEGAEERERCYQPIVDELKRVLPVGPDNRNQQKVLVPGAGLGRL ALEIVSAGYATQGNEFSYQMLFTSNFILNCARQPDAYTVHPWIDNPSNVMSFDDFGRA VSIPDVSPDSLLALTSSSSPGGAEPNFSMCAGEFLEVYADERETWDCIVTCFFIDAAP NVIEFIEAFERLLKPGKTLDFANITHLSNIYIYISNESYL H257_17060 MDEEEFAHYAEVLLSMKEYEGFVWREGFRKKQHLKRLNEKHARR LPAFTVKDSIPAMLRYAKTNQEFWDQVCAMQANFGPEVDLPSHINLKQPMKTPYRHYS KLKSTLHQLVRDWAVEGAEERERCYQPIVDELKRVLPVGPDNRNQQKVLVPGAGLGRL ALEIVSAGYATQGNEFSYQMLFTSNFILNCARQPDAYTVHPWIDNPSNVMSFDDFGRA VSIPDVSPDSLLALTSSSSPGGAEPNFSMCAGEFLEVYADERETWDCIVTCFFIDAAP NVIEFIEAFERLLKPGKTLDFANITHLSNIYIYISNESYL H257_17062 MLDQLLARVRQAGSSKHVNIVAYSGGVDSSLVAALVHRVFPENS IACLGVSAALPQDQLLLARNVASAIGIPLWEARTSEGNDPRYVENKGQSCYYCKTNLY TTLNQVAAHVKAQGCSSSPGVIPVMFNGTNADDKLDPTRLGTNVHIYSSSSTLVLFIM FCIGLVAATEFQVVSPLEHLSKASVRQISKDLGLPNWNYAASPCLRSRLAFGVSANPD HLARIEKAEGEVRSSLALARTDNLRVRFLANNRAAVELDAEKERALLPTQIHHVETIC RDLGFDHVVVRSFKSGSVSGYTPSSSSGP H257_17062 MLDQLLARVRQAGSSKHVNIVAYSGGVDSSLVAALVHRVFPENS IACLGVSAALPQDQLLLARNVASAIGIPLWEARTSEGNDPRYVENKGQSCYYCKTNLY TTLNQVAAHVKAQGCSSSPGVIPVMFNGTNADDKLDPTRLGLVAATEFQVVSPLEHLS KASVRQISKDLGLPNWNYAASPCLRSRLAFGVSANPDHLARIEKAEGEVRSSLALART DNLRVRFLANNRAAVELDAEKERALLPTQIHHVETICRDLGFDHVVVRSFKSGSVSGY TPSSSSGP H257_17062 MLDQLLARVRQAGSSKHVNIVAYSGGVDSSLVAALVHRVFPENS IACLGVSAALPQDQLLLARNVASAIGIPLWEARTSEGNDPRYVENKGQSCYYCKTNLY TTLNQVAAHVKAQGSSPGVIPVMFNGTNADDKLDPTRLGLVAATEFQVVSPLEHLSKA SVRQISKDLGLPNWNYAASPCLRSRLAFGVSANPDHLARIEKAEGEVRSSLALARTDN LRVRFLANNRAAVELDAEKERALLPTQIHHVETICRDLGFDHVVVRSFKSGSVSGYTP SSSSGP H257_17062 MLDQLLARVRQAGSSKHVNIVAYSGGVDSSLVAALVHRVFPENS IACLGVSAALPQDQLLLARNVASAIGIPLWEARTSEGNDPRYVENKGQSCYYCKTNLY TTLNQVAAHVKAQGCSSSPGVIPVMFNGTNADDKLDPTRLGTNVHIYSSSSTLVLFIM FCIGLVAATEFQVVSPLEHLSKASVRQISKDLGLPNWNYAASPCLRSRLAFGVSANPD HLARIEKAEGEVRSSLALARTDNLRVRFLANNRAAVANSVDDS H257_17062 MLDQLLARVRQAGSSKHVNIVAYSGGVDSSLVAALVHRVFPENS IACLGVSAALPQDQLLLARNVASAIGIPLWEARTSEGNDPRYVENKGQSCYYCKTNLY TTLNQVAAHVKAQGCSSSPGVIPVMFNGTNADDKLDPTRLGLVAATEFQVVSPLEHLS KASVRQISKDLGLPNWNYAASPCLRSRLAFGVSANPDHLARIEKAEGEVRSSLALART DNLRVRFLANNRAAVANSVDDS H257_17063 MSLPEYDTVGWGIITGSPWWPMYVCDPNKLRPKLHRMGGEHATV LKKAKNFPNDYRVVYWFGEPSFSLMRKGTMKAWNGEEHASLVEGHPKGPFTGSKKQAP STTIERLQIAIQEAEDFLSQDENMRLLPNMVPSDMNPSMPTPPPDDDSEDEAADDDDD DDGDVEKDDVDVAAADGGDDDDDDEKPLKAKKKDSKEAKKSKKKKPSSKRKASKSSDD KAKKRKKKSSKDEKPPKPPKVSEVDTSAPLSDVRGGADDALKVRIEHEIRQILLTGDM ELLTTRKIRKHLTETLQMDLKDHKDTIKEVVHRIIAGMEIPAGTAAAAADKKESKEEP GLLPEPSDVLRGIQNASGLDDLHQHVETLVTIASQLNEDQIASISARVIDWTTHKDSR ISELAKSLVATWKLQLPSTSSLLGLDEIEALKTTLEIEGTPIDVMLESLAALSKEPIA LDLLKKTKIIVTVTQLRHHTNDRVASAAKDLRYQWKKYFTEPMSKVDAIRRELEKHAT DHEAHVATLHALDAMQLSTQQLVDSQIGQVVSKLRKSKNTTVATTAHDLITKWKEKAS DEKRK H257_17063 MSLPEYDTVGWGIITGSPWWPMYVCDPNKLRPKLHRMGGEHATV LKKAKNFPNDYRVVYWFGEPSFSLMRKGTMKAWNGEEHASLVEGHPKGPFTGSKKQAP STTIERLQIAIQEAEDFLSQDENMRLLPNMVPSDMNPSMPTPPPDDDSEDEAADDDDD DDGDVEKDDVDVAAADGGDDDDDDEKPLKAKKKDSKEAKKSKKKKPSSKRKASKSSDD KAKKRKKKSSKDEKPPKPPKVSEVDTSAPLSDVRGGADDALKVRIEHEIRQILLTGDM ELLTTRKIRKHLTETLQMDLKDHKDTIKEVVHRIIAGMEIPAGTAAAAADKKESKEEP GLLPEPSDVLRGIQNASGLDDLHQHVETLVTIASQLNEDQIASISARVIDWTTHKDSR ISELAKSLVATWKLQLPSTSSLLGLDEIEALKTTLEIEGTPIDVMLESLAALSKEPIA LDLLKKTKIIVTVTQLRHHTNDRVASAAKDLRYQWKKYFTEPMSKVDAIRRELEKHAT DHEAHVATLHALDAMQLSTQQLVDSQIGQVVSKLRKSKYTHIY H257_17063 MRKGTMKAWNGEEHASLVEGHPKGPFTGSKKQAPSTTIERLQIA IQEAEDFLSQDENMRLLPNMVPSDMNPSMPTPPPDDDSEDEAADDDDDDDGDVEKDDV DVAAADGGDDDDDDEKPLKAKKKDSKEAKKSKKKKPSSKRKASKSSDDKAKKRKKKSS KDEKPPKPPKVSEVDTSAPLSDVRGGADDALKVRIEHEIRQILLTGDMELLTTRKIRK HLTETLQMDLKDHKDTIKEVVHRIIAGMEIPAGTAAAAADKKESKEEPGLLPEPSDVL RGIQNASGLDDLHQHVETLVTIASQLNEDQIASISARVIDWTTHKDSRISELAKSLVA TWKLQLPSTSSLLGLDEIEALKTTLEIEGTPIDVMLESLAALSKEPIALDLLKKTKII VTVTQLRHHTNDRVASAAKDLRYQWKKYFTEPMSKVDAIRRELEKHATDHEAHVATLH ALDAMQLSTQQLVDSQIGQVVSKLRKSKYTHIY H257_17064 MATAGVPSYLRSTSSSKAKRETKNAKTKAADFPIRRTAPSSSNG ATSTSTDDTTFRVRPPAAPISIAIPAPSVSPKVGSTGGATSSLLLLQSKPPMGESRQV KFSKPDSLEKVQRTTATQKSVPNYMRHTVSRGYKEGDLQHPADAAKSVKPAREPAKSG AREPARLAPPSKRAVPLQRTSRPSSSLARQPAAASAAVRRRGDIPVKDEQEVKRPAPP LPPENQENVVPEAFSDASQVIPDEGKASSPDLSGQPGGGGVDEYTQDRRPRSTSPNYM EDIQRGEDGPMADDRVVAALRDEIKALTARLHENDIVCREYQQSLDTAERNMEKMTNA CLQYKQKCETYADSSLTLKRQHDTLEQDLIESKMQLTDLKNAASVTPVYDASVLELKI QVMTMESEKSQTEHQLEHLNRICGELQIQINTFETNELAWQTERDNLRRQDASLRETV EALQQQLHHAPFKAKDESVPAAAAAMCQDMDTQDTLRAKIHALELTIEAKTAEINRLT EEHVGFQEQALVKQRTEMKEQLATQERLVSTLETQLAAAALAQDSIAQDHRIQRARLD EDIQQLLCDKASLESQAADHVAKLTAAEEKICELTYLVEESQANDPKDARAQVENQLT THNAIIASYEAEMADMKAQLSTASAELATTRDMDAASNTKIALLESEGKKLEDTVRSL HEARHHDEASTRAVEAMVVQLQTELEAEKAASRDLRIELAQSQSFVESFQVQLDELER QKVDSQSTYEGNCKRIFQLEGANVELENAIREYQAERERVALELASSTQARDVAAKTL DEEVCRGRQQATTIDELHAKIDGLETEVAKQSALAATYAVEMAQLDAVRSERNVLVEA AQASDATIVSLGLEVAGLDSKLNKTISEYEQRLGEAAAELDHVKTSASQTLVREVSGW KEKYEALTLAGDYQANQLKSTLHQLEVIQASDTQSKATLEQDVEAKYLAMQTHQQQSH DDQVKRLTSQLDNMRTNCDDQIEALEAQVNALRLQLSHARGHKETCGLMEQDLAHQIL LVESHTHQLLNAQKRVADLTCQNLQLKEDIEAGSMKLSSREATVLELQQQDSISRDKI QHLEAKLKAFVDSHERVPTPVDVVVVPTTMPVQSVESEAEFPPLMTNGVIVLHADETD MYAGVVNAKSQRPTAIIPSLAAVPRGGMTELQRVLQGSSYSGSGSLRIGYDHGYFLGK DAANVLYDHPDPALRGILTEERLFQDGAIASFEHFEGLLKQMLAAVGADTNPDTYKIV MTHKPLIGKAHREKMVQSLFEAGQFQSVFLTTDAQMSLRAVAKSTGLVVDVGADTTYI VPIYEDMLLEHAVVKLPFGQDHVVNFMVSMLLSQDCDDFHMIPARVQRRIARSILDSH GLVASDFFDMTEQYGGFRRKDVHVLPTPDHPLVWVKESPSQTTHPLRASYAQQLPSGR TLTLEYDIERFVCPELLWNPSLDPDCACKTSLHAAILKSLSLCDPFLQEDLIARVVCC GVLTSLPGFKERLYREVQKSTPQHVVGVEILPKSLHAAFTGATMYAQGLRESVWISRD DYDRHGPAIVHSKCF H257_17064 MATAGVPSYLRSTSSSKAKRETKNAKTKAADFPIRRTAPSSSNG ATSTSTDDTTFRVRPPAAPISIAIPAPSVSPKVGSTGGATSSLLLLQSKPPMGESRQV KFSKPDSLEKVQRTTATQKSVPNYMRHTVSRGYKEGDLQHPADAAKSVKPAREPAKSG AREPARLAPPSKRAVPLQRTSRPSSSLARQPAAASAAVRRRGDIPVKDEQEVKRPAPP LPPENQENVVPEAFSDASQVIPDEGKASSPDLSGQPGGGGVDEYTQDRRPRSTSPNYM EDIQRGEDGPMADDRVVAALRDEIKALTARLHENDIVCREYQQSLDTAERNMEKMTNA CLQYKQKCETYADSSLTLKRQHDTLEQDLIESKMQLTDLKNAASVTPVYDASVLELKI QVMTMESEKSQTEHQLEHLNRICGELQIQINTFETNELAWQTERDNLRRQDASLRETV EALQQQLHHAPFKAKDESVPAAAAAMCQDMDTQDTLRAKIHALELTIEAKTAEINRLT EEHVGFQEQALVKQRTEMKEQLATQERLVSTLETQLAAAALAQDSIAQDHRIQRARLD EDIQQLLCDKASLESQAADHVAKLTAAEEKICELTYLVEESQANDPKDARAQVENQLT THNAIIASYEAEMADMKAQLSTASAELATTRDMDAASNTKIALLESEGKKLEDTVRSL HEARHHDEASTRAVEAMVVQLQTELEAEKAASRDLRIELAQSQSFVESFQVQLDELER QKVDSQSTYEGNCKRIFQLEGANVELENAIREYQAERERVALELASSTQARDVAAKTL DEEVCRGRQQATTIDELHAKIDGLETEVAKQSALAATYAVEMAQLDAVRSERNVLVEA AQASDATIVSLGLEVAGLDSKLNKTISEYEQRLGEAAAELDHVKTSASQTLVREVSGW KEKYEALTLAGDYQANQLKSTLHQLEVIQASDTQSKATLEQDVEAKYLAMQTHQQQSH DDQVKRLTSQLDNMRTNCDDQIEALEAQVNALRLQLSHARGHKETCGLMEQDLAHQIL LVESHTHQLLNAQKRVADLTCQNLQLKEDIEAGSMKLSSREATVLELQQQDSISRDKI QHLEAKLKAFVDSHERVPTPVVDVVVVPTTMPVQSVESEAEFPPLMTNGVIVLHADET DMYAGVVNAKSQRPTAIIPSLAAVPRGGMTELQRVLQGSSYSGSGSLRIGYDHGYFLG KDAANVLYDHPDPALRGILTEERLFQDGAIASFEHFEGLLKQMLAAVGADTNPDTYKI VMTHKPLIGKAHREKMVQSLFEAGQFQSVFLTTDAQMSLRAVAKSTGLVVDVGADTTY IVPIYEDMLLEHAVVKLPFGQDHVVNFMVSMLLSQDCDDFHMIPARVQRRIARSILDS HGLVASDFFDMTEQYGGFRRKDVHVLPTPDHPLVWVKESPSQTTHPLRASYAQQLPSG RTLTLEYDIERFVCPELLWNPSLDPDCACKTSLHAAILKSLSLCDPFLQEDLIARVVC CGVLTSLPGFKERLYREVQKSTPQHVVGVEILPKSLHAAFTGATMYAQGLRESVWISR DDYDRHGPAIVHSKCF H257_17064 MATAGVPSYLRSTSSSKAKRETKNAKTKAADFPIRRTAPSSSNG ATSTSTDDTTFRVRPPAAPISIAIPAPSVSPKVGSTGGATSSLLLLQSKPPMGESRQV KFSKPDSLEKVQRTTATQKSVPNYMRHTVSRGYKEGDLQHPADAAKSVKPAREPAKSG AREPARLAPPSKRAVPLQRTSRPSSSLARQPAAASAAVRRRGDIPVKDEQEVKRPAPP LPPENQENVVPEAFSDASQVIPDEGKASSPDLSGQPGGGGVDEYTQDRRPRSTSPNYM EDIQRGEDGPMADDRVVAALRDEIKALTARLHENDIVCREYQQSLDTAERNMEKMTNA CLQYKQKCETYADSSLTLKRQHDTLEQDLIESKMQLTDLKNAASVTPVYDASVLELKI QVMTMESEKSQTEHQLEHLNRICGELQIQINTFETNELAWQTERDNLRRQDASLRETV EALQQQLHHAPFKAKDESVPAAAAAMCQDMDTQDTLRAKIHALELTIEAKTAEINRLT EEHVGFQEQALVKQRTEMKEQLATQERLVSTLETQLAAAALAQDSIAQDHRIQRARLD EDIQQLLCDKASLESQAADHVAKLTAAEEKICELTYLVEESQANDPKDARAQVENQLT THNAIIASYEAEMADMKAQLSTASAELATTRDMDAASNTKIALLESEGKKLEDTVRSL HEARHHDEASTRAVEAMVVQLQTELEAEKAASRDLRIELAQSQSFVESFQVQLDELER QKVDSQSTYEGNCKRIFQLEGANVELENAIREYQAERERVALELASSTQARDVAAKTL DEEVCRGRQQATTIDELHAKIDGLETEVAKQSALAATYAVEMAQLDAVRSERNVLVEA AQASDATIVSLGLEVAGLDSKLNKTISEYEQRLGEAAAELDHVKTSASQTLVREVSGW KEKYEALTLAGDYQANQLKSTLHQLEVIQASDTQSKATLEQDVEAKYLAMQTHQQQSH DDQVKRLTSQLDNMRTNCDDQIEALEAQVNALRLQLSHARGHKETCGLMEQDLAHQIL LVESHTHQLLNAQKRVADLTCQNLQLKEDIEAGSMKLSSREATVLELQQQDSISRDKI QHLEAKLKAFVDSHERVPTPVVDVVVVPTTMPVQSVESEAEFPPLMTNGVIVLHADET DMYAGVVNAKSQRPTAIIPSLAAVPRGGMTELQRVLQGSSYSGSGSLRIGYDHGYFLG KDAANVLYDHPDPALRGILTEERLFQDGAIASFEHFEGLLKQMLAAVGADTNPDTYKI VMTHKPLIGKAHREKMVQSLFEAGQFQSVFLTTDAQMSLRAVAKSTGLVVDVGADTTY IVPIYEDMLLEHAVVKLPFGQDHVVNFMVSMLLSQDCDDFHMIPARVQRRIARSILDS HGLVASDFFDMTEQYGGFRRKDVHVLPTPDHPLVWVKESPSQTTHPLRASYAQQLPSG RTLTLEYDIERFVCPELLWNPSLDPGRHTNINKYPSHWTMHPHADCACKTSLHAAILK SLSLCDPFLQEDLIARVVCCGVLTSLPGFKERLYREVQKSTPQHVVGVEILPKSLHAA FTGATMYAQGLRESVWISRDDYDRHGPAIVHSKCF H257_17064 MATAGVPSYLRSTSSSKAKRETKNAKTKAADFPIRRTAPSSSNG ATSTSTDDTTFRVRPPAAPISIAIPAPSVSPKVGSTGGATSSLLLLQSKPPMGESRQV KFSKPDSLEKVQRTTATQKSVPNYMRHTVSRGYKEGDLQHPADAAKSVKPAREPAKSG AREPARLAPPSKRAVPLQRTSRPSSSLARQPAAASAAVRRRGDIPVKDEQEVKRPAPP LPPENQENVVPEAFSDASQVIPDEGKASSPDLSGQPGGGGVDEYTQDRRPRSTSPNYM EDIQRGEDGPMADDRVVAALRDEIKALTARLHENDIVCREYQQSLDTAERNMEKMTNA CLQYKQKCETYADSSLTLKRQHDTLEQDLIESKMQLTDLKNAASVTPVYDASVLELKI QVMTMESEKSQTEHQLEHLNRICGELQIQINTFETNELAWQTERDNLRRQDASLRETV EALQQQLHHAPFKAKDESVPAAAAAMCQDMDTQDTLRAKIHALELTIEAKTAEINRLT EEHVGFQEQALVKQRTEMKEQLATQERLVSTLETQLAAAALAQDSIAQDHRIQRARLD EDIQQLLCDKASLESQAADHVAKLTAAEEKICELTYLVEESQANDPKDARAQVENQLT THNAIIASYEAEMADMKAQLSTASAELATTRDMDAASNTKIALLESEGKKLEDTVRSL HEARHHDEASTRAVEAMVVQLQTELEAEKAASRDLRIELAQSQSFVESFQVQLDELER QKVDSQSTYEGNCKRIFQLEGANVELENAIREYQAERERVALELASSTQARDVAAKTL DEEVCRGRQQATTIDELHAKIDGLETEVAKQSALAATYAVEMAQLDAVRSERNVLVEA AQASDATIVSLGLEVAGLDSKLNKTISEYEQRLGEAAAELDHVKTSASQTLVREVSGW KEKYEALTLAGDYQANQLKSTLHQLEVIQASDTQSKATLEQDVEAKYLAMQTHQQQSH DDQVKRLTSQLDNMRTNCDDQIEALEAQVNALRLQLSHARGHKETCGLMEQDLAHQIL LVESHTHQLLNAQKRVADLTCQNLQLKEDIEAGSMKLSSREATVLELQQQDSISRDKI QHLEAKLKAFVDSHERVPTPVVDVVVVPTTMPVQSVESEAEFPPLMTNGVIVLHADET DMYAGVVNAKSQRPTAIIPSLAAVPRGGMTELQRVLQGSSYSGSGSLRIGYDHGYFLG KDAANVLYDHPDPALRGILTEERLFQDGAIASFEHFEGLLKQMLAAVGADTNPDTYKI VMTHKPLIGKAHREKMVQSLFEAGQFQSVFLTTDAQMSLRAVAKSTGLVVDVGADTTY IVPIYEDMLLEHAVVKLPFGQDHVVNFMVSMLLSQDCDDFHMIPARVQRRIARSILDS HGLVASDFFDMTEQYGGFRRKDVHVLPTPDHPLLARVVRTTIAQWTYIDARV H257_17064 MATAGVPSYLRSTSSSKAKRETKNAKTKAADFPIRRTAPSSSNG ATSTSTDDTTFRVRPPAAPISIAIPAPSVSPKVGSTGGATSSLLLLQSKPPMGESRQV KFSKPDSLEKVQRTTATQKSVPNYMRHTVSRGYKEGDLQHPADAAKSVKPAREPAKSG AREPARLAPPSKRAVPLQRTSRPSSSLARQPAAASAAVRRRGDIPVKDEQEVKRPAPP LPPENQENVVPEAFSDASQVIPDEGKASSPDLSGQPGGGGVDEYTQDRRPRSTSPNYM EDIQRGEDGPMADDRVVAALRDEIKALTARLHENDIVCREYQQSLDTAERNMEKMTNA CLQYKQKCETYADSSLTLKRQHDTLEQDLIESKMQLTDLKNAASVTPVYDASVLELKI QVMTMESEKSQTEHQLEHLNRICGELQIQINTFETNELAWQTERDNLRRQDASLRETV EALQQQLHHAPFKAKDESVPAAAAAMCQDMDTQDTLRAKIHALELTIEAKTAEINRLT EEHVGFQEQALVKQRTEMKEQLATQERLVSTLETQLAAAALAQDSIAQDHRIQRARLD EDIQQLLCDKASLESQAADHVAKLTAAEEKICELTYLVEESQANDPKDARAQVENQLT THNAIIASYEAEMADMKAQLSTASAELATTRDMDAASNTKIALLESEGKKLEDTVRSL HEARHHDEASTRAVEAMVVQLQTELEAEKAASRDLRIELAQSQSFVESFQVQLDELER QKVDSQSTYEGNCKRIFQLEGANVELENAIREYQAERERVALELASSTQARDVAAKTL DEEVCRGRQQATTIDELHAKIDGLETEVAKQSALAATYAVEMAQLDAVRSERNVLVEA AQASDATIVSLGLEVAGLDSKLNKTISEYEQRLGEAAAELDHVKTSASQTLVREVSGW KEKYEALTLAGDYQANQLKSTLHQLEVIQASDTQSKATLEQDVEAKYLAMQTHQQQSH DDQVKRLTSQLDNMRTNCDDQIEALEAQVNALRLQLSHARGHKETCGLMEQDLAHQIL LVESHTHQLLNAQKRVADLTCQNLQLKEDIEAGSMKLSSREATVLELQQQDSISRDKI QHLEAKLKAFVDSHERVPTPVDVVVVPTTMPVQSVESEAEFPPLMTNGVIVLHADETD MYAGVVNAKSQRPTAIIPSLAAVPRGGMTELQRVLQGSSYSGSGSLRIGYDHGYFLGK DAANVLYDHPDPALRGILTEERLFQDGAIASFEHFEGLLKQMLAAVGADTNPDTYKIV MTHKPLIGKAHREKMVQSLFEAGQFQSVFLTTDAQMSLRAVAKSTGLVVDVGADTTYI VPIYEDMLLEHAVVKLPFGQDHVVNFMVSMLLSQDCDDFHMIPARVQRRIARSILDSH GLVASDFFDMTEQYGGFRRKDVHVLPTPDHPLVWVKESPSQTTHPFVLCTCARRTHNN CPVDVH H257_17064 MATAGVPSYLRSTSSSKAKRETKNAKTKAADFPIRRTAPSSSNG ATSTSTDDTTFRVRPPAAPISIAIPAPSVSPKVGSTGGATSSLLLLQSKPPMGESRQV KFSKPDSLEKVQRTTATQKSVPNYMRHTVSRGYKEGDLQHPADAAKSVKPAREPAKSG AREPARLAPPSKRAVPLQRTSRPSSSLARQPAAASAAVRRRGDIPVKDEQEVKRPAPP LPPENQENVVPEAFSDASQVIPDEGKASSPDLSGQPGGGGVDEYTQDRRPRSTSPNYM EDIQRGEDGPMADDRVVAALRDEIKALTARLHENDIVCREYQQSLDTAERNMEKMTNA CLQYKQKCETYADSSLTLKRQHDTLEQDLIESKMQLTDLKNAASVTPVYDASVLELKI QVMTMESEKSQTEHQLEHLNRICGELQIQINTFETNELAWQTERDNLRRQDASLRETV EALQQQLHHAPFKAKDESVPAAAAAMCQDMDTQDTLRAKIHALELTIEAKTAEINRLT EEHVGFQEQALVKQRTEMKEQLATQERLVSTLETQLAAAALAQDSIAQDHRIQRARLD EDIQQLLCDKASLESQAADHVAKLTAAEEKICELTYLVEESQANDPKDARAQVENQLT THNAIIASYEAEMADMKAQLSTASAELATTRDMDAASNTKIALLESEGKKLEDTVRSL HEARHHDEASTRAVEAMVVQLQTELEAEKAASRDLRIELAQSQSFVESFQVQLDELER QKVDSQSTYEGNCKRIFQLEGANVELENAIREYQAERERVALELASSTQARDVAAKTL DEEVCRGRQQATTIDELHAKIDGLETEVAKQSALAATYAVEMAQLDAVRSERNVLVEA AQASDATIVSLGLEVAGLDSKLNKTISEYEQRLGEAAAELDHVKTSASQTLVREVSGW KEKYEALTLAGDYQANQLKSTLHQLEVIQASDTQSKATLEQDVEAKYLAMQTHQQQSH DDQVKRLTSQLDNMRTNCDDQIEALEAQVNALRLQLSHARGHKETCGLMEQDLAHQIL LVESHTHQLLNAQKRVADLTCQNLQLKEDIEAGSMKLSSREATVLELQQQDSISRDKI QHLEAKLKAFVDSHERVPTPVVDVVVVPTTMPVQSVESEAEFPPLMTNGVIVLHADET DMYAGVVNAKSQRPTAIIPSLAAVPRGGMTELQRVLQGSSYSGSGSLRIGYDHGYFLG KDAANVLYDHPDPALRGILTEERLFQDGAIASFEHFEGLLKQMLAAVGADTNPDTYKI VMTHKPLIGKAHREKMVQSLFEAGQFQSVFLTTDAQMSLRAVAKSTGLVVDVGADTTY IVPIYEDMLLEHAVVKLPFGQDHVVNFMVSMLLSQDCDDFHMIPARVQRRIARSILDS HGLVASDFFDMTEQYGGFRRKDVHVLPTPDHPLVWVKESPSQTTHPFVLCTCARRTHN NCPVDVH H257_17065 MESDPRMDLQLIREGEMERERLQKEGFNMKLRINFLEEQLLKYK EGTAFEDEDFESENVHLRTVIEEKVQELERRNALLVRARDAIEDLRTDLETAKENNRL SASMNQSQLEETVHETQRLEQEVARLEDEVARLRMHLNEANRKYEDLLRAHDQLSLEL NERSQVFQQADAVQAHAQQTAQWEKQKLKNELSMEFDAKLNDERAQFQEELVHRTRTH EAALKEKDAAVIHLTRTVGDLNAAVQTLTSQLTEAELRGEISTKQYDALKNQTDKTQQ QLIDATKDAGEAISLRNRCDWNEAQLQLAQDRCRDLEGQVERAKAVESLLRQQVARSA ALHEQADQNLAYQTDSALRDVTSKLDVAMLTNDSLRRDLANANAQVKVLEKNVAVSDM SVGDWKRKCANLEAQLRQSAVSADKALTTEDRVQQLELDISKLHESEHELRNALVVMQ AEKANIDGLLQDSDKKLTILQATYERAETAHADTVAKWQHQQQALHRTIETLENERNA LRGQENTTLRSLSQQLRDMTDLKGKCEHDVQTLELQWNEQAVKFHQSLDTLQQRLLLA HDTIKSMSKVAQDDAQQKMATQARQSELHQAQRLDWERELAQVQSKCRDETRKAELVQ VQHTQALSKLARVESEYQHTLTDFIKAKEEHDSLKSECDRRGEHIRLLLDEVQQSRQL KTALEAEIRAAMGEQKPLVAKIRQLQGKLNDLESANNQASNDVHVARFGASQNSAAPD SHLVDRLDSLIKKSVELQEHTKRFQEKHGGVVWNDVMCGGKAMPTAMEVECKRLLHAN GILSQKVAQIAADMKQSKLSSRRSDTKREQQHHHRQHQQQQQMQPSVLNRSELDALVQ TLNDSKQTTTMLHPSPKFTPATTRLVDAYQSSNRVLERLNKELAKVHSSIADYSRQPH ASLEEAPAWMGLPSDAMIPAIFACFCGAVVAFSLKRKRVRKLNWKRRINVKKISPTSD LGHSFGIDIGGTLSKIVYFEQTQENTRRPRAMSHVLAADEMARFVKERDFYGSSGVRD TRLSVTSDTLGGTLHFIHFETRKMEGAIATVSGHGLNQSLRVLSCTGGGAHKYADLFQ SLAGIQIRKEDEIKCLVKGLNFLLNLFPYEVYTFVNVDFRSLSATRVSLVRENKDEDI FPYILVSIGSGVSVLHVTGPDNFTRVSGSSIGGGTYWGLCRLLFECQTYDEALDLCVN GRNASVDMSVGDIYGGAYEKFNLPATTVASSFGKMITVSRSDVSDADIARALLIMTTQ NIGLIAYLNACIYDTKRIFFVGNFLRHNKISCRTLAYAIDFWSKGQMKAHFCRHEGYL GALGAFLSNTSSSSPMAES H257_17066 MNRKFKWKVDSEKHVVVWNFERRGWQKTEGSDWNIYWANKQSIK SMFNPENGVRLTDGQYVNHFPNHYELTRKDLMVKNIKRYKKEAEKDPALVEKLDFIPV TYTLPGDYSLFVEEFRRNPNVMWIMKPCSKAQGKGIFIINKLSQIKKWANAKAVEGYV VSRYIETPLLIGGKKFDLRMYVLVTSYRPLQAFVYSEGFARFCNVKYSSDIDDIDNPF MHLTNVAVQKHNEDYNNKHGGKWNIYNLRLYVEATRGRGAADKMLAAIHNIMLHSLKA VQNVIINDVHSFECYGYDIIIDSDLKPWLVEVNASPSLSTTTIEDRNMKSRLLRDVLE LAVAHDAVDTRRLFHPPELSATNGFEWLTNEASALEAEKQLTKKASRKNATEWR H257_17066 MNRKFKWKVDSEKHVVVWNFERRGWQKTEGSDWNIYWANKQSIK SMFNPENGVRLTDGQYVNHFPNHYELTRKDLMVKNIKRYKKEAEKDPALVEKLDFIPV TYTLPGDYSLFVEEFRRNPNVMWIMKPCSKAQGKGIFIINKLSQIKKWANAKAVEGYV VSRYIETPLLIGGKKFDLRMYVLVTSYRPLQAFVYSEGFARFCNVKYSSDIDDIDNPF MHLTNVAVQKHNEDYNNKHGGKWNIYNLRLYVEATRGRVTNSAKQHVYSKQYNCLYIF PTCFELFTSLFYWFLVGCIYYIYMY H257_17067 MFAVLCVVVGEDGPFPVNTAAPATVGGLNQLIKTDNHRTITCDA KDLQLCRVEGLMQDDDETPGHETSILGCFKAAATSLIWFNTANVAPTGKIHILVVNGL H257_17068 MRDSEYVTWKRDGEVKIRRGPDGSVAGKQPTTVVAMFQETVRKH GDDGALYTKKDGEWKILTWNQYHAKCMAFAKSLLSLGFDRFDAVSIIGFNSAEWTIAD LGCILAGGLAAGIYSTNSADAAKYIAGHSQARVVVCDNVGQLEKMASVAAELPQLKAL VVYNATVPIGFQCPVPCYSFDDFLALGMEVDGAELEARMTGQRPGHCCTLIYTSGTTG NPKAVMVSHDNITWTLHSMLELFVDDPFTHLDRLVSYLPLSHVAAQLIDIHLPMATGA KVYFAQPDALKGSLGQTLKEVRPTRFLGVPRVWEKIAEKLWEIGKTTKGMKLRIATWA KGIGSQKTQLAQYGEAGGVPCGYGCANAIVLGKIKAALGLDQCRLCVVAAAPMSSDII QYFGSLDIQIFELFGQSESTGPSSTCVAGNWKIGSVGKLIPGTEWMVDATNHELLLRG RNVMMGYLGMENETNATINSDGWLHTGDCAKVDDSQFGYITGRIKELIITAGGENVPP VLLEDALKEELPLLSNVMVVGDKRKFLAALLTFRVQVDGDGVPTTKLDKKALEIMASL NSVATTTAEAKECEKVKAYIAHGLKKANGRATSRAQTIAKTYVLEQDFSLGGGELTPT LKLKRRMVVDKYAAVIEAIYSDASGD H257_17068 MRDSEYVTWKRDGEVKIRRGPDGSVAGKQPTTVVAMFQETVRKH GDDGALYTKKDGEWKILTWNQYHAKCMAFAKSLLSLGFDRFDAVSIIGFNSAEWTIAD LGCILAGGLAAGIYSTNSADAAKYIAGHSQARVVVCDNVGQLEKMASVAAELPQLKAL VVYNATVPIGFQCPVPCYSFDDFLALGMEVDGAELEARMTGQRPGHCCTLIYTSGTTG NPKAVMVSHDNITWTLHSMLELFVDDPFTHLDRLVSYLPLSHVAAQLIDIHLPMATGA KVYFAQPDALKGSLGQTLKEVRPTRFLGVPRVWEKIAEKLWEIGKTTKGMKLRIATWA KGIGSQKTQLAQYGEAGGVPCGYGCANAIVLGKIKAALGLDQCRLCVVAAAPMSSDII QYFGSLDIQIFELFGQSESTGPSSTCVAGNWKIGSVGKLIPGTEWMVDATNHELLLRG RNVMMGYLGMENETNATINSDGWLHTGDCAKVDDSQFGYITGRIKELIITAGGENVPP VLLEDALKEELPLLSNVMVVGDKRKFLAALLTFRVQVDGDGVPTTKLDKKALEIMASL NSVATTTAEAKECEKVKAYIAHGLKKANGRATSRAQTIAKTYVLEQDFSLGGGELTPT LKLKRRMVVDKYAAVIEAIYSDASGD H257_17069 MGSLFDVADSVFQSKNATFIDTTPSAGPHSTYHSDDMPTLFLLD ETLKQIQHSRANSALCYSHHPVVTDSKPEPWKYKSETTELQPKHSERLHHDHHNPLRR VFSGLLAPSNQPPEQGDSSQEPPSKLLLPTVASIPSNQTTVDTSPPSVLTTHESDATA RNVLKAVADDIEAFERHRFRDSTIVHPKQLADYIDAKYTRGILGSVAASPFVMLARKK VAMRVQDLRQQKALPPTVPLESSNQCPVQSLADFGDDIRGTLSMLAVQGPEKGLPSKP PPPFVGPTPEPPPEARTSYHPQQPTAQAAILAKLMKLPRSQMDKLPKAHRELVEFSKK YHQALDLPPDKVAALPPHQQKMLHELRAKAQQASQ H257_17070 MPQRCKNSHFKHCRFHSDDIFCDDSDWGFMSTLTSTQMNVKRSS TRVHGPPGGASQLTFGPGGFGSSAPPPEPEADAELRDCGGLPQTAQQPRADFIPQKPV FTPSTQRGSTAYPINNNQNQQQLQQQFQQQRPPPTGYSYLPPSGGPPPSTSGGRGVYG GNQPPGQFIADSRPSTTGSGWGKAAQPPLTSSKRDQNWERKRRQWLARKNGGGSSGGY SSGSSFVPSTAGGGYDNPPSPLSKLLHNVNIGGSGQEFQMPPRTQQSSYQQLPQQLQP PRTAMYPDTAQYGHQGAHQQQQLPPRTQQSSSYQQMEPPRTSSSSYNQDSYRGGAPPT GYTRQGAYPDNYGKQPSNVFQNTGAAQFSQPPPQANNNRMMTGSVPSTAASGRSVRQA PGGTSNWSPYS H257_17071 MSSEETQVRKNKLLNQARSALEALQNEFGHNEKLLSTTDTNNSA AIEVLASQMNHIVEANTHDNNDDQNAPMNGQQQQPPPPPPPQLASSPSTPSSAAATVV VPSPVATATATVTTTPGSETTNDGFEMQFLRAKVAQQEDTLKHLLRNESVVHEQMQQL QNSVLSLRQDLCRVLGLAETSAAAPAHDDHLHHPLPHPPRYTTPGGYPDSDDDGSSGA STASSPTGFSPPGPAKKPSSSKRVRGMPKGPSKQALLQAQHRFGHDPVVSAVLLQANQ QHQQQLHQQLHQLRHGLVDDGKAGILKREDNQEGEDHEDENDSTASQSPRRKRSKLTM INNKLGKRPWTALEDQELAVAVQSSGASDWSAISLLLPGRCGKQCRERWVNHLSPSVN KEAWTEEEDDLIFKTRDRIGNHWADIARLLPGRTDNAVKNRFYSTMRRRLRQQRAGVR QKNSTGKEFSGVGDP H257_17072 MLTSLARRSATISRHALSSSRAAFSTTAPLDLYSPTEEHQALRE MLRSFVAQKVEPQALEFNRAEKFNVDLFRELGELGLLGITVPEKYGGSGMDALAAVIA HEELSSSDPAFCLSFLAHSMLFANNLARNGNDAQCAKYLPAASSGEAICGMAMSEPAV GTDVLGMKTTAVKRGDEYILNGTKMWITNGAINDTDLGDTFLVYARTGNSGNAREDFS SFIVEKGFEGFSLGQRIKDKCGMRASMTAELVFENCRVPAENLIGKEGSAVLCMMRNL EIERVTLAAMSLGIARRSIEVMNAYAKERTAFGKPLNYFGQIQQNISTSYAQYKAGRA YVYDTAR H257_17073 MAMSEPAVGTDVLGMKTTAVKRGDEYILNGTKMWITNGAINDTD LGDTFLVYARTGNSGNAREDFSSFIVEKGFEGFSLGQRIKDKCGMRASMTAELVFENC RVPAENLIGKEGSAVLCMMRNLEIERVTLAAMSLGIARRSIEVMNAYAKERTAFGKPL NYFGQIQQNISTSYAQYKAGRAYVYDTARLMQLDAVGNRIDTDGVKLYCGDMAKQVAD RAIQTLGGYGYVGEYNVERLWRDSKLLEIGGGTNESHHKNMSRDLLRVDRL H257_17074 MMSLMWMGVATVVAAACSSVAESRQVQVNLTTSFLASPLHPVLE TSEYLSQDNPALFFTYVAAVDVRYNAIKDKNNANYTKVALEAAADVLPADSSVSRLLP FVLQTRAHSPSIELFNQLAIESAYKACPNQTVSAWAIIYRDAGCADVVLCDIQDFQPS MLHVDEDTSPSSDDSERTCAASGQHDFQLPVDHVYPSPNAAAAATAPHVIVYGALISP SFRAFHSTFVPLAVAGNVHYIVRHAPTDNTLPVLVHGYGVTLHVKNMEYKSFDDSKAT SSHNSTATEDDIDADQDEFVVSVLMKKNDEVAQALREYLDGFEGGQGTDDDDEDAKDV TTPAPWQLTQLGYLATQYIMAAEDPLKRLQLLSQNFPKYASSLVLASKPVPQQTIDDI NHARSQVATQRLYNRIVVNGLPVDFNEYGFNAFDFLKSLNGELRLADTLAKLDPTGSI KAAMGSLSSAPSDVRISLRGPVDGHAPLYLNSIETDEETAEWPTDLGSLRGPSWSLIY LRKAMYELILVVDPTTKQGINAMNELIFLRNRQAPVQFGVLWTSPELLALSAEERATY APSVEDKDVATVFHVSKMFLAARANGKSARDKYLREVLSLNDLTVKEVLQIYSQAVGE RYTNDDWLQSAKAILTDGDNDGVWAMTNLVAEKNLPINSQVFNGVVRNHVNVQEDIMT HFARDQPLYQTLVRANQITDDSDMLSELLGNEETYAVFCPWFDPEYKPPTTVVQLNWN DPVWKQVGSFHASGTVTKPKRQNVLLLANLDTSVGATSAYHALKRVADFPELRLSVVH TGSPLKDSLGNRIAYILTQLGHTDSTTATAVVLEVLRLMSKKPEADAISHARLFVQSR VDASSSTSDDEQVLSQLSTWLFSAVAPPFSATLPSLSSLQVIPDQHVIYVNGRPLELV APVTPSLFDGLVAYESATRSKAVSKAYVSQFKHNVLTAEDAADKTLQLNVVLSMVDSY LKTPRVAAVLPDDVQRPTYSYVTPSGSSSLDVVAYLDPLSDTAQRASGILRMLHSVLH AKITLVLVPPPSYDEFPLKRFYRFLWGGTSSSLVEFDRLPRHPVLTLNIETPELWNVQ MVQSDVDIDNIQQNASATFTIKDVLVYGQCVDKTNAQYPTLPNGLQLVLERSVAKLHS HKDTVVMKNLGYFQLQAAPGVWQLGLAKGRHSTIYELISNDARVDTVPVIVYDFLSKT LQLEVKKQPGQEGVRLLDDGPEAPKAPAQPEETSVWTSLVQWGAGSSKLETRKGDTIH VFSLATGHLYERMLKLMMLSVLKRTNNPVTFWLLENFLSPDFKNSVAALQAEFGMDIR LVTYKWPNWLRRQTDKQRIIWGYKILFLDVLFPLGVDKIIYVDADQVVRADLKELWTM DLHGKAYGYTPFCDSRNVGFQFWRKGYWKDHLRGKPYHISALYVVDLVKFKRMAAGDS LRAIYDQLSADPNSLSNLDQDLPNYAQHQIPIFSLPQEWLWCESWCSDESKAEAKTID LCNNPKHKEPKLDMAKRVISGDLFPESWLQLDAEVKAAEAAYELASD H257_17074 MMSLMWMGVATVVAAACSSVAESRQVQVNLTTSFLASPLHPVLE TSEYLSQDNPALFFTYVAAVDVRYNAIKDKNNANYTKVALEAAADVLPADSSVSRLLP FVLQTRAHSPSIELFNQLAIESAYKACPNQTVSAWAIIYRDAGCADVVLCDIQDFQPS MLHVDEDTSPSSDDSERTCAASGQHDFQLPVDHVYPSPNAAAAATAPHVIVYGALISP SFRAFHSTFVPLAVAGNVHYIVRHAPTDNTLPVLVHGYGVTLHVKNMEYKSFDDSKAT SSHNSTATEDDIDADQDEFVVSVLMKKNDEVAQALREYLDGFEGGQGTDDDDEDAKDV TTPAPWQLTQLGYLATQYIMAAEDPLKRLQLLSQNFPKYASSLVLASKPVPQQTIDDI NHARSQVATQRLYNRIVVNGLPVDFNEYGFNAFDFLKSLNGELRLADTLAKLDPTGSI KAAMGSLSSAPSDVRISLRGPVDGHAPLYLNSIETDEETAEWPTDLGSLRGPSWSLIY LRKAMYELILVVDPTTKQGINAMNELIFLRNRQAPVQFGVLWTSPELLALSAEERATY APSVEDKDVATVFHVSKMFLAARANGKSARDKYLREVLSLNDLTVKEVLQIYSQAVGE RYTNDDWLQSAKAILTDGDNDGVWAMTNLVAEKNLPINSQVFNGVVRNHVNVQEDIMT HFARDQPLYQTLVRANQITDDSDMLSELLGNEETYAVFCPWFDPEYKPPTTVVQLNWN DPVWKQVGSFHASGTVTKPKRQNVLLLANLDTSVGATSAYHALKRVADFPELRLSVVH TGSPLKDSLGNRIAYILTQLGHTDSTTATAVVLEVLRLMSKKPEADAISHARLFVQSR VDASSSTSDDEQVLSQLSTWLFSAVAPPFSATLPSLSSLQVIPDQHVIYVNGRPLELV APVTPSLFDGLVAYESATRSKAVSKAYVSQFKHNVLTAEDAADKTLQLNVVLSMVDSY LKTPRVAAVLPDDVQRPTYSYVTPSGSSSLDVVAYLDPLSDTAQRASGILRMLHSVLH AKITLVLVPPPSYDEFPLKRFYRFLWGGTSSSLVEFDRLPRHPVLTLNIETPELWNVQ MVQSDVDIDNIQQNASATFTIKDVLVYGQCVDKTNAQYPTLPNGLQLVLERSVAKLHS HKDTVVMKNLGYFQLQAAPGVWQLGLAKGRHSTIYELISNDARVDTVPVIVYDFLSKT LQLEVKKQPGQEGVRLLDDGPEAPKAPAQPEETSVWTSLVQWGAGSSKLETRKGDTIH VFSLATGHLYERMLKLMMLSVLKRTNNPVTFWLLENFLSPDFKNSVAALQAEFGMDIR LVTYKWPNWLRRQTDKQRIIWGYKILFLDVLFPLGVDKIIYVDADQVVRADLKELWTM DLHGKAYGYTPFCDSRNVGFQFWRKG H257_17075 MKQLEAAAGDLSRDAVVPAKAVLKITSMTDAFRVELADHRPAVV KQTCGLLGALAWACGASFTCVVEALLVPILLMAIKKKQTKVIATAARHCLDCMAKASR FAIVILEKTYHHAKQDDALRMMCLSLVELVLRHGDVDNVMSREVYIPPRRRILKTLRD HNVAVQTHGRMALCLLCEYGQECIAELRHVVDTDLLELAMAEYPESLLATTGRQPEEV ATEASMLCVIPEGDEGDVANAWDDLSTRRPAGLERVETIEDEDNGADENWRESAMAAV ANKLDDSWDDLSTVLITELSPPQSGDQLDWSESMIEVDAHDDGADDDWREPAVAAVAT QFDNSWDDLALSSTSSVIDVPTLDSTRGRDRDSPPSKIHPAMVQPLSAALAITGPPAH SLPLPKFCVPSSINRTIVPTENERKAVISPDQSVATNNATAPADHIDGARPSVQVGRR RSYLSLVWETMWRILWVGYVQLGAHCDQSAGKSGQQLEALTKTVVEWTAAMNVPKHQV QLPFEESHKVSWDTVMEDLRRRLELEPMARHGS H257_17076 MKQLEAAAGDLSRDAVVPAKAVLKITSMTDAFRVELADHRPAVV KQTCGLLGALAWACGASFTCVVEALLVPILLMAIKKKQTKVIATAARHCLDCMAKASR FAIVILEKTYHHAKQDDALRMMCLSLVELVLRHGDVDNVMSREVYIPPRRLILKTLRD HNVAVQTHGRMALCLLCEYGQECIAELRHVVDTDLLELAMAEYPESLLATTGRQPEEV ATEASMLCVIPEGDEGDVANAWDDLSTRRPAGLERVETIEDEDNGADENWRESAMAAV ANKLDDSWDDLSTVLITELSPPQSGDQLDWSESMIEVDAHDDGADNDWREPAVAAVAT QFDNSWDDLALSSTSSVIDVPTLDSTRGRDRDSPPSKIHPAMVQPLSAALAITGPPAH SLPLPKFCVPSSINRTIVPTENERKAVISPDQSVATNNATAPADHIDGARPSVQVGRR RSYLSLVWETMWRILWVGYVMFAVVGVNDAQLEALTKTVVEWTAAMNVPKHQVQLPFE ESHKVSWDTVMEDLRRRLELEPMARHGS H257_17077 MCLKPGSKKLTWAAVREHNKADDAWIVIHHKVYDISHFDTHPGG AVMFTQAGEDATDAFAVFHPSSALKLLEQYYIGDVDESTQIVDPTLTEEAKKTQNEFV AAYRKLRLDVKRLGLYNASKGYYLWKTLSTLSIGLLSAFICFNTTSTAVIMVAAVILG LFYQQCGWLAHDYGHQQVFDNHTLNDLCIVMVGNLWQGFSCQWWKNKHNTHHAIPNLH TDPVDGYHGDPDIDTMPVLAWSLKMAKEVEKGSWGPFFIKHQAALYFPILLFARVSWV FQSYLYAFRSIGPGGTFDPLQYPVLERSGLVLYYTWNVALVYFSGMSFVQAISFLWVS QASCGLFLALVFSIGHNGMAVYDRADKPDFWKLQVLTTRNISPTFLVDWFCGGLNYQV DHHLFPTVPRHNLAQLNVLVKSLCKQFAVPYHETGFWAGLGEVVDHLKDVSNEFIREF PAM H257_17078 MGIRRRLCGHHLVVQVGAVMLLSASLCYGEPVESAAWTFASDTA WCDYHCSAYNPTNHASCATSCPHSRLLMDGDVDPLQLILCRSGNTTAVNPMLSFPVTP NGAELAEFWAQFQATMDLVLEGCDPMLTACSLTTASFTSASVETAPPTEALPTLVKLR RSHEEHLCIPRIQSLLHPSSPLRLLSRSNEVDAGSTVAVVHATASDMAAIQGLACVYH AALLPPFMKLSPLARSFAASSSSMLMASPAVSIAFLDDVALNATLVSRLNAGLERVTG VVDVLSLPHDEQVNVLTLRPFENFRTWVHTLLWLCENPMVLYVTQHRRLFETQLPPSP PSHHRRNLDADTANIMGTLGAQSRGILGNDVVVAVTDSGLYLDHDQIDQPSPREFDIV NLNARKVVLYHVIGDKVDQSETVTCGHGTHVTGILAGSSWSQTSPNVGLAPNAKVAFT DIGTQDPRCANIPNIKCRVDLATPWNLRDYMGPQLSAGARIFSYSWGLPGDDYTRQAR DFDQLVYNNPEILLVIAAGNSGDNGTHTIASPAGAKNALTVGASLSSVESLASSLGCP AVFNPQSVASFSSQGPTTDGRIKPDVVAPGLLVVSARSEASNSMEKTSRLCPLQGTSQ ATPLVAGMAVLLTEWLRDGWWKDGEKNVAVGMKSIPAALLKALVIHSARGLTRRLNNI KGVVTCKMAESQAQPLTQYPDNMQGYGLPDMSSVATFGQNANLTFLPNTSASDSPAVA HKGEHVYSFIMRPNETLRATLVWNDPPGTLFATKLLQNDLDLSITVPNSTVIFHPMSG QGGGKDALNNVEMVSVAYDTVWPLVPAAADGDLLRVDVHVAGFAVLLGRSQPYALVAS SGLIGPRAGDNAADSPSPAPLLTTSGVVWQPWMTILVAALGGALVLVGIVVWVLARRR RGRSTYQVYVGSPPTPQRRQCRPGSVNFPSPEQNMCPYCDFLTPDPVVLVDHVQRVHH S H257_17078 MGIRRRLCGHHLVVQVGAVMLLSASLCYGEPVESAAWTFASDTA WCDYHCSAYNPTNHASCATSCPHSRLLMDGDVDPLQLILCRSGNTTAVNPMLSFPVTP NGAELAEFWAQFQATMDLVLEGCDPMLTACSLTTASFTSASVETAPPTEALPTLVKLR RSHEEHLCIPRIQSLLHPSSPLRLLSRSNEVDAGSTVAVVHATASDMAAIQGLACVYH AALLPPFMKLSPLARSFAASSSSMLMASPAVSIAFLDDVALNATLVSRLNAGLERVTG VVDVLSLPHDEQVNVLTLRPFENFRTWVHTLLWLCENPMVLYVTQHRRLFETQLPPSP PSHHRRNLDADTANIMGTLGAQSRGILGNDVVVAVTDSGLYLDHDQIDQPSPREFDIV NLNARKVVLYHVIGDKVDQSETVTCGHGTHVTGILAGSSWSQTSPNVGLAPNAKDPRC ANIPNIKCRVDLATPWNLRDYMGPQLSAGARIFSYSWGLPGDDYTRQARDFDQLVYNN PEILLVIAAGNSGDNGTHTIASPAGAKNALTVGASLSSVESLASSLGCPAVFNPQSVA SFSSQGPTTDGRIKPDVVAPGLLVVSARSEASNSMEKTSRLCPLQGTSQATPLVAGMA VLLTEWLRDGWWKDGEKNVAVGMKSIPAALLKALVIHSARGLTRRLNNIKGVVTCKMA ESQAQPLTQYPDNMQGYGLPDMSSVATFGQNANLTFLPNTSASDSPAVAHKGEHVYSF IMRPNETLRATLVWNDPPGTLFATKLLQNDLDLSITVPNSTVIFHPMSGQGGGKDALN NVEMVSVAYDTVWPLVPAAADGDLLRVDVHVAGFAVLLGRSQPYALVASSGLIGPRAG DNAADSPSPAPLLTTSGVVWQPWMTILVAALGGALVLVGIVVWVLARRRRGRSTYQVY VGSPPTPQRRQCRPGSVNFPSPEQNMCPYCDFLTPDPVVLVDHVQRVHHS H257_17078 MAAIQGLACVYHAALLPPFMKLSPLARSFAASSSSMLMASPAVS IAFLDDVALNATLVSRLNAGLERVTGVVDVLSLPHDEQVNVLTLRPFENFRTWVHTLL WLCENPMVLYVTQHRRLFETQLPPSPPSHHRRNLDADTANIMGTLGAQSRGILGNDVV VAVTDSGLYLDHDQIDQPSPREFDIVNLNARKVVLYHVIGDKVDQSETVTCGHGTHVT GILAGSSWSQTSPNVGLAPNAKVAFTDIGTQDPRCANIPNIKCRVDLATPWNLRDYMG PQLSAGARIFSYSWGLPGDDYTRQARDFDQLVYNNPEILLVIAAGNSGDNGTHTIASP AGAKNALTVGASLSSVESLASSLGCPAVFNPQSVASFSSQGPTTDGRIKPDVVAPGLL VVSARSEASNSMEKTSRLCPLQGTSQATPLVAGMAVLLTEWLRDGWWKDGEKNVAVGM KSIPAALLKALVIHSARGLTRRLNNIKGVVTCKMAESQAQPLTQYPDNMQGYGLPDMS SVATFGQNANLTFLPNTSASDSPAVAHKGEHVYSFIMRPNETLRATLVWNDPPGTLFA TKLLQNDLDLSITVPNSTVIFHPMSGQGGGKDALNNVEMVSVAYDTVWPLVPAAADGD LLRVDVHVAGFAVLLGRSQPYALVASSGLIGPRAGDNAADSPSPAPLLTTSGVVWQPW MTILVAALGGALVLVGIVVWVLARRRRGRSTYQVYVGSPPTPQRRQCRPGSVNFPSPE QNMCPYCDFLTPDPVVLVDHVQRVHHS H257_17078 MAAIQGLACVYHAALLPPFMKLSPLARSFAASSSSMLMASPAVS IAFLDDVALNATLVSRLNAGLERVTGVVDVLSLPHDEQVNVLTLRPFENFRTWVHTLL WLCENPMVLYVTQHRRLFETQLPPSPPSHHRRNLDADTANIMGTLGAQSRGILGNDVV VAVTDSGLYLDHDQIDQPSPREFDIVNLNARKVVLYHVIGDKVDQSETVTCGHGTHVT GILAGSSWSQTSPNVGLAPNAKDPRCANIPNIKCRVDLATPWNLRDYMGPQLSAGARI FSYSWGLPGDDYTRQARDFDQLVYNNPEILLVIAAGNSGDNGTHTIASPAGAKNALTV GASLSSVESLASSLGCPAVFNPQSVASFSSQGPTTDGRIKPDVVAPGLLVVSARSEAS NSMEKTSRLCPLQGTSQATPLVAGMAVLLTEWLRDGWWKDGEKNVAVGMKSIPAALLK ALVIHSARGLTRRLNNIKGVVTCKMAESQAQPLTQYPDNMQGYGLPDMSSVATFGQNA NLTFLPNTSASDSPAVAHKGEHVYSFIMRPNETLRATLVWNDPPGTLFATKLLQNDLD LSITVPNSTVIFHPMSGQGGGKDALNNVEMVSVAYDTVWPLVPAAADGDLLRVDVHVA GFAVLLGRSQPYALVASSGLIGPRAGDNAADSPSPAPLLTTSGVVWQPWMTILVAALG GALVLVGIVVWVLARRRRGRSTYQVYVGSPPTPQRRQCRPGSVNFPSPEQNMCPYCDF LTPDPVVLVDHVQRVHHS H257_17078 MRRWSVDSTLGSSASQALWTCYPFRKTIISGFKSVCLLTESTSH DEQVNVLTLRPFENFRTWVHTLLWLCENPMVLYVTQHRRLFETQLPPSPPSHHRRNLD ADTANIMGTLGAQSRGILGNDVVVAVTDSGLYLDHDQIDQPSPREFDIVNLNARKVVL YHVIGDKVDQSETVTCGHGTHVTGILAGSSWSQTSPNVGLAPNAKVAFTDIGTQDPRC ANIPNIKCRVDLATPWNLRDYMGPQLSAGARIFSYSWGLPGDDYTRQARDFDQLVYNN PEILLVIAAGNSGDNGTHTIASPAGAKNALTVGASLSSVESLASSLGCPAVFNPQSVA SFSSQGPTTDGRIKPDVVAPGLLVVSARSEASNSMEKTSRLCPLQGTSQATPLVAGMA VLLTEWLRDGWWKDGEKNVAVGMKSIPAALLKALVIHSARGLTRRLNNIKGVVTCKMA ESQAQPLTQYPDNMQGYGLPDMSSVATFGQNANLTFLPNTSASDSPAVAHKGEHVYSF IMRPNETLRATLVWNDPPGTLFATKLLQNDLDLSITVPNSTVIFHPMSGQGGGKDALN NVEMVSVAYDTVWPLVPAAADGDLLRVDVHVAGFAVLLGRSQPYALVASSGLIGPRAG DNAADSPSPAPLLTTSGVVWQPWMTILVAALGGALVLVGIVVWVLARRRRGRSTYQVY VGSPPTPQRRQCRPGSVNFPSPEQNMCPYCDFLTPDPVVLVDHVQRVHHS H257_17078 MRRWSVDSTLGSSASQALWTCYPFRKTIISGFKSVCLLTESTSH DEQVNVLTLRPFENFRTWVHTLLWLCENPMVLYVTQHRRLFETQLPPSPPSHHRRNLD ADTANIMGTLGAQSRGILGNDVVVAVTDSGLYLDHDQIDQPSPREFDIVNLNARKVVL YHVIGDKVDQSETVTCGHGTHVTGILAGSSWSQTSPNVGLAPNAKVAFTDIGTQDPRC ANIPNIKCRVDLATPWNLRDYMGPQLSAGARIFSYSWGLPGDDYTRQARDFDQLVYNN PEILLVIAAGNSGDNGTHTIASPAGAKNALTVGASLSSVESLASSLGCPAVFNPQSVA SFSSQGPTTDGRIKPDVVAPGLLVVSARSEASNSMEKTSRLCPLQGTSQATPLVAGMA VLLTEWLRDGWWKDGEKNVAVGMKSIPAALLKALVIHSARGLTRRLNNIKGVVTCKMA ESQAQPLTQYPDNMQGYGLPDMSSVATFGQNANLTFLPNTSASDSPAVAHKGEHVYSF IMRPNETLRATLVWNDPPGTLFATKLLQNDLDLSITVPNSTVIFHPMSGQGGGKDALN NVEMVSVAYDTVWPLVPAAADGDLLRVDVHVAGFAVLLGRSQPYALVASSGLIGPRAG DNAADSPSPAPLLTTSGVVWQPWMTILVAALGGALVLVGIVVWVLARRRRGRSTYQVY VGSPPTPQRRQCRPGSVNFPSPEQNMCPYCDFLTPDPVVLVDHVQRVHHS H257_17078 MRRWSVDSTLGSSASQALWTCYPFRKTIISGFKSVCLLTESTSH DEQVNVLTLRPFENFRTWVHTLLWLCENPMVLYVTQHRRLFETQLPPSPPSHHRRNLD ADTANIMGTLGAQSRGILGNDVVVAVTDSGLYLDHDQIDQPSPREFDIVNLNARKVVL YHVIGDKVDQSETVTCGHGTHVTGILAGSSWSQTSPNVGLAPNAKDPRCANIPNIKCR VDLATPWNLRDYMGPQLSAGARIFSYSWGLPGDDYTRQARDFDQLVYNNPEILLVIAA GNSGDNGTHTIASPAGAKNALTVGASLSSVESLASSLGCPAVFNPQSVASFSSQGPTT DGRIKPDVVAPGLLVVSARSEASNSMEKTSRLCPLQGTSQATPLVAGMAVLLTEWLRD GWWKDGEKNVAVGMKSIPAALLKALVIHSARGLTRRLNNIKGVVTCKMAESQAQPLTQ YPDNMQGYGLPDMSSVATFGQNANLTFLPNTSASDSPAVAHKGEHVYSFIMRPNETLR ATLVWNDPPGTLFATKLLQNDLDLSITVPNSTVIFHPMSGQGGGKDALNNVEMVSVAY DTVWPLVPAAADGDLLRVDVHVAGFAVLLGRSQPYALVASSGLIGPRAGDNAADSPSP APLLTTSGVVWQPWMTILVAALGGALVLVGIVVWVLARRRRGRSTYQVYVGSPPTPQR RQCRPGSVNFPSPEQNMCPYCDFLTPDPVVLVDHVQRVHHS H257_17078 MRRWSVDSTLGSSASQALWTCYPFRKTIISGFKSVCLLTESTSH DEQVNVLTLRPFENFRTWVHTLLWLCENPMVLYVTQHRRLFETQLPPSPPSHHRRNLD ADTANIMGTLGAQSRGILGNDVVVAVTDSGLYLDHDQIDQPSPREFDIVNLNARKVVL YHVIGDKVDQSETVTCGHGTHVTGILAGSSWSQTSPNVGLAPNAKDPRCANIPNIKCR VDLATPWNLRDYMGPQLSAGARIFSYSWGLPGDDYTRQARDFDQLVYNNPEILLVIAA GNSGDNGTHTIASPAGAKNALTVGASLSSVESLASSLGCPAVFNPQSVASFSSQGPTT DGRIKPDVVAPGLLVVSARSEASNSMEKTSRLCPLQGTSQATPLVAGMAVLLTEWLRD GWWKDGEKNVAVGMKSIPAALLKALVIHSARGLTRRLNNIKGVVTCKMAESQAQPLTQ YPDNMQGYGLPDMSSVATFGQNANLTFLPNTSASDSPAVAHKGEHVYSFIMRPNETLR ATLVWNDPPGTLFATKLLQNDLDLSITVPNSTVIFHPMSGQGGGKDALNNVEMVSVAY DTVWPLVPAAADGDLLRVDVHVAGFAVLLGRSQPYALVASSGLIGPRAGDNAADSPSP APLLTTSGVVWQPWMTILVAALGGALVLVGIVVWVLARRRRGRSTYQVYVGSPPTPQR RQCRPGSVNFPSPEQNMCPYCDFLTPDPVVLVDHVQRVHHS H257_17079 MGSGSSSDKTELNSRTEKRLSDAKPVSRHMSDAPAYHDMTNADV NAMLEAFTDTTLELSLAREADFTGFLAQHNLPAFDAMPAHVYKLSQLMELNFSHNQLT SLDDAIGELAQLERLDVANNRLAQLPSGICKLVRLKTLIVSENQLTALPADLGACTAL TKLVCFKNQLATLPDSLGGCVPLEEVNFFNNKLTSLGAGFYDLVHLSDVNIAGNALTQ LEPFTKLVNLKRCAAYLNKLKVFPSLVTCTQLTQLQVYRNALKELPDLTNLVLLTDLD ANTNQIKAIPPSLCCPQSTLRSLNLRKNRLVALPPFLGNLTHLEILNIGGNPISSPLP VELTHLTTLVALLLDDSNITVLPSELAGMKSLVRVDVGSRIDHSDTTTKSVMDALEAT CDRNKGWLKQS H257_17080 MHPTRKQFVRSLEVHLGQQSTETLEYIASYQKLTWRSICVESVT VSPNVSPRKTATRRAVDVQSKRTLDIMSTATLSDLRVVLSIMVGGNAHPRSIPSTTGG MSATSPTHKPTNGDVDFAFCRNNDITHIIPVLDEQSIAVQDILQQGNVVYVLYMTTKK QKSPLIKPRRLHSAASSASGLDEPPTFAITNTFSGYSLNADIFLRGDGLSMSLKDIVD TRTSVAAVELNADNGAVHVSLNAQELGEIVGFSDDTLLIMMSNSKNQRTILQKLLAKM TLHVDESTKLVHVTFPSDAVTAPSPLIAIQKVPSMRKSVVPSVALPPSSLKKQPTPPQ LDPIEERPPTAMPAVSTRHVHAPFIASSTKPELEHSSTKLLKQADNVALEQPEGSTSA APTSPTVAPPLTSDFDKAFLAVLDFFTASAPATYSLVAYDELVLSFGVEASGCVSKMS KYLLHARDALKKVLLHCIIQHANNNNNHHTSHSTTGRRSLGASPTVGREDDDMATLLR YLWRGLGHCVWREVRDEIFARCRLDPMTRLGVLQSKLGNPEAFSGFKDEPDRAYADEV TVAVHCVLLCLEPHDVISIDATSVWKLVQILGLVEFKTFRKTLKRIESFCRNRVLPPH VRRRLMTWMALPAFVSVVDRKDESLYGVSLLRKLVAIVVDATDLDLVQPSRLAECLNL ASATKVSTTVHGITGDLVKICHKWVELHYHHHPPTTTSILVPEFQTMVKNMDEIRCAH IEATCTLVANQDAAAMPMAFRWYLPPIATTSQDRYFFVGEHDAALEFTFVYTSTSAPV QVQFNTMGEPTLALDALDRLLLCALSTWYSVTSRPNEAASHGDIAVGFGINFCLFSAL DSLGHCWYLEDVLKAASIDKIGIGSGVSEDGTRAVLVLRLYGVAWRKATHIVMKEPIH FLLWLKYMAEDELHHAVRLGAMCICELLLSKDTCKSILPLVTMKTATSLTLPLGLAAD VYEMLVLSWQVPPQLWMDKAISLSSQPPPPPLSWHFPYILGLISQAKMYAHFTVKILE CLYSLLASQSHAHYMAGLMSQPTIHNTTDDNNGDMVELLAKMTLDVHQPVRVLDLAQR ILLECLLHPLLGTPLRIARLFPRISDNQLGFRRSSKPAPHGDMSISPIKCVHLLSLDI LGKLAIAVDTLMANSPAWSSDLDTLQLRFLCVLATTGGGMWLSKNVAVVSLVVACIHN SKSSPLVCVLGGRVLQTLSCVLSSDQDPRSVPTDAQTQRQLIHSIGSCVHFHNDQVGN AVAVCAISALANLFPVAPWVHWCFEALQSLFQCASTPSWSSYLHCMVPSAPLDRICHL LTEQHQAVQKCYCKGAMDGSARRRIVRKTACTFTASILQLVIQPPTSLSHVEYIRKAK AKKIQQSLLKWMYVKRKTRGFLPAAIQYLKCPSCCPVSSCLATLLVMACGFQVDANTR KPSKLSGVTPAFQLSLFQFLNQLWHHRELVVHASNDLKIPFTYLVFSSHSDADIRFEA LKSLSLVTWHYPPVIHFISPAIVKALWGASYFGAVGGTWIEDVLHKSLDSYRKCIGQH LPEIQNALNFFALVSIDPRLAGHLTRAFGFVWKLVYTWVSSDKSNELDEALCVAFILV QNILLNIPVGDYFKFNVPVNDFVAVLASKNVRVKAGLCGVLWAMALREDGRSSLCSSS DRTSLLVALTMSVFNSFTGTSDTSSSVDLHMLLYNGLGALACMALDPKLLRELMQAKA NEPACALAAWIKTRRQHHNHRHHPTTASVDMDCSYFGGMETLLTTPDMPSHVRTRMKQ LKVMHEYGVGPELGFRAVAISSLDDKFHVQSTRLLATLLVVVETKLTSEWQMLLASFA FVSNTTLSMFLCAVLPTLLRLDKGRASVISPIVIQSLCAIITREKATAALQSHAIHAL GHLSVLSSAAKKEIEGLELCKNISKLPPAVQSEVVHMIALSTKNPASGPTIIHESQVY DIVGAVIQRRDRVKIWQSNVADWSPMFLDDKELNASLETAVVKYFRRPVELVQLYSAV NIAPSTVNFPLTILQLLGEVLHEMPHLQQRMLKDAQELTLELSQTLCKWTCHPCTFQL QCSTTDCIAVYLDVMRYCLHVDNPSLIDDITAILVAVLSNQPTHTDFNHVISYLLQEE HGVVHMLLHFLSNCDTLKRSTTIHVLTLLQQLFTASESALSFLQTFSSNENTCGFLSV LHVLMNWPDHHHSDFSTLAFGVLHSMLKSTENRKLLLAKLVPDNPMHPDRSTGAAFTK YMLEVWSYISLKDKAARVTDRPKLMGLCLLASQILCDLCYEVRRSCSNESSSFVSILF GGESIYVSHSTLSHIVQTYVACSCDDRLLGEFGHANVSMAQIEQLRYWLSAALAHLAG NENIRHSKDMMHFVDAATSRSITNESHVGIQFNLLHIYNAVLCVPALFRTIVYRQNVF TTANVDKPMLLLLGQYIAHPHNVELRWVAAEFAGKFCFEATLAIEKAFLVQSADCPDS CFSPTFDKAIFTGSASTLELHARLCHIEDGMPMYPQVHTDTDVPLPLVPGRRLVHELL STLLTLVGCETSPFETHHVARFIIQTIHILRQGSSKQPIIAPAMMAQLVVAIEHARGA KLSSLLHMLEELVNVSSEYKAHLLALHLTHALAICLTGATESNHKDHDTLSCLTFVAS LLRHDTSVHTFIVQDKRLLRHIFSLLQLRLTFNPDLNCISLSSPNDDTSAMAVLVAAA NVLRMVSSTNQEACVLFHDLELDLPQNNQVTPPITTTDVSLPNDRPILRTILDLLLAS ADSNNYPLVLSLLGICLHLAEANGIYVVVRLCGHGGILVLFDLMFHECVDIKHKSVQL LRLLILQSEKNVLPAPPKLLILHQSAMTQSAAKLDRYASKSTRSLAPPPGRRPTAVHK TGKPLPPSHLIDDIDTVPVESVALILGRIVFGGLFPMYGRRLEDLTLGSHATQLDYIW LEDMLRRLVVFGLLAPAELQVVSRCMTIQRFLPHRTILTDPGLHVITSGKVHWHLATT NQEVSCTLEKGCIVGMSTCDEGRNSSENAVTMCAVVTLVLSKHDLDHVLPRPIQAKLK AAICHVSTAFSTNHHATGTSQTSYLALLRRKAMVGLQQKQPQYDNQPSTTADDVCDLV ASMCSALSKESSSRVNVWSVVQAIHDVTHWYPPRPALIRSLVYLMHSVLSPQPTPSSH HSMILLRLGRSRGADGAAFVAHATWGMPPSATDGDIPFLNRAFYVLVQFASYHCPEKA ACSRSSSKCYCTLTARRIILQHIRLPLFRDTMLLMETSPIRIDHENVFALCCHLWTSQ RGMPLAQYFSTLPHRLLHSFFRDAIHFAHESAFARRFLHKACRTWHVRGVVACLTADP LISSPPWLSQQLKLLDQPAQLESLGAVECLIGIATCSCTTTTNATLISTVYDQLMYHI TTTLNTLCLGLESTPVKIQLRATRALSMLCSTSCPSKEEPLRSSVRGHASLLQTLVRI YANTSHTLAFTTCAACSMQSTVVPCFRYEAHLPYGLKSLVFNIANTLGFVLWPSGDSA SLDLLHPTMRYIHLLLEVPALDSSLQETMTSLCKLWRTMVACIDHNEMAYALLQSLEI AYRQEYSFGMRSLLPLVTALPLPTSSQQNPLTNNLRRTLVELIMAIMAVPCWNTQHLC ANALMHLSQCECCVRALSCDQHTMQISHLLVHGVGLHSLLTVSAALCRSKSTRHRLLS YPHYTDLLISFLPRLQRHASLVKAGYILWKLWKTNPPELTSQSSFKPVAPLFFNLLDE TVAWVETDDDSRVRVTCGAGVLAQVLAASMTCRCIESTSLHHVVRLCWSHLSRMHQEP KQLVQLLRCMNLIFQQGSNEYVCGVPVTSEQIAGLIEIASSHEDLGSSKLHAEVATFA TKVLQHHGNRHVLRTIVGGSKVWATFLPAMFGQPRHNQFLAGRLAFELCRGHLENSVA FIQNGLLVAADNVLSDLTSLDDQRSIRIADCLACVIGRVVLFAESRQHKQTVVQTCGL RHLIDMVLQNQQVETSSIHHHRTPPWVHLVCSAFRAMNRLVRVFPQTRSDFYPAILCD RLVPWLVPHRQAFAAYCTALRLTFSSTLEPKAADACHEMVLHQLMQALATETMSAASR KVAWRLISALASRVFVEIDSNVMIEIIDHEVHMTPLGIVQALGQCRLSAPLVLLGYVS IELHTNMTFQRLHRWIAIAAFASQHVTSTSWQLDLFINNICDTVATLLDSASIVDQSS AAYIIATLCSYASSAATAFASTIPDVLERLTQGIIYQLKHGHSAVYASKAAVCIMATR ETSDSKDEIDLEARLTLLFPLLSKALYTALSKNRLPLALTYLDVTFEILHIVTAHNLS SKSVYSSILNQYLVASLSIAILKQTPLPIPLKSLMCSSRLTTLCPDIPPFSDLSQSKL LQLATSLLRLLNVYAMSCDIFGPEPIDHSNTTVITTDQYWNFLHCLATLLHPRYASTL LCASFVQVKIHHHLVGLLQLLQSWPQLLSQLLLILTNLFACYPPCRVVPLDCVRDIMQ HSSQTDDSVVAGHALNVLWHLSRYEPNRETLFNDVLASIQRHLDRNSCSVGVEGCFGL LAGMATTSSRFEAYLLTPGNDIQWANRFLNTVVGSGGATIVSSQFCKFMRNLAYNASY VHVVRGSSLLSTTLIACLEFPCSKTALYASECLTKANLPLPLNTAILKSLNVHLRYGL SPTCGQHAKDTIHMTQGTLARRCVREMLSLTANTLQPPSTLTNYYRPKQLTHSKDTFN LLLRIALHGPQEDQVLALKVARLYFDSVRCEVEFFTGTHFVLEMAVACLAFRRQESLD QVLGLFESITRSRGIQYVVLDNDVALCSIVKLLRHTNADRVWRIVYNLSCAQKSQGRM LTALTEFILATACPCTPDELVVTRLMCSTLAQLVTTTECLPWLKSSLHVWLQAMALSR DSEILRHLCQVQRNLIGIGAGSHDVLAFERILDDLLPIYSTCPMSESPLLTSQLGCTL NELCALLLSFYKAETNNMFAGFQLQATESIHTHRQPHGIKKKVRLWQHKWVYCRGEPT ESPHVFIKVLQVWTAACAHAYAKVAQECLHAIFTFFAMVTADSLSGCFLITDPLSMAF ILRQLARCTTSDIELAMTTLKTCASVRMIATKFATRSCATSSQTAAGSDSMLELLCGV AGHVVRLEMAAGGPCAAHNPAFSTFLTCLSMLSENCMFLRADFLTTRRDTFGLVKLLV YLLHRGRQSASTLSHIAAKIWMRLAAVANIRHVQKLLQQTACDLCLTDEDVAHALILA LYSTLFEFDMQVNVLVRVVHSVLVRMVTHGRIDKGTPTFLRDNGLESMAVALREFQTA YDALPSQDSITSHQDSGDIAVVVHWMKTLSMELSKYCVEGYDSLYEAFERSNHNSQLT QGIDATKHAVMCFQTSHYVVHLLLEAVLHAVADTSKHADVTLFFRRVEKIAGWIVLSL QNPEMDIGYFTHHIEALCSAVQQLLQIHAASTEGLAADNNINNMGAPLTLVIETLHLH SWMWAFGGFRPYIKVSLGPKRAAYTGFHKDLSSTAKCRYPQLKTQCGNYIATNPANRA FSVMPDEMTESVDVQVWMYIPFSPVDMCIGQASLTNLAPGDHSVEFYPVQRDKMRFDQ LDPYGEVSFRVCVQSPSAVSHEQRHISPTFPFSTCGSSQLRYMCFVVWTGLLVVCKWV WKAIHMQSTVPPRLAKVGRVTQAAQDEEGQTRINATWRVTSLWTTVQFIFEKCTTRDK LIGSYDKQSAYVECNLMMAQLLASEGSGSFASMTPLVVAMLSDPGNRRLCDMTWRSIL ATVDLYMPRRLAYFKSHLHVHEPPAHASSSTSVKHKYRLKEVCNGIAGLDFFAQHTKT PHDSIFKHFRPTALVQPQQHHRNDVAVIVDTWRRRRGLNWQQLVIPHMSIFSLPQPRL PEWYGLGKMVAPDNINHFFLQPLERWQAIYVITEFVSGCFNQPTLRPYHTTPAPPQQS ISSSTAPRRLSERLSSAITSVQHVLLTSSHRISSSSPTSAALVLELSSKSTYFLRKAL TDRTNDAGRPSIQPLKHIERIRKCRLATYCDLDPFDARSKLKVFNARAMLIPPVLYTD GPIAEGLHALAWVLLAVTVWRLSLARDAVRDASQMYHGLIRQTHPPPLPSRRRSNTVE VASLLQPPSSVSATVLQTEKQLRYYLVITQLKGLGVDLLYIWPPENGKIGLGGFWSSW WFTAIAFGFPFLFEYAVSPYLKASVGVVSVGKLSILACVYGILWVVLAFVSVVTITRS IPGFNDTRRVDKINLTDFPSCLKNYLAMGSIGWELLQLNSIPWQIWKSTYSVKKLGAM VTLDFATLGFDGVELNMFLIKQRIAFVCLLIWFFSLKASNKFKSFPWVNYFITFLLPS FLSTGLFMFLTQEYLYSVACYPVMDANDTKVYMLWSTDIPFLCWTSDHWPFALIGMFG IGLFIPLAVLSSGSHQLAFPQLDLDIQCSPLFGMISQLVKGLMIGAKTFFATNIRMYL LVALAGDLLLFAVHYRFPQACSTRHVRSAKLVVYALSFWSALCATVSTFMSKEDIPLI VMYVGHVVILGGVAAGLLQWKKHPWLNRQTTTAKL H257_17081 MKWSLSAKALAALPLASVAGWTGVVAYTRESANHPARGYSFNTG SMDDILSDTLQTGDIVLFRRNCAYMQPADAVSCFLGDRVPIWQGPYNHCGFIYVNHIG DKFVVEETYSGVKCRPYSARIITSLSTDIAVVPLRVKRTPEMQMAVRDFVEEHVNRPS RFSIKTVLDQFISNNDKPSSTPVFPAAGLIAEVYQRMGLLPSESTNGQFPSPKHCTIT HWTNYSTVKLLQGAHFDRKLPIRLL H257_17082 MSHAMESRGHSSYDTMGSRGDSSHAISSTSGMSLDEQEPRLRLQ CQSLLKSALATPINIATDSGWTLKHEKQGVRVYCKSLQDKELDKYVCTGTLNTSLPCL AWGLYAATTDDLRISASILYESEFMDAKVVRVFEAASADDSFKFSGVKYIKTQMPVQS THVSRDAVYFEYSGCRALPNGSRYLFVVQDAIQSKQVPPQTNVARESITIVYLFNELP GGRVQFSMESSVLPVGVIPTWFTAYSYWQTMARLECLPEVRSIIVSARHFNVAWVPDS ARKHCIVCTKRFSPLRSRHHCRSCGDIMCAACTVRIAYTPLFLQSEPSQKAMQERKIC AQCIRVTKESLIAKYPLHAIKPPSQSTNISCFDEHNTLNEGDISKVNHRLGGISTSDT TATTKNDSPPPRQCVSMDEGNCQHDHDYLDNPFQSMALTRPTAARYRRKTPGPTSEGF IVCQFNGSNLETIHTTENGLTLSIAHQH H257_17083 MEFEQLAVNSPCAESHLHPTTMDVASTQKDRVRDDAQRKLEWAC LFCMLFMFVEFLGGYFAGSLAIMSDAAHLLSDVLSFFLSLFALYLSKLPPSKSMPHGY KRAEVLGALASIVVIWILTLGLVWAAIQRILALLSTSPDAIVVPPVNGKAMFIVACMG LLVNIALMKILGHGHSHGGHGHSHGGHGHSHDAHGHSHGAPSKQSPDHHSHDHHHGHS HGHAHDHPSCDHVHQDHADESHDDCGRSSCVTACSSHDDHDLEEPEAESSAAPGDGCA AENLNVRAAYLHALGDFLQSLGVCIAGALIWYEPSWQLCDPVVTLFFSVIVGATTVGI CKTTLHVLMEGTPVELDAESLERDIKTLARVKDVHDLRVWSISSSSFAVAMHVVEARG LEAGDASSSLVVDVQACVMAHHDFEFVTVQVEQDRDAKLCPFNLRQVIQVVV H257_17084 MATSPTTPALTLEEKAWFEQRTKDLQQYSSIGAGTGAVVSAAVT FVGPFPRRYQIAAILGSSLIGSISGYLLADSKSLERINDLSATSQLRKQLTKIHQTKK AAEAATASAKSS H257_17085 MKWLRSEEVEYISLIVNEDAAHDCVQKLGDLGVVEFTDLNPELT PFQRRYVSYVKRCDEMDRKLRFFAGELSKLKITPKSAGSIDSFLAGSADVRYGSQDTA VRALDTLERILEDKEQELLQLNNMHEKLTREYNERKELQEIISRVGEFFEIDIPDVAR GSRDSRVSSRTGSSLNFSTTPVEATSESDLAIRFKNITGVVPADERLKFERMIFRATR GNCLSRFSAIDDLLVDPGTGVAVEKHAFVIFFQSQFIESKLRKICDAFHARLYTLPSM DDRTAIANLIQSNNAELNQSSHILRRNRESCVLLCRELAEHYESWKWSVLQEKATYHT LNTFKADVSGMLRGEGWVVKSALDQVRREVERAHSADDKSMPSLVDTVAQPWPVPPTH FETNKFTQVFQSFVDTYGIPRYGEINPAVFTAVTFPFLFGVMYGDIGHGFCVFLFGVF MIATEKILERGRVGEMTAQLYGGRYMITLMGAFAIYAGFVYNDFFSLPLNLFGSKWKY PDNCAELGKAHIKCEAYYDGGNASSSLVHVQSGRNVYGFGLDPIWKTSENELLFFNSF KMKLSVILGITQMGFGILLKGWNTLYFKDYALFLLDFVPQFVFAASLFFYMIVLIVMK WLINWAERMGHEVCPFDFEGPHTGCRPPALINTLINIALQPGNVADPMYAGQKKTQNF LLLLAMLSVPVMLLGHPLYLKWVHGKAAGVPEIAHQVDFEQLEETDDDHAKLAPPAAA SHGGGHGGHGEEFDFSEVFIHQAIETIEFVLGMVSNTASYLRLWALSLAHSELSTVFF EKAMLSAINMDSFVAIFIGFTLFAATTFSVILCMDVLECFLHALRLHWVEFQSKFYKA DGRKFHPFNFKEMIRATQFEAVD H257_17086 MELYASLADTGGPKKKEYWDRRTFTSLEEQQEAMRNSSTLYVGN LSFFTSETQIYELFSVVGPVKSVIMGLNQLTKTPCGFCFVEYFSKENAQACSNFISGT KLDQRVIRCDLDGGFIEGRQYGRGTSGGQVRDDRRSKEDYDPGRGGYGKKEDDYGLST AHFRRPGTLKRARDDSVDVDRNIRHSRKSGDDEVTEPVDKAEVNPRFQERDSDGEQDN DDVDGGDN H257_17087 MDWSSVFDDDAAAATHNRSRDEKSKISLASSLNEEVEIGETRSS RAAHQLMSFSRSLSFNPAEMDSSSSSYTPLVAYSFTVNYILGVGSLGVPYALYKAGIV FGSVLLVVVSFISYVTVMWVSETTARARDLDAKNSKTKLLRDPFHFPEVTTLCDRFLG VVGATLYQLSLLGLMYGGLLGYSQVFVNSIVSQLPSSFESSTVVVAVVFGLIVVPLSC VDLSEQIHVQVVMAIVRFVALTTMIASATVALFVDTRDSGITSPMIAQGPPYASDVPW MNLDSFGLLFSTTVFSQLFQHSVPGLLAPLARKDQPKAPSIFGYALVTTTLFYLALSL SCCYYFGPKISSSVNLNWASFSWGVDGDVPLWGRFLSFLVVLFPALDTLSVFPLIAIT LGDNLAASLKGRLVWLSHKRLICRLVASLPPLVVAVVVTDLSVTLQFSGIFGIYVAFI TPALLQLFSRREDPRLNVYSGRFSSDGYIFAVLAFGGVAVVVATVQVFGRP H257_17088 MAYNMYDLEQKKMVTSVKVQFRENEFLGERTLIDEYLVTVDIDD DDDKERLTQGETSARPATLSVSTPYTRTPSTSAATAPPAKRSHFKVPLPLLSRRISDD LYRTSPNKRPHIHDFNPPASTDRITLRDRNTIRTPSRFVDKPRQSNNSVDRVLDDLNR ATRDKATPPWSKTTNPLR H257_17089 MTHLVAFGSLIDHVVGNVAKHAKLVQAGLVVGEGLYRGRRDVGA VWYAVLDEHRCIHRFSPPTLRETGVRENGHGHLHDGTVSSFCRPILPLIVCRRRLVND TPFLKCFVESVGGVLVSAVGSEDLDLPSCLRLGPRHYFREVGLLGGGVDGIDEANDFH ARTGVKVPKYSQYANNYHITFNKVNNPFLARALVKALALMTKCVIAAFNPTSDQNVAS PHLRVIFKTSSPPAALVPKNGPPLREITVVDPSGQAATLVFQHKIA H257_17090 MVQHQFIALAALAALAVTDVTGKISVQVHRNLEVANQSNVVVQF YSDESLATHRRRLKGGASRTEIIKSLVDLLKEHTTTSQASVKSLLANQVESTAVEVGT TWIDSSMYIDNAPTDLVQKIAALPEVKSIYESVVMELGETKSDDEPANAVVNDAIEWG VKKIQAPALWAKGIKGDGIVVANIDTGVRHTHESLKSNWRSEYGWFDPYNKTGLPNDA EGHGTHVMGTMVGTNGIGVAPHAKWIACKGCSGRLCNPRKVVECGQFLLCPHDDDGNN CDPSKAPHVINISIGSHTKKFWLEDTITKWREVGIIPVFPNGNDGLKGCAYSDYPAGS PQVIAVGNTDSNDFLAFDSSLGPFGKRGKPDISAPGKRIRSAGYSSNDVITSRSGTSM SAPHVSGAIALYLSAIKGASYDQVYAALTENADTDTLTPPDKTCGGIPNTKYPNNLFG HGRLNIFNAVAADFPGLTLPPPSKSTQVLKPFNDLSTCGTLEVNTNYIGGDLTSVNLA TAESCCAECKKTKGCKAFVWYTLNGGLCRLKDTQGPKVHVDGARAGVLPAPASGRRP H257_17091 MGQLTRDARPTDQDLTRNFHRDMIAMLAAANDPAVVAEASALFH AAFPPRHQPRPTCARSCTASTDFIDEKLHVLGTLKLKTRSLEWAVARGVRSQDIHSVF GSVAADGSQAKWDALSAQYSQIIVCPSSTSRRYKPSRRSKRSWPGTQGAFARPLGLFF ENIRTGAVMYARDMTPLAAWIQTL H257_17092 MDHVVENRKNLYAVDVLTAMKWSLDAWENVTLTLRWAVEVTSLQ ADRVVAMMEVTKVSIADVVVARDMNRTIVVRFAAARELRVEALQATRADDFGPLVSDV TPCLEIKSSSSSVPLCSSTGSGGRAPFVVLLPDQPGGNSEMDDQLLAPDDCTATTVV H257_17093 MVQHLLTKCTPSGKLRMRAADTVAEMFGCTPTTVRRVWKHASVD LSGNTTICHSVWQRKKGKSGRKQLYTDLPQRIQTIPQSR H257_17094 MLTSTLHTYYKRDVIAKYSSVVKPSLTDANTVCRLNWALDHVRD IDGEKFINAMYDTVNVDEKWFFITRLQRKVIGAPGEKIKQRTCRSKRHLLKVMFPSAV ARTRWDDSKQEWFDGKIGTWHFTETVLAQRRSCRRDAGTPVMKTVNVTGPTYKAMLIG NVIPAIRSKWRSGESGAIKIQQDNARPHIPPSDVDIVAACKAKGWDMQVAFQPPNSPD LNVLDLGFFRAIQTLQVEKHSSSFEDIFAATEEAWTRVSPLTLNKNFLTLQRCLEKVM LRNGGNDYKIPHMKKDAL H257_17095 MPIGRGSVNTTEESSIGDANVPPAFFHQNRTLSPRTATEARVHH VQSIRWLLYPRAQILVWLTASTVVIAPILHLLLFLPDTFTSNDLINGPSHAYAPE H257_17096 MVKFTSIVGHENVTTNGSKHTAYILEVQVDALFYIVRHRYHEFK DLHDTLVKEGFKCHAVPPKKLIGSFNPDFILKREKELSSWLNQVCESSAAQSDALKNF LLESKEPRVVLPPAADVAVNPVPPRPPVATSSTADSITDGELEREIEDEIALYNTPKT SIDDFELLKVIGKGSYGKVTLVRKKDSDKLYAMKTLNKSNVKRRNQVEHTRTERRVLG FGKHPYIVHLHYAFQTKQKLYFVIDYCPGGELFFHLSRMERFPEAMAMVYAAEITLAL DHLHSLGVVYRDLKPENILFDAVGHVLLADFGLAKEGITDGAEGTNSMCGTPEYLPPE ILDRVGHGTSVDWWALGMVLYEMLTGLPPWYTRNRQKLFDRVRHAPLTFPNYVSAPAQ SLIAGLLNRNPVERLGNANVSEIKSHPFFASIEWDALLRREVAAPFNPCETLAGTDET KNFEAEFTKMTLNSVPDATNMGSYRASMDAARNSMTFQGFTYNTPSDINNIAERNSTQ F H257_17097 MSPSSFEYPQLIVPIIKIVCQVLSSCGALFMLLSLRNSPTISSK ILCFTAVSDFIFSTTGALHTVARVLDVSNWAIDVFLTAPHWGFEISSFLWTAVLVVYI LSRQANHSSFQLMYAHVGVWTCVILYIGLESYALLSHEPDVTKLARMLWDAFALATLA SVSYGLCAVRAHKLRTGQLGQSVILAKLVGYMLLFVACVTPNITNDMLSLALTTSSSD NGASSNGDLFSHIASLLFALWPLGTSVVFSSRLPWCSSIAKHNQNQHNQNHHQTSSTP SQTYQHRRMLEAHFGLPSTHHRSHHHPLPPPQELVGLEIGAQIGQGLAVVYRGTWRGA VVAVKMKTLFVDDEALADVAFVAECNHEIQEEALVMKRLTHPNIVLFMEAGFYRGSIC IVSEYCARGSLRDVLRSPLLWPMKIRLALGLAYGLQYLHNSRMIHRDLKSPNILVDET WHAKIADFGTLRLAEIVRSQNPQIKSVEMTGLVGTTRWMAPEVIQSKKNYTEKIDIYS LGVILWEMIDGKELPYEQYRWNHEIEKAIVDGKRPPIPAHACPPRWKVLIQLCWHVEP TERPSVGELIRSLQRLATEDIKDIRHHNVPFVGNLQQMDCEYILKYTRCDVDYDNHQV GYDTSRHMGGGSSSVNTIALLEDTGSLASQSTIYTM H257_17098 MVKIIVAVLSPGVASAFGTITEFPTEMTSLMDQTVDPCTDFFSY SCGTWYMKTPSNPTKAASDKVIEKLFNAKLPKLTEFYDACMETATLDTLGLAPIDAHL KAIRSANTTAIGVQLFVKLSVSPDDADVTRNILYADNTGLPFGQEYFHKPLWATVEKS YRQYLATIFTLAGHAEVETAIDVVIKFERMNAGVEPSKRRLQEAVTPRRLSLSDANAS YPLGLGLPLQGFGFDVHKGCNTTTVLVNGPYYFDFLEKMLRRMHVDDLKTIIEYKVLD FNAPVLSTPFAKARSDFYDMVMYGRNPHHHHGPRSAAKRPTTNYYY H257_17100 MVKIIVSAVAALSAGVASAFGTITEFPTEMTSLMDQTVDPCTDF FSYSCGTWYNKTTLHSNEARINVHSVLAAAADKVIEKHLGLGSHRSPRQGNPQRQLDR RSHFRGATISKATGVPLFVKLSVSATTRNILSAVHPGLPFGQEYFHEPLWATVEKSYR QYLATIFTLAGHAEVETAIDVVIKFERMNAGVEPSKRRLQEAVTPRRLSLSDANASYP LGLGLPLQGFGFDVHKGCNTTTVLVNDPYYFDFLEKMLRRMHVDDLKTIIEYKVLDFN AVNTVCKSTFGLL H257_17101 MVIYDEPPPPPRATISREQVTSSIGDLLGTYYLKEVWTDEIAAR ADSLVLKLKATFKTGLDNNPKTYPTLTFDPKAYIGNLNKVSAFDTAFNLAQIDTAPPI FDAKADPSSNYAAIGVTISHEITHAFDSFGRYFDSDGKFNPLWTATVMKLFDEKSKCF VEQYGSMDIKSELTGDLLGKVNGKLTLTETIADNGGLNAAYRGYQDYMHAEAEATKYT KETGEKMFWIRYGQSWCEKNSDEYLQFCSPTYTPLVASA H257_17102 MNATSFIIARCILGTIRLPRPAHYSAYRARIFSERIIVGGAALS SVPPPEARALPQTMHELCKTVVGRWSDAAKRSKLRPPCMPANRFTSTRVTAFDRLGQP HAAGIHSIMIWTDCCGKV H257_17103 MLKAAYKTGLDSAGCLDDTTPANAKTKLSKPTHLLGGPRNPKTY PTLTFDPKAYIANLNIVSTFGTAFNLAHIDTAVTSYRHCRGQADSEVCCARREYVEHV TPSTLEFPAVILQSLFFDAKADPSVNYYPTVPLVGHEITHGFDNRGRNYDGDGKINSW WKAAVRATFREKAKYFIEQYRSMDVKREFTDALLGKLDGNLTLVKTIVDNGDLNTAYR AYQDYVRTVADATNYTEETGDKLFWIRYGQSLCVKNSVDYLRLHLANKHPPGPHRMIG AVQNSIDFAKAFNCPVDSPMNPTKKCVLSE H257_17104 MVKIIVAVLSVGVASAFGTISEFPIELTSLMDQTVDPCTDFFSY SCGTWYTNTPLHANQSTTDATYAVIEAAAYKLVEKLVDAKLPKLTEFYDACMDTATLD TLGLAPIEDHLKAIRSANTAVEAIFRGATISKATGLPLFVSLPVLADTIDATRNVLKA GHVELSIGKNYYEDPTLWATIEKPYREYIAAIFTLAGHTKAEVEAATSVVIAFGRAGA GVQLSNHKLQVAVTPRRLSLSAANALYPLGLGLQLQGFGFDVREWHSTTTVLLDDIGY LDRIEELLRSLSVNDLKTIIEYKVLDFNALFLSTPFVKARSDFYDMVIGGLKEPPSRA TICRGQVDTSMGELLGSYYLKEVWTADTAARADSLVLSLEAAFKTGLDSAGWLDDTTR ANATTKLSKFSHLLGGPRNPKTYPTLTFDPKAYIANLNKVSAFDTAFNLAKIGTAVDK QIWWYTAQTVNAYHDRPANAIVFPAATLQPPLYDDKADPSVNYGATGTLVGHEITHGF DNDGRNFDGDGNLNPWWTAAATKMFDEKAKCFIDQYGSMDVKSEFTGDLLGKLDGKLT LVETIADNGGLNTAYRAYRDYVNAVAEATKYTKEAGEKMFWIRYGQSWCEKNSDEYLQ ILLADEHPPGRYRLIGAVQNSVDFATVFNCPVDSPMNPTKKCVLWE H257_17105 MRVRLSVNDLKTIIEYKVLDFNALFLSTPFAKAHSDFYDMVIYG GDVYRRTTGLVLPQGSVDTADTSARADSLVFKQEAAFKTGLHSAGWLDATTRANATTK MSKFTHLLGGPRTPKSHIDTTYDNQIWKITAQSVNAYNDLLKNTIVFPAAILQSPLFD AKADPSVKYGAIGFTTGYENTHGFDQNGRDGKINLWWTDGCRDENVPRKSQVLHRAVW VNGNGKWTLGETIADNGGLNAAYRTSRDYVHAVADATKYTKGASEKMFWIRYGQSWCV KNSDKFLPIHLTNPHTPGRHRLTGSVQNSVDFAKAFNCPVALPMNPTKKCVLWE H257_17106 MNKPPASRAHTESVEPNDKPMPPRRPSEGRRRPSYQRGSTSATG HPEPPARRQPTAGGRSRQTPYEGSPSAATSAISEYTFDYSHATANIPRPPPSTPTYLN NVAPISSKDAAVKSSTMALLLTSTFTIDDAFRAIDRAIQAEGDGRFREALKHFLDGGE IIVSAAEREASPKVRNLLLHKGKEVLEWAEHLAEWIERYQSHSAPLRVAKPMAIEVEY DRSHNSPELDASEARTMVYTPVCCSAKAFTESGYRLQCIQSGRRPKLMVVITMYNEDA SELRSTLRKVCNNVYYLKQRSLPGYEGDDAWKQVLVVVVSDGRTKANKGTLDWLGSVG LYDEDVMHITSTGVPVQCHLFEHSLQWTKEDKSLRFPPLQVAFALKEANAGKLDSHLW YFDAFCEQVMPDYTVLLDVGTMPTKSSFYKLLTALEINAQIGGVCGEIAVDQPIPNMC NWVVAAQHFEYKISNILDKSLESCFGFISVLPGAFSAYRYKAIRGEPLEAYFKSLTTS MGDLGPFAGNMYLAEDRILCFELLARKNCNWTMHYVKDAIARTDVPTNLIDLIGQRRR WLNGSFFATLFSIWNWGRVYTESNHSIARKLALLLLYVYNILQVVFSWFLPANFYLAL YFVIFQGFQENRWNFIDTSEVSPLIRDGVPVVFNALYAVTVFTQVAVGLGNKPKHVRA THYVMSLLFGGLMLMASVIAIVIFLNATKSTEAIVLALLILGTFFVGSALHCEVHHIA LTFIQYTAMLPSFVNILMVYSFCNLHDLSWGTKGIDSGGHGEVKSGGAVGQYKDVVAK RKALEARKARQALEQDELKKRFDAFRTNLLLLWVFTNMTFVAVAVASIKAEWYLPFLY YFVAAFNAVRLAGCVGYLLYYARQFLIFNTLSATGALHKRHEARKAHQNNEVEGGDVE LNTIEDGGGAGLLLRPSANDEGGPPMEVVQNAYTRMR H257_17107 MVKIIVSVLSAGVASAFGTVSEFPTEMTSLMDQTVDPCTDFYSY SCGTWYNQTTLHSNAVINMFTVIADASDKVIEKLLNAKLPKLAEFYDACMDTDTLDTL GLAPIEAHLKAIRSANSTIEAIFRGAAISNATGVTLFVKLLVLPDNADVTRYVLIAEH PGSPFGKKYFHQPLWADVEKPYRDYLATIFKLAGHAEVEAAIDVVIAFERLFAGVEPS KRILQEAVTPRRLPLSTANASYPLGLGLPLQGFGLDVREGRNTTTVLVENHHFFDYLE EMLRRMPIDDLKTIIEYKVLDFNKRHLSAPFVQAWFEFYVKVIEGRKEFPSRDEICRS QVQTSMGELLGSYYLKEVWTTDTAAFADSLVLKLKASFKNVLDTAGWLDDTTRANVTT KMSKLIHLLGGTKNPKTYPTLTFDPKAYIANLNKVSAFDTAVNLAQIDTAVEKEIWVD TPAYDANAGYHEATNTLLFPAAIWQPPFYYAKADPSVNYAAIGSTIGHEITHGFDNKD AFDIDSDGKINLLWTANVTKTFDEKAKCFIEQYGSMDVKSELTGDFLGKLDGKLTLRE TIADNGGLNTAYRAYRDYVHAEAEATKYTKETGEKMFWISHAQLRCAKNSDEYLQFLL TDEHPPGRHRLIGAVQNSVDFAKVFNCPVDSPMNPTKKCVLWE H257_17108 MVDARFVPTTNGYELLIKWCRLQDVENSWEPADNIFADVPVMFK AFCKAAKSAVIKEMAVAYEVK H257_17109 MHYEFGHAKGRGGRLPKCIQKHEALSLLLQFYCALFEGKTLCEL FRMAPATLARTLTKASARLRPTLTGLRAPQ H257_17110 MATTKDDRSENDFGPIATAIRASIDSNPFNRHESVGVPYCYMCM EATCEVDEGSPLELISPCVCRSVVHRKCLNQWRATSNTQNAMTHCPTCKEAETMATTK DDRSENDFGPIATAIRASIDSNPFNRHESVGVPYCYMCMEATCEVDEGSPLELISPCV CRSVVHRKCLNQWRATSNTQNAMTHCPTCKEAYETTDTPQVEALKAPIFWAKVWRVAA FVALVLGGSLIVLLVDAGTPKFFNLHWNALDGEIYDWVGLPSVPRYLVYVMLSLAMTL FVMAVLWLVRWCHRNRVCRDGVDCSNVWMCNCEYCTDSCGEDMLIMVVLVLVIGVFVG LVMLVMAIVGAVVNAVDGQRERRVRSLQVQQTYVRNLRSLSSV H257_17111 MVKIIVSVLSAGVASAFGTISEFPTEMTSLMDQTVDPCTDFYSY SCGTWYNKTTLHSNAVINMFTVTAAASDKVIEKLLSAKLPKLAEFCWALWGGSITQLG PTLRPSCPSLPTSWGEPKNRKTYSTLTFDPKAYIANLNKVSAFDTAFNLAQINTAVDK QIWETTAHDVNAWNQRATNTLVFPAAILQPPFYYAMADPSVNYAAIGSTIGHEITHGF DHNGALVIDSDGNLNRLWSATVTNTFNEKAKCFIEQYGSMEVKNELTGDLLGKLDGKL TLRETIADNGGLNTAYRAYRDYVHAEAEATKYTKETGEKTFWISHAQLRCAKNSDEYL QFLLTDEHPPGRHRLIGAVQNSVDFAKVFNAPMNPTKKCSELHTNFIRFWHSLLVSSS FIVYFL H257_17112 MEEAFVRMMGAMEQQQKMINQLLEAQAQTHTQMMRDQQAHQEQQ LLLHQQLQDAMNQLANQQPVEQQRDTERRVEGLSMPAYHGHLNESIGLYIHRVKTFFM AKNLNYEQNEVVEARCLAMVVANLQGQAAAWYQELASRRVNGGFRTLVDFEQALPRKK DRSKLRCFNCQGFGHFAAACQKPKKKISGDGPPGKQNNLEVEEAPSDQDVEYITFGAM EENGAEGVAVSKAQVGRSQESGRAPLMIKSGIINGKVVNILIDSGATNSLCRVGLGKN VIRSKAVRISGYDGLMSPLTETRELKETVQIGTFTFHDTQLTEWDLKDKAFDVILGQP WFKKHNPVIDWRKHDGWSVHLQWGGSIPRISEYI H257_17113 MIAHHTNNVQSVKWNLDMSIILTSASFYGSLVVLDGRASSVLQF LALDGRHVDGTTPPQPQHPRLTSKEVMTVIVYDVRKGHSAPFHRYQAHSTVSAFDPTN RAALMINAARFIRSNECKFTYGIHALRRTKVQGDVVDTHMHYVDDYVA H257_17114 MKRWRWMDVLDDDDDDDSTQSIAAIRLYRARVSIKERHYLTCDA LIPPDNSPWMIIYASMKPSSFIATVSIPPDAFHILLQEFSKHYQWKSGFGKPGRPPKL SCKHQALALVLHFYTAATEQKTLCEIFGIPPSTFSSTLAKAEEALFHALQHVADAEVR YPSKSEQRRWALQVAAREPLVHGVWGFLDGKNYSVKSPSCSDLQNAMYNGWLHSTFVT GTLLFGVDGTIVWGRHNFAGSWNDGDTSLKLQVKLLDERRTAVGTGVVADSAFPVRDG LRGKIRTPLKCGDLERASPVCREGLLLLSNAITALRQAAEWGMGAVEKVYRQLLLPLP FDPTLRHKRLSNMYKLYNFRVRRTGISQIRSTLG H257_17115 MPPKGKGRGKGWSTPETMLLLDCIERLQPLGGNHWDTVQSQYNT LSEPSWIARDSDSIRRKFKTLKNVRKPTGDPDCPVEVVRAKRINRLIESRMAVVDMES DKDSEHAPIDDDDDETPPTPEQVPTPVLATPRTGLDPTQLSALAGTTGSTMASQTAQR RRRIDEILSESAENEAIKRRLIFESRDSRQAMLEAMIAMEERQSVREQESRLLRERLA EEREERVRLREDARIARQELLDAQREERQAKMDQVMLVLMSKFLEK H257_17116 MVKIIVATLSAGVASAFGTISEFPIELTSLMDQTVDPCTDFFSY SCGTWYNKTILHANQSTTTIRAKQAVIMASVGKLVEKLLKAKVPKLTEFYDACMDTAT LDTLGLAPIEDHLKAIRSANSTVEAIFRGATISMATGVPLFVKLSPLPNAVNYTRTAL YAVHPGMPFDPKYFHEPLWADVEKPYRKYIATLFTLAGHAEVEGAIDDMIKFERIIAG VDLPKRRLQEAAFSDNVPYPLSVINASYPLGLGLPLQAFGFDVCEGSNTILLDDHYYL DFLEGFLRSMSVDALKTIIEYKVLDFNARYLSTPFVKARSAFYDMVIEGLKELPSRST ICRYQVQTSIGELLGTYYLKEVWTADIAARAESLVLALKAALKTGLESAEWLDDATRT NVTTKMSKLSHFLGGPKNPQTYSALTFDPKAYVGNLNKVSAFDTAFNLAKIDTAYDKQ IWLPPFTAQTVNEYYFALTNLIVFPAATLQPPNFDVKADPSVNYGIVGYMIGHEITHG FDSRTTNYDSDGKINPLWSATVVKTLEEKAKCFIEQFGSMDVKSDVTGDLLGKLDGKL TLGEVICDNGGLNAAYRAYRDHVNAVADATKYTKEAGEKMFWIAHAQLRCEKVSDVFL RIHLADPHPPGRQRLFGEVQNSVDFAKAFNCPVGSPMNPTKKCGLWDLQTRSDSKE H257_17117 MQDNTVVWKQYLRDVLSESIEEPSVVLMNNFECHVYDESYKIIH EELGSHLCALSPNATSKKVRRARNSNLGLTQDTQLV H257_17118 MIAWNKINQRAWLMSYLATKKSDVAYDSLLRLLRRFFQRYGFSR QRQTKNKLKQASYENYCVFNVDEAGMYYNLPPTHIWANHSGSAKISTGEKHSMRMTVG VPGARIETNEFPTIPRGHR H257_17119 MDDNGEISQHEGGGDDEIRMTGLGAIAHHGPYMQTSAVSSVEQT AMDDDDVSNVFVHDEEGCDGDEEPTETKRPRRPNPIQAELPNRSGLFHDTVRLLGKRF IVEKFPNPPSSISSSASSMSFVTRRRRSLDTLIEQAQNEQPAGNDIPSLVVMFEERAQ KRQEERDAREQQREDERQRLRDEREERDYQRQIVREEHEEILRREDHERYKKKTT H257_17120 MSRHPDSHATTDSVCIRDPLFQVCRRLCPNLWRDPVTAGDVPTS AIADSVCIRDPLFEVVCGADDGRTLRQCNMCSSKFHHMCIVEEAARNGWPEAEEGQKL YAVHAVPSTAQDVPPPARKRGRPKGAKNKAMVQDVEGESTRKKRVRPPKSSSNANMPP TPSEVDVTPISVGVSEFTPDHKSIRSQTMFRNVSFRPLQEMQIHKNDKNLLAFYADCQ DFMLSGVVTEVRYVVGSENDPDIDTPGKNTKAEAKAMVALYKITWNHTRFQRDEPWIT ARLFYKGVLRPEDESHDLTEWDKKFLANSSFDEETKSDEETNDGSLAPQDDDSSGDEW SEYVDRGWKKHGYYDATEDIEGLEDVEWTCRGHYAGPTDLYEHEDNKDDTPVDELRIS EEFKHLFKDPVKGFLAP H257_17121 MGMQSLLHAALIKMLVHSIRHGHVEGIRLTIEKSVDVRYIDKKG RNLLQLAIKQDTATRMQMYVILADAGCDINHIDLRGWSCVHYACATGAEDVLHDLLRR GADVSFNRFGYCGDMDLLFPRIVHARSLLQHTEQLTHNDQVQRCWSIFHRHMQSTGYL LHTHTDVHTFQTPLKLSFHAPMDHSALDRIRIVDVHSPQPISQQLSKTFLVPPGAVGS FTLDAEVLHRPSLFHVYYEQHLAMPMPLPLHALERSLGVKDLDSGRTVSAQAANDAVL AALESMRSSCEPHHRVDIPTDDAESSTSSSVECTQVDNEWGGGCSYRCVAMLTLSLVP PTKPTKPHPHGHHFLYDLTVSYTGHIGLTLEGLPMDATSHKVLLVVVAVSDAFGQLFP HVKPGDRLVAVNGSTAEYAGLQHTIWELKDARRPLVLQFQRPDGGQKSTSSSSNRLLA RLRYGHGAVLAA H257_17121 MGMQSLLHAALIKMLVHSIRHGHVEGIRLTIEKSVDVRYIDKKG RNLLQLAIKQDTATRMQMYVILADAGCDINHIDLRGWSCVHYACATGAEDVLHDLLRR GADVSFNRFGYCGDMDLLFPRIVHARSLLQHTEQLTHNDQVQRCWSIFHRHMQSTGYL LHTHTDVHTFQTPLKLSFHAPMDHSALDRIRIVDVHSPQPISQQLSKTFLVPPGAVGS FTLDAEVLHRPSLFHVYYEQHLAMPMPLPLHALERSLGVKDLDSGRTVSAQAANDAVL AALESMRSSCEPHHRVDIPTDDAESSTSSSVECTQVDNEWGGGCSYRCVAMLTLSLVP PTKPTKPHPHGHHFLTAHGRHEPQGPAGRGRRLRCIWPAVSAREARGPTGGREWQHGR VRRSAAHYMGAQGCQEAAGAAVPTPRWGTEKY H257_17121 MHNLPPLLDITMSCRLAIKQDTATRMQMYVILADAGCDINHIDL RGWSCVHYACATGAEDVLHDLLRRGADVSFNRFGYCGDMDLLFPRIVHARSLLQHTEQ LTHNDQVQRCWSIFHRHMQSTGYLLHTHTDVHTFQTPLKLSFHAPMDHSALDRIRIVD VHSPQPISQQLSKTFLVPPGAVGSFTLDAEVLHRPSLFHVYYEQHLAMPMPLPLHALE RSLGVKDLDSGRTVSAQAANDAVLAALESMRSSCEPHHRVDIPTDDAESSTSSSVECT QVDNEWGGGCSYRCVAMLTLSLVPPTKPTKPHPHGHHFLYDLTVSYTGHIGLTLEGLP MDATSHKVLLVVVAVSDAFGQLFPHVKPGDRLVAVNGSTAEYAGLQHTIWELKDARRP LVLQFQRPDGGQKSTSSSSNRLLARLRYGHGAVLAA H257_17121 MHNLPPLLDITMSCRLAIKQDTATRMQMYVILADAGCDINHIDL RGWSCVHYACATGAEDVLHDLLRRGADVSFNRFGYCGDMDLLFPRIVHARSLLQHTEQ LTHNDQVQRCWSIFHRHMQSTGYLLHTHTDVHTFQTPLKLSFHAPMDHSALDRIRIVD VHSPQPISQQLSKTFLVPPGAVGSFTLDAEVLHRPSLFHVYYEQHLAMPMPLPLHALE RSLGVKDLDSGRTVSAQAANDAVLAALESMRSSCEPHHRVDIPTDDAESSTSSSVECT QVDNEWGGGCSYRCVAMLTLSLVPPTKPTKPHPHGHHFLTAHGRHEPQGPAGRGRRLR CIWPAVSAREARGPTGGREWQHGRVRRSAAHYMGAQGCQEAAGAAVPTPRWGTEKY H257_17122 MSTPTPHADYNELKKDTTVALDDQVAYIHQLEEAGVEVDTTFSS MPLPLKIFWGVFYFCLALTSLYFFMVSVKVIGESFSLLLGCEAKSAFEFAHNPVAGLM VGVVATALLHSSGTVTSITVALVGAKGLTVRQGVPIIMGANVGTCITCIMVAFAQVGK RDQFERAMAAATVHDMYNIWSVIVLFPIELLFHPLELLSLAMTGGKTSFHFKSPTGAV VNPLSNLIVKSDRSMIQKVSTGKVSCDQAVFMKAGMFYDSVKDNSMSNATAGGICVTI GFCMLVFSLVSLVHMLTKLFRGSAQNLIRKMLNFNGYLNIIIGTAITFCVHSSTVVTS TLTPMAGLGLVSLEQVYPIVIGANLGTTVTAMLASWVSGQSDAVAIALVHFWFNMWGI LLFYPVPMTRYPILQWARRLAYYSARWPAVAALFLVLLFIVGPGVLLGLTYLFQGETV AVVFGVIICVGVVATVLGFYWWYFKKGGRAKWHAFLEAKADAYHAKETAKNNVQEVHE QV H257_17123 MRSYLRDVTGQASGKSRRCVLCHAKEKSPACVCDSYLCLACIAL WKSEANALGCNCHANRRREIATEPISPVPVETTNDAPSYKPQPIVVWKSIQFVPLEPP RAVHNKGPPTLLGRFAIKETSTY H257_17124 MSCNKDLVPLQFSNCELSTMSTPTPHADYNELKMDTTVALDDQV AYIHQLEEAGVEVDTTFSSMPLPLKIFWGVFYFCLALTSLYFFMVSVKVIGESFSLLL GCEAKSAFEFAHNPVAGLMVGVVATALLHSSGTVTSITVALVGAKGLTVRQGVPIIMG ANVGTCITCIMVAFAQVGKRDQFERAMAAATVHDMYNIWSVIVLFPIELLFHPLELLS LAMTGGKTSFDFKSPTDAVVNPLSDLIVKSDRSMIEKVSTGKVSCDQAVFMKAGMFYD SIKDNSMSNATAGGICVTIGFCMLVFSLVSLVHMLTKLFRGSAQNLIRKMLNFNGYLN IIIGTAITFCVHSSTVVTSTLTPMAGLGLVSLEQVYPIVIGANLGTTVTAMLASWVSG QSDAVAIALVHFWFNMWGILLFYPVPMTRYPILQWARRLAYYSARWPAVAALFLVLLF IVGPGVLLGLTYLFQGETVAVVFGVIICVGVVATVLGFYWWYFKKGGRAKWHAFLEAK ADAYRAKETAKNNVQEVHEQV H257_17125 MDGNYFLGPLNVLIFSVVPLPHRPLVSNAGNVVWTTYLSYRANQ HIVHDEQPRVRTRRDEAAEELERALNESLQPDFLAQMVDAEWRLRHLAVTFPSPPEIQ AVNDLFPAEDATCLDDDEDADDASAVEESI H257_17126 MARLFHPFASDNHDQVVDAAMLEGELNQMANDNAMLLRTHNKTY ILSIHSPFKMYWDALIAVATCYALVYIPANIAFGLSDTNRAMFDVQVAVDMLLVLDIL LRFQTSYEDPSTHQEVFDVARLRRRYMVRWFPLDFLGSVPSSFLGPDAYIRYPQLKVI RLCIVLRVKC H257_17127 MAQSTAFVTFMHWMSRHMNTSLLRLFLLGLLYLVAHHYIACGYY VMTLWETIDSKWDVPFVANDSTVVKYVGSFYQALAMTSGSTLFPKTAVEMHVTGTLLL LGIVINASIFGTCATLMKQVNLVADQQASQFDAIRTGLVAHHASEDLQTRVMHYYDTV LGEEKAHTATAHGLDKLPPKLHVQLTLSLHVAFLRKVPLFHTMDLDDIVCLVQGLERV VALPGEVIVRQGEVDPVLYMIEAGTVDLVLIDPDMK H257_17128 MLRAASRRWRPWPAACRSYTTSIPPPLPSDNVTPMLRQYLERKQ EYPDCMLLFQVGDFYELFSDDARRASNLLNITLTRKTKAKAGMSRERDALDIMCGFPL SSLNTYVEKLVRQHGEKVAICNQVESALAAKQRGGVGMDSLVQRQVVRVITPGSLTED SMLLPTQNNYLASISKEPDQATCHIAYTDISTGEFVVLTVHMDDVDSELTRLQPSELL VPAQDDVANVQDSTWWRQRLENAMNALGTVVTFRKQGSVEFANSDASVAAASMIHEYL AYTHVGTPSSHSHACKPSSAVRQLVGRMRFDVSVWRSLELTKSMQGTRKHTLLDAIDS TKTAGGARLLSQHLVAPLLDVGDINQRLDAVESLVHAPYVLQKLRSLLQGHLAPPKI H257_17129 MHLPVVVWSDSSLKALGLTFTTSTIAAPHDDASTVMVCGTQQGT LLLFRSSSAMTWQLDCLMLRHTARIVGLATAVNEWGEFVCVSVDVHGSVGVWLLRDGR CLDWKSNLVAELSPLLGLQTFCNQRYALAYGDQGRMLVLDTWTCATLACLGTGFEQGR RDVGVGECAYGKDKSHQIDSKVWVLGTEGLCKLYNWVQPISTSTSSSIDVPGANAPAC ASYLWQEDSSWIISWATDTLDMSCIHMQINPQTTFVDRSHFPIHVHLSPNASLVLLLW RFKFAIFHRTWLEPGHDTRHHVPTRTTFCLCDDVEFVDGCFTDNHTILLWTSQNTLYS FPAVVCDNVTAQLGQVFVFQPVDDTEVPQSVAYPLARVDVPAVPVLVIPDCQCPRGAS LFSSLPGHVTTLTTENHDVIISFIGSCGCSLLWRLSSSPTAIIVTPLPSSPTLGDDNE DDNLTLSHAILDHGTSPDAPLSTPLLIHGYASGRLQLHALGESSPANISQSQASSPMT LSLPDTVPSNHITALAHLSGVTRVSKHAAALYESYADSPTAGSSTFHAAKLAKISTLL HKLHHHSLTPRATSTSTTKQATSATAPPASSSSASVPFHPPSPPRNPIQSRVCLVFAG TSSGHVVVSQLQPRVSWTVLRSFRRHSQPITGLYVTPSCHGTTIVASVGADRKVTVYA VHQHHHPADQTSDGLSVDVVLECVGHADAVVHVEWAFETNHVLVECADRMIYMWSLTT GILERIVPQVMVRQSTYYHHQSPPTSRRSTHLRHVHVFPCDIEAFAKTTSSVSCLPLS TGSTARIVLNDHVTALLAYLLTWHDDVHIDQLVHDTLGVREPSLAYSIAISGVQDAIT VPLPRPSQHPHATKWQHSAHLTAQLALALVTMCTSVMDMTSQEDQVLWSQLITQIAVV LPERIPHYKEPSLEALAEFGFHEWEASQMASRLLLHGVIKRLPENVRSTRAAAYMTKF QVELQQMDKRPGGWMTLSMGDVVTRLGSYLVVLSILGTCFPGEISPSCARQVCDVLVA CLHGPSHVAIVAAELLAKGLLLFRPHLTDVGQLVLQLIPLTLDDDVAKSRLKQASMRL LVEVGTCEASFVLTVLQQEMNVSDRSIAYREGVLVYLMTWVNLQFRHMVRHLPAVVDT VLTCLDPTKPDRRKKCLAMSTKCLHDLVKRFPMVDFHKATQRLAVGTMDGVILLYDLR MATKWRVLEGHASSIGAVSFRNDGGMLVSYGAREAMVRWWNIGAGGLFTLLKVQQSCV RHLQLAPIHARPVEFSKVIQTCRFRQTAEDGGAEGSMVWLTREDESVLPLNFQI H257_17129 MLVLDTWTCATLACLGTGFEQGRRDVGVGECAYGKDKSHQIDSK VWVLGTEGLCKLYNWVQPISTSTSSSIDVPGANAPACASYLWQEDSSWIISWATDTLD MSCIHMQINPQTTFVDRSHFPIHVHLSPNASLVLLLWRFKFAIFHRTWLEPGHDTRHH VPTRTTFCLCDDVEFVDGCFTDNHTILLWTSQNTLYSFPAVVCDNVTAQLGQVFVFQP VDDTEVPQSVAYPLARVDVPAVPVLVIPDCQCPRGASLFSSLPGHVTTLTTENHDVII SFIGSCGCSLLWRLSSSPTAIIVTPLPSSPTLGDDNEDDNLTLSHAILDHGTSPDAPL STPLLIHGYASGRLQLHALGESSPANISQSQASSPMTLSLPDTVPSNHITALAHLSGV TRVSKHAAALYESYADSPTAGSSTFHAAKLAKISTLLHKLHHHSLTPRATSTSTTKQA TSATAPPASSSSASVPFHPPSPPRNPIQSRVCLVFAGTSSGHVVVSQLQPRVSWTVLR SFRRHSQPITGLYVTPSCHGTTIVASVGADRKVTVYAVHQHHHPADQTSDGLSVDVVL ECVGHADAVVHVEWAFETNHVLVECADRMIYMWSLTTGILERIVPQVMVRQSTYYHHQ SPPTSRRSTHLRHVHVFPCDIEAFAKTTSSVSCLPLSTGSTARIVLNDHVTALLAYLL TWHDDVHIDQLVHDTLGVREPSLAYSIAISGVQDAITVPLPRPSQHPHATKWQHSAHL TAQLALALVTMCTSVMDMTSQEDQVLWSQLITQIAVVLPERIPHYKEPSLEALAEFGF HEWEASQMASRLLLHGVIKRLPENVRSTRAAAYMTKFQVELQQMDKRPGGWMTLSMGD VVTRLGSYLVVLSILGTCFPGEISPSCARQVCDVLVACLHGPSHVAIVAAELLAKGLL LFRPHLTDVGQLVLQLIPLTLDDDVAKSRLKQASMRLLVEVGTCEASFVLTVLQQEMN VSDRSIAYREGVLVYLMTWVNLQFRHMVRHLPAVVDTVLTCLDPTKPDRRKKCLAMST KCLHDLVKRFPMVDFHKATQRLAVGTMDGVILLYDLRMATKWRVLEGHASSIGAVSFR NDGGMLVSYGAREAMVRWWNIGAGGLFTLLKVQQSCVRHLQLAPIHARPVEFSKVIQT CRFRQTAEDGGAEGSMVWLTREDESVLPLNFQI H257_17129 MSCIHMQINPQTTFVDRSHFPIHVHLSPNASLVLLLWRFKFAIF HRTWLEPGHDTRHHVPTRTTFCLCDDVEFVDGCFTDNHTILLWTSQNTLYSFPAVVCD NVTAQLGQVFVFQPVDDTEVPQSVAYPLARVDVPAVPVLVIPDCQCPRGASLFSSLPG HVTTLTTENHDVIISFIGSCGCSLLWRLSSSPTAIIVTPLPSSPTLGDDNEDDNLTLS HAILDHGTSPDAPLSTPLLIHGYASGRLQLHALGESSPANISQSQASSPMTLSLPDTV PSNHITALAHLSGVTRVSKHAAALYESYADSPTAGSSTFHAAKLAKISTLLHKLHHHS LTPRATSTSTTKQATSATAPPASSSSASVPFHPPSPPRNPIQSRVCLVFAGTSSGHVV VSQLQPRVSWTVLRSFRRHSQPITGLYVTPSCHGTTIVASVGADRKVTVYAVHQHHHP ADQTSDGLSVDVVLECVGHADAVVHVEWAFETNHVLVECADRMIYMWSLTTGILERIV PQVMVRQSTYYHHQSPPTSRRSTHLRHVHVFPCDIEAFAKTTSSVSCLPLSTGSTARI VLNDHVTALLAYLLTWHDDVHIDQLVHDTLGVREPSLAYSIAISGVQDAITVPLPRPS QHPHATKWQHSAHLTAQLALALVTMCTSVMDMTSQEDQVLWSQLITQIAVVLPERIPH YKEPSLEALAEFGFHEWEASQMASRLLLHGVIKRLPENVRSTRAAAYMTKFQVELQQM DKRPGGWMTLSMGDVVTRLGSYLVVLSILGTCFPGEISPSCARQVCDVLVACLHGPSH VAIVAAELLAKGLLLFRPHLTDVGQLVLQLIPLTLDDDVAKSRLKQASMRLLVEVGTC EASFVLTVLQQEMNVSDRSIAYREGVLVYLMTWVNLQFRHMVRHLPAVVDTVLTCLDP TKPDRRKKCLAMSTKCLHDLVKRFPMVDFHKATQRLAVGTMDGVILLYDLRMATKWRV LEGHASSIGAVSFRNDGGMLVSYGAREAMVRWWNIGAGGLFTLLKVQQSCVRHLQLAP IHARPVEFSKVIQTCRFRQTAEDGGAEGSMVWLTREDESVLPLNFQI H257_17129 MHLPVVVWSDSSLKALGLTFTTSTIAAPHDDASTVMVCGTQQGT LLLFRSSSAMTWQLDCLMLRHTARIVGLATAVNEWGEFVCVSVDVHGSVGVWLLRDGR CLDWKSNLVAELSPLLGLQTFCNQRYALAYGDQGRMLVLDTWTCATLACLGTGFEQGR RDVGVGECAYGKDKSHQIDSKVWVLGTEGLCKLYNWVQPISTSTSSSIDVPGANAPAC ASYLWQEDSSWIISWATDTLDMSCIHMQINPQTTFVDRSHFPIHVHLSPNASLVLLLW RFKFAIFHRTWLEPGHDTRHHVPTRTTFCLCDDVEFVDGCFTDNHTILLWTSQNTLYS FPAVVCDNVTAQLGQVFVFQPVDDTEVPQSVAYPLARVDVPAVPVLVIPDCQCPRGAS LFSSLPGHVTTLTTENHDVIISFIGSCGCSLLWRLSSSPTAIIVTPLPSSPTLGDDNE DDNLTLSHAILDHGTSPDAPLSTPLLIHGYASGRLQLHALGESSPANISQSQASSPMT LSLPDTVPSNHITALAHLSGVTRVSKHAAALYESYADSPTAGSSTFHAAKLAKISTLL HKLHHHSLTPRATSTSTTKQATSATAPPASSSSASVPFHPPSPPRNPIQSRVCLVFAG TSSGHVVVSQLQPRVSWTVLRSFRRHSQPITGLYVTPSCHGTTIVASVGADRKVTVYA VHQHHHPADQTSDGLSVDVVLECVGHADAVVHVEWAFETNHVLVECADRMIYMWSLTT GILERIVPQVMVRQSTYYHHQSPPTSRRSTHLRHVHVFPCDIEAFAKTTSSVSCLPLS TGSTARIVLNDHVTALLAYLLTWHDDVHIDQLVHDTLGVREPSLAYSIAISGVQDAIT VPLPRPSQHPHATKWQHSAHLTAQLALALVTMCTSVMDMTSQEDQVLWSQLITQIAVV LPERIPHYKEPSLEALAEFGFHEWEASQMASRLLLHGVIKRLPENVRSTRAAAYMTKF QVELQQMDKRPGGWMTLSMGDVVTRLGSYLVVLSILGTCFPGEISPSCARQVCDVLVA CLHGPSHVAIVAAELLAKGLLLFRPHLTDVGQLVLQLIPLTLDDDVAKSRLKQASMRL LVEVGTCEASFVLTVLQQEMNVSDRSIAYREGVLVYLMTWVNLQFRHMVRHLPAVVDT VLTCLDPTKPDRRKKCLAMSTKCLHDLVKRFPMVDFHKATQRLAVGTMDGVILLYDLR MHITVGHQVASVGRSRQQHRRRELSKRWRHARVVRGAGGDGPMVEYWRRRPLYTAQGA AVVCPTSSTRADPCAACRVFQSDSNLPVSTNGRGRGCRG H257_17129 MLVLDTWTCATLACLGTGFEQGRRDVGVGECAYGKDKSHQIDSK VWVLGTEGLCKLYNWVQPISTSTSSSIDVPGANAPACASYLWQEDSSWIISWATDTLD MSCIHMQINPQTTFVDRSHFPIHVHLSPNASLVLLLWRFKFAIFHRTWLEPGHDTRHH VPTRTTFCLCDDVEFVDGCFTDNHTILLWTSQNTLYSFPAVVCDNVTAQLGQVFVFQP VDDTEVPQSVAYPLARVDVPAVPVLVIPDCQCPRGASLFSSLPGHVTTLTTENHDVII SFIGSCGCSLLWRLSSSPTAIIVTPLPSSPTLGDDNEDDNLTLSHAILDHGTSPDAPL STPLLIHGYASGRLQLHALGESSPANISQSQASSPMTLSLPDTVPSNHITALAHLSGV TRVSKHAAALYESYADSPTAGSSTFHAAKLAKISTLLHKLHHHSLTPRATSTSTTKQA TSATAPPASSSSASVPFHPPSPPRNPIQSRVCLVFAGTSSGHVVVSQLQPRVSWTVLR SFRRHSQPITGLYVTPSCHGTTIVASVGADRKVTVYAVHQHHHPADQTSDGLSVDVVL ECVGHADAVVHVEWAFETNHVLVECADRMIYMWSLTTGILERIVPQVMVRQSTYYHHQ SPPTSRRSTHLRHVHVFPCDIEAFAKTTSSVSCLPLSTGSTARIVLNDHVTALLAYLL TWHDDVHIDQLVHDTLGVREPSLAYSIAISGVQDAITVPLPRPSQHPHATKWQHSAHL TAQLALALVTMCTSVMDMTSQEDQVLWSQLITQIAVVLPERIPHYKEPSLEALAEFGF HEWEASQMASRLLLHGVIKRLPENVRSTRAAAYMTKFQVELQQMDKRPGGWMTLSMGD VVTRLGSYLVVLSILGTCFPGEISPSCARQVCDVLVACLHGPSHVAIVAAELLAKGLL LFRPHLTDVGQLVLQLIPLTLDDDVAKSRLKQASMRLLVEVGTCEASFVLTVLQQEMN VSDRSIAYREGVLVYLMTWVNLQFRHMVRHLPAVVDTVLTCLDPTKPDRRKKCLAMST KCLHDLVKRFPMVDFHKATQRLAVGTMDGVILLYDLRMHITVGHQVASVGRSRQQHRR RELSKRWRHARVVRGAGGDGPMVEYWRRRPLYTAQGAAVVCPTSSTRADPCAACRVFQ SDSNLPVSTNGRGRGCRG H257_17129 MSCIHMQINPQTTFVDRSHFPIHVHLSPNASLVLLLWRFKFAIF HRTWLEPGHDTRHHVPTRTTFCLCDDVEFVDGCFTDNHTILLWTSQNTLYSFPAVVCD NVTAQLGQVFVFQPVDDTEVPQSVAYPLARVDVPAVPVLVIPDCQCPRGASLFSSLPG HVTTLTTENHDVIISFIGSCGCSLLWRLSSSPTAIIVTPLPSSPTLGDDNEDDNLTLS HAILDHGTSPDAPLSTPLLIHGYASGRLQLHALGESSPANISQSQASSPMTLSLPDTV PSNHITALAHLSGVTRVSKHAAALYESYADSPTAGSSTFHAAKLAKISTLLHKLHHHS LTPRATSTSTTKQATSATAPPASSSSASVPFHPPSPPRNPIQSRVCLVFAGTSSGHVV VSQLQPRVSWTVLRSFRRHSQPITGLYVTPSCHGTTIVASVGADRKVTVYAVHQHHHP ADQTSDGLSVDVVLECVGHADAVVHVEWAFETNHVLVECADRMIYMWSLTTGILERIV PQVMVRQSTYYHHQSPPTSRRSTHLRHVHVFPCDIEAFAKTTSSVSCLPLSTGSTARI VLNDHVTALLAYLLTWHDDVHIDQLVHDTLGVREPSLAYSIAISGVQDAITVPLPRPS QHPHATKWQHSAHLTAQLALALVTMCTSVMDMTSQEDQVLWSQLITQIAVVLPERIPH YKEPSLEALAEFGFHEWEASQMASRLLLHGVIKRLPENVRSTRAAAYMTKFQVELQQM DKRPGGWMTLSMGDVVTRLGSYLVVLSILGTCFPGEISPSCARQVCDVLVACLHGPSH VAIVAAELLAKGLLLFRPHLTDVGQLVLQLIPLTLDDDVAKSRLKQASMRLLVEVGTC EASFVLTVLQQEMNVSDRSIAYREGVLVYLMTWVNLQFRHMVRHLPAVVDTVLTCLDP TKPDRRKKCLAMSTKCLHDLVKRFPMVDFHKATQRLAVGTMDGVILLYDLRMHITVGH QVASVGRSRQQHRRRELSKRWRHARVVRGAGGDGPMVEYWRRRPLYTAQGAAVVCPTS STRADPCAACRVFQSDSNLPVSTNGRGRGCRG H257_17130 MGSARLPGVDRLIGSLATIGVGVALYLAHQFLRPKPLTLDEYYR QKYIDPSTLVGHKGSCGCGNLTFIVLAPRSLCAFDDSNTFPSKLGRIPVLLAPMTHLQ MTSNHTATDVAVYSHEVSATYATQHVFCKQCGIHLFHMELNRNDHVAINVYALETDYI EDLRVVFVPKGAFPIFQRVPSSAITDDGETFPALPNGSSSKQRPGALHKQHKENASEF EKQLMLWAQLDPESATDDVAALPPVHDEDPAHVDPASTGKIPDTHPVTLDKIPEQELV RMKYQLQYYLQRHLETSQTQPSCSV H257_17131 MHKSMPPRSSHLATSRDSFPFVMEGDSSYYDDRDDHTSKSSSRV IVINAKELGGDYVRKGVAYSRRGGRTNKVVQCCKFCHIVETCSNEVIYEEEHISVFRP LHPANESHILIVPKHHVRNINYLSSSDLSLLVRMKEVAGFVLSQLGYNVFDSHMHLSF HRPPFNSIDHVHMHAMIQDVKPSSKLSKINFVGSVKYSTGTWWCKSFDYVFTRVAKLR DADDSALSRSRQINRHKRAKSAVVSR H257_17132 MLRLLAGRGCICLWLFAMVMMAVVAGVPVVDITDISTAHKFTPM LVIAFKSWEPYNVSTIATFIDTATALAITLPDLSFGAWDMSLYPMTSPTGFVVRGYPA YLLFESDATVPRKYIGPATPKAIETWLTNTSPLVEFDSIDEWTCYVAAAQEPIALVVV PDLHSPDRLVAEALAAQSDVGVVALRAYDWAVDGAWGKLGPRLWVYDPVLNTQNLYDG AWSVGLLAAFVVKCQRPWLDVFDPYAPFDYSAHTAHGLLFSDPSVVDTHNDLLRLAEQ VLSSKHIRGTYDVSFLLMPSDTSPQLATFFDVTATPAIVWYKDPDTYNAFPQQGTALE ALIRQHYPPPGTELAQHLVAFLQSHPTLQSDPSSKEQGSEGVSSAVVEITSMVQLHAF IAATSNSPTAPVAFIAFYSLRCSSCSALLPRLHQLSNQHTQPIVLLATMNVDAVEYQS LVQVVGGTRFSLPAVYTCRNGDIQTRVGTDSSPPSIDDLLAILSDVPILD H257_17133 MNATTVFTSRDLVQGIVCYQDGIFNDLRPYFRPTLATKLTQGGY YYYPRNTTAAVLHLAIARNDVRVVQRLLPCRPAMFTAQALDLAASFGHLDLVRFLHAA SPGGATTSAMDSAAQNGHLAVVIFLHEQRQEGCTTYALNSAIRENHIEVVRFLVERRT EGCSDATVHHAAATGKLDVLRLLHDTTLGRFSSQTMDMAAAAGQLQVVKFLHCHRPDD GCSADAMDDASRRGFGQVVRYLHDLGKTCTTNAMDDAAANGHLDIVQFLHNYRHEGCT TDAMDQAARNGHLNVVTFLHTHRKERCTVDAMNDAASFGHAAVVGYLKEHLITFCDMN RAATLARRGGHVALAQELEMIPLDIGLSEK H257_17134 MWSMMVRRQPGGHRLASQRCVATHASPAIKELVDDYEKRRAAFE PTKTLPKQSTRNVFANNLLRLGQVEVIGFDYDYTLCHYTEELQRLIYNMARDAMVHKL RYPHALLGALEYDPSFAIRGLAIDTEKALLCKISSHQKLSYTGVFRGRQRLSREEILL AYNGSRHIPISYRAECMKPLNDLFSVAQACLFADVIQFFTDHGIAYEPRAVHEDIESS IAEVHTSGKMHKAVVQDLPLYMEPNTKLRELLSRFQKDGKKLFVCTNSSYRYIDAGLR YMVGNDWRQFFDIVLVSAKKPDFYTRSRTFRLFDVEKRQVQWQAVTDLLPHHVYTQGS LRQLIALKGWRGGKVLYIGDSLFADLVEPNRILGWRTGAIIRELEDEIQIQNSPAYQR LAFQHASLEVLLRQLQEADVHDDEATDDGRPHDAADAVYHHYVNLHSELQMEMEALIN PNFGSVFRVESHPSQFAFSAQRYVDIYSSRLKNFLEYPKNYTFYPERMRLPHEPTPQP PM H257_17135 MDAGSLYRDVVENDAILREILRSQPCSAPNALFHRANLMEKAEQ FVSAFPGVAATKETEQVLWKPCFYKRIEDFRRRIRKYASQSGTDRSIRDHFFKLSGEF QAFLDEAAAYYERLHGYFASSSSSSSTAAALQHSMFRCLIFLGDIARYRELHSHKAKK HFAVAEGFYHRALAILPDNGNPHNQLAVLATYVEAEAIAVYRYCRSLLLPTPFATAEE NLVLLFERNRHRALPPVADGGKTISASSLPKDKSAYLKQFLHRLTRLHGLLVAPPPPP SSPDQHALDSSIVCDAFTSLLAAGVLGDALVLKLFAVNMFCICRSNLQSHAISLTLAM AGLVLQFVHDKPLLLGPVSVLCDFFRAHPHYLTVTPLEETTSPLWAWTQALADALNRR QEATPYIKMPDAAAVAAAKPHLKESIEVQFFQPLGVEYAFGRRSPAEVADVDATSIRW ANVYWFAHATMVPQHLLTERQGVWTPHNHHVLPTRVEHERVLVGASASFLNSHPSRSS SDHPLLEEDHMNHRHAEEGDEEDCGEVIVYNPLVAPPPPPPAPPLPSSSTWWSSEGSN ITDSPSFGGADLSAFRHLGSGLRPLEALTWQNQSPTWQQHEDKPVKTSGNPWNDLDAV ELEGSRYEHQVSSLSFLFEETTKSTPPPPPGFRHNLVTRNPFVVGQHT H257_17136 MSSTFAIDMNAVKAHIDAVQSKVEEWNTQQVQHAAFQAHIQHKQ AMHPSTIALDVGGMMYKTSKATLLAVQDSYFHALLASEHWTPDDASRGAYFLDLHGPT FARVLDFLRTGHLSVDGLNRWEVRQLHASLEYLQLVDATLATTTTTGGSTWTWDVVEP CQSSTVHLSRDRKVLHIAAKPSVSSTRTDRSWFVRGSAPVISFRVQVLGMTTLTVGFC PVTCTTGIEAIKVGRFLTFTSSVVPQRLPSSQHKCNLCDVIGVHWGDDKVTFERNDSP VGTAFVSILSTTDHLVPFVSSSRFDTTTLYIID H257_17137 MYQQLTLSAIDQQRAGVLERRELRVALAIYSTTTPPPDGGRVGD EDGDVWDLDFLVIAPDQSRFAAGHASIEGDKWGGVTFEDTEILVDGHRGLGVLVLGPS FSPVRGLLAYKCCAEAPHPHCVAATTTMASNHSDPNDGLATTTTLRPLPVLTRPIESD ANAALPLGLAAGIAVLGTIAYFLHRRRSKERRHRDTMLLEQQAATHQARSAPLPAAAA SSSVRRGGEYAKMAEGTAPPRAGGKSASKQAKASSSQRNVRLAAGHEWTTHPALTGLW LPPGTEHMTMTPVRGCSNAVTGTLDDGTKLMLKRLPLESAQLPAFVAAVGDVRRVAAH ESINGILGVVLSHGALNVAAPFMAKGSLGPLLVDSARQAVPPTVRKSIALQVAKGLAH LHHSRTPFGSLHSGNILVSSASPSQVLVKLNGFALLHRPLSSGTAPVETFGNFCTSYK APEWLNQSTRAPSLASDVFSLGVLLGEIFTRTHPHAALFHTKGFVGGDLHLLQLAQQN KQLPFPYDVAALEALTSAAFVDVMTRCVHMDPAKRPSANDVVARLEALEILQNQASDT V H257_17138 MASDGGDESTGEPHSVFQTRRLLQVIELPLENSDETNVILAFAS RCAAKKQFQAFYCADKTLLLRSLEEDLHPRYWRLPWAMALERLQPTSKLPTTIDGSST TPLQQQHHVVGLEFAPEGDWLAVIVSSGLRTFLLLVPVASLVTRERKVSLQMHLRDGT PEDLMSVAPSAMSTKMLSFLRPHGQNGAKYNSAVTGDSEDMSVLEFAQGMSSPTCVTW WRSFNGRNYVLLGSSSDLISIVHVETNVECCRCELSGKIVRMELVRTATTTTLLVETT KQHPDGATSWFFQVLLEMRKDHAMITTFPDHFLQDTASFRPVRIKHFGAAASVHVVHR ATDDDDKEEDLLAVCDGRSVRLYSNDFTWSLLRTLTLPPSVLEADGNPPPPQVGKIAL CSSQLFLVQVARKTHNVALWVSIQRRPRPTSPSSAVLSGDDDDDRGQDDVETQVVHTL KLREDEWVRHAQLGHASSKDQPSTIAYFVHTDHQVYECRPKWTSSTLFHALYTRTMHV QHAVFIGYALGLDMAALCESVADAICADHTNKKMSRNSCEWVMRLYAKSGHVMPSTTL QNLLQNGGVNAIEYAKEALALPSPSYKHDRQFVAHAVITSALKLHHRATCPATKDWAW FLWFLQSNADFDTVFAIARCVDFECVDAALLIGHARHHVDAALHALQHVGMTLSEAQV DTLLSQHHASNLTAPEARVLFRSLPLSVQLKVLLKHPSAIWAQRDWLVRVLPDATDAE CVALASTLDPRTLDSVQFQSSDGGLLPYNNGVPASLSDVASSEAIPVTLEERVELFLT LLLRLNCTSLDTPPSKVPTAFSQQDLVQLLGGWSKQYRPPVMVFRCAEYGNWAAAAAC YEAQGEWVDAIECKLHLHDIEPSSSLSNPQAHHVMKTHEELVGLLESLVFSSVMAPPM RVSVLARLLVHWHAMRYDIEVLERHISQQSDITPVAMLLFTSPDSFDIRDRGWVSQCQ TTLPFSGRFYFDICHRHIRLSSAKSVQETTPPAADPPPPPPHEPPFHIPSPTVDPSIL DTVLENIHRQHTDLRHVAISRQTPAALGSTDPIETHAVVFSCGHAFPARVFQDDVVPL FEKKMATDFPSVPRTAATLVAEYQRSKTMEAPCPVCAFSRVQRVLASHATLHPQKVTR EPPRPRIMTSDQWVWK H257_17138 MLSFLRPHGQNGAKYNSAVTGDSEDMSVLEFAQGMSSPTCVTWW RSFNGRNYVLLGSSSDLISIVHVETNVECCRCELSGKIVRMELVRTATTTTLLVETTK QHPDGATSWFFQVLLEMRKDHAMITTFPDHFLQDTASFRPVRIKHFGAAASVHVVHRA TDDDDKEEDLLAVCDGRSVRLYSNDFTWSLLRTLTLPPSVLEADGNPPPPQVGKIALC SSQLFLVQVARKTHNVALWVSIQRRPRPTSPSSAVLSGDDDDDRGQDDVETQVVHTLK LREDEWVRHAQLGHASSKDQPSTIAYFVHTDHQVYECRPKWTSSTLFHALYTRTMHVQ HAVFIGYALGLDMAALCESVADAICADHTNKKMSRNSCEWVMRLYAKSGHVMPSTTLQ NLLQNGGVNAIEYAKEALALPSPSYKHDRQFVAHAVITSALKLHHRATCPATKDWAWF LWFLQSNADFDTVFAIARCVDFECVDAALLIGHARHHVDAALHALQHVGMTLSEAQVD TLLSQHHASNLTAPEARVLFRSLPLSVQLKVLLKHPSAIWAQRDWLVRVLPDATDAEC VALASTLDPRTLDSVQFQSSDGGLLPYNNGVPASLSDVASSEAIPVTLEERVELFLTL LLRLNCTSLDTPPSKVPTAFSQQDLVQLLGGWSKQYRPPVMVFRCAEYGNWAAAAACY EAQGEWVDAIECKLHLHDIEPSSSLSNPQAHHVMKTHEELVGLLESLVFSSVMAPPMR VSVLARLLVHWHAMRYDIEVLERHISQQSDITPVAMLLFTSPDSFDIRDRGWVSQCQT TLPFSGRFYFDICHRHIRLSSAKSVQETTPPAADPPPPPPHEPPFHIPSPTVDPSILD TVLENIHRQHTDLRHVAISRQTPAALGSTDPIETHAVVFSCGHAFPARVFQDDVVPLF EKKMATDFPSVPRTAATLVAEYQRSKTMEAPCPVCAFSRVQRVLASHATLHPQKVTRE PPRPRIMTSDQWVWK H257_17138 MASDGGDESTGEPHSVFQTRRLLQVIELPLENSDETNVILAFAS RCAAKKQFQAFYCADKTLLLRSLEEDLHPRYWRLPWAMALERLQPTSKLPTTIDGSST TPLQQQHHVVGLEFAPEGDWLAVIVSSGLRTFLLLVPVASLVTRERKVSLQMHLRDGT PEDLMSVAPSAMSTKMLSFLRPHGQNGAKYNSAVTGDSEDMSVLEFAQGMSSPTCVTW WRSFNGRNYVLLGSSSDLISIVHVETNVECCRCELSGKIVRMELVRTATTTTLLVETT KQHPDGATSWFFQVLLEMRKDHAMITTFPDHFLQDTASFRPVRIKHFGAAASVHVVHR ATDDDDKEEDLLAVCDGRSVRLYSNDFTWSLLRTLTLPPSVLEADGNPPPPQVGKIAL CSSQLFLVQVARKTHNVALWVSIQRRPRPTSPSSAVLSGDDDDDRGQDDVETQVVHTL KLREDEWVRHAQLGHASSKDQPSTIAYFVHTDHQVYECRPKWTSSTLFHALYTRTMHV QHAVFIGYALGLDMAALCESVADAICADHTNKKMSRNSCEWVMRLYAKSGHVMPSTTL QNLLQNGGVNAIEYAKEALALPSPSYKHDRQFVAHAVITSALKLHHRATCPATKDWAW FLWFLQSNADFDTVFAIARCVDFECVDAALLIGHARHHVDAALHALQHVGMTLSEAQV DTLLSQHHASNLTAPEARVLFRSLPLSVQLKVLLKHPSAIWAQRDWLVRVLPDATDAE CVALASTLDPRTLDSVQFQSSDGGLLPYNNGVPASLSDVASSEAIPVTLEERVELFLT LLLRLNCTSLDTPPSKVPTAFSQQDLVQLLGGWSKQYRPPVMVFRCAEYGNWAAAAAC YEAQGEWVDAIECKLHLHDIEPSSSLSYVLLVVLVVLVLVYVHYGGVLLVDTLVGLLR MV H257_17139 MSMSWESFHAAASALLRFQAALVESNHMYAAEWNWAWELAPPSS PLHKVLPGYGYLISRNNVREFVAAGTHDVPSSVDGSLEDAFVDDEDADCLVDMDPCVV PSPSQHASSVNHDMCMYEFHVVYSPTYKVPLLFLRGHYVDGALVPTSVIQAHMSTFVT KFISQDEHPVLGLPFYFLHPCETASCLSLLVPSATLSPSTTSSSTAPCPLQHLLAWLT LVQPCTHIRVPVPFAISYLRSSPP H257_17140 MLKAGCVLLAGLGVVAVQATPASPALKCALSVTTSQLCLACQTL DPICTPRTNTITVNVVEAALPKLCAEPLTCTYEIPTNYMSRLTCSDPSNLVSTLVFRR AYAQGKVYWLLNNSTFASMNGLANLRFHGGRPSSMAGKDDPQVDYFVVDLNEYAYLPK SLSELTLSNTYTSSLNLTSNSQLMTLNVQGSLMTRLPPTGISSLSTLSYNSIDVWPFP SEVLDMDNLATIDLSHNEFINPNFISDAQFQKFNDFVNTTKLSMHSTVFQDCPPAYMS KFCRNYKSPLTAAIPISPGPSASAITTLVVVSCIASLLVLVAIVVHRRSRHATDMSPG ALNSNSPDDDFYGIDEVYATTQTMSKFKTAGANDASLTKIPAADVQLARCLGGDIWMG EMETANVRIVLRRAPRFAGDHVTDSFFQGVKEMARLSHPNLVTYMGVTCLSGTDIYAV AEFMDKGSLISVYQAMPLTWEVQLDMATDVVEAIHYLHTLMPIPVPVEHLRSSNILVS SSFACKLNIFNFMASYKASTMCKEMYGNNQLAWRAPEVLKNEMHNFLAADMYSLGVVL AEIGTATRPFDREISEVGTVHTDVWMVDSVMAGKGVPLPYDPKSSKWMVLPEEFQKLV HACLHLNPTKRPNSGIVLQRLKTLKMEGIEL H257_17140 MLKAGCVLLAGLGVVAVQATPASPALKCALSVTTSQLCLACQTL DPICTPRTNTITVNVVEAALPKLCAEPLTCTYEIPTNYMSRLTCSDPSNLVSTLVFRR AYAQGKVYWLLNNSTFASMNGLANLRFHGGRPSSMAGKDDPQVDYFVVDLNEYAYLPK SLSELTLSNTYTSSLNLTSNSQLMTLYARHLSFNIHPSIALSSCGVRRNVQGSLMTRL PPTGISSLSTLSYNSIDVWPFPSEVLDMDNLATIDLSHNEFINPNFISDAQFQKFNDF VNTTKLSMHSTVFQDCPPAYMSKFCRNYKSPLTAAIPISPGPSASAITTLVVVSCIAS LLVLVAIVVHRRSRHATDMSPGALNSNSPDDDFYGIDEVYATTQTMSKFKTAGANDAS LTKIPAADVQLARCLGGDIWMGEMETANVRIVLRRAPRFAGDHVTDSFFQGVKEMARL SHPNLVTYMGVTCLSGTDIYAVAEFMDKGSLISVYQAMPLTWEVQLDMATDVVEAIHY LHTLMPIPVPVEHLRSSNILVSSSFACKLNIFNFMASYKASTMCKEMYGNNQLAWRAP EVLKNEMHNFLAADMYSLGVVLAEIGTATRPFDREISEVGTVHTDVWMVDSVMAGKGV PLPYDPKSSKWMVLPEEFQKLVHACLHLNPTKRPNSGIVLQRLKTLKMEGIEL H257_17140 MFIFHNRVFRRAYAQGKVYWLLNNSTFASMNGLANLRFHGGRPS SMAGKDDPQVDYFVVDLNEYAYLPKSLSELTLSNTYTSSLNLTSNSQLMTLNVQGSLM TRLPPTGISSLSTLSYNSIDVWPFPSEVLDMDNLATIDLSHNEFINPNFISDAQFQKF NDFVNTTKLSMHSTVFQDCPPAYMSKFCRNYKSPLTAAIPISPGPSASAITTLVVVSC IASLLVLVAIVVHRRSRHATDMSPGALNSNSPDDDFYGIDEVYATTQTMSKFKTAGAN DASLTKIPAADVQLARCLGGDIWMGEMETANVRIVLRRAPRFAGDHVTDSFFQGVKEM ARLSHPNLVTYMGVTCLSGTDIYAVAEFMDKGSLISVYQAMPLTWEVQLDMATDVVEA IHYLHTLMPIPVPVEHLRSSNILVSSSFACKLNIFNFMASYKASTMCKEMYGNNQLAW RAPEVLKNEMHNFLAADMYSLGVVLAEIGTATRPFDREISEVGTVHTDVWMVDSVMAG KGVPLPYDPKSSKWMVLPEEFQKLVHACLHLNPTKRPNSGIVLQRLKTLKMEGIEL H257_17140 MFIFHNRVFRRAYAQGKVYWLLNNSTFASMNGLANLRFHGGRPS SMAGKDDPQVDYFVVDLNEYAYLPKSLSELTLSNTYTSSLNLTSNSQLMTLYARHLSF NIHPSIALSSCGVRRNVQGSLMTRLPPTGISSLSTLSYNSIDVWPFPSEVLDMDNLAT IDLSHNEFINPNFISDAQFQKFNDFVNTTKLSMHSTVFQDCPPAYMSKFCRNYKSPLT AAIPISPGPSASAITTLVVVSCIASLLVLVAIVVHRRSRHATDMSPGALNSNSPDDDF YGIDEVYATTQTMSKFKTAGANDASLTKIPAADVQLARCLGGDIWMGEMETANVRIVL RRAPRFAGDHVTDSFFQGVKEMARLSHPNLVTYMGVTCLSGTDIYAVAEFMDKGSLIS VYQAMPLTWEVQLDMATDVVEAIHYLHTLMPIPVPVEHLRSSNILVSSSFACKLNIFN FMASYKASTMCKEMYGNNQLAWRAPEVLKNEMHNFLAADMYSLGVVLAEIGTATRPFD REISEVGTVHTDVWMVDSVMAGKGVPLPYDPKSSKWMVLPEEFQKLVHACLHLNPTKR PNSGIVLQRLKTLKMEGIEL H257_17141 MQVNEGAMKRRGNTAAALATPFAANSSAWVEESPHESKPAVIAP WPFVARTSTSFDFRHDYLPFQRLRALHVYDTNVNDLSKHSINFNSVRPINDEDDLEPT TL H257_17142 MSNQRQKLCATRCPLPPATEERVHLLPCRVKHDGKAPISSYFVV DETSNMARFRGVQLQGNAVDLHALGYSGLLVIDEGLRDESNASHAFGEPDDTSASTIW ELDGHFATIVDWQTKDNQSHEPLSSRLESWSRYAAAIHDE H257_17143 MSRAQRVEHGLSAPHDQVLHPPTSLGMDDDDMELLSPADLSSVN LTTPPPPRGLPTMCIRIACPDRTKTRGKAATRAIGGRCVVHTTRHHAQRILLKHGDMA TQDDEQWDDLAILKAFDAALNKHKAAPTSTAAPTKPKKAAPTQPTQKQHIPARASPPV SPAPSYDERHHVPQPTSSFHPPASPYTNHRPHEPFPQYHLHAGYQHAHFDGGHPSSQA PSPYPSAHASPATSTSYADAYARAYAHAMTHGQGSSIPPLHTQPTFNAFHHHQHPAHA PAAAVPSFGPDTSLPAIEGDDDLSKLLLSWYQSGYYAGRYKAIQEMKQQQMYRR H257_17144 MECPGTPRPSDDDVQDIIDKRGLVFGLGGSTNRQPPPSPNSPAR PVPAADRTYRSLFQQPAIEVQPAYAENDWYDFLFLPAKLLAHTKALKANPTLTPTAHD VLTELLRKAKPAGVDEPTKARDHTLQCLAALVVLQLEFTLEDIEAVVPTNLQKALVDG LVKYASDPRKGGLNLHDVAQIAIDDAMLLRNRWQLRVAIKKMHTSALTVANKLPLSDN AKPPPDEVEQLASISGLVPLDQLLDDIQASLALESTAAASLRRALQMDLGVFYFHRRQ FDEAVACFEPLTAGSVDKTLLGYIRACESVVGAPKPPPSTADAAVLTWSDHHEWAKLL PALEQDNLRRLTPPQGNNTTVLSPRVRSQLEYKIKKFLAEEHQQPTQGRTIHANEDEA VVACRKLRLLNAIYQHILLPLEDMSTPTTDPSRPHQLPRRSVPSILSMGICALRRLLV TADDVGYLHAHVGCFKEGMHADAVAQRIRCDIPLRAPVGLSGGTLRQQRQYTPQPPIQ GLDRYKVVQTLLYQEKWTDLKTKLWDTTIQIDSNTSDEIVPTASLSPPQPHPPHGLDV AAAVGALMEAICGLPPPSASGASPELGFPIKSVLTLLEAVIKQVVKSIDGVLDWLPVS ALHVVISLTAGLLQRMYALNLCEYRISYDLTPYGDLAILTAFAPSATDLPCGDPAQSV KVPQADVVHVHLTCLHALLARCPRDPRWHCAQADISVNPIVLQKLSSAADFKAAVRSY LVAASLATNFFADPEFANVIDHGSLVRLSYCLVKLGAHVAAAVLYQTFPGDEIAYGLR ILHLSPGQHDPAFFQYIWELSYLETLVHLHANTKHKNARNVDILTQLIQCPELNASNP VPSKKDMEQRILRSYFRELCRLYLVPERVHYA H257_17145 MHFMRYITSQSNNSIPVGATDMHNCFGQVRHMRGLVSRGFAAVG RGGRCHRSTGFKRAFSTSFSWQDSPLVDRSMCKDAALVWNDLQVMQDVLTLDEERLLA DEAAALLRRRRYEVNHWDQVIVNFKEMETVKWSQEAADILARVRTLPILPQDLEYFPP VHVIDLAEAGYIKPHVDSIKFSGQVVAGLNLLSPAVMRFEEEHGDNRIDLLLPRRSFY CMRGGVRYRYTHEILPGVQHFQGQPVERTRRISIMIRDVFVDL H257_17145 MHFMRYITSQSNNSIPVGATDMHNCFGQVRHMRGLVSRGFAAVG RGGRCHRSTGFKRAFSTSFSWQDSPLVDRSMCKDAALVWNDLQVMQDVLTLDEERLLA DEAAALLRRRRYEVNHWDQVIVNFKEMETVKWSQEAADILARVRTLPILPQDLEYFPP VHVIDLAEAGYIKPHVDSIKVQCSRCIIADDPDVVFRSSCGRTQFALPRRHALRRRAR GQPHRPSLAPPVVLLHAVHSTIMTSSVTTRGFHSGGVRYRYTHEILPGVQHFQGQPVE RTRRISIMIRDVFVDL H257_17145 MHFMRYITSQSNNSIPVGATDMHNCFGQVRHMRGLVSRGFAAVG RGGRCHRSTGFKRAFSTSFSWQDSPLVDRSMCKDAALVWNDLQVMQDVLTLDEERLLA DEAAALLRRRRYEVNHWDQVIVNFKEMETVKWSQEAADILARVRTLPILPQDLEYFPP VHVIDLAEAGYIKPHVDSIKVQCSRCIIADDPDVVFRSSCGRTQFALPRRHALRRRAR GQPHRPSLAPPVVLLHAWRRSLSIHT H257_17145 MHFMRYITSQSNNSIPVGATDMHNCFGQVRHMRGLVSRGFAAVG RGGRCHRSTGFKRAFSTSFSWQDSPLVDRSMCKDAALVWNDLQVMQDVLTLDEERLLA DEAAALLRRRRYEVNHWDQVIVNFKEMETVKWSQEAADILARVRTLPILPQDLEYFPP VHVIDLAEAGYIKPHVDSIKFSGQVVAGLNLLSPAVMRFEEEHGDNRIDLLLPRRSFY CMRYIQPS H257_17146 MMANVAQYRVGLILPLKKTRNGRMQELLMSQDMGIHFIHIDLDA VTSAQNFLDMYGPLDAILHKLAHDMVFEPLGDAAAIRNMQIIRELTSLHPNIPFIDPL ESVRVLTDRAAVSRMLESVPGSLFHLPRHAILDSAAAKASIVSQVHAGLFPLPVLAKS LEACGTDASHVMKVITRVQDIASLDVSSPIMLQEYINHGGRLFKGYVLGKDILVAERT SLPDLSSSAATVEFNTQVPFPTLAAFETCASTSSPPPSPPTRMWTTTLDMQVQAIGRA IQATTGLSLFGFDVIVAAKTNHLVVVDVNYFPSFKEMTDFSAMLRAHVRSVIETHTL H257_17147 MVNPSVFFDMTIGGEPVGRIVMELYADKVPRTAENFRALATGEK GVGKSGKPLHFKGSAFHRVIPNFMCQGGDFTRGNGTGGESIYGEKFADENFSGVHDVP GVLSMANAGPNTNGSQFFICTVATPWLDGKHVVFGRVTEGLDVVSKIESFGSQSGQTK KPVVIANSGQL H257_17148 MAAHSIVGGGYRRYEISALCAVGTILCYADRTNIGVAIPSFQPD HAVQGHILSAFYYGYIATQILGAHVAAWYGPKIVLLVGVITWTFFDVLTVPFAENSTL LWIARVGMGLGEGIIFPCMHSIALAWYPLSERSRLTANVSSGMDLGTVVAMLLSPWLM TQYGYPAIFITFGVLSSAWIVLFAFRGSDAPDADKYVSSGEKALVLSQRGAPDDDDST MHFYAPPVLAIYVTHFAYNYGWYVLLRWSPQYLRLQLHLEVATSGVAAALPYFCGYVG VLVWGFLSDDLIGRGVRVVTVRKLMNGLGLIGSGVSLYMLRFVSSSVSAVALLSVTLF LSRGATAGYWINMLDVAPRHAGHLMAVSNTVGTIPGIFGNILTGQILAATGNWDLVFL IASAIMICGGVVFQVWASDVSQEESASPRKGDGRGGRRIDDRTALLATL H257_17148 MAAHSIVGGGYRRYEISALCAVGTILCYADRTNIGVAIPSFQPD HAVQGHILSAFYYGYIATQILGAHVAAWYGPKIVLLVGVITWTFFDVLTVPFAENSTL LWIARVGMGLGEGIIFPCMHSIALAWYPLSERSRLTANVSSGMDLGTVVAMLLSPWLM TQYGYPAIFITFGVLSSAWIVLFAFRGSDAPDADKYVSSGEKALVLSQRGAPDDDDST MHFYAPPVLAIYVTHFAYNYGWYVLLRWSPQYLRLQLHLEVATSGVAAALPYFCGYVG VLVWGFLSDDLIGRGVRVVTVRKLMNGLGLIGSGVSLYMLRFVSSSVSAVALLSVTLF LSRGATAGYWINMLDVAPRHAGHVRNNNLASSSFYPNFG H257_17149 MHSIRSAITKRALSTVGPHAPRIKRSGSANLPDPAWRAANAART TPFDGYTFAPVKEAEVSREMTTRYMKDMYDFADSDVIIAGAGSAGLCAAYELSKHPNI RVAIIEQSVAPGGGAWLGGQLFSSMIVRKPAHHFLDELEIPYDTKGDHVVIKHAALFT STIMSKVLKADNIKLFNATAIEDLIIKKGKVGGVVTNWTLVTLNHGTQSCMDPNVMEG KVLISACGHDGPMGASGVKRLQNIGMVDHIPGMGCLDMGAAEDAIVKGTQEIVPGMIV CGMEVAELEGAPRMGPTFGGMMISGQKAAHLALESLGIKSHLDPNMSFDMNPLRKAA H257_17149 MHSIRSAITKRALSTVGPHAPRIKRSGSANLPDPAWRAANAART TPFDGYTFAPVKEAEVSREMTTRYMKDMYDFADSDVIIAGAGSAGLCAAYELSKHPNI RVAIIEQSVAPGGGAWLGGQLFSSMIVRKPAHHFLDELEIPYDTKGDHVVIKHAALFT STIMSKVLKADNIKLFNATAIEDLIIKKGKVGGVVTNWTLVTLNHGTQSCMDPNVMEG KVLISACGHDGPMGASGVKRLQNIGMVDHIPGMGCLDMGAAEDAIVKGTQEIVPGMIV CGMEVAELEGAPRMGPTFGGMMISGQKAAHLALESLGIKSHLDPNMSFDMNPLRKAA H257_17149 MTTRYMKDMYDFADSDVIIAGAGSAGLCAAYELSKHPNIRVAII EQSVAPGGGAWLGGQLFSSMIVRKPAHHFLDELEIPYDTKGDHVVIKHAALFTSTIMS KVLKADNIKLFNATAIEDLIIKKGKVGGVVTNWTLVTLNHGTQSCMDPNVMEGKVLIS ACGHDGPMGASGVKRLQNIGMVDHIPGMGCLDMGAAEDAIVKGTQEIVPGMIVCGMEV AELEGAPRMGPTFGGMMISGQKAAHLALESLGIKSHLDPNMSFDMNPLRKAA H257_17150 MTVKCSKSFKSMSHLTLLRTAVRKATLAKHHFSRHGMPIRMFSS ADHLVVKVPSMGDSISEGTVVSITKNIGDYVHIDEPVVVLETDKVSVDVNAPQGGVIK SFLAQLEDTVVVGAPLYTLDTTAPAPAVVAKPAAPAAATPVITKAVEQPKAVAAPPAA APKAAVPATPTAAPGKFSRNETRVKLSHLQVRANQRLKDTQNTAALLSTFQECDVSAL LAMQKDLGATFEKTHKVKLGFLSAFVKASALALKVVPAVNATIDMDNKDIVYHDYADI NVVVATDKGLVSPVVRNCESISLVDIERSLATLGAQAQDGTLAIEDLAGGTFAITNGH VQGSLVSTNMLLSPHAAILSLHGVQNRPVFHDGKVVSRPMMYLSLSYDHRLIDGREGV TFLKTVADLISDPRRMLLHV H257_17151 MAMTTAAATIEDYSLMWAPSSVDQLRENLMRLEQRWKSMRQRQS KNRPVSVSPAMRPPSPLYRSYSEVHVDSNAYATPPSKKSLVMRTSSPDLIAPSSASDD HPSSSYSTPYKSWRSIDPYDSPVSVSSSSSRPIAGSSRLPMAPVRRVAALTRENVSRL PQYPLHHDMDRHGGGDGGSVCSSISGRSRMSVKTDGGAVFSRLYQPNHLQARDLRMSM HKERQQNATCPFMPRTNVSRNRTPSVASRDSFCSASSCTSTQTDITQGLSASSRLYDP DYIRKRHAKLEKLRQERELRECTFAPAVNKNVNEKLLNQRDIAAAATRTSTPRNNSST KSRLK H257_17152 MAAFLLIAILVYVMASFMAAAAGTNVNAIVTPTNVSVLGVPGVF TAPPGPSCVRKDAALAGVGACPGPQPRLAFGSTCQALPNTTPLVMGCVSVKTPAAPRA TPTMAAATTRPPLPMSTTSMPSQTTRPVPPTTKGPTTSPLQSTSPRATLSVGVTSPPP STPSLRTTEEIHTTKSPMYLHSTVIPSPTKARTKHIWSWVVVGVVVCGVGLMGCGIAK WRRNQTTSLPPGDGHAADMYHMAATPTDNVPVPWLDVPNTWRNGMAPMDSITKWDARD GEGSDALVLTPREKIPCLDTIGSYEDQRGCGSISSNISSPRRSSSVCSDVASVETVGS SEDQRGWGSVSSNTSSPRRSSSVGSDVSSDGQRGWWGSESSNTSSPRRSSSVDSDVTD NSRWS H257_17152 MAAFLLIAILVYVMASFMAAAAGTNVNAIVTPTNVSVLGVPGVF TAPPGPSCVRKDAALAGVGACPGPQPRLAFGSTCQALPNTTPLVMGCVSVKTPAAPRA TPTMAAATTRPPLPMSTTSMPSQTTRPVPPTTKGPTTSPLQSTSPRATLSVGVTSPPP STPSLRTTEEIHTTKSPMYLHSTVIPSPTKARTKHIWSWVVVGVVVCGVGLMGCGIAK WRRNQTTSLPPGDGHAADMYHMAATPTDNVPVPWLDVPNTWRNGMAPMDSITKWDARD GEGSDALVLTPREKIPCLDTIGSYEDQRGCGSISSNISSPRRSSSVCSDVASVETVGS SEDQRGWGSVSSNTSSPRRSSSVGSDVSSDGQRGWGSESSNTSSPRRSSSVGRDVSDD QRGWGSASSKTSSPRRSSSVGSDVSDGQRWWGSESSNTSSPRRSSSVDSDVTDNSRWS H257_17153 MWEEGVLMDEATAFGSLSNSQDTYPGQCRIRNYNALKRMSIVYT WVNGSVPCYRECRRKYGGDGEVGGSRDRDSGELMYSIRSLRQFLPWHEGTVYIVAPSH APPHWLNHSHPRIHVIHQDSLFPSSAISYLPTFNTNVIEQFLYRIPGLSDLFMHMNDD YIFTAHVRPHDLFTCDGGIKLLHEPSIIGRPTAPDPSRGNWMQSVLTTRDAIDATLGY TPTRHFLKHAPFVYSRRALVRVHQLFTQQLRATLPNRFRGPHDMNVPLLHHAYVLALG KTELGIPVENPPPTPEFQLLLLTDTNQEYTKKIFAAILNRRHGAKLLALNDEFTDMAV ADTVRTFLATFLPVPSAFELEQRYASLEDDGANANEQDCCNAGDGIVKDVGDDVVESS NSLVLRVEKPPSMAISFFRTCVHGAGFALGIVFVLAAHHHLVRNSTEHRRHLHTETDG KEVNTSVV H257_17154 MVAGATSVGVLRGHKAPVNCLSVCASAPDILASGSDDSTCRVWD LRSRRVSQCIAHAFAGDPVNSVVFASSVELYVASRNQVYMFDLRHSSSLILTDATTIF EPAADDINCLHVHPMSNKKPWLVVPDDEGDIGLLNMQTHAIHTLRGQHSSICSAAAFR PRCGGYDLVSGGLDSQLLFWEVRSDGSGGRVRSKIDMQHLETLGDATQIWNPPFVYDV AFSATGKTVAAALGDGSIALVDFASKSIAQHLRGGHHAPVGVVQFFDFRSTEYVISAG NDSKVCIWPSSDTTEPCVTIPLRHKPNDVAVSGDAIYVADVSPFVSIYELH H257_17155 MSLPAYICGEIIFIDSFQATEDFNPYRLAYGTRFYVVTVSSE H257_17156 MTSNVNGETISVGGNIFRLVETIGRGSYGTVHKAVNLSSGAAVA VKVISKDRLLRNPQERASIEKEIETMRVAVEQYENGHPNIVRLLATKESKASIFIVLE YCAGGDISYYIKASARGLSMAQTRNYMSQLASGLQFLRQQHVIHRDLKPANLLLSSKN VASQKVKIADFGFARALENECMAESVVGSPLYMAPELLDYKSYDAKADLWSVGIILYE MVTKSHPFLVVDNVHATNHLALRRNIARYYEKCHRFVIPPDVTMSADCADLLEGLLRR DPQHRISFDQFFNAPFLLPLPPLPDDDPPRPPPHGDGGLEASGSPKQPLVTHDMTWHD SGGSDEYVLVEREYEDIGREVLQDAATADDLAALPNEEQGHGGGEASDEVRPSDDFVV DMMGMIAAAGHPPPAATTYPPQATTSWQDDENRLEELLGICYKSFPLGGVALFVPTPL GDYIAFHEGCPHYYLSAESIQAANRGNRKPAYVLGCLVFIEDGEAGETVASNPYQLRI GTRFHVCTVTALQSLSTPLTAAVTPPPSPLSSRPMAPFTMPIVPATSSQTNGTTEAAD NRHQRISFQSVEI H257_17157 MAKTFVGATNVLKDQGSTKVSGLGPSKRIIDLTTRATSLKGIVA VAEQPVVIPNAVTSDLTVRSVHVASDPPASTWLDHSLPYVPIYNELKAPFSPSSPAAS YYTITESGHAGLEHATDELHDMFFKATEFVLDNEGEVGKFFHIPDVLWPKIRRSWAIS KHDVVSGRFDFALTSGGIKVYEYNADSASCLLECGYVQDAWAAAAGVASVGRSSSATL FQQLVQTWKNLSIVGSLHIMCDRDIEERYHATYMQAAAEAAGITCFLVVGIDGITWTD GDKQGLEDSAGRRIENVWKTWCWRTVMNQVEAREEASMLPSFELPSKPQLMDILLHDS IRVFEPLWTLLAGSKAILPILSKMYPHSPYLLKSSFDERDVSAFTSGYVAKPVMGRTG SNISLYSADRQLLNETGGRWVTDTIVYQELAMLPKFNDAMYVQVNTWAIHGRFGGTVL RQDASSIIGLNSDIPSLRVVPDEDK H257_17158 MFVLDDEESDAKELEKKAQKAKKKTKLTPEEEALDKVRADATEK NMYWAPILLLFPLGPVLVALTTVVFGGVIINAAPSTCNAYLKTFMQGAVGLSYILILF YAYCWIGPRPITKLKILRVFYTVYGIVCFLWWGVFGSLQAATATSTGLNSCLQTAPAL FVFTQYQVTIFWLLLCFFMCFAFKEMRSHWTASEHVKMAKQKAADEARAKKDMEDAAA AEVARVQQAKDAAEKLAKAERDRLYQPTNDVAGDIDDGDHAGLDAAVAEDPQDDPQEE EEEEEEEENEGGEEGDADERDDPEGADSNEQDKQ H257_17159 MGTTMSSADGQHMQGRIRALAGSLVDLEKHVGQANEWARQCPVP TAVQLRFRMLVLREDELACACIPFTRTLEDVVKVEARKLRRHDQSLINAPQTLTVGQF YRMYCYFSDIHDCASRRRSPPPPPMGGSLGSHVDEPTDPSDSECLICMDADNEIVLPC THSFCQRCFHSWHACHNSCPTCRRVLPIQQNAKSDDELWHLATYDSHDRAAYIHHLIT QALFVLDHW H257_17159 MLVLREDELACACIPFTRTLEDVVKVEARKLRRHDQSLINAPQT LTVGQFYRMYCYFSDIHDCASRRRSPPPPPMGGSLGSHVDEPTDPSDSECLICMDADN EIVLPCTHSFCQRCFHSWHACHNSCPTCRRVLPIQQNAKSDDELWHLATYDSHDRAAY IHHLITQALFVLDHW H257_17160 MREKGVVSFIGAARMDEGSGRSGAVAMPPAEPLLCEYAAHYFPA PTTNNIAEYDGLIRGLQFAAHMGFTHVTIFGDSQLVLRQMQGVYRLGHPNLREPYRSS ARTWAARFHCTWVHRQSSCGLLIQTRARPRMGLVDSPYWTQHAAPPPRSRRPVRFSRR H257_17161 MGQRASTEETRIQDRARGYMGTYVDLGKHVDQANEWAKLCPTPK TCQLRFRIILNERIATELVPFMWKLDGNVMVEVRKFRRRHPPDDSDTHISSNTIGAAK CLSLYQFYFIYCFLSDVNDCAMHSVAMHPLFSDKAFDDRECQICMDTDKEVVLPCSHS FCLLCFQTWSSQNQTCPICRSQLHCAEGEELWHLSSSRGISNLASYAQDLVSRIYEFL DKQPVSRFSDAHVHASNVRYTMWRMQTIQSDLEQPQDPSPCSITTSHGPENDASLHPD YIFALAVAGGDDQELALEECRRQVHFPTLTYMSSVPMPSLAEFVSSNHSRSDVA H257_17162 MLVRSDIHDPGGLKMDEVKTCEYTYARGDDGMIEAMLLLPPPTP SSVIMTSSMGILEPYVFEGEHFWDTTALDDDIFHDEQSTEAASPVIEYWGLAYEDTDV YYFDFDTGRAEWTPPPSILYHNEVLLWDPSDQWFYLYDPKTNTSRWWVDQIPAPAATH TDDTISQATTEDLDRCSSTSINLAPSSVHSVATSDDEPPA H257_17163 MGCGFSRVCGSILFHEDDTDDLGKTTSPIRERRRTFFRSNSFKS GVLIKPSTGSCEVPLHRQEVDVDDSDDGEPVVVVDDVDDRSVREPHVYVGGGIPGVAV DEFTIEQPKYTGHVVGGCHSVSRSIWWGARTRAGNDSMGRRKENQDSFCIRDRFANCD GLTFMCVLDGHGAQGAYVSHFVRDHYHVHVAAALRRHIPSLPPPPSSSPGDDVHHPES VDFNMFTLDTIEDVFLDASCRMTAALKDEMHLDISVSGTTAIALLFVTSGHAQPKVFV ANVGDSRAVAGVVASSCSASNSSPTTFSTVHLSTDHKPNDPHEEARIVAANGRVFEYG VPRVWLQDVDMPGLAMSRSFGDSVATSVGVISDPQCSELLLTPGSFVIAASDGLWEFS PSTDVVAMCAKGVPYEDPQTTCDLLVAEALERWLDEQDVVDDITVVVVVVRGDDDRRQ EEQL H257_17163 MGCGFSRVCGSILFHEDDTDDLGKTTSPIRERRRTFFRSNSFKS GVLIKPSTGSCEVPLHRQEVDVDDSDDGEPVVVVDDVDDRSVREPHVYVGGGIPGVAV DEFTIEQPKYTGHVVGGCHSVSRSIWWGARTRAGNDSMGRRKENQDSFCIRDRFANCD GLTFMCVLDGHGAQGAYVSHFVRDHYHVHVAAALRRHIPSLPPPPSSSPGDDVHHPES VDFNMFTLDTIEDVFLDASCRMTAALKDEMHLDISVSGTTAIALLFVTSGHAQPKVFV ANVGDSRAVAGVVASSCSASNSSPTTFSTVHLSTDHKPSTSTVSTCL H257_17164 MATTEGERRSLLPPPTTEQRGSYDALHQAKQSSIKILVPPPSQQ STLCNCECDCECERGVPIITSIHLHSPRHQHSLSPSHRGISSTAASSVPIAVLMTFMT LFMSVVGAGLLSIPFTFTCAPVSVVVTCLVLVGLAMGYTAELLVRVHIATNVFTFNGL AEVAFGSVFSKVVSATTIFAILGACVGCIEIVADLSPFLVHLVGLDNTSYHPTSIVLP LFIAGIYPLTLLKKITALRFSSYVGFVASMYLVVAVTCRASSFSASSRASSAANNNDS TVVRPPSFDNLPLKVAHIVSVFNYAFVSHLNVIPLFATLQHATPSQLLFVLGPLPSKA KTGPPGLMWMRVVIYTMSLFCIGMYAVFGTHAMALYGNHVQGNILLNLEHDRVMDVPR LAVLVTILFSFPLLFHPFRMLVESFALQLVGSESKTLPRSIQAAESLVLLLVVVAVAT AMPGIQITFSLTGASCVTLICYVFPVLCYLRLCPHDSALRRGIAVVIGVFGVATGIVA TGLVLTGTTVV H257_17165 MASAAGIEMQELRKGGDPDRTSSTTTVDEEEGLVSSAVHMNDEE YATPTDPCRCFCCFGFRKIGQSYVVRERAPFILVGPHWIGVLVTLSLIVVSTVLFIGQ QCRGLDAWYTCLSVFMCIATTYFLFKTTCTDPGIVPRGTLHTDPALISRCSYCDICDV HQSRHTEHCDDCGVCIEKYDHHCPWMGKCIGKANMKWFQLFNLAWVLYLVFVLVVTMQ NASAHADLLVKAGNHFINHVQGSSR H257_17165 MASAAGIEMQELRKGGDPDRTSSTTTVDEEEGLVSSAVHMNDEE YATPTDPCRCFCCFGFRKIGQSYVVRERAPFILVGPHWIGVLVTLSLIVVSTVLFIGQ QCRGLDAWYTCLSVFMCIATTYFLFKTTCTDPGIVPRGTLHTDPALISRCSYCGVDLR PLSTTRMIQLRTIDICDVHQSRHTEHCDDCGVCIEKYDHHCPWMGKCIGKANMKWFQL FNLAWVLYLVFVLVVTMQNASAHADLLVKAGNHFINHVQGSSR H257_17166 MRYLIVATAIAAAAAQTTNVESTYVEQVLNARGGGAIKYAGGNL NIDSLLQSYPECQSCSVSTPCAHRNLLGTGTCIGSSSRQVDGVKTSYCLDFDVCCGGN CRNSRRPTKKCSFQGNEIKTSLGNANFNSARSMLAYIQVDLLDTIPIAQVSNLITSVA DESTLSARCSSSCNGWSWQGQLLSGSCPSSCACDLLPLPTFVAGNLFECELKVPNADS FFSSGDKKLTQDANLGAIQGKVVCPKGYTCSKPDTCVSYETFETVKASTVVPTVAPAI INAPSGAATSSNSKSGSVVAIAVGASVVGLLAIGGAVFCFMRKKKSTDDDYHAMSEGT L H257_17167 MSSTLPPLHNTAIPASVSKDLLASKEGSSLSAWVKRAFKSLFVL PTIRFLLGALFGVTATVTICFFPFPKGKYMSFVCAPLALMFAYLLDKYTNTSVCMATT AIKVLQGKASFSVAWVVYLGMYFGSSYIINEFHHAIATSPPKDRQYMELVVEKLYFAM NETVSSRLQQTAIVTAFFYGFDHILIRVVLHVMAFVWLVLLPEMNPAVAMGFFGGTKE LSGEDRARFWVNFAFLVVSSVVFLKASDLVLRGVKKLCAPKPKLCC H257_17168 MSDDTTSNRLAEKMLAGWTLLATNCPELDCCTPLMCSRKDKTKL LCVKCDKWYSTEEPAETSAATPTSSAPQATPHPPPPPPAASFVADQTPQEDQAAVYAA RKKRRDATSSKLGEKMLQGWTLMGSVCPRPDCGTPFVRNRDTGQLFCVTCNQYAITEE QAAEQHAADIDIDQPSHDESSITTIMTTQPGTSFVAAAAVQPAVAASQAKAELRNSAD LATTTTPVDVTSTSAAANYALRALYHKLAAATAALEQATTDKEVCMQSRVLRDVAKAI KALHGVQSVA H257_17168 MSDDTTSNRLAEKMLAGWTLLATNCPELDCCTPLMCSRKDKTKL LCVKCDKWYSTEEPAETSAATPTSSAPQATPHPPPPPPAASFVADQTPQEDQAAVYAA RKKRRDATSSKLGEKMLQGWTLMGSVCPRPDCGTPFVRNRDTGQLFCVTCNQYAITEE QAAEQHAADIDIDQPSHDESSITTIMTTQPGTSFVAAAAVQPVAASQAKAELRNSADL ATTTTPVDVTSTSAAANYALRALYHKLAAATAALEQATTDKEVCMQSRVLRDVAKAIK ALHGVQSVA H257_17168 MSDDTTSNRLAEKMLAGWTLLATNCPELDCCTPLMCSRKDKTKL LCVKCDKWYSTEEPAETSAATPTSSAPQATPHPPPPPPAASFVADQTPQEDQAAVYAA RKKRRDATSSKLGEKMLQGWTLMGSVCPRPDCGTPFVRNRDTGQLFCVTCNQYAITEE QAAEQHAADIDIDQPSHDESSITTIMTTQPGTSFVAAAAVQPGRHFHHHPSSLDDQLY HRNQPLIPNGSSGRFTSEGRATKFRRLGYYHHPR H257_17169 MAADLLRWETQELKRPKHTINDLKAKARKDVEDMQVKRAHQTQE RVLLLGNIVKSGVIKQRTMRVLWR H257_17170 MATSLPRILVLGGVGMIGRNFVKYCVDNDLCSYIRVADKSMPEI SYFSERHKAAFASDIVEYVQADLTRDAHVDRAFKADTGPYDYVFNLAGETKCGQAESV YSSKCRDLAVKCARKARDMHVKTFVEVSTAYVYKSQTKSPADERAKLDPWTLQAKYKL QAEEELRALDDLHVVFVRPATVYGSGDVGGLMPRLVCAAAYSALGEKMKLLWDGEMRV NTVHVLDVCKALWHVARVGAPHEVYNLADKSDTSQRSINQLVEALFGVETGFVGVLVS NLAKLRLDDVVDTANEKHMKPWSDLCHAHGVTNTPLTPYMDKELLGHNHLYVDGTKIE TTGFEYTYPSVQLDQVRALVQDAIDQRMFPPVLA H257_17171 MAEEGPGAGDAAAAVHGRVTGLIVPPPEIRAVVDKTAQFVARNG RSFERKIAGEVISAKFSFLRASDPYNAYYEHKVAEFEAQTAEPVAPAPAKDTEEGASQ GDTKDAASVEKAAEPDDTTTTTAIEEGVVAKKPVEAVVSKSLKNLKDKNTNLDAPPAD EQFKQKHPTLTPLDQEIMYMTAQYTAVSGKPFLSGLATREQRNPQFDFLKPTHPLFAY FTFLVESYAKILTAKAHHAKNKTGLNVSNPLMDALEAGVNRMHVLDRCVHKHEWMRRE EEAQRREAMETDVDKIAYLQIDWHDFVVVETITFDVDDATGAGDPLPYLENDPASTSS HQADALNQDDMDMDVEDEERPAELKVVEDYVPRGAATTAPSVHITSVDGQTIHSEQAN EHMRILLQAPKYREEKARHLQKVQDTPFAPGSAIADNFKRFATKRSDIFTSSADDEAR LVHATQHPAPPPPPQDDDDGQHQYLPVQTQARGNNPPPVAYHIPPSQKPPPPRLPPPA GFAPPPPPPPPQPAPAQGVVAQAPPRAHPLLPPHLGVPMPVGMAGMGMPLVSGMVIPL PTAVPPPPPSQPAPTADGSTSETQPPAKRQRVDGVILLPEDEWAAQRPGPVRLCVTVP YEPDNSQWKLAGQTLILDVDILTLVRDVKTRVYEATGMPVAKQQIKAPVVGFLKDSLT CAHYNFDDDVVMELSARQRGGRR H257_17172 MTKGKPFSGKLKKQQLQAKREKKAQKELHAEAKYNAERDALAGL ASSAPPQPVGPPPIEYMSTDVGFSGDLRTIFAKEPQAAIDARKKDATRALADDRGNSQ SIYAYGLTDVRNQPPIPLRPHWSKHTSPDELAMAEEDAFREWLLQLHQTCVERRQQAA PTAPSICSIPDSGVNAWEINSYERNLQVWRQLWRVIEKANVLVHLADSRCPLLHLSHR LIQHIQDDHPTKRVLILLTKCDFVAPERVDLWVKYIRDLYNVPVLTYSRDRVDESNTV LLTTIGRLSEDTSYKKGRDEDLNAGTLMVGLVGEPNVGKSSFLNGMFGKKLVSVSATP GHTKHFQTHFFDRPEMLGRDDISKVCFCDCPGVVFPRFGIPLALQILFGSYPIAQTRE PYSAIRFIAENATPTLQHVYKLRKVDEDDDWSPFTVSEAYAAQRGFHSKGGKLDVFRA ANLLLRDTLNGKKVILSFPPPLEGAATVVGKGDEEHADKAEGGAVMTTDQVAALLGDM DMQQVLMRRDGDVKGGGRNLFDCNASMHVATRTIAASPGIAQLHANPSTLYWDHYQ H257_17172 MTKGKPFSGKLKKQQLQAKREKKAQKELHAEAKYNAERDALAGL ASSAPPQPVGPPPIEYMSTDVGFSGDLRTIFAKEPQAAIDARKKDATRALADDRGNSQ SIYAYGLTDVRNQPPIPLRPHWSKHTSPDELAMAEEDAFREWLLQLHQTCVERRQQAA PTAPSICSIPDSGVNAWEINSYERNLQVWRQLWRVIEKANVLVHLADSRCPLLHLSHR LIQHIQDDHPTKRVLILLTKCDFVAPERVDLWVKYIRDLYNVPVLTYSRDRVDESNTV LLTTIGRLSEDTSYKKGRDEDLNAGTLMVGLVGEPNVGKSSFLNGMFGKKLVSVSATP GHTKHFQTHFFDRPEMLGRDDISKVCFCDCPGVVFPRFGIPLALQILFGSYPIAQTRE PYSAIRFIAENATPTLQHVYKLRKVDEDDDWSPFTVSEAYAAQRGFHSKGGKLDVFRA ANLLLRDTLNGKKVILSFPPPLEGAATVVGKGDEEHADKAEGGAVMTTDQVAALLGDM DMQQGKQIYEMTEK H257_17172 MTKGKPFSGKLKKQQLQAKREKKAQKELHAEAKYNAERDALAGL ASSAPPQPVGPPPIEYMSTDVGFSGDLRTIFAKEPQAAIDARKKDATRALADDRGNSQ SIYAYGLTDVRNQPPIPLRPHWSKHTSPDELAMAEEDAFREWLLQLHQTCVERRQQAA PTAPSICSIPDSGVNAWEINSYERNLQVWRQLWRVIEKANVLVHLADSRCPLLHLSHR LIQHIQDDHPTKRVLILLTKCDFVAPERVDLWVKYIRDLYNVPVLTYSRDRVDESNTV LLTTIGRLSEDTSYKKGRDEDLNAGTLMVGLVGEPNVGKSSFLNGMFGKKLVSVSATP GHTKHFQTHFFDRPEMLGRDDISKVCFCDCPGVVFPRFGIPLALQILFGSYPIAQTRE PYSAIRFIAENATPTLQHVYKLRKVDEDDGNGDDEHDGGDEYDGLM H257_17173 MAAFLLIAILFYVMASFMAAVAGTNVNAIVTPTHVSVLGVPGVF TAPPGPSCVGKDAALAGVGACPGPQPRLEFGSTCQALPNTTPLVMGCVSVKSPAAPRA TPTMAAATTRPPLPMSTTSMPPHTTRPAAPTTKGPTTSPLQSTSPRTTLSVGVTSPPP STTPLRTTEEIRTTKSPTYLRSTVIPSPTKARPKPIWSWVVVGVVVCGVGLMGCGVAK WRQNQTTSSPPGDGHAADMYHMAATPTGNVPVPWLDVPNTWRNGMAPMDSITEWDARD GEGNDALVLTPREKIPCLDAIGSYEDQRGCGSISSNISSPRRSSSVCSDVATVETVGS SEDQRGWGSVSSNTSSPRRSSSVGSDVSSDGQRGWGSESSNTSSPRRSSSVGSDVSNA QRGWGSESSNTSSPRRSSSIGSNVSHGKRGWGSESSNASSPRRSSSVDSDVTDNSRWS H257_17174 MAAFLLIAILFYVMASFMAAVAGTNVNAIVTPTHVSVLGVPGVF TAPPGPSCALPNTTPLVMGCVSVKSPAAPRATPTMAAATTRPPLPMSTTSMPPHTTRP AAPTTKGPTTSPLQSTSPRTTLSVGVTSPPPSTTPLRTTEEIRTTKSPTYLRSTVIPS PTKARPKPIWSWVVVGVVVCGVGLMGCGVAKWRQNQTTSSPPGDGHAADMYHMAATPT GNVPVPWLDVPNTWRNGMAPMDSITEWDARDGEGNDALVLTPREKIPCLDAIGSYEDQ RGCGSISSNISSPRRSSSVCSDVATVETVGSSEDQRGWGSVSSNTSSPRRSSSVGSDV SSDGQRGWGSESSNTSSPRRSSSVDSDVTDNSRWS H257_17175 MSRPEHIMPPEIFYNEKEAAKYNSSSRIIKVQNDIAERAIELLN LPADKEHFILDVGCGSGLSGLALQESGHAWIGCDISQDMLDIAIERDSSGDVFLQDMG GGLPFRPGVFDGCISISAVQWLCYSDKKEHTARKRLMRFFGSLYTCLKRGGRAVIQLY PETPEQMEEISANAMRCGFSGGLVIDFPNSAKAKKYYLCLIAGHDPTAKATLPKALVG DAAAAAFENRREKNKKGKARVPLKDKDWVLAKKERYRKQGKEVKTDSKFTARRRARGF H257_17176 MTATRAMTPPGTFLMTIESDDEVDRLDESSDEEQDKEEAVAVIS KQQKKKQQKKDEKKKQNAAISDIAEGFEFDDGSAFRSATGNSWDFTKAIAHIDNMERR SYGDSVRTTVQKKIEARRKERQDARKEKKKSLQAAEKAAAATTTEQATTGDDDDNKEV AKDDADGDNDSDSDDEDAAAADVVEESKLQEALHERKQNAASAAVDAIEKKKADAFFE TEFQAPEMDNTTSFSDLKLSRPLLRAVSSLGFSAPTPIQQRAIPVAMTGKDICASAQT GSGKTAAFLLPILERLQFRSRRVAATRVLIICPVRELATQCQSMLEQLAKFTDITSGL AVGGLPLREQEAELRLCPDVIICTPGRMIDHLRNSRSIHLDELEILVLDEADRLLELG FQEEVQELVKFCPVARQTLLFSATLTSKVDELIKLSMKRPLRISTDPLFDMAQHLVQE FVRIRPTREDDREAILLALCTRTFKTNTIVFMETKKHAHRMMILFGLAGIKAAELHGD LVQKERLEALQRFRDGTADVLLCTDIAARGIDVEGVHAVINYQMPKDVTTYVHRVGRT ARAGRNGRAVTLTSENRRLIMKEVSRHCHGFVKSRSVPDAVIAQWKQRIDNMEADVTS VMKEESMEKRMREAEREASRVTNLVRHKDEIHSRPARTWFLNEKEKKGVVERAEEHRQ ANLMVHDDKPDKKKVTLNHKKRKTAELRDREQRALEEAENDGKKVFTSDHVAGAAKSA KRKAIEEKRKLATTSIAEIHEMKRQKREKAIQRKVQSGAFDKDLSSRDKQAPKKKASE ANGEFVFKEKITSLRKQGKRSVHSFKSKARYNRRK H257_17177 MESLFGIVGKDFVILGADSKVARSILVYKDDEDKMQALDSHKLI AGAGPQADRVEFCEYIQKNLKLYQLTNGVTLNGHAAANYVRGELAQRLRKAPVQLNLL LGAFDVANPNDPATAATGGVPSLYWADYFGALNKVNYGAQGYGAHFCLSILDREWREN LTLAEAKVILTHCNNELSERFLVRSGKWIYKVIDKDGIHEVQL H257_17178 MKFSGAVVVAAALLQASVAQVHVLTSKNFKQQVLDSSDYWLVEF YAPWCGHCKQLEPEWKEAAKQLKTKAKLGKVDCTVHQDLGQTYGIQGYPTIKEFGKNK KKPKDFNGGRRASEIVQYVRSNPHAGLFERVDLLTYTTMQPFLESQKLPKVVVLGRNK QKKGKPRWLDDVAQQFRSKASIGFVPGSEVKVVNQFGIPESDRPIVLVARGSEYVWVK LHQVETIKDDVVAFIKQSLKGPLIEPQSLPVFPPREDASPKKKPPIGAVQQLHASTFE SLCLAGGAKMCVVYLPSSMEFDLKPAAKQFRRDPLRLFYIHPEDVGFHSQLAQWLDLP KTTAANRALVFKTGTQVRMTDLADVRDTAALENQLTQVLDGLHPFRPVMTAPFEPAAA HTEEL H257_17178 MKFSGAVVVAAALLQASVAQVHVLTSKNFKQQVLDSSDYWLVEF YAPWCGHCKQLEPEWKEAAKQLKTKAKLGKVDCTVHQDLGQTYGIQGYPTIKEFGKNK KKPKDFNGGRRASEIVQYVRSNPHAGLFERVDLLTYTTMQPFLESQKLPKVVVLGRNK QKKGKPRWLDDVAQQFRSKASIGFVPGSEVKVVNQFGIPESDRPIVLVARGSEYVWVK LHQVETIKDDVVAFIKQSLKGPLIEPQSLPVFPPREDASPKKKPPIGAVQQLHASTFE SLCLAGGAKMCVVYLPSSMEFDLKPAAKQFRRDPLRLFYIHPEDVGFHSQLAQWLDLP KTTAANRALVFKTGTQVRMTGIVHFVISPYSFRIDLILCTLYYATVL H257_17179 MDILHELKLSAMEVPLKNLESQTHRLFHALLQGPDSWRLQLQEL AGVQSVLGTANKSTHDERCPLPLARGRTHIMKLLASKRSEVAK H257_17180 MWGDSKGSGSSGRQGSSMKADPGKKVVVHHVPTSNQTESADAVV ESAASTHARRGDDAHIDEKVFLSYHEASVAMTLPEPYVTQRNLKLVLVEDYVRLASFV KSDPFSVSPLGYYKSPDGFDIYVFECTLGSVDRPSHLKRWKIHRRYRHFDMLLSRLMD PSSSSSSSAAATARWPSLSGSYLQMFRAKHCKDRLIELHTWLVKAVELLQTFPATSAD TTTGDKSHVLFTCFLFAGANTPYVTFPSLPLFAWALEQVHVQLTLSPLYPSRVPNQHA AGGLGLRLAPSTGRADDETGGTIYRGAVVTGFLRDSFDVPNVQYVRLGSHLSHINGVP IENETFDTILLHLRSQSRPMFLTFTLDPRPSSLECQLSEADLRRHDRALSASSMISGS RRTSAASSMDVADVQTPMSVLGSVFHDTLGGSRKRTETMISDNNQDEDDGLLLLCWDD VSGLGMDTVTTGMYLPQTQPAASTPTVQEASGIWSTSAGPLRVRLSACKLQGKPAVFL SVTPQRFGVRNTPLPSQGGVGGSQASKRGKKQPPEIRLERGMVLVSVNNMSTFDLSFH DTMALVTQASIPTSLCFRWFNDYSLFLNPNSDQAMPLIHRPSMSTSATSPEADMAGLV EAHTKLCSSWHQALVENASLRVELHIVQESNRHLRDAHQMATKAHTSTAQDTTKLIRR CNALQDALNMERVQVQQTAKERRQAEVNVRDWDKRLQQKLAAAHDAANRRVMAHEARC IEESRKSIEVAKRAAEVRVKRQVEEALAAQRRQSHLEMQRLAEDNGEEIEFLNQQLTL WKHQVEVLTENDRRRHAHESTHDDQDAEVLSHELSDRRRRSHSHDTRKDQSFWGQEFD PPNEPDSQSP H257_17180 MWGDSKGSGSSGRQGSSMKADPGKKVVVHHVPTSNQTESADAVV ESAASTHARRGDDAHIDEKVFLSYHEASVAMTLPEPYVTQRNLKLVLVEDYVRLASFV KSDPFSVSPLGYYKSPDGFDIYVFECTLGSVDRPSHLKRWKIHRRYRHFDMLLSRLMD PSSSSSSSAAATARWPSLSGSYLQMFRAKHCKDRLIELHTWLVKAVELLQTFPATSAD TTTGDKSHVLFTCFLFAGANTPYVTFPSLPLFAWALEQVHVQLTLSPLYPSRVPNQHA AGGLGLRLAPSTGRADDETGGTIYRGAVVTGFLRDSFDVPNVQYVRLGSHLSHINGVP IENETFDTILLHLRSQSRPMFLTFTLDPRPSSLECQLSEADLRRHDRALSASSMISGS RRTSAASSMDVADVQTPMSVLGSVFHDTLGGSRKRTETMISDNNQDEDDGLLLLCWDD VSGLGMDTVTTGMYLPQTQPAASTPTVQEASGIWSTSAGPLRVRLSACKLQGKPAVFL SVTPQRFGVRNTPLPSQGGVGGSQASKRGKKQPPEIRLERGMVLVSVNNMSTFDLSFH DTMALVTQASIPTSLCFRWFNDYSLFLNPNSDQAMPLIHRPSMSTSATSPEADMAGLV EAHTKLCSSWHQALVENASLRVELHIVQESNRHLRDAHQMATKAHTVRPPLRSCRRRH GHDRIYETYSLLVVICLGYMYMWLRVSPTCVHSLRPKTRPNSFAGAMPCKMRSTWSES KFNRRPRSGAKLR H257_17180 MWGDSKGSGSSGRQGSSMKADPGKKVVVHHVPTSNQTESADAVV ESAASTHARRGDDAHIDEKVFLSYHEASVAMTLPEPYVTQRNLKLVLVEDYVRLASFV KSDPFSVSPLGYYKSPDGFDIYVFECTLGSVDRPSHLKRWKIHRRYRHFDMLLSRLMD PSSSSSSSAAATARWPSLSGSYLQMFRAKHCKDRLIELHTWLVKAVELLQTFPATSAD TTTGDKSHVLFTCFLFAGANTPYVTFPSLPLFAWALEQVHVQLTLSPLYPSRVPNQHA AGGLGLRLAPSTGRADDETGGTIYRGAVVTGFLRDSFDVPNVQYVRLGSHLSHINGVP IENETFDTILLHLRSQSRPMFLTFTLDPRPSSLECQLSEADLRRHDRALSASSMISGS RRTSAASSMDVADVQTPMSVLGSVFHDTLGGSRKRTETMISDNNQDEDDGLLLLCWDD VSGLGMDTVTTGMYLPQTQPAASTPTVQEASGIWSTSAGPLRVRLSACKLQGKPAVFL SVTPQRFGVRNTPLPSQGGVGGSQASKRGKKQPPEIRLERGMVLVSVNNMSTFDLSFH DTMALVTQASIPTSLCFRWFNDYSLFLNPNSDQAMPLIHRPSMSTSATSPEADMAGLV EAHVSTSVMTNMRYGDDHDGNDD H257_17180 MLLSRLMDPSSSSSSSAAATARWPSLSGSYLQMFRAKHCKDRLI ELHTWLVKAVELLQTFPATSADTTTGDKSHVLFTCFLFAGANTPYVTFPSLPLFAWAL EQVHVQLTLSPLYPSRVPNQHAAGGLGLRLAPSTGRADDETGGTIYRGAVVTGFLRDS FDVPNVQYVRLGSHLSHINGVPIENETFDTILLHLRSQSRPMFLTFTLDPRPSSLECQ LSEADLRRHDRALSASSMISGSRRTSAASSMDVADVQTPMSVLGSVFHDTLGGSRKRT ETMISDNNQDEDDGLLLLCWDDVSGLGMDTVTTGMYLPQTQPAASTPTVQEASGIWST SAGPLRVRLSACKLQGKPAVFLSVTPQRFGVRNTPLPSQGGVGGSQASKRGKKQPPEI RLERGMVLVSVNNMSTFDLSFHDTMALVTQASIPTSLCFRWFNDYSLFLNPNSDQAMP LIHRPSMSTSATSPEADMAGLVEAHTKLCSSWHQALVENASLRVELHIVQESNRHLRD AHQMATKAHTSTAQDTTKLIRRCNALQDALNMERVQVQQTAKERRQAEVNVRDWDKRL QQKLAAAHDAANRRVMAHEARCIEESRKSIEVAKRAAEVRVKRQVEEALAAQRRQSHL EMQRLAEDNGEEIEFLNQQLTLWKHQVEVLTENDRRRHAHESTHDDQDAEVLSHELSD RRRRSHSHDTRKDQSFWGQEFDPPNEPDSQSP H257_17181 MCKIGMMIWVSWVATLCLWMIVVPVRAANVVYYQVQGDDITARY TDTQANTLVGSSAWHKIVGMAVHEARSKLYWSDGNFIWQSNVDGTNKLVFLGAVAQVS WSGHHFGPPGSPLTLTVLSQRCVTILSRTPTEVTCVLRVASLLDPSALQPIATTSVSI ASEFGTATGITPGADDLLGLAGYKSPIVKGVTIQVTFPSPHALAVDNIVASSPWLFMS DPKHGRVYQLHVDDASVRVVVAQEWSVQGLSIYGSKLRYTVQSKGAIYALDVSNATSS SAIPTPLVTKLKSPRGIVLDHVNWRLYVAEKGGKIYRVHADGLPFPSMGQRKMVTMER VLGLSTLTRLNGLSLDVPNGVLYWTECSSTNVVARADLSTMERQVVAGGSPDNLNWPR YVHYASQSDALFYAEYGGRISSGPIGGPFDAVVDDVPGATVNSNSMDHRPMHMFALD H257_17182 MDDPDAAEFTAYEQNMQLVTIDQGAVLQTSQAGQMVVLPATSTG LALTCGSDLSLVHVATPSFRFKQLDTDTDLNTAPSALQRQAHLESLMKTSRKQQQQMS LRLHGGHVELAGCGDEYGYEDFEALPPSFGTSSRSHASSSSSSTPTHDDMVHFLGPAE NIKTLFKLPYSNSRVSLAIHRVGNTLIVDGEVDETDLPRGFDACNEVLQPDQPSQRTY LPSPPSHLYENFIYQSTQLITPPPSSSSSPPPLSSPVQHSQRPPHPPNPSSKRRKARA QLQKQADRQPDVVPATFGRTFSWTFHDMKMLLGSDVQLFSNKDHPAVSLKLHDVNDEL SLCTALDYYLDNVIANIPELAICMHSHGYVRGYQLLQTRDIPYLNGAASRPLFDVQDV DMNATMLLRFLQQNCTASNGTYWLFREEGSRSLRLYDVRMLSQGKQRKWKYMMAMLCY RFASRAGRLVVAATASPTLQHRLRLRQRELLATCLELLDEIRTQPPQTSSCHHHPQHP SMSPKDSISAMVEEAMADTYLHGMLLATPHDSIEATASALPHLVRSIRVLEGCALHHT RQQQADPPSQDNGDDNRDNDNDDDNGDDVAEFMQEEVLRLKLKHCSACLHLATLYLDT NMHAKMLTSLADACMFMPVDAVPAHPRHVLLSVITSLDFFSTLATELDFGGAAASSTR SYKSSNGSSKQCQSMAHARACVLEVIGDAANVLDTNDAPTAAALFHAFAVVSGGLLPS HCYDHEASVASVWVKQHSAAASSMVSPDTAESLRYVAFFAYLNALEPQVPADSFFVLM KKLGNAANELGKFYLGRSDFTAAYLWFEGGSILFDTIEDGVNTALIYANLAHLHKVLA DTEASTAAESHYAHAVQLCFKAQAKLKSAKAGPPLHAKVNGELALTYLVWAVHLAKTQ DNHLGVLEKFNKALNMYVELRDRRQVAATHYQMASYYSQQQVKTKQRMEAARRHYEKA LEYFGGVEVGTTFVMIHKQLAELYASSTKMEDVEHALLVVLNTFDAFKRVATLPRHEQ ADLESMAPTLVLRLQEYLLQLIRLGSASTKPAMQATITRFKAMYRLTIDQNTRPFAHL LLALRNMYE H257_17183 MATWAYLANFWAAWRSFSRDLMVQCRTALTSVSRSTSSRYMCIF FSVMSRHFLSLSTSFSSALSRTSSDSWSGDAGLGVRKRSTTRPDAKLRKASTTSSNLN DVMSSSLMDRRMSPGLITPHASPGASRPDGSL H257_17184 MSGKKSVVKNRAPAPIQITAEQILREAQERKEESVKPSRRRITD AEELDEYRMGKRKTFETEIRRQRHHLGTWIKYAQWEETQHEFARARSVFERAIDVEYK NQSLWLKYAEMEMKNKFINHARNVWDRAVSLLPRVAQFWYKYAFMEEMVGNLDAARAI FERWMEWQPDDQAWYSYIKLEVRAKETERARAVYERYLMCHVAERSYLKYAAWEEKGL ELARARTIYERAMVELREDERSEKTYIAFAAFEERCKEFERARAIYKHALDTLAKESA VNLYNAFITFEKQHGDRHRIEEVVIAKRRVHYEAQVARNPMDYDTWFEYIQLEEAEGN ETLTREVYERAIANVPPVHEKVFWRRYIFLWIKYAVYMELTVESVEDARQVYQQCVAI VPHRSFTFSKLWVLYAKFLIRQKDVKGARRVLGEALGRCATTKLFRSYIALELMMGEV ERCRTLYEKWLVFAPHNCVAWKQYADLEAGVGEIARARAVFELAIQQNVLDKPEMMWK AYIDFEMDQQELDKTRELYERLLERTKHVKVWTSFAQFEGSHFDADAARDVFRRASTC LKDEGKKEDRLQLVEAWVAFEEALPTNDVANIQMAQKLLPRSVQKQRMAYAVDGSELG MEVYTDYVFPDEEKSQSNLKLLQAAQLWKKRAREE H257_17185 MNTLTATATATVLLGKFGHFASRPDVVVDKTNPFIGYFHKALVP RPPPRRLVRVPSLDYANQFLTYAPFAPPRPVALSHALGHFTPPLSSNPVVSYYKQHFK EARVLGRGGQGSVFEVECVHDGERFAVKKVEISHKPNQLSQAMREIQAMTRLPTHQNI VQYYASWLEDEAAHREHDAFTVTRTRPLESNSTTHEDASSSCASYRDEFSLGEDDSVV EFASNSVALEDLDQSKQVSTASSGDDATTQLRKTLFIQMELCQHVWDHLPINNLTSWL GATGPSRLHSDSVHRTAMSLFQDVLRGVQHIHEHGVIHRDIKPDNVFLRNGVAKIGDF GLSTTTTTTTITTSVTSSSSSPHTTAVGTFLYASPEQVGVSGQRTAHYSDKSDMFGLG LILLELCCYFATAMERVQVLTSARHGVLPVTSRFSTELALVTAMTAANPFDRPSAEQV LCFLTARASAW H257_17185 MRLVHVHPPRLLSPSDVRLAGHFTPPLSSNPVVSYYKQHFKEAR VLGRGGQGSVFEVECVHDGERFAVKKVEISHKPNQLSQAMREIQAMTRLPTHQNIVQY YASWLEDEAAHREHDAFTVTRTRPLESNSTTHEDASSSCASYRDEFSLGEDDSVVEFA SNSVALEDLDQSKQVSTASSGDDATTQLRKTLFIQMELCQHVWDHLPINNLTSWLGAT GPSRLHSDSVHRTAMSLFQDVLRGVQHIHEHGVIHRDIKPDNVFLRNGVAKIGDFGLS TTTTTTTITTSVTSSSSSPHTTAVGTFLYASPEQVGVSGQRTAHYSDKSDMFGLGLIL LELCCYFATAMERVQVLTSARHGVLPVTSRFSTELALVTAMTAANPFDRPSAEQVLCF LTARASAW H257_17185 MNTLTATATATVLLGKFGHFASRPDVVVDKTNPFIGYFHKALVP RPPPRRLVRVPSLDYANQFLTYAPFAPPRPVALSHALGHFTPPLSSNPVVSYYKQHFK EARVLGRGGQGSVFEVECVHDGERFAVKKVEISHKPNQLSQAMREIQAMTRLPTHQNI VQYYASWLEDEAAHREHDAFTVTRTRPLESNSTTHEDASSSCASYRDEFSLGEDDSVV EFASNSVALEDLDQSKQVSTASSGDDATTQLRKTLFIQMELCQHVWDHLPINNLTSWL GATGPSRLHSDSVHRTAMSLFQDVLRGVQHIHEHGVIHRDIKPDNVFLRNGVAKIGDF GLSTTTTTTTITTSVTSSSSSPHTTAVGTFLYASPEQVGVSGQRTAHYSDKVRHCPFE IECVVLTTSSTVHFCIIEIQIQFAVYVLLCHIEIEIQFEFVVLSLRVCIY H257_17186 MLLARPAASLRRTPPLVKGLFIVRNKSGYVQKTVIPTFHFQKSL TRLPIPSLDDTLNRYLLAVQPVVSANEFDATKRAVEKFRKQDGPELHAALVERDNASP ETSYINKWWFDMYVQDRQPLVINVNPQIKIKDDPAPEKNTQNQRAASLIASSVRVFRT LRDRHLEPDIFHTKPNYSKTSAFNTFCKFLPESVSFYGAAALGAYPLDMSQYKNLFHS TRIPGVGQDKLELFPASKHIVVQYGSAFYKFNVLKDDGTAVADADILAAIDSILKNRP VESAAALGVGLLTTLPRDDWARARTALVQSDTVNASSLHDIDSALFMVTLEHTAPESP AAISRTFLHGDGANHWFDKSFQLIVAANGKASVNFEHSWGDGVAVLRYLNEMYTDSIQ HGALPTGGTTTTTTSSAIPLQWNLSAETKRTLEQAKHTFDTWTHRLLIDVAESPVTRQ IASDYGIGTDGVMQMAIQLAHFRLHRGFVSTYESASTAAFKHGRTETIRSCTNEAVAF CHAIESTRVLSADKAAALRRAVKKHGELTKNGVMGQGFDRHLFGLKKMAALQGAPVPE LFELPSAAIMSRIILSTSTLSSPNLDGGSFGPVNDDCYGIGYGIEAQGSVFQLASYRS DLPDLKAALISSLHDIEAVLRETKK H257_17187 MASTKPATETTGKVVKAAPAETGNDDVKAASTDAAAKGVKFGTS WSGKEDKKLKKAVEAQGKSHKANWKLVASKVPGRTAGACQGRWNTVLDPLVDRSPWTP ELDAQLLELYKDPLYDSWSKRAAKLAEGKFGPDGKQPMRRSGADTCDRYFKITKSKKA PKTKGSNNHKKPAEVKWTDETDDALDAPPTIGQPTAAAAPVATRNQNIRARRNERRKK YIAAKAAAAADSNDDGAQEDGVAEDASANKKGKFDKNAGHFGKKPFDRAPGGKRKAAS KPPPPKPFKKHKQ H257_17188 MLRAVVLRHIKRRQVVTAGLRGFAAGSSKTPYACSECGHRHSKW QGQCDNCHGWNLLQEVSARRGKAAARDWIQQSKPVRLHDVTLSQNVHRIRLADAEINW VLGGGIVPGSLTLIAGPPGVGKSTLSLQIAHMMAQASPNGSVLYVSGEESVGQVKMRS DRLGIKHPTCPNLYLASETNIESIIAMIQHWDADAPCAGVMIDSIQTMYSSDINSTAG NVTQVKECTLQLLRVCKDANIPMLLIGHITKSGDIAGPKVLEHIVDTVIQLDGDAHSP NRFLRCTKNRFGTTSEVGVLSMTDAGLVPLQNPLQAFLSPSTGPVEGVAVTIAVEGTR PIPVEIQTLSSPSFEEHRTSCKCHGVSYDKLQLIFAVLESRAGVSFRSKSSFVNIAQG YFLDEPCADLALAVALASSATKRPVLPNAVFFGEVALSGMLRPAVMLEARLAAASKIG VDTCIIPRVTSTEGKKAVDKYRLAMHIQQVDTLVDALALGLLQLP H257_17189 MQGTHRIPDAQSAIVLRRIEPRDVAQLQALHEDWFPIRYNESFY QHAGQGMWENGEPLFTQVALCNEDIIGAVTAQIQRCDDTEDKCLIHQSKDTSTTLMYI LTLGARQDYRRKGIASVLLTTCINEAKADSSCRALYLHVKADNGQAIRFYEKNGFHRL RFLEGEVGCMLLLGQVNNVLHSRGRLLFHQWPQPSCIFIHPVRQRRRRASQMAGPHFQ TALHASRVLDEVVWRWRAQGARRGVECRIPRVII H257_17189 MQGTHRIPDAQSAIVLRRIEPRDVAQLQALHEDWFPIRYNESFY QHAGQGMWENGEPLFTQVALCNEDIIGAVTAQIQRCDDTEDKCLIHQSKDTSTTLMYI LTLGARQDYRRKGIASVLLTTCINEAKADSSCRALYLHVKADNGQAIRFYEKNGFHRL RFLEDYYFISGHNHHAYLYILYVNGGAAPHKWLDLISRPLYMLVEFWTKLFGDGEPKV HGAASNVEYHV H257_17190 MVASRLSTPSSSKSRRPSTASVVHDQGNDSNSTEASAVHPSTSS ESAWRPLNSLLAQHGFSPVELVPTATGVLPKMDALYGVVHDLISQLERRGQIIQDLVL DSDINAKKQTKIETSLASSGKHVANVTDALERSQLEVQSLKTQLERASAKADSDQKAY KLQKTKLEQQLKMSEHRVKAKEALVDRLQVKLQQVTDKDAAAKSRTRHVFRDIHMREP RRTSAADVKALELIAMYEHDRDKMAGEIRSLQSQVQELCCDVRDKENVLLRQSSNGLP KNREDAFVERLEAARLEQEQSARKLRQQEALIQDKVAKIDADLRASKQVVADLRDENA NLHLEVQSRPSIRDYKATQRRVMLLERQLHDQQVAVTHANTLDDLRQYMGTAELIYRD KQNAKLHLNRLSTLPKEACLEVMQDICRQLDLTDVTMIGPSVAKLISVVHAVPRMEKF IRDVCACVQPSAAIEKVVPTLTKWKQNLDQLESLQAYAAQINAALMKRTYENVEDSHT TDPLSPKRALHVIDELVAFESHFLQERQMYSIAMTNVEKQPDVLVHKMIQHFRHLFGV KTMDGVFPKINEVFLFVNEMNNALASIKEALNLPTTASVTSTLQDLRTALQQTPSTRP TNTSESYVVTSKSDVVGVAAVRQQHLTLTKLKQVLGAQSTDELVPRATRLMELLSLSS MQHP H257_17190 MSEHRVKAKEALVDRLQVKLQQVTDKDAAAKSRTRHVFRDIHMR EPRRTSAADVKALELIAMYEHDRDKMAGEIRSLQSQVQELCCDVRDKENVLLRQSSNG LPKNREDAFVERLEAARLEQEQSARKLRQQEALIQDKVAKIDADLRASKQVVADLRDE NANLHLEVQSRPSIRDYKATQRRVMLLERQLHDQQVAVTHANTLDDLRQYMGTAELIY RDKQNAKLHLNRLSTLPKEACLEVMQDICRQLDLTDVTMIGPSVAKLISVVHAVPRME KFIRDVCACVQPSAAIEKVVPTLTKWKQNLDQLESLQAYAAQINAALMKRTYENVEDS HTTDPLSPKRALHVIDELVAFESHFLQERQMYSIAMTNVEKQPDVLVHKMIQHFRHLF GVKTMDGVFPKINEVFLFVNEMNNALASIKEALNLRKSSIVPCCLQTQRNLPAATTAS VTSTLQDLRTALQQTPSTRPTNTSESYVVTSKSDVVGVAAVRQQHLTLTKLKQVLGAQ STDELVPRATRLMELLSLSSMQHP H257_17191 MDLRITNYGTDAREYALVSKVAQPHDYSALLSLISLLINTGLCV VAYIIAIPQLRGRIDSSYHEWCPIPNADDRHFATWTLLPVLVVALYIKTSFATTVVEE SLLVIPQIGVQLRKKQRNGHETFMFIEATCIKAVVINEAISFSDVIYYLAFLVENERK MVLAFETFCPRIVALQKIYRGTKQLLFPDDTKMTML H257_17191 MDLRITNYGTDAREYALVSKVAQPHDYSALLSLISLLINTGLCV VAYIIAIPQLRGRIDSSYHEWCPIPNADDRHFATWTLLPVLVVALYIKTSFATTVVEE SLLVIPQIGVQLRKKQRNGHETFMVHIYINYRVWCCIMLRAVSSLRRRASRPWSSTKR YRSRT H257_17191 MDLRITNYGTDAREYALVSKVAQPHDYSALLSLISLLINTGLCV VAYIIAIPQLRGRIDSSYHEWCPIPNADDRHFATWTLLPVLVVALYIKTSFATTVVEE SLLVIPQIGVQLRKKQRNGHETFMVHIYINYRVWCCIMLRAVSSLRRRASRPWSSTKR YRSRT H257_17192 MRWLFRDVSHRVVRGGRRNHLDPILVDSGHFFELGGGRGGKWNG RGGKRKVLLDPRHAVPHVLGLEVQKVRQRVQVVSGRDEEQRGGGHHARQKVQAVGVQK RHVQQVARDVEHVGALHIPRVIRVLELLDVEVVAVVVDVARRRRQSHRVLVQSQTLHR HEQPRHGRRGVQLPLEGLEHRLLFRHDLILGRVDRMGFLGLFLGSRRRRSLSRRGLVH DFAAFPLHGLQDLLD H257_17193 MRETLTLQFGSASNQLADKLWHSVLSELNADKSSITTDGARDSS MTYRNGRYTPRAVSFCSKGGLSKAKPRSVDTASSAWDGNVQVISQDSEDAQAVATPLP SRAIVEVNEFRHFDPLNNFYDGVLGLDAKVRRETMDTAHDVIRAALEACDSLQGVQCI VDVDSTWGGFASEVLRGFREECPSAFVSVLGLDTRYPVASQADFFDLSKQSGRRSINL ASSVLILNELSSLFIPFSPHTFDAGAVAWNCLSSVYRWRGSASTQDLLFRPNATVMQL SCSLVPPATLFAMPPLDRVAHWDQLSSFPVIQDCDDTTLRKFRFQFLAVRGLPHYSFD RLAGQPFDHWRSTVVAKPLFDQSSHPVDGGAVASLSITDRVPKYLMALATGVKHVDPR VVHEFTQAGMTCDGIRDLHDDLMSLSDSVL H257_17194 MTLTNFSPSEFYLLWPDIRQHIFRHWNVRSGRKCAVSARGLLLM MLASLKHCGTWDIVAQTFRTTVATFEKRVMSFIEVMRPYLLRKYVHGMASKWSMHELA ANGTRFEHYPYARYATDVTFQKTNVPVGSYAEKKLYSSGKRHLYGHKVEVSVLPNGFG INCTSYHKGSVSDKAIFDDKLNFHRTNLTKHPSEMEMTGTDGRVEQWAVLADKGYQGI QHEVRAVLPTKKPSGGFLTFDQQCTNDRIATDRFVTYVAITNVHNRFNPLRAEDGDAN TQYVNRLNTIGLKKIKDKKKAQQKYREKRKTRLTLFLASESAINGNACDSETEIGSDS ENDGGTSQLF H257_17195 MSYYFNQLITRTRDLHETHHCSNSSFQLSLDSAIDSAAKDSPDS ELEPSLELASASSIENFTRVKAATVDLLAHKGRLVTGSASQPGDVGIQSGPGVRDGFV YECELAVESPSVYRVVKYVYRPDKRYANSKRVFEAVVLNACGKSGYDLSVRRYVTEMS FVLRSMLCQSRNYLDDMSVSLLLCDPELSHVSSLNRGKIRDATDMDPDSRVTLLKRLN TGGIRTAIFRGKVEDFFSSKAVVNY H257_17196 MGRSAQPLGKRSHGGSRRPYAKVGICLALTYGHVPMAYGGPYYG AATGSYGLQTVRDLKSGEELFPNDAVDMQARICVVAHVGAAGHRRVQATTLSVSEVFE WTTLKTNVKNFVTAFLHCMVVDVESVPRPWGEALHATKPNELIHFDWVSFPEAADGLK HVLVIKDDMSGFVRFHASATATAAAFMEWFGLFGVMKTCCELLHRTDVENTVQRDWLQ PTEWPKVLPPVQSALNQQPADRMGGIAPTKAFTGLPATPPLSGLVRAECAEVATIDWI CERLVCDAQTSE H257_17197 MFRSPQGRQQPVTVDESWTYGWPTSPAPDSTAPTDVPAAEKEDP DMGHTDVYLHNAPALPKHPTFKGSTKEERRTFMAAYNLYISQTAALTVNGTKPFVMPV NACIDPASKQRIAEWDMGKDPYELTEAEWVARFRQGYDVDPRALDTLK H257_17198 MLEQLDVAHLSEQEPKQSSGAYQSVLSPTFLSRLEETGNLTSPV RQLGDVMELAGFMEVMKRDVDRGVNLRLTFETAEKTMDIPNLKCWVARDSRLGRPGRL IGRHYKTAWAT H257_17199 MDEYLAYSRHVEVLNHGIERYHLFDAVGGVHSFAAYFLLACKIQ ELDLESVAKATASLKMDTKVLDAFGC H257_17200 MDTVERTAMGKNHRHVYPISLKLQAVTMMSTMSIQKVAFEQSIP YPTIRPGRPTIIPQPEQLLAFMDNRRNQERALTCSHMVNFLKQHQ H257_17201 MASKRHSHHANIGGQGQKELIPFGPALFEFMRMHRDEERYTAVY FDMPMGKTLAEVGKSSKVVTCEKHLDRLAAVLTIRADEESHDKEVEAMFSFVEPLPHA MLKTEWLVEEDNRVGNEDFTGEEKRLAMVKRTILNHGEHSTPNATKRTYKRIPLSAKK RIVDAFNNAMDWKRVAQAKGVNISSARNWLHLDSLTSKQRGGNKYHDS H257_17202 MQFALLLALTVAVAALAQDQIVPPKVAWGDEAPDDGFEILGGQK AQFGKHRYVAGLKRCPDDETWCGGSLIAPNVVLTAAHCLDDGLTSVEIKHPNGTDVGI VILDRSITSIQPVTVSFEFVPADVLTWVRGWGYVTTNGPKSPVLKELNVTTWNNTRTS AALFYMDVTDTELGAGGVEGEDSCYYDSGGPLTIEENGTVRLVAVVAWGDGCGKIGKP GIYERTSAARAFIKPYLPK H257_17203 MAVTHTIGIANGEFLKLQGRMPVLSQALQKSQMLLNPKHPLWRG LSKLLVHLSVMCDYTSRLQPHETTDSLASRDARDCNYDDKAACFPGTPCIRLLGHYQS DVSRDKICTKKAPASKYKLPGIFHFICPHSICLGFVLMFDYESPSHPFSILAQRLSRT DGKRVVIIDNACNIQNYCMQREPWIFRNIWFLVDRLHYANHINCSSCGYMRRDNFMLF IQHNMATLNHRRVNTIHVEGQVRSSFTSAQLQELNAMLSEMQGAIQPPATQ H257_17204 MAATQRHHDEWFDANFIDHRRSGSFGHSTSVADTFRAVHDTFEH ENKVAREERDLNFNDNVASNADNFDGMDYQTADDDGLSSDNITAYISVLTNSRNYFSR VPDQHCQGVHISDRVLDGSKAIRRLLRTFSGRNVSKHDKSPIKTMPLVEHTNLKELLV GTLTVAYLSDFVEYLLGSAGVSGLCDPLWADFVYDLSCTSVVPG H257_17205 MKRPRRTGPPALYYKYADDLNHDDAQDAEERWYVVLRALDSHWI ECENVYHLYRAFLTGFICDTERLCQCMPGMDESIVLVSAMALLLEESQEHTRAIHIPR GRFNLMAQSYSTCAFHFRFKKNEIQQLKVLLEIPDPIITPQRFNASAEEALCILLNRF TC H257_17207 MRFPFVLSVVSAAFIEFDGHRYDLDPATQNTPIRLTDQHLRPPP PRLPSVFDIFIGISSYRDGVRCGFTLFTAFSRATHPDRVYVGVVDQVLPTDATCLDEY CKLSTSHWGECKFKDHITIDSHDAAASQGPTIARHAQQQLIRDEEFCLQLDAHSQLLA DWDTKLVKEWVRTDNEMAVLTAYPSGFHMIGENGTYPHLSASHLCDHSKRSGPDDIPF ATGILFIEYSERPQLSAFFGAGLSFSKCHAEKRVAVDNYTKWLFFGEESLRSYALWSH GYDLYSPSRHGSVVFHNWTNDPSRTSYMHHPLPEAARRRRADEEKASGNRVRAVLTLP FHGDVDTTGLAWFYNGSVRSIESFLGFHGVSNANASWDQERCHQLHWVPYERPEIVEQ LLPGWVQEALGAHERSNDMHNLVADTLEALKAQWTKDKEHILHNMELMHLKGLEERTH LPASVAEVLPAMAVVVALASVALVTRWRRRCTTSSPGSSTPTTSSSSAAKGSDYA H257_17208 MLTSFKCLGAFHSFVFTSFKWFDIRDEEFCLQLDAHSQLLADWD TKLVKEWVRTDNEMAVLTAYPSGFHMIGENGTY H257_17209 MHFRAAAAFALAGVASTSVDMYNPPNINYNTEHGIVRHILDPAT QNTPLRFTQQHLRPPPPRLPSVFDIFIGISSYRDGVRCGFTLFTAFSRATHPDRVYVG VVDQVLPTDATCLDEYCKLATSHWGECKFKSHITIDSHDALTSTGPTGARHAQQQLIG DEEFCLELDAHSQLITAWDTKLVKEWVRTNNEMAVLSTYPMGFEYMHAQDLTFRDSIS THLCRHMHRSSADAIPYTKGSSIIHDSEWPQMAVYFGGGLSFSKCHAEKRAVVDANMK WLFYGEEYLRTMALWTHGYDIYSPSRHGVAVFHNWTSDPHRIHFWKDTPPAALNAKYD QEQQTYNRLKNILKLPFQGYVDMTDVASYSYGLVRSVDQFIEFSGISPTNAELDTHRC NQLHWVPYARPEVVEAWVPGYHMHPLAPADISPSQAAMNNKQVQALMDLLVNATSSTS TTHDLVAAGKQAIADGLSQQKANVDELLKQVAALTSLSNEWKQVKNAVVQDKEQRWTE LLLNQDLLKKMETQLKQLTEARKKDSSVVEMFLPGFGIAGLVVVVLLFVLVQTRRGRT QYHQVPTTQSSLE H257_17210 MKFALLLALTVAVAALARDQIVPAKVAWGDEAPDDGFEIVGDQE AQFGQHRYVAGLKPAPNNITVCGGSLIAPNVVLTTAHCLTGKLPSVVVGTHYIFGFAD GELATVTQEIKDPSGADLGIVILDRNITSIQPVTVSFEFVPADVLTWVRGWGRLWGRG PKSRVLQEVSVTTWNNTRTSAALFPHPVNDTELGAGGVEGEDSCDGDSGGPLTIEENG TARLVGVIARGFGCGLRGIPGIYERTSAARAFIEPYLPK H257_17211 MIDCEVVDSESGDGQLERPPCEQPVARPPPDGARERPHLAVGFV DLAESKHAGRIETTTRNTTTPADTATADDAAKITTTPATVKTTTLDTRKTWFVVSGVV VFITAGTTNDGIPPPAALNAKYDQEQQTYNRLKNILKLPCQGYVDMTDVACYSYGLVR SVDQFIEFSGISPNNAELDTHRCNQLHWVPYAHPEVVEAWVPGYHMHPLVPDISPSQA AMNNKQVHALMDLLVNATSTAGKQAIADGLRQQKANVDELLKQVAALTILSDEWKEVK NAVVQDKEQ H257_17212 MATQFSTPRHAARRIGTIAAPPPPAFSMPASVQAHPDPSLPVED DRFTSPRGAAASSYDSPRHELTSYSSDEREDDSMADSSPRSIGLLSRSSLRQPLSILP PTPPQRRSYYKDIAFAKPVKPVDVFSLARHNRVDDVRAVLDRGLPVNTPDEHGNSLLI IACQNGLKRLTKELLRRGANINARNKRRNTPLHFCFAYGYGDTLGAYLISKGADVSLT NDDGLECYYGIEPPPHQLPQQLPQ H257_17213 MVRMSVLADCLKTIYNAEKRGKRQVLVRPSSKVIVKFLQTMQKN GYIGEFEIIDDHRGGKIVIELRGRINKCGVISPRFDVKQSDIEKWINNLLPSRQFGHL VLSTTYGIMDHNEARRKATGGKIIGFFY H257_17214 MKVVALVSGGKDSIYSMMKCVSHGHEIICLATLQPPHANEEVDS FMFQSIGTHVVEHIATCMELPWVTHTLQGTSVSTDMGYDTTEGDEVEDLLRLLEEVHR QFPDVQAVSSGAIFSNYQRTRVEHVCARLNLTSLAYLWRRPQHELMDEMLDRGVHAIL VKVASMGLNPQKHLGQSLADMHSTFLDLHDKYQFHICGEGGEYETLTLDCPLYTKRLV IDQAHLHIHADDMFAPVGLYCIDALHVEAKEKDQVIPVNPTPTSCMGIYSPSDVSDPK DFPPPPLPARQFRDQLVVAGIASSNSTMSLTDTVHDVFAQLEQTLQSHDMTLADVVYV HVYVNNIDTFGDVNNVFATYFPAANPPCRSCVQVHLSRDIVLDCWASKATRNTLHVRS ISEWAPTCIGPYSQANTLHSSLILLAGQIPLVPATMTLSSTPDDLALCVSNVAGVLEA TESNLRHVVSTVVYKTSRTTYKKQLRTMLTSNLHRRDAFETEGDSDESDDDVDKMDAK LALSKVSPICVVTVPALPKGASVEVEMVALTHKAMKLFTPFGYHKRDGDFISEWSIAT RMLCVGFVYVQEGDIADYNMGLALRRHVLGVLRQAKMTWHSVLHFRVHYTGICPTLEG VDVPITFVPVEAIAVPENSVTTTTANSVTTTKLAIQVVAYNGELMETDLWLAKQI H257_17214 MKVVALVSGGKDSIYSMMKCVSHGHEIICLATLQPPHANEEVDS FMFQSIGTHVVEHIATCMELPWVTHTLQGTSVSTDMGYDTTEGDEVEDLLRLLEEVHR QFPDVQAVSSGAIFSNYQRTRVEHVCARLNLTSLAYLWRRPQHELMDEMLDRGVHAIL VKVASMGLNPQKHLGQSLADMHSTFLDLHDKYQFHICGEGGEYETLTLDCPLYTKRLV IDQAHLHIHADDMFAPVGLYCIDALHVEAKEKDQVIPVNPTPTSCMGIYSPSDVSDPK DFPPPPLPARQFRDQLVVAGIASSNSTMSLTDTVHDVFAQLEQTLQSHDMTLADVVYV HVYVNNIDTFGDVNNVFATYFPAANPPCRSCVQVHLSRDIVLDCWASKATRNTLHVRS ISEWAPTCIGPYSQANTLHSSLILLAGQIPLVPATMTLSSTPDDLALCVSNVAGVLEA TESNLRHVVSTVVYKTSRTTYKKQLRTMLTSNLHRRDAFETEGDSDESDDDVDKMDAK LALSKVSPICVVTVPALPKGASVEVEMVALTHKAMKLFTPFGYHKRTCICMVSSFDWL FLDKWLRNDQ H257_17214 MKVVALVSGGKDSIYSMMKCVSHGHEIICLATLQPPHANEEVDS FMFQSIGTHVVEHIATCMELPWVTHTLQGTSVSTDMGYDTTEGDEVEDLLRLLEEVHR QFPDVQAVSSGAIFSNYQRTRVEHVCARLNLTSLAYLWRRPQHELMDEMLDRGVHAIL VKVASMGLNPQKHLGQSLADMHSTFLDLHDKYQFHICGEGGEYETLTLDCPLYTKRLV IDQAHLHIHADDMFAPVGLYCIDALHVEAKEKDQVIPVNPTPTSCMGIYSPSDVSDPK DFPPPPLPARQFRDQLVVAGIASSNSTMSLTDTVHDVFAQLEQTLQSHDMTLADVVYV HVYVNNIDTFGDVNNVFATYFPAANPPCRSCVQVHLSRDIVLDCWASKATRNTLHVRS ISEWAPTCIGPYSQANTLHSSLILLAGQIPLVPATMTLSSTPDDLALCVSNVAGVLEA TESNLRHVVSTVVYKTSRTTYKKQLRTMLTSNLHRRDAFETEGDSDESDDDVDKMDAK LALSYRPFVW H257_17215 MPHSFGTRARTRHMFARSFRHRGMINMTTYLRTFKVGDYVDIKA NGAVHKGMPHKFYHGRTGRVYNVTKRAVGVRVNKVVGNRIIHKHINVRLEHVHASKCR QDFLDRVKSNEIKKKEARVTGVRLAIKREPTQPKAAYTLKTQGVTPVTLAPQPFVDLM H257_17216 MDEDFDEEFGDDVLPTSVNHQAASTYKEGVVTTVESKGPQEEGE EVEEEEEGDVVLYGNMKESAAANNNPADEDDEDELEEEDEGIAIVLKDSTASPSKPQL RFTRGDNRYVRGDHVSPQLQPASRAGNEQFDPSFDELALLGMGGRRTAFDVDIDVLED KPWRKPGVDISDYFNYGFDETTWREYCIKQQQNRRELAYKKAQDKEAEEKEKAKAAAE MAAYMPPPMGKGGSHHHHHHGPPPHMFRGGPPPGGGRDGGWGGPPWANDPSSASSHRP LKDKDYYPPSSSRSGKQEHHSRRSRSRSRDKDERKPSSRSSRPSSRSRERKAPPRERS RERERGDGGRSRERERGDIGRDRDRGSRRSRDRDSRSRRR H257_17216 MDEDFDEEFGDDVLPTSVNHQAASTYKEGVVTTVESKGPQEEGE EVEEEEEGDVVLYGNMKESAAANNNPADEDDEDELEEEDEGIAIVLKDSTASPSKPQL RFTRGDNRYVRGDHVSPQLQPASRAGNEQFDPSFDELALLGMGGRRTAFDVDIDVLED KPWRKPGVDISDYFNYGFDETTWREYCIKQQQNRRELAYKKAQDKEAEEKEKAKAAAE MAAYMPPPMGKGGSHHHHHHGPPPHMFRGGPPPGGGRDGGWGGPPWANDPSSASSHRP LKDKDYYPPSSSRSGKQEHHSRRSRSRSRGEMNDFTFCGGYYIYVLHHGIYLVK H257_17217 MPAFSLWRVLIVLVATIGYALLWYVSPAAVADELHVWVAVAAAA SLAFLFVVPNSVKDSITTTLSHNDREGTPSPAATAYAYRIYSLTLIFVSVLILLVFRE STAPHTVSVYAVAPTVFIWFLWTVVEDLIVRLKGVEAVADNVETREDTPLVAIVTAP H257_17218 MSSPTGGTGRARANDDDFVPGPHDPQPTTAPDAPSNPTKKPPPG PPLSTLPLVLPPSFPSRTTVLVQLDDSTMDLSGDVGAIGRFHVHDTMSQVLLDLKGEQ YVGHVIPCNSYLVVSMGATEAKVDCLVNDVCHATKHRNVLNLMKGVVTAGTWKEEDAP APRPRSSSKKTKRVVRQKMAVAATIPAKK H257_17219 MAPVQRRDTPAKTSSGCTTSTRQLQSNVRNCLDAYLKVGKYWVH TSLCDGAKSNQKWHVDFADHRIKHDTHPNVCLDADPTDPQRKVQVWDTGP H257_17220 MGIIHGGQRVAPSPHTSFHHHVYAAPVQSQDQGWAGHVRAREHA LHQRERIEADRRQAHFEWEQRQMHQAATQYKFAVEQDATAHTTQYKFAVEQDATAHTA QFRANLEREASGYKAHLDMEQQRAFNNANLNWQQLQQQQHEAQTTMDQRLAAMHQEER ARYNTQLRAAGVDASAEGDAGVNIPTEGPAENTQLPGSPITSGVGEAPPGARSSSLPP YFDSAWHRTSFELPPGSFEDASTFYTMGSRPMSAPVRHSISMSPLPQSTAAPLISGYT PKKPPVYGKYGFKERDQQKFTKRFIVYARGQDAISVSSGVGIGTVWMSSCMTAEARQR RAIPIRSSHRGHSRNGVGGDFRGVDAHTKVSLLEALQWAKEAWQSVTHDTIVN H257_17221 MTIAYCMTIAYCASKKATASGTESSFCVRTRTPLFPPVPFAIEG IAQSAWETWLTKKAKYLATARNKKLASLGEPMASLPRVQALPRIPETCGQQQGCPPGA AYGGLPAQSYRPQARQSSRVGNGSTMVMSIVAPNYHGVPTTTTRQPG H257_17222 MCELFAVAPATFARVLVNAEKALSCALKTMPDASIRWPTFAQQT CWAQATEAREQLVTGVFAFVDGKNLPVREPSSSDLQNAHYNGWLHSVFVTGLQAMSDS ITSLRQAAEWGMGAAVKVTAN H257_17224 MDLFTADDAAARGRFSLRDRESLHGHTRATHYPRVHFMMSLCGA VMILYMCWRVLRVISKPFDSEVKAIVAAGGYHNEDEQSWSRWYMTWTMSLFPQWILDV IGGPSSIMMMALPTHHYARHHRHLHKARGLSLNSIPEDTEFSASDIPLVDSRHAPTYC PPWKDF H257_17225 MSVVFGDEEKESDYGYVYKVSGPLVIAENMHGAAMYELVRVGHD KLVGEIIKLEGTNASIQVYEDTSGLTVGDPVERRRQPLSVELGPGIVDNIFDGIQRPL ESIAKLTQDVYVPRGVDVPSLDHNKQWRFTPVSFREGDPISGGDIFGTVHENDLLYSH KIMCPPNVFGTVVKVYGHGTDGHESFTLDDTVLEVRDDTTGTTHKLGLSHFWPVRKPR PVAEKLAGNVALQTGQRIIDALFPSVLGGTCAVPGAFGCGKTVISQALSKHSNSDAIV YVGCGERGNEMAEVLCDFPELTMTINGQEVPIMKRTALVANTSNMPVAAREASIYTGI TLAEYFRDQGMNVSMMADSTSRWAEALREISGRLGEMPADSGYPAYLGARLAAFYERA GRVSCLGSPKREGSVTVVGAVSPPGGDFSDPVTAATLSIVQVFWGLDKKLAQRKHFPS VNWLISYTKYMRVLEPFFNRLDPDYSNLRTKCQEILQKEDNLQEIVQLVGKESLSEDQ KVVMEVAKIIREDFLQQNAFSDYDFTCPLVKSVGMLRSIILLHNLSQKVIADSPPDAR VTWAQIKVSLNPVIQKIIQTKFQLPKQPEEQLRKFFAGLDEEIEAAFQSLSD H257_17225 MSVVFGDEEKESDYGYVYKVSGPLVIAENMHGAAMYELVRVGHD KLVGEIIKLEGTNASIQVYEDTSGLTVGDPVERRRQPLSVELGPGIVDNIFDGIQRPL ESIAKLTQDVYVPRGVDVPSLDHNKQWRFTPVSFREGDPISGGDIFGTVHENDLLYSH KIMCPPNVFGTVVKVYGHGTDGHESFTLDDTVLEVRDDTTGTTHKLGLSHFWPVRKPR PVAEKLAGNVALQTGQRIIDALFPSVLGGTCAVPGAFGCGKTVISQALSKHSNSDAIV YVGCGERGNEMAEVLCDFPELTMTINGQEVPIMKRTALVANTSNMPVAAREASIYTGI TLAEYFRDQGMNVSMMADSTSRWAEALREISGRLGEMPADSGYPAYLGARLAAFYERA GRVSCLGSPKREGSVTVVGAVSPPGGDFSDPVTAATLSIVQVFWGLDKKLAQRKHFPS VNWLISYTKYMRVLEPFFNRLDPDYSNLRTKCQEILQKEDNLQEIVQLVGKESLSEDQ KVVMEVAKIIREDFLQQNAFSDYDFTCPLVKSVGMLRSIILLHNLSQKVQSFYLIFGH LCADSLPNLYRSMILCTEYDVELVEEWI H257_17226 MSLFQAREWWTVASGNAEEYTYGALSVGNVDNDPTPHDKIVVGS LNGTLRVYYPTHGEFKIDHLLMEEHLEHPILQVEVGRFVPHSSNVGIAVLHPKHLAVY CLDGVGGAGMAASYFKLTKKYEHPLGLDGEHFTAFNMTIGSFGKSPEKDHICVQSLDG RLQFFEQDRFSFMQQLPTCLVPGTMCYAAATDSLITATSDLHVECYRYQVMATSVVLK KKHNDDGAKQDAKEAKGVTAVKALHSEWRTNLGELVLDIRTGKFHWEVRARSFDVVVL GEFSLFCLTPSGDVCFHKRLGFHPSSLCLYSRPLCDNSESTDNVIIATHAKQWMIFRD AHLIWSAVAPSISTALSVSSFGGIDGMVVSLDDDGRLSVNYLGTDPPTTSVMASDAKE VNYEEMDEEHRTLLNIIRRSQGERRTEPKERVLLRAQVPALLDPPSLHTEADLRTFTG RENADENVTTDGDVVTGPDHKPVALTMRVYVTYTGSNVLNNVTIAITPPANVIVCGPS SILLDSIDGKAGTPLILPIVLRPSASVMPSSLDVIISAAYTLESGQPRTSLCTVKLPM CMMCRLIPPVKASTFKFTLDTNQEPPQLTELFDDMLTQPGATPDWAKQVTGSAANVLS FQYYNGIDVTILVSKNAGRYRIQSTELDALWMVSNELVDRLQLLHVQQTQGDDDDDPS ALPPPLHIQYQEPLPLADFFAAIDEHFAYRKEMAELHAELNDRAHQFRVIQKRLLVRY KDRSPSPLNCLDMLLHGTYSQLLDLSRRVDHVQSKVQVSANRLACSVHLLLMLIRYKF DLDDDNFAVLSAHLSPRVADAWEESTETAMTDLLKTALAVKKENAAAVAGVPVELVLP EDTKKLKKHITIVCDRLGKGGRLVGGATSAAAAAGKSDANDDDGGKEEKDSGAKP H257_17226 MSLFQAREWWTVASGNAEEYTYGALSVGNVDNDPTPHDKIVVGS LNGTLRVYYPTHGEFKIDHLLMEEHLEHPILQVEVGRFVPHSSNVGIAVLHPKHLAVY CLDGVGGAGMAASYFKLTKKYEHPLGLDGEHFTAFNMTIGSFGKSPEKDHICVQSLDG RLQFFEQDRFSFMQQLPTCLVPGTMCYAAATDSLITATSDLHVECYRYQVMATSVVLK KKHNDDGAKQDAKEAKGVTAVKALHSEWRTNLGELVLDIRTGKFHWEVRARSFDVVVL GEFSLFCLTPSGDVCFHKRLGFHPSSLCLYSRPLCDNSESTDNVIIATHAKQWMIFRD AHLIWSAVAPSISTALSVSSFGGIDGMVVSLDDDGRLSVNYLGTDPPTTSVMASDAKE VNYEEMDEEHRTLLNIIRRSQGERRTEPKERVLLRAQVPALLDPPSLHTEADLRTFTG RENADENVTTDGDVVTGPDHKPVALTMRVYVTYTGSNVLNNVTIAITPPANVIVCGPS SILLDSIDGKAGTPLILPIVLRPSASVMPSSLDVIISAAYTLESGQPRTSLCTVKLPM CMMCRLIPPVKASTFKFTLDTNQEPPQLTELFDDMLTQPGATPDWAKQVTGSAANVLS FQYYNGIDVTILVSKNAGRYRIQSTELDALWMVSNELVDRLQLLHVQQTQGDDDDDPS ALPPPLHIQYQEPLPLADFFAAIDEHFAYRKEMAELHAELNDRAHQFRVIQKRLLVRY KDRSPSPLNCLDMLLHGTYSQLLDLSRRVDHVQSKVQVSANRLACSVHLLLMLIRYKF DLDDDNFAVLSAHLSPRVADAWEESTETAMTDLLKTALAVKKENAAAVAVGVPVELVL PEDTKKLKKHITIVCDRLGKGGRLVGGATSAAAAAGKSDANDDDGGKEEKDSGAKP H257_17226 MSLFQAREWWTVASGNAEEYTYGALSVGNVDNDPTPHDKIVVGS LNGTLRVYYPTHGEFKIDHLLMEEHLEHPILQVEVGRFVPHSSNVGIAVLHPKHLAVY CLDGVGGAGMAASYFKLTKKYEHPLGLDGEHFTAFNMTIGSFGKSPEKDHICVQSLDG RLQFFEQDRFSFMQQLPTCLVPGTMCYAAATDSLITATSDLHVECYRYQVMATSVVLK KKHNDDGAKQDAKEAKGVTAVKALHSEWRTNLGELVLDIRTGKFHWEVRARSFDVVVL GEFSLFCLTPSGDVCFHKRLGFHPSSLCLYSRPLCDNSESTDNVIIATHAKQWMIFRD AHLIWSAVAPSISTALSVSSFGGIDGMVVSLDDDGRLSVNYLGTDPPTTSVMASDAKE VNYEEMDEEHRTLLNIIRRSQGERRTEPKERVLLRAQVPALLDPPSLHTEADLRTFTG RENADENVTTDGDVVTGPDHKPVALTMRVYVTYTGSNVLNNVTIAITPPANVIVCGPS SILLDSIDGKAGTPLILPIVLRPSASVMPSSLDVIISAAYTLESGQPRTSLCTVKLPM CMMCRLIPPVKASTFKFTLDTNQEPPQLTELFDDMLTQPGATPDWAKQVTGSAANVLS FQYYNGIDVTILVSKNAGRYRIQSTELDALWMVSNELVDRLQLLHVQQTQGDDDDDPS ALPPPLHIQYQEPLPLADFFAAIDEHFAYRKEMAELHAELNDRAHQFRVIQKRLLVRY KDRSPSPLNCLDMLLHGTYSQLLDLSRRVDHVQSKVQVSANRLACSVHLLLMLIRYKF DLDDDNFAVLSAHLSPRVADAWEESTETAMTDLLKTALAVKKENAAAVAGKPRSTYVA VT H257_17226 MSLFQAREWWTVASGNAEEYTYGALSVGNVDNDPTPHDKIVVGS LNGTLRVYYPTHGEFKIDHLLMEEHLEHPILQVEVGRFVPHSSNVGIAVLHPKHLAVY CLDGVGGAGMAASYFKLTKKYEHPLGLDGEHFTAFNMTIGSFGKSPEKDHICVQSLDG RLQFFEQDRFSFMQQLPTCLVPGTMCYAAATDSLITATSDLHVECYRYQVMATSVVLK KKHNDDGAKQDAKEAKGVTAVKALHSEWRTNLGELVLDIRTGKFHWEVRARSFDVVVL GEFSLFCLTPSGDVCFHKRLGFHPSSLCLYSRPLCDNSESTDNVIIATHAKQWMIFRD AHLIWSAVAPSISTALSVSSFGGIDGMVVSLDDDGRLSVNYLGTDPPTTSVMASDAKE VNYEEMDEEHRTLLNIIRRSQGERRTEPKERVLLRAQVPALLDPPSLHTEADLRTFTG RENADENVTTDGDVVTGPDHKPVALTMRVYVTYTGSNVLNNVTIAITPPANVIVCGPS SILLDSIDGKAGTPLILPIVLRPSASVMPSSLDVIISAAYTLESGQPRTSLCTVKLPM CMMCRLIPPVKASTFKFTLDTNQEPPQLTELFDDMLTQPGATPDWAKQVTGSAANVLS FQYYNGIDVTILVSKNAGRYRIQSTELDALWMVSNELVDRLQLLHVQQTQGDDDDDPS ALPPPLHIQYQEPLPLADFFAAIDEHFAYRKEMAELHAELNDRAHQFRVIQKRLLVRY KDRSPSPLNCLDMLLHGTYSQLLDLSRRTTCKARCKCQQIDWRAVYICCSCSYDTSLT WTMTTLPCSAHT H257_17226 MSLFQAREWWTVASGNAEEYTYGALSVGNVDNDPTPHDKIVVGS LNGTLRVYYPTHGEFKIDHLLMEEHLEHPILQVEVGRFVPHSSNVGIAVLHPKHLAVY CLDGVGGAGMAASYFKLTKKYEHPLGLDGEHFTAFNMTIGSFGKSPEKDHICVQSLDG RLQFFEQDRFSFMQQLPTCLVPGTMCYAAATDSLITATSDLHVECYRYQVMATSVVLK KKHNDDGAKQDAKEAKGVTAVKALHSEWRTNLGELVLDIRTGKFHWEVRARSFDVVVL GEFSLFCLTPSGDVCFHKRLGFHPSSLCLYSRPLCDNSESTDNVIIATHAKQWMIFRD AHLIWSAVAPSISTALSVSSFGGIDGMVVSLDDDGRLSVNYLGTDPPTTSVMASDAKE VNYEEMDEEHRTLLNIIRRSQGERRTEPKERVLLRAQVPALLDPPSLHTEADLRTFTG RENADENVTTDGDVVTGPDHKPVALTMRVYVTYTGSNVLNNVTIAITPPANVIVCGPS SILLDSIDGKAGTPLILPIVLRPSASVMPSSLDVIISAAYTLESGQPRTSLCTVKLPM CMMCRLIPPVKASTFKFTLDTNQEPPQLTELFDDMLTQPGATPDWAKQVTGSAANVLS FQYYNGIDVTILVSKNAGRYRIQSTELDALWMVSNELVDRLQLLHVQQTQGDDDDDPS ALPPPLHIQYQEPLPLADFFAAIDEHFAYRKEMAELHAELNDRAHQFRVIQKRLLVRY KDRSPSPLNCLDMLLHGTYSQLLDLSRRTTCKARCKCQQIDWRAVYICCSCSYDTSLT WTMTTLPCSAHT H257_17227 MKSRVVLVADPDKVWRDAKLCRFVRDAEENPVLTFTKWTRNNKA GGSRWLAIQGHQVCEVQRMDDNRSLFAPRNVVVQDGSILVVTPMDPLFLLLGQLSSWP RNSQFCALHDIMETCGVPLHGISRWTVTAIAKLCDIDGDDIDSLRIRRNDDKITAWLR VKVDRIQAVAMLPTNGTAEVDGTSFQRPPDEAASTQQQQQSHALSAHENAKDIRGLQA AISIVTEYLDECWTELIVRSYGLSPTEWQKDVKAAKSVIVSGPSDIAAKYDSRQQNST TTPAKRPASTGSGTKLSAKKKAPPVAGIKSIASFFGKK H257_17228 MFRASFSCARVVGAGLYVRERRPSALDGSLLLGLVRPAWHRSLQ TRHVRCRISREGVRRAQPNARRIRQPLPSHEAVASRRAQCGLGSTPSSCLEGHDTGCS RQQLSASSRMMM H257_17229 MPTKHTMWLMQVAASLPVPEKHALKEMVLRGNRRLRSITNVEDV HRVVTIAAVSNAFRAWYTLFDSLAISTDTARYLHQNEHGLHNDDDDDWAASSSASFTY GEVDFFGLASLLAAVRPRPGQTFCDLGHGTGRAVFAAALLYPELRVLGIELVPSLFQA SRRAFRAFPCHHHEHSIQLVHGDILHVDWWSGCDFVWVNATAFSATLWNHVQTLALRL QPGAIFVCLTQTMTDTAAFDTVLAQWPVATSWGSELAHAYRRK H257_17229 MPTKHTMWLMQVAASLPVPEKHALKEMVLRGNRRLRSITNVEDV HRVVTIAAVSNAFRAWYTLFDSLAISTDTARYLHQNEHGLHNDDDDDWAASSSASFTY GEVDFFGLASLLAAVRPRPGQTFCDLGHGTGRAVFAAALLYPELRVLGIELVPSLFQA SRRAFRAFPCHHHEHSIQLVHGDILHVDWWSGCDFVWVNATAFSATLWNHVQTLALRL QPGAIFVCLTQVHAHLVHVLACYWGLCGGDISKHGLAVISSYFILLIVYYCTMGLELV GELILLFLLFCVDLGERHVFSFYILMFNIQVL H257_17229 MPTKHTMWLMQVAASLPVPEKHALKEMVLRGNRRLRSITNVEDV HRVVTIAAVSNAFRAWYTLFDSLAISTDTARYLHQNEHGLHNDDDDDWAASSSASFTY GEVDFFGLASLLAAVRPRPGQTFCDLGHGTGRAVFAAALLYPELRVLGIELVPSLFQA SRRAFRAFPCHHHEHSIQLVHGDILHVDWWSGCDFVWVNATAFSATLWNHVQTLALRL QPGAIFVCLTQVL H257_17229 MPTKHTMWLMQVAASLPVPEKHALKEMVLRGNRRLRSITNVEDV HRVVTIAAVSNAFRAWYTLFDSLAISTDTARYLHQNEHGLHNDDDDDWAASSSASFTY GEVDFFGLASLLAAVRPRPGQTFCDLGHGTGRAVFAAVRLPWTLALSIVARRCCIPSS ESWGLSWCHRYSKLPVVRSEPFHVTTTSTAFNSCTATYCMWIGGVAVTSCG H257_17229 MPTKHTMWLMQVAASLPVPEKHALKEMVLRGNRRLRSITNVEDV HRVVTIAAVSNAFRAWYTLFDSLAISTDTARYLHQNEHGLHNDDDDDWAASSSASFTY GEVDFFGLASLLAAVRPRPGQTFCDLGHGTGRAVFAAVRLPWTLALSIVARRCCIPSS ESWGLSWCHRYSKLPVVRSEPFHVTTTSTAFNSCTATYCMWIGGVAVTSCG H257_17229 MPTKHTMWLMQVAASLPVPEKHALKEMVLRGNRRLRSITNVEDV HRVVTIAAVSNAFRAWYTLFDSLAISTDTARYLHQNEHGLHNDDDDDWAASSSASFTY GEVDFFGLASLLAAVRPRPGQTFCDLGHGTGRAVFAAVRLPWTLALSIVARRCCIPSS ESWGLSWCHRYSKLPVVRSEPFHVTTTSTAFNSCTATYCMWIGGVAVTSCG H257_17229 MVLRGNRRLRSITNVEDVHRVVTIAAVSNAFRAWYTLFDSLAIS TDTARYLHQNEHGLHNDDDDDWAASSSASFTYGEVDFFGLASLLAAVRPRPGQTFCDL GHGTGRAVFAAALLYPELRVLGIELVPSLFQASRRAFRAFPCHHHEHSIQLVHGDILH VDWWSGCDFVWVNATAFSATLWNHVQTLALRLQPGAIFVCLTQTMTDTAAFDTVLAQW PVATSWGSELAHAYRRK H257_17229 MVLRGNRRLRSITNVEDVHRVVTIAAVSNAFRAWYTLFDSLAIS TDTARYLHQNEHGLHNDDDDDWAASSSASFTYGEVDFFGLASLLAAVRPRPGQTFCDL GHGTGRAVFAAALLYPELRVLGIELVPSLFQASRRAFRAFPCHHHEHSIQLVHGDILH VDWWSGCDFVWVNATAFSATLWNHVQTLALRLQPGAIFVCLTQVHAHLVHVLACYWGL CGGDISKHGLAVISSYFILLIVYYCTMGLELVGELILLFLLFCVDLGERHVFSFYILM FNIQVL H257_17229 MVLRGNRRLRSITNVEDVHRVVTIAAVSNAFRAWYTLFDSLAIS TDTARYLHQNEHGLHNDDDDDWAASSSASFTYGEVDFFGLASLLAAVRPRPGQTFCDL GHGTGRAVFAAALLYPELRVLGIELVPSLFQASRRAFRAFPCHHHEHSIQLVHGDILH VDWWSGCDFVWVNATAFSATLWNHVQTLALRLQPGAIFVCLTQVL H257_17230 MALDADSRESLREWLVRILEPLCDAEPSVLSKYVLALVQANPAK EGLEELCRNKLREFLGDETNAFVDRLFKTLRTKAYLQKSSSPRHDAPLAQAPSTLPLL DTSDGDEQNHRRGRDDSDVDVDGGRSKRPRRSRSRSPPSLRDAHRSHDRRQAAAPYSR EPRRDQGRVGGGGRGNRGGPRGYDQWGSLPPHMQSGGGGWGPMYPRPMYPPMLNPDAF DPNAYDPDSPSMHPPFYPTHHHPPPPHNHRGRPDKPTFTDSDSTTLRVENVDAKFINM VKLSGHFSRFGDVVNVQMRPEFRAAFVQFATPEAARKAFHSPMPVCNNRFIAVKFAKR SPKDLGEIDVDADQTPEALRAAALETGKKILEEKRQLVEQDKALLKQRQTLLTNQLSQ HQLLREKMLAKGLLSATEQPKVDAKIAALKAELDALTQPPPNVPLATLQAELSQLEAK AKAIRRGGGGGSIDNRTKVVQVTGIPPALRDVTALTQHFATYGSIVKVRLVEDVGYVQ FADRYGGEKALKFGHQSSIAGDEALTLSWCDDQSKVLE H257_17230 MALDADSRESLREWLVRILEPLCDAEPSVLSKYVLALVQANPAK EGLEELCRNKLREFLGDETNAFVDRLFKTLRTKAYLQKSSSPRHDAPLAQAPSTLPLL DTSDGDEQNHRRGRDDSDVDVDGGRSKRPRRSRSRSPPSLRDAHRSHDRRQAAAPYSR EPRRDQGRVGGGGRGNRGGPRGYDQWGSLPPHMQSGGGGWGPMYPRPMYPPMLNPDAF DPNAYDPDSPSMHPPFYPTHHHPPPPHNHRGRPDKPTFTDSDSTTLRVENVDAKFINM VKLSGHFSRFGDVVNVQMRPEFRAAFVQFATPEAARKAFHSPMPVCNNRFIAVKFAKR SPKDLGEIDVDADQTPEALRAAALETGKKILEEKRQLVEQDKALLKQRQTLLTNQLSQ HQLLREKMLAKGLLSATEQPKVDAKIAALKAELDALTQPPPNVPLATLQAELSQLEAK AKAIRRGGGGGSIDNRTKVVQVTGIPPALRDVTALTQHFATYGSIVKVRLVEDVGYVQ FADRYGGEKVRKIIYL H257_17231 MTSIAAALLLCRMRRRRRQKRLLLMRLGGSLRERRAIESRALIK DQSDAAWYTMYESRSIPSFIATVSIPPDDFDDLLRVISVHYTVCSGPGCRGRPPRVQQ KHAVLAMLLDYYTAAVEHKTLQELFGVSPTTFSRVLRRAEVALDRALSRMQDAAVRWP SKALQRDWAVLTNAKEPLVEGVFAFVDGKNYRVQSPSNADLQNAHYNGRIITPLKEGD LDRNPPRDRLALQTMSDCITSLRQAAEWGMGATGKVYRQLLLLLPYNPLVRGRRLSNM FQLYNLRVRRTGLSQIKNVFGA H257_17232 MVKTKAGHGKSWCPSSVDLLLDITAAVLPLGKNQWEKRKFLLLK NVQKPTGHTDCPPDVLRAKRLQREIESSVAVLSMDATISMDDEPDDSDDCSDDTFQSA TMPSQLPTQLDDQRVEVGRTGLQPSELQALSDKLKHKQSDTGGLLSYTAKKRRSIDKY IEGASESDAKASSDMMTFLMVMIERDAKREEMRYERQQKTVRLREERVEKADRDREAR EARRDELQFLLGKIFGKNESS H257_17233 MGTPSTSTTTRTRLSHAPSSHYPVRLLTPACFNQLATSTSHSWH PYQPPWPIHLIPLRQLRQPTGTTILPPVPDDDDMGQGDEHGFVYNAPALPDPPSFNSS TKSEWRTFIRQYN H257_17234 MARYQANKLERWALLLSSFSHTIECLPGEDNAWGDLLSRWGALQ AQPDFDWTSPVSIVKTQQVTVKRGENEFKNLFLDKENRISIPPSATDLQQRVCIIAHQ GTAGHRRIEATTKPSAILSVDSSVVSHPLGSALHAEKPQELIYVDWLSSGSGSCDFGP ARQATAYGLLHRFTTFGYVHTWVSDSGYDFKNEVIDKIHKATGSNHHITAIYYAYQRA DAARDRLAPRPGPGMWRLESHARSTELAAVTAFTSQSTTTPLSAFVNTVTKEVAYIDW LDATHKKHWTSCIKQWKSCTRSGCPSAKKRHQTRDRQAKAKAVKLQKFAIGDFVLVVR MPHQGNKLSLHWHGPSKIVRVVTDYVMETQRLVRLYDLSLHHARRLKMYSEGDCDVTE DLADHIAFGNEGFHVAKLGNVGEEGGEYQALVYWLGFDEEEASWDPCTPTMYTFPSFS TAGFTNTKTKNK H257_17235 MTETQPRAPRTYIGWTEDLEVELLREVSRIEPFAADHGDLLQRW KLVESGLSDHEPKLNYRGAREHVDAMLKEFKQEDKVQQLLSGTDEDVTEKVQLLQDLV MRMDEVASSKKRKRLKESEKTEVLESTGDKLCRESEIRVAKKEVEDVVVVTIKQRHED DHKYRMERLKFDNEEQRLRRLQSTQL H257_17236 MENANATPGVPRDNSIVVLYRETDWIGRPTDLKDTEEYEHSIQR SRRNRIIMIATAALVIVGVAIGVIAGTTGTDEASVSSGESAATVPTPSRPSTPTAAAD AVVPPSPLVNNLPAPVVNATWNATTSAEVLVGSNGVEIVNATLDASTVTPEPTTTTTT TPEGRGTTPETTTTTPAPTTTTPAPTTTTPAPTTTTPAPTTTTATPPPPPTTTRAPPA PAPLSPGQIRYVNNCDKTYKLWKSHRELATLKPGDSYDTQGTADVSAAYYLSDDGSGN ASLFENHFEGGNFWYDLSIIPVGCGSSWDSCNGKPKSFNLPVSVRVSDPRENCKHLTC ASPSCTDAYFVPNDKQTWVCPGSVSMTVAFC H257_17237 MVDHNVTSATDFHNAPRTPPTTVDPKDSNEYEHGFQRRRRRRIA VITAATCLLVVGAVVGVASLSATNPTEVQSSANSKIDPRNSTNITSVPNVVATNTIIL PNVTVEPSTREPVTSQPVNTTTTTIPPVEVTTTTNMPTSTIVAPTTTTTTVAPTTTTS LPPPTTPIPTTTTTPKPVVATSPPPPLPATTSLPPPTNPIPTTTTTPKPVVATSPPPP PPSSATTSPPPPPPAATTSPPPPPPAVITSPPPPPPSSAALGNDGAFLFQNNCGKTLN LYRSNAFFTSLAPGGSIQVDGTAQRSQMFYFGWDASGDATLFETHFGADNRFYYDISI IPVRCGASWDVCIGPSSFKLPMTVLVRPASGANLQQFPTCKTLSCGDATCPVAYKVPN DVKTMVCPKQVSMTITAC H257_17238 MPHDRHPLHHQPTHPTSLDTGQFDSHFSPVTTFQFHAAATPTSP RASAVLLRLGSAIFLSLAAPVVVAFVWSSSRSSGSTATDTDNALPTFPNTLRPVFGRN GSAEPIWNTDVITPGGRRHARRILKPTPATPTPKRCRYDVVDSDDNIGNTRWKWRRPH LYFTRPCNHPIALEDCQH H257_17239 MVTPDATRTADEMLFGSGAGDGTHVRWSKYRFYFVNKCSHPLNV FQKYRDDAPKWAFCQVPVESYGCPNNRDGAYLHTLDGGQDQATCTNMFEIAVNSTTGT HYDISIIPPGVLHVQIAKFGQLQKRNGQSGVQFAQPLHSICTPLKCLADGCQDAYQYP EDNTKDHGCRDPDAIYQVTFCPSST H257_17240 MVVGRERSVSAVARTESRSQKRRKQRGRIIPQPDPGAANMDHSS AGMTPPPTARPRGPADTSVAQDENATPVSSVGGHAALAEQATTQVSDDRASPYSFLRM HTVLSHGIVDLPNSIQRNERSLRVLQEVRAAMASTLGSGPHDMSQARHALVDEVEFLT ATLSEVNAEVLDLRTALDSSRRALDTAHAERDGTHDLLQEAQESLRSLEQGQHDLREQ RDQAQVRLNDQQAELRDLTSRLTAQTEKATELGHRVQEADQALEVMTAEVNDARNYTA HSARLVAGKEGVVKLALQNKSLVVAEMSDLRRSLEDERENSEKARQDRDRALTTAGEL QEQLRVAQDRLAQLEAQPPRFSASNPAWDILLAENQDLREAAKTQKARAKDFRVKNKI LRSQIEAFQADALRKLGALESRVQGYASQDRRHREELAQLEEKERADVGPSLLHANEH FQDAVPSFWDWVAQHLQVSGAAGVAPLIEAWTSSDPDHFKSCNETVGIFPAKAARGLT EPLLGRVRGPTFSAWVNTALPVMRDALKESSTPSPGVPEADVVEAPSGSGAKGSTLPG PAVAKAHDPNLPRDVDATYLAVASSKPWEQYKAEESFIHSSWRRLPLWAELQGQLEDF WENHVLAHWNRRFMRGSAEVNAEVEAAMGPLVGIIGCLYRILRRHGTDLLRFLCYPHS YWPDFLRDGVSLKMMASVQGARSVQEYLSSQGSEFWPEVPSTSRTEPFDPPFMATLAF LKGRSLREFWLKKFDPLNPANCSRERVSRVLTWLYAQAEECRRAGAYQGRFPFVVGDR SHPPEGSQWARGMPRPAGIPPAGHAPPFPHVTGVPKTDTTFGASPTLSASGVQSPLLA PEMVDLLSNSATDTDEETVIVDL H257_17241 MRGDLTGIPEARHVDIEERLYPVSPADLERQLVRLKQERKDVTQ EDIVKVVTMALRRPLTRDDAHLFESPSNIDDPDRWLDWFSSTLKTCDEARTANRNFEN ARVAIISDTRWSRFQRKNLASIELPGVGPIPETDCTPPEGVVLPIGGTKIPRLPAQQL VKVHGAVQYHLTDDRASFTLPLRDLELTRLPSAIWRICRRTLSSRRHYLDLALGGHHI ESTLATPDGRSQTPESLSPGAVDSNNPLESPTVELPFLGLKDDELLSMVAPSPRPDQK VCQVGSNPNAPLVQVRIQTADTLALVDTGASVTVISEAFWNELGSPPLQKPVYGLVSA SNTPIRTLGLRPCILGINLLRKLKALVNLSTNCVGFGDSPITLPFHTDDAQTTPRTVA HLKREDPREPPEQKAHEPAPSVSLHNVPTNWPTVHPTSTVYVKSNSRRLVLCHISSDL PEGCPILVESTASLSPLHIARSINIVRKEGFWVQVRNHSDTKLVIRPTDAIGVVTHLP DHYRNHTDTPASDTLPTPSPRSATSLRGGEREVPQEAETLCALSTGAQETSTKPGKEE IQIDWKDSSLSLEQKELLRKLLLRFDLFVSTSKAPGRTDLTKCHINTGDATPIKQAPF RVTQREGEIMEAEIKQLLELGLIRHSTSPWASPVLVIRKPDGSIRFCIDYRRLNDVTI KDCYPMPRVDDLLDVLGKFKFFTTMDVASGYWNVRMAEESIEKTAFTCKYGLYEWLVM PFGLCNAVPQFERLMEGVLQEYLWRICLVYLDDIIIFSEDFGEHLVRISQVLTRLQDA GFKLKASKCQWGRDRVGFLGHTITPGGILPNPEKVKAVLRIKPLKNVAQVRSFLGLAG YFRRFIKGYALLSRPLEKLNVADEFEWSPECQTSLPGLRTTFHGLRGRMLHSVALGAV LMQEQRGRHRVIALSLEQKELLRKLLLRFDLFVSTSKAPGRTDLTKCHINTGDATPIK QAPFRVTQREGEIMEAEIKQLLELGLIRHSTSPWASPVLVIRKPDGSIRFCIDYRRLN DVTIKDCYPMPRVDDLLDVLGKFKFFTTMDVASGYWNVRMAEESIEKTAFTCKYGLYE WLVMPFGLCNAVPQFERLMEGVLQEYLWRICLVYLDDIIIFSEDFGEHLVRISQVLTR LQDAGFKLKASKCQWGRDRVGFLGHTITPGGILPNPEKVKAVLRIKPLKNVAQVRSFL GLAGYFRRFIKGYALLSRPLEKLKVADEFEWSPECQTSLDNLKRKLASPPILAYPDFE LPFTVFVDACPIALGAVLMQEPRGRHRGIAYASQALDASQQKWIKKKDGVSEIECYGL VWATTKFRPYLDRRHFTVYTDHAALVWLYKTGSKSGNGKLARWAVHLQSLDFTVVHRP GAQMGCADGLSRLPIESQGEPTPSAYLWDAETEDFSAVPLPAGGPRVCSIGSGVVGAI TRGQARASEPPQEPPEAKTRKGVNPKDPSKLPRTPDRNLDGETPVSEGLEDSLVEEGP APAAEADGVSPLKLPPYYDDTYALPDWVLRKEQARDPFTISMKAYLEDKALPLEEWLM KVVTRTSEHYEVKDQLLRRSARSSLWIPGRL H257_17242 MTPDTDKKKLTLTKAVSSSPGNNKPRVTPTATTKTMMPPLHGTS AATRTMEGSNEDPDEGASKTRLQDQECLMTRRDPNSVDDMTIKTGRDKSPTNYDDQAL GIRRIEYLTDEARNTPGLPLDATLQASITQQPNETYTNTKSPRLQAGDPASFKGATIP PPLTNAKADTRPPGLKADVRSPKTATSQATTTATTPGIQSFKNRGSKKL H257_17243 MKSREEHEAILQKVSDAQTQLELNTTLLREEEKTLLREREAFAQ EVNKSYSNLRRQQSSLQVDQQMLALQLQEGRLRSGSVGTPYATPASSRKGPLLNGGWR MDPIPVFMQQTEVATETMSMPVPAGVYGCAVTSQKPFIPERPRQREPPEYIPQDSYGM RGHSNPSPPYFTPAHGDRGGVFGGGSGNPPGPPSTYPAPGGPGGHNPFEHSGGRGNPP GPPGGGGPGGGGDDHGGGGAPRFPYVPRHDDHGGRPSRSAYADARKYAPKLKRVDSAI PLEHFLKKFDTLQLDYGITDAQLVGIFDDRLNESGIPRFADWWGRRVRDHAEQSWAES REAFRHEFIMKTMTERMATITADSYRRTGETVNKYACRIGDNIRNANFPPESAVFILL NECEDPIVASCLRGAPTLPTTIEASLKYLKEKDVNLEKPFEGNPKTAMISLGTPGRAP RGSAKAPAIPTNEVQELQATVSKMKREMTAMAAKQNARQQDQISAFHNVVAQMAHEQT PGANNPVQPKISNSPDDETKNGEVICGRCSTKGHSRDRCPRLKYYYSRCRTEGHANAE CGIPRQDGARIPSGGRPRAGSYGNGRRGNNDCHTCGKPGHFSFACPLNKGKFNPKVIA ALTDIFDPGNVTDGGDDDFEDELQ H257_17244 MTSTDELGVINQPLQHEAPVLPALLPPLAAPVVVTSATSPQSSS GDVALSSPAHDGGGGHGGAWVFSPPANPPSRKQSTARKSSVASFFFASTTPTEQSAKD QNDRRHLQRLQQIPESDMYASSRRRRRRKTPFILLGSVLLFGVVAAVLLTSKGDTSNA SVGEMEHRTTTAAPPPLVPRVSPSPPPEPTTNMVTPDATRTADEMLFGSGAGDGTHVR WSKYRFYFVNKCSHPLNIFQKYRDDAPKWAFCQVPVESYGCPNNRDGAYLHTLDGGQD QATLFEITVNSTTGTHYDISIIPPGCTYKSQSLANCKNETGKVGFNLPMAVTPLHSIC TPLKCLADGCEDAYQYPEDNTKDHGCRDPDAIYQVTFCPSST H257_17244 MTSTDELGVINQPLQHEAPVLPALLPPLAAPVVVTSATSPQSSS GDVALSSPAHDGGGGHGGAWVFSPPANPPSRKQSTARKSSVASFFFASTTPTEQSAKD QNDRRHLQRLQQIPESDMYASSRRRRRRKTPFILLGSVLLFGVVAAVLLTSKGDTSNA SVGEMEHRTTTAAPPPLVPRVSPSPPPEPTTNMVTPDATRTADEMLFGSGAGDGTHVR WSKYRFYFVNKCSHPLNIFQKYRDDAPKWAFCQVPVESYGCPNNRDGAYLHTLDGGQD QATCTSKMCVYIARVVSSLRVFSKTK H257_17245 MLRVHYQSGNYGDTACPTLRQVQQSINYVRTKELHHKSTVPAVE EALQHWVLPTAQEDQEIHHPFVFGVEKVDGKPRVGNGGLQSFRVGFTTLDVMNRYKTT CEDNPRRKILCHVDTTFSTNKSGYPVFVFGYSDMAGSFHVLCICITSQRTHDDVAWLL KSLKKEFQDQLDFAWEPQ H257_17246 MSASRVNLGDLMAVVHDKWATSQGLRKLRRYFFSQWLPYNRAYG GTDDVRFWKWQVYHSPPGSSYTNNPTEHFNCELKDACTYPFLLAGRRFQGTLLCLNCT NNLRVDGSLFEPKTQLPCGAIVCFGFEAPFAAMCWPYPTKSGSDIQG H257_17247 MATHCAQVETTTSMKGLRRAYKVLLNDYKIIRLHQAEAAALPAG APPLAPLTLDAHPSLGPELTELLADNRSTEEETAKSIRIGVDELIHLEKMSIARSCAL VYASLSLTVHRDASSGFAMNVCIASFRRFRGILPATNKNMQLPSPLRQDTNGMEKLEG RPLSDSHLASALLAALPECIAPNLFVWRGAQSNIPYKNIRQLREKHWQGLVTKYPHYL SPAPIAAAAPVHGSATATATTVLAHWHFRPFAMAQASETATDKRPPHPGAPKAKTPWR IGVLSRAFHQNAVRQDFVFPPGWAAIPPPVANATAKAAGVVEEPIHPSSTPLAKATHP AVNPVTSMGQGGHASLDYGVYRDDHVAIARFVSQPPAIAVRSGDRYRDNSEDRVYCRN DRGPFPDDSGANQNDQRHRSRRAPRLRPTGIHPPAHPKPQCVARHCPYVSVAEHTSTT H257_17248 MTSKDKIKFMVPGCGFITWYSNFPNHVKAKHRHIPEHRNIRQLW VCIVNAAGELQVPVQSTRLNAVQPLYADDEANDVDDEALTMRLYEEDALAIASVRLQI YIVRHLGGDPVAILGELRRRFSAETQHEDQVSAVEFDSNVPDDMSTEELAPSGTGSPA TSGAAILSDVAGAEAVKEAVAAAKRGRRLGRMAKQLT H257_17249 MRHIVPNINTSLGRHLSSPEEALVYTLARSDSHETFERNLEKLT LMNSDQKVQAGLTTQ H257_17250 MNLMHNHPLFQTKPTMKAHPGVSKSRILEALQKSGDLNAFTSDP RKLTGKQIISHYQRSSGEIISTSSASRAKQDLIQNLYGSVEVAFEKVAAYFELVKSRI PGTFGLVETRNGAFLRSTHSFPGFARKGFQTAKI H257_17251 MASQSGTRHLTPAERRTAYELLLQGSSNGRLKYGALKDVAAHLK CHWKTVSRVWKRGRHSLSNGSPHADVASKLKTPASDPPFSCGTQWTSEDIHRPSHARD QEAKVPSQLHQAHAH H257_17252 MPSADGFTCVAVPGGPIGAFKLTAESRMLDCLSTIKDQTMDRHC HFFASIGECQRASASSFKVNDVDDDYSNPNKYWTKARAMLMNQPPATSAPTTSSPPPP VTTAPSTTQHPPPPSPPPPPSTTPPPPDTTTPTTSPPPPLPPPISTSSPPTTSATSLP STPPPPLPPPPPAIRFETITPDWSCQLHQDKNLYAAAHVVNNTYECLSVGEGGCHYSQ TNAECALWLQSENKCWVTGNCTSTIRIKILGPVHLPSTERHPHPPPTSSPPDPSSNDV TVVLLAAIGLGLMLVVFLFWRRRQHLLHHATAASSSHFDWTGTTKAKHTNKQQLVPYQ PEAGLLLLPTRARTATTFIQSDNDDGLANHNLQEDEVALAPLDMGNLALWQLDEADLV INKALHVGTRAVVSLATLKHQTVVVKSLNAANATDRRAMQVFVNGMQCLSTLESPYIV TMIGCCWSGGGGPLNVMLVLEYMAWGDLRSYLGSVGAISWQIKRNWAHAISQGLVYLH SMDVVHRAIDAANVLLDSHLHPKLTNVTATSSSSTYTDMATLAPEVLEDAAAVSEASD VYAIGMLLVELDAQQPFDMTGINMDDIDGTWHDRKVAAVAQSFSAKCPPDVQQLALRC VAAEPQDRPNVLEVASALDPYDLASGSRRS H257_17253 MSSTSIPATTSSSSEWTCMAKDVNQSSFTPIRIIVQSGQLECLS WPAPPPPPVGSATANNTIASHGCVVLTSLSACRLWLQTQHNNMTTGGLALLLAPSPST KSATDDNSTTSSQRATWILVGAVGTLAVLCVVVLVWRWHEHVRKPPSHQPQTKGMADP HHTIIEPNVMLAPCMFQSTRRRQPRRPAILPSSITRQVACWGIHPTAALQTIRVLHRG LHCVVHAGVFNQQTVAVKSLHSTSSRSIQSFVDEIKLVCSLDSPFLLQAVGVRVRDLH LVVEFMDLGDLQTHLLLHVKRASWPSKLKWARAAARALAHLHGVHVLHRHVKSRHILL HSSGRAVLAGLGNAVSTLAASTTTSPPSSTPHGASFRWMAPEVVASRAPYTAAADVFS LGVVLWELDSLLTPYAREQAQFGWGDVHLMRQIQARRVMLAFSTECPGGVVRVALQCL SADPTRRPTAAQVVDMLSQQDIATAFDTVPTLYH H257_17254 MGHKSYVNPTLDEDNVASATSRPVRRKGSDLPDDDHPNERSPLT SGREDRKKDRPLPWTKHQSVRRAMMAVAVIVGVAILLAVSTGAFLSNDVSKPLLTTSP RMTTPPTEATDVVDGVPDVHEGGHELAQGGNMDNVLLLPTIVAPYIPHDVDQDLSLSQ LLALWQLPQDAIDLMTYREWSDLHTYIAAAAANFGAQHGHIENKLSTEGKDSNLLAND ASATLIVFHRILGEIQLKLDAMQQQLIAADEGATDGTNQPSVENEPPIAHPTTPPVPI STGTTAAVAPTTPIPTTTAPIPTATTTLPPTSSTTPPPTTTTTPPPTTTSPPAVTLPL ATSAAVHPPVPSLDYQKGLALWLQADKGVEFVGSCGPESSQCYVKQWKNSAAATSSSS SFYPANLANPTTFPVWLPGVRNGLPTLHMTCPMVYSNGVLLRDGMTLFFVLSPAHLSD DPTDSKLQKFFGHAPYGQLRFQARKAGYGGTSAVVAEDNHGIGQGEFAVLTYRMDKQV SIQVNGMGFGSESPIIVQSNNGGGIAISATEATTLGNVKSFCDAHAFHGRMAEVLVFD NVLSDNAIDAVHLYLHQKWAIPKGISRAKSSPQQSVSTPPSLVVDSPHDKAPVEEKLP TDEPTTAQPSDRSHGRGPYNRDDVFKWQPPPDADPAQVALWKEAVADKIRSVERFQFG GKILHEFIDTLKTELNTLRDTWFG H257_17254 MGHKSYVNPTLDEDNVASATSRPVRRKGSDLPDDDHPNERSPLT SGREDRKKDRPLPWTKHQSVRRAMMAVAVIVGVAILLAVSTGAFLSNDVSKPLLTTSP RMTTPPTEATDVVDGVPDVHEGGHELAQGGNMDNVLLLPTIVAPYIPHDVDQDLSLSQ LLALWQLPQDAIDLMTYREWSDLHTYIAAAAANFGAQHGHIENKLSTEGKDSNLLAND ASATLIVFHRILGEIQLKLDAMQQQLIAADEGATDGTNQPSVENEPPIAHPTTPPVPI STGTTAAVAPTTPIPTTTAPIPTATTTLPPTSSTTPPPTTTTTPPPTTTSPPAVTLPL ATSAAVHPPVPSLDYQKGLALWLQADKGVEFVGSCGPESSQCYVKQWKNSAAATSSSS SFYPANLANPTTFPVWLPGVRNGLPTLHMTCPMVYSNGVLLRDGMTLFFVLSPAHLSD DPTDSKLQKFFGHAPYGQLRFQARKAGYGGTSAVVAEDNHGIGQGEFAVLTYRMDKQV SIQVNGMGFGSESPIIVQSNNGGGIAISATEATTLGNVKSFCDAHAFHGRMAEVLVFD NVLSDNAIDAVHLYLHQKWAIPKGPCLPKQQPASYSTC H257_17255 MATNTSYSPNGMTEIIVTRRNSFNGLTITTSSLSSSSTSHHLLR PARNSHSGIVHDVGIVSTAGFTYDSIYVMDKVVVSHGTVYYLLHVASQAYPLESYTIR RRYNDFKTFHASLSRHMRPEPFSLFKGGHHSTNSPTHSIDEDHAFSFSPSSTTSHWQL QPQQQPPNDSGDVAATLDTITSSSLPYIEEDDVVYLPPMPHGGLLTIMTSKESLVRGR IAQFNRILQAALDDTSAPVADALKTFIKQAPGGRTSRPSSFADSSSRSLPTSSHYVSL RDYVVPELCVKLEREARRRTASTSRSRALPDDLGAGSFHSRDSTTSTCSATTAAPVCM A H257_17256 MGPNSTLRYTPANVRDSCTAYMQHQAKHVAINEANISTFVAGLD RSQFDKLGEPIRYPLSFKSVDEEVNFLALVDLLNFGSGYRKLLHQYCDRGAHETMMFG VIGMYISSPRLDAKTLRGLSLDSVANYFSIPLDRDEELSTGIYISKPGPLKPLAEGIH QVLTETGSLCLKHGFDDLGAFVLAHVHETAAPSTDEALHVGPSAAALVDALAATFPGF CDVHNDVIILKKAQLLASNLYRRFGASDVRFAFQDMETLTACSDNVLPCVLHALGILE YTPALAAAIEDGQVLVLGSDEEVELRAGAVVACDKILAVLQEGDIPDATILALDSYMW RVGKEPLYRPLERHATQDTVLY H257_17256 MGPNSTLRYTPANVRDSCTAYMQHQAKHVAINEANISTFVAGLD RSQFDKLGEPIRYPLSFKSVDEEVNFLALVDLLNFGSGYRKLLHQYCDRGAHETMMFG VIGMYISSPRLDAKTLRGLSLDSVANYFSIPLDRDEELSTGIYISKPGPLKPLAEGIH QVLTETGSLCLKHGFDDLGAFVLAHVHETAAPSTDEALHVGPSAAALVDALAATFPGF CDVHNDVIILKKAQLLASNLYRRFGASDVRFAFQDMETLTACSDNVLPCVLHALGILE YTPALAAAIEDGQVLVLGSDEEVELRAGAVVACDKILAVLQEGDIPDATILALDSYMW RVGKEPLYRPLERHATQDTVLY H257_17257 MADRDSLVAAVARQGATVRELKKNGGAAADITAAVVALNALKAQ LNALAEPVGVVLNKKALDDLLLRKMFVVPSFEIYGGVGGFYDFGPPGAAVKTNLLNLW RRHFLLEDDVLEIECTNIMPEVVLKTSGHVERFTDLMVKCVKSGECYRADKLVEDFIE NLLAKGASSLTSDEQEKHRLVATKAESLTPDEMHAVIQEYGILSPGHGAALSAPMPFN LMFQCHIGPEGHNVGYLRPETAQGIFLNFRRLLEYNAGKIPFGCAQIGNAFRNEIAPR GGLVRVREFQQAEIEWFVHPDDKSHAKFGQVAAQRLTLFPKSNQLTTGKTVVLSVRDA VDQKLIANESLAYYLARTASFVSLLGIDMSRVRFRQHLDTEMAHYACDCWDLEIQLSS GWVECAGHADRSCYDLQVHAAKSKVEMVGTLKYDTPRAVDVVDIKVNKGKIGKAFKAD MGLVNKGLEALRVDDVAALAFEASLAASGTADLHVDGQSFPLARDMWSAKSVTKTFAE EKFVPSVIEPSFGVGRILTAVFEHTFYAREGDDKRGVMAFPAVIAPIKVAVLPLSNAK EFTPIVQELERQLRTGGIQAKSDTSGVAIGRKYARADELGIPLGVTIDFETLVDHAVT VRERDSCAQIRVPVADVVGHLRAVVEGRATWETLTATYPVLDTKKE H257_17258 MSPPTNMSRFATARQSSYGTLPVPSVFTKKRASFSLLAAIIVTG ACLSILLCVATSPVSWSSSPPRMRLAAESVVPLTSAQLIQPRQIHLAYAGATPGTGMT VSWASYRRVNDSTLWVGTSPTDLTLATVAAETVSYYADDVYSLFTYHATLTGLTPRSK YFYRVGSASDASNVSPVYHFHTAREPRDDDDTSFEIAVYADFGERNAQDTVSLLLGLK ERFDFIWHVGDISYADNAFHTLNADTAALGFVYEKAYNAWMDALGPAMSQVPYMTTVG NHEAECYSPICLYSPEKQAQLSNYSAYNARFRMPSGDSHGAANMWYSWSHGFVHFISV SSETDYDGAPTNNKGTKVRNGGFGDQLAWLQADLARVNRSETPWVILGSHRPIYGLKV YKPNGDPKTKHRALLNAFEPLLLKYKVDAVVSGHQHAYERHLPIAYNEPVVAGVSADK AVYESPRAPVYIVSGSCGSTGGHEPYVDVAAQTWNVMYDNVHFGISTLKVNKTALEWS FVHAADGAIIDRFVMTKQ H257_17259 MDALCDHALFNFSVQDADLMDYIEAIQGLLVASPDTDEDQALVL NAINVVEEYILATPHTKATYSYLLPSVSLLKQELSYYVSVPSTLDVPVITDDEQGSMS SPSSIDRDIDTSMVIEEVPDKTPVIRCNVCWGEESFVNDCIVICDECDVAVHESCYSI PHVPESSWFCNFCDVQRVAPAETWEPVGKCRACHLKGGALMPSHVPNTWVHMSCAIYL PELYFLHHNVVGLDALKPRRKLKCMFCKQPNKGACAQCAHPKCTASYHVQCALEHGVT FHDTSGTGTSYTSLCPTHHLRRPLPLNQVCPTQPETTKTTTTDTKVQRNIQQWLSEPV TEDPQPNRVATTPKPRTPEPPTPRNPETPKPRNPETPKPRNPEPPKPRTPLPMSHPPS SSFSTFRPSKHLAQVFVPANPTASDDNLVLVVLPQLPLGMDFAYSDESGKNPPWTIQD VSNPLLRGAVDSGLIQKGDQVVAINNVSLEEGGGVTPAQLSSTILPQNPDQHSVQVWL RRARTCNTDDVDWPWGFLRSDGKLAMVPVWQEMADVYFSPVSAKPSIKYFRAYFQNTA DSRHVPALGYAVPPVVRRHRRVSMQAKNMTNILIHPPLDEGDMVQVAARTWPGINKLG GTGRIKAKHVVDGQMMYDIAYILGGAEKGVERQYITPVSEDNPVNMNASVNQQEEDEI ERWTVYAEYTAYIQHHPSVQRRAQDDGFHLTFQQVIRDEEETLQEITNNKNDTTVREA MYGQVVVTAVVGQDETMMEEDEILRELEICQGRLDQLEAGIQAQLTPVMEKLSKERKD LYDTKLERLVASQVEVTYNQLINLQHQHDNMNDSSDDEEQDDEPRNPETPKPLGDQHA PVQEQEEEEEERLNPLFASISESTGHVCVLCGVSGGDLAATSCGHKAHIMCLLYTPET YFEHAMGFGVANIPPRRRTLVCALCKTSTGVNKIQCHAKKCTKPMHVQCAFVAGLLTT HPTFCGWCPKHLKLADAATQASVDLPPHLQTTKRKFIQEHKQQRVSKPQDDKTQPPVK VPVEVPEVVEEKATKTPVIPAKEPRHENQVFQVGDTVDVLPRLWAGINKPGGVGRVKA RHDTPDTGMITYDIEYVLGCRDKNVPRMYLTKYTPPTPNQHKTLAKRRRTTNTL H257_17260 MIIIYDEKRAGFKNTPGKITAPFFRSLKQVPTIMAAKQPPQKDL VGRPLVPFFTDEGHVNASLQVSREMAHEPMEVDARVWNAAQGYFEYPDHQAASPSLPT VKVKPTGCLLLTPQRLGTSIKDVRSQSREKTPPPAPLATPLPSSPSPQQQTAAPSKKK GKKSSPNNDKQSAKTTKPAVSESKWAWSAFQNSPDPKSLPIPPFLSPPTLSHEPEPTP VMAPLTLGDKKPPSKPPNPPQVTILQRPKPKEEQLTLQLRKMLNIRDA H257_17260 MIIIYDEKRAGFKNTPGKITAPFFRSLKQVPTIMAAKQPPQKDL VGRPLVPFFTDEGHVNASLQVSREMAHEPMEVDARVWNAAQGYFEYPDHQAASPSLPT VKVKPTGCLLLTPQRLGTSIKDVRSQSREKTPPPAPLATPLPSSPSPQQQTAPSKKKG KKSSPNNDKQSAKTTKPAVSESKWAWSAFQNSPDPKSLPIPPFLSPPTLSHEPEPTPV MAPLTLGDKKPPSKPPNPPQVTILQRPKPKEEQLTLQLRKMLNIRDA H257_17260 MSLPSCVVSLTRSMVMQGTSIKDVRSQSREKTPPPAPLATPLPS SPSPQQQTAAPSKKKGKKSSPNNDKQSAKTTKPAVSESKWAWSAFQNSPDPKSLPIPP FLSPPTLSHEPEPTPVMAPLTLGDKKPPSKPPNPPQVTILQRPKPKEEQLTLQLRKML NIRDA H257_17261 MPKPKRQLTGVAKDKYRIPKEEILYLKRVIFDMENNRSRLQQAR EHAQYHDTPLSWTHVAAALKADMLDLSYVNRGLKKSIQMHTQTANLLSLWVSHQSLHA PKPTTTRETWQSSHLMLGDPALRKTACEWISKQMVHNTPQAMADIPFPFDADDQIHVH VLDDDDGVVRTKVMAQFMLPFPRDQVTNAVWTAEGSFCATQLDVFDCNTYIWDARMTY VKEDVGHGPRRIQLKTLSYLSSTTSDESIMTLRTIVQDDAHPTDKKTWLMETKMWIVM EQWGPQYTRVRTFFTMTQPFTKRGFVSVEEYARFQRNVPIEYPVDTKALLRQVKQRSY DGHMEQRRQWKEHVLRALWHQQQQSLPST H257_17262 MPPKKQATEDSAAARFGRVRNNLKMGLVGLPNVGKSSLFNLLTA QSVAAENFPFCTIDPNEARCAVPDERYEWLCKVWQPPSEYPAYLQCTDIAGLIRGASE GAGLGNAFLSHIQAVDGIFHVIRAFESEEVVHVDDTVDPIRDLETIQGELCKKDLSYV LAQRKARETDVRKNPTMKLPPLFFTVMDTVQELLEANKSIASKNDWTAPEVDKINELI PAAITTKPIIYLVNLTKRDFIRKGNKWLVPIKQWVDSHGGGVMIPFSVEFEQELWDQR KVNKEFVPEVPSVLPRIIKVGYKQLNLMYYFTAGDKEVRCWTLRKGATAPQAAGVIHS DFERGFIKAEVVGFEDFKTLSEGDKKGMSKVKAAGKYRQEGKTYVVQDGDIIYFQFNV TNKKK H257_17263 MWVYLATGFLLLVAVGHGAPPTLVSDITTALVQSTRCTPTSTST ACLAYERCKSTHRCARGDEEYTIAQAKLEAFDQTYAQGSREQVPLVNDFEDWKTGVHH WTVPLDWKAPVQFNLDTFALPILGSLELTAGTIYIDTTAAVISGDVSFQGLLLQTPHR TVVAVFNFLTLRLGSNVQVKLKGTHALSLLCRSSIVINTALASSPGTLGGFPGGGGLS QHNMNGPGSSSLRVYSHTIRTSGQLDPTVQEIQTSVALGQTMRGTFTLSDGFRVTGRI PYDASAQDMTAFLEIGLGTGSVRVTRVGHDVAAHGRTWRVTFLTALGNVPLLAPTSYL TGLKSTVQTRLVTVGNQLSGGFYLQFWDKLSTFLPYNITATDLEHALQTSFRGFINSV TVVKDIPTSIERGCTWHIQMTTTRGNISPTSPTAPVTNDPLTFMTASIAEVVVDPVTQ ISTSKPLLIGQGAQVTISDTSGFSLAYGGKGGVLDAKGDLVGGSGGGGGGNYPTDVQQ FPRPIYGGAGGGAIYVAAVNDITFGPNASISVNGQAGENGIFAGGGGSGGSLVISSGT SIHVMGTLSAKGGLGGKGTRSPGEDGSDGEISLYAHSVAYSGTGGVYCNTLRTDVQTQ LQITTDPLIGAAQTSKSLYIAKSVIDQIPTLEGPSFYMFPSQPTRISYFIRLGSVRQG SLQTNRGGLFGLHDSADPTLFIVGIGMLDGAFTYSTNARGFPLQPLLPVVHAFQWYQF DIFLNWSTWQLEIRVNGVTSVSHVPFVAETVDLVGLYTYDAMQTWWDELYVGVDHTMA FRCPVVSNGKVTVAQPRQRPLWSPSVVGPPTQYTPPVRHDSHLSRRPVYSSNNGGLVP NDGPGHRVYFNDIREPVYDAQSDQVELISGELVAISISPDVSMVVPLETNAEGVSSAE SALPQQSQYWYSEIQVLNNDSVVVGGGIGACSTTDLITWRNEGIILHFANLTDPFGMS QALLATRPKVVLSSSGQFVLWVHVDNPKNEMGLSGVATADFPNGPFRFQASFYPSAAT EAPGGLAINETHDQTVVVSSTKDAYLIQSYYKTVEYWLPRPIMDPLWESVKRVDGSVD YSLNFHRAFFTKDYDNVDDIYLQRFRSEDEPWSITCCDRATNVCDASVLIDNQRCPPQ YRKQVNGLAQFNQPIRSRYKDPHDPNNNAFRPTSVPSHTDWGFQVYNVKTWRGNYFDA LSTNITLVTFQMFAGMASQYALPRSLEVTYPPAAETATFINTTDPPNMMDFILDTLGV QMSRSFLQKFDAFDVEHMDLNSDGKLTLDEVAQMVQSGQQTLSAEAFQAFLTDLEALK REEFVKMDPNQDGQITYAEFDQWVGLDPALAFDRFDLDKSGYLDENELARFLIDRQLP RLDSISILLDPDFDGRVYYEMFERFLFNASTVMFLNYDFDKSNDLNASEMALLESDIG VSFMNAPVMLSLLPTNTSTLSLDTYMKWMTASASELRDRVQSFKVDNGLHPTRPDRMT GPQHVVEQRRAKYLSVSKLTSDYLGVEAVMVEMEGDFDGDGALQDIISFALNLHFPPT SPSLVPFRQYLAPVDFGQYASYWNGRVWEPRPSAPPQFTYGQQCTDQVRNQDCLPCLS QSPYISSTTLQNIEPTLNHCDNNKELDAYLKQFDHQVSFPLRYQQVARVSSAGVQPQY SPCLNQSESVPCDVLKVYEATATTPWSLAWEARPVNRGTSTKIRAGPMQLKAVGQTFH ERFPNRVPEPHFAMCEINSTVLPDQYHNVLGGG H257_17263 MWVYLATGFLLLVAVGHGAPPTLVSDITTALVQSTRCTPTSTST ACLAYERCKSTHRCARGDEEYTIAQAKLEAFDQTYAQGSREQVPLVNDFEDWKTGVHH WTVPLDWKAPVQFNLDTFALPILGSLELTAGTIYIDTTAAVISGDVSFQGLLLQTPHR TVVAVFNFLTLRLGSNVQVKLKGTHALSLLCRSSIVINTALASSPGTLGGFPGGGGLS QHNMNGPGSSSLRVYSHTIRTSGQLDPTVQEIQTSVALGQTMRGTFTLSDGFRVTGRI PYDASAQDMTAFLEIGLGTGSVRVTRVGHDVAAHGRTWRVTFLTALGNVPLLAPTSYL TGLKSTVQTRLVTVGNQLSGGFYLQFWDKLSTFLPYNITATDLEHALQTSFRGFINSV TVVKDIPTSIERGCTWHIQMTTTRGNISPTSPTAPVTNDPLTFMTASIAEVVVDPVTQ ISTSKPLLIGQGAQVTISDTSGFSLAYGGKGGVLDAKGDLVGGSGGGGGGNYPTDVQQ FPRPIYGGAGGGAIYVAAVNDITFGPNASISVNGQAGENGIFAGGGGSGGSLVISSGT SIHVMGTLSAKGGLGGKGTRSPGEDGSDGEISLYAHSVAYSGTGGVYCNTLRTDVQTQ LQITTDPLIGAAQTSKSLYIAKSVIDQIPTLEGPSFYMFPSQPTRISYFIRLGSVRQG SLQTNRGGLFGLHDSADPTLFIVGIGMLDGAFTYSTNARGFPLQPLLPVVHAFQWYQF DIFLNWSTWQLEIRVNGVTSVSHVPFVAETVDLVGLYTYDAMQTWWDELYVGVDHTMA FRCPVVSNGKVTVAQPRQRPLWSPSVVGPPTQYTPPVRHDSHLSRRPVYSSNNGGLVP NDGPGHRVYFNDIREPVYDAQSDQVELISGELVAISISPDVSMVVPLETNAEGVSSAE SALPQQSQYWYSEIQVLNNDSVVVGGGIGACSTTDLITWRNEGIILHFANLTDPFGMS QALLATRPKVVLSSSGQFVLWVHVDNPKNEMGLSGVATADFPNGPFRFQASFYPSAAT EAPGGLAINETHDQTVVVSSTKDAYLIQSYYKTVEYWLPRPIMDPLWESVKRVDGSVD YSLNFHRAFFTKDYDNVDDIYLQRFRSEDEPWSITCCDRATNVCDASVLIDNQRCPPQ YRKQVNGLAQFNQPIRSRYKDPHDPNNNAFRPTSVPSHTDWGFQVYNVKTWRGNYFDA LSTNITLVTFQMFAGMASQYALPRSLEVTYPPAAETATFINTTDPPNMMDFILDTLGV QMSRSFLQKFDAFDVEHMDLNSDGKLTLDEVAQMVQSGQQTLSAEAFQAFLTDLEALK REEFVKMDPNQDGQITYAEFDQWVGLDPALAFDRFDLDKSGYLDENELARFLIDRQLP RLDSISILLDPDFDGRVYYEMFERFLFNASTVMFLNYDFDKSNDLNASEMALLESDIG VSFMNAPVMLSLLPTNTSTLSLDTYMKWMTASASELRDRVQSFKVDNGLHPTRPDRMT GPQHVVEQRRAKYLSVSKLTSDYLGVEAVMVEMEGDFDGDGALQDIISFALNLHFPPT SPSLVPFRQYLAPVDFGQYASYWNGRVWEPRPSAPPQFTYGQQCTDQVRNQDCLPCLS QSPYISSTTLQNIEPTLNHCDNNKELDAYLKQFDHQVSFPLRYQQVARVSSAGVQPQY SPCLNQSESVPCDVLKVYEATATTPWSLAWEARPVNRGTSTKIRAGPMQLKAVGQTFH ERFPNRVPEPHFAMCEINSTVLPDQYHNVLGGG H257_17263 MWVYLATGFLLLVAVGHGAPPTLVSDITTALVQSTRCTPTSTST ACLAYERCKSTHRCARGDEEYTIAQAKLEAFDQTYAQGSREQVPLVNDFEDWKTGVHH WTVPLDWKAPVQFNLDTFALPILGSLELTAGTIYIDTTAAVISGDVSFQGLLLQTPHR TVVAVFNFLTLRLGSNVQVKLKGTHALSLLCRSSIVINTALASSPGTLGGFPGGGGLS QHNMNGPGSSSLRVYSHTIRTSGQLDPTVQEIQTSVALGQTMRGTFTLSDGFRVTGRI PYDASAQDMTAFLEIGLGTGSVRVTRVGHDVAAHGRTWRVTFLTALGNVPLLAPTSYL TGLKSTVQTRLVTVGNQLSGGFYLQFWDKLSTFLPYNITATDLEHALQTSFRGFINSV TVVKDIPTSIERGCTWHIQMTTTRGNISPTSPTAPVTNDPLTFMTASIAEVVVDPVTQ ISTSKPLLIGQGAQVTISDTSGFSLAYGGKGGVLDAKGDLVGGSGGGGGGNYPTDVQQ FPRPIYGGAGGGAIYVAAVNDITFGPNASISVNGQAGENGIFAGGGGSGGSLVISSGT SIHVMGTLSAKGGLGGKGTRSPGEDGSDGEISLYAHSVAYSGTGGVYCNTLRTDVQTQ LQITTDPLIGAAQTSKSLYIAKSVIDQIPTLEGPSFYMFPSQPTRISYFIRLGSVRQG SLQTNRGGLFGLHDSADPTLFIVGIGMLDGAFTYSTNARGFPLQPLLPVVHAFQWYQF DIFLNWSTWQLEIRVNGVTSVSHVPFVAETVDLVGLYTYDAMQTWWDELYVGVDHTMA FRCPVVSNGKVTVAQPRQRPLWSPSVVGPPTQYTPPVRHDSHLSRRPVYSSNNGGLVP NDGPGHRVYFNDIREPVYDAQSDQVELISGELVAISISPDVSMVVPLETNAEGVSSAE SALPQQSQYWYSEIQVLNNDSVVVGGGIGACSTTDLITWRNEGIILHFANLTDPFGMS QALLATRPKMGLSGVATADFPNGPFRFQASFYPSAATEAPGGLAINETHDQTVVVSST KDAYLIQSYYKTVEYWLPRPIMDPLWESVKRVDGSVDYSLNFHRAFFTKDYDNVDDIY LQRFRSEDEPWSITCCDRATNVCDASVLIDNQRCPPQYRKQVNGLAQFNQPIRSRYKD PHDPNNNAFRPTSVPSHTDWGFQVYNVKTWRGNYFDALSTNITLVTFQMFAGMASQYA LPRSLEVTYPPAAETATFINTTDPPNMMDFILDTLGVQMSRSFLQKFDAFDVEHMDLN SDGKLTLDEVAQMVQSGQQTLSAEAFQAFLTDLEALKREEFVKMDPNQDGQITYAEFD QWVGLDPALAFDRFDLDKSGYLDENELARFLIDRQLPRLDSISILLDPDFDGRVYYEM FERFLFNASTVMFLNYDFDKSNDLNASEMALLESDIGVSFMNAPVMLSLLPTNTSTLS LDTYMKWMTASASELRDRVQSFKVDNGLHPTRPDRMTGPQHVVEQRRAKYLSVSKLTS DYLGVEAVMVEMEGDFDGDGALQDIISFALNLHFPPTSPSLVPFRQYLAPVDFGQYAS YWNGRVWEPRPSAPPQFTYGQQCTDQVRNQDCLPCLSQSPYISSTTLQNIEPTLNHCD NNKELDAYLKQFDHQVSFPLRYQQVARVSSAGVQPQYSPCLNQSESVPCDVLKVYEAT ATTPWSLAWEARPVNRGTSTKIRAGPMQLKAVGQTFHERFPNRVPEPHFAMCEINSTV LPDQYHNVLGGG H257_17263 MNGPGSSSLRVYSHTIRTSGQLDPTVQEIQTSVALGQTMRGTFT LSDGFRVTGRIPYDASAQDMTAFLEIGLGTGSVRVTRVGHDVAAHGRTWRVTFLTALG NVPLLAPTSYLTGLKSTVQTRLVTVGNQLSGGFYLQFWDKLSTFLPYNITATDLEHAL QTSFRGFINSVTVVKDIPTSIERGCTWHIQMTTTRGNISPTSPTAPVTNDPLTFMTAS IAEVVVDPVTQISTSKPLLIGQGAQVTISDTSGFSLAYGGKGGVLDAKGDLVGGSGGG GGGNYPTDVQQFPRPIYGGAGGGAIYVAAVNDITFGPNASISVNGQAGENGIFAGGGG SGGSLVISSGTSIHVMGTLSAKGGLGGKGTRSPGEDGSDGEISLYAHSVAYSGTGGVY CNTLRTDVQTQLQITTDPLIGAAQTSKSLYIAKSVIDQIPTLEGPSFYMFPSQPTRIS YFIRLGSVRQGSLQTNRGGLFGLHDSADPTLFIVGIGMLDGAFTYSTNARGFPLQPLL PVVHAFQWYQFDIFLNWSTWQLEIRVNGVTSVSHVPFVAETVDLVGLYTYDAMQTWWD ELYVGVDHTMAFRCPVVSNGKVTVAQPRQRPLWSPSVVGPPTQYTPPVRHDSHLSRRP VYSSNNGGLVPNDGPGHRVYFNDIREPVYDAQSDQVELISGELVAISISPDVSMVVPL ETNAEGVSSAESALPQQSQYWYSEIQVLNNDSVVVGGGIGACSTTDLITWRNEGIILH FANLTDPFGMSQALLATRPKVVLSSSGQFVLWVHVDNPKNEMGLSGVATADFPNGPFR FQASFYPSAATEAPGGLAINETHDQTVVVSSTKDAYLIQSYYKTVEYWLPRPIMDPLW ESVKRVDGSVDYSLNFHRAFFTKDYDNVDDIYLQRFRSEDEPWSITCCDRATNVCDAS VLIDNQRCPPQYRKQVNGLAQFNQPIRSRYKDPHDPNNNAFRPTSVPSHTDWGFQVYN VKTWRGNYFDALSTNITLVTFQMFAGMASQYALPRSLEVTYPPAAETATFINTTDPPN MMDFILDTLGVQMSRSFLQKFDAFDVEHMDLNSDGKLTLDEVAQMVQSGQQTLSAEAF QAFLTDLEALKREEFVKMDPNQDGQITYAEFDQWVGLDPALAFDRFDLDKSGYLDENE LARFLIDRQLPRLDSISILLDPDFDGRVYYEMFERFLFNASTVMFLNYDFDKSNDLNA SEMALLESDIGVSFMNAPVMLSLLPTNTSTLSLDTYMKWMTASASELRDRVQSFKVDN GLHPTRPDRMTGPQHVVEQRRAKYLSVSKLTSDYLGVEAVMVEMEGDFDGDGALQDII SFALNLHFPPTSPSLVPFRQYLAPVDFGQYASYWNGRVWEPRPSAPPQFTYGQQCTDQ VRNQDCLPCLSQSPYISSTTLQNIEPTLNHCDNNKELDAYLKQFDHQVSFPLRYQQVA RVSSAGVQPQYSPCLNQSESVPCDVLKVYEATATTPWSLAWEARPVNRGTSTKIRAGP MQLKAVGQTFHERFPNRVPEPHFAMCEINSTVLPDQYHNVLGGG H257_17263 MNGPGSSSLRVYSHTIRTSGQLDPTVQEIQTSVALGQTMRGTFT LSDGFRVTGRIPYDASAQDMTAFLEIGLGTGSVRVTRVGHDVAAHGRTWRVTFLTALG NVPLLAPTSYLTGLKSTVQTRLVTVGNQLSGGFYLQFWDKLSTFLPYNITATDLEHAL QTSFRGFINSVTVVKDIPTSIERGCTWHIQMTTTRGNISPTSPTAPVTNDPLTFMTAS IAEVVVDPVTQISTSKPLLIGQGAQVTISDTSGFSLAYGGKGGVLDAKGDLVGGSGGG GGGNYPTDVQQFPRPIYGGAGGGAIYVAAVNDITFGPNASISVNGQAGENGIFAGGGG SGGSLVISSGTSIHVMGTLSAKGGLGGKGTRSPGEDGSDGEISLYAHSVAYSGTGGVY CNTLRTDVQTQLQITTDPLIGAAQTSKSLYIAKSVIDQIPTLEGPSFYMFPSQPTRIS YFIRLGSVRQGSLQTNRGGLFGLHDSADPTLFIVGIGMLDGAFTYSTNARGFPLQPLL PVVHAFQWYQFDIFLNWSTWQLEIRVNGVTSVSHVPFVAETVDLVGLYTYDAMQTWWD ELYVGVDHTMAFRCPVVSNGKVTVAQPRQRPLWSPSVVGPPTQYTPPVRHDSHLSRRP VYSSNNGGLVPNDGPGHRVYFNDIREPVYDAQSDQVELISGELVAISISPDVSMVVPL ETNAEGVSSAESALPQQSQYWYSEIQVLNNDSVVVGGGIGACSTTDLITWRNEGIILH FANLTDPFGMSQALLATRPKMGLSGVATADFPNGPFRFQASFYPSAATEAPGGLAINE THDQTVVVSSTKDAYLIQSYYKTVEYWLPRPIMDPLWESVKRVDGSVDYSLNFHRAFF TKDYDNVDDIYLQRFRSEDEPWSITCCDRATNVCDASVLIDNQRCPPQYRKQVNGLAQ FNQPIRSRYKDPHDPNNNAFRPTSVPSHTDWGFQVYNVKTWRGNYFDALSTNITLVTF QMFAGMASQYALPRSLEVTYPPAAETATFINTTDPPNMMDFILDTLGVQMSRSFLQKF DAFDVEHMDLNSDGKLTLDEVAQMVQSGQQTLSAEAFQAFLTDLEALKREEFVKMDPN QDGQITYAEFDQWVGLDPALAFDRFDLDKSGYLDENELARFLIDRQLPRLDSISILLD PDFDGRVYYEMFERFLFNASTVMFLNYDFDKSNDLNASEMALLESDIGVSFMNAPVML SLLPTNTSTLSLDTYMKWMTASASELRDRVQSFKVDNGLHPTRPDRMTGPQHVVEQRR AKYLSVSKLTSDYLGVEAVMVEMEGDFDGDGALQDIISFALNLHFPPTSPSLVPFRQY LAPVDFGQYASYWNGRVWEPRPSAPPQFTYGQQCTDQVRNQDCLPCLSQSPYISSTTL QNIEPTLNHCDNNKELDAYLKQFDHQVSFPLRYQQVARVSSAGVQPQYSPCLNQSESV PCDVLKVYEATATTPWSLAWEARPVNRGTSTKIRAGPMQLKAVGQTFHERFPNRVPEP HFAMCEINSTVLPDQYHNVLGGG H257_17264 MEKKHTLSPAEKLSVVKRGTQPEQATIERVIAEWRKNHDRSFKA SQRVRVSNRRSPADHLTTELRDTIASANQHCLPVSAESLSKTLEDVDGVTYIRKKLAN RIDNNHVVAPEVFLDESYCNLNHTPRNTWVDVTKQRNSKSDKGPRMCIVGAGVVRTKN CVIFSEWVNGFVVMWQSLGKSKRKRAVVADVDDDDYHGNFTSEIFEDWFTKLCRSLQQ DHGACNIHMDGASYHKRITNPRPKVSSRKANILAWLAAKAELLVMVTTSRDGPRYAPQ LIATEYGHTLYYTPPYHPELQPIEIIWGIVKQKMARRLSTSMADMDARLKKFMSEVPF SQLVSAHRKAQAFEVIPIRIAVAGTAT H257_17265 MLERLHVQSVSDELYQDESHDDYGEVAAEENDTLTYSNPVIDMV IEDSGVEGFRSPTNFTADEFETIWSVDELSP H257_17266 MTSVKPKKQYRRYTNRDRKCLLAHFHASPLQDEAKFCADMQIAR STWRGWRNNEQAILRSKRHGSKGSLRGQGRKTIIPFKDALLAYIDECRDEEKFVHMMR WIRRNQHEWLTHVPEHQEERLDRLRLSVLPSASVLHARTTVPTSPVRQQAVNFSAQHC ISPILYVHGVPPRQRHDPTTDVKFQPSYRPSGRLGEQWYYFSGKPKLYGLKIEASMLA H257_17267 MPSPATPHQPNHDKRKLSDLERRAIYETLLGRSNNGRIAHGEYT TTTATFQCHWKTVARIWKRGQESLRNGSVDAVVIARFKGNSGPKVQRSPSDIRAAVKA VPLVARQILRSVAEHSGAPKTTLKTTKEAA H257_17268 MPSHTTTTAAKRLPSARTISNTVDALAAIDDRRQSKRQRYSIES NDATAEDIDSASPVMDRFIAAKGIEVVHALTNFSASEINTLWTNIKTFVTKNWNVGSG RKCPHAGTWDILSASFDESAATFSTRIHNFVRVLHPYLIRKYIDDQGMKWTMQQLAVA GLQFTTHKSALYAVDVTFQQTTVPVVEFGEKKTYFSKKHGLYGHRVEVSVAPNGLAIN ITYCAVGSTSDIEMFKVNLGFHSAQLEKQPNDTNVSDTEMLRDKFPNQWAVLADKGYQ GIQKYVRGFTPVKRPPHGQLTMEQERANAKLSSDRVIVENFFGRLKTLWGLVSDKYTW KRDEYNMYFQTCVALTNIHVRFNPLPNLDGEGYNQYKNRLLSIGKQDQVQECFVQGEV S H257_17269 MRIKEIIPEAKNSDARNAIQEITNLLIHRNDQIEELIEDVLERK IQVYQKARKMKAKARPGTKNGFRAVSFQAPSLNYKKSCESKFEPDNLQDRPRDQLYEL KQAQCASLTEYVAKLRRICTQVCEFAERDKVSWFQRGLQTRTCEELQYRRCETVTLAI QVALNYERSHNSILNGFQDLRHPFNNRLQGNRPPNAYPPSQHQDDDMEVDNAQVQHRG QRQVDPCYNCGRMGHRISDCRSQPRNNQGRSQAQPQRNNISRHANRPQRPQRNTPSRQ HNAQVTEVNSDTEDSEDDVEEVILGNNMGLAQQDSAEESLNINTAQQAVPAQENKLMI VHGALDNTSVRILIDSIASNLLCRPGLAKTVIRSEEVQAEGLDGHCSGIKKVKEISDT LCFGQWTFLDLILTEWDLGKKDFDVIVGKPLLFYFNPVIDWPAVCVRVAATLTRHAND KFNVLTATNVDFDIMLGKTQYEQCFQANLRCSQSTFRCLASWLSTLHPELMRRETSHW FKKKVAVQLYFLWSEGGYRETGAAFGMSKSWSVETVNVFVAALAKQAK H257_17270 MKPKRFRKQVPRTYLWCDDSVEKMFMLRYKSALAPRFESKNNYG KREAYVLAKMKSEWSLSKPSLASPTGNATKPPQPIHFDAMLEYWGDKIGYKRESLMST DDISEGDSLQNSTGTSMPQQPQVQPALGTTLDNGLIAIKSQSDTMTQLLSHLVAEKGN KNE H257_17271 MAPINTNNVFIRLQEQSVRERSFLESSFVDNGEIARLNEERVDD VANPILETTLDQRVSGRGRRCSTSPKDSLFMALTVMKHFSTWDKHAADFGFKAPTFEK LIMRVVMTIEPLFSEQLIVCPTMTSLTAASRRFSNFPYALYTVDVKFQPSLRPTGRFA EQKHYFSGKHHMYGYKIEAAVSPDGRCVAMSDAHPGSVHDLTILHTRRELHTTNLTKS ACEAAMPDHGELSAEYTATWACLVDMGYIGVDHTLRGIHPKRSPQNVALDVADVERNR RVSSDRVVVESFFGRVCSLWKIYGVIQRTTFALTNFHISLMPVRAEDEVYYALIMARY QGMANEHK H257_17272 MPQSCRSINVLSEPPLAAAVAHGFGRSWATKPPHVSSFESLLGP IYEGAWWGNMGLLTGWSGHGGATWSCRRHVQSRLRRSSQDLVAVTTDEMTGPLGQVLR TSRVRVYLVDFVKDVQRNWGGRINHSSICTKLACFENSAGSLRLHVVAAQKLQRIDLC GSSCGQHVVAERLAVPWKAMGWKKTPPPSMDKLCFRTIVKFGAKAYVIKPNEILHWEF LYKDCGYILVAKNDFSQFKWLKESDVANAQIGSLFKNEVIAKLKHVLRAHHYFTTARC PWANGTVESAMKATLKAFRALLSEWLMQPGQWPLIVPVVMLVLKQSPSDTLGGVASIT ATTDDLLSWRNDDMTAMATALDKIPAQVVKTTSDWIFEIQNLVTGVVREAHSSRLKFY ADNTLDVTEDRRPCGRPVPRLPLQRSIGRVEVCVRWRGLQEIEDSWEPAANLLEDIPT EYKRYVCSIKADAQVKAMATALGVMQSLGGIVANWPFAEPLNPSQEGIKAFD H257_17273 MEKGARSRTQQARSVHDAPGSGGSMLYYKAAPTNGGGHSQPKSQ RRLQWPARATWNLHIPAGQLRMRHHSTITYSSPPRWAGLTNMNKVDILLGFIVSPDSD TSTLPTHWAHALQHWGQMTWLMNPPRNTLPSPPPAYTQAIRWDADNDGDPEDPTCHEV HSWLRASFPHIYRAPAAWQRLPTMTNNTLQWAIALSLTPRLGKDFHRRWFTTHWTTLH AYWTHTCHEQYVATAQDGDTALAQAVNTGIKQRRRAHDDTPAAMDTNLRQAKARRTPP PHHTTNKSLHERDDADHCDDHRKQVLQGTNNYSNTDQTRPTAHRTLTKARQTEADART TPV H257_17274 MQVCVANRKKGRVGRKIKYTPAQAREKLLQVALRERTSLRSISA KTGISYGTLHRYLKRGVFRAHSNAIRPMLTDANKYSRLKFALNFMAPGQDMCEMLDYV HLDEKWFYLTLVNRKFYLVPGEKPPKRKCKSKRFITKVMFLTAVARPRLNEDTGVWWD GKIGTWPFVKRQPRSWHD H257_17275 MPDEGHPVSPQSIKRRIRLSIAQKLNVIAVAESRTVQEAASTLE DSEGYIRLGMANQAKLKRCRGVKVTKHNTVKSRAKPVIPDPHGLVTYIMDLHRQAMTV TSSHMLEYLRQFAERRGFSRHLLYRQKKSQEELKSTRIAFGKQFHDEHPGIYVDVSYN TDESRMYYAT H257_17276 MDQTPTLEGPSFHMFPGQPTRISYFLRLGSVRQGSLQTNRGELF GLHDATDPTLFIVGIGMLDGAFTYSNNGEMVAIFQWSFHWKPMPKACLLPKSQYWYSE IQVLNNDSVVVGGGIGACSTTDLITWRNEGIVLHYANLTDPFGMNQALLATRPKVVLS SSGQFVLWVHVDNPKNEMGLSGVATADFPNGPFRFQASFYPSGATEAPGGLAISETHD QTVVVSSTKTHYGPVGGKRVDGSVDYSLNFHRAFFTKE H257_17277 MKTIGILALASVAVTFAVGDTAALVQGPDRKLRTDAQVQAFRDN KARECHKRFDGYIETLKAGEYKDSKFFKCFRTSEQIFEYVNKLVEQNPTLLAKENIST TVQGKTIYAYKLTSGASKPKSLYFQSLIHAREWSAGSSNLYALSSMLDAIVNKEPTAA DSYNLYFVPIVNIDGYDISWNSKRLQRKNANEVDLNRNWPARFKHSNNVSPKNETYPG TGPLSEPETKGIHKWLESMSSELAGCVDVHSYGGVVLYPNGDTTEPIGNGDDEKFKAL GAKVAEAASSTNYKAQTAGSFGVAIGAFDDYIYRTKPSER H257_17278 MLDDIANKKTTAAELFNLYFVPIVNIDGYDISYTTPSPPPASTS HMQTAYWFVVPEGSPGHTLADSLPITWLLTGKRVFTRAFWGFYACYEKWGNYSCFLTN NFMEWEDLRV H257_17279 MPWYKVPTGNSAPTPKSKPSETTRPASHKRFDGCIQTLKAGNYT DSEFFKCFRTSEQIFEYVDDLAKQNPTLLTKEAISTTVEAAADSYNLYFVPIVNIDGY DISWNSKRLQRKNANEVDLNRNWPAAFKHSETEPNLPWHDKTRKPQLAVTKDIGDLFD YPDLPVKLRQDLYVLTRHQRVVINKLRAQIPEAKNSDARNAIQEITDLLIHRNNQTEE LIEGVLDRKIQVYHKARKIKAEARVDRSSK H257_17280 MKRWWLWVELLDDADEDVDTPDNIHITFIATVSLPPDAFHDLLR EFSKHNTWKSGNGKSGRPPKLTYKHQALAEAAFEVALKDAPDARVRTFVTGTLLFGAD RTIVWCLHNHAWSWNDGDTRFSLQMKYLDGKRTAAGLGVVAGSAFPVCDDLRGKIRTP LKDGDLGLASSLCHIGVLMMSNAITSLRQTAEWGMGESRKCTDY H257_17281 MTLLELTAQVVGQSCDVEDILSCIPFLSKEASTRIWRHMKPARL RDLEILVMNAAPDTAVLDEFEQQWEAWTVADASVVFDGHESSRYFGNEGVFIGSSSLV PPRPFRALYWERVFRVMLATTTTTTTTTPMHLFQNVVYEVKVRGNELTTDSVGHLLTL TTLHRVEIHHLVESSSFWTHASSLVQHSSTLRELCILHSKLSSLQPLLAALRARKHPI LSMLEFVSVTLRGSAFTDLVTLVGAHVVRGMRLTNSIPEDAASIFVPAVTSLDTVLVQ HHDLNDLDMPPFCRTTRLSLGSNALSSVSFLSRCVHLVELDVSHNDLGDAGISTLATS CLPQMPKLTKLFVFNCHFSAAGAAALFTSVASPASSIQVLNAGRNYLGPAAQLAVLAP FLSSPSSVTSLHLNYIGLGSAISPTFCMALQSFSQLTQLSLGENRLRDQGAASIFASL PFPMQALDLSGNLVTRQGLSDIANHITSSTCSSPRRRCAKSGEVERHKCWIEEVNLRS NQFDAADMRDTLAKLHMALPTVYANEWRHNTHDYQC H257_17282 MSTSMSSASRNESYLQDQIRALQVQGDYYARKVEIEKRRSDELD HQLKQFRDTHNETKKLMSPTGGLGGSTQAKPPSAEQSIDQRSIRTLENRLEKVLIRLN EACNANKKLREQIQNLRREKVQQQQIHEKLDRELHTKQLDVAKTTQLTQSTYDARDRA QRQVEALKLQTAEETARFEADWNELKNVIEVDRVHLRASQHHGSIFVDGDDSQDRDIG TPDAKLRDETLKTSWLISAKEADLRKQTERLKAYEDGLAKIRKKTGIKDIGELANALM SAEEKNFSLFNMINELNTEMEAVEIENNRFQDMIVECQGSGSDINRARIKASLEEQID KSKQKAAHFEGRVSESMAVIDSIKTGAMNIFHKIGYNDEVLLQQLNSTGLTDINMLKF LGIIEKRIGEIVQMHNIVYAQQSGKLDATAAHKAVPSSNHKKTTLLGGHDLHPQPPDF MDDDGDDPEEDVKPCTIAEIQERTAAAISRRKDKAAKKRVS H257_17283 MTHVHVVATSLLTLASILAAAALPPSPSPAAAAEPNEVAGNGPA TSASPLLDASSMTDVCRTFHTSGSWWRYEWCHEGHVRQYSVDPTTGDESQVISLGTYD AAHSNHYHTTSSSTDKDLRAYISHVFLHGDMCNSTDVARNRSTDVHLTCCVFRPQETY IESVAEPRLCDYLVTVCTPSACASPPPPSNMTLKTKEELAATVKSMFYHAYDSYMTHA FPLDNLKPITCQGESFELGKIPMLTLIDTLDTLVVFGDKDEFRRAVALVTTHATFDLD TEVSVFETTIRVLGGLLSAHLFAVDPTLQLYSNTSEPAYSGGLLALAADLGDRLLPAF VTPTGIPFGTVNLRRGVPKGETSVASTAGAGSLSIEFTMLSILTKNPIYAQAARQAVR GLFFRRSALGLVGKHIDTATGEWTETSSGPGSNSDSFYEYLLKMYVLYGDSEALDMFE PVYSAVMEHNKHGDWYTDVSMWDGCLSNQHHQSFIFDNLVAFWPGMQSLLGDFASSTR SLNAFYQVWQQYSFLPEQFDVGRWRPKKQNRNGYPLRPELLESTYYVHVATNDSSWLT AGALAVQSLERYAKTTCGYAAISDVETRTVEDTMPSYFLSETCKYLYLLFDETNFLRK ANYVFTTEAHPFPVLPSAEVTPILTASDETKYAVPRTATHWNKYLTCPVIQFWDDVGF RRAFHDRFQMARPRCTTSSSTKPKANKKTTPEDDKIVTKSLYGGKTLGYFHVEQLLGG FRVTRRSDRGQWLKVTNLGDPHIIVESHSSSEGGGGSSTTTTSSSSLTEFRVFEFASQ TMRRCRLQVLGRWVSCSAAEFGLTKDPSVAVSIQNAPVLYGTPHLGCTPLESTPHRVV VLHRGECFFDEKANRATQAGAVAAVIVNSEPNPSAAQEEEENSLMVMGPSKEATDQQQ KIDIPVVMVPFAADAMIREAVANGDTISLEVGVVTELEYPRAAGSRFDVAVAGPDGWG VHLVAKMQPVGTPLWTISITEHDARGLDVDDEEVEEVEEPRNPGTPNPRQKANKVDVD EYATKLKGLGFSDQQLARMNSDDPDDRLDALVDGLRALGLHDLVAKFVGRSKDVGVGE GSTNPETPADLASELDAPVSFDQPDEGHDVAQSTREDADDVIDHDDTCAAV H257_17284 MHRLFFVLVGSGWALAGTCTNTSLSSRFEIAQSVGISPTCVGPW SATMPKTIDFLTVFSGYAPLACAQSSCRQDFQTSASNIGAIVCDEAQAAAKAITGLVN LCSSLVLATTAQPTCSNYSYATNLGAVPAACASAAPLATSALAILQSSDLKSFDAVCQ VPACVANLTARITALPTCRLEWPYFYGAPLIPKEVYLGYLSSFCDNLPTQSAVNTSSC PAKLAALYATPFSDTCIAQYFGNRIAFVIYEATLRRYILLSRYLATSNACKSSIQYML NDLADAGPCAASVLFAFQTLQQAVSKVYPSNSSLALCTNSESASVIALLGSPASVACL RNPLVQIWSDVYTPHQLADLASIVATPGCVASAVAFATSSFPKCEFAAPDKGDAAVGM DAATRFTSFLLAADYLVTREASPPVSRACFDGGHGSYWLEDARPMDFLTISRGLVPMA CTVDSCRADFIASAIALKETNASTVQSLGASVAGIANVCNSLALSFGLPRCTSASPFD LGGVPPACTTVAASVASAAAIALPSDLGALSAVCQVPACVAALTMQMNALPDCEAEWG PSGGAFVSPKQVYLSYLTSFCNSTTVVVATNFSTCSNKLAAAHFVTFTNDCVESILEY QNGFGSVGEYGMVIRQVTINKYIPFSRFLATSPTCTANVNATANLLNNVGPCGTPLAI VFKVLLQVISTKTPSNRSLALCSSAQTNALTTLLSAAAAVECSRSNPWVQRWSDVFAP RHLADMVTTVAAPGCVTAAVAYAKTAFPACEYVDVEFDERAVGVSAATYFTTFLIATQ SFVPETSVTAAPSTSATTTTPSSTSLTSPTPTRATARSVSVEMPVVGLCIVLYWYSLR HY H257_17285 MSMGIKNGDPDSSVLYVSFNQDATCISVGTRQGFFVYSCEPFAR SFQDAAGGIGLAEMLFSSSLIVLVGAGEQPAFSPRRLRVWNTKTSAAICDLNFVTAVL GVQLNRQRLVVVLEKKIYIFDINSMQVLNTLDTSSNPKALCVLSPADNGYLAFPAGGV AGEIVLYDAINLSVLNAVQAHRGMPVALAMNATGTLLATASDTGTILRVFDVPSGKKR ATFRRGSYPATIYSVAFNPTSTLLCCSSDTGTVHIFSLTGLESAVTGSYSLSPMLGPV TRLEPKAATTKAMLSSYLPSSVTRIAEGARDFAYARLRSANVPNLCALRGPLPGSNHV QVLVATIDGLFYQYALDITTGGECVLEREHMLRDSASEEIEAAYLS H257_17285 MSMGIKNGDPDSSVLYVSFNQDATCISVGTRQGFFVYSCEPFAR SFQDAAGGIGLAEMLFSSSLIVLVGAGEQPAFSPRRLRVWNTKTSAAICDLNFVTAVL GVQLNRQRLVVVLEKKIYIFDINSMQVLNTLDTSSNPKALCVLSPADNGYLAFPAGGV AGEIVLYDAINLSVLNAVQAHRGMPVALAMNATGTLLATASDTGTILRVFDVPSGKKR ATFRRGSYPATIYSVAFNPTSTLLCCSSDTGTVHIFSLTGLESAVTGSYSLSPMLGPV TRLEPKAATTKAMLSSYLPSSVTRIAEGARDFAYV H257_17286 MAAPADMARRGALILLEGVDRCGKSTQAKLLAQHLNCTLQNFPD RTTSIGSTINAYLSNACEMNDQAIHLLFSANRWELSEKLRDLLNQGTHVVLDRYCYSG AAFTAAKEKPGLSLDWCMRPEIGLPRPDVVIFLDISTHDAAQRAAYGEERYEKREFQE RVRANFFRIMETEAAAKAPWHVLDATKSIDELHASIKAVATSTIAQVEHTPVRILE H257_17287 MVFAWDWTQRVLVSDKIVYVEWRHEPSTTWFYARGLTSFDLDGH LLDTSTLRLCQVIESHVHLLRPGHQLCVSVRTSTDSSVRTRAVCVLACYNLLHHHTLS VTDAWIPFDSLHIVPFFDRLSCLHVLDCVRGVDKARACGFIPPDHHHVDAPPFTWLSK KVLTFPSPSCTLDTFMPYFHAHNVTLILALHPPVYCFDTLDIEYMDLICPDDMHSDDI MLGRILDAIESTPGVVAVHGLHRIRTFLGGYLMRTHGFSAAEAAGWLHATHPYDVDGD QLFPHMLRRWHAVLDTSTDTRRDADARPRSSSSLKINIGGISFGSSLLLGSSTTKSQD KSTLSKKKNVPPQLVKTSSRSNDVAECGGSTSRGHSRERSLKTATPVQS H257_17289 MLSLQHQESSSAAATPAAAPLGFLIRLPSAATSVSPSVVGVPHP KPLLAPVPAAPSTPIPPHASPINYSSDNNSSDTSSSPSSLHDKRDSKRSTKSKCPPGL RSGKWTPEEEAFTNKMIHFFRLGLLDIADGTSLRWYLSKKLNCEAMRVTKKLKGNSSI GKQIFRVLESSVETAKSIQKAAEELQVLEDEFFTSLSLKPVSVPRTSPPTSGRQHTKG EQPQQRPVPRSFPDFNDEAELLLHFCLSAHRGEKRKRITGSSSSSNDHPALVALVDAQ AQ H257_17288 MDRTATMMQQHCMRCRNIGTSLDESYVNVNATSVHMPPSASMSL SVMRDRESSHADVSSHVKKIQRLHAISESITASATTSLPAMCPECLQILTQMLEKSDA RARQEKKALAAFIATVPKPVRSSSIKSPSPAPSFRPADPSLVAIHDERMSIQVELQQL QDELRGVEVEEAAMWARINDELMAVDVAHDARDASVARLLEMESRLHIIRRMNVWNDA FYIWHKGPFGTINGFCLGRLPRHHIDWHEINAGWGDVALVVVLLMETMDIPIRDFQVV PLGSYSKIRRLHPSPTMEYALHGGDSDNYVESPFNLGLAALLKVVAHLGEYAEATDST FRLPYKIGATTADVGGLSLLFGRDEEVWTKACKSILTNLKWLLAWTSKAASTPIANEG TSSSTTTRPTTKGGRH H257_17290 MEGSMPKSKLLEAKQRRKDVSSDASLLQNRIALLKAEEARAWKK IEQTKKRAMELVKLRQEKENYARERTALMTQAERELHAQQQLKRELVLASEKKEIDQQ ILDQKRSDAQQLKEDKQKWRQFTEQKKRLELEEAVRRREDVLKQENQLKRARQAKQME VERANKERADNIIRREEQAFLDKEVEVQEMERLEMELIQRLRNTQHLQKQAYEELENA LGGTNSPSLTTHTSVSMRS H257_17291 MSALDYVLPDGMEDTNEGSNYLEEVDMGLPQPYSLRLINNPCHH TGQTTDNHPTSRLRPREFHSHSCDEDVTDVNTASSIMLAEHNRSATLLARIREKVFAR LATCDNDSTVSSDKDYLAPDNDSDDDDDPQDEHEDSHSSVEGVWHPVSRKRDLQSFLL GGRSLDTPSSSAILPMPPSSMPIPFLFLPPPPSLSSKPSLSGGSLVAKCLLPAVPHRP PLRKYNHRSIYNCVRCGQMKKHHTCTFPENVRSVGTAMSSTSLPRDTVSRYYKCGRVL VCKK H257_17292 MEWASGDEQVASDLSLPPPQRCELLSGIQTYFVQMLLFVIALTS LWYKRHVERPKRSVEIWMMDVGKQGLGAVVGHFTNILIAISLPHVTDQCVWYFLNFFI DFTLGMVISLSLLRLQQEIALRTNCPALYESGDYGSPPSYRIWGVQLTAWLSIIIFSK GIVTSVLISSSATLSSIGNTLFSPLAAHPLSELVIVMIVIPSFLNVVQFWIQDSFLKR DVGGLLAYYARTTNPAKLHTSINMDPSLHVGLLD H257_17293 MQSSAASPSSQTHDTTPVVPTASLDASKLEEKFAAVNGDFTRGV TIKGKDDAMKLQGKVNDWRDDVSTLATHIAAQLQFCKSLRTSKAMALIPNTTPGDSDS QGEVDIFRLLRSDIRSLYEYRLSYLSNMTDSMHWAGLDKEAARMKEILDAPSTLDKTG GDPTVAFDTLLKFVMAKGDMVSKIADDFVSKKKEVDMNNGIEDELVLDDHSATSMLLS RDTKLFTKLLDTFQLLYKESRKPSNTQTPQSGDDSGGLFASTTTADWEGAKGLRMDEA NFTIQKLQREVNDLKIQKAKLVSTNSQLEDNFAHMLAQFELEKRTHQANVQWHEPRIQ KLEDEIRTSANALAELRLNVDLITNMYKKTCDDVVAYDKQKSVVADERDILGQKLHDE VKKISILNLELVRKDKLVMYAMGARHEVLKCWNETKAALAEMTTQRDNLAATLQDTQQ SVRRLEALLVERDEMCVVLKREVSEKGAAIDTLRGNIVELQTGHEERMLEKTKRHEEE FDKLLVKFDKTKKELVETVQDNIILDGKLRAAHDRISRFLS H257_17293 MQSSAASPSSQTHDTTPVVPTASLDASKLEEKFAAVNGDFTRGV TIKGKDDAMKLQGKVNDWRDDVSTLATHIAAQLQFCKSLRTSKAMALIPNTTPGDSDS QGEVDIFRLLRSDIRSLYEYRLSYLSNMTDSMHWAGLDKEAARMKEILDAPSTLDKTG GDPTVAFDTLLKFVMAKGDMVSKIADDFVSKKKEVDMNNGIEDELVLDDHSATSMLLS RDTKLFTKLLDTFQLLYKESRKPSNTQTPQSGDDSGGLFASTTTADWEGAKGLRMDEA NFTIQKLQREVNDLKIQKAKLVSTNSQLEDNFAHMLAQFELEKRTHQANVQWHEPRIQ KLEDEIRTSANALAELRLNVDLITNMYKKTCDDVVAYDKQKSVVADERDILGQKLHDE VKKISILNLELVRKDKLVMYAMGARHEVLKCWNETKAALAEMTTQRDNLAATLQDTQQ SVRRLEALLVERDEMCVVLKREVSEKGAAIDTLRGNIVELQTGHEERMLEKTKRHEEE FDKLLVKFDKTKKELVETVQDNIISLTMYILYLFDIWKYVFAIYICICLIYVKYVSLN LLVHILDGKLRAAHDRISRFLS H257_17293 MQSSAASPSSQTHDTTPVVPTASLDASKLEEKFAAVNGDFTRGV TIKGKDDAMKLQGKVNDWRDDVSTLATHIAAQLQFCKSLRTSKAMALIPNTTPGDSDS QGEVDIFRLLRSDIRSLYEYRLSYLSNMTDSMHWAGLDKEAARMKEILDAPSTLDKTG GDPTVAFDTLLKFVMAKGDMVSKIADDFVSKKKEVDMNNGIEDELVLDDHSATSMLLS RDTKLFTKLLDTFQLLYKESRKPSNTQTPQSGDDSGGLFASTTTADWEGAKGLRMDEA NFTIQKLQREVNDLKIQKAKLVSTNSQLEDNFAHMLAQFELEKRTHQANVQWHEPRIQ KLEDEIRTSANALAELRLNVDLITNMYKKTCDDVVAYDKQKSVVADERDILGQKLHDE VKKISILNLELVRKDKLVMYAMGARHEVLKCWNETKAALAEMTTQRDNLAATLQDTQQ SVRRLEALLVERDEMCVVLKREVSEKGAAIDTLRGNIVELQTGHEERMLEKTKRHEEG SSIIYYRFMIDDGLEFDKLLVKFDKTKKELVETVQDNIILDGKLRAAHDRISRFLS H257_17293 MQSSAASPSSQTHDTTPVVPTASLDASKLEEKFAAVNGDFTRGV TIKGKDDAMKLQGKVNDWRDDVSTLATHIAAQLQFCKSLRTSKAMALIPNTTPGDSDS QGEVDIFRLLRSDIRSLYEYRLSYLSNMTDSMHWAGLDKEAARMKEILDAPSTLDKTG GDPTVAFDTLLKFVMAKGDMVSKIADDFVSKKKEVDMNNGIEDELVLDDHSATSMLLS RDTKLFTKLLDTFQLLYKESRKPSNTQTPQSGDDSGGLFASTTTADWEGAKGLRMDEA NFTIQKLQREVNDLKIQKAKLVSTNSQLEDNFAHMLAQFELEKRTHQANVQWHEPRIQ KLEDEIRTSANALAELRLNVDLITNMYKKTCDDVVAYDKQKSVVADERDILGQKLHDE VKKISILNLELVRKDKLVMYAMGARHEVLKCWNETKAALAEMTTQRDNLAATLQDTQQ SVRRLEALLVERDEMCVVLKREVSEKGAAIDTLRGNIVELQTGHEERMLEKTKRHEEG SSIIYYRFMIDDGLEFDKLLVKFDKTKKELVETVQDNIISLTMYILYLFDIWKYVFAI YICICLIYVKYVSLNLLVHILDGKLRAAHDRISRFLS H257_17294 MQQQEPPFGPATRFPAAGMTSQFIHQGYLQRRMLLGWRKHYCIL RGRSMELYRVKGDAKPKDTTPTRVLIIEHFYADFRLADQFDVILPRGGKKTFKLDPDQ IKCGERSLWVQALQTALLCDNALERYGEDITKQLMTYASKQVSEFGSLRLDDSMWKTL RKRSIHGDLWSRFQELVTKGIELTEVVPPPAVGLRYLFCMDMGSSAAAPRQIRLVPMV PINNEGTDNSKNELENGVVDPVVRHIDLQHIVSVTDCSGGSATDAAVRLRQFTLTHVV ENEPVVEVFETASTDLRSRLVFGLAYILKNYNAAKQQHSPRQLRLRRLSPPTTSSSPG LPTMTTREERASASQVSTTSSLDVLGGVSNQHVDAAIRSFNVRPKNGIAMAVDLGVID NENPTATAEFLRHTKGLDKDKVGEYLGGDDAFSVHVLLAYAMCFAWEGKPFEVCLRDF LSKFRLPGESQKIDRMMEAFAKAFHTKNPHVFQHHDAAHILAFSTIMLNTDLHNKCMT NRNRMTKSQFVRNNRGIDKDKSDIPHAILEGIFDSIKKQAVVTVRDRDDNGNLFANPD MAGWLKTYRRSGIKTRRRWFMLTNHCLYFFDRQEDIDPLGFYALENVKVQCITGRPLG FELRAAVANSSVKSALYPKQRARKAKGGLAMRPLQRVGFAAESAGEAKAWVAVLEKFI LLHETNASQLVKKGPVIPPPMLASQSFRPRGSTSTSFEDTDEGQRM H257_17294 MQQQEPPFGPATRFPAAGMTSQFIHQGYLQRRMLLGWRKHYCIL RGRSMELYRVKGDAKPKDTTPTRVLIIEHFYADFRLADQFDVILPRGGKKTFKLDPDQ IKCGERSLWVQALQTALLCDNALERYGEDITKQLMTYASKQVSEFGSLRLDDSMWKTL RKRSIHGDLWSRFQELVTKGIELTEVVPPPAVGLRYLFCMDMGSSAAAPRQIRLVPMV PINNEGTDNSKNELENGVVDPVVRHIDLQHIVSVTDCSGGSATDAAVRLRQFTLTHVV ENEPVVEVFETASTDLRSRLVFGLAYILKNYNAAKQQHSPRQLRLRRLSPPTTSSSPG LPTMTTREERASASQVSTTSSLDVLGGVSNQHVDAAIRSFNVRPKNGIAMAVDLGVID NENPTATAEFLRHTKGLDKDKVGEYLGGDDAFSVHVLLAYAMCFAWEGKPFEVCLRDF LSKFRLPGESQKIDRMMEAFAKAFHTKNPHVFQHHDAAHILAFSTIMLNTDLHNKCMT NRNRMTKSQFVRNNRGIDKDKSDIPHAILEGIFDSIKKQAVVTVRDRDDNGNLFAVRF HYSFRL H257_17294 MQQQEPPFGPATRFPAAGMTSQFIHQGYLQRRMLLGWRKHYCIL RGRSMELYRVKGDAKPKDTTPTRVLIIEHFYADFRLADQFDVILPRGGKKTFKLDPDQ IKCGERSLWVQALQTALLCDNALERYGEDITKQLMTYASKQVSEFGSLRLDDSMWKTL RKRSIHGDLWSRFQELVTKGIELTEVVPPPAVGLRYLFCMDMGSSAAAPRQIRLVPMV PINNEGTDNSKNELENGVVDPVVRHIDLQHIVSVTDCSGGSATDAAVRLRQFTLTHVV ENEPVVEVFETASTDLRSRLVFGLAYILKNYNAAKQQHSPRQLRLRRLSPPTTSSSPG LPTMTTREERASASQVSTTSSLDVLGGVSNQHVDAAIRSFNVRPKNGIAMAVDLGVID NENPTATAEFLRHTKGLDKDKVGEYLGGDDAFSVHVLLALCMGRQALRSVPAGLPVQV SPSWRKPKDRSHDGGICQSLPHQESACVPTSRCRTYPGVFDDHAQH H257_17294 MQQQEPPFGPATRFPAAGMTSQFIHQGYLQRRMLLGWRKHYCIL RGRSMELYRVKGDAKPKDTTPTRVLIIEHFYADFRLADQFDVILPRGGKKTFKLDPDQ IKCGERSLWVQALQTALLCDNALERYGEDITKQLMTYASKQVSEFGSLRLDDSMWKTL RKRSIHGDLWSRFQELVTKGIELTEVVPPPAVGLRYLFCMDMGSSAAAPRQIRLVPMV PINNEGTDNSKNELENGVVDPVVRHIDLQHIVSVTDCSGGSATDAAVRLRQFTLTHVV ENEPVVEVFETASTDLRSRLVFGLAYILKNYNAAKQQHSPRQLRLRRLSPPTTSSSPG LPTMTTREERASASQVSTTSSLDVLGGVSNQHVDAAIRSFNVRPKNGIAMAVDLGVID NENPTATAEFLRHTKGLDKDKVGEYLGGDDAFSVHVLLALCMGRQALRSVPAGLPVQV SPSWRKPKDRSHDGGICQSLPHQESACVPTSRCRTYPGVFDDHAQH H257_17295 MKLTQDHDATPSVDMSGDSAAAVVDDSSTDTPTIHTSTYSTRHY TAVQASSSWNQLSMLQETLFPSFTSKLDVQQKSFIAGAAAGIVSRTATAPMDRIKVAL QAGHAHGGICQESILSVARKIYASGGWISFFRGNGANAIKVTPESAIKFWSFSFFSTH FPNHEHGEPLCATEKLVAGSLSGAISQLAIYPLEIAKTHFCLGGEYKSLWHCISSIAA KDGLHGLYRGLLPSLLGIVPYAGVDLALFFTLKESYTTKFEAPPSVGHVLLFGAISSS CGQVVSYPLQVVRTKLQAQGMAGRPVIFTGMTDCLVKILQTHGLRGLYRGLLPNFLKS VPAISISYAVFETVKDAL H257_17296 MNSGVSSQNPSGVHHAAASGNHFAAPLTAADYSLESHSPHNERM TAAASYQTISTTAVPPTASAAPPLQVSAFTPMYASNETMPRTGSGFGSLLVAKPSKPV GASSARSWITVPCQNPSAAPCHRSLHVCAIHKDSMYVFGGYDGSNRVNDFFEYNFKRK VWSQVMALGTPPTPRDRHVAAVHKDRFYVFAGFDGSSRVNDFIEFNFVTQQWSPVVVM SGMLPSPRHSHSAVVYDKSFFVFGGYDGSYRNDFYEFQFDNASWSAVASTGRSPRPRY RASLVTHKTTCLVFGGHDGSRHLNDVNVFHFVLKTWTLLVVEGPAPIPRDSHVAVTHG CSMYIFGGSTGSAMNDFHELNLESNVWQPIAFNGPAPGQRFCHVGCVYDDSLIVFGGY DGSNRLNDFKEFRFGEEIELDIPPPCLVNDLRDLVNANVMSDITFIVEGVPIYAHKIL CLRCSYFKAMLTSEMLESRAREVVISDVRRSIFLAFLEYLYTDHVNVDVETAMELFVT ADRYGVDRLKKICESKMLSSLCIENAASILHAADLHSATILRERCIAFMLNNFDAVTK TNAFEEMGRINVDLVFELLKRR H257_17297 MGLDNFGTVKVAKDSSAVYKDECVLSFDSPFTPGGLYTNLSTFE SFGDLHLADDIARTKQQVYLHQQFVKTGVKKQVLEQVSKVAIGVEGGFNDDEVSVTKT LAVVVFQGDEKLTFKLTDPSLPAVIRDSVESVLNHQGHHVSEQVKSWQEEIATSQYAF DLIQLPKEDCAPISGNPSTWVCGSDTCDKKENLWMNLSDGYLGCGRRNFDGSGGCGEA LRHFEATGRNFPLAVKLGTITPAGGGDVYSYAEDDMVRDPNLAAHLRHFGIKVDELTK TEKTINELTVELNKNWDFDSIMEEGKDLEPISGPGYIGLVNLGNSCYVNSVLQLLVTL PEVQERYLRGPSRSSSTPATDVSTQFAKLVKALHSERYVAEELRPLMLRSVVGKDHAD FSSNRQQDAMEYLQHLLSVLDAAEAEDTLTSPLFAFTMEDRLECLTSQQVRYVCRREN VLQLGIPLDAATNADQVQEFKRQKLETDKAMVPDVPFDACLHEAFSPELFDGFYSSAL GRKGPASKTSRFNTFPKYLLVQMQRYYVSEDWTPKKMDVSVVVPETLDLTPFRSTGKP AHEVLLPDDTTISTRTQPDAALVAELVAMGFSENGCKRAALATANASTEVAMDWILTH MDDADFNSPIAPTAAASSSTSEPSEDLVHNLTMMGFSPVQAKCALEQTGRNADAAADW LLSHMDDLEIHVRDFERRQAATPARTSGHWESQSTAHYDLLGFVSHMGSNTHSGHYVA HIKKNGKWIFFNDAKVAVSDTPPFGAGYIYLYSRRQSPPTN H257_17297 MGLDNFGTVKVAKDSSAVYKDECVLSFDSPFTPGGLYTNLSTFE SFGDLHLADDIARTKQQVYLHQQFVKTGVKKQVLEQVSKVAIGVEGGFNDDEVSVTKT LAVVVFQGDEKLTFKLTDPSLPAVIRDSVESVLNHQGHHVSEQVKSWQEEIATSQYAF DLIQLPKEDCAPISGNPSTWVCGSDTCDKKENLWMNLSDGYLGCGRRNFDGSGGCGEA LRHFEATGRNFPLAVKLGTITPAGGGDVYSYAEDDMVRDPNLAAHLRHFGIKVDELTK TEKTINELTVELNKNWDFDSIMEEGKDLEPISGPGYIGLVNLGNSCYVNSVLQLLVTL PEVQERYLRGPSRSSSTPATDVSTQFAKLVKALHSERYVAEELRPLMLRSVVGKDHAD FSSNRQQDAMEYLQHLLSVLDAAEAEDTLTSPLFAFTMEDRLECLTSQQVRYVCRREN VLQLGIPLDAATNADQVQEFKRQKLETDKAMVPDVPFDACLHEAFSPELFDGFYSSAL GRKGPASKTSRFNTFPKYLLVQMQRYYVSEDWTPKKMDVSVVVPETLDLTPFRSTGKP AHEVLLPDDTTISTRTQPDAALVAELVAMGFSENGCKRAALATANASTEVAMDWILTH MDDADFNSPIAPTAAASSSTSEPSEDLVHNLTMMGFSPVQAKCALEQTGRNADAAADW LLSHMDDLEIHVRDFERRQAATPARTSGHWESQSTAHYDLLGFVSHMGSNTHSGHYVA HIKKNGKWIFFNDAKVAVSDTPPFGAGYIYLYSRRQSPPTN H257_17298 MHVVVSLVVLLLPLVDRVTSASCANDGVLLAAYTTALAQPMSSA CARGGDWWYPTNATTQFITSYHRAYFPLPCTNSACRQDMDTAVMALKAVNCDEAQASA SAMAGVVRLCQSLSLAPKLPRCSPKQIATEVAYPAACKNVIRTSIQSIDELLGVGLNE FDGICQAPGCVEALRTAVATLPDCQVEYPREYKAGAPMSKKQVYLSYLSSFCDPTSST AKDFTSCDAKLAALYAAPFSDECNAALVGNIFFSPSRLTHYILFRALYDMYPYTTKVI ASIPSCAADLAAVISQTNGIGACAANISLAFQVLALAHSTTFTPAASSSVPICDKAQE FQLITSLHAAPPTVCTQVSPSSTAWFDVLMAPLPEMSAIAASPPCVAAAVAWAASSFP SCDMSYVANGDISTGIPVATRITRYLQAAQSLFNASTSPTKTSAAVSTIFDGGYAIYW RVLVLCLVAARS H257_17299 MKSKRQLKYKLQGDKPKLRPAPSPQLVQFEEAVRNRDLQTLVWL IDSGVVDVNQESAGGETAVMAAVAANNKQMTADELDSRHPDCRARIVPCGACGLGGIV AEHLDRHKADECKMRLVQCKYAPHGCMKHPLFAYEKNEHETMECRFRLVWCPMGCGQH VVANTVQTHPIKQCAACDSPRVPSAVASK H257_17300 MATRQHYVQVCWLVGGVAGVVGGAPCAVAGLASSLDYFRTLPVS AACGKAGPWGAAATPMDFLSTYNDDLPAACLISSCRTDFDTSASNFQAIACDEAAQLG SSLAGVRNFCRTFALTTTSPRCTDADAVDLGPLTAPCFGLVPRSTQLADVVSPDTWAS YAAGVCNATSCVTSMLNRINTLSDCYFPWSALGGAPVSPKGYYKATVNSMCSLDICTD YYWWSGQTNSYLCTWQPPTNVTGSCAASLGAIYATAVSTACATAAFNGTTSLFLLQST VLSSEYFSVAEKFAAIPTCVSDVLKVQRKLTSVANCISTELSFAINTFVQVVTSANTT TSNPLCTASESNGLLIKLNHATAVDCSVLSDKFVVWWDVLVPDQVSDLAIVIKSVACW NAAIAYANSFPTCEYVDVAKNEVATGVSAVDRFSSFLLAAQGAANPPPPPTPSRTLSP SSCFQAKNYPTYSFFNNVTLSAACVRVGPWTASAKPIDFLTSLSEFIPFACSQSACRN DIDTSASNWQSISCDEAQAFGSSLRNVYNLCKVLLVAQPGNRTCTDTDIISLGGMTSQ CFDQSTLSTNLVDIVVPVSVSSYATGLCNQTCLNSVLNRIDTLSSCEVDTWPHLGGYS MSPKVVYKAYVNAMCNLDLCNNYYWWSGQSNWYICGWSPPSNDFTACGAQLAAMYTTK FSTTCASAVFNTSSLTFVLDRAVLATDYFQKVPLLATSSSCVQDLTTLRTKLTALTSS CVPTTLSFAVATIQLVVTNLSSLSSNTTACNSSETSALAAQLARPASTYCALLSTSIS TWWDVFVPEQLSDLVRVAKSVACWSAAIAYANSFPTCEYVDVAKNEVATGISAKDRFS SFLLAAQGAANPPPPPTPSRTLSPSSCFQAKNYPTYSFFNNVTLSAACVRVGPWTASA KPTDFLTSLSEFIPFACSQSACRNDIDTSASNWQSISCDEAQAFGSSLRNVYNLCKTL TLSPGNRTCTDTDSTTLGGMTSQCFGLVPTATSLVDIVLPASVSSYATTLCNQTCLTS VLNRIDTLSECALDWPTLGGAPVVSKELYKAYVNAMCNLDLCQSVNYWYYGQSTTTYV CNWTPPSSSFSSCSSKLAALYTTPFSPACLRAAFNDSYVLVVQYVVQGNYEAHTRAMH PTCILDVAAVTNKLSAISPSSCALSLQFAFETLMTVLTSTKESFSLTSATNTTWTGVC NSTQVTALIALLDAPAPRVCSKTNPAVILTWWDVYLPFHLADLAWVGTSPSCVWEATT YLNSFPSCEWVDTLTEQAVGTSVASRVGRFLLATQKHDFEGVNPFNNTASTNVITLPT SGATCGANTAAFTYYQNVPLSSTCVGPWPATATAVDLLSSSFLPAACAQNPCRQDIAS SAANFQSIGTCNASQTTATSLTKLGTLCSNLTVTTNSTATRCTVADRATLVESVPSEC FGLVPSASSLLDLVLPVNLTVSYNRICASSSCVTAILNRIDSLPACWMTWDAMGGAPI IPKALYKSYVNAMCSLDLCVDMNYWWYYGTPTKYLCSWSSTSTTTSSSTCASKLAVAY TTPFSSSCVAAVWPNQTQPRTTYYVLQQVIQNDYFYLSRRLMNEPSCKLDVAAMATQL DSIASFTCAGSTSTNSILLGIKIIQTVLASPITPQEDLAVCTASEMAVVRDRLSLAPS SSCVSVLSNIKTWWDVYTPPELTDMATLATSPQCVSSAVVFAADLPVCEWIEPTKGEF SGVGIAVATRVTSFVLAGQSVASVQSGVAIPTSSATTCYFSSAQANMFAHFRNLPFSN ACAKAGGWNASVKPTDLLTTLKASLPLACALPSCRSDIDASATNLLYMPCNEAQVLGT AMTRLRSFCQSFALALPPALPCTDSDLVELGPLTAQCFNVVPAATSLVDIVLPSNLAT YPTCVASILGRIRTLSSCYADWPSLGQAPVSAKDIYQNYVTSFCNSENFQAANDFTSC NARVANLFATTFSDACVQAQFNGQNPTFILQSTITGTAYFSLNRRLVTTPACAKDIAT VASRLNSVGNCSTDLTLAINTYQAVSAIAYASNPALPLCSAVQTSQLMTLLSAPAATV CTFNHSGVVAVQWRDVFLPRHLADLATLATPSCVAAALTYAKTFPACEYSDRSNGDVV LGIDAATRISAYLVVAESFTNHSTTLNSNAAATWQPGWRLLGGLTIVLLLL H257_17301 MGRKSMFQAGLSTKFSSQYKTPFEDTSLDAATAATMRSERKLKL QEEVLLRQQDKIAAEIQKIEAAKKMIQRRQAREARRVAAEVNAAATVVQTAVRKHLTR RKELLAADVISLAWRQHCQRVEARRQEIEAKKAAAQCIAGSLRRHVRRRQREQGAVAV QRAGRKFLARRRAEDERLRRMAIDRQRRTAGALKIQSVFRCHVVRDTFLDIRDSVVQV QAAIRGHLCRRRRHEQMPPASGLEITPCDGEELGPEHDDDVEIDMLDQKTQVEPAIPR EALGALSPVWRRRSSVQLPKLALSPKQLSDDMSEGRMSLSDMGGSSSPSRRLQKPCRQ RRKTISVTLSPMPYKTVLVHQDQGDNSTAACRQRYHEDLRRKLLLRKEQDRLKELERQ KVLADLERELSERLSLEREEKRVRLERKFSRRRVKEASKRGRNDAIERERREMAAMER EERASRVAWKVIARRESDKVQRQLKQELLDKAAATPMNRYERKPRGPIVPAKLLQQSM VVVVTKASESTIKKTATVKKKKRQKKGFTVQTTPESNQEMDGISESTREDRVEPREED GDYWNDCAFDDLVDEAQLASLIVT H257_17301 MGRKSMFQAGLSTKFSSQYKTPFEDTSLDAATAATMRSERKLKL QEEVLLRQQDKIAAEIQKIEAAKKMIQRRQAREARRVAAEVNAAATVVQTAVRKHLTR RKELLAADVISLAWRQHCQRVEARRQEIEAKKAAAQCIAGSLRRHVRRRQREQGAVAV QRAGRKFLARRRAEDERLRRMAIDRQRRTAGALKIQSVFRCHVVRDTFLDIRDSVVQV QAAIRGHLCRRRRHEQMPPASGLEITPCDGEELGPEHDDDVEIDMLDQKTQVEPAIPR EALGALSPVWRRRSSVQLPKLALSPKQLSDDMSEGRMSLSDMGGSSSPSRRLQKPCRQ RRKTISVTLSPMPYKTVLVHQDQGDNSTAACRQRYHEDLRRKLLLRKEQDRLKELERQ KVLADLERELSERLSLEREEKRVRLERKFSRRRVKEASKRGRNDAIEVEYSMM H257_17302 MMHIQRNDVDDLVLNGLGTPELWHNLSEELQGVKVLEHPRVPKK VLIKAIRMQTIAMRCMAGEFDQLRDRLEAVEKDTAHGQKQLEKVNTKVLALEAALDGA KKRVVELEADIVKESKRIDAVEGLEGQLKNVRDELKFVDKAVENQKVEFGVFATRVEH EVVAVRAAVDATKASVVALESKMEEEVEVKVLTSEDILHGNMPLSRWFDQYADDNRRR EDILKDTSDKFAKQSRGIHDMMNDTRKALDDNTSAVEDVQRALIEKADRVKVDLIIES KYEEIIEQLQKAMTAISEDEDEFKRNCRDLQDLVQNLSASKADKKDLLEVKEQVLYDS RVRQQVENLRAFIDLKMNREDVLSALTNKADKDEMQLLLKTLSDSMYAAVSKSTSLSQ EPETAFLTKNSIHKRPGALPSLEKDRCLACNSVLASGLLNGPPPMGSTYGGGFQTAAP GYDKGKGASMMLKPPLPSLNFDSYLLGMDGHVYHGDVDAPQPAPLVQSASDLSAKLLV KVLSPNQTIDRRHERPRSSGGGR H257_17303 MASESKPSLRTMALNVQTCLDEMLPDHFRNASSGSFQQHLVAQR EKVPAQKATWKGGLNYLFESLKMHREWYGRNQQYVQLKKEEKRLEAHVVTLRSIISSS LSTLELEVGLREAELKGRVGAYKVMFEVSRVASALSRPAVKLHVHKPNDSSISVFCDT FVLDIHLTGGNGDVESASLTTVVNEVTKQYPERDADLLSCLLELISGRSERFTEKLRR LVNRAELAAKFPSTNFDELEDDLHENLQLVCAKQNQWTVKRGVDGLLLTFRDGLQCFP VPDPDASSEAWPEWRGCLTFAEWKGAVALHFLCDTALVMSAIQCRRLASLIFGMDDQD AALNELMHDSHAVVRIQPHVRSVAHASTNVMLQRVLPMVLEGTLVTKDILGGLRFDAF PIPLLRGTGEVLGQLLAVVGHSLFFHSILASFFASATNIFGRKDAVNPDVVPSKVQVQ VEISAPTTITLKLSSDAMPYETLVEVTTKENCSLAVRTCGLDTIDSSEQVEDQLGCAC HSLPLLVYFVCQPLILGHRKALMEASSNAPDVVTIDGDDIGDDNGDNDDDDMGAGMSL DDDIGLDDDSFF H257_17303 MASESKPSLRTMALNVQTCLDEMLPDHFRNASSGSFQQHLVAQR EKVPAQKATWKGGLNYLFESLKMHREWYGRNQQYVQLKKEEKRLEAHVVTLRSIISSS LSTLELEVGLREAELKGRVGAYKVMFEVSRVASALSRPAVKLHVHKPNDSSISVFCDT FVLDIHLTGGNGDVESASLTTVVNEVTKQYPERDADLLSCLLELISGRSERFTEKLRR LVNRAELAAKFPSTNFDELEDDLHENLQLVCAKQNQWTVKRGVDGLLLTFRDGLQCFP VPDPDASSEAWPEWRGCLTFAEWKGAVALHFLCDTALVMSAIQCRRLASLIFGMDDQD AALNELMHDSHAVVRIQPHVRSVAHASTNVMLQRVLPMVLEGTLVTKDILGGLRFDAF PIPLLRGTGEVLGQLLAVVGHSLFFHSILASFFASATNIFGRKDAVNPDVVPSKGTDR PSSSRYIYFSSPSASGNICADHDHTQTIK H257_17304 MLRFQDCHAGSCTFRSKASVKKATSNASTDAVQVEFESASTQTA VAVDNGSQTEYISRDCSTTHPPDEVSSAVQAFLNSAGNRMLREMKHSNQSSAFLDFEP EQDADDKHVSKVFTLTFDFFTHFKQPIDAKKPSARLKLECTGVSWNATGSVLAVAYGR FDHSGWCNYRSVLCLWNLFSADFNANKPTLVLETSSGLMCVAHHPTNPAMVAAGSFNG ELMVWNTSLEEPLVATSGIGDYFHREPITKLAWVYDTPSREYHIASVSGDGKVLLWQL KDKLSYPVEGFLLNASKCSSKTQSASVVVRGGIALAFRPNDRTNRSFIAGSEGGAVTR CFSSQTKGASFKGELKWSTNAQRLVGASPQSADVRRHVEAHAKDKKLREIRVASVFDA KPDVGALYRSALDFAFEPHGGPVYDIQYSPFHPSLFLTASSDGTVRLYNYLQKAPVVA FEVGTHYLYSVAWSKTRPLVFSVASEDGNIYVFDLKENQLHPVVTLAVDSKQHRAAVY TLDFNPRQRNFLACGDSHGLAHVWKLNWQLSNVHPTELGLLNDLADRRAL H257_17305 MSSPHATRHLIDRLRAVGLDKYVQLPQVADASTVPVERVLPTVV AETYVQLASCKDKLAILGEPMTTPAVRRATFMKNVPLMLDRLEGGVSGNYNDTFFDDT DNRLRARLQTAESEFQVAIDEVSIVDGTAALDMSTPEVASVGDFVEVFNGEWVMNAAG ETIPDPNVKWELDQVTEVETTSNEVLTAKHFKREWRDSSEWRFLPPTFANIVRQRYVV KWKRPMRALFETCQTLLLEFVTRVTGSMKCKPKLQAHLNHVAHEMLESLSTAALVELD KLIEAECDPSTLNLRLGQVLLELRTKPLIVKLDVLSGNNDATSVSVGAMKALLKRHFA EETNSNEDLLVKELHLAIAAYMPVASKRFVDEIPKLLNTKFIQPFLSAVRNEASETSD QVLGQVLVDDVADVEQYETLSNQLKTLEAANTLINAHLFPSPY H257_17306 MLVAKSSQHETRHLIDQLRAVGLDKYIELPQIAVMGDTSSGKSS VLSALSGITFPSSGNLTTRCPTQLILTQSKKFSGTVRLLRFDPAVESLPPTPIHSIDE VTTYIESITQQLVDEGQSISDDAIEIKLHGPDFPDLTLTDLPGLVRSVGDHEDKAMIS RVDKLVHRYLVQDRTVILAVVPANVDMHNTAIIQAAEEADPDGVRTISIITKPDLIDQ GAEDAVVDLLLNRTKYRRLGYHVVKCRGQKALNNNESIQQGRDNEAAFFDSHAVWSTV DKSLCGTGRLAEKLTRLLMDTVCKALPKVVAEIDVQLAACKDKLAILGEPMSTPAVRR ATFMKNVRLVLDRLEGGVSGNYNDTLFEDTEQNNRLRAQLRTAESEFQAAIEELSVVH AATVDTSTREVVVGDFVEVSIDGDVEWEVDQVTDVDGPTIVKTAKWRFLPELDLSDLK HLMTSNRGDELPIFLSYSTFANVVRQRYVSKWRSPMLQLFETYQTLLRKFATRVIHST KCKPKLEQHLKQVAYTILEHLSNVAMVELDKIMETESRPYTLNQQLSQVLMRLRTQPL IDGLDALSRNNDANTVQAGAVKALLQSHTGIGKNTNEDQQAKELHLAIVAYMTVASKR FVDEIPMLLNTKYIRPFLSAMFNETSETPDGVLDRVLMDNMADVERYQTLANQLKSLE AAKTLINAH H257_17307 MQQLVGRRDQQRLERLVQRHTRHPIRVRSTEHFDKHAVHVFHQP QRSHHEHAKVRRLNKLGVFECLKDREHVQANVVGRSLSLGSQILLLLCDLSWCTHTAN VLGTVDTKGPGTGVPLRSVGGEYVDIDAKVCREEPTKHIASNLYRRFGIRGRWLGRRS RKHQEPELPSTWCPFPPSSAFRIGASLSHVDKLVMGLVIFVREARVTIRLWMKLGNCG MEDVGTVPRANCRRLRCIHQS H257_17308 MLTCTACREEFATYELQKEHFKLDWHRYNLKRKVVGLPPVSVEQ FFARKADGAPQKVEEPVLQAFKCHNCNKSFSNVKAVDNHKATKKHQVAVKKADNVETI TSKVIAKAVEDAPVDEEAATTEDDDEGEDVDLNIQHCMFCSFEADSLETNLTHMQKSH GFFIPDLEYLEDLEGFVTYLVEKVKLGHVCLYCNGKGKAFRTFQDCQKHMIDLSHCKL AYEEGVDLHEFDDFYDFTASYDGVVAAKQTSATAHGDDDEWEDVEEDEEEEDELAGLE TISISDTGNMVLPDGRQIGQRELRRYYKQRHRPEEARDSVLAQTKERLLLCYQLAGID MNSTTLSVSYANKFLSRRTGNIAEARNIQESKSVRHKFQKHRERLETRSHKLQKHPNR KEMVTV H257_17309 MMWRQLARSSAAMARPSNSRFFSAHGDIPRGAFAKVTDSDIAHF RSVCSGVLTDADEVAPFNSDWLNKYHGHSSVVLRPKTTQEVSDILKYCNDRRLAVVPQ GGNTGLVGGSVPVHDEVILSTGNMNVIESFDDVSGIVVCQAGCILETLDTHVGKSGYM MPLDLGAKGTCQIGGNASTNAGGLRLLRYGSLHGSILGIEAVLADGTIVDCLSTMRKD NTGYDLKQLFIGSEGTLGVITKLSVLTPPRPASINVALVGCNSFDAVQKTYVAARRHL GEILSAVEFMDRASLDMVLRSQSTLVDPLETACPFYVLLETAGSRADHDMDKLDAYLA HVLESGDVVDGTVAQDAHQARKLFGIREDITLALSATGYVYKYDVSVPMDAYYTIVED TRNHLKAFDDVQVVGYGHLGDGNMHLNISTPTYNSQVMAAIEPFVFEWTAARRGSISA EHGIGTHKPAFLHLSKVKNAINMMHQMKALYDPRGILNPYKVLPNVHQD H257_17310 MAGSSTFLLRALEATIVLMEGLLLPLEVFLLLLFKSTPLAYTND QSTVHHFPLESSHDISGGGKQSESYMKSRAPESKMSALPLLRRAMTWEEKFAQYVTDI GSPTRSMWSDPSAAAVLVRGPTYLNDSIKIRTGPSFGKLVHVDVWKATAARPGRIDHI AELDLARPISILRYFQQEHTADTVFVLNFQVPGTPFVHVVCYWLVSATDIARHGPFAL LWTQFRAAIDANTPAFCNDRFKLIPALVAAPWLVKVTVPQKPALVGKKLTQRYHSGRN YIEVDMDIPSSTIAANVVGLCRGYATQVDVDLHVTLQGDSPAELPENIFASVRFSKLD FAHAQDVPTY H257_17311 MGDDEPQCRYCFGDEIDGPLISPCSCAGGQKFVHLACLRRWQRM VLVAQPTHPAFYTDDKRHHICNVCLARYSCPPPSRAELMESFTGPEIAALIDEGRLIA ASPQFSDMLAADRNETDARLRRRGDDSYDFWFHGVYLITSVKEDTGELELPLTSSDKL ESVRRQLTRDNASNEVSIDVQGTRFLLAPKGSLADVSPSDLGTALDALCAPATLVLVP STPLSCGDDHVAAVCISRLLAPASTRLQRAEAAVERATSRLRQHYSNVDGALVVQHYA GGPCDQYAVSACLVRGGTGWTVLTDLDEAVALAYRRRESTRHGDVSSGLGVRLCGLQS RPDLNGLVGMALRFDDKAQRWEVRVAGTGEGIKLKPINITLLETEPVHLWVFWGDAQW TRAQLLGEIARGSWGLCRADIEDLLVPVGERWNQLKASNRLAFAPVSEMSEDYIRHAT EEMQHARVNALAVIEATREGGSADDQ H257_17312 MEEPKVEAAEREMEVSSLAQLVLDISCSFLQIGKSTRLTGDDGD FYAVEAEALGLEVDVLRQFIQESHLRVLRVLRRDSGDGVKLSLESGEKDKPKAKRQKV PTFCLIKTLKTREKLTQGAPLNSQLQLVTLGTEDIGTLVTFVQHSFAPLLQAQEGHED DTGMSSQNKRMPLIRKRLKELEVAMVQFQNNVEIPDVDLKIHPDIQVAADAWRNSKQT GSIDVDALGFTDRLNDTGFLNEIQAGVNRWIKEIQKVTTLVHEPVATSATQEVNFWCD LHRALLATQTKLTSAEVEITLAILKQAKRYLVTVTFAADHGLGGALKTVASVMNLMKD FSLHAILSATDIPQITVGINAVYAQLKKVRLADEYKLSRVLSLVELVSTDVSVQLSTV LRTTNLFQIAFDQFDAIATHCHDLFLTWHRQHHAFHELVKDLSKRRGTAATDKVRSLA EMQLDHLAIEERMKDLHEFRQQHDRLRVVIHRVLAKTPDAATSEDMLGDIHGAYMQCT SSVDVFDVSVDGSDAWKQARKTYDLCIDRVEGSIIHSLTSRLHSTSTADDMFRVFSKY NPLFFRPRIRQAVQQFQMRLIENVKEDVTDLQAKFRAHYTYSEASRMSKLRDIPPIAG AVMWSKQIERKLHMLLSRVESVLGKGWEQHVEGKALKQVSDAFLTKLDAQVIFDHWVQ ELVSVPTFDVRNIVLNIVSKQHQQPLPATLEHDDGVDRDLSVAFNHQIVTLFKEVRNL EWLGYRVPFTLKMIAEDAKDKYPHAMSLDASLKAYASVCKRIKPAFETLCASFVRQVR TTIAKTFTKDNEIRWHADHLAEYALDLATKVELLCDKVDELGRKQLEIESHVDAMRRT GGDPSLQASLERIQATVDELNLAGYSNLPVFVKQLNHTIGTVLAERLERELRHWVYAF TTDSHPVDHDHFNNVVTAHQVLLQNQQLVLQPSVDQARSQWLAQLERMVAAVCTLDKI NSATYDAFNKPAAASASSSPVRRRQKRKDQCFQDVLALLPRGLLLEAYETLLHKLHLM DQYVHTWLQYQALWDMDAQRVIASVGDQDLAKWEQLVVEIKRERTMFDSVATTKCFGP MSVHFHQVQAQVNVKYDLWHTEFLTFFGDMLSAHIAAFYAQVSTKRTTLEQHTLEAAT SHVVATVTMVQDLRAHAVTWQHQMDAFSSGEKVLKRQRFKFPSTWPELGNVQGEWAAF LQLLQRKTDAMESQLPQLQAKIIDEHASLVAKIHATIADWDASKPLQGGVVAPKVALE RLVVFDSRLALLAHESQQMDAARRALNLVGGRQHDDNMMTDDKTGLQRTMDELTGLRE VWEQLAAVSARLDELKDTLWSAIQPRKLRKHLDDVLDMLKAFPARMQQYEAFEFFMAT VQAYKAMNPLLGELKSDSIRERHWKQILRLLQVTSSFTELSLRHLWDAKLLTVHDASV KDIIRTAQGEMALDEFVRQVSEHWTTFALDLVNYQNRCRIIKGWDDMFAKLDEHLNSL QSMKQSPYYRVFAEQAESWEDRLTKVQSIFDYWIDVQRRWVYLEGIFFGSADIKQQLP KEYTRFQSVDNEFVSTMKRVSHKPLILDVAGIPNLYQSLERQQDMMGSIQRALGDYLE RQRAAFPRFYFVGDEDLLEMIGNSKEPKQIQRHLSKMFAGIASFDMSDVANPNLITGL VSREGELVRLRDPVDPHAHDARINVWLALVEAQMRTTLAALLEDAVAQMADAGVNYID WIELFPAQIVLLATQIQWTVQMESVLKANSSDFSGVTAHVERGLHILSEKVLFDLPHE TRKKYEQVITELVHQRDVSRRLSKAPTLSGPHDFQWLYHMRHYMYPDQVDPVQKLRIC VANAQFSYGFEYLGVGERLVHTPLTERCYLTLTQALHFGMGGNPFGPAGTGKTESVKA LGMTLGRFVLVFNCDENFDFQAMGRIFVGLCQVGAWGCFDEFNRLEERVLSAVSQQIL TIQAGLSTQKKQHTDGAKTTRIELVGKSVLLHPDVGIFVTMNPGYAGRSNLPDNLKQL FRSIAMVAPDRELIAQVMLFAQGITTAEFMATKVVLLFNLCHDQLSKQPHYDFGLRAL KSVLVSAGHVKRTLTAAATSTSLTTIEAEALIRSICDTVVPKLVASDVSIFETLLRGV FPGTDLHPVNEPALRAKIVEVATRRQFVPHDKWVEKALQLYQVMQLRHGVMLVGQTGM GKTSAWTVLLDALELVDGKKGDAYVIDPKAISKDHLYGTLDNTTLEWSDGIFTHVLRQ VLNNVRGESDKRHWIVFDGDVDPEWAENLNSVLDDNRLLTLPSGERLEIPPNVWIIME TETLQYATLATVSRCGMVWFAHDTVETCHLIDHLVGKIARDTSLRSFYSMQDDEWATF QAAQATYLDLVRGVLSSSSGLVTTCLDFALSLPHIMQVDRLRLLVSMFSLVSKGLVHI AEYTEVHTDFSMSGPHLQAFALKWLVFSILWGFGGSLDNPNRVKLAQFLTSINTTIPL PTLPTDTTLLDFEVQVKDGEWKLWSYSVPTIDLDSHRVLSTDVVVATVDTIRHVEVLR GWLHQHRPLILCGPPGSGKTMTLTSTLNSLPEFELASLNFSSGTTPDLILKTFGQYCV YKKTPQGTVLAPHTPGKWLVVFCDEINLPQADKYGTQRVITFLRQLVEQGGFWKDKLW VHLERIQFVGACNPPTDPGRVPLSSRFLRHAPVLFVDFPAYSSLKQIYGTFNRALLKL TPSLKAYHQPLTDAMVEVYMRNQTQFTAEAQPHYIYSPRELSRWTRALYEAIEPLEFE MDISTLVKLVFHESLRLFMDRLVTPADQAWCAQTSKDVLCHHFAGASASMALLEKDHH PILFTTWLSKFYAQATTHDLRKHIEARLRVFYEEELNVPLVVFDSVIDHVLRIDRVLR QPLGHLLLVGESGAGKTVLSRFVSWMNGMSVFQIKLTTHYTLANFDDDLRIVLKRCGC DGERICFIFDESNALDAAFLERMNALLASGEVPGLFEDEEHVALMHACREAVRRDNIT SVDSTDDDDLFKYFTSQVQRNLHVVFTMNPASGDFQSRSKTSPALFNRCVVDWFGTWS DHALAQVAHEFTTALDLGHQEQTYDMDDMGEGWAAIGGSAFHKAIVASVVQFHHAVLH TMQRLAKRHAKHNHISPRDYLEFIRHFVTLYGTKRAQLEDQQLHLNVGVDKLVATHNQ VADLQLQLSAKDRELREKDAQANEKLQLMVVEQNEAQLKKKNTEVLAADLALQDDEIS KRKLVVEKDLSEAEPALLEAQSSVNSIRKAQLDELRALARPPNAVRLTLEAVAIMLGE SSLDWTDLRKFIRKDDFIASVVHFDSDKLTAKQRQTIQKDYMSKGDEFDYEKVQRASK ACGPLFKWVVSQLNYTEILHKIQPLRHEVRTLEDQSAGLRVELQEATATIQLLEQRIQ NYKQEYAALISQAQVISADMASVKKKVERSVSLLSNLVVERERWENGSKQFESQMETL VGDTLVSAGFLTYLGFLDHQQRQLLVQDWRDILHTMHIPSTPGLSFIEYLSPPSQRLA WTAADLPSDSLCVENAIILTRFHRFPLIIDPSGQAARFMVNYFSHQSSTITQTSFLDS SFMKILGSAIRFGTALLVHDVDTIDPILNPVLNRELYKTGGRVLIRLAGEEIDYSPEF RLFLLTRDPSCRFSPDICSRVTFVNFTVTPSSLESQSLALLLKSEEPDVDAKRSSLLK LQGEYFAKLRDLEDALLHQINGVQGNILDDDRVIVALETMKAESADISQHVHDTQVIM DTIEQATARFQPLARACTQLFFTLETLGQVHFLYQYSLPYFLGILTDVLRATQTTTTK PRLDDIAHRLFTHIARRVSKGMLQQDKLMFGLRLAHIYVELYDGLPSEAEMDVFYHRS MLAPTTTLPALAIPTDTADRLARLASPSSPFAAALAAHVELNQPEWEAFLTHAAPELH VPAALWGDAKSAFRDLCLVSTTRPDRLPFAAEKYIYHVFNDPSFPWRGDVEFRIQVEQ ETDSSRGILLCSTSGFDASGRVDELADALHKKLSSVAMGSAEGFDAADKALNTAIKHG HWLLLRNVHLCPSWLVTVEKKLYSVRESAHKDFRLFLTSEIHPHLPVNLVRLCDVFVF EPPSGVKASMQRSLEDVPADRINQSPTERGRLFLVLAWFHAIVQERLRYVPIGWSKAY EFSQSDFKGACDVVDYWVDSVGGDRRAHISPDAIPWTAIKVILKESLYGGRVDNSYDQ QLMDTLLDNVFNAHTYETNYPLVHPSSSNQDADDGVVIPSGKTKEQFTDWIASLPNSN PPSWLGLPSSVETMLVINQGHRTLRHLQLLQDGLDSVADDIDENGDETTTLFAGGGAA AAWIQALHRKVTTWLAQLPRSSAILVKTDDDAAFANPVYRCLHREVELANKLLANVTS LLEYIDGVCSNALKPTSAVRDAMRSLHQDQLPSDWRTSYAIPPHISLHEWLADFSKRV AQLGHLMSLPLADVLSQRIWIGGLFAPEAFITATRQVVSLDLHCALDELELVASVHNE ANHDSGGGFNVSGFHIQGIQWTQSGGFAATDALESPLDTLYLSWRVALDDVPTLRKLP VYLNAQRLVMLFEVAVDVPPSTLLSDHIWAERAVALTAWKL H257_17313 MSDAAPPSGDADGSAVTNSNSAALHVIDQYVEDGVLTAAAAAIH KERYSKLSSFVLETYKREKELLKKAKQLNGELLSEKIRLEKQSIRKSEELAMNTNLEK EKDKASKELADCVDRETILTYEITELQREHAELLARKEAMLRENARQVEPEVRKITDD IARLADEATRTESDIHKEERRKGEMLARCDVLRVSNDGLDVARAAEKKVLAKLKGDPE RISKQADVVAKAVENLDGELKRIKAKLGDVDMALAAQDAKRKDADEVQKDLSHKLDVH RDTIDQRQRDVDHVNMLLAEEKHSHTVRLGEKAKLEMDQRTAEANIRRESERQASLQK EFDRVKKVLKKKQVAADTSKGLLPNMQVQVSDAEHQVRALQSDNKKMVADQADLKQDV DVLIARMFKMDGVEARHVEELEALTARVAELEEELSQWIAEEGKQHKIITLLSAQREM KARAASSAVDHEKEIHQQLKMKELVILDFAKKCNETNNRLKEFSALYDIVKNERNKYV NLIQASSQALAEMKEKIKILHNEVEVLRNESLAKDKALTKERLAHQTAQCSRDSLRLD TNKCHELYRVKQEQVEQQIVQIDKLNSIINMTEKDMLRLKKKYEVAVEARNSTGVHLI DRNDELCILYEKSNIQAQTLTDGELAIQEKDQMSRMLTIKLLDLQRQIETTRNKVPLV PEYASRVLDMQNQLKQEQVVTDMMCRDLETPQNADRWRPLEGDDPDEDQLGAKLAFLD ERYKIKKEQLLEKELVLEEVTNLSDKLRAQASEGRGDTLQLAKKVNTFQVKIKDTTRK MMATVSELSMFQATAMKLQQEKHDRQLELEESIWNFENGNAPNAKCEQEWYRRESHRL ESQRMAKQHQNNHTAHMITRTTADPRPNAYVPDELGIPKPYGQAAPFKPTLSGSTMRH IRPPQRRDIEI H257_17314 MSTIASTNPKIQHVMSRYHDVAGMGFICDNVKIIEEDAKSFDID LEPCPDRFKESPKYVRRKYLKSIHVPDVYTRVLLSTKLPSPSSQ H257_17315 MAKHDFMTPKAVANRQKSKGLQRLRWYCQVCEKQCRDENGFKAH TSSDSHQRQMLIVASNPTKFIQGYSEQFERSFLENLRRSHTTKRVSAHVVYNEYIRDK NHVHMNATRWTTLSGFVQYLGKTGKCVVDETEKGWFIQYINNDPVAMARQEELKRKRQ ALLDHEERNRRFIQAQVKEAQAKFGQDDDENKPAHQPRVEKVSMSLKLESKSKLASVE SKKSIQSAFGQDVDDKSGKDGAPSSSLDHETSWKGGKRSAVDAIMEEETRKRLRRDED DERANRLDHWIQPGLVVKVMDKDVGDGAYYKQKGLVVRVHDTYVAEVRMLESRDVLRL DQVCSLECACGCCHDDGVGRLGNGHPARGTSCARRQRHRARCARDARVHRRTRVLRGN STHIRPEKGSDVAPSRIRRHL H257_17316 MMKRTADCLFSFLDSTGQLDRYNDDFLSNPPKKSKPTSLGKKRA QEEMDNFLWELELLQVEAQRTKLLVFTCERELEAYATLHDEIDKSILTVTSDIDRLKG VVANEKIIRSYKEEYETAARVVNENASAKQSAEVVEGLEDQLRSSTEALQAITDRIDL KSKEVALLLRAIRDLESDHRDPSDEGNNTPDQDGKDDPEQDAEYESKHTDEKQAHVAA EASPLQEADDDAAPEASPPPPSSSKSSSKSHRHRHRHDKPSSS H257_17317 MRNLLASSSDLYFSASCTMRSMSSLLKRPLSFVIVILFSLPVDF SIADTFKIPFASMSNVTSTCGTPRGMGGIPSNQRKLAQQVVVARHRALAFKHLDQHTR LVVRVRRKRLRLLRRHRRVALDQRRHHTAGRFQPERQRRHVQQQQVLQLLRAVVARQD RGLHGRAERHGFVGVDRLTRLLAVEEVRQQLLHLGDTGRPAHKHHFVHLALGQLRVAQ HLLHRLHRLAEVVTAHVFETRAGDRAVEINAVEQRVDFNVRLCRRRQRALGALAGRAQ TAEGTLVRGHVLAVLTLELSREVVHQTVVEIFTTQVRVTGSCLHFKDAFFDRQQRHIK GPAAQVENQHVAFATLLVQTVRDGGGRGFVDDTQHVQASNGTGVLGRLALRVVKVRRH RDDGVVHRGTDKRFRHFLHLDQHHRRDFFGLERLGFALELDRDLRLVAGTWRHLERPV LDVGLDHRVVELAADQTLGVEHRVGRVHRHLVLGGVTDQALAVRECHVRRGRAVTLVV GNDFHAVILPYTHAGIGRAEIDTDTCALDCSHDCLFTQIK H257_17318 MKHPLFAYEKNEHETMECRFRLVWCPMGCGQHVVANTVQTHQAV CGMRFSTCSLGCGVEMREKDRLDHEQFDCLYHKK H257_17319 MRGILNLCELFHLALTPPKCLPTDHVSTPQLPVGCHYNPECFAR LFMSPPIQALHQQNHARLSRSGNVLYEAAIHEYFQLSSIVAKTPECKNDVVDIATALA DVCSCAANLTGLFPRPGVQCDGTTRHFSPGKSPAGPACIMINPDVDWFDWVMPHKLAS VGSAPPACVTAALAIVKNSFPKCEFVYADYGGTSDGGRVDVATYFSSV H257_17320 MAITEGSPSTNENAPSRVRVLLSPAHDGDPASPDGSASSGGAVK TAPMDGPSPGQVATVTSMNPLSKDFQRVRYLLQASLPGFVVHDDVMIWDMKNPTLHTQ YEQQTAGLLEVDSWVSVEDLGPSMAQMYTYGFTSMDSTQHHGMKFTTGNIVMDNPGKT GKKQFVLCKVAAGRSLPIEREEDAAIRLPQGYHSHYLVQPPSSTERDPYYHEYIVPSS HQILPQFLVRFTYSAVESKPAPICALCEKQAASIHCPACDADLCPTCDTTVHSANKLA GRHKRSPLVTASAAGEPPVLLSLPPVPCRLVCCHVIVLISFFDISTVIL H257_17321 MCGIPVCVHCKMVGDHSAGDKGGHRLVSLLDAYEQSIKESAKPD PLIETRKAMIGTKLKQIRDRVMDIAANRQEVEVQVRRSMDVVLARLEEEAKAKMDLLR CEELELTRQVQQVEWADAFLASQRCQLAPVEFLAAWHLHKPLRVEQREFPVVHLASAE SVKPDIQLLGRMQVVSGDGSGSHVLEGNDDDEGKRRDEEQHQVGGHVMSPKGKRIIED VKNDLLKGGGGSRSPQKKGSLTTRAPSNPTNDKKDVWSTQLRREIGLDDATKPETNDV E H257_17322 MSGVQGASVGIDLGTTYSCVGVWQNDRVEIIANDQGNRTTPSYV AFTDSERLIGDAAKNQVAMNPTNTVFDAKRLIGRKFNDSVIQADIKHWPFKVTPGAGD KPQITVEFKGETKTFQPEEISSMVLIKMKEVSEAFIGSTVNNAVITVPAYFNDSQRQA TKDAGAIAGLNVLRIINEPTAAAIAYGLDKKGGERNVLIFDLGGGTFDVSLLTIEEGI FEVKATAGDTHLGGEDFDNRLVDHFTAEFKRKHRKDMTANQRALRRLRTACERAKRTL SSSAQAYIEIDSLFDGIDFNSTITRARFEDMCGDYFRKTMEPVEKVLRDSKLSKSQVH EVVLVGGSTRIPKPWQAAILSGNDSSEKLQDLLLLDVTPLLLTLETAGGVMATLIQRN TTVPTKKSQTFSTCANNQSGVLIQVFRWLDGIPPMPRGVPHVDVTFDIDANGILNVSA IEKSTGKENKITITNDKGRLSKDDIERMVQEAEKYKSEDEANKLRIEAKNGLENYAYN LRNTLNDEKLQGKLDEADKKVVDDKVTETINWLDANQSAEKEEYEAKQKELEGVANPI MQKLYAAGGGAPGGVPDFGAAGGAPPPAASAQGPKIEEVD H257_17323 MKQRVDFCKAILNDYSKHNDNALYNVVHIEEKWFYITKICRRFY LWHDEENMPRHVQSKSHITKVMFMVVVARPRSDWDGKLGCWPLVVGVHSRPTNKRESA SRRTCHDDGQRHEAGLPFVIPSIKEKWRWLTDDNEGVVYLQQDNARVHVAADGPTVVA ATEYATFRIQVRNPPPQSPDLNVLDLGVFNSIQAFQQTMECKIIDDLGLAIELSFDEL APMILGKTFATLHRVIKAVLHANGGNSYKTPRSKDTDDDMINLELLDQRIEEESRLDE LYDLVNSTVV H257_17324 MATNSKLSGVSQFGLTSLAPTATQHHLSEGCDYSSAARFQRPLV DCIEHLATWPCDGACADVKSRMTNCGRTKSYTVSEIEQRIKATRLAQRQTYRALGAAI EVSTWTIWNFIQSKWVTRRSNWTKPRLKPE H257_17325 MAPADVYRVFVSKDYMKFNAAHFIAFKGFRERLHGHNYRMSVTI TGDRIGHDGYLVDFGDIKKVAREVCRELNEHFLVPLKSDVLKIDVNAETVQLVTEDGK SFSFPRGDCALLPIVHSSAEELARYLMDVLLERFTMAQMKARHATKIQVSIAEAENQL ASVDRTLDYGDLSP H257_17325 MAPADVYRVFVSKDYMKFNAAHFIAFKGFRERLHGHNYRMSVTI TGDRIGHDGYLVDFGDIKKVAREVCRELNEHFLVPLKSDVLKIDVNAETVQLVTEDGK SFSFPRGDCALLPIVHSSAEELARYLVETKANMIARCWDSWYIFL H257_17326 MVVDRVAFSEESEQCLEELLRDGLQLPSAQTQFFRGRRTKKKVE KAFDGLTALGFSKELIEAGLSHAHDLSGALQYLCLYYSSADLPSSFRSYAYKAATQTC DGESKPQLTFVPAASTSSSTIVLPDKDKSDVQEVVSSPPPVEPSVAEALPREADNAKA WTLQYLNSMQDDDETLSPDATKRQVEMDLAHATAQLKGLKKKRKHEIPHWTSEIRRLK AELAALTTVDPPVPSISPTATTIPAVAVHVADEHDDDDEGFGLGMLSMLEASSPAPVI MTAAATLPPPIDVVMPAKWTGKSPRVQLQEYCHKQKWPVPMYTKSAGSTPTRHRMDVL IKRRGTLPALTICLDDPGGAYSTADAAKDAVATRALYVLVPHLPLYRGLPPYYRDMWI TWVRQVQAHEAQAKSSTVATKAQTVDALFDLVDTLSDVHDQHSSQRRAPPKEQPVDDT YTVAESWDSEEEKEKEAIKADPRHKASRHALEQLVRTDAYQAMLKDRRALPIASFEDE IVATARQTRVLLVSGETGSGKSTQVPHFLLRDMLKQSSHDMIVCTQPRRIAAIGVAER VAKEMGQVVGQGLVGYHIRFEAKQSRDHCRLLFCTTGILLRLMQSNPTLRGIRYVIVD EIHERDVHTDVLLGLLRRVLASTSHVRIVLMSATLHADMFLSYFADARVVAVPGRLFP VHTLYLEDAIETTQHVVFDGSRCCRRRTDAESRYNLHVSGRGGRVSTQVLTWRDADVV NHRPLPSSSPTPRDIPSREGYSDHTLAMMDKVDASVINYDLIQDLVEHLVLKSASTNH HGAILIFLSGRGEIRTLVDQLRGHRRLSGACVFVPVHASLSSEDQHRVFTPAPPHQTK VIVSTNIAETSLTIDDVTVVIDAGRVKHMRHDAKTHTSYLTEGWISQANAKQRAGRAG RVRAGTCYRLYPRDLFEHGMSAQPVAEIHRAPLTGLTLQLHALLQTQEAGSVDRFWTD MLEPPSSQAVEDATSELVQLGALANSNPLSDDDADDTSTNLMTLTPLGQHLAQLPLDA RIGKMLLFASIFGVSRPVSIVAAILESKSLFVASNGHQAAVDAARRGFATLNSDLLTD LAAFLAWEDTRQDGAFCQKHCLHRVGLVEVQHLAASFERLLVDLGFVQPTTSRQPTKP VDMVVVAAVVAAGLYPNVVFVDKSTSSSTTTSRLTFWDRRKQVYMHPSSINCGVPISS AYLGYHIKLVTSSKVYLPVSSAVTPLALALFGGHLEYPWTTFLDRTSHATVDQWIQLP CAGRTVMLVTELRRRLADLLQRKLATPSAMEPQDRALVDAVIRLWRDEGAQITCAPNS H257_17326 MVVDRVAFSEESEQCLEELLRDGLQLPSAQTQFFRGRRTKKKVE KAFDGLTALGFSKELIEAGLSHAHDLSGALQYLCLYYSSADLPSSFRSYAYKAATQTC DGESKPQLTFVPAASTSSSTIVLPDKDKSDVQEVVSSPPPVEPSVAEALPREADNAKA WTLQYLNSMQDDDETLSPDATKRQVEMDLAHATAQLKGLKKKRKHEIPHWTSEIRRLK AELAALTTVDPPVPSISPTATTIPAVAVHVADEHDDDDEGFGLGMLSMLEASSPAPVI MTAAATLPPPIDVVMPAKWTGKSPRVQLQEYCHKQKWPVPMYTKSAGSTPTRHRMDVL IKRRGTLPALTICLDDPGGAYSTADAAKDAVATRALYVLVPHLPLYRGLPPYYRDMWI TWVRQVQAHEAQAKSSTVATKAQTVDALFDLVDTLSDVHDQHSSQRRAPPKEQPVDDT YTVAESWDSEEEKEKEAIKADPRHKASRHALEQLVRTDAYQAMLKDRRALPIASFEDE IVATARQTRVLLVSGETGSGKSTQVPHFLLRDMLKQSSHDMIVCTQPRRIAAIGVAER VAKEMGQVVGQGLVGYHIRFEAKQSRDHCRLLFCTTGILLRLMQSNPTLRGIRYVIVD EIHERDVHTDVLLGLLRRVLASTSHVRIVLMSATLHADMFLSYFADARVVAVPGRLFP VHTLYLEDAIETTQHVVFDGSRCCRRRTDAESRYNLHVSGRGGRVSTQVLTWRDADVV NHRPLPSSSPTPRDIPSREGYSDHTLAMMDKVDASVINYDLIQDLVEHLVLKSASTNH HGAILIFLSGRGEIRTLVDQLRGHRRLSGACVFVPVHASLSSEDQHRVFTPAPPHQTK VIVSTNIAETSLTIDDVTVVIDAGRVKHMRHDAKTHTSYLTEGWISQANAKQRAGRAG RVRAGTCYRLYPRDLFEHGMSAQPVAEIHRAPLTGLTLQLHALLQTQEAGSVDRFWTD MLEPPSSQAVEDATSELVQLGALANSNPLSDDDADDTSTNLMTLTPLGQHLAQLPLDA RIGKMLLFASIFGVSRPVSIVAAILESKSLFVASNGHQAAVDAARRGFATLNSDLLTD LAAFLAWEDTRQDGAFCQKHCLHRVGLVEVQHLAASFERLLVDLGFVQPTTSRQPTKP VDMVVVAAVVAAGLYPNVVFVDKSTSSSTTTSRLTFWDRRKQVYMHPSSINCGVPISS AYLGYHIKLVTSSKVYLPVSSAVTPLALALFGGHLEYPWTTFLDRTSHATVDQWIQLP CAGRTVMLVTELRRRLADLLQRTTALDRYGPCLWIYIYHGIV H257_17326 MQDDDETLSPDATKRQVEMDLAHATAQLKGLKKKRKHEIPHWTS EIRRLKAELAALTTVDPPVPSISPTATTIPAVAVHVADEHDDDDEGFGLGMLSMLEAS SPAPVIMTAAATLPPPIDVVMPAKWTGKSPRVQLQEYCHKQKWPVPMYTKSAGSTPTR HRMDVLIKRRGTLPALTICLDDPGGAYSTADAAKDAVATRALYVLVPHLPLYRGLPPY YRDMWITWVRQVQAHEAQAKSSTVATKAQTVDALFDLVDTLSDVHDQHSSQRRAPPKE QPVDDTYTVAESWDSEEEKEKEAIKADPRHKASRHALEQLVRTDAYQAMLKDRRALPI ASFEDEIVATARQTRVLLVSGETGSGKSTQVPHFLLRDMLKQSSHDMIVCTQPRRIAA IGVAERVAKEMGQVVGQGLVGYHIRFEAKQSRDHCRLLFCTTGILLRLMQSNPTLRGI RYVIVDEIHERDVHTDVLLGLLRRVLASTSHVRIVLMSATLHADMFLSYFADARVVAV PGRLFPVHTLYLEDAIETTQHVVFDGSRCCRRRTDAESRYNLHVSGRGGRVSTQVLTW RDADVVNHRPLPSSSPTPRDIPSREGYSDHTLAMMDKVDASVINYDLIQDLVEHLVLK SASTNHHGAILIFLSGRGEIRTLVDQLRGHRRLSGACVFVPVHASLSSEDQHRVFTPA PPHQTKVIVSTNIAETSLTIDDVTVVIDAGRVKHMRHDAKTHTSYLTEGWISQANAKQ RAGRAGRVRAGTCYRLYPRDLFEHGMSAQPVAEIHRAPLTGLTLQLHALLQTQEAGSV DRFWTDMLEPPSSQAVEDATSELVQLGALANSNPLSDDDADDTSTNLMTLTPLGQHLA QLPLDARIGKMLLFASIFGVSRPVSIVAAILESKSLFVASNGHQAAVDAARRGFATLN SDLLTDLAAFLAWEDTRQDGAFCQKHCLHRVGLVEVQHLAASFERLLVDLGFVQPTTS RQPTKPVDMVVVAAVVAAGLYPNVVFVDKSTSSSTTTSRLTFWDRRKQVYMHPSSINC GVPISSAYLGYHIKLVTSSKVYLPVSSAVTPLALALFGGHLEYPWTTFLDRTSHATVD QWIQLPCAGRTVMLVTELRRRLADLLQRKLATPSAMEPQDRALVDAVIRLWRDEGAQI TCAPNS H257_17327 MGSSADVLRRWSPILAPFFTVTTLIICIVITKTKDIYVGSLAWP YFSDMGRDDPAYYVFATGLCLTAIFLALTWTFNFRHQATVLAHPEAKATPSLLRCSFA ASTMGAVATIGLPILSIYRVSYDHPEIHNYAAYFFFVFQAAAVFLNTYVTRRILHTSP TPKIFRTTWRIQVVFASLFLIAFILYIPVGLAIVCPFERLTVVKVCSHCFQSTTLTRV WGGSASQKAWGRTTAQRPSASTRPIPNCTTTATAAPSTNFAPPRSWCAFSRWWGTRCP SQHTRTTTPSQPFSPHHLRRTCNGRG H257_17327 MGSSADVLRRWSPILAPFFTVTTLIICIVITKTKDIYVGSLAWP YFSDMGRDDPAYYVFATGLCLTAIFLALTWTFNFRHQATVLAHPEAKATPSLLRCSFA ASTMGAVATIGLPILSIYRVSYDHPEIHNYAAYFFFVFQAAAVFLNTYVTRRILHTSP TPKIFRTTWRIQVVFASLFLIAFILYIPVGLAIVCPFERLTVVKCLTEGLGTDYCATT IGFDATYTKLYDYSNCGAINQLRSAAQLVCILTLVGYALSFATHKDDDAVPALLSAPS PTNV H257_17327 MCSPRACVSQRSFLRSRGASIPSLSIPSYRRCFRTFNFRHQATV LAHPEAKATPSLLRCSFAASTMGAVATIGLPILSIYRVSYDHPEIHNYAAYFFFVFQA AAVFLNTYVTRRILHTSPTPKIFRTTWRIQVVFASLFLIAFILYIPVGLAIVCPFERL TVVKCLTEGLGTDYCATTIGFDATYTKLYDYSNCGAINQLRSAAQLVCILTLVGYALS FATHKDDDAVPALLSAPSPTNV H257_17327 MCSPRACVSQRSFLRSRGASIPSLSIPSYRRCFRTFNFRHQATV LAHPEAKATPSLLRCSFAASTMGAVATIGLPILSIYRVSYDHPEIHNYAAYFFFVFQA AAVFLNTYVTRRILHTSPTPKIFRTTWRIQVVFASLFLIAFILYIPVGLAIVCPFERL TVVKCLTEGLGTDYCATTIGFDATYTKLYDYSNCGAINQLRSAAQLVCILTLVGYALS FATHKDDDAVPALLSAPSPTNV H257_17327 MGSSADVLRRWSPILAPFFTVTTLIICIVITKTKDIYVGSLAWP YFSDMGRDDPAYYVFATGLCLTAIFLALTWTFNFRHQATVLAHPEAKATPSLLRCSFA ASTMGAVATIGLPILVHNHPYTWYYYSLVFLLVHLPSIVRPPRDPQLRRVLFLRLPSC RRIPEYLRHTSHPSHIAHPQDLPYHMVSSPYYVSMI H257_17327 MGSSADVLRRWSPILAPFFTVTTLIICIVITKTKDIYVGSLAWP YFSDMGRDDPAYYVFATGLCLTAIFLALTWTFNFRHQATVLAHPEAKATPSLLRCSFA ASTMGAVATIGLPILVHNHPYTWYYYSLVFLLVHLPSIVRPPRDPQLRRVLFLRLPSC RRIPEYLRHTSHPSHIAHPQDLPYHMVSSPYYVSMI H257_17328 MMEASRWKALRYYSAMQDKTAVVTLSKGHDECMASKQIALLMAH DVGPSWKTLLGLMDMKSPLGVYPRAVVKAEDVQRWEVDLSKEDVHRLLQLT H257_17329 MDAESLASFMVITGADGTTAQQYLDLTNWNMDEALNLFMESGGS GTSSGGNASSSSGGNHDPFDSYGQQDVRAPDPSKRQRLVGGPADPLPSQFFGRGPSYN QFTSSSASSAASRPDALFQRDFAAEAVASIGGSFPRRSSSNALTNDDDTSARSRDPQS LSQLFQPPVNIMFYGTLSEARQLAKQESKWLLVNVQDDTVFASLRLNRDTWNDDFVQN LVTSGFVFWQIYIASDSCKKFCSLYQLDTSKLPITCVLDPLTGQKVVEWPDYIEPHAM AEKLSDFACLNPPGAAVRPVAPKPPPSRELTEDEELAAAIAASMEQQTDDDMGHDVKV LPQGAPTVHDDLPPPPSPVAAVPRLPDEPADGPTVTRVQIRTTTGSRLMRRFDKAEPV AVLFQFVQQEIPEARTRGFELRTSYPPATLVSSDLTPLADANLVNASLNMQWTT H257_17329 MDAESLASFMVITGADGTTAQQYLDLTNWNMDEALNLFMESGGS GTSSGGNASSSSGGNHDPFDSYGQQDVRAPDPSKRQRLVGGPADPLPSQFFGRGPSYN QFTSSSASSAASRPDALFQRDFAAEAVASIGGSFPRRSSSNALTNDDDTSARSRDPQS LSQLFQPPVNIMFYGTLSEARQLAKQESKWLLVNVQDDTVFASLRLNRDTWNDDFVQN LVTSGFVFWQIYIASDSCKKFCSLYQLDTSKLPITCVLDPLTGQKVVEWPDYIEPHAM AEKLSDFACLNPPGAAVRPVAPKPPPSRELTEDEELAAAIAASMEQQTDDDMGHDVKV LPQGAPTVHDDLPPPPSPVAAVPRLPDEPADGPTVTRVQIRTTTGSRLMRRFDKAEPV AVLFQFVQQEIPEARTRGFELRTSYPPATLVSSDLTPLADANLVNASLNMQWTT H257_17330 MLNNIMGEVGQAKMAYDISRRSVSRHRRSVSRHSHFSSDRRTIP TRRIEAPRNPDEWLFSLIKGKMMVQCRAMPTALAFTRQPTPREVHITQQPSLKHFNSF MHLEHKLECPHWIYELFPQTPADARAYDEFLTYLLRGRSDACAGMALDIQNYKVIILP PGQEARFVGYEKTQMVAIIRKNLRHPSSRPKSK H257_17330 MMVQCRAMPTALAFTRQPTPREVHITQQPSLKHFNSFMHLEHKL ECPHWIYELFPQTPADARAYDEFLTYLLRGRSDACAGMALDIQNYKVIILPPGQEARF VGYEKTQMVAIIRKNLRHPSSRPKSK H257_17331 MQCPIHLTRCGPLQGSHFIRTILRVTMHHERTFHFAMSKPLSDA TKKNFAAFCFCFALLMGTGSTLSSKILYGLESEGRDGTVKYFQKPLMQTFMMFLAMGI AIPVQFLYVRLSGKHDLMPKFDRESIMMLAFPACADLGATALMSVGLLYVPVSTFQLV RCTIIVFVAALKMLFLKFQPTAYMKCGIALNATAILMVSASCFGEEHESASALVGVSV LLLGCLVTSSQYVLEETVMRKKDGTPPMMVIGLEGLWGTFLMLTVVFPIAYLVPGADN GSAEDFFDSCLMIYHSSTVRNMCTFYILCVTSFNIASIFVTFLLDSVWRSILANFRPV SVWSMDLALFYFFTSGSLGEAWTNWSWLQLAGMLLLFFGTAVYNGTVQLRWFDYDGDG TTNMQGVANASTPLSVELTKSPLYGTAAIAATRAAEESKALQSL H257_17331 MCSISRRWTCVAIPVQFLYVRLSGKHDLMPKFDRESIMMLAFPA CADLGATALMSVGLLYVPVSTFQLVRCTIIVFVAALKMLFLKFQPTAYMKCGIALNAT AILMVSASCFGEEHESASALVGVSVLLLGCLVTSSQYVLEETVMRKKDGTPPMMVIGL EGLWGTFLMLTVVFPIAYLVPGADNGSAEDFFDSCLMIYHSSTVRNMCTFYILCVTSF NIASIFVTFLLDSVWRSILANFRPVSVWSMDLALFYFFTSGSLGEAWTNWSWLQLAGM LLLFFGTAVYNGTVQLRWFDYDGDGTTNMQGVANASTPLSVELTKSPLYGTAAIAATR AAEESKALQSL H257_17332 MPHRRQYGAVAFAAALLFGTSTMHTSKFVYSIHSFGLEEVPKTF EKPLMQTFLMFVAMALALVVHAVSQCFVPKADRYVFRWASTLKLCVPAVADLIATALG CVALLYVNVSFFQLARCTIIIYVATLKVMFLKFSMTGYMRFGILIQSVAIVLISASCL ASADDMIRTLVGVGVLLLACLTSAMQYVLEEVFMKKPIKAEGEEGTDIVVEVPNPPLV VVGMEGVWGTLLMLLVVMPIAYAIPGADNGRVEDFFDSIEMMQNSAKLTYYCVLYVIS ITGFNVASIYVTFFLDSVWRSILVNFRPVAIWVTSLLFYYVFTNGTYGETWTNWSWLQ LGGMLLLFFGTAVYNGNAKLHRFFSYSSDTKKGSKDDEHEKTGVVSDESDSDGVVVSV AAPSIVVVVAKA H257_17333 MSSSSDAVWDIVQGRYDVSKLERLLRGVSISGWDMDGWTPLHYA CDNKNVTSLGPLLAAGSKVDAIDEQGYTPLHLLCKNPSGAFAGLSELLDAGAPVNATS IDGKRVTPLHLLCANESITQGALSALLKANADVNAVDGDGNTALHSLSANDTLTDALL GTYRPGAWTTQNQFKASALHYLCQNVRVTPTMLTLALAAHADPNLPDNTGNTPLHALC DNAAAVSVAHLRVMLAHPSIDLMAMNASGRRAVSSLESDECIQYVERHGPYAALEFDA STPSTVPGGEDVADLPEPLRDIMTRWNGSLPPFDEAFYTTISCEPAFEGIYTQVQHAS MLVAESPSDKALLMQWDTSMSAWRQTIVRAFLTLVNPRLWTSYANTFHRRIPDDVVKV QSKVEAIWTSFPDASQRRERLEAVQALY H257_17334 MRPPPSSPPMPPPPGTPTMLRSFQTWPDLMQSDTTEEISQLDGR GTITYVREWLSRWAKLDHFNAAVVNGENVTKEWLHEVGFTQPFVVLDKVSLGLTVPSP SFTVEDLASVVGQDAVVSVLEVTSQRAVGDWSLGRWAAYMNTPSSERTQQLTVPSFSH AGLSRLLTPPRFVRQVGWAESVWVHSGSLPPPPTSTYCVMAPKDSFVDFDMNMGGSSM WYSVLRGKQVVYVVPPTSDNLAKFKLWSANHNPERCFGDVAASCAHVVLTGGSTLLIP PGWLYAVATLDQDVVGFKGYFLESFNLPTHVECVALERYLHGRSRFPQYDQALWHVAC SHLKAQETTDMTALSRLLDPSAADAADIAIAHGFPSAASVLAALQSTAAASSSGSSSG GSSSSSSSSSSSDDDDDDVSSGSEVDTVAYPKFVRENVTTNVRLKVLTPLVIPILDAA NLSTNKSSSSKAKRKATKSSVEQWYFECSCGDKGSNYDDGKRMVQCDVCVTWQHTACA GIPNETEPPTSYKCFKCLVPQEDGGAPSTVVDWTVSCSCGIVAVNYDDGCRMIACDRC NTWQHTLCAGIPNETEPPDVYLCRSCKTHTKKAKDKSPRAAVKSPKTQQPVRKPARKP PQPPSDDDDDDDDDQDVSPPSVVPSKKQRSSKRAKRTAAAADGGENVRPVLKAAAAAT VATADVPKKKQQPTSVRERLVKKLKMKPKWGRM H257_17334 MRPPPSSPPMPPPPDTTEEISQLDGRGTITYVREWLSRWAKLDH FNAAVVNGENVTKEWLHEVGFTQPFVVLDKVSLGLTVPSPSFTVEDLASVVGQDAVVS VLEVTSQRAVGDWSLGRWAAYMNTPSSERTQQLTVPSFSHAGLSRLLTPPRFVRQVGW AESVWVHSGSLPPPPTSTYCVMAPKDSFVDFDMNMGGSSMWYSVLRGKQVVYVVPPTS DNLAKFKLWSANHNPERCFGDVAASCAHVVLTGGSTLLIPPGWLYAVATLDQDVVGFK GYFLESFNLPTHVECVALERYLHGRSRFPQYDQALWHVACSHLKAQETTDMTALSRLL DPSAADAADIAIAHGFPSAASVLAALQSTAAASSSGSSSGGSSSSSSSSSSSDDDDDD VSSGSEVDTVAYPKFVRENVTTNVRLKVLTPLVIPILDAANLSTNKSSSSKAKRKATK SSVEQWYFECSCGDKGSNYDDGKRMVQCDVCVTWQHTACAGIPNETEPPTSYKCFKCL VPQEDGGAPSTVVDWTVSCSCGIVAVNYDDGCRMIACDRCNTWQHTLCAGIPNETEPP DVYLCRSCKTHTKKAKDKSPRAAVKSPKTQQPVRKPARKPPQPPSDDDDDDDDDQDVS PPSVVPSKKQRSSKRAKRTAAAADGGENVRPVLKAAAAATVATADVPKKKQQPTSVRE RLVKKLKMKPKWGRM H257_17334 MPSFMQLHEVGFTQPFVVLDKVSLGLTVPSPSFTVEDLASVVGQ DAVVSVLEVTSQRAVGDWSLGRWAAYMNTPSSERTQQLTVPSFSHAGLSRLLTPPRFV RQVGWAESVWVHSGSLPPPPTSTYCVMAPKDSFVDFDMNMGGSSMWYSVLRGKQVVYV VPPTSDNLAKFKLWSANHNPERCFGDVAASCAHVVLTGGSTLLIPPGWLYAVATLDQD VVGFKGYFLESFNLPTHVECVALERYLHGRSRFPQYDQALWHVACSHLKAQETTDMTA LSRLLDPSAADAADIAIAHGFPSAASVLAALQSTAAASSSGSSSGGSSSSSSSSSSSD DDDDDVSSGSEVDTVAYPKFVRENVTTNVRLKVLTPLVIPILDAANLSTNKSSSSKAK RKATKSSVEQWYFECSCGDKGSNYDDGKRMVQCDVCVTWQHTACAGIPNETEPPTSYK CFKCLVPQEDGGAPSTVVDWTVSCSCGIVAVNYDDGCRMIACDRCNTWQHTLCAGIPN ETEPPDVYLCRSCKTHTKKAKDKSPRAAVKSPKTQQPVRKPARKPPQPPSDDDDDDDD DQDVSPPSVVPSKKQRSSKRAKRTAAAADGGENVRPVLKAAAAATVATADVPKKKQQP TSVRERLVKKLKMKPKWGRM H257_17334 MNTPSSERTQQLTVPSFSHAGLSRLLTPPRFVRQVGWAESVWVH SGSLPPPPTSTYCVMAPKDSFVDFDMNMGGSSMWYSVLRGKQVVYVVPPTSDNLAKFK LWSANHNPERCFGDVAASCAHVVLTGGSTLLIPPGWLYAVATLDQDVVGFKGYFLESF NLPTHVECVALERYLHGRSRFPQYDQALWHVACSHLKAQETTDMTALSRLLDPSAADA ADIAIAHGFPSAASVLAALQSTAAASSSGSSSGGSSSSSSSSSSSDDDDDDVSSGSEV DTVAYPKFVRENVTTNVRLKVLTPLVIPILDAANLSTNKSSSSKAKRKATKSSVEQWY FECSCGDKGSNYDDGKRMVQCDVCVTWQHTACAGIPNETEPPTSYKCFKCLVPQEDGG APSTVVDWTVSCSCGIVAVNYDDGCRMIACDRCNTWQHTLCAGIPNETEPPDVYLCRS CKTHTKKAKDKSPRAAVKSPKTQQPVRKPARKPPQPPSDDDDDDDDDQDVSPPSVVPS KKQRSSKRAKRTAAAADGGENVRPVLKAAAAATVATADVPKKKQQPTSVRERLVKKLK MKPKWGRM H257_17335 MEAPACMHPKEASGGPSAAAAVGRHSDLATLTSQSFALDPKQRS TSGFDEDTHLAAAAVGHELPLSGGRSEELELTTRAFQASQRSQPALVVHPRSTTQILT SSLIYRSYSYHVTA H257_17336 MTVPRVDVATPDTTTTATVYGWVKTIRRQKNLSFITINDGSAFK SLQVVCDASSIPAASLADVSVGSSVTVTGAVTHAPKSGQVEVHAQSVTVLGTSDPAVY PLSKKFHGLEFVREHLHLRPRTNTFGALTRVRNALSQGLHQYFQSQDFVQVHTPILTS IDAEGAGEQFRVTRDYPEASSGAHNPDDSTTPSFFGRPAYLTVSGQLHAEMYASALSK VYTFGPTFRAENSNTSRHLSEFWMVEPEMAFAGLSECMASAQGAVQHSIQHALATCPD DLAFFQEQQQAKESTPKKSAQSTNLLSQLTAVATHDIPHMTYTEAIAVLTQASVKFEL KPEWGIDLKTEHERYLAETYVGGPVFVTDYPAALKAFYMRQNDVADPDRATVAGMDLL VPAVGELVGGSVREERLEVLEAKMRASGVDPNGLQWYLDLRRFGTVPHAGWGLGFERL VLFVTGMENIRDAIAVPRYPGACRH H257_17337 MTPNDADEYTGDDSIKSSTTTKQHDVPKFLLQLFDILEAESSAV IKWADDGGSLQILDPVRATQEILPKYFSHNNFQSFQRQLNYFGFRKWTKTKTYICTFS HPCFKKNEPDKLQLIKRKSVPKRTRINGHLVTERRPVFTKKRSTDGSSTSTTPCPIRP YGLPLGRPPPAILSEEMKVSPADMLLFPKQPALPNLCKIRSSLMLRLEATSPPLKISP MAPKHEFMSQVHPVMTDRSEEDPVNLLLGIKQASPPSPTTTHPQVSFHSQQLPEQRAH SPTMQAQLAAALDEIARLKATLQDKVREIEWLRAQCHNAPPG H257_17338 MVMLVGMMSKAPALDAKVSAYDSVMPSSRLRSPSWSSDNPYQAM FYMLCWYTFSTSATFINKTLIKEHGMSAELLTVCHLVMGTIFDAAIFAVPATSKYKMW FLQPMKLSSLLRLLPLSVLAIASKLLTYWSYSRVPVAVTHTCKASTPLFNVMLAFVVY RTSHATPIYVSLVPIVVGVAMASLSEVQINEVATAGLICAVASSMSGVMQSMYAKYLL RHGVIVDSVNLHFYSGLLCILVNSPVLMWNQAMLPDQIPYTLIFVCSICQFVSSLASI LLLGHVAELTYSIMSTLKRVVIVVSAVVYFGNAMTLTSGVGMAVAVRSSSYPHAKSRM FVAGRGGYVPACKAHADQGHGALAPLAVIPCNSNRWDPI H257_17338 MVMLVGMMSKAPALDAKVSAYDSVMPSSRLRSPSWSSDNPYQAM FYMLCWYTFSTSATFINKTLIKEHGMSAELLTVCHLVMGTIFDAAIFAVPATSKYKMW FLQPMKLSSLLRLLPLSVLAIASKLLTYWSYSRVPVAVTHTCKASTPLFNVMLAFVVY RTSHATPIYVSLVPIVVGVAMASLSEVQINEVATAGLICAVASSMSGVMQSMYAKYLL RHGVIVDSVNLHFYSGLLCILVNSPVLMWNQAMLPDQIPYTLIFVCSICQFVSSLASI LLLGHVAELTYSIMSTLKRVVIVVSAVVYFGNAMTLTSGVGMAVALGGVGMYQHAKLT QTKAMGHSPHSL H257_17338 MVMLVGMMSKAPALDAKVSAYDSVMPSSRLRSPSWSSDNPYQAM FYMLCWYTFSTSATFINKTLIKEHGMSAELLTVCHLVMGTIFDAAIFAVPATSKYKMW FLQPMKLSSLLRLLPLSVLAIASKLLTYWSYSRVPVAVTHTCKASTPLFNVMLAFVVY RTSHATPIYVSLVPIVVGVAMASLSEVQINEVATAGLICAVASSMSGVMQSMYAKYLL RHGVIVDSVNLHFYSGLLCILVNSPVLMWNQAMLPDQYESAMFFIYSHEIRNVIFLPR IPYTLIFVCSICQFVSSLASILVMCLRSMWCCHVIHVCVVCMRSYWATWQNSRTRS H257_17338 MVMLVGMMSKAPALDAKVSAYDSVMPSSRLRSPSWSSDNPYQAM FYMLCWYTFSTSATFINKTLIKEHGMSAELLTVCHLVMGTIFDAAIFAVPATSKYKMW FLQPMKLSSLLRLLPLSVLAIASKLLTYWSYSRVPVAVTHTCKASTPLFNVMLAFVVY RTSHATPIYVSLVPIVVGVAMASLSEVQINEVATAGLICAVASSMSGVMQSMYAKYLL RHGVIVDSVNLHFYSGLLCILVNSPVLMWNQAMLPDQIPYTLIFVCSICQFVSSLASI LVMCLRSMWCCHVIHVCVVCMRSYWATWQNSRTRS H257_17338 MVMLVGMMSKAPALDAKVSAYDSVMPSSRLRSPSWSSDNPYQAM FYMLCWYTFSTSATFINKTLIKEHGMSAELLTVCHLVMGTIFDAAIFAVPATSKYKMW FLQPMKLSSLLRLLPLSVLAIASKLLTYWSYSRVPVAVTHTCKASTPLFNVMLAFVVY RTSHATPIYVSLVPIVVGVAMASLSEVQINEVATAGLICAVASSMSGVMQSMYAKYLL RHGVIVDSVNLHFYSGLLCILVNSPVLMWNQAMLPDQIPYTLIFVCSICQFVSSLASI LVMCLRSMWCCHVIHVCVVCMRSYWATWQNSRTRS H257_17338 MVMLVGMMSKAPALDAKVSAYDSVMPSSRLRSPSWSSDNPYQAM FYMLCWYTFSTSATFINKTLIKEHGMSAELLTVCHLVMGTIFDAAIFAVPATSKYKMW FLQPMKLSSLLRLLPLSVLAIASKLLTYWSYSRVPVAVTHTCKASTPLFNVMLAFVVY RTSHATPIYVSLVPIVVGVAMASLSEVQINEVATAGLICAVASSMSGVMQSMYAKYLL RHGVIVDSVNVRIMLPSTFTLATMLRSCTSTAACCASLSTAPCSCGTKRCFRTKSHTR H257_17338 MVMLVGMMSKAPALDAKVSAYDSVMPSSRLRSPSWSSDNPYQAM FYMLCWYTFSTSATFINKTLIKEHGMSAELLTVCHLVMGTIFDAAIFAVPATSKYKMW FLQPMKLSSLLRLLPLSVLAIASKLLTYWSYSRVPVAVTHTCKASTPLFNVMLAFVVY RTSHATPIYVSLVPIVVGVAMASLSEVQINEVATAGLICAVASSMSGVMQSMYAKYLL RHGVIVDSVNVRIMLPSTFTLATMLRSCTSTAACCASLSTAPCSCGTKRCFRTKSHTR H257_17338 MVMLVGMMSKAPALDAKVSAYDSVMPSSRLRSPSWSSDNPYQAM FYMLCWYTFSTSATFINKTLIKEHGMSAELLTVCHLVMGTIFDAAIFAVPATSKYKMW FLQPMKLSSLLRLLPLSVLAIASKLLTYWSYSRVPVAVTHTCKASTPLFNVMLAFVVY RTSHATPIYVSLVPIVVGVAMASLSEVQINEVATAGLICAVASSMSGVMQSMYAKYLL RHGVIVDSVNVRIMLPSTFTLATMLRSCTSTAACCASLSTAPCSCGTKRCFRTSTNQQ CSSSIPMK H257_17339 MQREEMNEEQNPNLHLQQLQLQQQQLQQQQMQLHAFWQSQIHEI SQIDPEVQDFKTHQLPLARIKKIMKSDEDVRMISAEAPVLFAKACEMFILELSLRAWI HTEENKRRTLQRNDIAMAITKTDTFDFLIDIVPREDIKLPGKKEGSMDPQMVYQQQLQ QQQAAMLHQFMQSQAGANPSIWPPQQLQMMQHYQQQLQGMQPAAAEASQQQAGQQQQQ QAQNQSRGVDV H257_17340 MPINANAVLNRLQDQTIRDRSYLEASFTTNGEAARAANESDEAA AHPIMDKFITDLGSEGIRTLTNFTVTEFETLWSFVDTAMQSAWMEGRDRRSPTSPKDA MFMALTVLKHFSSWEKHTADYGFKAPTFEKLITRVLSVIEPIFYRRFITPVTMAELTQ TGQRFAHFPYALYAVDVKFQPSNRPAGRFAEQKHYFSGKYHLYGYKIEAAMSPDGRCV AMSTADPGSVHDLTIMNSRKHVHPVNLAKSASESLVPDHGEQAALHRGSWACLVDMGY IGISHSLRGIHPKRLPAHGSLDASDLERNANVSSHRVIVENFFG H257_17341 MLRQDDTPKASRTATRLLRRKPQLVQEVWKIFLETGGTVTKHDP TMDMSTRTMLPTTPTIVSNIQEFVRLCRQARVRTVAKDVAHFLRPQRILFFDPESDLS TEAALRSIQRVLAKLGYKRGKKKKSLGLRIDDFDLEVKEKHKG H257_17342 MDKFITDLGSEGIRTLTNFTVTEFETLWSFVDTAMQSAWMEGRG RRSPTSTKDAMFMALTVLKHFSSWEKHAADYGFKAPTFEKLIMRVLSVIELIFYRRFI TSVTMAELTQSGQRFTHFPYALYAVDKHYFSGKHHLYGYKVEAAMSPDGRCVAMSTAI LDLCTTLPL H257_17343 MGYIGISHSLRGIHPKRRTANGSLEASDLERNANVSSDRVIVDN FFGRVCSLWRVSCATYTWSERNYSAIQRVTFALTNFRLSLLPLCHDDEDFYGRVLARY QRMANEKKRKRQETQRRYRLNRQERAAMDAVRVMRF H257_17344 MPTNEDAAKSSSRVLDESFFYPQEELSRPQLQGEMSRDLVAFSA LLGFDSKKRNNLHYLDDHTLLTSAGNSAQLIHMATMQSKHVFGLGGGGVGAIAVHPSR GFFAVGDKGNKPNITIYVYPSLKVFRVLRQGTERAYCSLRFSNDGAKLASVGASPDFL LTVWNWRDEQTILRCKAFGQEVFNVQFAPNDGGFLTTSGTGHIRFWKMASTFTGLKLQ GDIAKFGKSELSDIEAFCVLPDKKVLSSTERGALLLWDGNFIKCEIVTFNRQRAHKGP INVVQYEPAFNQILTAGSDGMMKWWHFPEIDQADVKEDDTVAEVIPKDEFCVSVKSPH HTSTVADIRGVLRGSDHYLIQDGNGMLHRLDIDTKQLTHLAEGHAGAITGLATSPVEH IAATCGSDSTVRCWNYAATSSTCMYIQHFNAPATALTFAPPAHDATGRTIAVAFQDGV VRILDRGPSNWRRLHVIKPHSQPITCMAYSPNGKYFVTASQDNTLFIFACTTSTSKYE PVGFKKFGNSETVRHLSWRWDSNALLVTCLNGMIGELEMPSMTDGERATYELDLPYKT YGFRRVRKLTTDELVALASDPSVDAAKPTSYNPSPVSTAVSFGEVEVGVGAGPPIVLA ALYCCASASCFLVSVGGVHAGAVYKCEWTKPFPVGEFPDDPQGAGQSLTLSHSQKYVL VGNINGKVHLRARTVPFAVLTWELHDQGPTGTPCVAMSFDDTFVLSGGSDGQLSVVRV NPAKIDEAAQRLSRQFDLVLSEGKRTYQVAHDRMAAQNDVRLADEPISNVFLTNPVFV GAKAYVAFMDSVRIVDAETHHGVLRLVDECDPSAFSPAAAIDPNALDDRPKVKEAPDI THKEAYTIQDAKLKLEADIRANSTLAKKNRTRGIIAEMRDQFAKLQEIDARNEPLARL DDRQWNIDPDYLSLLMANGDKLCDEVRKEMAYAYEQSELLLHKMRNKYVGNVAVELIT LHGFQNGLHVQSFRTTRMTDELQERLRVIHVEMQELAKNSQPTSEKTYTVLDFIHKPK AEASTDDKPTAGESHKRHSSHVHHKTTAAETIEATSHRFDYRKNMRAERKARTQAWEG KKPKEEADDPRDVAAIAFASSHMQDYKLKTSATYVVPEDQRVNAMKKRKQMALLEEKM YEVAMGFNAKLLELRDLKLRLIEQIQDDNTTIKALDIDLAALRGLVTTGVTHVTPPPL PLFEPQVDLREWPEQRECVLDVHIDEYVKKGTVTTALALPAEFHLGNSSNKATSAATT PKPPVHPVLGQSCVPLGSTYELSTLETEEVRIQCIQLQRQRQALDSKIVHAVQTFDEA IYRLRREKLKLDIALKKGEIKLMTRLGELVLLEQFESKENLLVSKLEKCKTDKAQVVR ELTDCSDQLVSKRKDMDECQRTESAVQSEFVALVGLSHPCFGVLQKIFKKRLKRQKKR AADDGDDDDDDESDDEYNSDDDDDDNDSNEEEMCPSGCDMALYEKVLALREKKADVDD VMSEITKAIDDFKRSNDRHIQKQRQIDKELTATEQDIQAFQTEKQMRFNELDVIVALS KHQLRCLQPKDAEAAASGTDPSALYLPETVENALVFNHSVVDRLASRILSLQEENKSL RQVFKDLHKQQSQLLRDKTRQNELIGQVNEKCNQLQLLKFGRLIDIDLLDKACDTGNL NELKTKVRTKEMHGEKQLTEAKGEQQRLKMEILAATQENTRLLTEIALLSERQFDLEK ELNQADVNNTLVDDGAQLEKEMSERKKLVQLVKLQSREIDALKQEVLMLRGKKGRVHS STH H257_17345 MELVDGVMCTTTLTESPWQLEYAIDNMTGHEYDVELDFAGSSNL AVAGSATLSFRATLRPYAIVIATVARVRPLERCAVCINYHVHRTEGKEARPSPDESHL VELTGTFVDPSFPPTQACLGDISSVPSMRSILPTLTWAHVSSILHFPSAHPSVLPPST ARLSSSSLCGGDPAFVSIDMKCALQIVGDRMGILTRLFPHTVPRHGLDTHERFAVLLH RHGQPITVEIDGFVPCISHGGGPVVMKCRRTMQCVWPMLVHKALAKLYGGYSHLCQVS TMQLLHDMVGYPSVKVTCNPANLHSIVQPMVHRGHLVGVTFPSASSSRHGIMFVSGDD RAITLRHYPSDARTQLVENSANCCFAHRHDDVGAINACWYFPMYPQRLVRRVFVQDAA ACASAAAAMFILSIPSPASVMISLTYAHQVTDMGMSLLTMEPTNQIKESLVEVPSTID THHPSACTMVASVEAGEYVLAVKPVQWSHNDDKHTEKGTTNTPVMQRMFDMLDWDMDH RLDIHDMARFMAVYEDGLSMSTSAFEWLLEHFDSDGVGLTLKGLEEFYMANGRDEATG MTLMEQSHPCCVHVHCSSQDQVTFVQVDHR H257_17345 MELVDGVMCTTTLTESPWQLEYAIDNMTGHEYDVELDFAGSSNL AVAGSATLSFRATLRPYAIVIATVARVRPLERCAVCINYHVHRTEGKEARPSPDESHL VELTGTFVDPSFPPTQACLGDISSVPSMRSILPTLTWAHVSSILHFPSAHPSVLPPST ARLSSSSLCGGDPAFVSIDMKCALQIVGDRMGILTRLFPHTVPRHGLDTHERFAVLLH RHGQPITVEIDGFVPCISHGGGPVVMKCRRTMQCVWPMLVHKALAKLYGGYSHLCQVS TMQLLHDMVGYPSVKVTCNPANLHSIVQPMVHRGHLVGVTFPSASSSRHGIMFVSGDD RAITLRHYPSDARTQLVENSAKHDDVGAINACWYFPMYPQRLVRRVFVQDAAACASAA AAMFILSIPSPASVMISLTYAHQVTDMGMSLLTMEPTNQIKESLVEVPSTIDTHHPSA CTMVASVEAGEYVLAVKPVQWSHNDDKHTEKGTTNTPVMQRMFDMLDWDMDHRLDIHD MARFMAVYEDGLSMSTSAFEWLLEHFDSDGVGLTLKGLEEFYMANGRDEATGMTLMEQ SHPCCVHVHCSSQDQVTFVQVDHR H257_17345 MELVDGVMCTTTLTESPWQLEYAIDNMTGHEYDVELDFAGSSNL AVAGSATLSFRATLRPYAIVIATVARVRPLERCAVCINYHVHRTEGKEARPSPDESHL VELTGTFVDPSFPPTQACLGDISSVPSMRSILPTLTWAHVSSILHFPSAHPSVLPPST ARLSSSSLCGGDPAFVSIDMKCALQIVGDRMGILTRLFPHTVPRHGLDTHERFAVLLH RHGQPITVEIDGFVPCISHGGGPVVMKCRRTMQCVWPMLVHKALAKLYGGYSHLCQVS TMQLLHDMVGYPSVKVTCNPANLHSIVQPMVHRGHLVGVTFPSASSSRHGIMFVSGDD RAITLRHYPSDARTQLVENSANCCFAHRHDDVGAINACWYFPMYPQRLVRRVFVQDAA ACASAAAAMFILSIPSPASVMISLTYAHQVTDMGMSLLTMEPTNQIKESLVEVPSTID THHPSACTMVASVEAGEYVLAVKPVQWSHNDDKHTEKGTTNTPVMQRMFDMLDWDMDH RLDIHDMARFMAVYEDGLSMSTSAFEWLLEHFDSDGVGLTLKGLEEFYMANGRDEATG MTLMEQSHPCCVHVHCSSQVL H257_17345 MELVDGVMCTTTLTESPWQLEYAIDNMTGHEYDVELDFAGSSNL AVAGSATLSFRATLRPYAIVIATVARVRPLERCAVCINYHVHRTEGKEARPSPDESHL VELTGTFVDPSFPPTQACLGDISSVPSMRSILPTLTWAHVSSILHFPSAHPSVLPPST ARLSSSSLCGGDPAFVSIDMKCALQIVGDRMGILTRLFPHTVPRHGLDTHERFAVLLH RHGQPITVEIDGFVPCISHGGGPVVMKCRRTMQCVWPMLVHKALAKLYGGYSHLCQVS TMQLLHDMVGYPSVKVTCNPANLHSIVQPMVHRGHLVGVTFPSASSSRHGIMFVSGDD RAITLRHYPSDARTQLVENSAKHDDVGAINACWYFPMYPQRLVRRVFVQDAAACASAA AAMFILSIPSPASVMISLTYAHQVTDMGMSLLTMEPTNQIKESLVEVPSTIDTHHPSA CTMVASVEAGEYVLAVKPVQWSHNDDKHTEKGTTNTPVMQRMFDMLDWDMDHRLDIHD MARFMAVYEDGLSMSTSAFEWLLEHFDSDGVGLTLKGLEEFYMANGRDEATGMTLMEQ SHPCCVHVHCSSQVL H257_17345 MELVDGVMCTTTLTESPWQLEYAIDNMTGHEYDVELDFAGSSNL AVAGSATLSFRATLRPYAIVIATVARVRPLERCAVCINYHVHRTEGKEARPSPDESHL VELTGTFVDPSFPPTQACLGDISSVPSMRSILPTLTWAHVSSILHFPSAHPSVLPPST ARLSSSSLCGGDPAFVSIDMKCALQIVGDRMGILTRLFPHTVPRHGLDTHERFAVLLH RHGQPITVEIDGFVPCISHGGGPVVMKCRRTMQCVWPMLVHKALAKLYGGYSHLCQVS TMQLLHDMVGYPSVKVTCNPANLHSIVQPMVHRGHLVGVTFPSASSSRHGIMFVSGDD RAITLRHYPSDARTQLVENSAKHDDVGAINACWYFPMYPQRLVRRVFVQDAAACASAA AAMFILSIPSPASVMISLTYAHQVTDMGMSLLTMEPTNQIKESLVEVPSTIDTHHPSA CTMVASVEAGEYVLAVKPVQWSHNDDKHTEKGTTNTPVMQRMFDMLDWDMDHRLDIHD MARFMAVRRAASFQCLRRWAIHVHECVRVATRAF H257_17345 MELVDGVMCTTTLTESPWQLEYAIDNMTGHEYDVELDFAGSSNL AVAGSATLSFRATLRPYAIVIATVARVRPLERCAVCINYHVHRTEGKEARPSPDESHL VELTGTFVDPSFPPTQACLGDISSVPSMRSILPTLTWAHVSSILHFPSAHPSVLPPST ARLSSSSLCGGDPAFVSIDMKCALQIVGDRMGILTRLFPHTVPRHGLDTHERFAVLLH RHGQPITVEIDGFVPCISHGGGPVVMKCRRTMQCVWPMLVHKALAKLYGGYSHLCQVS TMQLLHDMVGYPSVKVTCNPANLHSIVQPMVHRGHLVGVTFPSASSSRHGIMFVSGDD RAITLRHYPSDARTQLVENSANCCFAHRHDDVGAINACWYFPMYPQRLVRRVFVQDAA ACASAAAAMFILSIPSPASVMISLTYAHQVTDMGMSLLTMEPTNQIKESLVEVPSTID THHPSACTMVASVEAGEYVLAVKPVQWSHNDDKHTEKGTTNTPVMQRMFDMLDWDMDH RLDIHDMARFMAVRRAASFQCTLLG H257_17345 MELVDGVMCTTTLTESPWQLEYAIDNMTGHEYDVELDFAGSSNL AVAGSATLSFRATLRPYAIVIATVARVRPLERCAVCINYHVHRTEGKEARPSPDESHL VELTGTFVDPSFPPTQACLGDISSVPSMRSILPTLTWAHVSSILHFPSAHPSVLPPST ARLSSSSLCGGDPAFVSIDMKCALQIVGDRMGILTRLFPHTVPRHGLDTHERFAVLLH RHGQPITVEIDGFVPCISHGGGPVVMKCRRTMQCVWPMLVHKALAKLYGGYSHLCQVS TMQLLHDMVGYPSVKVTCNPANLHSIVQPMVHRGHLVGVTFPSASSSRHGIMFVSGDD RAITLRHYPSDARTQLVENSAKHDDVGAINACWYFPMYPQRLVRRVFVQDAAACASAA AAMFILSIPSPASVMISLTYAHQVTDMGMSLLTMEPTNQIKESLVEVPSTIDTHHPSA CTMVASVEAGEYVLAVKPVQWSHNDDKHTEKGTTNTPVMQRMFDMLDWDMDHRLDIHD MARFMAVRRAASFQCTLLG H257_17346 MKLVVQRVTSGCVRVDEKAVGSISKGLVCLVGIGRDDTEDDAEW CCRRILNARLWPNEDGKPWMTSALQNNFQVLLVSQFTLHGYLAGNKPDFHLAMSPEPA KALFDNLVSRVRTAHASPEQVQEGVFGAYMEVSIVGDGPVTLNLDSKVRK H257_17347 MAQGRFVNAIQKVMSMFSVQARIGSVVHNATEAKPHRSLGWKST VTSYTHVPAAPNHRVVLHVTVPLKLRAQVLKKQLHLVCITAGPGGIWVIEKITPDGRE IQCRSAIPTDTGTFEWEAVVDGDSMIVRNVTTATVPVEVALATPLNFESRLTVFSLFD VNTVSQVFRADARLELMLPNISLANVKREHVEAFLSALGIHEGHAVQFLNVVDIIGEV VHSSEYVENALQSDTHMDYRLIYRLRAILTGQFVLHAFPFDQQEMTIPIQLHLPRERA VLAVNYRNPSIFQVTNFQLSNVFHVATGNYVVASAFFSDINESTTSEIYPRMNFSILL QRRGGYYITHIVIPVTLITYMGFLSFCLDNEGKTIDTGERLLISVTMVLTAVTYKFVV AGAIPQISYLTMLDHYVSFSFYYVCAISVANAFVMIGHNGESRAFHVMVTMAVVYTAY NVLWAIYFYTWIRRRDRANEAMLNYHRVRLMVTKTFPNSKEGFQGRMFTEMMKELGIE EAHLGHRKKQPINHKVSILHPTHHAIKKIHHSIAQSVSGPQERQIVG H257_17347 MAQGRFVNAIQKVMSMFSVQARIGSVVHNATEAKPHRSLGWKST VTSYTHVPAAPNHRVVLHVTVPLKLRAQVLKKQLHLVCITAGPGGIWVIEKITPDGRE IQCRSAIPTDTGTFEWEAVVDGDSMIVRNVTTATVPVEVALATPLNFESRLTVFSLFD VNTVSQVFRADARLELMLPNISLANVKREHVEAFLSALGIHEGHAVQFLNVVDIIGEV VHSSEYVENALQSDTHMDYRLIYRLRAILTGQFVLHAFPFDQQEMTIPIQLHLPRERA VLAVNYRNPSIFQVTNFQLSNVFHVATGNYVVASAFFSDINESTTSEIYPRMNFSILL QRRGGYYITHIVIPVTLITYMGFLSFCLDNEGKTIDTGERLLISVTMVLTAVTYKFVV AGAIPQISYLTMLDHYVSFSFYYVCAISVANAFVMIGHNGESRAFHVMVTMAVVYTAY NVLWAIYFYTWIRRRDRANEAMLNYHRASARNHNPPYSSMVESYDDDIIAGAIDGDQN VSQLQGGVPRAHVY H257_17348 MESYRGTPEPPQSTEDEAIQRLAAEILHDIDSYGAGDEAMLTED GVQHLVTAYVERSGIMSPVLPPPYGAPPSHEPPPPPSPQSSSISSFQVYQTNVQLFQD MRSQRETQFSKHLTAVIQRDRASSSSSSSDSGSSSGYSSDDDHEADSTRPPATVDAAI PPTDVACQTDQLGNVSSATKDSPKIRLECSHRDAQVQANMASESTSMDTSSQVGSIQP CCHFPCNVYVQSKREACHMSIQTNRLMLKEVGITTLPLSVRDCGTAMSPKSAFVALLK TKVMTPASSPRDFELDLSNLNWRLPAVP H257_17349 MERQDPSTSAASSSPMTAEDAAALKEKFQQMYMELIATGLTPND AVAEALTRLYATTASTANTGSSAAPPAQPPTLQAAPVIITPQPPPAPPLAVVAATPVP VVVVAPSPVGVVAVPTTAPSAALVPPPRPPALTLALLQSNDLSLSNMQTLVYETFSHS DHLNVSCFPGHSRHDIQQGLSLLASHPVLANTMGNALQSWVNQPWSNSKKWTQPTDLH QFWVVLEHPLLFDPEYRQVVGGMAKLMYFLDDGMKAAVLARWAGYSEDDLHRLLDVFH QFITLALVGAELKMDMLFAVCDLLRLLHEINEKSRKFCEFSAFYNDAVNSELNLLTDY ANSGVFLKHRPTTHQSTRQLSELSFCDFPFILDPASKSLVLHFDAEYQQRRTVHGSLA STASTTTSSSTSTTHETLSTSSSTSSTLDNLMPYLVLRVRREFVVPDALQQLVQATSE QLKKPLKVKFIGEEGVDEGGVKKEFFQIIIRQLLDPDFGMFLSDEDTHTLWFNCDSLE SSMEFELIGILLGLAIYNGVILDLHFPPLVYKKLMEQSVTLSDVEASQPALGRGLRQL LSFDGDVESVFQRSFQVSYQVFGEIKTVDLVPNGSQINVTNLNREEYVAKYVQYVTTD SVERQYGAFHRGFHLVCGGHALALFRCEELELLLCGSPDLDFEALESVTQYDSGFSEH SDVIKYFWTVVHGFTVDEKKQLLKFCTGSDRVPIRGLSEMAFVISRNGPDSNKLPTAH TCFNHLLLPEYSSMDKLKERLLIAISQSEGFGLL H257_17349 MERQDPSTSAASSSPMTAEDAAALKEKFQQMYMELIATGLTPND AVAEALTRLYATTASTANTGSSAAPPAQPPTLQAAPVIITPQPPPAPPLAVVAATPVP VVVVAPSPVGVVAVPTTAPSAALVPPPRPPALTLALLQSNDLSLSNMQTLVYETFSHS DHLNVSCFPGHSRHDIQQGLSLLASHPVLANTMGNALQSWVNQPWSNSKKWTQPTDLH QFWVVLEHPLLFDPEYRQVVGGMAKLMYFLDDGMKAAVLARWAGYSEDDLHRLLDVFH QFITLALVGAELKMDMLFAVCDLLRLLHEINEKSRKFCEFSAFYNDAVNSELNLLTDY ANSGVFLKHRPTTHQSTRQLSELSFCDFPFILDPASKSLVLHFDAEYQQRRTVHGSLA STASTTTSSSTSTTHETLSTSSSTSSTLDNLMPYLVLRVRREFVVPDALQQLVQATSE QLKKPLKVKFIGEEGVDEGGVKKEFFQIIIRQLLDPDFGMFLSDEDTHTLWFNCDSLE SSMEFELIGILLGLAIYNGVILDLHFPPLVYKKLMEQSVTLSDVEASQPALGRGLRQL LSFDGDVESVFQRSFQVSYQVFGEIKTVDLVPNGSQINVTNLNREEYVAKYVQYVTTD SVERQYGAFHRGFHLVCGGHALALFRCEELELLLCGSPDLDFEALESVTQYDSGFSEH SDVIKYVLLLAD H257_17349 MERQDPSTSAASSSPMTAEDAAALKEKFQQMYMELIATGLTPND AVAEALTRLYATTASTANTGSSAAPPAQPPTLQAAPVIITPQPPPAPPLAVVAATPVP VVVVAPSPVGVVAVPTTAPSAALVPPPRPPALTLALLQSNDLSLSNMQTLVYETFSHS DHLNVSCFPGHSRHDIQQGLSLLASHPVLANTMGNALQSWVNQPWSNSKKWTQPTDLH QFWVVLEHPLLFDPEYRQVVGGMAKLMYFLDDGMKAAVLARWAGYSEDDLHRLLDVFH QFITLALVGAELKMDMLFAVCDLLRLLHEINEKSRKFCEFSAFYNDAVNSELNLLTDY ANSGVFLKHRPTTHQSTRQLSELSFCDFPFILDPASKSLVLHFDAEYQQRRTVHGSLA STASTTTSSSTSTTHETLSTSSSTSSTLDNLMPYLVLRVRREFVVPDALQQLVQATSE QLKKPLKVKFIGEEGVDEGGVKKEFFQIIIRQLLDPDFGMFLSDEDTHTLWFNCDSLE SSMEFELIGILLGLAIYNGVILDLHFPPLVYKKLMEQSVTLSDVEASQPALGRGLRQL LSFDGDVESVFQRSFQVSYQVFGEIKTVDLVPNGT H257_17350 MSTAARRRLMRDFRKLQNDPPSGVSGAPLDNNIMVWQAVIFGPD DTPWEGGTFNLLLEFSEDYPNKAPSVKFVTKMFHPNIYNDGQICLDILQNQWSPIYDI SAILTSIQSLLCDPNPNSPANSEAARLFQENRREYNRRVREIVEQSWVALE H257_17351 MTASTKGAAKRHITQETFNECVRENMEEFDMIEEDAIADAVTQF ESQGVDLSTIVKALDVVHPVLAALKVIEADESDDETCLHVQLVALQDQLKDAVGSSGA KELAGQINGPTILLQRLPAVQDVATRILLLSTLQSMVSGNRSNQLLVGDAGVAAIFDV GVLSSHADTQTAAFSSLKCICAKNEVNKKRLTSHGIIARLVVLFRDRSMVPEGRTKDI ADVIRVLTLHDDTTSMFSQTHDIVKLFVEHGVIDAVLPYLRGVSSDPDTLSSWLAVVK QLAITEDTCRKLVAAGILDLLPTFQHHAHHRPLLSHCLGLLRNLAAVDEYKSVVTSSN LPWIISIMQQHPTEVYLQTLGCATIAAICLRSPANCDRAVQMHAHQAIGLAMVGFPDN VAMLRQASLAIRNMVVRNEQLRPIVLEDVERQLRAALPLRGCGDEAYAALRDLGADVP LASIGTSESANFNPVMVSSNQLVEAIQDNSTAPFGEFD H257_17351 MTASTKGAAKRHITQETFNECVRENMEEFDMIEEDAIADAVTQF ESQGVDLSTIVKALDVVHPVLAALKVIEADESDDETCLHVQLVALQDQLKDAVGSSGA KELAGQINGPTILLQRLPAVQDVATRILLLSTLQSMVSGNRSNQLLVGDAGVAAIFDV GVLSSHADTQTAAFSSLKCICAKNEVNKKRLTSHGIIARLVVLFRDRSMVPEGRTKDI ADVIRVLTLHDDTTSMFSQTHDIVKLFVEHGVIDAVLPYLRGVSSDPDTLSSWLAVVK QLAITEDTCRKLVAAGILDLLPTFQHHAHHRPLLSHCLGLLRNLAAVDEYNSIRPKCT CRHWGAPPSPPFACDLQLTATERCKCTRIRPSGSRWSASLITLLCCDKLAWPSATWWS ATNNCGRSYWKMSSGSCARPCR H257_17351 MTASTKGAAKRHITQETFNECVRENMEEFDMIEEDAIADAVTQF ESQGVDLSTIVKALDVVHPVLAALKVIEADESDDETCLHVQLVALQDQLKDAVGSSGA KELAGQINGPTILLQRLPAVQDVATRILLLSTLQSMVSGNRSNQLLVGDAGVAAIFDV GVLSSHADTQTAAFSSLKCICAKNEVNKKRLTSHGIIARLVVLFRDRSMVPEGRTKDI ADVIRVLTLHDDTTSMFSQTHDIVKLFVEHGVIDAVLPYLRGVSSDPDTLSSWLAVVK QLAITEDTCRKLVAAGILDLLPTFQHHAHHRPLLSHCLGLLRNLAAVDEYNSIRPKCT CRHWGAPPSPPFACDLQLTATERCKCTRIRPSGSRWSASLITLLCCGTYYCLNNSGDN HIYILAPSVDKLAWPSATWWSATNNCGRSYWKMSSGSCARPCR H257_17351 MTASTKGAAKRHITQETFNECVRENMEEFDMIEEDAIADAVTQF ESQGVDLSTIVKALDVVHPVLAALKVIEADESDDETCLHVQLVALQDQLKDAVGSSGA KELAGQINGPTILLQRLPAVQDVATRILLLSTLQSMVSGNRSNQLLVGDAGVAAIFDV GVLSSHADTQTAAFSSLKCICAKNEVNKKRLTSHGIIARLVVLFRDRSMVPEGRTKDI ADVIRVLTLHDDTTSMFSQTHDIVKLFVEHGVIDAVLPYLRGVSSDPDTLSSWLAVVK QLAITEDTCRKLVAAGILDLLPTFQHHAHHRPLLSHCLGLLRNLAAVDEYKSVVTSSN LPWIISIMQQHPTEVYLQTLGCATIAAICLRSPANCDRAVQMHAHQAIGLAMVGFPDN VAMLRYILLS H257_17352 MKRPNPTIRRLSTSSTRFPACHLTPEDEAQYMALSQRLLGRAIA ECETTLIDMDSHWKYVRTIPVATSSLKVYKSTHHPSTAFGGAAAQVMATGIVSGTMDD IMTCLSADNSFAFRMNSALLMPKEHLDCEVLHTIVPLPTSSPTSSSTSDKFRFLGLKW GATKWPSASKHRDLCYLESTGVTRTSDAQGHVMEYGYCLMESIDLPAICPPLDQFSIK RVKVSLRHVFRTLPIGCTLVMSHCSIDLGSHASPSTMWMPPDLTTFPHLVSIAAAAEV ATAIRLSNALLLRHPMTADNSTTWNVPEFLRKFPRECALCHHKSDRLQKHRVVNLLGS TGIKIVAQFFCHACAMPPATPPATSPSSATSPPSPLGPIIRPRPAPTMPLAPFSEMQS RLPRLAQDPNVCPNASPVDVDVAFLDQLVRLSHLDDHLSQADHSSSGRSSDLLSVDGS QTQLVLSQSSGRNGRPTTSTTTTTSTSTSRTTMMHLPILDFDDDDEDDVVSVYSGLDI SVRDMVVYKGTTTPTTLPSTSTTTSTSTSTSYTHPVAQQLMQLNRQMQNTMDVLRRNQ CRAEMLQRDLQLVS H257_17353 MHSSASSSSGSGTGSSRFPLTPLTVAQEKQFRVQASALLNKSIQ DCERTLADVDAQWKFVRLHQGLKVYKAKPSSQAPSELMVTGIVRGSLHDIMSCMYADT SLQFRVNSALLMPKEHLDCEVLHAINTKDNTVNPFRFNGIKWCATKWPGGTMNKTRDL CYFETTGITQARDSQGQLLEYGYSIMESIELPQCPHLDMYSIVRAKMSIRHLFRELPL GCTMVVTHCTVDPSGTLPSWMTDFSTLPHLLSISRAVEVAESIRLSKAIHDQSTTATQ STTGNTKWTDRLSMFNRGSDCALCDHGGQFKLEKKRIVEAVVGGGVKTAAKYFCPVCL SQQRQNVESTEFLQPLTYSMVSKPSSATIYSDDLSDAMSELSSIYEDLAPMPPPILDF DDDDAEESVYSGLDFDYRSTCLSENSTSFCGTEDSAVLYEHASPSHSHYSMLSNSVRH DGSPRSSHGSSDQAISPSILAHQLLQLNLQMDNTVDIMRRNRLRMSSLQGPPPTLST H257_17354 MLMSSRHATERYLARTRVMPLLGTCIMCLFVVYICMISTSAVAM KDGKDVYESISKDQWLVQIQRHHDFSSIRRGILVCVFDAMIPMAASLVLELRALGNTD LIQMYHCNGELSAASHRILYMLDARLEIVDVCKDFVASGRLPAAKANDFRSFWLKPLA LVHTRLAEVILLDADDLMFHDPSQLWQTPGYKETGTVFFYDREVAKAEYLNNWSVHRF QRMLNLHVLVDTFEYAQFHLMKRPSKYLERTLAWNKHSAHEQDSSIVVVNKGATSSVA MDVLYHLVMHTRYTLDFSYGDKELFWLAFELAQVPYSFSPWANTAAASPGNMEKHPDT ICGGLAQWMPLAEAKSVLLHINGGYIFNPYVNHDMSSLQDPAARVAELVAAIPTHVSR QRKRSKPLTTPEDAANPDGFWPQECLYKRGSEAMRPQDVRAIERRIHSAMRIAMIQQR ELALDKLKKKH H257_17354 MQRSVYICMISTSAVAMKDGKDVYESISKDQWLVQIQRHHDFSS IRRGILVCVFDAMIPMAASLVLELRALGNTDLIQMYHCNGELSAASHRILYMLDARLE IVDVCKDFVASGRLPAAKANDFRSFWLKPLALVHTRLAEVILLDADDLMFHDPSQLWQ TPGYKETGTVFFYDREVAKAEYLNNWSVHRFQRMLNLHVLVDTFEYAQFHLMKRPSKY LERTLAWNKHSAHEQDSSIVVVNKGATSSVAMDVLYHLVMHTRYTLDFSYGDKELFWL AFELAQVPYSFSPWANTAAASPGNMEKHPDTICGGLAQWMPLAEAKSVLLHINGGYIF NPYVNHDMSSLQDPAARVAELVAAIPTHVSRQRKRSKPLTTPEDAANPDGFWPQECLY KRGSEAMRPQDVRAIERRIHSAMRIAMIQQRELALDKLKKKH H257_17354 MLMSSRHATERYLARTRVMPLLGTCIMCLFVVYICMISTSAVAM KDGKDVYESISKDQWLVQIQRHHDFSSIRRGILVCVFDAMIPMAASLVLELRALGNTD LIQMYHCNGELSAASHRILYMLDARLEIVDVCKDFVASGRLPAAKANDFRSFWLKPLA LVHTRLAEVILLDADDLMFHDPSQLWQTPGYKETGTVFFYDREVAKAEYLNNWSVHRF QRMLNLHVLVDTFEYAQFHLMKRPSKYLERTLAWNKHSAHEQDSSIVVVNKGATSSVA MDVLYHLVMHTRYTLDFSYGDKELFWLAFELAQVPYSFSPWANTAAASPGNMEKHPDT ICGGLAQWMPLAEAKSVLLHINGGYDAYY H257_17354 MQRSVYICMISTSAVAMKDGKDVYESISKDQWLVQIQRHHDFSS IRRGILVCVFDAMIPMAASLVLELRALGNTDLIQMYHCNGELSAASHRILYMLDARLE IVDVCKDFVASGRLPAAKANDFRSFWLKPLALVHTRLAEVILLDADDLMFHDPSQLWQ TPGYKETGTVFFYDREVAKAEYLNNWSVHRFQRMLNLHVLVDTFEYAQFHLMKRPSKY LERTLAWNKHSAHEQDSSIVVVNKGATSSVAMDVLYHLVMHTRYTLDFSYGDKELFWL AFELAQVPYSFSPWANTAAASPGNMEKHPDTICGGLAQWMPLAEAKSVLLHINGGYDA YY H257_17355 MREFSSLILVGTDIGYFSTYLNAVKSQVMVIGVSTPRERQCQCG ATHSYVATGAASTPPKATVGSDVAYKLFDTSPMVKLDTSLLRGILASLSNVDLCAPPH VSMFVGQRAARTRSHKGEKVCGILH H257_17356 MAAAPWTAGALWVNPRMLLLIGVCCWSTMFLFVMRGNWCSMDDG YLRVDHRLRTHGGGSSSSSSRGIIMCLHNGMVPMALSLVQELRTLGNTDAIHMYHCGA DEMTPLSRTTILAVDPSVQFIDVCTDMVGSGKLREDQVPSFRSYWLKPLALIHTSLDH VMLMDTDVMVFHDPALLWDVQGYKDTGTLFFRDREILIQMFLTDIIEFPDHSKKLALH ALIESFDYAKFNLEYNPSSSLLNSLAWKGEAAHEQDSSITVIQKSKAPLAMDVLFYLV TQEIHKYRPIFTHGDKELFWLAYELSHLPYFFSPWANSGSARPGDMQNHPNTLCGDLA QWVPDVRFQHVLLHINGAYVFNPHASHLAAILDMEGRREKLLSNLPTNVSAQRRRSKA LVRAHDPDNLWNDICLFNRGSEPIREQDAAAMRRKINSAVDIAIELEAAKKEIESKIT TPPAM H257_17356 MAAAPWTAGALWVNPRMLLLIGVCCWSTMFLFVMRGNWCSMDDG YLRVDHRLRTHGGGSSSSSSRGIIMCLHNGMVPMALSLVQELRTLGNTDAIHMYHCGA DEMTPLSRTTILAVDPSVQFIDVCTDMVGSGKLREDQVPSFRSYWLKPLALIHTSLDH VMLMDTDVMVFHDPALLWDVQGYKDTGTLFFRDREILIQMFLTDIIEFPDHSKKLALH ALIESFDYAKFNLEYNPSSSLLNSLAWKGEAAHEQDSSITVIQKSKAPLAMDVLFYLV TQEIHKYRPIFTHGDKELFWLAYELSHLPYFFSPWANSGSARPGDMQNHPNTLCGITT TATLYTRSLLADGTWRNGFQTSVSNMCCSTSTAHMCLIRTRVTWPPFWTWKAGAKSC H257_17356 MAAAPWTAGALWVNPRMLLLIGVCCWSTMFLFVMRGNWCSMDDG YLRVDHRLRTHGGGSSSSSSRGIIMCLHNGMVPMALSLVQELRTLGNTDAIHMYHCGA DEMTPLSRTTILAVDPSVQFIDVCTDMVGSGKLREDQVPSFRSYWLKPLALIHTSLDH VMLMDTDVMVFHDPALLWDVQGYKDTGTLFFRDREILIQMFLTDIIEFPDHSKKLALH ALIESFDYAKFNLEYNPSSSLLNSLAWKGEAAHEQDSSITVIQKSKAPLAMDVLFYLV TQEIHKYRPIFTHGDKELFWLAYELSHLPYFFSPWANSGSARPGDMQNHPNTLCGITT TATLYTRSLLADVCI H257_17357 MTAASRPSPSRVPSSRSLMYVLMIFVVSATLLSMTMLDISPFMN EHLPKYHIRAETVASTGIKTKSRGILICLFDAMIPMTVSLIQELRTLGYTDVIQLYHC NGELSSISQRMLHDVDSNIEIVDGCVEMQALGKLRHQDVNGYRSFWLKPLALVHTRLD EVILMDADDLVFQNPSRLFDVPGYKDTGTIFFYDREILKHEYLNGNYNDQTNLHALVD TFNYAGVGLTKNPSAHLLSSLAWHGHAAHEQDSSIVVVHKSKAPRAMTVLWTILQHDR HRIGFSYGDKELFWMAYELAQEPYFFSPWANTGAEKPGNMANHPDTICGGLAQFMPLV SGKSELLHINGGYIFNPYSNNDMQSMTDPDERAKQLLDQVPAFVSKRRTRSKALNKAE DDTDPLGFWPQECLVNRGSEPMRPQDVAAIRIRIKNAVAAAVTQQRLLQQAS H257_17358 MPASPVHHTRFRLPALTSVQKEHFRRLATGLLDQAVEETELLST TFNMDQDHNDYWKHVRSHHGLKLYKAAKPANGSPSADLMMTGIVQGSLDDVMTSVYAD TTAMFQMQSALSMPKDHLDCEILHAIDTTSSTHPHHPYYFRGLKWCATNWPGRTLSKP RDFCYFEATGVSTSSITTSTTNNNTDDTTFGYCLMESFDLAHCQSLDALSIVRGKLSI RHIFKQLPIGCVLVTTHCSIDACMGGPLSSWMRDGSGSSLPHLLALSRAADIAESVRL SMQLRQHAGGCLSPSRSFAGMFHGSSSSSACSLCQRHQRTNNMARKRIVEPSYGQHIR TSKRPFCEECLRTDHHSLTRPDNITCTTQQATQTLREGQQVNQALPSRRHHQQHAAAT DLPPTKVVPMLDLDYNSHDDEFDDDDGEASVYSGIDIRSTVQSHFDIYESEDEGDDVE EAGTPIMRARRTHADRTCSQLAHLTAKMDDTLRLLRRNKTHVDFCRSHGRVEVL H257_17359 MWRVSNVRRAAVPRMIPRHLSSVGTPASTQNAPDSSDVVVIGGG VVGTSIAYHLAKYGAKNVVLLEKTELTAGSTWHAAGLVTYFNPGINLKKFHNYSLNLY KTLEAETGQNVGLHTPGSIRICTTSARMDEAKFQQSRQNWHEAFQALISPDEIAAKHP LLNMDGILGGIYSKGDGYIDPYSVTMALAQGAKLHGAHICQHLPALDLSEQSDGSWHV STAKGTIHANTIVNCTGFWGRELLQGWLNVDLPLVTIEHQYVITNSIAQVAARGNDQL PVIRDLEASYYLRQERTGMLVGPYEAGTDMKVRTDWQSNGVPSEFGKELFAPDVDRLL PHLEAAMHRMPVLEEAGIQNVTCGPICYAPDALPLVGYLPHRRLRNVFIATGMSYGIA HGGGCGDYVAKWILHGEPPYDLTEIDPARSLSCHVLYVGYVHGRGWLRYGAWATPAFT AAKARESYGDNNLISHPILDKQAGRPTSRVSRLYETLAQHGAQFGLHSGWEVPHWFAT SDAEIGHEPSYFRSNSFGPTKRECDAVMERVGLTDLSSFATFQVHGPSARAFLELMCA NSVPKVGASIRICHLCTPLGKVLGELTVTALTDESFYVVTGAGTELHDLRWLDTHADA FRDVEIVNTSDTTGVLGLAGPRAAAVLAAATPPEHLTFPYMKLQHTTIQGIDVRVLGL SFTGEAGYELHVPLQHIRVVYDAVWHAGQAHGIQNVGSFAVHSMRLEKGFRVWGSDMN KDTTPLEAGLHRFLKLDKATPFVGQRALQQESQRGSLKTLVHLHVHTDIPAPGHEPLD AWGNEAVWYNGSVVGYTTSGGYGHTVHKSIALAYVPPKLAVEGHQVDVELVGHKYKAT VHLEPFMETQLMRKLKQAET H257_17359 MWRVSNVRRAAVPRMIPRHLSSVGTPASTQNAPDSSDVVVIGGG VVGTSIAYHLAKYGAKNVVLLEKTELTAGSTWHAAGLVTYFNPGINLKKFHNYSLNLY KTLEAETGQNVGLHTPGSIRICTTSARMDEAKFQQSRQNWHEAFQALISPDEIAAKHP LLNMDGILGGIYSKGDGYIDPYSVTMALAQGAKLHGAHICQHLPALDLSEQSDGSWHV STAKGTIHANTIVNCTGFWGRELLQGWLNVDLPLVTIEHQYVITNSIAQVAARGNDQL PVIRDLEASYYLRQERTGMLVGPYEAGTDMKVRTDWQSNGVPSEFGKELFAPDVDRLL PHLEAAMHRMPVLEEAGIQNVTCGPICYAPDALPLVGYLPHRRLRNVFIATGMSYGIA HGGGCGDYVAKWILHGEPPYDLTEIDPARYGAWATPAFTAAKARESYGDNNLISHPIL DKQAGRPTSRVSRLYETLAQHGAQFGLHSGWEVPHWFATSDAEIGHEPSYFRSNSFGP TKRECDAVMERVGLTDLSSFATFQVHGPSARAFLELMCANSVPKVGASIRICHLCTPL GKVLGELTVTALTDESFYVVTGAGTELHDLRWLDTHADAFRDVEIVNTSDTTGVLGLA GPRAAAVLAAATPPEHLTFPYMKLQHTTIQGIDVRVLGLSFTGEAGYELHVPLQHIRV VYDAVWHAGQAHGIQNVGSFAVHSMRLEKGFRVWGSDMNKDTTPLEAGLHRFLKLDKA TPFVGQRALQQESQRGSLKTLVHLHVHTDIPAPGHEPLDAWGNEAVWYNGSVVGYTTS GGYGHTVHKSIALAYVPPKLAVEGHQVDVELVGHKYKATVHLEPFMETQLMRKLKQAE T H257_17359 MDEAKFQQSRQNWHEAFQALISPDEIAAKHPLLNMDGILGGIYS KGDGYIDPYSVTMALAQGAKLHGAHICQHLPALDLSEQSDGSWHVSTAKGTIHANTIV NCTGFWGRELLQGWLNVDLPLVTIEHQYVITNSIAQVAARGNDQLPVIRDLEASYYLR QERTGMLVGPYEAGTDMKVRTDWQSNGVPSEFGKELFAPDVDRLLPHLEAAMHRMPVL EEAGIQNVTCGPICYAPDALPLVGYLPHRRLRNVFIATGMSYGIAHGGGCGDYVAKWI LHGEPPYDLTEIDPARSLSCHVLYVGYVHGRGWLRYGAWATPAFTAAKARESYGDNNL ISHPILDKQAGRPTSRVSRLYETLAQHGAQFGLHSGWEVPHWFATSDAEIGHEPSYFR SNSFGPTKRECDAVMERVGLTDLSSFATFQVHGPSARAFLELMCANSVPKVGASIRIC HLCTPLGKVLGELTVTALTDESFYVVTGAGTELHDLRWLDTHADAFRDVEIVNTSDTT GVLGLAGPRAAAVLAAATPPEHLTFPYMKLQHTTIQGIDVRVLGLSFTGEAGYELHVP LQHIRVVYDAVWHAGQAHGIQNVGSFAVHSMRLEKGFRVWGSDMNKDTTPLEAGLHRF LKLDKATPFVGQRALQQESQRGSLKTLVHLHVHTDIPAPGHEPLDAWGNEAVWYNGSV VGYTTSGGYGHTVHKSIALAYVPPKLAVEGHQVDVELVGHKYKATVHLEPFMETQLMR KLKQAET H257_17359 MDEAKFQQSRQNWHEAFQALISPDEIAAKHPLLNMDGILGGIYS KGDGYIDPYSVTMALAQGAKLHGAHICQHLPALDLSEQSDGSWHVSTAKGTIHANTIV NCTGFWGRELLQGWLNVDLPLVTIEHQYVITNSIAQVAARGNDQLPVIRDLEASYYLR QERTGMLVGPYEAGTDMKVRTDWQSNGVPSEFGKELFAPDVDRLLPHLEAAMHRMPVL EEAGIQNVTCGPICYAPDALPLVGYLPHRRLRNVFIATGMSYGIAHGGGCGDYVAKWI LHGEPPYDLTEIDPARYGAWATPAFTAAKARESYGDNNLISHPILDKQAGRPTSRVSR LYETLAQHGAQFGLHSGWEVPHWFATSDAEIGHEPSYFRSNSFGPTKRECDAVMERVG LTDLSSFATFQVHGPSARAFLELMCANSVPKVGASIRICHLCTPLGKVLGELTVTALT DESFYVVTGAGTELHDLRWLDTHADAFRDVEIVNTSDTTGVLGLAGPRAAAVLAAATP PEHLTFPYMKLQHTTIQGIDVRVLGLSFTGEAGYELHVPLQHIRVVYDAVWHAGQAHG IQNVGSFAVHSMRLEKGFRVWGSDMNKDTTPLEAGLHRFLKLDKATPFVGQRALQQES QRGSLKTLVHLHVHTDIPAPGHEPLDAWGNEAVWYNGSVVGYTTSGGYGHTVHKSIAL AYVPPKLAVEGHQVDVELVGHKYKATVHLEPFMETQLMRKLKQAET H257_17359 MYRGSVTMALAQGAKLHGAHICQHLPALDLSEQSDGSWHVSTAK GTIHANTIVNCTGFWGRELLQGWLNVDLPLVTIEHQYVITNSIAQVAARGNDQLPVIR DLEASYYLRQERTGMLVGPYEAGTDMKVRTDWQSNGVPSEFGKELFAPDVDRLLPHLE AAMHRMPVLEEAGIQNVTCGPICYAPDALPLVGYLPHRRLRNVFIATGMSYGIAHGGG CGDYVAKWILHGEPPYDLTEIDPARSLSCHVLYVGYVHGRGWLRYGAWATPAFTAAKA RESYGDNNLISHPILDKQAGRPTSRVSRLYETLAQHGAQFGLHSGWEVPHWFATSDAE IGHEPSYFRSNSFGPTKRECDAVMERVGLTDLSSFATFQVHGPSARAFLELMCANSVP KVGASIRICHLCTPLGKVLGELTVTALTDESFYVVTGAGTELHDLRWLDTHADAFRDV EIVNTSDTTGVLGLAGPRAAAVLAAATPPEHLTFPYMKLQHTTIQGIDVRVLGLSFTG EAGYELHVPLQHIRVVYDAVWHAGQAHGIQNVGSFAVHSMRLEKGFRVWGSDMNKDTT PLEAGLHRFLKLDKATPFVGQRALQQESQRGSLKTLVHLHVHTDIPAPGHEPLDAWGN EAVWYNGSVVGYTTSGGYGHTVHKSIALAYVPPKLAVEGHQVDVELVGHKYKATVHLE PFMETQLMRKLKQAET H257_17359 MYRGSVTMALAQGAKLHGAHICQHLPALDLSEQSDGSWHVSTAK GTIHANTIVNCTGFWGRELLQGWLNVDLPLVTIEHQYVITNSIAQVAARGNDQLPVIR DLEASYYLRQERTGMLVGPYEAGTDMKVRTDWQSNGVPSEFGKELFAPDVDRLLPHLE AAMHRMPVLEEAGIQNVTCGPICYAPDALPLVGYLPHRRLRNVFIATGMSYGIAHGGG CGDYVAKWILHGEPPYDLTEIDPARYGAWATPAFTAAKARESYGDNNLISHPILDKQA GRPTSRVSRLYETLAQHGAQFGLHSGWEVPHWFATSDAEIGHEPSYFRSNSFGPTKRE CDAVMERVGLTDLSSFATFQVHGPSARAFLELMCANSVPKVGASIRICHLCTPLGKVL GELTVTALTDESFYVVTGAGTELHDLRWLDTHADAFRDVEIVNTSDTTGVLGLAGPRA AAVLAAATPPEHLTFPYMKLQHTTIQGIDVRVLGLSFTGEAGYELHVPLQHIRVVYDA VWHAGQAHGIQNVGSFAVHSMRLEKGFRVWGSDMNKDTTPLEAGLHRFLKLDKATPFV GQRALQQESQRGSLKTLVHLHVHTDIPAPGHEPLDAWGNEAVWYNGSVVGYTTSGGYG HTVHKSIALAYVPPKLAVEGHQVDVELVGHKYKATVHLEPFMETQLMRKLKQAET H257_17361 MEQVGAKLLDMNVPLDVAMLDEVVACMQNPNNPHHAMANEIMVA FQAHQDSWTRVSQILETSTYQPTKYFGLQILEDAIKYKWFIMPKEQREGIKQYIVGKI LNLAADESTMRKERLFISKLNLVLVQVLKHEWPKNWPSFITDICTSSQKSEVLCENNM LILKLLSEEIFDFSKDQMTEKKTKALKESLNHEFVQIFRLCEFVLDKSTHIPLLTITL QTLLRFLSWIPLGFIFETNLVETLVKKFFATQAFRNDTIACLSEIAQLTDVPQTYDNV YVQMYLAILHELSRIVPPGHSMERIHHLDSVFVQGLSLFFTNFFRHHIRVIEQPITRP SDEAHIALLTGFQYLVSISEVDDDNIFKICLDYWHLLTRDLYSIDQTQAQSHGMNVLA LTRRGAEPDPLTRKSLLKVILSRLRVVMISKMVKPSEVLIVEDENGEIVRETTKDTEA LSQYKTMHEGLVYLTHLDYDDTENIMLEKLTDQVEGNGWSWNNLNTLCWAIGSISGAM SEENEKRFLVTVIKDLLGLCEMKRGKDNKAVVASNIMYVVGQYPRFLRAHWKFLKTVV NKLFEFMHELHPGVQDMACDTFLKIAQKCRRKFVVLQPGEPYPFVEELMMELPKTVSD LEPHQLHTFYEAVASMLAAETIPARKDTLVAELMKLPNAAWQNLMQQAAHNVDVLFDA QAVKEIVKIIRTNGNVCKAIGPNGFNAQMGTLFQDLLNVYRTYTQRIAQRVAQGGDIA TKSAEVRSLRSAKKESLRLFEAFVEHSSADDNGRQTIARHFLPLLLEVVLSDYKTTVA SAKEAEVLTLLATCISKLKAAVAPAAPGMLEAVFECTLQMITRNFEDFPEHRVNFFKL LKAVNEFCVDALFNIPSEHFKLVVDSIVWAFKHTERNVADTGLETLFALLLNVRENET LAASFYRSFYLSLLQDILVVLTDRLHKFGFKMHAALLKHMFSLVEMNQVNVPLWESLP GMPPVMPVGQTNSQFLKEYVANMISTSFPNMSPAQVRAFVVGCFDMTKDLAAFKKHLR DFLVNVKEFAGEDNADLFLEESLAMTQERLARETNARLAVPGLVNPHERPDANADDMA DL H257_17362 MVQRLQGGSSFMKMLRGASNNQRTPQTPGTPQQPHPTDKKVVQP PVLADEKHMHPTTPQPGTNKLTQMWRHIRRRRDDSFPRHGSASFVLHGEDAMAVGPRY HIVADGISTSTHPSNNPAGPSLSPSPSAVLARALVHAVEQVLLHDPPPVHLADFENMI VRAIISAQAHCRHVSPSMGSTLVVSFVQGKHLFTFSVGDSKCLVLRKARIAYETLAVM KEFNVPWTVTHHPLRSHMYVVQRIPLKKCDIVLTFSDGFGDNVYKDEVLQLVASAQVT SVHPSDVCSRLVHHARAFVPADTSQESALPFAAAAAAAYVARVNEMNHDNKEVHIRKD QLVDRFKSKWPQLLARQVLIAKTNTKPGDVSHYSLAQLYRMATLTKNKPDDISVAMLE FG H257_17362 MVQRLQGGSSFMKMLRGASNNQRTPQTPGTPQQPHPTDKKVVQP PVLADEKHMHPTTPQPGTNKLTQMWRHIRRRRDDSFPRHGSASFVLHGEDAMAVGPRY HIVADGISTSTHPSNNPAGPSLSPSPSAVLARALVHAVEQVLLHDPPPVHLADFENMI VRAIISAQAHCRHVSPSMGSTLVVSFVQGKHLFTFSVGDSKCLVLRKARIAYETLAVM KEFNVPWTVTHHPLRSHMYVVQRIPLKKCDIVLTFSDGFGDNVYKDEVLQLVASAQVT SVHPSDVCSRLVHHARAFVPADTSQESALPFAAAAAAAYVARVNEMNHDNKEV H257_17362 MVQRLQGGSSFMKMLRGASNNQRTPQTPGTPQQPHPTDKKVVQP PVLADEKHMHPTTPQPGTNKLTQMWRHIRRRRDDSFPRHGSASFVLHGEDAMAVGPRY HIVADGISTSTHPSNNPAGPSLSPSPSAVLARALVHAVEQVLLHDPPPVHLADFENMI VRAIISAQAHCRHVSPSMGSTLVVSFVQGKHLFTFSVGDSKCLVLRKARIAYETLAVM KEFNVPWTVTHHPLRSRTIPPCICGFLRLWAIEFVSRENLAVLYSFLKDWILFSMKFS LFCPIF H257_17363 MVLSIQPLRASQASRIWFPLPPSAHRLKCSGSPRRSMPKPASTR QVLAPSGPPCTTLGTPFDPSTWVQEWSSPTLPGALQWFMHFWFHQAFPFRTSVDPPLC LFYHEPNGPAHFLALPTSPTPLDHGLPHLVQCAHPPSPVRSHGLPSPQCATPHRTLDS RFTRLEITWYFHRPPYLSGLATSTGFSAPYAMERPLYRTEQQLPTTAPDIFLPLAHSG TFPRFVVLACPTQTPFARRHLPASRPAMHSYHSPPTTSTARTDPNAQGRPHVLYEACG GAGAIATYTVHHVSGSIANNITAYDGDSQIVLCQMCGLYRVRHVGLQDVYRRTRSLAV EFQIHRPREGNQAANFLSKRAPDEAKDISALPGPSLTPLTPV H257_17364 MVKFLALAAVAAFAAADIEIVNGTVVPIGKYTYVTGLRPNETAA SICGASLVAPKILVTAAHCVTRPWSTYASIGSHYLNGTKDGERIKIVKRTLHPKYNKA TRHDYDVAVIELETESKFPPVKLNWDEDQFTAPGVVSWVRGFGRIYSGGPASPVLLET DVVIWENSKCHAALNKYHNLNVTSSMICAGGGFKDTCQGDSGGPLTVTRNGEEYLAGV TSWGIGCASPGLPGVYVRVSEVRDFIEPFLPKAAC H257_17365 MALTVMKHFSTWDKHAADFGFKAPRFEKLIMRVVMTIEPLLSEQ LIVCPTMTSLTAAGRLFSNFPYALYAFDVKFQPSLRPTGRFAEKKHYFSGKHHLYGYR IEAVVSPDGRCVAMIDAHPGSVHDLTILHTRCELHTTNLTKSACEATLPDNGELSAEY LVTWAFLVDMEYIGVHHTLHGIHPNRRPQNGALDAADVERNRQVSSVLVVVENFIGRV CSFWKVSHATFTWGEKIDGVFQRTTFALTNFHLSLMPARAKDEDYYALVMARYQGMSN ERKRKCAESQRRYRMNRQNRIAIDRSVRYMHSSVI H257_17366 MEEDVGDWLVDASSDTDQGPMEAQGEDALSVWWCLDKSKSPPCR TRTKVPTRMQPLQHTEEIDTPRAALAGH H257_17367 MGKGPRGFYLTPSEDVPERRTQNKRFIGQVMVLAAVARPRFDRQ SNQHFDGKLGIWPFVTQVAAARSSKNRPAGTLETKCVNVDRAAYRDMLMKKLIPAIVA KWPRDTKRIRLQQDNASAHVAPGSFVLVGTIIRFLISGSPAWANYLVNLNFRMLSLHE VQLSDLGQI H257_17368 MARASHEDIPTATKMAMQVELRTTAQYNTLPHGTLTRLATKVRN EDVLDAVAGVDIQLRRTIRSTAHHALISKSTLNRRLQEVVLDLKPALTPEHMSSRVAF CLSNVIQNDASPSVFREFDDFIHVDEKWF H257_17369 MVRLVRSWMKHLLEFLAGSQHFLQGRTMLTISNRGPGIHPTSTD DANDTALEEAPAGINGRQLALPRRRQRTPSARSDEHHNLLQELVVVECAVYITCT H257_17370 MFSIFKAATVLALVAVASADDILDFFKGTESLCDADQSQPGRCL SDAHFPKLYCTAWLWGSEGHLITNNHCVPNEIKANQTRVEFGSECSTCADPDNNVKGA CIGAIVANSTTLVFTDKSLDMTLLKLNLNPGVNLTQYGYLQSRAVNASLDEQIYILGH PQGNPKRISYLNDDGQAVRITNTSAESKCYEQDTLGYNVDTEHGSSGSPIVGVHDNEV VGLHNCGGAESMVVTPATR H257_17371 MDASGEDTEEQRGAASLLQVVQKELQAHTSAIRVVLTKLAERLV ALERRVDGKSAAVQSMETLLHSMTGQLHDLHGNMGEWQEPVQMMRSNMQALVNTCDKL DQTSTAHSTLLERQAHALHSLNTKLDDTTKSSATSTKQEIEVLVQKTDSLHGHVLQQL EHTKTDLATKLDDVTKKVNVLDQDMQEMKEVKPLPPPSVPPPVVVPVLPEGTTKIPVE VHARRLAAGKPKGGRLTDLKQFRIPDDMQERLEHNIAALYATSVDDLVRPHTPDALLK PAADVLPWSGTSCRHHLPDVEPPTSANQMAFVRHHTQDDSVSATADMRNHMQSKFRAI YAMVNAARVDARGKQDALHVIMNRHVDGLHAKIKLLREQVHQLMPLAPPHQPAASLLV VADHEGSSVPALRLALLELSRNLHVVRQSKKHMSVDMRRNVDKILDVLNDAYHVLSSD ETLAPAVVIKHTERVARVLAFGIQSTVELLSTTDAVSSKEMRNTLVAFSDAVTSRLQA SDADEVARRRAAAVEQQLEQLKTDTHGALLSMSARLDELKQRGTMASLGERGATTVQS RHDINERGKLLAAFEHDLHQMKLHLQTTDAMLQKLSSDLEHTTRLVSRYVPNVHASDG DSPSKAKKYAAKSSRATLQALNPCSISATTFPKQTSLSTLCVQNALRQPIRKAPFTPP STKHHPESS H257_17371 MDASGEDTEEQRGAASLLQVVQKELQAHTSAIRVVLTKLAERLV ALERRVDGKSAAVQSMETLLHSMTGQLHDLHGNMGEWQEPVQMMRSNMQALVNTCDKL DQTSTAHSTLLERQAHALHSLNTKLDDTTKSSATSTKQEIEVLVQKTDSLHGHVLQQL EHTKTDLATKLDDVTKKVNVLDQDMQEMKEVKPLPPPSVPPPVVVPVLPEGTTKIPVE VHARRLAAGKPKGGRLTDLKQFRIPDDMQERLEHNIAALYATSVDDLVRPHTPDALLK PAADVLPWSGTSCRHHLPDVEPPTSANQMAFVRHHTQDDSVSATADMRNHMQSKFRAI YAMVNAARVDARGKQDALHVIMNRHVDGLHAKIKLLREQVHQLMPLAPPHQPAASLLV VADHEGSSVPALRLALLELSRNLHVVRQSKKHMSVDMRRNVDKILDVLNDAYHVLSSD ETLAPAVVIKHTERVARVLAFGIQSTVELLSTTDAVSSKEMRNTLVAFSDAVTSRLQA SDADEVARRRAAAVEQQLEQLKTDTHGALLSMSSSSGGPWLLWANEGPRRCSLATTST SAGSCSQRSSTICTR H257_17372 MAHIITAVASQITDVVPIRRALLSVSDKTGLVELAAFLAGQGIE LLSTGGTAKAMRDAGLSVIDVSEYTESPEMMDGRVKTLHPKIHGGLLGVRGNKQHEAD MAAHGIKNIDLMVLNLYAFEATVKSGGNFETCIENIDIGGPSMLRSSAKNHASVVICT NPSQYPTLIEEMKVHAAGTTLSFRKKCAAAAFTLSSQYDTQISNWFNAQLGGPQANQV TQVYTPALTLKYGCNPHQNPASISTINGAKLPFKVLNGTPGYINLLDAANAYQLVAEV RQALNLPAAASFKHVSPAGAAVYVPLDDKLKAAYEVGNIELTPLSVAYLRARNADPLS SFGDFVAVSDVVDEATAKVLKREVSDGIIAPGYEPAALKILAEKKGGKFIVLEADATF VPPAMEYREVYGMTFAQRRNDILFNHSHVADVQTLVSPLTDAAQRDLILAAITLKYTQ SNSVGYAKDGQMIGVGAGQQSRVDCVKLAGRKVSVWHLRQHPKVAALAFKPSVKRQER VNARVRYIEGDMAPVEKAAFDAQFDVVPEPLTDAEKTAFVAALTGVSLASDAFFPFRD GIDHAAKLGVSFITQPGGSNRDDEIKSACQEYGITMTFSGVRLFHH H257_17373 MASQRESSLELRVGKKFRLGRKIGSGSFGDIYLGTNMTSGEEVA IKLESVKSKHPQLLYESKIYKILNGGLGIPNIRWFGVEGEYNVMVIDLLGPSLENLFD YCGRRFQLKTVLMIADQLISRLEYCHSKNFIHRDVKPDNFLIGLGKRAQICHVIDFGL AKKYRDPKTHQHIPYRENKNLTGTARYVSINTHIGIEQSRRDDLESLGYVFMYFIRGS LPWQGLKANTKKQKYERIMEKKMNTPIEVLCKGYPAEFRAYFEYTRALRFDDKPDYAY LKRLFKELFFRKGFQFDAMFDWTVLNLQNGRRDGSGSTSGTPAAQAAPPALAPAAGQL GTPDQVPGGYDGRGGIGLMGASSGVDPRVRVDPRLQDPRAASSNRLYTREDSKDSDVN FQEMQRMQDLRMQPTTAPAGYANNGGVRDDRLYVAPRLAPTGVDLGESKLGQRQRY H257_17374 MEVVVRQYLVEADGRKLLLQDDDTARTFIDDLSRNDDLHATGNE HPGDNQLYRGMRSDSYVTQLAQVLAAWKETSRHPLLELPNVPMVGVTEDKSSLLRVLG IPLEMVVHVDSVASMDSFITAIGGARGILTLLGVRCTVGSVVVCPPTRAQCLEAFNRR QSSDSKSSILTIGARQWTKHVQRSLNGWWGVNKGSEAAKNAVAECMIVQLLDSTVWKN IHGLPNGPVVFEIRQHEGYGARWSIQGGKDVHFRGFVEPYFENGHDVGWMH H257_17375 MGLESFDLDAFAAKYAGENRVRHLMYIVEYGINPQHVFENHDNR ELVVQLAKDALRLLLSDVEASKTTTVNTTLYRDLTTKFKEYLPLDYHPDVTFVDTATR ANAARHERLEQELNSYKSSMIKESIRIGYNDLGEFYYRTGDLANALRSFIQARDYCTT EKHMVDMCFNVIKASIHLKNYTNVNNYLVKLEQSIAAPSSAAADSDPTVPSQAAATSG LVHFVTKKYHAAALKFVECHVDIGDKYKDVIHAEDIALIGGLCAVATFSRAELKDRVM QNPSFKAFLELVPRVRELIAAFYAGHYATSLHILADVTQELALDVIVAPHVLDLINEI RHRAIAQYFNPYLSVDLKVMAVAFNTSVAAMEAELTELIVANKLQARIDSHHEVLHAF QPDHRAATFQKAVDMGRQYAADTKALVLRMSLVKHNIVVVNPTSANDRHSRHYHHHPP HQDD H257_17376 MTAARGGIGLNVGEKNAGDGIQPLANVSMNNTHMQLQTTSDSGV LRRVKTALTPMSLDAKLDHVIAVEDRIALEVAAYKQRLADIEWDANSSSTNLNLSSIL RRKPSCRSSLARVKLHVEAALHKRAIEVLRSSAEVDAVSFSLQWKDRLRRDSIYRTLF SQGKWLRTALSHVKSVPVSCMRRLSADEVHAAPEHHIRRLSLDETTKTHHLHHSWSSL THLLASAPLTAPHQDQPCPSYLAFELHLVTSRFDQTDLGMAFESHCCRSLNALASTTS FQTLVGQLARALADEHDVPPSHQPALLRLTRQMVHARLGAVFVAPVASALERDQASWT QHASPSARARALHQLQEVGLPAASTLWLRRSVAALEALPYFMPDHTLDAFLGVISTLH EEVSASLARPAQSLSADIILPVLVALVLHSHAPFLIAQIHVMETLALTDGRDGGEAAY YVALVQSAIRHVTQVDG H257_17377 MDAQARARPLDLVVGRKFRLGRKVGSGSFGDIYLGTNMTSGEEV AIKLENSRSKHPQLMYESRIYKILNGGMGIPNLLWFGIEGEYNVMVIDLLGPSLEDLF NFCGRRFTLKTVLMIADQLLSRIEYCHTMNFIHRDVKPDNFLIGLGKRAQICYVIDFG LAKKYRDSKTHQHIPYRENKNLTGTARYASINTHVGIEQSRRDDLESLGYVYMYFLRG SLPWQGLKANTKKQKYEKIMEKKINTPTDVLCRGFPTELRAYFEYTRALRFDDKPDYA YLRRLFKELFFRKGFQFDAMFDWTVLNLQAGRGDTAAVSSSHHAVAAPGYTSAMPDRR PSPPFLNPSTARYPQDNVQVANMGGGGYGLQRSDSRDAMGRKGAYDQQPPEATTQYQP TSYAQQQQPYYHRSQPSPQQQSRPMVQRGDSTTMMMGRGDFLDDPTGAMIAPMRRIQS VTRDVGEPPQQYVQLPHKYPPSADDLPGRFQRY H257_17377 MDAQARARPLDLVVGRKFRLGRKVGSGSFGDIYLGTNMTSGEEV AIKLENSRSKHPQLMYESRIYKILNGGMGIPNLLWFGIEGEYNVMVIDLLGPSLEDLF NFCGRRFTLKTVLMIADQLLSRIEYCHTMNFIHRDVKPDNFLIGLGKRAQICYVIDFG LAKKYRDSKTHQHIPYRENKNLTGTARYASINTHVGIEQSRRDDLESLGYVYMYFLRG SLPWQGLKANTKKQKYEKIMEKKINTPTDVLCRGFPTELRAYFEYTRALRFDDKPDYA YLRRLFKELFFRKGFQFDAMFDWTVLNLQAGRGDTAAVSSSHHAVAAPGYTSAMPDRR PSPPFLNPSTARYPQDNVQVANMGGGGYGLQRSDSRGTDACVVVGCESLAMCV H257_17378 MSSPRRTSVAKAGQSAAPVAEVVKPKPERFVLSSGHDAHDLLEA AETELKGCSGTLFDHLEQKNLEPTPLDSLSDDGDDADKDNDADDHLRQQVVYTTNLHL KALPRHGNRTRRMIMSTPTKRVSKAKPKGPRTYDELEALMKSLDHSLTEKDKLLHQQH TIYQVAINHLSQDNIVKQQRAIRFTTMQHEVEHMQHHVQKNTIMRVDFDEETTPRSAV YRHAAHMTDVAALGVRIYNVNDHPREATDRIVVARRLSATPSTPHNKAKHNSGRQYVN QAQPRLMKNQKYIERENEFL H257_17378 MVCDKCEAKLSKVIVPDRWKDGARNTAGGKDGGRKISGNALLGK KHRFTPMSRSCRICKTKVAQEAHYCQNCSYTKATCIAFVALLGMSSPRRTSVAKAGQS AAPVAEVVKPKPERFVLSSGHDAHDLLEAAETELKGCSGTLFDHLEQKNLEPTPLDSL SDDGDDADKDNDADDHLRQQVVYTTNLHLKALPRHGNRTRRMIMSTPTKRVSKAKPKG PRTYDELEALMKSLVLCFHHHPSRLSTPTTAYALLRTTRSRRRTNYCTSSTPSTKLRS TT H257_17379 MMMEVSPGKTQLGSDSINAAKKDHEATAEDDEMTHTEDLVFSPY VCQSIVIGQPHPGTISEAALLSTAPLPPCTYPVDAFPTELIEHGKLTNLQLEGVLYAC MQHQRVLPSGARSGFFLGDGTGVGKGRQLAGIVLENLCRGRKKHLWFSVSNDLRVDAE RDLRDIGCHVDVIDGCHQLEKEKAKGFGMSSKTGVLYSTYSTLTSNYSHPTKSRLLQI VAWCGGANFDGCILFDECHKAKHGELSDKSATASSKIALAVQKIQVMLPNARVVYCSA TGVGGIEHMAYMSRLGLWGPSTSFQGFSEFLRTIKNRGMGAMEMLAIEMKLQGKYLSR GLSYVGAEFELDTVVLSDSQRRLYDNAVAFWNVLIPSLERACNITRTRGDASRVMWGF HQRFFRQLCMCLKVPFIVSTVKACLASGHCAVIGLQTTGEASMERCNLVDSKTDSLVS LCERILIDLVTLHFPTKINMDKPNNVHATSLDTFEPTNSFLTATTDSFQAEQDIGPVA TLADGTKESLVCLELKNSLLATIPTLELPPNPLDHLLDALGGDAQVAELTGRRHRIVL SHGAYVMKSRGLNLDQVNQDERQAFMRGQKLVAIISDAASTGISLHADRRCQNQRRRV HITLELPWSADKAIQQLGRSHRSNQSCAPIYKLVTTNCGGETRFVAAVAKKMMTMGAL TKGDRRAGSGQDLSGYHFETKYGFQAIREVLRCVCSGYFVEGVDVPALIQACPSLVSP LYMNAILVQNLKNIGLYPPSQSVSVKLFLNRLLGLAPTHQNALFHYFEKALQAVIAKA QQEGKYDEGYDDIKGRKAMLMNEADIGSNVTYSHILVDRGISWDEALSIYDNVASEYQ AEFYMSDQKYFGEYLYMLMITGGRSCSVIRPNTGYMFLEPTKIDDMRDKYSTITSDKA ERGWKRIFDHCESRCVHTSGCKQGETCRVGIRKLQYHLVSGSLLPIWKVLEHLVTGQT DVHTNSNSAALRIVRITLTNSAKQKLVGVNFPPDLISTLQATLMTLAANTSTHVDPVT PIDMKTKERVCQAKRTMLTFFAPQPGAASSSSKRQRVDETPATVGTSTTSQPSSMTPA AKSGREFKKASPPTKQRPVSAYFAPSIIHPVAAPPPVKTVLHTSDSCIDLVSSDDEL H257_17380 MAADKEDANKAAAGHGGNNVAQVVKKIEGHYPIWTRIVRVVWNF LVDLVLGTCELQRICSEVTKDTRGMMVKVRTNVALDRGLKDVQQDIFDFKPFDVTSTL LRVGEIKQFAISKICESNLRSCFIRFREVNEVYSQALALKDEAYDSTNDQHEALLEQL WTNLKPDVRRSGGRYTKEWGEIGFQGQDPMTDFRSMGLLALTQLVYYTEHYPVEARRA LVHASHPTQWYPFAVTGINITSFVVDVVRERLVDSRLYDKDCNLDELHEFYCMVFSMF DALWVESNPTDLMAFPAVFGMLQETIRLELAERTFA H257_17380 MAADKEDANKAAAGHGGNNVAQVVKKIEGHYPIWTRIVRVVWNF LVDLVLGTCELQRICSEVTKDTRGMMVKVRTNVALDRGLKDVQQDIFDFKPFDVTSTL LRVGEIKQFAISKICESNLRSCFIRFREVNEVYSQALALKDEAYDSTNDQHEALLEQL WTNLKPDVRRSGGRYTKEWGEIGFQGQDPMTDFRSMGLLALTQLVYYTEHYPVEARRA LVHASHPTQWYPFAVTGINITRQV H257_17381 MVLTGKQREDLHQAILEYLSGLGSTFAQSATAFQQDAGLTMAGE SDTTKTGLLEKKWTSVVRLQRKVMELESKIQQLEEDSKLGGVVSRRDVTGIGRDPSTF LPRAPPKYSMSGHRSPITCVVFHPVFSVVVTSSEDATIKVWDFETGEFERTLKGHTNA VQSVAFNPTGTILASSSADLMIKLWDFSSDGSYECKKTLRGHDHNVCGLVFFPSGDHI VSCSRDTTIKIWEVESGYCTQTLKGHSDWVRDICITDDGQFLASGGNDRAITLWDLAQ GKAIQCMREHEHVVETLQFAARGPQGAAIEAIHGKKVAETTGQTVARYLLSGSRDRTV RLWEAFSGLLLMNFVSHDNWVRAVRFHSSGKYAISASEDKTIRVFDVESGRCVRTLKD AHSHFLTTLDVHPSLPLLVTGSIDKFVNVWECV H257_17381 MVLTGKQREDLHQAILEYLSGLGSTFAQSATAFQQDAGLTMAGE SDTTKTGLLEKKWTSVVRLQRKVMELESKIQQLEEDSKLGGVVSRRDVTGIGRDPSTF LPRAPPKYSMSGHRSPITCVVFHPVFSVVVTSSEDATIKVWDFETGEFERTLKGHTNA VQSVAFNPTGTILASSSADLMIKLWDFSSDGSYECKKTLRGHDHNVCGLVFFPSGDHI VSCSRDTTIKIWEVESGYCTQTLKGHSDWVRDICITDDGQFLASGGNDRAITLWDLAQ GKAIQCMREHEHVVETLQFAARGPQGAAIEAIHGKKVAETTGQTVARYLLSGSRDRTV RLWEAFSGLLLMNFVSHDNWVRAVRFHSSGKYAISASEDKTIRVFDVEVRDNDRCDVM PVD H257_17381 MVLTGKQREDLHQAILEYLSGLGSTFAQSATAFQQDAGLTMAGE SDTTKTGLLEKKWTSVVRLQRKVMELESKIQQLEEDSKLGGVVSRRDVTGIGRDPSTF LPRAPPKYSMSGHRSPITCVVFHPVFSVVVTSSEDATIKVWDFETGEFERTLKGHTNA VQSVAFNPTGTILASSSADLMIKLWDFSSDGSYECKKTLRGHDHNVCGLVFFPSGDHI VSCSRDTTIKIWEVESGYCTQTLKGHSDWVRDICITDDGQFLASGGNDRAITLWDLAQ GKAIQCMREHEHVVETLQFAARGPQGAAIEAIHGKKVAETTGQTVARYLLSGSRDRTV RLWEAFSGLLLMNFVRCLP H257_17381 MVLTGKQREDLHQAILEYLSGLGSTFAQSATAFQQDAGLTMAGE SDTTKTGLLEKKWTSVVRLQRKVMELESKIQQLEEDSKLGGVVSRRDVTGIGRDPSTF LPRAPPKYSMSGHRSPITCVVFHPVFSVVVTSSEDATIKVWDFETGEFERTLKGHTNA VQSVAFNPTGTILASSSADLMIKLWDFSSDGSYECKKTLRGHDHNVCGLVFFPSGDHI VSCSRDTTIKIWEVESGYCTQTLKGHSDWVRDICITDDGQFLASGGNDRAITLWDLAQ GKAIQCMREHEHVVETLQFAARGPQGAAIEAIHGKKVAETTGQTVARYLLSGSRDRTV RLWEAFSGLLLMNFVRCLP H257_17382 MATNSKAVPPFTLDKPRYDQSTYFGRWKHFNELVSPSGFLLSDD EIAKSQQLIDNFKTGTLAPGQVPDADLWKARRVVETVFHPQTGEKLPVLFRMPAFVPV NIPICSGMILAPPTLFNTVFWQWINQSYNAGFNYANRNASGVDDTSAIAKAYASAVAI SCATSVGLGKAVERASFLAPTVRSVLSKTVPFVAVAAAGAGNAVFMRLSELTDGIDIL DDEGVPRGRSQEAGKQSLTQVALTRVALPVPILLFPPFILEAVKKANALPKNRFGRVF TEIGIVTLCIWGAFPAAIALFPQYGSIPASQVEPQFQHLKNSKGQPITEFTYNKGI H257_17382 MATNSKAVPPFTLDKPRYDQSTYFGRWKHFNELVSPSGFLLSDD EIAKSQQLIDNFKTGTLAPGQVPDADLWKARRVVETVFHPQTGEKLPVLFRMPAFVPV NIPICSGMILAPPTLFNTVFWQWINQSYNAGFNYANRNASGVDDTSAIAKAYASAVAI SCATSVGLGKAVERASFLAPTVRSVLSKTVPFVAVAAAGAGNAVFMRLSELTDGIDIL DDEGVPRGRSQEAGKQSLTQVALTRVALPVPSTYIHSTFVFLFGFLIYTHSCRSLSVS LVLLFPPFILEAVKKANALPKNRFGRVFTEIGIVTLCIWGAFPAAIALFPQYGSIPAS QVEPQFQHLKNSKGQPITEFTYNKGI H257_17383 MRCIGLFNLAARRLAWQTEDGLDAAHSIPASKYALDTWRERVMR EPPVMSTALLAARTITASPVVTLAVVRASGVRAGDLEVFARLSTVVDGVGATITSVVL VKLDSAK H257_17384 MSTAPSGRMLNDSAYPTTLVTTPPCFLMYFWVHPPPATPTTLSV PLVAHAWVVDFRSASTLNAFATLFSKRPPWKRFPDRMDPATWTWWRSMSTDASAPPAY LSLPRLSGTKKPPPPAIASTSKARPHSTLNSACAPPSKNTYSRLSTQSSSGRHWSGSA FLMSLVASVGCSMKDLSTLNAECTDRSPQDSLHSAPSVWRVKDESMEKLISVASEIHW AKLVTNSSVSSFSLAM H257_17385 MATRRFDVAGNFIEPSIGDDATTSGDLRAPYEIARLPTMEMMLS VPPEFIVRHVHLGAEAELLLHIGDLGAKLDNVLEVTLEQASDYARCHSILKASVQSSM SNGLYVSESVTRHHAALGIDATNLTLLDSHVHAVLDQLAAEQNKLRRFLATNRMFVHE LEASGESAALLKSKAQIVQVEELLAMRVQSILTSHMPLSVWNPLEMWPDFVYSRDSQA THISETEHKADESLSSSSSLFAATRHRDDAPLFDDNPIVH H257_17385 MATRRFDVAGNFIEPSIGDDATTSGDLRAPYEIARLPTMEMMLS VPPEFIVRHVHLGAEAELLLHIGDLGAKLDNVLEVTLEQASDYARCHSILKASVQSSM SNGLYVSESVTRHHAALGIDATNLTLLDSHVHAVLDQLAAEQNKLRRFLATNRMFVHE LEASGESAALLKSKAQIVQVEELLAMRVQSILTSHMPLSVWNPLEMWPDFVYSRYYP H257_17386 MPRMAAAGSTRDSALFGSRSSSGAITTRKPPVPKFRPKKKPTSS CAVVEPPVSTLALVLQRAKKDDMDAAVAAATSNFSTAPSSPRSPLCRCSTHLLDLYNQ AHSPSNSTGPPPSSPSPTSRRSSMSRSPHRRRSSHHPRSPSLVSWSPSEPEVDDATLW ENTHSDIAKLQSKLDVRETLKFDPPAPHALGCRECGSKDLAAFCWKGCRNFTPLDELY NANESMVDKQQQLEATVYAQGIALHAADMNVKEHEQSLHVLQHEIVRLVEWAKDKRDP LTTQSVASEPTSSTLLAVKAMHHRFDLDGDGVLSMAEMNKLKAALGHHTTYTPETFDQ LLESHRLSVRPVRFVEGGGGMQVGVTPEGLRQLYDVVGGSMLAQDLHTLGIHVGRTPE HATSLEVSHVLLMELQADLTVALDSQAQLRVEVEEKTKALARVRESVQTMTLHAASHQ EEINVAKNAQKRVQDELDVLKATFDAYVHTNTHSKVEMASAQQAALEMRRLLEIQIEE TETWQRQCWALQEQLNAATTTCTEHKQKLWQTNEAKKSQERKNMLLYMQAQLGKKHPP TA H257_17387 MDDWSNELSNEIAALAGGQALEERQSSLQKRSAETWAEEEANRK RAKSAMDHAAHPNSFASLSDHLSSMQNCGNDIDNTTHQDAMDTAIAVPVPTRVPARKS SADVFSYREEPATMDLMGDTEDVQFASQLTNAALNLTETQPESASAVYKRIKLHPHVI ENISPEFHANLVQLNMAEFEIGPLCPVVTLRRETFVKAAKGCREQHSFRITKMSKDHV AFELLQEPGGRYQVYAVDKNSVNGTKVDGEVIPRGGKMLLRHNQCVTILSSTAGTVLL GYIVEDPHVLMAQQIAPTGQPGTAPLPTIHSLENVLGVLFAAPLVGKDHQGNCHPLDE LDLAKEYNNLKDALIDASKRMRLKPSDPTVTEVSREVTLSVHFATSDSLRSLMTLGCR AFHFSGHGSPQHLYFEDGLGTVHPIPIHDLKNLCVSHNSPLRLVVVQACYSHNVATAF LACGIPHVIAIKFDQKIEDVASSVFTKAFYTALATGHSVHESFRIGQEAVRSSPRLAQ AAEAASKFELLPDGADHSEVIFPTLDVPTSAAPTVAATPTRFPVLWGSTKLPAGCSHF CHRTIEQYQVIHELVKEQPSVSRYVWISGPDGVGKTQLAYACCRYMYPRRYFAGGIRF IHVNQVAATRGGLKDQQHVLTSIRLQIDEFVRETEHGSYERQPLTSSLFYAVACRSQV TAGTLKRMLVVLDECDTVLSTDADQRAFASVVHNVLTNHFALKLIITARTTIVSDRLQ THGGSQFRLTSLSPTKSADLLRRHVTRKLSLHDVQLSPLAKTLQHSNPVENLTRVLAA HPLVARTHGVPKAIVQAAARINAATATTLDHLATSLV H257_17387 MDDWSNELSNEIAALAGGQALEERQSSLQKRSAETWAEEEANRK RAKSAMDHAAHPNSFASLSDHLSSMQNCGNDIDNTTHQDAMDTAIAVPVPTRVPARKS SADVFSYREEPATMDLMGDTEDVQFASQLTNAALNLTETQPESASAVYKRIKLHPHVI ENISPEFHANLVQLNMAEFEIGPLCPVVTLRRETFVKAAKGCREQHSFRITKMSKDHV AFELLQEPGGRYQVYAVDKNSVNGTKVDGEVIPRGGKMLLRHNQCVTILSSTAGTVLL GYIVEDPHVLMAQQIAPTGQPGTAPLPTIHSLENVLGVLFAAPLVGKDHQGNCHPLDE LDLAKEYNNLKDALIDASKRMRLKPSDPTVTEVSREVTLSVHFATSDSLRSLMTLGCR AFHFSGHGSPQHLYFEDGLGTVHPIPIHDLKNLCVSHNSPLRLVVVQACYSHNVATAF LACGIPHVIAIKFDQKIEDVASSVFTKAFYTALATGHSVHESFRIGQEAVRSSPRLAQ AAEAASKFELLPDGADHSEVIFPTLDVPTSAAPTVAATPTRFPVLWGSTKLPAGCSHF CHRTIEQYQVIHELVKEQPSVSRYVWISGPDGVGKTQLAYACCRYMYPRRYFAGGIRF IHVNQVAATRGGLKDQQHVLTSIRLQIDEFVRETEHGSSQVTAGTLKRMLVVLDECDT VLSTDADQRAFASVVHNVLTNHFALKLIITARTTIVSDRLQTHGGSQFRLTSLSPTKS ADLLRRHVTRKLSLHDVQLSPLAKTLQHSNPVENLTRVLAAHPLVARTHGVPKAIVQA AARINAATATTLDHLATSLV H257_17387 MDDWSNELSNEIAALAGGQALEERQSSLQKRSAETWAEEEANRK RAKSAMDHAAHPNSFASLSDHLSSMQNCGNDIDNTTHQDAMDTAIAVPVPTRVPARKS SADVFSYREEPATMDLMGDTEDVQFASQLTNAALNLTETQPESASAVYKRIKLHPHVI ENISPEFHANLVQLNMAEFEIGPLCPVVTLRRETFVKAAKGCREQHSFRITKMSKDHV AFELLQEPGGRYQVYAVDKNSVNGTKVDGEVIPRGGKMLLRHNQCVTILSSTAGTVLL GYIVEDPHVLMAQQIAPTGQPGTAPLPTIHSLENVLGVLFAAPLVGKDHQGNCHPLDE LDLAKEYNNLKDALIDASKRMRLKPSDPTVTEVSREVTLSVHFATSDSLRSLMTLGCR AFHFSGHGSPQHLYFEDGLGTVHPIPIHDLKNLCVSHNSPLRLVVVQACYSHNVATAF LACGIPHVIAIKFDQKIEDVASSVFTKAFYTALATGHSVHESFRIGQEAVRSSPRLAQ AAEAASKFELLPDGADHSEVIFPTLDVPTSAAPTVAATPTRFPVLWGSTKLPAGCSHF CHRTIEQYQVIHELVKEQPSVSRYVWISGPDGVGTYEAQYIVVLAIHVD H257_17387 MDDWSNELSNEIAALAGGQALEERQSSLQKRSAETWAEEEANRK RAKSAMDHAAHPNSFASLSDHLSSMQNCGNDIDNTTHQDAMDTAIAVPVPTRVPARKS SADVFSYREEPATMDLMGDTEDVQFASQLTNAALNLTETQPESASAVYKRIKLHPHVI ENISPEFHANLVQLNMAEFEIGPLCPVVTLRRETFVKAAKGCREQHSFRITKMSKDHV AFELLQEPGGRYQVYAVDKNSVNGTKVDGEVIPRGGKMLLRHNQCVTILSSTAGTVLL GYIVEDPHVLMAQQIAPTGQPGTAPLPTIHSLENVLGVLFAAPLVGKDHQGNCHPLDE LDLAKEYNNLKDALIDASKRMRLKPSDPTVTEVSREVTLSVHFATSDSLRSLMTLGCR AFHFSGHGSPQHLYFEDGLGTVHPIPIHDLKNLCVSHNSPLRLVVVQACYSHNVATAF LACGIPHVIAIKFDQKIEDVASSVFTKAFYTALATGHSVHESFRIGQEAVRSSPRLAQ AAEAASKFELLPDGADHSEVIFPTLDVPTSAAPTVAATPTRFPVLWGSTKLPAGCSHF CHRTIEQYQVIHELVKEQPSVSRYVWISGPDGVGTYEAQYIVVLAIHVD H257_17387 MDDWSNELSNEIAALAGGQALEERQSSLQKRSAETWAEEEANRK RAKSAMDHAAHPNSFASLSDHLSSMQNCGNDIDNTTHQDAMDTAIAVPVPTRVPARKS SADVFSYREEPATMDLMGDTEDVQFASQLTNAALNLTETQPESASAVYKRIKLHPHVI ENISPEFHANLVQLNMAEFEIGPLCPVVTLRRETFVKAAKGCREQHSFRITKMSKDHV AFELLQEPGGRYQVYAVDKNSVNGTKVDGEVIPRGGKMLLRHNQCVTILSSTAGTVLL GYIVEDPHVLMAQQIAPTGQPGTAPLPTIHSLENVLGVLFAAPLVGKDHQGNCHPLDE LDLAKEYNNLKDALIDASKRMRLKPSDPTVTEVSREVTLSVHFATSDSLRSLMTLGCR AFHFSGHGSPQHLYFEDGLGTVHPIPIHDLKNLCVSHNSPLRLVVVQACYSHNVATAF LACGIPHVIAIKFDQKIEDVASSVFTKAFYTALATGHSVHESFRIGQEAVRSSPRLAQ AAEAASKFELLPDGADHSEVIFPTLDVPTSAAPTVAATPTRFPVLWGSTKLPAGCSHF CHRTIEQYQVLLKATDIYISNRLSNESR H257_17387 MDDWSNELSNEIAALAGGQALEERQSSLQKRSAETWAEEEANRK RAKSAMDHAAHPNSFASLSDHLSSMQNCGNDIDNTTHQDAMDTAIAVPVPTRVPARKS SADVFSYREEPATMDLMGDTEDVQFASQLTNAALNLTETQPESASAVYKRIKLHPHVI ENISPEFHANLVQLNMAEFEIGPLCPVVTLRRETFVKAAKGCREQHSFRITKMSKDHV AFELLQEPGGRYQVYAVDKNSVNGTKVDGEVIPRGGKMLLRHNQCVTILSSTAGTVLL GYIVEDPHVLMAQQIAPTGQPGTAPLPTIHSLENVLGVLFAAPLVGKDHQGNCHPLDE LDLAKEYNNLKDALIDASKRMRLKPSDPTVTEVSREVTLSVHFATSDSLRSLMTLGCR AFHFSGHGSPQHLYFEDGLGTVHPIPIHDLKNLCVSHNSPLRLVVVQACYSHNVATAF LACGIPHVIAIKFDQKIEDVASSVFTKAFYTALATGHSVHESFRIGQEAVRSSPRLAQ AAEAASKFELLPDGADHSEVIFPTLDVPTSAAPTVAATPTRFPVLWGSTKLPAGCSVC SLGRAHNIYIYIAMFFFLL H257_17387 MDDWSNELSNEIAALAGGQALEERQSSLQKRSAETWAEEEANRK RAKSAMDHAAHPNSFASLSDHLSSMQNCGNDIDNTTHQDAMDTAIAVPVPTRVPARKS SADVFSYREEPATMDLMGDTEDVQFASQLTNAALNLTETQPESASAVYKRIKLHPHVI ENISPEFHANLVQLNMAEFEIGPLCPVVTLRRETFVKAAKGCREQHSFRITKMSKDHV AFELLQEPGGRYQVYAVDKNSVNGTKVDGEVIPRGGKMLLRHNQCVTILSSTAGTVLL GYIVEDPHVLMAQQIAPTGQPGTAPLPTIHSLENVLGVLFAAPLVGKDHQGNCHPLDE LDLAKEYNNLKDALIDASKRMRLKPSDPTVTEVSREVTLSVHFATSDSLRSLMTLGCR AFHFSGHGSPQHLYFEDGLGTVHPIPIHDLKNLCVSHNSPLRLVVVQACYSHNVATAF LACGIPHVIAIKFDQKIEDVASSVFTKAFYTALATGHSVHESFRIGQEAVRSSPRLAQ AAEAASKFELLPDGADHSEVIFPTLDVPTSAAPTVAATPTRFPVLWGSTKLPAGCSVC SLGRAHNIYIYIAMFFFLL H257_17388 MVLRPDSRRGDGERHHMDVLGLASRDNRGRGGAAWFLECQGVHG SIGPSAFQRLSRHHARSAGPRRHVVGYGQFPSRRASRPALRVPRASVGSVADDPLGRV QHGRHDQRRLRSQVPRRDRVRDPHLSGGDHHAQEEPHVPRVRTNGRKPHARGETRGFF PVARVHGPPPAEKRRDVLEKLMRDILLDASVLDDKLAKITHPCLVVWGDQDKILDISC LEVIKDKIKPQLTVKVVADAGHIVHQERHWEVAEHVHEFLQALPPSTSSGQPPS H257_17388 MVLRPDSRRGDGERHHMDVLGLASRDNRGRGGAAWFLECQGVHG SIGPSAFQRLSRHHARSAGPRRHVVGYGQFPSRRASRPALRVPRASVGSVADDPLGRV QHGRHDQRRLRSQVPRRDRVRDPHLSGGDHHAQEEPHVPRVRTNGRKPHARGETRGFF PVARVHGPPPAEKRRDVLEKLMRDILLDASVLDDKLAKITHPCLVVWGDQDKILDISC LEVIKDKIKPQLTVKVVADAGHIVHQERHWEVAEHVHEFLQALPPSTSSGQPPS H257_17389 MWAHPQVESGNSAGCRAAHSCDYIEKDEALYLFGGWSGKTALND VWRFDLTTLEWRLVHGDGKQPRPRNNHASAVVDRKIYIHGGHDGSTWLSDFYVFDTET STWAEVTTSGVGPSARACHTMSKVGGKLFVFGGFDGAHCFNDVDILDLDTFTWIHPIV HGYHPAPRNAHTMSIVGSSLFMFGGHSGAKHLRDLYQFHTDTLTWTHLASVDGMFPPG LRGHTANVKLPKVYFFGGYDGRGRSNELYILNTQDMKWERPPDSVHVDAPSGRQRHSA CLVHSKMYIVGGFDGFKWLDDTHVLDICRIEEHAITMTTQRQLVSQYRELLLHQDATY SDITFLVQDKPIVAHKAIVAAQSEHFRRMFSSGMKESHQPTVVISEWTHRAFFHMLEF LYTGYIQDLTVDTALELLGVADHYALQDLSMLCDNFLAHKLDTETVCHVLIAATHFQV DKLKTTCMRFLQAHFHQVVSTKGFEELGEVPALLLEVTRVSMLSSQKHYKPYKTG H257_17389 MWAHPQVESGNSAGCRAAHSCDYIEKDEALYLFGGWSGKTALND VWRFDLTTLEWRLVHGDGKQPRPRNNHASAVVDRKIYIHGGHDGSTWLSDFYVFDTET STWAEVTTSGVGPSARACHTMSKVGGKLFVFGGFDGAHCFNDVDILDLDTFTWIHPIV HGYHPAPRNAHTMSIVGSSLFMFGGHSGAKHLRDLYQFHTDTLTWTHLASVDGMFPPG LRGHTANVKLPKVYFFGGYDGRGRSNELYILNTQDMKWERPPDSVHVDAPSGRQRHSA CLVHSKMYIVGGFDGFKWLDDTHVLDICRIEEHAITMTTQRQLVSQYRELLLHQDATY SDITFLVQDKPIVAHKAIVAAQSEHFRRMFSSGMKESHQPTVVISEWTHRAFFHMLVL LGLQSIFRCFCCFKTCIGRFD H257_17389 MRYSIPTTNVPVIIHGLIIDTFTWIHPIVHGYHPAPRNAHTMSI VGSSLFMFGGHSGAKHLRDLYQFHTDTLTWTHLASVDGMFPPGLRGHTANVKLPKVYF FGGYDGRGRSNELYILNTQDMKWERPPDSVHVDAPSGRQRHSACLVHSKMYIVGGFDG FKWLDDTHVLDICRIEEHAITMTTQRQLVSQYRELLLHQDATYSDITFLVQDKPIVAH KAIVAAQSEHFRRMFSSGMKESHQPTVVISEWTHRAFFHMLEFLYTGYIQDLTVDTAL ELLGVADHYALQDLSMLCDNFLAHKLDTETVCHVLIAATHFQVDKLKTTCMRFLQAHF HQVVSTKGFEELGEVPALLLEVTRVSMLSSQKHYKPYKTG H257_17389 MRYNTFTWIHPIVHGYHPAPRNAHTMSIVGSSLFMFGGHSGAKH LRDLYQFHTDTLTWTHLASVDGMFPPGLRGHTANVKLPKVYFFGGYDGRGRSNELYIL NTQDMKWERPPDSVHVDAPSGRQRHSACLVHSKMYIVGGFDGFKWLDDTHVLDICRIE EHAITMTTQRQLVSQYRELLLHQDATYSDITFLVQDKPIVAHKAIVAAQSEHFRRMFS SGMKESHQPTVVISEWTHRAFFHMLEFLYTGYIQDLTVDTALELLGVADHYALQDLSM LCDNFLAHKLDTETVCHVLIAATHFQVDKLKTTCMRFLQAHFHQVVSTKGFEELGEVP ALLLEVTRVSMLSSQKHYKPYKTG H257_17390 MNVLDLGFFNAIQCLQHQTLTTSIEELVLAVHSAFSDLDMRVLD KTFMTLQKVMEYICKIDGDNVYKLQHKKKDTLFVNGSLPPRLECDRDAAASIEAMEER IDDERRVDNMIELFDLIALFKAMST H257_17391 MRSNGRVKPGALQGLCEEFSVTRQAMSKIWKRGTDTTASHGYPV LDSTIKKKSGRPVTLDCASLEARVKAQTAFSRSTFRALAAVTGVSKTTLWRLVKSKAM KRCTSRLKPMLTQKHKHVRLVYSKTFLRRHPNGTLYWHDMLDYVHKDEKWFYMTKVNR QYYLWSDEDVPARKCQSKNHIIKVMFLTAVARPRYDSTKRTHWDGKIGTWAFTSTRQA LRNSRNCKRGDDVVEPTTVSREVYRDYLVNKVIPAIRSLWPRQRSSVIWVQQDNARPH VSVDDAAVRSDCRLYGRMEHQIVCSQSARPSRAPA H257_17392 MSDKFGSYVSTNEVHTLANNRDLQDMRYGHQWVNYTDNFVDPTI GATPNASKVYGKNQVKRHIKTMRGMVSDLLPPFMDECLWRSWYFPPGASGTTYFKGLV VGVKKK H257_17393 MELLLASPAPTEANLTAPQRTSIFRSGDLQKGSGIYRRCAQEAV QLARSWLAISSDSATGAAQKAADLNKRVYDHWLLHKASNDHGDRTPHAIVSRCKNMPH ALQLQRFRCPSAQENPIGLERRWCHRYRAGAIHVAKLHGIDRLTILACWRIVQDGPTW RVEVVESVYGKRSADDLQRPTGMKAAKASNKATRYSQVELHARFVKAAEAKTTHMAKR HQLSELKFMFQVFGQDPPSEEDLQFNRELRSSLLLRARQLQSATVTGVARSLVAEDLV QDDVDEIDNEV H257_17394 MPFNWAIFPHPVTTIKGSNLPGKPTKGIFLKQLLCLHSVWAAQK LIGIELINSSTKHLECIEDGKSRRKYSSSLPSSSDDDHIEYMGMLRLDTEKTDGWENH DLFM H257_17395 MESIRLPTLHQRSLFVAATLIACCFIFQVIYSSHALENLHVPSV RREAAFVRAIVVHLPRDRDDDAVDEFRWLHASWKDMVQHQPSTWRTDLVVVPDGTALA GGDGILPSDLKCTASSRVNRLDPNQCFVLPSTPSATDVAFTVDFDAVHSFQAMTTADL SVYDWVLITHPNAIIGPAFAGWKPTVLTVGSGLSDAPFSNSVLHAMKSIGQDLGLTSF EPTKHTFGLSWYGPTSDVQECGKLMLELLDHLHQHLERGALNEASDWHRALPEVAASM ALPECTQFNVAFQPSMLDVPVLLLDAVSRHAVLLPSPMFTTLPSRGRQPLP H257_17396 MKWERPPDSVHVDAPSGRQRHSACLVHSKMYIVGGFDGFKWLDD THVLDICRIEEHAITMTTQRQLVSQYRELLLHQDATYSDITFLVQDKPIVAHKAIVAA QSVHFRCMFSSGMKESHHPTVVISEWTHRAFFHMLEFLYTGCIQVLTVDTALELLGVA DHYALQDLSTLCDNFLAYKLDTETVCHVLIAATHFQVSGHVEDHVHVLPASSFHQVVS TKGFEELGEFPAALLLEVTRVSMLSSHKHHKIGSITLQ H257_17397 MDGCQEFLYTGCIQVLTVDTALELLGVADHYALQDLSTLCDNFL AYKLDTETVCHVLIAATHFQVSGHVEDHVHVLPASSFHQVVSTKGFEELGEFPAALLL EVTRVSMLSSHKHHKIGSITLQ H257_17398 MKELTADQRRAVIDHLLVRVVQHPCKLQCGAILEVARIFGRNPR TISKIWQRANVSLGGDNLPSREMICEHTASMKKGRVGRKQKYTDLPELKYNSNVKPKL TDVNKTARVKWAVDFVEPTDTYAFHDMYDYEPPHRSTQSKRFITKVMFLSAVARPRWD NAKSEWFDGKIGTWHFTQHVRAARSSRNRPAGTMELRPVNVTRPVHKKMLIDNVIPAI KALWPADCSKTVFIQQDNARPHVPPSDADIVKACTSDGWAMKLKYQPPNSPDMNILDL GFFRAIQALQQTHHSNTYEGIVNATNNAWKDVDPWSLERNFLTLQSCLREVIGCAGGN SYKIPHMKKAALKKCGRLPESVSCGKDVYDDGCTLLGQVDLSTVMLELLPRWHQRSLF FVAATLIACCFIFQVIYSSHALENLHVPSVRREAAFVRAIVVHLPRDRDDDAVDEFRW LHASWKDMVQHQPSTWRTDLVVVPDGAALAGGDGILPSDLKCTVSSLVNRLKPSQCFV LPSTHSATDVAFTVDFDAVHSFQAITTADLSVYDWVLITHPNAIIGPAFAGWKPTVLT VGSGLSDAPFSNSVLHAMKSIGQDLGLTSFEPTKHTFGLSWYGPTSDVQECGKLMLEL LDHLHQHLERGALNEASDWHRALPEVAASMALPECTQFNVAFQPSMLDVPVLRLDAVS RHAVLIPSPMFTTLVYEKATTSLLQNDHSTIGFALAIARSSAPAVNPLLLQNEFTTTL NQSSFVRAIVVSFRLATNRTGHVELRGLHRSWQIMLRDQPPAWRTDLVVFADTPSRLF DQLKCSSSFVRQAGDDTPSRCIAVIVANSPDSAQSDAGGILATLATHPPVLASYEWLL RSDLDTFVAPRFATWKPSQFSVSRPIVPYCVPGTFTCANLARVASEMGFQVPVKRQSY GSTWYGPSKLVQACAKVAMRVINHLTNVGMPSLDNHQGHLDAQRTNLPLYAGHVALQQ CTTLPVQRRRPDVLEVSTSSSRPLDSMVHLKAGTDEHGFSLFHAAKLHGDVGDTAIAK DFAAKIAWEAAKDVTDSSTQHALHESFVRAAVVYLPGDNTKFQAEMRWLHASWVDMVQ HQPAKWRTDIVVFTDGDLPLWKYLNCTTTIRTSPDEPNRCVLVPGYKKVKSSSFDYGF ADSINVVAIANEATKPYDWILRTDIDTFFTPAFATWKPLNQHATYLALTSQYAQVPAS SNQSDSFVRAAVVFAPEGSGEAGFRWFHLSWQTMALKEPALWRTDLVVFATEEWPYFG ELNCTSVPRRDRVEPNRCIVVSSYKSVMTSDFVFAKADDIHILTTHETYLDTYDWLLK TDLETFLTPAFATWKPTSFTFGSSGGYSFPGQPTAKRLASIAATLQLTGPTVEDIGSS WYGPASVVRSCATLAVRLMHHLHAHEFNATEKSPEYYQVKIAGWPHWHYGILHMYAGH LAVPHCTKDSGGFTKQTSMMDFSTESKASVKKHAHL H257_17399 MLCMYIGLPETSRGLKLLNIDTRLGHMQLKIGIFLCALSYVERT IIRDIRYNLNAVSDANALLDFRFDVMGVKRLGYLLGLPAVVTTQRYRASWDEAMCIML GRLAFPTRFHTMIQTFGCSRAALCAILDQ H257_17400 MVLPNQTYGLNLGFVLECPCMRSKAWPSPSLSIQAATHYEGYSR FIATDIVQGVQTTKSKRPLTIEEMKHLTSRSVALMNAGCTHASFLMACNPMGLSGSTA SIQLNSQSWEDDSLGVQFCRLKMGAVQHAEALPYEHIEAQLVRGACIEFVPGNAPYNG PRAARLCPAINPGDNIDRSFAKLVHALVALYGVDRLRNVATHAIRKGAVTFATSGCTT GQSIGILTTRAGWSLHTVRPFAVAFHCAAKTRSRPVKPHMERERVILNLIANP H257_17401 MCSRPPFVVRLKDVYNDGSENSVAAQVAADVSVAALSFLAAISR LRDDLRTATSATGSDTSAPGGDEDEKSSPTWPTFDYNLRVIWPFSHAYVHNIFQPDFR LETTEVSRQSRFNFDVL H257_17402 MAYTDKRRNWSQEDDLTLLKQVTADTPFAAEKGQLRKAWQGLAE TLMSCENFGRVVDGKKVQNRFQALVDEHRKFDATSARLSGSDQEEKEKHMLLDDIITL LDDVKAEQQKTEAQKRSQDQDDKDKVEQAAITIGHEHSHENHRRNIG H257_17403 MARLSVFLQRHAEHTPPVCQDIPHSHYTPRGSGTPRQEKAHMST DARTTEDSAANSTATAPSTGAATPVGNAGSWNAAPFQGALVRAAKAAENGKRKATWKL LPPTDTSPAAKVVRRAAKTSDGYKLIWSPGRPCLMNIWMTS H257_17404 MTCDGDGAEYGGAVPIDGSFTENSFEKLYKMANLNPSSIFLDVG CSARRACLYARAAFKCALVLGIDVARLRLANACGNILANKIDRMFLLHLPVEHFQYLD PATHVYCYNEGMPPDFAFAIMAVSCELAKCLAKCGGGKFNDCVQENNTETVEPVAYHP PMSSLCALDGKLFDEYIRQLQAHLVGNAPVSFYALLKKRYRDPCCSYTAGSNPALLSG DALDESSFWGRFATVLFCNNVKFAPPDNLKLQNRIQDAKNLRISSEVAAPPTVVIDLT ETPDPQAPPVYIELGRESYSSTLTATKSTA H257_17405 MCVPLHAVDTTMDNVPPVPALPTVLVSAPPTVPAPPPVPVPALP TALRGAMDVQNAFALPTSEDIDATIAETDKVSNSTVPKLLPKTEHQANVRLPESAFAV LPCSLTLKGLHPPQWIKASEEWALLDSKSDIHHKPAQRFARHVVDDMLEERAGYAENF KSYETKLHACETKRQAVFDDFSNRNDDVRKLHDKLKERDEQIEQLNGK H257_17406 MKELTSDQRRAVVDHLLLRVVQAPCKLRHGAIKEVAQIFGRTRQ TIAEIWKLKYTDLPARIRAIPPQRRTTLQRIAHAIGLPSSTLKDYYKRGFMVKYNSHI KPKLTGDHKVARVNWAMKFVRPSNNFRFADMYDYVHVDEKWFHATKIKSQMYLLPSEI PPHRSTQSKRCITKVIFLWDQ H257_17408 MVSCFFNDCHLDTDTNDSSSWKCAFHKHRSRCLVSDCHNQVYAR NLCVRHGGKRACVAPGCSANARVGNLCSKHATPATKKLCMVDGCTKQAHARYKCVRHG GGSQCKAMGCISNSRSNGMCSRHAKENQKDRRRDESRKVDPEDGKDAKTVLMDDLLQP IAFDHTPAYFSTDLLDVVVECFSVIECDVVTV H257_17409 MGIKVQVKFQKDGENTDEADHSRLFAWCVHPEDILTYQLQFEAS CAHHKNGLNPNAATPEDCTDFLHHLYSLGRKARTVNSAKTALVLFFKESCISPNPAQD MHAKRYVIGLQKYNRQNNVDDEKKAHPLTVHELPTLINLFANHNPLVASMFQLLLLRW HKKASVEKECQVNHLVDEAAYPCLRICTLYEGYLDKIRQAQVNVSQEACVFPSLSNQA EVQLPRVNGIVPLDRREDVL H257_17410 MASSRQHKPFESSGSSSVMNGKSPYYKKKEFNRQDSGVAPASQK SRRDAAQTKLLASVGLDLFRTGLIVDNTKPLHTEARHPAVAPNLVSIPEGEQMALRTQ LSHAIVLLNGPVSANLPGVWLSQRIDTALPLHRAITEQEKATCYIIPFGGDNSEQSTL EAEVARNQLVQHGISPHHIVMDCNSSNTIANAVSLVRVLRHLHLTVVRVVASSFHVAR LQHYLDRILGACHDMRFQVFYHPTPQDHLSRQQLADKAAHEQALIIRTQQALEDAVRA VARFESQHPSLPPPGPTTRQQFYT H257_17411 MVDLKAVSFVLLVLQNTLGTIVIKYSRATKYHGTTTVLLYELLK LVLCLVVVVVQKQGNLRHAVQSVRLEVLGDTQGYLKMVLLAALYSFQNNVQLLALDHV SAATYAIVYQLKIVTTAFFMVLFLKRSFHTVQWVAMVVLMVGVVVCSVGNSTPAPDKA STSAVGIFMVVGLAVNSGVAAAYFEKVLKTHKPADAILRNRVPDVDPMWKTNIQLASV SVLVACVGVVHTATTTRGFAFFDEYTKFTWGVVWLQACGGLIVSAVVRYSDNVVKNFG TAISLVLGCVLSNLLFDDQLSPMFFVGVTLVVLSVYVYGDSRLHGPAVHVKVEPRDLE GASSKDKGGGGGGGDLPQAVVLNQRAMYRVDEPPPIK H257_17412 MRRTPTIVLAVTAALVAAVHMPNVHTRLNHNLVTTTSTPSRSTL GPTTRPPALVHNRTQVQHQQAAAVAILSSARQRTIFPILVVDDSLYTCKVLPKICQVP SDDVQSGDVCRFLFPDCYGAVAHRGKGRGDGVPDCDTTRIAMEVDVFPCTVPASACKR PG H257_17413 MRQHVPCNVDTTLFDVESCNFPSSTEEQTRQFRGAVDMAQAHVG YKAPYNMSKNAAAAFVQFRNTTLRPFALDPPLSESSRN H257_17414 MDSSVADHLSLEHIYGNFHDYYDFNPESERLRFLTSDVRHALRQ HFYSQPNAQGTLLDVGCNEGKLTMGLFDALTRHATSSSPHDASPFTTDSLSQLNDVLQ PHQLRPEYITIADTGTAHRPQFVLHVYIYGVFFGQGSGVSKKVARAKAASVAMVHWRR IHHNISPTSPTNSSELVHAANPTIPCNDDNTPMELKVLGIDIDNVLIDKAKSRWPNHP HVSFMTGDIMTPSDARAMSSMLTSRPRFDVVTCFSVTMWIHLNHGDAGLSTFLDTISN IATHLIVEPQPWKCYRTAIARLKRMHIQSPFGLQALTFTHEHVTTFIDSKLSEWFPFR KLMGKTNWSRHVWLYSRVPLPGVVYDVRKGKCDVVSS H257_17415 MNLRTFSLTRPSMGTRAKAALLRSALCLTTAKPRPSPTLFMFPG LTSAPFHNPRDFDWTRALEANVDTIRTEYLALKAHQKTSDYDVQGGQEHSLHQGQWDW FSYVTKGKKPNGASFEEHCPTTAALLTSIPGFMTSVPFAYAFFSSLQPGSSIKAHSAP CNIRLRCHFPLFVPPGCGIRVADQTRSWKEGECLILDDSYDHEVWHDGKNGERVVLLF DVWHPDLIVDERQALIDMFADATNKGWLTDK H257_17415 MNLRTFSLTRPSMGTRAKAALLRSALCLTTAKPRPSPTLFMFPG LTSAPFHNPRDFDWTRALEANVDTIRTEYLALKAHQKTSDYDVQGGQEHSLHQGQWDW FSYVTKGKKPNGASFEEHCPTTAALLTSIPGFMTSVPFAYAFFSSLQPGSSIKAHSAP CNIRLRCHFPLFVPPGCGIRVADQTRSWKEGECLILDGTRGATGVLLL H257_17416 MLARRILTSKAKSSLVPAFTARSFSAPATTKDDYSSPDHYFDDE VKANAGDPSKRAFTYFVLGGSRIAYATAARLAVIKFVGSISASADVLALSTAEFSLAS IEPGSTITVKWRGKPIFIKHRTEAEIAKARDVDLSQLRDPESDEVRVQDPKWLVILGI CTHLGCVPTSNAGEYDGWFCPCHGSHYDLSGRIRKGPAPLNMEIPPYKFVDGDRVLLG H257_17417 MGRMHSGGKGISKSARPYKRTPPSWLKVTAEDVEDHVCKFAKKG LTPSQIGVILRDSHGIAQVKSVTGSKVLRILKKNGLAPELPEDLYMLIKKAVAVRKHL DRNRQDKDSKFRLILIESRIHRLARYYRQNRKLSPNWKYESATASTLVA H257_17418 MVVYYAKKHSLLQMLKLQSSTYVAMDVQTTSLAFLNDRTVYSMN QILDASWSTHRTVTLRLTSNDGLITKQKFLFDCQADLFFFLVELGMEPSQFGGTVQRG AFTNPIGGTGFRKSYSNRSHHRSPRKSSSKSDYY H257_17420 MAAAPTHAYYHRLRRLDGDSDALNIGLGIAIGVIVLLVAGFWLY QRHKNKRRLEERHARRAANQRGNVRHRDEEADQRTINFNGRQPAAVANQPAPKLRQPP HHQPYGDNYVLEDDEYRHANNKKAAAKQALFQMDQEMLDAKLDFNAIQYTRKLTKGAF GEVWLGQYRGKYVAIKQILEERKNDTKEIECFVAEIKLMLNLKHPNILDFLGFSWNPK DHNLCFLTEYMKNGDLFYYLQKRKTVLTWKREKIQLALDIAQGLVYLHSLTPKIIHRD LKSKNVLLDEKFTAKINDFGISRVRQFEETMTAGVGTALWAAPEVFMAKKYNDRADVY SLGVVLSELDTCAIPFADQAIGKNGKLDGMAVIKLVTQKRAKPTFSESCPAPVLELAM LCLDYEPGNRPSAADVVQLIQSQIKPALEAY H257_17420 MAAAPTHAYYHRLRRLDGDSDALNIGLGIAIGVIVLLVAGFWLY QRHKNKRRLEERHARRAANQRGNVRHRDEEADQRTINFNGRQPAAVANQPAPKLRQPP HHQPYGDNYVLEDDEYRHANNKKAAAKQALFQMDQEMLDAKLDFNAIQYTRKLTKGAF GEVWLGQYRGKYVAIKQILEERKNDTKEIECFVAEIKLMLNLKHPNILDFLGFSWNPK DHNLCFLTEYMKNGDLFYYLQKRKTVLTWKREKIQLALDIAQGLVYLHSLTPKIIHRD LKSKNVLLDEKFTAKINDFGISRYVFRRKLVFFFRFLYGRVVQPRILVLTCG H257_17421 MSLRLRQLQEDDSNVALNIALLSVIGVIAVVIAFLYCRSRVARQ NGRRRKGGGHRNFMDADQRTIHLPLHHRPAPSLALPRGESDIYIMRSSDGGTEYEHPS RSKQQQNGFLPFKLDHMLLKAKVDYADIHYTRKLSKGAFGEVWLGQCHGNYVAIKQIL EERKHDAKEIECFVAEIRLMATFKHPNIVDFRGFSWNPKNGNMCALTEYMKHGDLFVY LQRNKAGLAWKHEKVGIAIDIAQALVYLHSLSPKVIHRDLKSKNVLLDDNFTAKLSDF GISRLRQLEETMTAGVGTALWAAPEVFLAKKYNDRADVYSLGVVLSELDTCAIPYADQ AIGKNGKLDGMAVIKLVTQQKAKPTFSRSCPEEVRALAFRCLDYEPDNRPSAAEVVEI LKNHVRPALDRPSF H257_17422 MSVSASTYLRRLEEDSGSDTLNIVLGTATGVIVLVILILFCHSK RKSKQRREARRLRRERQGHAPSAVPKDSDVYMEADNRTINLPMMGHQQHNQNGGGKQR DEQDIYIMRNSEDVDYHNHANGQKPANVAAPLPFKMDPTLLKAKVDYSDIQYTRKLSK GAFGEVWLGQFQGSYVAIKQILEERKTDAKEIECFVAEIKLMATFQHPNIVDFLGFSW NPKDANLCAMTEYMKNGDLFVFLQKRKATLTWRHDKIQIAIDIAQALVYLHSLSPKVI HRDLKSKNVLLDDRCTAKLSDFGISRLRQLEETMTAGVGTALWAAPEVFLAMKYNDRA DVYSLGVVLSELDTCAIPYADQAIGKNGKLDGMAVIKLVTQQKAKPTFSPSCPEEVRA LAFRCLDYEPDNRPSAAEVVEILKTHVRPALEQV H257_17423 MRKSTPTAPTAPASSTKQHHVQVAHSEVTLTFKKKPLGIGLVPS TQLYGAWEVGHVPDDIAEELCVGDVLMAVNGDTSVNAMDALTFRTYIGKLAVPISITF RKPLLHGHCDSHATATALFPQSDEYTQFLRGSTRHVRATAAQWKQSAARDVEAAALPL GRDLVGDIAVTVASLPLPVTFATCTRSYGSLEVHTNDAAESSTPSTWHALVPGDVLVA VEGDSDSATWTLDELTSHLQVATLPLTLTFRTPALYLRYLRQHPATSATAAAATTSST SMSRAMFPPSKEYKAKHKVIGSPLAANDKGEWHTAHAKLKQNKWLAYFEASVVLKRNH VRILTAALPPHLQCHHWKLLFTTQEHGFNSSVLYHRVHDRGPTVVAIKDSTDQVFGAF TPSSLKHSKKVYGNGRAFVFQHKSVYGWSGLDSNFVYGGPSGSIIWGGGPTGLALCLQ LDEARGFTQPCDTFDSPALTHPHQFHCWAVEVWGFEGVKL H257_17423 MRKSTPTAPTAPASSTKQHHVQVAHSEVTLTFKKKPLGIGLVPS TQLYGAWEVGHVPDDIAEELCVGDVLMAVNGDTSVNAMDALTFRTYIGKLAVPISITF RKPLLHGHCDSHATATALFPQSDEYTQFLRGSTRHVRATAAQWKQSAARDVEAAALPL GRDLVGDIAVTVASLPLPVTFATCTRSYGSLEVHTNDAAESSTPSTWHALVPGDVLVA VEGDSDSATWTLDELTSHLQVATLPLTLTFRTPALYLRYLRQHPATSATAAAATTSST SMSRAMFPPSKEYKAKHKVIGSPLAANDKGEWHTAHAKLKQNKWLAYFEASVVLKRNH VRILTAALPPHLQCHHWKLLFTTQEHGFNSSVLYHRVHDRGPTVVAIKDSTDQVFGGS VLYIHPTCFRTL H257_17423 MAIATRTPLPRHCSRKVTSTLSSCAAPRDTFAPRQRNGNSRQRE TWKRRPCLSVHYMHAYIYILTYTRMFDSFMNLIATGRDLVGDIAVTVASLPLPVTFAT CTRSYGSLEVHTNDAAESSTPSTWHALVPGDVLVAVEGDSDSATWTLDELTSHLQVAT LPLTLTFRTPALYLRYLRQHPATSATAAAATTSSTSMSRAMFPPSKEYKAKHKVIGSP LAANDKGEWHTAHAKLKQNKWLAYFEASVVLKRNHVRILTAALPPHLQCHHWKLLFTT QEHGFNSSVLYHRVHDRGPTVVAIKDSTDQVFGAFTPSSLKHSKKVYGNGRAFVFQHK SVYGWSGLDSNFVYGGPSGSIIWGGGPTGLALCLQLDEARGFTQPCDTFDSPALTHPH QFHCWAVEVWGFEGVKL H257_17424 MSHKGHWVEVPRSRSLPVKHTDGSKDHERRRIEILMDSDELRAA YFLAQFDETVACDLSTTEYCHTTTCDTLPLTSPTSSQPMYLPAKLQCDLAGMWRVSAI VVPLPKDWLP H257_17425 MKVAVALSFMAAVVQAKVSVSVRRELEAKPVVDAIAYFYGVNVN TLAFTEGENRKQTLFNALNNDVVTIESTLKSVLDNVERKVVHTSWLIGASFLTGLTKE DIEKLSKNPNVRKITGRFTTTLDEPLTDAASTTLSANEDTPQWGVDTVGAPSIWKYFT GKGVVVGSIDTGAAYSHIALKDNWRSNKGWFNPYNGTAHPLPIDSAQHGTHTIGTIVG KYGIGVAPDAQWISCMGLYVNSGDDVSLAKCAEFMVCPTRLDGTHPECKLGADVINNS WGGQGDYDDFYEASITAWRAVGITPIFSNGNSGPVCKSTGFPGGYQRVISVGAIGSYT DERNKLAFFSSKGPVVSKAANGTLTTIIKPDISAPGFFTLSANAKNLTGYVRMAGTSM SGPHVAGVVALLKSAQSDLTYDEIYAYITKTADRDILEPEPAQWTRPDGSVIAPGSPN CGGVSDKAWPNNRFGYGRINVGTILRDGKLNDTPTKQPTTILPTTTVATPTTTTSPAT TPCPTHVTPKPTGTTPCPTNVTPKPTGTPATTPCPTNVTPKPTGTTPCPTNATPTPTT TKPSVCDKPVDGVDYYGNDIKSTQRSNSDDCCDDCAKTPGCVVYVWTPWNDGTCFLKW KAGKSAPYWGAKAAKVTKSVGSCQAAQANVDYYGNDIARISADKDDCCALCLTADNCK GYSHYQGHCYLKGELGYASAKAGVTSGVRN H257_17426 MFDRLTTRLANTQTESASAQIEQLSRLPYVKSVTTPDDVLLIAP ILKGGTSGLLTPQVNTIGAPAIWRHFKGNGVVVGSVDTGEKYRHEALKHNWRFNKGWF NPTMVGGNGIGVAPEAQWITCLGLYGNSGSSEVLVAFGRFMLCPTRLDGTPPECKLGA DVINNSWGSTAGITPVFSNGDSGPACANTGRPSMYSRVWGPSEPGTTIRRSWRTSASR ALSSTSTTTTRRCRWPSPTSPPRLLYKSADALNLSWYLEMAGTSMAAPHIAGVVALLK SAGTADRDEVLKPEPKNWPCPGASNYNVTSDERGPTTVTTPTSLAPTTTKPILLL H257_17427 MKLVSVLSLAAAAAQAKVSVEVHRALETKGLSDVTVLFHSINLD ALPRSTTDRRTQVFEALSERSTVALAETASILDSSDCQNFWIAPVAVCNGLTSAQIEL LSKLPGVKSIHGPIEISLTQPLEKEAAPKVGLPPNAPPQWGVETIGAPSIWKYFKGKG VVIGSIDTGAEYRHESIKHNWRSYKGWFNPYNGTAIELPIDSQTHGTHTIGTMVGSHG IGVAPDAQWIACLGLYGASGTSAALLSCAQFMLCPSRLDGTRPECKLGADVINNSWGS TGAYNPWYEDVVAAWRAAGITPVFANGNAGPKCASTGTPGAYNRVISVGAIGSPTDDP NQLAVFSSKGPANIRDANNNTVTIIKPDVAAPGFFTLSSNALNLTDYSFKAGTSMAAP HVAGVVALLRSAQPDLTYDEIYAYITKTTDRDVLKPEPELWYFANGTVRAPGSPNCGN VSDASWPNNRYGYGRINVGTILRDGKLNDTPTKKPTTVAPTTAAPTTITPTTAAPTTV TPTTLAPTGTTPCPTNITPKPTTAVTPTTTKATPTPTTTKPSVCDKPVDGVDYYGNDI KSTQRSNSDDCCDDCAKTPGCVVYVWTPWNDGTCFLKWKAGKSAPYWGAKAAKVTKSV GSCQAAQANVDYYGNDIARISADKDDCCALCLTADNCKGYSHYQGHCYLKGELGYASA KAGVTSGVRNV H257_17428 MENTRVQTVRLKPRGRPRITPGPPKPPKKFRNTLTSYKMKWAVI ESFDSVGMAEILARFFPHHKDGRLDSTRKKATSWPCQEIVRTYGVPVTSRMIQVMALE MAIDSGIEQRAFTASWSWFQGFKKRFKLTLRAGTRIGQDTQGDGDKALATFAARVAQV MRDNNIDVVYSADQTAVNYEYLP H257_17429 MTSLDMRWRCAVLVQVYSIDVNTVVVLLGLSHRSVTRFNTQFMK TGTVDAMRNTSPCFYIEELQDALKLEFPTLANVYKATICRALMHDLGLTRKVLEKRAR EAAVFELHDYYRRLRPLYSNPDQLVFVDETSKDGRDALRKQRVSGRAVQKLAY H257_17430 MAKFFGGPAFSPVHCDKLPILFVLKGKPGGDIERKEIPTFPSLH LYLVQENAWVDKEVWNIYLNDLL H257_17431 MASSGGHHELVPFAKDLNAFMNEVREQEHHLTHTHLITYMKTHH QDWLTDYLAAKKTEDRVYHSLMRLCQRFSQRYQFSQRVPCVFKVKQGELREIHEKFAS HFWAKFASTAHADIINVDKPSVYYDMPPGKTLAKVGGSSKVDKSQNHSNRMTAVLSIR SNGTMNRGESRPG H257_17432 MVIQKRKAAEADATSSPLASKRRVSVLERMIKTPEGQQLIVIDS LEAAADILTRDPRPPRAMITGRIVFTERCDPASKVLNVFLVAVDDDGPLHELQALTRD APGDLKRRVGSEMHQVDSSCKVWLW H257_17433 MRQKWIQYAKNTATPGYAIMQHNRSLANHQFEINELRRRINAID AILESEVFEGQGGPEAQIAAREQFQFA H257_17434 MESPTMHAVRLPKLKTKRDMESPEQHWARLDNLKAQRIMESPDK RASRFSKLQAKRQLELPEDRGIRLNALKAQRNGRLCMCLKASKNGKDDLNAGDKDFIA FKKLRATM H257_17435 MVKIIVAGLSAGVASAFGTISEFPTEITSLMDQTVDPCTDFISY SCGTWYNKTTLHSKAAINMFTVIAAAADKVIEKLFNAKLPKLAEFYDSCMDTDTIDTL GLAPIEAHLKAIRSANSTVEAIFRGAAISNATGVNLFVKLSIWPDDADVTRNILSAEH PGSPFGREYFHEPLWADVEKPYRKYLATIFTLAGHAEVEAAIDVVIDFERLFAGVVLS KRTLQEAVTPRRLLLSTANASYPLGLGLPLQGFGLDVREGRNTTTVLVENHHFFDYLE EMLRRMHIDDLKTIIEYKVLDFNAQHLSKPFMKAWFDFYVMVSEGRKELPSRDRICRT QVQTSMGELLGSYYLKEVWTTDTAAFADSLVLKLKAAFKTELESAGWLDDTTRANCTT KMSKLAQLLGGPKNPKTYPTLTFDPKAYIANLNKVSAFDTAFKLAQIDTAVDKQIWVD TPAYDANAGYHEATNTLLFPAAIWQPPFYYAKADPSVNYAAIGSTIGHEITHGFDNNG AFDIDSDGKINLLWTANVTKTFDEKSKCFIEQYGSMDVKSELTGDFLGKLDGKLTLRE TIADNGGLNTAYRAYRDYVHAEAEATKYTKETGEKMFWISHAQLQCAKNSDEYLQYLL TREHPPSRYRLIGSVQNSVDFAKAFNCPVDSPMNPTKKCVLWE H257_17436 MELFEQANSTNVKRFCITQGVPRSSFQRWLTRKDLYMQTTRGGK HRSLGGQGLTESMTFSRGLVTFMKVVRRDEHVLTAAQILNWMKKHHPHLLDVYSASKK NDVNGYNSLMRLCQQYAPDMDLTSALHLCPSCVKNSCKKFKQRLPKCFGTTTAPTTTT KFSTSTKRAFITTCRRGLHGL H257_17438 MYEELGAHLCVLPPNATSVCQPLDLGLLEDIIVGDDDDPFSLTA REKRMALVKRSIAAWDLVSSQEICRSFEKALSQAQ H257_17439 MAGWKRGQLAAFDATARHPLHDEEDGQFRAVSSHPEDRGHAKRM LFTLERTWLINSMSPGGSGKTSYLPPGSRAFGCLALPPLLILDRVRRMELFEQANSTN VKRFCITQGVPRSSFQRWLTRKDLYMQTTRGGKHRSLGGQGLTESMTFSRGLVTFMKV VRRDEHVLTAAQILNWMKKHHPHLLDVYSASKKNDVNGYNSLMRLCQQYAPDMDLTSA LHLCPSCVKNSCKKFKQRLPKCFGTTTAPTTTTKFSTSTKRAFITTCRRGLHGL H257_17440 MRYPPTPKYQPSPYEPAQTERGVAGPTIDEDDQEEYDATTALQF PGIRNLHYEGFTADYLAEVERHFADAKVRIHFSINPSLQRPDEQLTILNYRPEIEDIC QERFGLTFRGGLLEHGQQLLGDPLQRTIQAWAAPRRGYLFLRDIYVVMVDHYAGVLDN GLYSTNSNTIHPNSPLESSCSPYEPLGQPTQSSKATPGCAELMAHVTTGLPLAVLTGP RKASIARFCLAFPSQRMAESVYAFFRRHVADPNRLDLPLPPSMKLLPLRDFCWDNPTS TFFQATSAATLVHTEVRIGRLPPLTATEDNLAALRGSCRAIPDVNSRRLCHPNVRHTT AHCLSLVHLWPPWRHLTLYPVATVDATTTSANPVTASPILTHGIASAANPSTNPPLAG TYTARTHTRAGPVDTAARDNTPAPPPNTPPRTTTRPGNSLSSSTRPRSPAPTPISVYT SDVIYPHTWTNASATFSTLDARLLEERRLREAEELLQAEDNRLCTEAQNKLNTVASGL YLPPAAPMPPVTDFPTNLLDTEEDELMALGQGPNDNMGTEPDEHMAPTTHRQRLWSGT WWLLTTALCGRVQPPRRVSPTPPLPGNAAADAPGDSSAFLSPHLTPTRPDTNLITSSD VNPSNVKSTLATQEKAPPPHRQIDSLCIASTKINKNTYGKAGDELATWFHASALDILI IADSDLPAHKATQLWTPTPSGSLTPHLMAISNHRVSILYDTTLALMMYCTPYSPSGRR ISICVRLGKGSLLALIGTYCQDTPAAHREATDQEWQ H257_17441 MGRDFNTYGLNPLDRSAPTPRSGPSIDMGVAFQQWMQSIGLLST FRHRHPSLLRHTYARNNTSVALDDIYITAISAHILSASGIWLHTIHSIDHAGTPFMDL ALCSGDHTPSRLSGVKPTRSLTKGDITTFDTTDWLEASVQNLYDILYTSAKIQWGETS HIRKALNRAVAIQRTNRGRISNLRLAHMVELPKWIRIPNLPPSTCWHSFGAIAIGHRT LEKCMSQKARLAYHLHPTNPRAPTNRMVPRPPNAEIPPISTRSTHSTHSIQSVIIRPA DVLPRYSSDQEEIHSDRLQGPTLSVTASSMIWIAQTSVVAQAFQTPAGTTWDTTYHYD ADMQARCDRSLHTRVSPGYGGVSQELWISASACIRERERTVINLILRTCLVPPILEHK QMIYLAKSAIARGVVNLDLGLPPWRPIIVQTALNSRIFTVIRDYISPCLPNQEMQNGF QWDRTVQAVAVLTSLLIERAERRQEELFLISKDCLKCFDRIQAGSWSTSTASSVSHPF LASSWTIFLKPARLTSARSLDGSTGASVNSD H257_17442 MDLALCPGDHTPSRLSGVKPTRSLTKGDITTFDTTDWLEASVQN LYDILYTSAKIQWGETSHIRKALNRAVAIQRTNRGRISNLRLAHMVELPKWIRIPNLP PSTCWHSFGAIAIGHRTLEKCMSQKARLAYHLHPTNPRAPTNRMVPRPPNAEIPPIST RSTHSTHSIQSVIIRPADVLPRYSSDQEEIHSDRLQGPTLSVTASSMIWIAQTSVVAQ AFQTPAGTTWDTTYHYDADMQARCDRSLHTRVSPGYGGVSQELWISASACIRERERTV INLILRTCLVPPILEHKQMIYLAKSAIARGVVNLDLGLPPWRPIIVQTALNSWVMEYI YRKLGVPSLPRQLMDHFLEASQIDIRTVFGWLDGGIRTQTRTISSLLFVDDALDISTS YSVIQDRAKISNYFTGQSASGGVFGADKLFLFYLSPHAYPAITLNDGLGNPQPIRVVA PSEAFGTWAYHNQWEKTTRTVWLKLNTQAPAIAPRGLGKRELQYINAVWIPSVLFRTA ISDTINIAPALDTLFRKTTRRVLRLPHDHPNSWFYAHTYGLGIVHCERFSHSQRLYQF LRIANDRGSPAHEILMESLEAYQIESGLTDHPLVFRIPPPASDTAFLGTLLRDLATFK PALTITTQRHHPPLAVPTIPTTALSGLTSPQPTALPSSPSTALTPTKPDTLSYRIGRR ISLQTRHTPAGPEIAVTSWHELRKGSDIWYSSTSREAPSRLRLVPSAGCAILTGDLLR TSRIQRLKPSSHTTNNLPHIPHLYVLLATASRTLPSVWTAGNGTILSVFGTPGYSTPL HPTYGLHTLPLRGALTHSVGDGSVTHQDTRTAHGTWSYLGRDDTTLVEPINVHPDHIT PTCCEVHSLWGCIAPNLR H257_17443 MALLWSLNRAEESEVKGIHARVRWMALKTHGPTIRRARHYNRLV PGRNMWPSVILLDHNTVHCVCDVDRAEFERGGWTIARLTSYWNDLREVPGLNFAVEPL GFGVIPRNITWVMKRFFWVARWGGTSPGSRHTHDLIFVAWNQFSSTWT H257_17444 MGLWTDELDITWLKELVHQVVVVGKRARSGYKKEAWAAPLKTLN DVHGQIIPFSLQQLKSRHDTVKGAYAVISSIVNSSGMGWESATCRAECHTTTWEALLD GKPKSWGAWRHKRFPQFDLCEALFRGTLAQDLLVVASTNPPSTQTRADDQSSDEDLQD SRDLGSDIEDNTNEIDGRGRLSSLGSATKPPSKRQRLTMASQLLQTFQGMGTTCAREF ELMSKRFKSSEASPTANAVKLLHDKLDGVLSVDDMMLALEALENATRATVFISMNAAL RETWILR H257_17445 MQTTHAVMSLLHRRVYRRRRMLILAYMVYHYSAFLLKSSKRVSI LSGALWIAEILNGNEDAFVETFRLSRDTYGGLLFDLVQRGGLRGTRHISPQEQLCLFL YFFGHNASSTNMQQRFQHSGQTISRHLRLIVLALRRLLPYYIRLPPEESPAHRVITTN PKFYPYFENCRMALDGTHIPASKTAPFHDRKGVTMNVLAACDFDLVFTFVLAGWEGSA GDGKPRTKEELFNLRHAQLRNCIERILFGILKMRFPVLSSGVRYDCAFQVDVVLALCV VHNFIRLRGIRNDRFEQEADVQLDAVDDGESNPPPNALPPEEPDNKAAKEWRNSITSR MGAVQWEQQLSR H257_17446 MERCMRLLNPLILGCPALRQVDAMINQAFGPTLESERCSYSITP LGSYPLKTYLPDSDIDVCLKVPDAAATWHLAVTQALIGAATPAAWFHDNLRCDQAVFR RLVDLLRQRLQPNERQSSHSFEKKVAVTLYFLGSEGGYRETAAAFGMAKSWCITVVAT VVDVLASQAKLWIRLPTFPGDWSRIERGFYKAQRFPGVVGAVDGTLIDIQRPREYDGF YNRSGDPSLNVQAMVDHRMVFLSVDIRPGSFSDKKIWKVSQLGQTIRRCIPTGSHVIG DSGYTLLPWLLTPYVPHEEGGRLSNTQKRFNYKLSSTRMVVECAFGRLKERFRILKSV MNERSLDRTVAITTSCF H257_17447 MNDDEVTLELDFDHDDSNLPALAKTPKRTRRSPSGAAKRTPLWD DDGVAALFRLRYKSELSARFYSKNNADKKTAYVMLAAELSVATEKEYSVAQVQDKFAK MKSAWSLSKPSNAIETGNAPSAPLPPHYDVMLEYWSDKKGYQRESLMSTDENEIVNHI NVKQESGSECEEEMLRSRKKKKSNPSKSKSHSEALEAGFMAIKEGLIHLGSSLSAAPS PQPTPPTGATLDDVLQAIQGQSQTIAQLVAHLIAQKEK H257_17448 MYIDNAPTGLVQKIAALPEVKSIYESVVMELGETKSDDEPANAV VNEAIGWGVKKIQAPALWAQGIKGDGIVVANIDTGVRHTHESLKSNWRSEYGWFDPYN KTELPNDAEGHGTHVMGTMVGTNGIGVAPHAKWIACKGCSGRLCNQRKVVECGQFLLC PHDNDGKKCDPSKAPHVINISIGKHTKKLWLEDTITKWREVGIIPVFPNGNDGLKGCA YSDYPAGSPQVIAVGNTDSNDFLAFDSSLGPFGKRGKPDISAPGARIRSAVHSSNDGF SSRSGTSMSAPHVSGAIALYLSANKDASYDQVYAALTENVDTDTLSPPDKTCGGIPNT KYPNNLFGYGRLNIFKAVAASIPGLTLPPPSKSAQVLNPTNDLSTCGTLEVNTNYIGG DLASANLATAESCCAECKKTPGCKLFVWYNLNGGLCRLKDTQGPKVTVDGAKAGVLPA PALAQPPLF H257_17449 MTNSISANTAETAVAIEAFSKQVQDLMTKYGADVVYNVDQTAIQ HEEISKRTVNDAGASTV H257_17450 MPRPTRRKPKEYKYSRYTYEQKHVILQYRDSHTAAETIDRFFHG TRTQRRRDTVYQLLAAWTKSRPTIEAKATDARTRDIDGMLLSRDMLALHALDVAPFFE HNKPDFKASSSWCTRFIQSNALADRAVTRQSEKTPAGV H257_17451 MVQYQFIALAALAVTAVTAKISVQVHRNLEVSKQSNVVVQFHSD EALATHRRRLKAGASRTETIESLVDSLKEHTTTSQASVKSLLANQVESTAVEAGTTWI DSSMHIDNAPTDLVQKIAALPEVKSIYEPDIMALGETKSDDKPASTVNEAIEWGIKKI QAPVLWANGIKGDGIVVANIDSGVRYSHESLKSNWRRKYGWFDPYNKTNLLPNDALGH GTHVMGIMVGTKGIGVAPKAKWIACKGCEGGCKQRMMVQCAQFLLCPHDKDGNNRDCS KAPHVINGSFGEHIRNFWWEGLIRMWREAGIIPVYANGNKGFKGCAYSDYPAASPQVI AVGNTDSNDILALDSSLGPSVKGLVKPDISAPGFSIRSAVHSSDDGFSSRSGSSMSAP HVSGAIALYLSANKDASYDQVYKALTENVDTDTLSPPNKTCGGIPNTKYPNNLFGYGR LNIFKAVAASIPGLTLPPPSKSTQVLNPTNDLSTCGTLEDNTHYIGGNLASANLGTAE SCCAECKKTPGCKLFVWYNLNGGLCRLKDTQGPKVTVDGAKAGVLPAPALARPPLF H257_17452 MTRSLESATQVTIAIRNTFSQVSAEAKAFETKPDIITLNVGGTT FQTSKDTILRGEGTYIHSLLRSGQWKPEGGEGYFLDLDPTLFRRVLFFLRTGKIMPLD GLTEPEQDEFAAMLEYLKMDKWAQAQAIRVRWDPNAHSPDMNLSNNSRTIELCRSSLA KWQYGVATKPLTGNPRSRHQFIQQLEIDGVGSFKIGNVAMWCCHEASEGDI H257_17453 MTRSLESATQVTIAIRNTFSQVSAEAKAFETKPDIITLNVGGTT FQTSKDTILRGEGTYIHSLLRSGQWKPEGGEGYFLDLDPTLFRRVLFFLRTGKIMPLD GLTEPEQDEFAAMLEYLKMDKWAQAQAIRVRWDPNAHSPDMNLSNNSRTIELCRSSLA KWQYGVATKPLTGNPRSRHQFIQQLEIDGVGSFKIGNVAMWCCHEASEGDI H257_17454 MTSSFESTNQVTIAIRNTFSQVSAEAKALETKVAAWAELEDRIR HNLHNQPNIITLNVGGTTFQTSKDTILRGEGTYFHALLGSGQWKPEGGEGYFLDLDPT LFRRVLFFLRTGKIMPLDGLTEPEQDEFAAMLEYLKIDKWAQAQAIRVRWDPNAHSPD MNLSNNSRTIELCRSTLGKWQCGVATKPLTGKFKARVDYSTDQCCIGLGPSGMDIASD SSMRKCYLYQSTGAILRISHQVMTLSPIETGDVVTIRRAPWHVEFAVNDGHPFMVNLV DPSEDLFPVVFLYTQWKITILDG H257_17455 MAERAAKSKKFITKVIFLAAVVRPRYDPHKKQIFDGKIGIWPFV EEVAAVRSSKNRPKDTLELASQSVNADVYQDMVMKEVVPEIQVKMPRGVVVKLQLDNA SPHRCVTTELIAHHGVDSIEVANQPPNSPVFNVLDLEFYKSIQSLQPQKVARSIGELF AAVEEAFYELPVTILGKTVITLQKVMELSMGCNGGTQCPT H257_17456 MTYEALSEVKTEDYTPPLVEQRITIGEAVPLTSGNCGAKKVRSA IDIKMAIKAVPHEGRQTLRSTAALSGIPKTAILRHMKEPHGLTARTR H257_17458 MEPEPATPRALAPSTTYDPQQGSLSDLTLDDGFYSASAAAATPQ PEAATEPMASLLRVKALPRIPETSGQQQGYSPGMAYVTVYKPRLQLRHKSRGEDSTLS SN H257_17459 RVEILLDQRRDQLEVYKQQARTKMQAAEHAVASAAKEVDQWRQH KLSNEHQVAAKLQAVQETHAMLNHDREAFELTLKDMEETYVRMERKVKAYTKMVTEIV GSSSVAAA H257_17460 MFGRLARGVRTSVTSMGVSSGSMSTLSHGRKGLQELLQRNKKWA HDIKKQNPTYFSKLVDQQSPEVLWIGCSDSRVPANEILNLPPGEVFVHRNIANQFLST DLNALSVLEYAVTALKVKHIIVCGHYGCGGVNAAMTQKEFGLVDNWLRSIKDIYSDNA NVLGRISDDKKRSDLLTELNVAKAVYNVCHTRIVQTAWQSGQELHIHGWCYRLKDGII RDLKICISGEDQVEDIYRKMQEKESPEL H257_17460 MFGRLARGVRTSVTSMGVSSGSMSTLSHGRKGLQELLQRNKKWA HDIKKQNPTYFSKLVDQQSPEVLWIGCSDSRVPANEILNLPPGEVFVHRNIANQFLST DLNALSVLEYAVTALKVKHIIVCGHYGCGGVNAAMTQKEFGLVDNWLRSIKDIYSDNA NVLGRTRWHASNSWTC H257_17461 MFHRVVRSVVPRVLPARTFASISTGEKGLHELLERNKKWAAKVQ KEDPTYFAKLEHQQAPEVLWIGCSDSRVPANQILSLPPGDVFVHRNIANQFISTDLNA LSVLEYAVAVLKVKHVVVCGHYGCGGVNAALTQQEFGLVDNWLRNIKDLYITNHQQFE RITDEKERQDLMTELNVAKSVYNICHTRIVQNAWLRGQELNVHGWCYRLKDGIIRDLK IRVTGEDQVEAIYRKMLDKEHPEA H257_17462 MDGVVYEQDDHHQEVDNLGSSAHEGRHHAPHDQPEQSSHQPHNS SYGYPDQSSSYQPTPSSSYGQHPSHEGGSNVGGSYQPAPASQGAGKIFVGGVSWETTE DNLRSHFGQYGALTDAALMKDKYSGQPRGFGFVTFEDASVVDRVLSETHTLDGRNVEV KRAVPRDSAGGGRAGPPSSGGGGSYSDRNGGGRPSESKKIFVGGLPPSVTNDDFRAYF EDFGKITDAIVMMDRDTQRSRGFGFVSFEEEGAVAEVISKSHELHGKVVEIKRAEPKG EVRGGGRGGYDYGGRGGGSYGGGYGGRGGRGGYGAPGGYGGGGYGGGGYGGGGGYGGR GGRGGAPAGGYGGGYGGGGYGGGYAGGYGGGYGGGGYGGYGGYAGGYGAPGAPGGYGG YGGYPGYGGYPGYDPSGAPPPSEGSAPPEGSAPYDDQSGGYGGYGSYPRQGGGPPGQG GPKSDRYRPY H257_17463 MKTLVEIQVYRAVWTACWPIVRWLVRAKDTRRKVPASVSIERTG DAIPPDHFRSSPRGVVWIHGASVGECLSALPLIKVITDESKDASTMPPCQVLVTTTTP SARALLTQRLVSNPNAHCIFAPLDHAPCVRRFLDTWQPVAAIWIESELWPNMLVETSS RQISMALLNGRMSTRSFNRWNSWMGRRLARSLLSHFETVWCQSPQDMHRFQALGLSDT AKYIGDLKFLTTKADMDATSLDNLKRAVESRVVWTAASTHEGEEALVVEAHVDLLRRH PRLLLVLIPRHPHRVTSIVQAIQSKHPLVNVTLRSRHGVPEANSDVFIVDTMGETQMC YEVSRVAFVGGSLVPIGGHNVLEPLRSGCTVLHGPHMANFTSVVQTLGTSPHVVQVTA STLVPTLDRVFLEESSTRSRQLESLEPIQRTMWTHVYRFLNAIQI H257_17464 MLPPQVQLEAFQFYGFECHGLFAQEDLPVNTPVWVWDTVTEPLV TFTRKEVMSHPDRQKLINFSYMVNDDCFATTTAPEEDACWYFNHSCDPNCWFEGDGKI VTRRAVKKGEQLCYDYACTETESSLHVDMNCRCGAETCRGQLKFNDWRSRGFMKKNLG HVTDYIMRKHAENGWYDTRMELRYKSKSSMGLFCREVSDCKILKGEIVLMFSGKIVHK DTLLERGAMTPRDFEMSLQVQRDLWQIPAWKETGDKIETSDYINHSCDPSCGMLDSVT VVAIRDLHPGEEITIDYCMVNDGTNSDPSDNFTCMCGSVNCRTTVTTLDWQIPELQTR LGQFFAPFVKRVIEDAPFAVAP H257_17465 MMIRDDTSNNQTSSHVGDERRRGLSVHISHVDCSPIQQTQYVIR VADFYTDAYERTVRRPYSEFRRFRFHILDLLKLCTDGDDLSQRVSKQIEALVFPPKRF FGARSEAVVRTRANALNKWITCVLAITTEYRKAQKNLAVCDPSASTQGSAVLLDALKT FLTSRVEELQLINPLPLEKSQSLPMPPPVDMKGRGLPQRRTSSSHHNSHERSNNLESS TSTLQVTSTAPLVALPALTMNDINHIASSVIKSSSGRSILKSHDRLASSGSGMRKTKT RFSIAEPSETSFSNNRCTSNSSEGAHHHRSSSSSTAASSAEGSFVFTRTKASSRSNLA KTELSARLKSTSSSNQSRHSMHLHNYRGSVVKDARFSMTQPKVIKRLPAGDESDIVLA AETELQSMGLSTDTAAMVLRYMDRFLVKATQRQPGCYRITPDNWLAIDAERLCTELEE ALFNPAHMQAMLFSGGEWRIPQALEGYIQHKWAVHHNTAMDEQADDSDDDEPDDSHAE TLTKSARKNPSLFSRHDIDEIEQLMSEGTASRSQVKQLRRQLDEGNWDRHATRRRAIP LMDNALNSDDDNDSLGEEEDIDFATYARRKSGKTTTPKPTFQDHGGLV H257_17466 MRMWIGAMLVGIFVAAEQVEDMTATNRVYGTIWRKDLRITGAQL LGSCFQGYGLFGCSNYNSTSDRTGVSNQFLPSISYCVNCCGNPTATGTDETWDLVCPL TALQRSSVINDAGKRFVFARRQTVTDESVVTCEWPHRNASLFLAGYVVELNVSMYSDN HGWSFWVGVDSCAVSAVESSATPITFHERIRMVTTRPQYTPSSTWFILLAATLVAVAY TSWVVYRGYFKGEHCINCASKFVVCNSLCAMCMLCGCRLHAPPPKVFCADTFVESSTN ATPT H257_17467 MSPQQHQQRSSSSSARRIEQVGSQLSISASLVDEDSLYASRVKE MQAFMNQERFRHTTRQYNAEDVVKLQGTVIQSYASTTQATKLYSMLRQLQAQGKCSHT FGALDTIQVVQMAKHLTSVYISGWQSSSTASSTNEPGPDVADYPMDTVPNKCDQLFRA QCFHDRKQYEARRRMSPAERAATPAIDYLRPIVADADTGHGGLTACMKLVKLFAERGA AGIHLEDQKPGTKKCGHMGGKVLVTTQEHISRLIAARLQADVLQVPLVIIARTDAEAA TLLDNNSDGRDHPFILGATNLNVESFADAVRNGRADTWDKDAGCMTFTEYVARQLTGN AAGLAAWKRHEFADFSAMKAYAIDVLGLAVSSFCWEKARTVEGYYRVRGGVEFCISRA KAYAPYADLIWMETTVPGLPLARQFASGVHRQFPHQMLAYNLSPSFNWDAAGMSDAEI RTFIDELATLGYTWQFITLAGFHSDSLGITRFARDFAERKMLAYVTGIQRAERTEGVE TLKHQGWSGTELIDAMQNTVTGGLSSTNTMGHGVTEAQF H257_17468 MDQYEHVRVIGKGSFGIVSKILRKVDNKELVWKEVDYGQMTEKE KQLIVSEVNILRELKHPHIVRYLDRVIDKQSTKIFIVMEYCEGGDLSRLIKRHRRDGT SIDEAVIWKIFTHMFLALKECHRHKEGNAVRPILHRDIKPGNIFLDAEGNAKLGDFGL AKELSSESKFAQTNVGTPYYMSPEMVNEMTYDERSDIWALGCLLYELAALVPPFDATN QLALAKKISAGKFSRIPDEYSEDLFQVIRWMLHRQRSRRPRIEDLERVPILVRRLREY NMSSVEVQLQNTYAAKMKELFSIQEDLQKQEALLLVRETQLHERETELGKREHDLKRR EQMYVHV H257_17469 MDPENHEHMLYQAKAQIDLGGTAVAKTIGKLLGRQASTRILQRL TRPCAVHYPNLHVATKYTAAYLRACRALFRPVDSHGHMALHHLRPTLERHGLLGHDDD NANVDAWLQRPQDGHITFPDFVDACFTLVGPSLAAKWVSRGGSTGDNWRAASSLSNVS IADAKVQHHPYDAMMDQHRHAVGFSYEAHAANNPIQAQRQQDITTLSHSHSLVMDTPY RCIRRVVSDQDLHDQAAAEVAKKALKVKTQRHLAATQRHSLEQRTASARNFACSVGMV ARHIQIGEIKEKRQMGHQEKVAHVHRRKHENQSLRAEMEDFNARVQLTKIQDMHLMKA QMHDKLTFEQQKKEYREEMIRRQKDLFTISDSTCTLQRRPPELLAALAGVQTPKQQKS LAIAEHRYFEQSRSQQLRDYKHDKEDAKPKRYLRAPIVDVDQQDPDEGWHNAEAELTA AMNALYAEWVQEQRDLSPLIPTANSPPAAQRYYGTIKQMPPSPFMSSTQR H257_17469 MDPENHEHMLYQAKAQIDLGGTAVAKTIGKLLGRQASTRILQRL TRPCAVHYPNLHVATKYTAAYLRACRALFRPVDSHGHMALHHLRPTLERHGLLGHDDD NANVDAWLQRPQDGHITFPDFVDACFTLVGPSLAAKWVSRGGSTGDNWRAASSLSNVS IADAKVQHHPYDAMMDQHRHAVGFSYEAHAANNPIQAQRQQDITTLSHSHSLVMDTPY RCIRRVVSDQDLHDQAAAEVAKKALKVKTQRHLAATQRHSLEQRTASARNFACSVGMV ARHIQIGEIKEKRQMGHQEKVAHVHRRKHENQSLRAEMEDFNARVQLTKIQDMHLMKA QMHDKLTFEQQKKVCTMVIPSIEVSLHDG H257_17470 METLEGDAGFMDKYSRQIGAFGLETMAKLVKLKVLIVGMRGVGI ECTKNLILAGPGAITIHDDGLTEIQDVGVNFFLTEADVGTPRSSAVLHRVAELNKTVT VKAHTGPLTEEVVRKHNVVVFTHTGRDELIRWDAFCRTQSPAIGFITCDIRGAFGYAF TDFGPAFLTHDATGENPITRIITDISSDDDGLVSLLGPDDDGKMHELPDSDHDGWIDI SEVEGMVNLDDPTQNINTMGPYRIKFASKNVFRNGKQVPVFDPYHLKLVGGTRRFSPY VGGGMFTQSKQPFTTHFRSFHETLVSPIHPGDFGLMFTDGAKFGRAEQLHLLVWGLLE FEAKHGYLPRLYNDDDADEVVGYAKAGPSAIPGVDVDPIRLDQVDEKLLRQLTHVARI ELHPLAAFYGGVIAQEVVKFTGKFTPLKQWMHLDFAEVLPEARPDDVARQNSRYDHII QLFGLSFHHQLANVRTFLVGCGALGCEYLKNFAMVGVGVGPKGLITVTDNDRIEVSNL NRQFLFREHNVGQPKSVAATAAVRRMNDALNVLTLEHLVAPTTEHVFNDTFWRNDVDF VTNALDNIKARLYVDSKCVFYKKPLLESGTLGTKCNVQVVLPYKTASYADGPKDAPDD NIPMCTLRNFPSLIEHCIEWARAQFEDVFVVPFSDVAKFASDPSTYLHVIRVATVDHP NPKVGASAVPSELERVRALHALVTKPQVEFEDCIALAQTLFTSLFRDRILQLIHNFPE DHQTKTGEKFWSGAKRFPQAATAVDFGNAYHADFIRSVANLYAVNFGLQPPPEDEVVP ESSYLRQVDTFAAFGQAHPLAPWVPSSETIAENDEALKKQQEERATNADDVGELERLL NELGALTAGQLAAAARYVAADFEKDNDLNFHIDFIAAAANLRAWNYRIKQVSRHKCKM IAGKIIPAIATTTASVTGLAMIELLKIVQGKSLDQFKDSSNSLGLNMYLLQEPAEPEK AKDEYDMIEMSEVKCKPAGFTKWDTTLVTVQPGVTLAAFLDQVQTQVGLNVNLLFHPV AELGGDEYAAVRGLMLYDRTAFSASLKALYKQKLDVPLREWVEERYQRLVDCDRPFVE FQTSCSDDDDVVYKIPTVVCRFV H257_17470 MGRILPHAVACDWVHHLRHSRYASSVVYVLDNNLGAFGYAFTDF GPAFLTHDATGENPITRIITDISSDDDGLVSLLGPDDDGKMHELPDSDHDGWIDISEV EGMVNLDDPTQNINTMGPYRIKFASKNVFRNGKQVPVFDPYHLKLVGGTRRFSPYVGG GMFTQSKQPFTTHFRSFHETLVSPIHPGDFGLMFTDGAKFGRAEQLHLLVWGLLEFEA KHGYLPRLYNDDDADEVVGYAKAGPSAIPGVDVDPIRLDQVDEKLLRQLTHVARIELH PLAAFYGGVIAQEVVKFTGKFTPLKQWMHLDFAEVLPEARPDDVARQNSRYDHIIQLF GLSFHHQLANVRTFLVGCGALGCEYLKNFAMVGVGVGPKGLITVTDNDRIEVSNLNRQ FLFREHNVGQPKSVAATAAVRRMNDALNVLTLEHLVAPTTEHVFNDTFWRNDVDFVTN ALDNIKARLYVDSKCVFYKKPLLESGTLGTKCNVQVVLPYKTASYADGPKDAPDDNIP MCTLRNFPSLIEHCIEWARAQFEDVFVVPFSDVAKFASDPSTYLHVIRVATVDHPNPK VGASAVPSELERVRALHALVTKPQVEFEDCIALAQTLFTSLFRDRILQLIHNFPEDHQ TKTGEKFWSGAKRFPQAATAVDFGNAYHADFIRSVANLYAVNFGLQPPPEDEVVPESS YLRQVDTFAAFGQAHPLAPWVPSSETIAENDEALKKQQEERATNADDVGELERLLNEL GALTAGQLAAAARYVAADFEKDNDLNFHIDFIAAAANLRAWNYRIKQVSRHKCKMIAG KIIPAIATTTASVTGLAMIELLKIVQGKSLDQFKDSSNSLGLNMYLLQEPAEPEKAKD EYDMIEMSEVKCKPAGFTKWDTTLVTVQPGVTLAAFLDQVQTQVGLNVNLLFHPVAEL GGDEYAAVRGLMLYDRTAFSASLKALYKQKLDVPLREWVEERYQRLVDCDRPFVEFQT SCSDDDDVVYKIPTVVCRFV H257_17471 MLPRCVFFFLVIAWVPSTFAASFEFRSQCSYPVDVYDNAVTCTL QPNSTGCGVTANAPWSGMFRHSSNEQATLAEFSIAGGKVWYDISTVPPGSGTCTSLAE CMRVTAKVGFNVAMSIFPNGPSRNDPMYNCQYVVCYANGCHDAYQYPSDDIKTKSCPD TTNFVVTFCPDLPP H257_17472 MSARDRQVAQDLAQACRETAAQAIAATTASGQASVVYHNRGKFG SRKEAMNAKIAAAKAKREAKEAGGV H257_17473 MEAPSAGGEALTLLAGIAKMELDGWTKHVRADASDQLKAMRQGM GADASDQLKAMRQGMGAASRARAKARAERERRTMKREGMYNPHVIKAKAAAQLRKEEL TKLMKDVNVLLRKERKNIPQWIRKYKDEDPRCVYYHSMLRRVGLPERHARFAPWFLKS NQLVLNKYGQKLFDRFEAAYLAQAERLNDTEAAVQSHQAFVKRVACLQLGPREQNQSL LQRAYRTASFPAPKLHVADSTSVVHPLLRQDNNRINEDDDTPLAVVADKDDMDDPTAK VIPIAPVKLTAAPPGLAPAVPGKVESHGKHLYHAYNGRWKDGHMRGPVGVYEFADGGK YTGAWKDSVQCGMGTAEYPNGTAYVGQWKEGKYHGQGKWSSKSGIEYAGEWKHGMRDG KGRLTLPSGATYEGEFYKNQRHGLGVESSPLGYKYKGGFRMNRIYGQGQVEIEMDNGK LHVYAKEQWQPCLLGEAAMEAKSHWLGIDAHDEVLMRRLMRVRDDFRADDLQENFHVQ EAQRIVDEERARKQALREANKDKRDALARAKEAFQNRILQADESEDDENAPKSNVTPS DDDDDDGDDDDGDDESQDDSKDDDVASG H257_17473 MEAPSAGGEALTLLAGIAKMELDGWTKHVRADASDQLKAMRQGM GAASRARAKARAERERRTMKREGMYNPHVIKAKAAAQLRKEELTKLMKDVNVLLRKER KNIPQWIRKYKDEDPRCVYYHSMLRRVGLPERHARFAPWFLKSNQLVLNKYGQKLFDR FEAAYLAQAERLNDTEAAVQSHQAFVKRVACLQLGPREQNQSLLQRAYRTASFPAPKL HVADSTSVVHPLLRQDNNRINEDDDTPLAVVADKDDMDDPTAKVIPIAPVKLTAAPPG LAPAVPGKVESHGKHLYHAYNGRWKDGHMRGPVGVYEFADGGKYTGAWKDSVQCGMGT AEYPNGTAYVGQWKEGKYHGQGKWSSKSGIEYAGEWKHGMRDGKGRLTLPSGATYEGE FYKNQRHGLGVESSPLGYKYKGGFRMNRIYGQGQVEIEMDNGKLHVYAKEQWQPCLLG EAAMEAKSHWLGIDAHDEVLMRRLMRVRDDFRADDLQENFHVQEAQRIVDEERARKQA LREANKDKRDALARAKEAFQNRILQADESEDDENAPKSNVTPSDDDDDDGDDDDGDDE SQDDSKDDDVASG H257_17473 MKDVNVLLRKERKNIPQWIRKYKDEDPRCVYYHSMLRRVGLPER HARFAPWFLKSNQLVLNKYGQKLFDRFEAAYLAQAERLNDTEAAVQSHQAFVKRVACL QLGPREQNQSLLQRAYRTASFPAPKLHVADSTSVVHPLLRQDNNRINEDDDTPLAVVA DKDDMDDPTAKVIPIAPVKLTAAPPGLAPAVPGKVESHGKHLYHAYNGRWKDGHMRGP VGVYEFADGGKYTGAWKDSVQCGMGTAEYPNGTAYVGQWKEGKYHGQGKWSSKSGIEY AGEWKHGMRDGKGRLTLPSGATYEGEFYKNQRHGLGVESSPLGYKYKGGFRMNRIYGQ GQVEIEMDNGKLHVYAKEQWQPCLLGEAAMEAKSHWLGIDAHDEVLMRRLMRVRDDFR ADDLQENFHVQEAQRIVDEERARKQALREANKDKRDALARAKEAFQNRILQADESEDD ENAPKSNVTPSDDDDDDGDDDDGDDESQDDSKDDDVASG H257_17473 MKDVNVLLRKERKNIPQWIRKYKDEDPRCVYYHSMLRRVGLPER HARFAPWFLKSNQLVLNKYGQKLFDRFEAAYLAQAERLNDTEAAVQSHQAFVKRVACL QLGPREQNQSLLQRAYRTASFPAPKLHVADSTSVVHPLLRQDNNRINEDDDTPLAVVA DKDDMDDPTAKVIPIAPVKLTAAPPGLAPAVPGKVESHGKHLYHAYNGRWKDGHMRGP VGVYEFADGGKYTGAWKDSVQCGMGTAEYPNGTAYVGQWKEGKYHGQGKWSSKSGIEY AGEWKHGMRDGKGRLTLPSGATYEGEFYKNQRHGLGVESSPLGYKYKGGFRMNRIYGQ GQVEIEMDNGKLHVYAKEQWQPCLLGEAAMEAKSHWLGIDAHDEVLMRRLMRVRDDFR ADDLQENFHVQEAQRIVDEERARKQALREANKDKRDALARAKEAFQNRILQADESEDD ENAPKSNVTPSDDDDDDGDDDDGDDESQDDSKDDDVASG H257_17474 MAQLSGDDVQLCDDLRFAIAQLEPDALEGKSTLEIQCLADVYSI FGYGTDDIVDKRDQIVMFTKIRTEMMGVLHQMTTKGRYAHAIVLRDRLRLIRREFIHL QQTYEKRRQDVEGDHFLDAIALVRTKHDAKWVQKHADVDSNCDWRREDLAKTHMIQTR QLEDYLDRIHEPIVKFSKTLLDLKTSERNLSKLQLYEQAKNVYTRADAMEKVERLKNT QEFHRHKQKQREDLRAKQHAEQKQLEEALTDKQYATLRAKDWDTKRESQRVKNLKRDM HHAHALDQHKPPEFTTHPLVAPRDHLKQTSSTFGGQHFLSLVRGERLQVQSLCALHDA EEDAVPSGAVVYS H257_17475 MIGLATFSTAFCCASKSSLSASWFSSNQSMASCTAFNSVSLSPS SILSANLSSTLFFKLYKYPSSELRPSMRSLIFLSSSAFSSASCTMRSISSWDKRPFSA VIVIFSALPVPLSSADTCKIPLASTSNVTSIWGTPRGAGGIPVNSNLPRMWLSLVIVR SPSYTWIDTAGWLSWYVENVCDFFVGTTVLRLMILVMTPPTVSIPNDNGATSNNKMSC VVASFSPPKIPPWTAAPYATASSGLMPREGSLPLKKSLINCWILGIRVDPPTSTISST SFFFKPASFITFSTGLNVFLNKSLFNSSKRARVNVSSKSSPSKSDSISRRAWGWVDNA RLTRSASRRSFCNARLSLEMSIFFCFCHFLMKNCMMR H257_17476 MGRMSLADLVDMRAAESTFQRLLDTLEHQAKDIDELKTTVAALE RENVIQTAWNKDLHGTLASLTPRVDAVEAAIRLPNVRNASLGSIVAANYKEIVSMREK LHRKVEPDVVEEACRRVETNMFSAFESLRKECVNLELLSSLHMDQDALHAKMAALEGQ IDSKMDKMEVTRVEAMASKLHAFIPRCSEIERSLQQLDEKLTASSATCRHHEQLAALE TAQLGHRLADHRADLTTLRTDTTAAHDAMDAVIQKCQRNIHLCLSKVQVAQRSHSEFA ASTRTSVHELADALEAHAQRIQNELGLKVNSCDWQTRANELEAALETKAPLQAVTSLK KDVDKWADWAGRCDSHVQLSVRFIEWFFERGEAYEANLQLMEAQLKHLSLRQVTRQPF DGRVRFADS H257_17477 MGCIESKFKYEERQVNAVAAFVHNAIDGKVALLAKAIRIDRKKV VAVDRFGMTALHWACYVGQITSVIALCDAGAVPTQVDNNQRNALHHACRKGRLNIVAH LTSICGMNVNCQSGNGDTPLHKAVLAPSVPVTLLLLKHGANKHLPNTYGRTPSSEVQF RLGKGAPTAAIPEEAEPDLGQVLQWPALQSVEVMTAVVPFDDTLSRPSTTDQLQLSPS DQLATLSGYALLGAIFTLHDLALARDPSAMAQFDQLVATHCVVGS H257_17478 MHRLAAIRASQAVLRRPTALSAASSRHVVTLRGIAALVHRPVGP PQQHVLSSWLGKTTHGVRAFASHHTNDDHVELLSFSEVKKLGLTTMDELIHSRGKPAF FAAAKRLKFTWKDFCAHNPYPSATELKTFFNAGRALDADSVLVETVMAMHKVFPKELK ARHFMESRVSFLRLRKFDEMQAIFDAEKIAHPHPQPIFYIWVLTASIEQNNLDKIKAL LAEMKHEGYMIPNETVSRLLFNLAKKGDKDGILDIFADLDPNVGIWTVPALNRTLVSL GMAGLPQLAFKFYGESTMDLVAATFKTVLEIAVRNECKKEAADILHNRKLFDLTLDTP EYNIILEALILLDRCDEMQGILDEMAAAGVPANAKSNYLVKTKTLDRIYLHSKHLPKS KLNSDIRRNLDKKLWVKAARVGDAILAENPTPQNIAHVLEAYVAANQLDKVDAIVKSM QTAQWPVPTLGGIMFLLKHFCRREVRADDGKQVIVDVDRAFAVYKASKVQGLTIFHPK MLYPVVMQLGEWEAAVDLFLGSLRADATNPPQLAMRTTKVVRTEAFHDVVRVCAKMRQ YDAMLQVVHAMVVAYGHEVYPTVFKSMWFDPVRYSFHGLNGVTDKNRSDLLDSFAAAI VSCLQTIQTHQPTFQPDRGMVDALANVLFYGKQRGPLIELYRQAKADPKKYTFPELTY QKLLQVLAVQGHNLAETRELFDEAAARYPQGKMLSLMEASVVRATAQAGHIDDMLALL RGPRACGASYRHALEVLVGHRRFDIASEVLVMMVDAGYVPNSQLVVKAMLVVARHGHE PGVAAFASDLLAAFERSVVVNGKVQTKAATDDKHRAVTVNMRDIRRIYSLVLHTLSAA GLVEDKHALVARMHALAIQRVDVDTDLRRDHTRGVQ H257_17478 MHRLAAIRASQAVLRRPTALSAASSRHVVTLRGIAALVHRPVGP PQQHVLSSWLGKTTHGVRAFASHHTNDDHVELLSFSEVKKLGLTTMDELIHSRGKPAF FAAAKRLKFTWKDFCAHNPYPSATELKTFFNAGRALDADSVLVETVMAMHKVFPKELK ARHFMESRVSFLRLRKFDEMQAIFDAEKIAHPHPQPIFYIWVLTASIEQNNLDKIKAL LAEMKHEGYMIPNETVSRLLFNLAKKGDKDGILDIFADLDPNVGIWTVPALNRTLVSL GMAGLPQLAFKFYGESTMDLVAATFKTVLEIAVRNECKKEAADILHNRKLFDLTLDTP EYNIILEALILLDRCDEMQGILDEMAAAGVPANAKSNYLVKTKTLDRIYLHSKHLPKS KLNSDIRRNLDKKLWVKAARVGDAILAENPTPQNIAHVLEAYVAANQLDKVDAIVKSM QTAQWPVPTLGGIMFLLKHFCRREVRADDGKQVIVDVDRAFAVYKASKVQGLTIFHPK MLYPVVMQLGEWEAAVDLFLGSLRADATNPPQLAMRTTKVVRTEAFHDVVRVCAKMRQ YDAMLQVVHAMVVAYGHEVYPTVFKSMWFDPVRYSFHGLNGVTDKNRSDLLDSFAAAI VSCLQYVEYFDPLS H257_17479 MFRVATLRLTRQAFPKATVAAATSALSANAIVKSVASFAPRFVL PAAFTMPTMSMARFFSTEAAPVSTVWIGGLPFETEESDIRDLFEKYGEITRITMTKRR DGRFQGTAFVEYAEPAEAKAALELDGESCGSRYIKVSYALPRVVKTTERPVDCSAVRF SNIPYDLNEESIRSMFEHCGEISSVHFPKDRETGRPLGYGFVEFVDPTSCDKALDVSG AEAFGRRINVEYSLRAKRTPHATHKPEGCKAVFVANLSEEVNSGMLQDLFEDCGEIER IHIAVDRETGEPRGFGYVNFAHTDSVDNAIKLSGADVEGQNIRVTFVREREERRPSYN NDGNDRRERHHNRSSGERGYGGRAKRGGRDEGRNDRRRNYDNSDY H257_17480 MLRRSVFSGSGRWRIPRRYSIDAFLEFEREMAKKKRAASPPQPP AARRLVPPTKPLDSECCNLNCPNCVLLVYNEQLLEYEDSVRWEALATSSTDAFIKQFQ ATTPPPPPDVTLTWASPAQALHLHEQSGISSSTPVPPRGVHPHPVIVHQVLSETSSRS VHHIELDIAPQTTYDTAGNCNVHLPNANSVVTRCLKRLSNRPTTTSLVQVLPGHSKTY LPSNEWLSLTSLFTWYVDLSSTPTPRLLRHLSGYATAAPDQTKLAQWATDIPRTLSLV DVLEACPSVALSVEALLSLAPPLVVRSYTIASSPKTNHTSVALTVAAKPPPLDGRCST HLASTTPFSSTVFASLAPSAFSQHWGAHYPPTVSLLWIGAGTGVAPFRGLIQELALVK NRPKVALYVGCREPSSDELYQCEMQTALATQVLSAYVPVYSNHPPTSHTQDGPWHVGA KLKQDAATVCQYLEHGTVYVCGSLAMGRDVKLALVNCLESHRGWSVDQAKAYVTSLQL NGRYVAEVW H257_17481 MKKVVAALLAPLALASTYDEWDLPNYCMATDTMDKVAIPPLTSN RPMELVQAQVIARHGARAPYSRVFCWETAPSPVNAVWNCSTSSVWSGDIMANDANNRG FGRLYKKQYMDGGNVLSGTCAVGGLLPLGREQHRRVGELLRKAYIGEGPLKLFNSSNL NTLHKHEIYLRSDDQERTLGSGQALVDGLFPYDVTDDSDTEHMLTWMTADGATDYIDH KSDNSCPALPFILGQVQATPEWAALSRDVAPLQAEFERVVNGTFSWDTCLECLMIARC NDLALPPGMTQELFDGLIQHVQARKKLVLTFQGGRYAKVDMHHMWADVLQRIDKIIAD PEHAPKLSITIGHDSTIMPMMALLLGDRWDGAWTTYAGTLVLELYKESKHPTNDASAA YAVRAVYNGQPLLLPFCSDYLCEWTVFNDTFAFAREWRPCAVPTTSMSAVPTDRRWKP HGLHWVASAVMFSGCVSMTVVMMRELRHRQEDPTTRYLLA H257_17481 MKKVVAALLAPLALASTYDEWDLPNYCMATDTMDKVAIPPLTSN RPMELVQAQVIARHGARAPYSRVFCWETAPSPVNAVWNCSTSSVWSGDIMANDANNRG FGRLYKKQYMDGGNVLSGTCAVGGLLPLGREQHRRVGELLRKAYIGEGPLKLFNSSNL NTLHKHEIYLRSDDQERTLGSGQALVDGLFPYDVTDDSDTEHMLTWMTADGATDYIDH KSDNSCPALPFILGQVQATPEWAALSRDVAPLQAEFERVVNGTFSWDTCLECLMIARC NDLALPPGMTQELFDGLIQHVQARKKLVLTFQGGRYAKVDMHHMWADVLQRIDKIIAD PEHAPKLSITIGHDSTIMPMMALLLGDRWDGAWTTYAGTLVLELYKVVPTVTLRNDES KHPTNDASAAYAVRAVYNGQPLLLPFCSDYLCEWTVFNDTFAFAREWRPCAVPTTSMS AVPTDRRWKPHGLHWVASAVMFSGCVSMTVVMMRELRHRQEDPTTRYLLA H257_17482 MLGRSWVDRALLLLLGFFHQTTDAHGTHITSFEDVLSSQPHAHD FAVVSYVSITSADESLAPDAPYPSSMHVHFRTSHGTDLEFDVRLKRDLFAADSFVWAH DGPELALLKSHKPHHIAYEGKVPHGYIRLTMFDRHMFHATIKLHDKIVVVDPVGHHKN AHHLSSPVTGMVAYTIPLASATLSNQHHRQLTSFGRMAGCTWSSRQITVGVASDAGFT SEHGGAAQTQSYLIAVYNSVNGLFDDQIGVHLTIGAFLIETGVGGPAWNVEPGSCGAM ADMDVHLDVIKAWVAAGDNVPMCNQENCGLWHVHTNCDADGARTGSTAGVAWMGSLCS SSMGLNTGVSIDAGVNTWMVVGHEIGHNFGASHTFAEGGIMSYDWGSPTKFYDNGEVC SFVQSVLDKCLKPYSPDGAPTTARPPTTTTTTATPTTTAQPTTTTATPTTTTTVTPTT TTAITTAHPTTTTAKPTTTTTAIPTTTAITTAHPTTTTASPTTTTTPKPTTTPVTTIL TTSTLTPTTTTLTSTTATPTTTTVVPTATTTSAPTPTNTTYIPTPSNLAASTTTTNPP TTTVDSSSDPCSCSTVSKCPSITGGGCQPFHGKSWCYVKDPTKCVGAAYHDSTDCAGQ KYMQCNESSIYLSVPPPPKDPCACSTTTTCPSVSTQPGCFKSDWTSYCYVEDPIKCFG PAIRSSSVCAREKLRRCSPQGKSSTHWVVNEWSKCSAQCGGGVKNRTVTCMDQKNVIS FTDSSCSAPKPIDTLKCNVLPCPNTALNYTTPCAGPPHSTCKPRSHMRLCDCACNLGY IYHSRRRECVPLPAIEWRSVKCPECADNVLGPLSFEVRSHDIDPLNAPGCDGQDDDGT VTASWLAVFDPDSSTTSGGSASTSDGITNVAIIAIATCIGAIALILAVLVYRRRAVQQ PATQKQPPACIVRSTLGSML H257_17484 MSCWRHPLENLGVLVILLACAWGFVVTSSASSTVAPPSTSLHVL HPPHPPPLPTAPSSPSSSSSTNSPLEVLVSQVAALRRDMADLRALIKDVCVQHT H257_17483 MEMKRKHESPSPALSVMATTATSPSQQKIQLAAHHLASSNTKTR KRTPSLNSEQFSHDIPERELITSTRDSSPVDPVTMVQPAMTTRTPSLLLLEVPTKHPQ SPNSIEPSPKVILSSREALPSPRLTLPPKDQEHPPPTTTTTAFPPKRARNRNPGNLIL DLSQMTPMQSPTKAADCTNVCSQVTDFLFVGGAVVASQRDVLERHGITHVINCAATIT PNYFPHVFDYYRLRLRDHATQDIHQHFYNIFQFIDTARARHGKVFIHCVKGISRSPAM AIAYLMAREQLGLYPALELVRSSRPVIDPNAGFIFQLNEWDSLRQLHCNQPSTVFRVE MSQDDDVDGETSSGRYYPLIIGPVPSDHLMASSSSRDPSQESPQIHDEHAAACFVVCS MEAKYCSLWCGRDASDEMVETGKVAMRLLQTYEDFPDTFDVVKSGQEPSAFWNVVAPD QEDPHAM H257_17483 MEMKRKHESPSPALSVMATTATSPSQQKIQLAAHHLASSNTKTR KRTPSLNSEQFSHDIPERELITSTRDSSPVDPVTMVQPAMTTRTPSLLLLEVPTKHPQ SPNSIEPSPKVILSSREALPSPRLTLPPKDQEHPPPTTTTTAFPPKRARNRNPGNLIL DLSQMTPMQSPTKAADCTNVCSQVTDFLFVGGAVVASQRDVLERHGITHVINCAATIT PNYFPHVFDYYRLRLRDHATQDIHQHFYNIFQFIDTARARHGKVRSIDRSIPTIMGSF LPCRRLGYFPLNNFLYPQVFIHCVKGISRSPAMAIAYLMAREQLGLYPALELVRSSRP VIDPNAGFIFQLNEWDSLRQLHCNQPSTVFRVEMSQDDDVDGETSSGRYYPLIIGPVP SDHLMASSSSRDPSQESPQIHDEHAAACFVVCSMEAKYCSLWCGRDASDEMVETGKVA MRLLQTYEDFPDTFDVVKSGQEPSAFWNVVAPDQEDPHAM H257_17483 MEMKRKHESPSPALSVMATTATSPSQQKIQLAAHHLASSNTKTR KRTPSLNSEQFSHDIPERELITSTRDSSPVDPVTMVQPAMTTRTPSLLLLEVPTKHPQ SPNSIEPSPKVILSSREALPSPRLTLPPKDQEHPPPTTTTTAFPPKRARNRNPGNLIL DLSQMTPMQSPTKAADCTNVCSQVTDFLFVGGAVVASQRDVLERHGITHVINCAATIT PNYFPHVFDYYRLRLRDHATQDIHQHFYNIFQFIDTARARHGKVFIHCVKGISRSPAM AIAYLMAREQLGLYPALELVRSSRPVIDPNAGFIFQLNEWDSLRQLHCNQPSTVFRVE MSQDDDVDGETSSGRYYPLIIGPVPSDHLMASSSSRDPSQESPQIHVQLGVIFVEGSH MSSTGRTSMPRRVLSCARWKPSTVRCGAAETLATRWSRRARWPCACCKHTKTFRIHLT W H257_17483 MEMKRKHESPSPALSVMATTATSPSQQKIQLAAHHLASSNTKTR KRTPSLNSEQFSHDIPERELITSTRDSSPVDPVTMVQPAMTTRTPSLLLLEVPTKHPQ SPNSIEPSPKVILSSREALPSPRLTLPPKDQEHPPPTTTTTAFPPKRARNRNPGNLIL DLSQMTPMQSPTKAADCTNVCSQVTDFLFVGGAVVASQRDVLERHGITHVINCAATIT PNYFPHVFDYYRLRLRDHATQDIHQHFYNIFQFIDTARARHGKVRSIDRSIPTIMGSF LPCRRLGYFPLNNFLYPQVFIHCVKGISRSPAMAIAYLMAREQLGLYPALELVRSSRP VIDPNAGFIFQLNEWDSLRQLHCNQPSTVFRVEMSQDDDVDGETSSGRYYPLIIGPVP SDHLMASSSSRDPSQESPQIHVQLGVIFVEGSHMSSTGRTSMPRRVLSCARWKPSTVR CGAAETLATRWSRRARWPCACCKHTKTFRIHLTW H257_17483 MEMKRKHESPSPALSVMATTATSPSQQKIQLAAHHLASSNTKTR KRTPSLNSEQFSHDIPERELITSTRDSSPVDPVTMVQPAMTTRTPSLLLLEVPTKHPQ SPNSIEPSPKVILSSREALPSPRLTLPPKDQEHPPPTTTTTAFPPKRARNRNPGNLIL DLSQMTPMQSPTKAADCTNVCSQVTDFLFVGGAVVASQRDVLERHGITHVINCAATIT PNYFPHVFDYYRLRLRDHATQDIHQHFYNIFQFIDTARARHGKVFIHCVKGISRSPAM AIAYLMAREQLGLYPALELVRSSRPVIDPNAGFIFQLNEWDSLRQLHCNQPSTVFRVE MSQDDDVDGETSSGRYYPLIIGPVPSDHLMASSSSRDPSQESPQIHVQLGVIFVEGSH MSSTGRTSMPRRVLSCARWKPSTVRCGAAETLATRWSRRARWPW H257_17485 MEHFLLPHGRPEPDDQISRANRHIFGNTTFRTNQRDAIQTTLNR QDVFVLMPTGGGKSLCYQLPAVLSKGVTIVVSPLLSLIQDQVTSLVRNKPAGIPAAYL SSQTAVKLLKAVLRDLHRPVPTIKLLYVTPEKLASSSSLISLLEDLNRREMLARFVVD EAHCVTQWGHDFRPDYNKLGRLKELFPDVPVMALTATAPQKVIDSVKKVLKIPRATVF TMSFNRTNLSFDVLEKENEESKALAQLHAYISSKYANNVVGIVYCMTKQQSEDVANYL FDRGIQADFYHAGQSSTDREMVQEAWQDGQIKVVCATIAYGMGIDKADVRYVLHYSVA KSIEGYYQEAGRAGRDGQPSQCTIFYNRRDVSKIRGIINMPKKGNTMKTRGVHMEKLE RMMEYCEERASCRRQFLVSYFGQTFNRKDCKKTCDNCRRVIAH H257_17486 MGGYLLAASAWSAILDVPHGPAIWQPESFLVDDRFIFDLDRDYH AGNDTPSLAKPEFPVVIMHGMGDAALNPGMQRLRKAVAARLGAYVTNVQIGASQAEDT SNGFFMNLDKQVDYFARVVAEDQHLRHGFNALGFSQGNLVIRGYVERYNNPPVQSFIS IHGPLAGVAGLPHCRPINFICKKISEMISTAAYADSIQEKIAQANYFRDPTRIPEYQA HALFLPDLNNEKPSTNATYKANFATLQRLLLVRAAQDSMVYPSESEWFGAYEDGAFGR ILAMNETTWYKADAFGLQTLDKAGKITFKETTGDHLRIPTETLLAWADQYFV H257_17487 MLKGVVIRVLVMAVSNNWVWDQEPLPAPFAHEYMVDVPTAYVDH AAAAFYPVVMMHGMNDAGHNGHMERMRHVVSDALDGVYVTSVQIGRNVWEDEYNSVHL TMDEQVARFAAIVGRDPNLRHGFHAIGLSQGGLIVRGYIHRFNDPPVIGFLACHSPLA GIGSLPICNPADFLCRHLNKFFGAFAYSSELQRQLAQSNFYRDPTRIPEYLERVKYLP DINNEHAVKNATYKANFASLRQLIMVRALQDTQIAPTMSSWFGAYEDGGWNVILPMNE TTWYQGDTFGLKTLHTTGRLAMYETPGNHLQFSDDLLATWVRTHFRPPSMQRTFD H257_17487 MLKGVVIRVLVMAVSNNWVWDQEPLPAPFAHEYMVDVPTAYVDH AAAAFYPVVMMHGMNDAGHNGHMERMRHVVSDALDGVYVTSVQIGRNVWEDEYNSVHL TMDEQVARFAAIVGRDPNLRHGFHAIGLSQGGLIVRGYIHRFNDPPVIGFLACHSPLA GIGSLPICNPADFLCRHLNKFFGAFAYSSELQRQLAQSNFYRDPTRIPEYLERVKYLP DINNEHAVKNATYKANFASLRQLIMVRALQDTQVRR H257_17487 MLKGVVIRVLVMAVSNNWVWDQEPLPAPFAHEYMVDVPTAYVDH AAAAFYPVVMMHGMNDAGHNGHMERMRHVVSDALDGVYVTSVQIGRNVWEDEYNSVHL TMDEQVARFAAIVGRDPNLRHGFHAIGLSQGGLIVRGYIHRFNDPPVIGFLACHSPLA GIGSLPICNPADFLCRHLNKFFGAFAYSSELQRQLAQSNFYRDPTRIPEYLERGKHGT RTT H257_17488 MPGGKGVASKQSATPPRLPRSHIATLLWKNFLLKKKHPVKWALE MIVPVLFIILLGGLKKLTDDVKVPAGWSDTQVLPTDITTGTSHALFETIPLNISGTAF NFSTYYATETTMSGYLVNMALTAYQNGKLMSELNQVDTFQCSRVVLEGKVSTDPSSPT AVPVECRGKVVPYKLAIVPDNAFTRDYFAQTINAWFPRVELTAKSFLATPTIPSFADS TLFFADEAALEAYIQSDGYGKDLAHPVVQAAIVFHTFPSKEQLGSVHPIEYSLRLNST LGRGGIPGDVPRTDVKGTNPLQRNIDITMYQKYTMTGFLTLQTLVTRFATCVPDWDGA RTAGTCTQVQAKAASTPALDARLLQQVQDDYVLQLVVVFFNKLPMLPRIDLKNLPAAA KAALLVPLRQAPQSYFGQRVFPFPIAAYISSPFYAQVESFFAIVFIISYLFSISSILV ALITEKENKSRELMKILGVQDNSIIWSWYLTYGAIFVVSAVLQTGASRANLFPHCTPL LVFLFFLLFGWSVLAYGFLVSSIFSKSRLGTYMGIVVFFVMYLITSGFSDTSSERSKN VACIFAPVAMAFGVQTMAKAEAGSLGITFANVNEPYANFKFATALAFMAFDIVVYTLL GLYLERVVPKDYGVPEVWYFPVSSQYWRKVLGRGAMRVQDKHHVGDNIAADLEGRSVD TVERVGPELRQQEVTGDALQITKLRKEFAVPGGIKVSVKGINLTMYKNQITCLLGHNG AGKTTLISMLTGMIPATSGDATINGLSLKNDLAEIRHSLGMCPQHDVLYAELSVLEHL MFYGRIKGFRGAALTEEVDAKIVEVGLTEKRHVRTSDLSGGMKRKLSLAIALLGDSQV VFLDEPTSGMDPYSRRSSWEIILNNRYNRIIVLTTHFMDEADILGDRIAIMAEGELRC CGSSMFLKNRYGAGYNFSLVKTDDCDTDALMAFVRSHVDTAKVLSNVGTEVSFQLPLD CSHLFAPMFVELDANLARLGVLSYGISVTTLEEVFIKVAEVGDEHNQHTLQSKPTGAK PSTGYKIDANAPPVSHIAMFFIHFAALFKKRVRTARRDRKIVLFGALLPIAFIILGIS ILKFSALTKNDAPIRLGLGNYTLQQQTPVPVYCVADDNGWCTALAAAFSAGQVTLLPR DEYMSPTPTVFQVTYNNPPIAPSDTTGFCLKSGEQVWTRGFQQATAGQYGAYIVHGSS TTGEVGYAIAVNTSSPHAAANYKALMDQAVYQMVTKSPSATLVVHSHPLPLTAMTKTL FTTFISFATSICVVLAFCFFSASIVPYLVSEKHPTHNSKHQQLVSGVSLPAFWLANFA WDMLLFSVPCVFGLLAIYAFDITPFTGHACSTCAGTPFAALTVLFVLLGFSLISMCYC LSYIFTDASSSQTTIIMINMMLGVVLMTVSIVLDVVSSTTELNKSLKFVWRLSPLFNV GNGLNSLAIFTIRATFSRDGYVPGLTAFDTKVVGWEVTYLAVESVVFPLIAIGIDYAL SFPSIKAAIMKDPQVVDAPYDVDDDVKAEEARIASGAADKDAVVMKNLRKVYKGGKVG IVQMSLALPKGECFGYLGINGAGKTSTMKILTGDVLPSKGQALLGGFDILTHQLEVRR LIGYCPQFDALIDLLTVREHLELFASIKGVPSKRICDTVKDKMDQMNLNDFEHKLAGT LSGGNKRKLSVAIALIGSPPIIFLDEPSTGMDPVSRRFMWDVIADISTRSKESTILLT THSMEECEALCTRVGIMVGGRLRCVGSIQHLKNRFGDGLMLHIKLAAVTSDAVAGMAA DAFKGVTEVTKETLQATCTRLGKSHRAASIDGNHPTGYVLADSLERHLAISAKDFCTW WLSEDRFDSFSSHVTTSFGGTAQLLERQNDQSRFKLVSPTLKLSAVFSLIEGCRRDLH VEEYTVAQTTLEQIFNNFACQQTQEKGVARGMVVNGGGVPQPPVAHDHYVVAPQ H257_17489 MLFLVLVATIMLAALPTSSAHVQGAIRSGAVPAHGVNLGSWLVL ESWMSKQSPVWVGVPDAVAVGGEHQTMAFLGHDKADGRFKIHRDTFITAADIAEIAAF GMNVVRVPVGWWIMPDSTNDDWKHYAPGGLAYLDTLIKDWAVRYNVAVMVDIHAAPGS QNGRDHSAAPTLNVANWSRDDGNIARTLKVAEFLAARYKGDEAFLGLSLLNEPEGNPA ANTGVDTAKLHAYYTTAVSRIRQTGNDCVLVVAPLLTEQSPTASVWPTFLRQKNVWHD WHKYLKWGHEGQPLTQLISQGTENIGRDISQWTGNPLFIGEWSLGHPDSAKVEFQDQA QVKLYAVAYLDAVNKAKGGWAFWSWRADTGLPLGEGWSMRELLRGGHLKLQQKGECTV SMKSHD H257_17490 MAPLNTHKQHQHAVGDHKKHHEGGGSSKAKTKGATTTKTTKKTK SKRLPVTLLSGFLGAGKTTLLKHILQSNDHKLRIAVIVNDMAELNIDAKFIHTAAGLV QTKQEIVSMQNGCICCTLRGDLVREIAKLQNLHAFDYLVIESTGIAEPLQVAESFIFD PATAELAGDGADMLWDLAVLDTCVTVLDALEFPTMLRSTNRFDQAFPTEATDDQAEGA KPISQLLIDQVEFANVILVNKMDLVPSEADRATVLRLVQALNPTAKVVETTQSVVALD EILNTKRFDLETAKASAGWMDSLRGEAVSEKDEYGIGSFVFRARRPFHPQRLHAWANR RFRFNMAGHFDNHAPTPPSSSATTNNETPDDGDILRSKGFCWIAGRDDTMAEWNHHGR LLSIAPIQPWWCALPEADWDVSNESDIEDIKRDFEHPYGDRKQEIVFIGTNLDESKLS ESLATCLLTDDELHQHTRGTPATNDRLKCTKRWLYDPLPAWTETVDDAQVWTTVLRDQ QTVQFEVAGNVELNVNAISLLVQTTDEFSGYPVDVDVENLPFSAAQVWYDNSIGDSVM LCVLRPNDTNASASACCLTVPGNQVIHRLRVKLVVNGKKRKHNLEALMKEWASRFEVH IAADVRVVAEEEEEDEEEDEEH H257_17491 MSNSTTNNGGRVEAAQDAVVKVLVLGDAATGKTSIIKRYVHNVF SEHHKTTIGVDFALKPVTVQNTTLRLQLWDIAGQEHFRALNRVYYKDALGALVVYDLS RQDTFESVLKWKKEIDTKVELPNRKPLPVVLCGNKADLAGEVDHEFMNDFCETNGFAG WFATSAKDNKNIDEANKALVAAVLQHRDIFEKKVDPRKDVFRATAADSASKQGNARSS YCCSSF H257_17492 MASMTVSPCPPRESLKRTRMVTSPIDSGFPVLSEDASRMIKRRC FPQVAPSEDDLPKYSQRQFEYMEQAKHADLNRLRTECEQILLRKEKDMQEMRVEFDRL REMCMGQAKEFEKIQAENKILRRAVTIQNQQKEEATQENVALKQLAHQAADHIKRLEQ TNYALRVHLHTSSAGGAAASSHFSSDVY H257_17493 MHGGRNEFESLTTIDVCARFIVTCTSASKLPLADHFGDVIDAMD DFCDEQGLSHDKYFRFASAFRTALTDRGKKPCFLTKSLSKDVLEMVGQVSSKLSITTV ASDRNRIFDLTELIRMVIDTIQTWIFRTLETLGSQADVLLTKAVETFRRDPPTMGEGC DIVIGLGQRWAVKRFPRQTWAGAPTLDNVDMFVSKFVDAWGDVGSASQVGWPSSW H257_17494 MSKNTEKSVLLKTSSDGAIPLRQELRELASMAIQLSLRQMVRQV MTITDAAFQGHIGTKQLAGVALAGMWMGVPSAFIQFAIQAISTLCSQAYGAGNNQLVG VWLQTAIVFAVAGAIPVMIWYMFVGHMIAFTMDDAETVAYGTQFARVMAMGLIPQYIY GALTTYFATQGVIMPATFCSCFTMCLNIVFNQVFIYGAYGWTGLGFIGSPLATVASTF VQLFLFVTYTIVIKGYHKQYWGGWTWDCIKKERVQVFLALAIPMGASSVVDWASATVA GAFSGYLGPNIAASNAVMNGLFGVVNSCVSGFSGATQIRMSRYLGKGSADSAKRVLYM GGSIVMGCSVVLLFVFFPFRRNLFGIWSNDPVIVEMCSDAIVVFVICILVAFVRFLLT ACMNALSKADLNLLANNIASWCVYVPLSYVLPITLKWGLDGFWIADTLGEFIKAMILV WGVLQVDWNVAAKEAQNAAESGDVDVEEEQELNQMKNEALITPTGLKSPASTLGMTPG RTPTAMRRSLNATPKAKRTASLHV H257_17495 MTSAILTAVDDKQVRAAALVAIIDQSQAYLGSFFDDGYGAEGVG YYNYGFEEFAELREKVCDATQGTVDLFDNANVGTIAHLSQLLVMRNQNVASFGDAHAG LRFSHPLTQYSLYAYGDTKMVAAPNTRSVPGKLMSLLLPVTMKRSCPELYFDSRGSVQ LRHVFEQSKIAVFRGTDASLFDMTFKVAGNGGHSHNDMGSYSIAF H257_17496 MSDDPQGYAMPCSNRMFWQPILDANGKAVAAARTDGRKHMSHTF PLWRDDTYLLYSQNGDRAAGEAMMAKRHEFARNLLLAECYDMNGEFLSKLEDSLVSYA TQRTWVLAAHDPKLDVFYGRSVFVDLNAALVSSFFGSALYMLGDAFSLETTTAIRDAL DAHTVGP H257_17497 MEHVLVTADENGEYLCPQCEVKYTRQESLRMHCTRKYGLAITFK VKRTAAEKSEQSRQRKAKWSEKQAAIRALVSLSTVEEKRDAFSRRDARKRGVHEAKDP IVYTKMSSIQNAGLGVFAAVLVTWSLPTTVNSHKILLVKIVQAFHS H257_17498 MLPLTEHAFRSKIKDIVVPFEVWLKRFVQFLASHSAYPTRDHPT KSATRPDFKSARIYLRLVRKSMEVLSPVHADVCFRVLFGMLPVNSRFVFRQATDASAM MCAHGCLEAESQLHALFACPRLAPLWQAHQSAWRPTGVRFSWHNILNVDDFYCHVNHG HLKPALFQLWVMGHALPPLPALIDLSFVTWTATVRSWLRRLPPDDITRPALMAELDLL LRQSQYSQLSRKYPRWLELAPTFDIH H257_17500 MVQDIAVDATVDEGPWEEIEEVVKLLPVVGRSQEPVATAGLRRS ARQQAAMDGQQRASRLAYKGDEMGIRYRK H257_17501 MDGTCRVQVQLVEDVDGHERQDVAEYRKVFCSTSLSLSERMASF SGEAMDILEFPNDVSQEQVVWMTHDESIFYANDDGGMVWTNTAHLDLPKKGRGRSIMV SDFVCPCHDRLFMLGDSEPLFITEDLHVGKAQEGYWTSEHVIKQVTQRVLPACAALHP GCAALFTFDQSTNHASYAADALRTSSMNLNPGGKQPRLRDGWYGSSQIDQAITFPEDH PDQSLRGLTKGVKSVLVERGAWDNVMLLTCGNVVSMPPFPELLRYCARHCLASHADFR SQNSILEEAAGHVCLFFPKYHCELNPIESYWGAAKRHARSNCDYSWNGLVQCVPRSLE SVSLVSIRKFFHRCSHLIQAYSYGLEYNMSKCAHKQYKCHRRIPQVASGTPIRQQPIG CAISAQDLVHEYAHKRRRHQIRHSERLGLSREVQDELTRIHNHHAGNSYACTTGNTLR NECQRPEGCIVRICARKTGNVPS H257_17502 MNLIQGKTNQFLREAILENNVEKARKYLTLLIGKADIQGTTEQG GFTMLHCAVIIGNIQMVVMLLQLNANIWAITDDGYSALDLALWKGHAQIVDILRSQGA IAPMKEPESLNGKMVLHRGRKGVVVDYFPSTAFRTKSTRALHYPAAENGKAAADNFLV NLWAGTDYRIIGIELDYERHRSNVFTPMDVGMDADDIHVSNDQRSLSGSEPTFQAAAP RTPPVAAAAFPSDAETKLEAELETVMEGHAFFDLDEEDDDTDEDVDDDEIEVEATAEV VVPPGPLGVLLDSGIESCAVVQGFTPIVTGGPGAIEASGVVKPGMYIIGINDTNACLM TLQQVIQLLGKLARKEKLIRFAAYKPPKVKVQKVRQAKEAVANTTTLLPSSTTNLADL VTAVRDRRASLAAAPISFKLQDVPPPSPATMIPPSEIECAHCGLPTSVHLSDECPYK H257_17502 MTGRAIAPMKEPESLNGKMVLHRGRKGVVVDYFPSTAFRTKSTR ALHYPAAENGKAAADNFLVNLWAGTDYRIIGIELDYERHRSNVFTPMDVGMDADDIHV SNDQRSLSGSEPTFQAAAPRTPPVAAAAFPSDAETKLEAELETVMEGHAFFDLDEEDD DTDEDVDDDEIEVEATAEVVVPPGPLGVLLDSGIESCAVVQGFTPIVTGGPGAIEASG VVKPGMYIIGINDTNACLMTLQQVIQLLGKLARKEKLIRFAAYKPPKVKVQKVRQAKE AVANTTTLLPSSTTNLADLVTAVRDRRASLAAAPISFKLQDVPPPSPATMIPPSEIEC AHCGLPTSVHLSDECPYK H257_17503 MMRVLRVVGVVVGAMMAVASSAQTCKAPNEILRADGAQCECVKD FLGINCRQCRTERACNALDKNSHCAVGLAYSSSMKAKTYSCVLSETLQAVFNDGAMGL ACDTTAQTCTMSVYKSATGPQGQHAIDCNMKECSFNGTTVSCASLMCTCTDLCSSISK QLFEVSLANKPVSLVAISDTTLKVNIEGSPLPLEGTCSASSCEQTKVMEELAGGDSAS LPPPPPPQTYSKALIVSLAVVLVLLGASGLFVCCFYAMYMSKVRAAAAPSPSSLEDPL DHMPPNSPANIFTFADICCVATNPHDQPNLPSIFRRSGPCIHPPKTLIHDIRGSICRG QVLGLMGPSGSGKTTLLNALAGVSNGNTQFSGAISLDHEPLPANYRHLAAYVQQDDCL FPTLTVRESIEYSAFLRLPAMLSLYAKQQLVSKVLHELHLTHVADSRIGNATAIRGIS GGERRRVSIAMELVTQPQMLFLDEPTSGLDSASANSLISLLSTVAKSGRMVILSVHQP STKSFLKLDKVLLLAKGRIVYSGPTSTISTHFSSLGYPCPVNENIADHILDCATHAAS IAALHDAYHPEELPVSSMDNSRQPLVEMTNHRSSVLELQVLFLRTLRNTFRQKSLFVM HVGISVFLGVVTGLIFMGLEDNLAGFQNRMGAFFFTLTFFGFGTLSSMDAFIAERPLF VKEAGAKYYSAWSYYVAKASIDLASLRVLPAIIFASIFYYLMGLNAPLDRFLLFTTTL VLFNVAVGSMSTFVSIGSKTVGIANLVATVVLLQNVLFGGFLLNVQTMSPGAGWMQWL SMFKYAFEVMMTNELSGLLLTFDASGYVSVPVYGEVYLKTLGMDIANQMRDVVLLVVI AAMFNVASFVLLHFQVPRPMKWSVQDTAKAV H257_17503 MMRVLRVVGVVVGAMMAVASSAQTCKAPNEILRADGAQCECVKD FLGINCRQCRTERACNALDKNSHCAVGLAYSSSMKAKTYSCVLSETLQAVFNDGAMGL ACDTTAQTCTMSVYKSATGPQGQHAIDCNMKECSFNGTTVSCASLMCTCTDLCSSISK QLFEVSLANKPVSLVAISDTTLKVNIEGSPLPLEGTCSASSCEQTKVMEELAGGDSAS LPPPPPPQTYSKALIVSLAVVLVLLGASGLFVCCFYAMYMSKVRAAAAPSPSSLEDPL DHMPPNSPANIFTFADICCVATNPHDQPNLPSIFRRSGPCIHPPKTLIHDIRGSICRG QVLGLMGPSGSGKTTLLNALAGVSNGNTQFSGAISLDHEPLPANYRHLAAYVQQDDCL FPTLTVRESIEYSAFLRLPAMLSLYAKQQLVSKVLHELHLTHVADSRIGNATAIRGIS GGERRRVSIAMELVTQPQMLFLDEPTSGLDSASANSLISLLSTVAKSGRMVILSVHQP STKSFLKLDKVLLLAKGTIYIYILLVYILFYISNSFDLKNTLFLSVSDLQQDIGLRPS YGCYYTVFFLFHPFNKILFIFRHIYIYIIPCFQQILFIFRQIYIYV H257_17504 MMAIRSRDSSTFRLSTGVRDSVYAQERPHAGKPELAHTSSYTDA STTRTTATTKSGIISHSQSFPVPTKSAVEDDAKLVALVELIQKCVDTIHEIKIEVDSA AATLHQRHTAPCAS H257_17505 MGAYKYIAELWKRKQSDVLRFLLRVRAWQYRQLPVVYRLTRPSR LDKARRVGYKAKQGYVAVRVAVRRGGRKRPNSKGIVYGKPKHHGINQLKDVRNLRSIA EERAGAKFTNLRVLNSYWVNQDATYKYFEVILIDHSHKAIRRDARINWIVNAVHKRRE KRGLTSAGRQARGLRQRGHKANKIQGSSRRQNWKRRNTTSLRRYR H257_17506 MGRRPAKCYRFQNKKPFIKSRYCRGVPDSKIRIYDVGNKKASVD LFPFVAHLVSDEKEQLSSEALEAARISANRYLSKFCGKDNFHMRIRCHPFQVLRINKM LSCAGADRLQTGMRHAYGKPTGVAARVAIGQPIISVRSKDSFGPSVVEALRRAKFKFP GRQKVLGSKKWGFTKYERDVYAKLRQEGVLALDGNHEKYIPNHGKLRAPRVYK H257_17507 MLVPKKNRIAVYSYLFKEGVMVGKKDFFAPKHGDVDVPNLQVIK LLQSLHSRGYVKETFNWQWYYWYLTAEGIEYLRAYLHLPTEIVPATLKKQAARPQRPQ APTGAGGYGRGKDKNLGPSGDFNPEFRGRNQDGYRREAPAAAALEQ H257_17508 MCLCRGCLCPPHSLRLSHIKREWHDPLILTNLVYVAASVISFAL GQYTCAVLQLGASVASSMFHRHRETKYLPLDACISGNLGLIALYLSYHAHLNDLHHVL GIKFGLGLACAFTFIYCGMPGDAQYELWHRHWHFASGSTTLVTSVLLSLYIPDFDYVL VNAIGLGF H257_17509 MRGTLSTAAVCGFWNPRVKRAAISAIPSFLPSTSSVIAILGANV DANSSYLTGTAAAPDVIRAAFHSDSANGFSESGVDLMHNALITDMGNLVPSSASMSSL SAAAQTIIAHDKRLLTLGGDHSITYPLVKGVRRALCARGETRLNILHLDAHSDLYEYD ILNQNNRYSHASPFARILEEGLCSRLVQVGVRTHTQHTRAQAAAYAVETHDMRSISSS SWPPKLSFDGPVYVTVDLDVLDPAFAPGVSHFEPGGLSTRDVLSLVQTFAGDVIASDV VELNPSRDVGSYGMSISGEAPSGVTAMVAAKITKELLARMLESAEAATSSSTST H257_17511 MPSAEPSSRKLSHRIKPALTGAYLAKWFRVMSNFCAILLILATA GLVLILLKQGMFYSTNMSYYNQDQWISYGQTCRLTYASGFVPNSCSFAEVNVTGAVAW SSVGRQLGADVLVSNQSVVAFVTTCYITGIGRWGTLYLLVGDAEFPQCNPQGSQEVLG MTTLETVGTPEYPDGAFLLSTCSDAIPSRPASVVETNGMVRGVSASISKVFVSASDGR TEVATWDQPNYIATVNSLNRLYLMRVWVVAHCVDMLDAEIQALLGYSIGKTSRKVLSI GWENSHDVDNQAMLIVFQLFMCFASLALLSNDGLITLEGLSGLLQNKPVLTYDMIASL ERRKLLLLAFVGTFLFSPLYVDVLRYTYGIEGHHYWSMSFLMMAVMMALSWMAILTLV QAVPVPSPWRNRPLCYSAPVFIYCTVILFVGVELAKGRGPTQAADFWTYIAPTLELCI NGSMWTSGAYVIESDVGITPVIYIVLPDLVVCMVVAWVLSILAHRLSWGRCLLNTAWT SQNAFLNELTTPQWVTSLDLDEANAISIGNKRYCKPSLMVLLGYCTVLDKSGDKVARD VAVSCSSRESSSTVDVGRESHLAAGNLKPASKPCQETHAQYMVVSIYDLVPTITSWLR HLYQPKVVGTIHQNKFDLCKSTTRVSKPTLYQYSRGDCCG H257_17512 MVEPEDDATTIVFDFDAPTYYDLNDGEFEKSYVNNADGYFDQMD NSATAALDAFVAAADGSHMSRRPSTKQPPTTATARHRRQTPPVILHDNNDDDNDDARS HTDSAPDSLNDDAHSRDDSTRSSSGAISSTSSRKPLTKPTAPTLHSAKRAEAVKQARN PLHHPQDADSIELQKKFHARPMPSTLDMAPNIAPNSSKPLTQPTMPKLATLARMGDKK WSSPPQANHPLLSQSTRPASVLPKQPPVQRSKTDASSSTSRTVTTSITSTTNQLERAR AREMFEAKRKEAKEAKELAKRELEEQQRMELRRQQTYRAKPYTRPATAGFAVRPSTKL LTTPTSPKFHSTSNKRPTTISSNLS H257_17514 MHVKNVVHSNKGWLLNVDVYVLQHDTVHCTPVAKISTPLRKTLL ITRSHLLRNAEDPDMSFKQPYKPVLPSQMEW H257_17515 MGHVHGSVPCRNQRPTRSVQCVPIACVAVSVRDSVAVVRHGDLR RPRPHERAQVCRGQAEEHVRLDGTWYVRGAEARTGAMVSHIPKCRNHPSSKAGPVWRC SVRYLSYL H257_17516 MVKFGKRLAMSVNPLWEGQYLEYRQLKKELKKLSTPTGSDAEGS SSPSSALAQPKDGHARFQKKLDSEIEKIVLFFLTKQGEFASRLSAFRAQQLVATTSSP STESEIETINALANGYRDIGEELVKLLYYVELNATGLRKILKKHDKNHKGKKITANYI NSRVESNVSHLQQLYHDEGISAIIASIRAALDELRTLQMQVSGTNHEAAAATLTRSIS EDEPILNRISVARQRLHQSTRYAKTIAAQALIFDSDLSDDEEEMLLKTIKMQKPSPIS RFLNMFSTFLYMTNYYIVAPTSGEYATLLGGTAALSGVIVGMTPVASMLSAVLYSWWA NRSFRNPLLFSTVCLVLGNLLYALALSYNSISMVLVGRLLNGFGGARSINRRYIADNY SREERTGASALFVTYSALGMSAGPAMAAVLNYIPDDLRVFGYLVTIETSPGWLMFVVW SVYCVAIVAFFQEPNRDAREQVHMARTKSVVLETGTSATESTPLTTPSPPKLSSLWTN VPVVATLVIYVVLKLVLEILITSATGIVDWYFHWSSTSAGIFLAFLGLLMFPANVLVG YLSYRYVDGELILYSEVVLVVGIVGIICYSASYSAIQYVFGAVLIYVSTNVLEGVNMS LLSKTIPKAFARGTFNSGLLATEAGTLGRTLGNGAVTLAGIHGVEFLLNDTFIPMAAI TLATIAYTIRVYPHLIHSSYEG H257_17516 MVKFGKRLAMSVNPLWEGQYLEYRQLKKELKKLSTPTGSDAEGS SSPSSALAQPKDGHARFQKKLDSEIEKIVLFFLTKQGEFASRLSAFRAQQLVATTSSP STESEIETINALANGYRDIGEELVKLLYYVELNATGLRKILKKHDKNHKGKKITANYI NSRVESNVSHLQQLYHDEGISAIIASIRAALDELRTLQMQVSGTNHEAAAATLTRSIS EDEPILNRISVARQRLHQSTRYAKTIAAQALIFDSDLSDDEEEMLLKTIKMQKPSPIS RFLNMFSTFLYMTNYYIVAPTSGEYATLLGGTAALSGVIVGMTPVASMLSAVLYSWWA NRSFRNPLLFSTVCLVLGNLLYALALSYNSISMVLVGRLLNGFGGARSINRRYIADNY SREERTGASALFVTYSALGMSAGPAMAAVLNYIPDDLRVFGYLVTIETSPGWLMFVVW SVYCVAIVAFFQEPNRDARVCGVLNSTHTIQVWILGFSPNFFDFFCSFFAKSFSRYPF DHHIYNKCIIYAQSVVWIGRNSTPNVI H257_17518 MPPRPEMHENHASRPENVTDADPGVAANEQNVRCHVVAMAPKFM DHPRRNGGARLLQTPKMPPGPKQL H257_17519 MLKRYVAIGTYTRLLGDHSIFHLIPTDNEDDEIDALLLVLDDLE SATLALQEATSILDVRNLFDECTLLYPSASNAWSLVQTSSDTRILKLESPRYGPINCY HE H257_17520 MQDWPDTVVRTSKGCRHGTTTIKGCYPMATTDGTAVKVTHCSEN EEFNTRTSQVRHGMQGKRQRLHGISDARQGRYCSKGGQGMLRHGNKTG H257_17522 MAKIDALDDDIVKTATTILRRRHASNLTPYCNRRFRSLFGVDPN IVTLLWVEKQHNLEETVVAHLLWALMFLKLYATETVHAALAWVDENTFRKWAWSWIEH LAHLETADRSRVGFSLATLHVYSPHRCDHTAAELGKMRSRKQGE H257_17523 MFQWNRQGQAALAWMSSYVVKKRRSIEKYIDGAADADNKASSDM MSVRLEREYQWRIKQQNRDYHWRIVNSVAK H257_17524 MKVTCDEHATVACCLKVGLLKNVMLCPKCDGAMTMSVPTKRWFC RRSSCGDVQRSIKADAFFAKSKLPLTKTVRLMFDCARTSQCLW H257_17525 MSDKFGSCVSSNERHTLETNPGCVARIPPTLGSTTPKTLSTPST VPTHSQSKISARRHLDAKVFAVNECKHPDHKQLALLSSSGPEQLSPL H257_17526 MTAIGAAASKKFLPMGTVTPLMEGDIDRYPVECRLGLQTMSDCI TSLRQAAEWGMGVSRFRVYRQLLLPLPYNPLKRRTRLDNIFRLYNFRRTHIVCRPVEA RLVSPRSTLFLLWSSTSTLSRLSPGFRGFGVPPKQLEPKALQELFGLVSTTFSRILLR AEDALSVALGMMHDTRIAW H257_17527 MVLTQSELSSLAPFVKTTTQRRRFLVLCALSYVERPVIPDIRYN LNAVSDANALLDFRFDVMGIKKLGYLLGLPAVVITTQRYRASRDEAMCILLGRLAFPT RLHTITANQELHHPPLKPDVSSVRILGGANGKHVSLYTKIPYNTLMRIVRQTKAGTNK APQRRGPKPVLPAECERDLVQWIVAMQQDGHPPDRHDILVKANKLAREFDPLQSLTDD SGSMYTISKTVALRIASRAWTSHIVPTNVISGFRTTGLCPLSHDQMVKRYSLFKDCGV PRSYLHADWIVRRQVIRAMILCLPARETKTRGSSRKTVDVGGRLLTLALLHKMDATKK ERLEAAQRKNALKAKRKNKRGGIVLSPLALKWASIAAKLGMAPLSNGTVMSCEATEVV V H257_17528 MARGLRKVRPQLSSAYISKWLRVLSNMSAGFLIIGTGILVSLLL YQGMFYSQRISPMTQDRWSPLFQSCVLNSSGFVLGSCDPDEVASTGVIPWTSVGAQLA RDLQRNESSEAPVYVATCVIGGYNGTSWANLMFLVGEHGFPKCKPMGKQVILGMASLE TVGTDDFPLGAFLLSTFADARPVRPVQIATVNGVVTVIQSTVKTIIAPNGSTFAAPWE QRNYVTSVNSLNRLFLMRLWLVAHYLDISSLTDTLDGYSVGSHTGMTVAFGWDHSHTV DHYMLLLAFQVLICFVSLGLLSNDGVITLEGLSGLLKNKPVLTYDILASLERRKLLLV SLVCTFFFSPLYADAIRYTYAETGYHYWYLALLMVAVMMALSWMALLTSLQSVPVPKI WRNRPLCYSAPVFIYCTILFFVIYEGSFDSGKVESEAMWSHAQGTLGLVVHGQTRSSG AYTMTGMTPVIYLIMPDLVVCMLVAWGVSIAAHKWHVGYAILDTSWTSHNEFVNQLPK PQWVTSLNLDHKNTISIGSKLFCKPSLLVLLGYCIIRDKGVDATAATTNLYHPASSHH SSTHDKVETASYVVTTTKEGTTASRLGTTLYAAAQPPPHSSPNRLKTEFIVISIYDLV AALLPWIRRLVYSPHQFGTITTNKFLVTKSHTRLHQKANYTYSRGDCCG H257_17529 MIKSHDGGGYKFTTADLIKIIETPHEKIEDVLKQHGGTEGIAAG LGTSLTYGLDTSRTDDIAKRESVFGKNYVAPPKPASILELMWEAFHDTTIIVLSLSGL LSLILGATMEGHSAEWIEGASILFAVLLVTGVTAVNNWQKEKQFAALNAVKEDEKIKV IRNGVPSEVSKFHLLVGDVVRVDLGDILPADGLIYDENEIKIDESTLTGESDLLKKTR VEAPVLFSGTRVMEGVAKMLVVCVGVSSQSGIISALVQGKGAHEANDKAKKKTKESAV GPVNDVEVKKKADSDEVYEQETESPLQGKLNKLTFKIAKAGTSTAVIVFLAMTIRFSI ETFGNGKNEWKKQYATDYLHFFITAITVLVVAIPEGLPLAVTISLAFSVKKMLLDNNL VRHLDACETMGSATTICSDKTGTLTTNRMTVMQCWIGGREYSAATQLQGEISDSLREA FGHGVALNSTAEILPPKTPGGQFEHTGNKTECALLTFCKDLGVDYSSVRKDNPVCHML TFSSKKKRMSVVARRGVNSRVFCKGATEVVLGLCKKVKRLDGSVADLSDAEKDEIGRD IIEKYASQGYRTLCLAIRDLDISTDQVKTWSDDDIETDLTCVAIVGIEDPVRPEVPEA IKQCHRAGIVVRMVTGDNITTARSIAAKCGILKPNDGAITMEGLEFRTRVLDKEGKII QSEFDKIWPMLRVLARSSPKDKYTLVTGLKQTTLAPYGQQVVAVTGDGTNDAPALKKA NVGFAMGICGTAVAKEACDIILMDDNFTSIVNAVKWGRNVYDSISKFLQFQLTVNLVA ITLAVLGAVAIEESPLSAVQLLWVNLIMDTFASLALATEKPTQALLERKPYPRTQPLL SAMMMKHLVGQAVFQLVVLVVLLFVGEKIFDVDSGRLADKAPENKSDSSVHYTIIFNT FVFMQLFNELNCRKIHDEINIFEGLLESAIFFNISIFQVVCQVIIVEFGGRPFSCLSL NVAQWMFCIGVGALSLPLGLLLRLSPTPAWLERKGTQ H257_17530 MATEVQELYVELQGHLQRENFQKAIDTSNKIRAKAPTDVDAARV KAQCLLRTGKFDKALEIAVKVDGMELEQAYCHYKLKQVEKALEVLSRIPEPKSKSALH LEAQSHYRLNNFNDSIRIYESLLSNAHASDDTVELKTNLIAAYVAAGRGAELQTRALE TEGSYEIAFNKSLVALQAGDVPGSAEHLGHADQLCRDSLAAEGYTAAEIDQEAAVIRV QEAYVAQLTGREEHALDIYRHVSKSNVDAGLVAVAHNNIATIQQRSSKDTFDSLKRLR SVSKETLRDKCSSSQHETILANLALVLALMHKPDEASAAVDALAQQFPHSAFLPPLQL HLSASDDEGVISGLADSLKGTTTASGLLTLAHLHCRLGRPAKAGDALRQIPAIQHTPG TVATLVALYDAALDAKTAAAVVDEAVRQSAKSAEVLLEGVGLAKLSQGAYAAAAAAFC RLLDGGGHDVEAHTRVRVLAYAVVALSYVDPTAAAARAATLPSVQSSDVSVDELVRRA PKPRGATVVVVPALAKEKKKKGENRERVLRKRAKRKAQFIASLKAKADYNPLIGLVNP DPERWIPRKQRSRRGRKNRKFVGAQGAGMGTAKDAAKLDAAARAAAKKAAPAVDKGVL VTDGPSSMNRKARKRR H257_17531 MLRSLATRAFSTASGVQTKLNHPTRRAGHLMTQLHAEEVAKATE RIGANLTKFKPGDAVEVEIMLNKSTQKTQRIKGVVIAERNRGISSSFTIRNHIAECGY EQTIFKHSPLLVSVKMIKEKFISNGKKRARRAKLFYLRDKAPRFTTL H257_17532 MGLKLVGDQRKVLLGVIVGTGICAFPLFWKTRRGHDLFSQEKPE AVYEAEVKKTLDAYKKD H257_17533 MFPQFGQTGGARSSLVEFNAGKMTAVAKEPGSTKLIVTPDIQKG KIALVRGDDQLLHFQWKNRTTGANVDDYILFPQDATFEKVDTSRPQDRVYVLQYKGSA RRFFYWLQNKDSSKDAEQAKKVNDLINATTPPTASGTSARAPGGNGANPGGQLDHNAI MQMLGALGGGQEAAGGNGAPNSVQMAELQQILQHMGMPQGSNPNAASPVSSPVPHDHD SGHEDDDDDGQDDVNMEELSEEELLRLAIEESMRDVQDTEDDGVAPPSSSVPTPSSGG AGIQLADLQRAMALAQQQHSQRPVHISMSQLLQQPVVFELLHNPEVQAELLPHLPESI QTLEELLDTVGTWRRRRLIMHSAAHTTTAAMHWGVGTCPQHEQLQRDSVQLWVEPVGG TGAFRSWRRHPRVPGGDSRLGRQGQPIVASSALCTVGGVCPTSVWPIKSRDMKYWSSI G H257_17533 MFPQFGQTGGARSSLVEFNAGKMTAVAKEPGSTKLIVTPDIQKG KIALVRGDDQLLHFQWKNRTTGANVDDYILFPQDATFEKVDTSRPQDRVYVLQYKGSA RRFFYWLQNKDSSKDAEQAKKVNDLINATTPPTASGTSARAPGGNGANPGGQLDHNAI MQMLGALGGGQEAAGGNGAPNSVQMAELQQILQHMGMPQGSNPNAASPVSSPVPHDHD SGHEDDDDDGQDDVNMEELSEEELLRLAIEESMRDVQDTEDDGVAPPSSSVPTPSSGG AGIQLADLQRAMALAQQQHSQRPVHISMSQLLQQPVVFELLHNPEVQAELLPHLPESI QTLEELLDTPRTPQLQQCIGALGHALNTSNFNGILSNFGLNPSAGQEHFARGDGTYLG TWGDDVVLSHQRRHPRVPGGDSRLGRQGQPIVASSALCTVGGVCPTSVWPIKSRDMKY WSSIG H257_17533 MFPQFGQTGGARSSLVEFNAGKMTAVAKEPGSTKLIVTPDIQKG KIALVRGDDQLLHFQWKNRTTGANVDDYILFPQDATFEKVDTSRPQDRVYVLQYKGSA RRFFYWLQNKDSSKDAEQAKKVNDLINATTPPTASGTSARAPGGNGANPGGQLDHNAI MQMLGALGGGQEAAGGNGAPNSVQMAELQQILQHMGMPQGSNPNAASPVSSPVPHDHD SGHEDDDDDGQDDVNMEELSEEELLRLAIEESMRDVQDTEDDGVAPPSSSVPTPSSGG AGIQLADLQRAMALAQQQHSQRPVHISMSQLLQQPVVFELLHNPEVQAELLPHLPESI QTLEELLDTPRTPQLQQCIGALGHALNTSNFNGILSNFGLNPSAGQEHFARGDGIRAF LAAIQDWADKDNRS H257_17533 MFPQFGQTGGARSSLVEFNAGKMTAVAKEPGSTKLIVTPDIQKG KIALVRGDDQLLHFQWKNRTTGANVDDYILFPQDATFEKVDTSRPQDRVYVLQYKGSA RRFFYWLQNKDSSKDAEQAKKVNDLINATTPPTASGTSARAPGGNGANPGGQLDHNAI MQMLGALGGGQEAAGGNGAPNSVQMAELQQILQHMGMPQGSNPNAASPVSSPVPHDHD SGHEDDDDDGQDDVNMEELSEEELLRLAIEESMRDVQDTEDDGVAPPSSSVPTPSSGG AGIQLADLQRAMALAQQQHSQRPVHISMSQLLQQPVVFELLHNPEVQAELLPHLPESI QTLEELLDTVGTWRRRRLIMHSAAHTTTAAMHWGVGTCPQHEQLQRDSVQLWVEPVGG TGAFRSWRRYVPRNMG H257_17533 MFPQFGQTGGARSSLVEFNAGKMTAVAKEPGSTKLIVTPDIQKG KIALVRGDDQLLHFQWKNRTTGANVDDYILFPQDATFEKVDTSRPQDRVYVLQYKGSA RRFFYWLQNKDSSKDAEQAKKVNDLINATTPPTASGTSARAPGGNGANPGGQLDHNAI MQMLGALGGGQEAAGGNGAPNSVQMAELQQILQHMGMPQGSNPNAASPVSSPVPHDHD SGHEDDDDDGQDDVNMEELSEEELLRLAIEESMRDVQDTEDDGVAPPSSSVPTPSSGG AGIQLADLQRAMALAQQQHVRDLTRFACRSCVVEDEHDASRVNDLCTSPCRSCSSSRW YLNCCITQKSKRSCCPTFPSRFKRWRNFLTRRAHHNCSNALGRWDMPSTRATSTGFCP TLG H257_17533 MFPQFGQTGGARSSLVEFNAGKMTAVAKEPGSTKLIVTPDIQKG KIALVRGDDQLLHFQWKNRTTGANVDDYILFPQDATFEKVDTSRPQDRVYVLQYKGSA RRFFYWLQNKDSSKDAEQAKKVNDLINATTPPTASGTSARAPGGNGANPGGQLDHNAI MQMLGALGGGQEAAGGNGAPNSVQMAELQQILQHMGMPQGSNPNAASPVSSPVPHDHD SGHEDDDDDGQDDVNMEELSEEELLRLAIEESMRDVQDTEDDGVAPPSSSVPTPSSGG AGIQLADLQRAMALAQQQHVRDLTRFACRSCVVEDEHDASRVNDLCTSPCRSCSSSRW YLNCCITQKSKRSCCPTFPSRFKRWRNFLTR H257_17533 MFPQFGQTGGARSSLVEFNAGKMTAVAKEPGSTKLIVTPDIQKG KIALVRGDDQLLHFQWKNRTTGANVDDYILFPQDATFEKVDTSRPQDRVYVLQYKGSA RRFFYWLQNKDSSKDAEQAKKVNDLINATTPPTASGTSARAPGGNGANPGGQLDHNAI MQMLGALGGGQEAAGGNGAPNSVQMAELQQILQHMGMPQGSNPNAASPVSSPVPHDHD SGHEDDDDDGQDDVNMEELSEEELLRLAIEESMRDVQDTEDDGVAPPSSSVPTPSSGG AGIQLADLQRAMALAQQQHSQRPVHISMSQLLQQVRLRYPRVADHLSNVHVAGGI H257_17534 MGRLGSCGRPVLRGVLVLFVVMTPQHQHRRIDVPAAICRRRSRG RMHLGAVGCDEVRRVRRCGRGHVHLGRMIPSHEVCSMIHMPKVAGRGRVGGRLELGAV GGQEERRVRRRGSADGRSEGSGGLLVRPGHQVWQEDAARRTVAMMAVLSTRTSVVCAP EVPLGRLEVRGRGVRQLRQLLRARWKEQVVPLSAVLVEGGVRTLDGRRGDVGGLVG H257_17535 MLWVDAHRPTSLDRLDFHPDISERLKRLASSEDLPHLLIYGPSG AGKKTRVMALLRELYGPNALKTRLEHKTFKHPSKSTKVEITTVASNYHIEMNPSDVGN DDKLVVQELIKEIAMYRLADTNATKNFKVVVLVEVDSLSKNAQHALRRTMEKYTASCR LILCCNSPSKVIDPLRSRCLGIRIGAPSHNEITRVLEKVCEKESLTFCAPLAAEIAIK SERNLRRALLMLETCRVQQYPFSPSQTIQVPEWEEYICGLAKDVLQEQSPGSLLRARD KFYELLSNCIPPDVILRVLCRELTARLDDDLKHDLAHHAAFYEHRMQCGQKPIFHLEA FLAKFMTMYKKFLLDFYM H257_17536 MPELARWNWYVVLQMQSFRAFLPQDVCDAMDDAYGDTAPPSMFV SMNTEQAATVSTHETRWTSWKLDTSKLDTLMKPESEGQASLEKAVEALEAQRKEPLDT HFFACGKRGVLYTGTSASVPTPVLIKVQKLNGLHNNPIDRESLWLRRVNRLSIGPTLV LSGPGYCCCEFLDGALHAVDFLHHPAATKTDIAWFVRRIFHQCYVLDVLRINKAEMTH PMRHILVHRSSRVVFIDFEKCIYGTHPRNVTQLMQFITSPRVVSALAAKGMSVKVPLL RYLAKQYKAAGPTSAAFDALLGAL H257_17537 MQASDAPLPGPNMKNTRKISPELAPPAHDKPATSNNPSTNAILE LTRKGSGIKRQGTTRLGPPPSALQRRAMGVLESQLFSGLMNIITIYCLVGDDLRVVVA TKSMDIGFYVVAFIFFCLFCVEFLLSCFAKPLYIGSFYFYLDIVATISLIPDIGFIWD LIVGSDTRNVAALSAGKTGRIVRVVRIVRLIRIVKLIKWRHNEEQKQQVAESKTGGRL AEMTTRRVVMIVIFLCFVLPAFDGGYNDPINSFETKGFESLHVFNFQPMPCVEFIGML NIWVTRTTNDLMYLRMSTIPFDTTTQWLSKVRFSSLDLKVANLTSDPTTGWSPAKMHL SEDDVLLNYRVTDLRVIRVTGCYSTEVNPVASANGNCTSIAYFDIQDDIKAAAKASMI KSVFVMFVLIASSISFVRVARKIVLDPIERMMATVHRLELNPLAKHTTVEQDDQKLAK EQGFETALLESTLEKISALMQVGFGAAGADIIGKNMGAGEVDPMLPGKKITSIYGFCD IRQFTDTTECLQEEVMVYVNKLGHIMHSGTHAYYGMANKNVGDAFLLSWKLCDGEMAG FARFSDTPTEEDRKAVNSTVKCPPNSGAGRKMRRLTPTELADSALTAFLKCMIDLDNA NSFGVLHEYIIKDVVIKRFGPGFRIQMGFGMHVGWAIEGAIGSRFKIDATYISPHVEM ADRLEAGSKIFKTKINVSHWLYALLSPAARKFMRKMDTIQIRGVDTPLTVYTFDVTNP KNGFATPKFDTDERGNQVQKAVDFEHDPEYKEIREGLDPEFLESAAAGVDQYLAGDWA GARGALTHALQLRPTDGPCKYVMDFLKEHDFQAPPDWKGVRTLNGY H257_17538 MPSTLAANASSPSQPQMGPNGVTPLDPWSEESPQTLRALANKSD VPKTTIIRHMKDAARLKARLSYVKPFLTLENIRERLRNDYKLPHMKKDALISDFTAFN VECDAYNYESALIHLNYRLGEEASMEVLVNSQEQSLVEEE H257_17539 MQSLFNDNVELQRQVAQLELVARQLPTKDATIASLRHDVLHLRN ESLRLHEAMDTLTSRPLFGDQSTVQKLLVQLADVEGHASVLAAQLDNETHHKQVIERQ NAALKRRLRSMEAQVHELGSAEAAIRLERDELQLQYNRVALQVTLLQDPSNELLVAAL AHVTKCATSNPHVVGFLDDDVLEPHESPSSGITLETRNSQQITPSHISSMLQLLREAD HKVTVLKALNDDLLDELNQMQAHHALEVAYWQAHSANKLQRNRTTMESNMAMTATRGS VKQRPRSTHLAKSNVASSQPRHDHAVTERDETPNGMPAGSFLDHAVVHASSHLVEDVE FQVTVLSMLISASTTARDQHSPPPMSNDGRLRNSNGGSRRPPTMSLVYSFLGFQHVTV DMLMIPGQSQRGKHVYVPIQGPTRHFPVRMDGPMTLFLQTYAIHMQIGERVAHVSVTD ALRQRPYGVVSVEVVQRRKQVELHEVTNEEEEDCEEDEEEEEVVGRLVVQVCQSHAQD KPSVPVLLSSGAVGMAASTKWLVPLCRQFQHTTQDTTTAAWVDVAALAFVLFTDARFF LYRDRILEHSDVHAWARLEADECAAYVTSTTQHDGMLSDDQVERLLWTWTWETDWLAI EPAWRRLVQRRGKTMKVFAADIMAIEHTRRTGTDERHPASPMMASWTSVDTYLRTLTQ HIA H257_17540 MSKAGPVKKATAVAAAIERGPEWRYFGSPEFEEEDAVPPSASVG SGGVATFLKPPKKIPRVRCMYCHVKISARSNSLRRHLRECTKCTDEARQYCVKVDKMN FPVEPSPPPPSVVDHNLDMPSAEMASLHPQDDTSHDPPHTPHENQDTEHIKATQRRLK NRLACRANRKRKKVIRETLKVQVTQLCRTNAALHQEIHALLGYALDDMHGCPSPNHLL RHHAAYDPTLMHQDNRRQQHQQYHHPSNHEALPVAPTEKGQNVATMSSAATSCPQSES PGDGGPVDSPRGFAPQTRMSLCEETAASFFRTFVGIPRDNEPATLSATSSATSSFYSR ESCDVVGERATFCDAFVVGPHVSLESIWTTKAQLLDELRVVHDVVVVATKGDDSVVTV TIVEEGILRDVWALRALFNPTFVVTLQLHFAHSTPKVQLTWEIVCHCVIPTPHHHDPI PTPNVIPTNIPTARRMDVSLVKCDRL H257_17540 MQYLLLPLSEVAAWRRFSNRPRKSLACGACTATSRSRRGPTRCD DTSVNAPNALTKLGNTVRTVLNTTPHPCTVVGVKVDKMNFPVEPSPPPPSVVDHNLDM PSAEMASLHPQDDTSHDPPHTPHENQDTEHIKATQRRLKNRLACRANRKRKKVIRETL KVQVTQLCRTNAALHQEIHALLGYALDDMHGCPSPNHLLRHHAAYDPTLMHQDNRRQQ HQQYHHPSNHEALPVAPTEKGQNVATMSSAATSCPQSESPGDGGPVDSPRGFAPQTRM SLCEETAASFFRTFVGIPRDNEPATLSATSSATSSFYSRESCDVVGERATFCDAFVVG PHVSLESIWTTKAQLLDELRVVHDVVVVATKGDDSVVTVTIVEEGILRDVWALRALFN PTFVVTLQLHFAHSTPKVQLTWEIVCHCVIPTPHHHDPIPTPNVIPTNIPTARRMDVS LVKCDRL H257_17541 MAKRTGNVDVLITKRDITIDDVMNNPIAVGYLLDFCQKNLCAEN LNFVVAVDRYKDRCEVLDFNDEEDVKTCGAMAATIWKDFLSRNSPNEVSLPSEDRQVT IERMDKITKFKGKLFDVAIQDAMKTLQRDIMNRFIKSSQFSELVSRLSEAADAFDPFE LVPPSNITTSSTTIETAEITLDDILADAVLFEELHTYLNKKFNAENLKCVREICIFDD LAKAKDVVVTKKKAWAIYRNFVMAGSQFEVSCSNATRKNVMQHLGCPVEKMFDEVKET TVMTLKQDLKGFLSALDRKSIKDQLKKLEKGNKVGLMQNISNKFFRK H257_17542 MSSATAKELQAEYQAARVQTKNWIQNAKKSIAVRNAAGPPTGPA QFIQRFAYNYGTRHVHTNSVAPLVHILLIVGATGITTAYLGRHRNKHAAQAAKKGDHH DAHHH H257_17543 MDRGLRNQRSLSGDALRNDRSSARSSMCSMRSYESKNVTLSFQF MRRHRCMELAHSFMRSCVGALNSSTRVSPSLMRRPSMRVTSVDETMKRWGSKVGTSHS ALTLVIRPGSDKHPSITPPFSEKNLRSPSVNTQNTITGLRFPLRGLCSMRMR H257_17544 MSGRPDDTLVKIVGYTSSTIKADKVSKSIGYGFAFLARVIELYA KKSTRHSQGLSAVAGQIAYARYVTRFTGVFECLEALKNGSWVGTDDNDHLKRVVTLQV YSMLLYYPLEHASFVGFVAPKWFPSLDASKCSRQSCMAWGVYVALDLYANQTRLNLLA EQERQLVAKMSLTSERERTAKLASLKHKRQNIRINQFRNMMYLPLCIHWSTEKGILPG THQTFDDFNDEFLCVEVVTQLLAFAEAVVGTWQTWPH H257_17544 MSGRPDDTLVKIVGYTSSTIKADKVSKSIGYGFAFLARVIELYA KKSTRHSQGLSAVAGQIAYARYVTRFTGVFECLEALKNGSWVGTDDNDHLKRVVTLQV YSMLLYYPLEHASFVGFVAPKWFPSLDASKCSRQSCMAWGVYVALDLYANQTRLNLLA EQERQLVAKMSLTSERERTAKLASLKHKRQNIRINQFRNMMYLPLCIHWSTEKGILPE VVTQLLAFAEAVVGTWQTWPH H257_17544 MSGRPDDTLVKIVGYTSSTIKADKVSKSIGYGFAFLARVIELYA KKSTRHSQGLSAVAGQIAYARYVTRFTGVFECLEALKNGSWVGTDDNDHLKRVVTLQV YSMLLYYPLEHASFVGFVAPKWFPSLDASKCSRQSCMAWGVYVALDLYANQTRLNLLA EQERQLVAKMSLTSERERTAKLASLKHK H257_17544 MSGRPDDTLVKIVGYTSSTIKADKVSKSIGYGFAFLARVIELYA KKSTRHSQGLSAVAGQIAYARYVTRFTGVFECLEALKNGSWVGTDDNDHLKRVVTLQV YSMLLYYPLEHASFVGFVAPKWFPSLDASKCSRQSCMAWGVYVALDLYANQTRLNLLA EQERQLVAKMSLTSEREVRTYVPRLVI H257_17544 MSGRPDDTLVKIVGYTSSTIKADKVSKSIGYGFAFLARVIELYA KKSTRHSQGLSAVAGQIAYARYVTRFTGVFECLEALKNGSWVGTDDNDHLKRVVTLQV YSMLLYYPLEHASFVGFVAPKWFPSLDASKCSRQSCMAWGVYVALDLYANQTRLNLLA EQERQLVAKMSLTSEREVRTYVPRLVI H257_17545 MKFNSTVSSSRRKSRKAHFGAHSTQRRVLMSASLSKDLQQKYNV RSIPIRKDDEVLIVRGSNKGKEGRVTQVYRKKFVIHVERVVKEKANGSAVNTGIHPSK VVITKLKLDKDRKKILERKNRAVGDKNKGKFTEADVAMANVD H257_17546 MPLDPETARDGAAAAAFSGEKRPVTLRRSTTGAVSFGDVGDVCT ETTDVDITSLQYHVTKQASVLFAGGVAGSIGKTFTAPLSRLTILYQVHSMVTPTHKDK FSGSLSQAFMKVVRREGVFALWKGNGASVVHRFPYSAVNFFTYEWMKDAFDTRQSGIT SPMLTRFVSGAVAAATSTTVCYPIDLIRTRLITQLDNNIRYRGISHAFHRIRAEEGTR GLYRGLSATLLVTVPNMAINFTVFESLKDAVQALREDATTPLSALDTLSCGALSGISS SIVTFPVDVVRRRMQISGLHHGSGKETAAEAAQATATAWGIAKELYQQQGVRGFYRGL TPELLKVIPMVGITFGTFDMLKQSLHIDA H257_17547 MGHVWSKQQQPDDYYLGHNGGATYGLGNLTGILSHDRRTDVVYS DVASSSDTSHTVAIGSRTNLPIILLDSDTTTKKKAKHVDVLRRLGLVVRTSGSCLTKS ASVVVTDVLRIVDGTKVVARNKTWGPDPRTWHGVKGPAEASGGIRIGDSIYAINGARL VNKSKRQVVKMLGECCSRQRSVVVTFRHGDAMAAVPWEAELCADVPEGEYIETDHEWG CLMKVGSVSI H257_17548 MEPSSDAFFIVRSGTTKKKKTNHVPPPSSADQDNDEHVDVPSCS GGSEGGNTIIFPSSSSSSASGIGRMLPSNNNKTPDQYVMTTMNRCGSTPQLPLQSAPN SLAFSSTKKRNMVKPLPSSSSSKGAHLTPLSRTGSSPSPFLPGQPGTTIPASSTLYLP MPMEKIKADYEHVVALQRTKQMEMERAILDLTKQLQKERASNDVLAAETHSLKALVGQ LNQQLDDERKMSLQQKKAFAKLSARFVVINETLHKMTTSTNLTTTSDGTNHNHAAGSA VKTVLHTMSKENQDYQRRIKVLEAQHMQDKRSLVNSEKKLKAIKMELESIEHMQMTQA KPAIDGGVDRTSNVVATNCNTSLPSSSSSESTPSPNAAAVVVVNEADPHAAMSSILEQ YIDPNILRILHKVDSQFSISNAINLSSTMKRWLHSCHSINTSVDIRVVLGELMKKVCA VLQCDHAAVFVQLSNPRKLICRCTNAGEANNVEVPCDKGIVSHVLKSGTPCNIADAYD DPRFYSPQDNSTGITTKDVMCVPIFDDKNDAIAVLRACNSVHGKGFSPNDLIILGMFG IQAGIVLQQFQLETVLETSHTKLLRLHDMPRQLALESVPHVDNVSLIRFALATERQFH QILGVTKFKMFLIDPPNDDIDVTTSTSSTTSSSNPTTAGGLMWCVGKTLDSSCDTTFF RQYYKLSSGLCGLAIYHPKGLTIPDPLTHAKYNGAIDLTNAGHGLYIVPILSLWGKPL GVLQVGRTVVPLAKGGPKVEMLEKQAANDALKLHLIALFAQSIAGILHDLMAHEMLIK CPDEIKLARMGVLNESLADNPSSKNLRRRSSDTITQDHQLKQFMAWGATKSPPDDTTT TLPTSSSSSLPASTTLKAQLPVTVSHIPTTPDPSSREPATVATASNTHEISTTVEPSS ASRPPTTETSTERSSDSSVPLAAPALVSSPRGNEADSASNRMLMDTTSADSVEEAPTP LEATQGEELSVEWTASTSLSTAHTNLSGWMASSHATIDESSAYTQGDEHGHITATTTN TTAHSWPDDEGVTRSKPSSATSHDMHMDADDKRSTNTQIHNNDNQPSLEDDHHRPSVD TDFRSKDASQALVIPSNDAPSDPHAALSTNETNSEITALLENQDDDRRGDLVDAVVGI FYDDPPHDEGPLADMTADPSTPSSSWRLLADDVDEQCGSNSPYAINIDDDTTTAGQEA EGIDHVYHGGEVHNVDKGTGEYVADPSLPPGWFAIAHGDHVYYENPHSGEQTWIKPTC H257_17549 MHNAGGPRASMLSNTDLYHMKRVSESRGSFSDRKSHVSTSSKRG STTSHRHTHNQQQPGDLPPPPDSLPLRSGTSSQSSTSTSSKDSGSDLFSFLQMPMGGL KLDYAPPPKSYSGDSSIDSAKMQDIVRRIHREMISELGMIEAAVPFDGDDAKFADHPC QCKTATVFHTSEYEHASRLIVIVPYREAGIWSRSICMNSASVDEDAGNMLNYLRKAIT EGYGVLIMNPAAQACHSKVHVGTVWDHFVKPFESELFVIAYSRGCQNVMQLLNHDNGR GGMQDRLRALCFIEPSHYVSDKDTYFARRMLSRRAVAWLLSLDIAPGQKIVASEKRHG CICISAGAIPSTVHGSSGGWALRAVMTSVFGVFAARVGQASGTTKLTSVDKSSGCGLC HRKMGLLTRKTQCAWCEVKYCAMCCEDKNVPTFNLWGVCKLCQVLPCLIDPRKKGGLR TTKAAFTQDMDLIDRCSIFIQPQNDKANDDDLCLSDFEIVKFIGRGACGRVKLVRKKH GADEGSFYAMKSIKKRLVVARGLVEATNAERRILDRIKHPFIATLCYAFQNDAKLYLL SNYYPGGNLLDQMRLVRHFTEDRARLYAAEVALALGHLHANDIIYRDLKLENVLCDSE GHIALTDFGMSKENVSASDRTSTFVGTYQMMAPDILTNKPYSRAIDWWALGVMVFEMI DGRTPFNAKTNQLIKDKILTFEVPFSDRFSKHSKAFVQGLLQKKPENRLGCGPDGVEE IKRHPWFASTDWAVVEARRATFSADQAILMQSYAKEYATVDVFDTYMHANEIACDTPT SMRGSAAPNGNDLFQDFDYNHLLTRLSTSSSSEEGEDNASRQGLANDAKFDIHQLRPA PGTPKGQDEDREIDEEGSTRHSSSTLVATSSEDDLHSPAHLTVVNNVSVTTTPLHPAD CNVTML H257_17550 MAFSFGGSTTGAASTTAPAFNFGSAAPAAATPSGFGFGTASNAA PAATTSGFGAPATSTGSTPAWNLGGSSATPAATSTPSFSFGGATTTPAAAPTSSFGFG STQPATGFAAPASNAFSFGGGTNTTAAAPSTGFGGFGTSSNTQTSLFGKPATSGGFGS FGAPSGFGVAAATPAQPPQPVVSLDMRFDALPPDVQTQIKDFDHFLKEQSREEASIRS VSAQPLADLQDATKQLEQVALVVRNIQTRQAKDIQLLKSDVKNVVSQAEAADQIHIHL TSDTGIQRTDEMPSAYYWTLVQDFEQRMQTLKCQMNDVHTQLQGLQQTRHPHMQHPTP QLLQQILQSQNDAFMNIAAHVATTHEQAEVLRDQYLATLQHKQQPDGGKVRNPFEAAD RIQAEEERRIVDRIRLSAAQVTFPAATPGTTAPASSFSFNTPAAAPATAAPAPSTFGT TATGGTSFSFPAVSTAAAPTTSAFGGAQPPPTLTKSVSFAGLGNVAPSADSNASGAFG MPPVNSLNPKSVRRKPSSTKKR H257_17550 MAFSFGGSTTGAASTTAPAFNFGSAAPAAATPSGFGFGTASNAA PAATTSGFGAPATSTGSTPAWNLGGSSATPAATSTPSFSFGGATTTPAAAPTSSFGFG STQPATGFAAPASNAFSFGGGTNTTAAAPSTGFGGFGTSSNTQTSLFGKPATSGGFGS FGAPSGFGVAAATPAQPPQPVVSLDMRFDALPPDVQTQIKDFDHFLKEQSREEASIRS VSAQPLADLQDATKQLEQVALVVRNIQTRQAKDIQLLKSDVKNVVSQAEAADQIHIHL TSDTGIQRTDEMPSAYYWTLVQDFEQRMQTLKCQMNDVHTQLQGLQQTRHPHMQHPTP QLLQQILQSQNDAFMNIAAHVATTHEQVPLPLSRKVPNVLPFFFVPIQKVPNCGVLYF VPILKCT H257_17551 MPVQTSPAAATAALHSMISFRLLLSNDTVYRFQCLPSQKLKVMF EHISEFEGLEKLHLRHVRSGASLDGSEVVGSVLLHDDIVTAHVDIPTQIPTTVCLEFH ETAASSPSLDMFPDAAHLHDLDHHHPPSPMLLSSPSSHAHAASLPDDYDEDVGYNNDR DIDRWRRAHVTRVESSCGGPSMLNISPMEMEHNTRISQHDLVLHAALQLTENALVMQQ SLRTLYLRLRSQQTPDTAAAVLKLIRSTDRRPVFLHRGIDVVMQGYVDCGKWGALWRT KQRCWAVLWDSKLSFFGSPEYARKYMFALSNARRRHLSAQGHEDEDVMGKRIQKDYAP HTELHVSGWSVRPGAPQCDRNTFALFDDGGTLRQVLDVATAADTEAWVRAIGSQAQQH LVRLKAKLAAASESEFLDMLRLGESSSRDVEGTSHLSVPLKLRVPLKWLHVHLESEDA SSRSRRVKCSNFSQAVKDIQRDVLRINGHLHASSCFEDMLSELAIELLQHTSRHMKTS EMDAMAFARQLLILSSRTHGGGDVWDAVHCLLQSPHFCICPEMSDAAPVDVGIQLIDG KTVVEIEMIMVFKLIPAGGSDTAIGRIVGTSKQRLICDMTSNLDVDGEIRIAVERVGG GGEGGAVGVDGN H257_17551 MFEHISEFEGLEKLHLRHVRSGASLDGSEVVGSVLLHDDIVTAH VDIPTQIPTTVCLEFHETAASSPSLDMFPDAAHLHDLDHHHPPSPMLLSSPSSHAHAA SLPDDYDEDVGYNNDRDIDRWRRAHVTRVESSCGGPSMLNISPMEMEHNTRISQHDLV LHAALQLTENALVMQQSLRTLYLRLRSQQTPDTAAAVLKLIRSTDRRPVFLHRGIDVV MQGYVDCGKWGALWRTKQRCWAVLWDSKLSFFGSPEYARKYMFALSNARRRHLSAQGH EDEDVMGKRIQKDYAPHTELHVSGWSVRPGAPQCDRNTFALFDDGGTLRQVLDVATAA DTEAWVRAIGSQAQQHLVRLKAKLAAASESEFLDMLRLGESSSRDVEGTSHLSVPLKL RVPLKWLHVHLESEDASSRSRRVKCSNFSQAVKDIQRDVLRINGHLHASSCFEDMLSE LAIELLQHTSRHMKTSEMDAMAFARQLLILSSRTHGGGDVWDAVHCLLQSPHFCICPE MSDAAPVDVGIQLIDGKTVVEIEMIMVFKLIPAGGSDTAIGRIVGTSKQRLICDMTSN LDVDGEIRIAVERVGGGGEGGAVGVDGN H257_17552 MQRTPRAVSSSANTPGRPLRRADSMSPTHRNSIPHASVSPMGSQ TARWDSDQRRTQEAGMSLLGLAFDGSTSHHASPSAHSPSSSYGSSPMQQHQGYAHAVM QFDELSLGGVDPDIFMEGVMEHSKYLGMDPERDQDLLWIARKSLVADIPPGWHQVMTQ EGVPYYYNDESGDSRWEHPSDQDYKAMYREATRMKAAGEPIDAVRLTRLFTQHHQYHQ PNTSHLAITSHPHGAANHGQYSSDAWAESPQLHPASPPSHHQAVASGFDGDWSEYAEE VREATGEGIAYSPRDDDESDDDGGGDDTVVTKHGHTSAAADSQGPTDATGHVGAAMVP LRLDDHRALVESLYAELNECKKKLELQREHQDVVDALQRTVHDIAGQLEAQLRETLTA HEATKAAQNELATATRDKHTNAEKVAELEETLKQVRQQLVMANGEVECSKAKEAQDNL TLRQEVKTLTMRLQDAEKSTAADDVQKQLTKEKKLRFQWEQKFKVLEEQHQALMTQVD AASRQYEIDRQAAKGNIEALSMQHADQLNQLQSEHDKLKIAWVESENALRAQVRVLEA DKLARTASLTDITMQLEAASHEAAQCRSRVNQLETADAAHLERISVLERNLVTAQQDA QAKLEQATQAAVAAATANMQGLVCAAVAEKDQLAQLYAAETKARRHLHNKVMELGGNI RVFCRVRPTSDVERASAESAEVVTFRREDPQVLELTLAEGPKHTFEFDYVFQPTSAQL DVFEQTKALVVSALDGFNVCIFAYGQTGSGKTHTMEGPSDDRGVNFRAMAELFRVRDE RMLCGNFDCDMKLSILEVYNETIVDLLDDTQPPNGSTCSGDRKALEVRMSKQGAFVDN LMEVEVHSTADVADLMALGHSHRSVGAHDVNEHSSRSHLVLSITITTSQKSDPSKKTT SKLHLIDLAGSERISKTAASGQRLKEAQHINRSLSALGDVISSLGGHSKHVPYRNSKL TFLLQESLSGNSKVLMFVNVSPVQWNASESLCSLNFAQRCRNVALGQLKSPPPSTSTT LPPSASVPKPATKAPKQSGALSATEKARVSCSTGPKSPRKDMT H257_17553 MIKSSEHLSISFQFPGLAERRLPHGEDQFWTTTVMNAPLLSSSF ADTSAMCCKCVERATHSCVECDLLYCLVCSDQRHSKGSFQRHTVTTIAPALQSIQRGA FITCEECTQGHAVLHCSACELAYCSPCSKDIHRSGALQHHSRDCFHYLPLVSPAKSSP LQALSNNDTSLGTMWCRGGWSSRLLDNRPSSADSGVGYGGSGGGESPSFLSPHHSWTN PAHDTVSTSSSSSDGDTKSCLGEGGDDDGGRPSFTAAFMSLALEQQQQQQQQQSTLPE TSQSAVEKLSWRHVTNNNNNLEDTSVLSRNQLEDLFEALGSSTPTRHVVVRSSGRQLT RHQVATLDHILHTFGQCAHYDTTFALSHGVVLYTYFDLRDAAKAVQSGSGTSTLLNQL IAVDFAVPVLTTSWSGSIVITVDISTANNQPRATLVRDIHAFCSEYADVASISRQNQA NDDKAFLVELCDSRDVEPVLANMHNAIRGSFRGNFAVSSAEVAPVVVHPLATTFQAAA AAAIATGNPSFMHTPSITSTDEMWTTYGHYPPVPETWDDRGAPPLPPSPSPPYQPPCY YYYYYYNDMPPPLPRQTLTPSVVVVAPPPPNEYSLGIDRVGEDTRTTLMIRHIPNKYT QAMLLAEINGLGHHGAYDFFYLPIDFKNKCNMGYAFVNFMTTDAIVPFYKAFNGRRWR CFNSDKVCAITYARLQGKAAMVYRFQNSSLLDKHESYRPLVFKSSGPDKGAPELFPRT VSQWNRPSGLVADSGESTLPSSSSRPTPPLSSCVAPPPAHNHPIDRTTSTSTTTPTRG QYRTNIMHGRGPPSAYLPSSPPKTSHQVQCSSNSISITSMPPSSSSSPYDPSHGAIKS VHGGGRHDYLDAVTTNQPLALAALHA H257_17554 MMQSGHRGLTRSQLKTVALRHATLGGGFSNGHSSVVSMNPSADI ALPDLFFRLMCHDCELYKSEVATKTLNPVWATVDLHSDDPSQQHASRYLAEDDMGFDV VVYRLINAASSSHSSFFHPLVGANDHITVDEGIDEEDEEDEYEDTYDLDLVHPQHPVS EEDMRDNDDDSGADDDEESFFEEEVFRFHIDLDELEPLQCDFRELFYLPLNTLVLEFA STYYVRRDVMSMLVQNDVIKARRVPRGDFPALLSTQPLQSEFHMATAADLVDSTINLH RQIRLARADVAAKRQLVEEQLALEAAADAKENARLEVQARIFALRKQALQKQQTLDQV KSVLKVEKHMLSADQRLPRTLAQLSHLDAKQAEMNTGILYRRMDVLRVAHSIRALQAT LVVQLYDIYPIAYLGAGEYSIGGIKFSNADATNGKDEELLSTALGYIAHFVFLVAKYL NVNLRYAIVHLSSRSYMRDDVNDPHGEYPLYKRGVDKDRFDKAFLFLRKDVEQMLLAR GLELGQNTQLLMRLTTLVESELEWVKHNA H257_17555 MKAVATGRTWTRDTSDQRLLEQAKASMVEQCALEVEATKTLLNE RLRKEQRRHAKALEEREKRAEEFCTNLQAKYEDLMGVHEVTWQNQLHAMQQSMHTLLR THMSLEDHDLILNQKTTALNDAHARAVQLLQTTFDRSMVEAQHVHERTAEAAHHAAVV PLHATIAELQAKCEQAQAAVDDLTTQLAKTRERYQSEVLRRTKVEAKMHESCRHLLSM RNQLKKASGGIAEWKAQTKDWQRQASQGQADCTALKLTLVTAEATHGSTVKCLEQDIR YLEQALAKETSLRVSVEGQLAVATAAVTTCASSKGQVEARELMANQRLEQLQVNWDAD VARRTLAAADEQDKLKLANECIDKLRGTVMDLQRDSQDLRRQLDVAVACKDQVEGAWN VRLRDIEKKLQASDVVNKALRKENAALKQELRIEQQLFKGSTALK H257_17555 MKAVATGRTWTRDTSDQRLLEQAKASMVEQCALEVEATKTLLNE RLRKEQRRHAKALEEREKRAEEFCTNLQAKYEDLMGVHEVTWQNQLHAMQQSMHTLLR THMSLEDHDLILNQKTTALNDAHARAVQLLQTTFDRSMVEAQHVHERTAEAAHHAAVV PLHATIAELQAKCEQAQAAVDDLTTQLAKTRERYQSEVLRRTKVEAKMHESCRHLLSM RNQLKKASGGIAEWKAQTKDWQRQASQGQADCTALKLTLVTAEATHGSTVKCLEQDIR YLEQALAKETSLRVSVEGQLAVATAAVTTCASSKGQVEARELMANQRLEQLQVNWDAD VARRTLAAADEQDKLKLANECIDKLRGTVMDLQRDSQVLSMEFPYFKYIL H257_17556 MEATALAAATMLKKPAADVVENTANNSPTKPSQVVGEVPLLAQM QQAMDRLDDVMKRQDVGDVVVTRKQSCLLKDHIEPRPDFANVKFSLKNRFSHLASFRK KKIIENIEDLKQKCVAVIGLGGIGALVAEMFTRTGVGKLTLIDHVITTIGVVKMASMT RMHYLPEHVDMSKVQASRLYLRQLNPHVEIETLHCNINDELDAEILLDVLQDCKLDQS STADKPNRHHANHGTSTHMQFRLPMDAIICCVDNSMARSRVNQIALKLSIPLIDVTLS PCSSFITVQTILPGYSACMHCHWNDKYEAEAMVADAVSRQCPATLPQCELIAAGLVSQ STINFALRLLLEFGDQIPFYRLNCLDMDISTFWFKPNHECSEPMCKLKQAEYQLDVEK KQELDTQALQRAQAHDDDDVIHES H257_17556 MEATALAAATMLKKPAADVVENTANNSPTKPSQVVGEVPLLAQM QQAMDRLDDVMKRQDVGDVVVTRKQSCLLKDHIEPRPDFANVKFSLKNRFSHLASFRK KKIIENIEDLKQKCVAVIGLGGIGALVAEMFTRTGVGKLTLIDHVITTIGVVKMASMT RMHYLPEHVDMSKVQASRLYLRQLNPHVEIETLHCNINDELDAEILLDVLQDCKLDQS STADKPNRHHANHGTSTHMQFRLPMDAIICCVDNSMARSRVNQIALKLSIPLIDVTLS PCSSFITVQTILPGYSACMHCHWNDKYEAEAMVADAVSRQCPATLPQCELIAAGLVSQ STIKLLLEFGDQIPFYRLNCLDMDISTFWFKPNHECSEPMCKLKQAEYQLDVEKKQEL DTQALQRAQAHDDDDVIHES H257_17556 MEATALAAATMLKKPAADVVENTANNSPTKPSQVVGEVPLLAQM QQAMDRLDDVMKRQDVGDVVVTRKQSCLLKDHIEPRPDFANVKFSLKNRFSHLASFRK KKIIENIEDLKQKCVAVIGLGGIGALVAEMFTRTGVGKLTLIDHGVVKMASMTRMHYL PEHVDMSKVQASRLYLRQLNPHVEIETLHCNINDELDAEILLDVLQDCKLDQSSTADK PNRHHANHGTSTHMQFRLPMDAIICCVDNSMARSRVNQIALKLSIPLIDVTLSPCSSF ITVQTILPGYSACMHCHWNDKYEAEAMVADAVSRQCPATLPQCELIAAGLVSQSTINF ALRLLLEFGDQIPFYRLNCLDMDISTFWFKPNHECSEPMCKLKQAEYQLDVEKKQELD TQALQRAQAHDDDDVIHES H257_17556 MEATALAAATMLKKPAADVVENTANNSPTKPSQVVGEVPLLAQM QQAMDRLDDVMKRQDVGDVVVTRKQSCLLKDHIEPRPDFANVKFSLKNRFSHLASFRK KKIIENIEDLKQKCVAVIGLGGIGALVAEMFTRTGVGKLTLIDHGVVKMASMTRMHYL PEHVDMSKVQASRLYLRQLNPHVEIETLHCNINDELDAEILLDVLQDCKLDQSSTADK PNRHHANHGTSTHMQFRLPMDAIICCVDNSMARSRVNQIALKLSIPLIDVTLSPCSSF ITVQTILPGYSACMHCHWNDKYEAEAMVADAVSRQCPATLPQCELIAAGLVSQSTIKL LLEFGDQIPFYRLNCLDMDISTFWFKPNHECSEPMCKLKQAEYQLDVEKKQELDTQAL QRAQAHDDDDVIHES H257_17556 MEATALAAATMLKKPAADVVENTANNSPTKPSQVVGEVPLLAQM QQAMDRLDDVMKRQDVGDVVVTRKQSCLLKDHIEPRPDFANVKFSLKNRFSHLASFRK KKIIENIEDLKQKCVAVIGLGGIGALVAEMFTRTGVGKLTLIDHVITTIGVVKMASMT RMHYLPEHVDMSKVQASRLYLRQLNPHVEIETLHCNINDELDAEILLDVLQDCKLDQS STADKPNRHHANHGTSTHMQFRLPMDAIICCVDNSMARSRVNQIALKLSIPLIDVTLS PCSSFITVQTILPGYSACMHCHWNDKYEAEAMVADAVSRQCPATLPQCELIAAGLVSQ STIKYVF H257_17556 MEATALAAATMLKKPAADVVENTANNSPTKPSQVVGEVPLLAQM QQAMDRLDDVMKRQDVGDVVVTRKQSCLLKDHIEPRPDFANVKFSLKNRFSHLASFRK KKIIENIEDLKQKCVAVIGLGGIGALVAEMFTRTGVGKLTLIDHGVVKMASMTRMHYL PEHVDMSKVQASRLYLRQLNPHVEIETLHCNINDELDAEILLDVLQDCKLDQSSTADK PNRHHANHGTSTHMQFRLPMDAIICCVDNSMARSRVNQIALKLSIPLIDVTLSPCSSF ITVQTILPGYSACMHCHWNDKYEAEAMVADAVSRQCPATLPQCELIAAGLVSQSTIKY VF H257_17556 MFTRTGVGKLTLIDHVITTIGVVKMASMTRMHYLPEHVDMSKVQ ASRLYLRQLNPHVEIETLHCNINDELDAEILLDVLQDCKLDQSSTADKPNRHHANHGT STHMQFRLPMDAIICCVDNSMARSRVNQIALKLSIPLIDVTLSPCSSFITVQTILPGY SACMHCHWNDKYEAEAMVADAVSRQCPATLPQCELIAAGLVSQSTINFALRLLLEFGD QIPFYRLNCLDMDISTFWFKPNHECSEPMCKLKQAEYQLDVEKKQELDTQALQRAQAH DDDDVIHES H257_17557 MELSKTIGEQSIVGVKVDLATQCKAQGNEAFKSKEFRRAEGYYK KGLQFLEAPQTCQYSQEELMTVGPVLATLHVNIAACCLQGSTVDSAKCILHCTQAIKH DPLNVKAWYRRSQAFMKQKEFALAKDDVTHALGLDQQPSTSIVTLRRHLVALQAASAK VKAAEIASFQHIFRS H257_17557 MELSKTIGEQSIVGVKVDLATQCKAQGNEAFKSKEFRRAEGYYK KGLQFLEAPQTCQYSQEELMTVGPVLATLHVNIAACCLQGSTVDSAKCILHCTQAIKH DPLNVKAWYRRSQAFMKQKEFALAKDDVTHALGLDQQPSTSIVTLHTWWLCKRRPQKS RRPR H257_17557 MELSKTIGEQSIVGVKVDLATQCKAQGNEAFKSKEFRRAEGYYK KGLQFLEAPQTCQYSQEELMTVGPVLATLHVNIAACCLQGSTVDSAKCILHCTQVRFY QLSSFDEYAAPILCRPSSTIL H257_17558 MDMEKWRGQVDYFLIHESSCASFLYVCAIRSNMSLWSLSRVSLP LSRCCDFSRRASEMLDAICIAFFSHTSSHSWTFRSSSGTCAPICCSSSINLRPSATFV LAPPVLELMVGRAS H257_17559 MTTSSPTERSSLIRLSNSVAETSPVATDVAVSLPDLTAIEMRHM QVPRRNTKAGGLFKAPPLRREYDPTMAAPSSQALSQTKMSMDGSLLSYNATADDFSPR AILQSLPSVLIAVVLNLMISIPFGLSFFPLEWTELPAPRAIGIQMFLLTTFICQFVFA LRSSFDCSVGMMMVENVPFMHTLSMSIISEVGATSPKALPTILVTYALSSVVVGVMFY ALGYFKLGSVIYLFPKHIIIGAIGGIGIFVVQNGLQNATGVTVEWTWSGLVRLAQPDV LYLWIVSVVLVGVLGVCLRFAKSPLFSPFYFVSIVPLFYIVLVLAGIPIETARQHNWF FERPPEVPFYSMWSHYDFTLVEWSVIPKQFGTLVGLSIFSLMHVPINIPSLSLTTGHE CDINEELKAHGISNTLGGLVGSVQNYLCYSTSALYYKCGGGGRLQSVLIGVFVSILFF AGPGIVAYVPRCMAGCLMVHVGLDLCKEAVVDTYAELDRLEYATVWVIALTMTFWGMN EGLAVGVLLACVAFVAQSAPAGPLRGSMSAATLRSSAWRSTSDLEVLDQVSRNVHVVQ LKGHLFFGNIHKLSDYISDLMVKYPELECLVVDFTLVVAMDSSAADRLSKLKYVCAPY ECRLVFTAIPSAYTRFLRVMLVDESPMFHVADDLNDAIEWSENELLRRYKVESTLQKE PSLPKEHAFLARLHNLSPAQPLAVLEKLLEYFDRQVLHGDVQVWRQGDAASCAMLLAE GRMQAIVEEEAGTKEEVSEGAMVGELCLLTGEKRKTTVVTMERCVVYVLTEARFKDML DKDSLLAFVFQGIALRYVSLRLQYVGNRIWETKCLPI H257_17559 MTTSSPTERSSLIRLSNSVAETSPVATDVAVSLPDLTAIEMRHM QVPRRNTKAGGLFKAPPLRREYDPTMAAPSSQALSQTKMSMDGSLLSYNATADDFSPR AILQSLPSVLIAVVLNLMISIPFGLSFFPLEWTELPAPRAIGIQMFLLTTFICQFVFA LRSSFDCSVGMMMVENVPFMHTLSMSIISEVGATSPKALPTILVTYALSSVVVGVMFY ALGYFKLGSVIYLFPKHIIIGAIGGIGIFVVQNGLQNATGVTVEWTWSGLVRLAQPDV LYLWIVSVVLVGVLGVCLRFAKSPLFSPFYFVSIVPLFYIVLVLAGIPIETARQHNWF FERPPEVPFYSMWSHYDFTLVEWSVIPKQFGTLVGLSIFSLMHVPINIPSLSLTTGHE CDINEELKAHGISNTLGGLVGSVQNYLCYSTSALYYKCGGGGRLQSVLIGVFVSILFF AGPGIVAYVPRCMAGCLMVHVGLDLCKEAVVDTYAELDRLEYATVWVIALTMTFWGMN EGLAVGVLLACVAFVAQSAPAGPLRGSMSAATLRSSAWRSTSDLEVLDQVSRNVHVVQ LKGHLFFGNIHKLSDYISDLMVKYPELECLVVDFTLVVAMDSSAADRLSKLKYVS H257_17560 MGGSISMLRADIKAHVKIAFDYPNLLEPHLSVVRFFLELCEEGE GITPKDRDNPEFLDRIKEFETDVSTEVELVAENEDAINILLAAVETYATMVPAPEFDT KKRYFARFRTPEPFPDFYDPVGSVDLERYRVSLLRMSLRCLRMITVIDDVRKYVMGSS AIAALNRVVDENPLDTFIRKDVKAIFKNVFGGDQSVKRLENASVAIAVEVITDFSDSD VVQLAGIKRLSYLFGQYTDLAQCKSDVLVLQVVPAVGAALAAFPETYFDLYAHACRLF LVLVPPHVLKQNDENGVPHDETLAKVIGQHGGVQAAIKLLKTCRGFYTNLAPTPSETS SAQQLLRPKHGSSRSRRPPVKKIIPVVHATSNTTSSSMQSTRDILNASGNQVATDEAA TSAEKTKLTDWTTPDLAQQALWALDVLSITDFNRITMKREKFKFVLSEVILGSKLIVP RRLRLLNWKDIGYDNDDDQHG H257_17560 MGGSISMLRADIKAHVKIAFDYPNLLEPHLSVVRFFLELCEEGE GITPKDRDNPEFLDRIKEFETDVSTEVELVAENEDAINILLAAVETYATMVPAPEFDT KKRYFARFRTPEPFPDFYDPVGSVDLERYRVSLLRMSLRCLRMITVIDDVRKYVMGSS AIAALNRVVDENPLDTFIRKDVKAIFKNVFGGDQSVKRLENASVAIAVEVITDFSDSD VVQLAGIKRLSYLFGQYTDLAQCKSDVLVLQVVPAVGAALAAFPETYFDLYAHACRLF LVLVPPHVLKQNDENGVPHDETLAKVIGQHGGVQAAIKLLKTCRGFYTNLAPTPSETS SAYSQQQLLRPKHGSSRSRRPPVKKIIPVVHATSNTTSSSMQSTRDILNASGNQVATD EAATSAEKTKLTDWTTPDLAQQALWALDVLSITDFNRITMKREKFKFVLSEVILGSKL IVPRRLRLLNWKDIGYDNDDDQHG H257_17561 MVLPKNNNEYKKKEYWDSRFESEESYDWLARFKDVASLIDQYVQ KNHRILVVGCGNSTFSIDLYEAGYTNIINLDFSSVVIERMSAKYSTSHPLMTWVVGDM LKLNESFPPHSFDVVIDKAAMDALMVEGGDTWSPADHVLANADAMCSGVHSILTQSGT FIQISFGQPHFRKRFLLGHPPNGPVSTVYHWSCSHHNIDIGLGYYFYVLQKDLQHL H257_17562 MTAAMHHEIDRSGKPAPAASVLVNMSYRLDLVEKKTVDGAASCK TIIANLRELASAEDKHAKTYQKIQEALQAISYIKNANVASGLKNWHSAMLQNASETAA MKRRFASSLVSNVVLPLQDHVHNYRNQTRQVFFEMRSSYEVLVHKQNHVTATRERYIK ACKAAEAAIRARNTAMDKLNDLELQAKKATPSSQLPPLALLEGKKNVQGLDGLNQRIK ATLDESVTAKEVYIQSDMTCRSDRSRHQNLIADMLLKLETIEIQREEHLQNQVMFRVA SMYQDMVTCVASSRKVLFDMSDPIFQAALESIAHFSDDFKAPDDVLTFITEQMTNDAE TLAKLSDVFALMKSTFEAHAKRLQHITSSQSWALAELDGQLLAQAWENISSSVQIFAH IHEEYAAAVGGSITSVWKDLKAAQGHAKKQIAMMVQDIYLKRQGVQTNERDATQRFQR TKRELEAKQSQMDAVEREAAEEATSPDKDKGMSLVSLGWKDSAKIRLVKLKRVYQEYE ETDMATAVKQMTFAKNATENFNVLFHTLVGTVHSDFINAQAQVVSGITKISTSWRTAC SVTESSQLQVLRNVFGAIEAISPTSDLRLFVESQSSIHDPPNLKASTSLEFYTSDLIE METRPPPPPSPAMPMESKGGSAPKTTTSAKLNWQHQVEFGLVLFYMFGLLALYFAMQT LRQELVHAQSVLHDQHGAVDSLEKMLREFE H257_17563 MPVEFCCDHHQQKQWHMTHSSLLSPNALPLHPPLATTPPQAEKR KTMDVDHHHPQHLDLSHGITAKRVKIDNDLPLLPAPAAQSSTKPSGLWVQQSHAVVAK PKPATLRKSASVNDLWVKQTIAFVSRDV H257_17564 MSGGGAPDLLLGIVEARKVHVEDAKKTTSAQDLRDKIAVYEGKH GPAVSIVEKIRQSAPKIAVAAEFKRASPSKGDIAVDADAAEQAMLYAQGGASVISVLT EPARFKGSLDDMLDVALKVETLGRARRPAVLRKDFIFDTYQVLEARAYGADSLLLIVA ILTLPELTALLAASRELGMEPLVEVNNEHELDVAIEAGAKLIGVNNRNLRTFKLDLNT TVDIAHAIRRRGIPLEGPESVLLLALSGVFTRADALKFEECGAHGVLVGEMLMRCHNV KDMIQELKGVTTYHHKTLVKTCGITDAATALVAAKHGANLIGIIFVSNSPRNVHLDTA VDIVQVVRKFGERSSRVPIELDELEVPPSSSLDSITGWFQSHAKKLSAASARTPLVVG VFQNQSVEYMNDVAEKVGLDLIQLHGDEGFEVCRQLIVPAIRVVHLPGFHTGGSVNVE AIKENVQAGYAVAILLDTAIKGQMGGTGAVFDWSIAASFDQAGIPCLMAGGLTPLNVA RAIQVATPLGVDVSSGLEDGTPGVKNHLKVQQFIRNVVQPPLSSLDSVDEDTFAEK H257_17564 MSGGGAPDLLLGIVEARKVHVEDAKKTTSAQDLRDKIAVYEGKH GPAVSIVEKIRQSAPKIAVAAEFKRASPSKGDIAVDADAAEQAMLYAQGGASVISVLT EPARFKGSLDDMLDVALKVETLGRARRPAVLRKDFIFDTYQVLEARAYGADSLLLIVA ILTLPELTALLAASRELGMEPLVEVNNEHELDVAIEAGAKLIGVNNRNLRTFKLDLNT TVDIAHAIRRRGIPLEGPESVLLLALSGVFTRADALKFEECGAHGVLVGEMLMRCHNV KDMIQELKGVTTYHHKTLVKTCGITDAATALVAAKHGANLIGIIFVSNSPRNVHLDTA VDIVQVVRKFGERSSRVPIELDELEVPPSSSLDSITGWFQSHAKKLSAASARTPLVVG VFQNQSVEYMNDVAEKVGLDLIQLHGDEGFEVCRQLIVPAIRVVHLPGFHTGGSVNVE AIKENVQAGYAVAILLDTAIKGQMGINASYIYYEVYKYKYNTYLLSIDEINLQIYINM PQGFIFSK H257_17566 MIAPTNKPSHVQHEHLSFLILDAPNDTNLPLYIKEFKKYQVTDI VRACEPTYSRSTVETAGFKLHEMEFPDGEPPSTEIINQWLDLVEATFKDNAKQNAGNN THDKTIAVHCVAGLGRAPVLVAIALIENGLDVINAVEHIRQSRRGAINLRQLKYLEKY QPIRKDKGKCTIM H257_17567 MFGTVLTHEVKRIARSVDAVYGDSDQQRYVLGSCTPSSKKLNQL QLLDANLSVLQTYAHANPVQHLAMCPLPKMAHHVLTTFVSERNEPSFAVWDMDNVESP PSTSPGAVSQLGLLAHVTTESAIVRAIWNPTEDGNSATIASLNATSISTWTLADGGSG KEVAKLGLGEGHNQLNAIAWDPHHRQQVSATVDESIVTWDVRSGKYVAFHRSVHVILG RIAHKVHQAHFQCTRDIDYNPNKPYYMASGGDDGLVKFWDIRKPKAALLTLPSHSHWV WCVKYNRFHDQLVLSSSSDSSVNLWRVSSISSAPLLDESTDAGGIDIGDAKISTHDGD HEDSVYAVAWGSQDSWVYVSLSYDGRVVLNTVPSTEKYRILL H257_17567 MFGTVLTHEVKRIARSVDAVYGDSDQQRYVLGSCTPSSKKLNQL QLLDANLSVLQTYAHANPVQHLAMCPLPKMAHHVLTTFVSERNEPSFAVWDMDNVESP PSTSPGAVSQLGLLAHVTTESAIVRAIWNPTEDGNSATIASLNATSISTWTLADGGSG KEVAKLGLGEGHNQLNAIAWDPHHRQQVSATVDESIVTWDVRSGKIAHKVHQAHFQCT RDIDYNPNKPYYMASGGDDGLVKFWDIRKPKAALLTLPSHSHWVWCVKYNRFHDQLVL SSSSDSSVNLWRVSSISSAPLLDESTDAGGIDIGDAKISTHDGDHEDSVYAVAWGSQD SWVYVSLSYDGRVVLNTVPSTEKYRILL H257_17567 MFGTVLTHEVKRIARSVDAVYGDSDQQRYVLGSCTPSSKKLNQL QLLDANLSVLQTYAHANPVQHLAMCPLPKMAHHVLTTFVSERNEPSFAVWDMDNVESP PSTSPGAVSQLGLLAHVTTESAIVRAIWNPTEDGNSATIASLNATSISTWTLADGGSG KEVAKLGLGEGHNQLNAIAWDPHHRQQVSATVDESIVTWDVRSGKYVAFHRSVHVILG RIAHKVHQAHFQCTRDIDYNPNKPYYMASGGDDGLVKFWDIRKPKAALLTLPSHSHWY ISIYIYIYTCPDILDDINVYIYIYIYDVIRLVLATYVSPLCMYLHSAGSIPSIRSFYI TGRGIPIYIRRIYCIYVCE H257_17567 MFGTVLTHEVKRIARSVDAVYGDSDQQRYVLGSCTPSSKKLNQL QLLDANLSVLQTYAHANPVQHLAMCPLPKMAHHVLTTFVSERNEPSFAVWDMDNVESP PSTSPGAVSQLGLLAHVTTESAIVRAIWNPTEDGNSATIASLNATSISTWTLADGGSG KEVAKLGLGEGHNQLNAIAWDPHHRQQVSATVDESIVTWDVRSGKIAHKVHQAHFQCT RDIDYNPNKPYYMASGGDDGLVKFWDIRKPKAALLTLPSHSHWYISIYIYIYTCPDIL DDINVYIYIYIYDVIRLVLATYVSPLCMYLHSAGSIPSIRSFYITGRGIPIYIRRIYC IYVCE H257_17568 MTAHTRATATMDGVKALKQVIRKDIDLKLRQYSADEVASASAQL TSHLVQHPAFVQAKSVCAYLPMPNEASTAAIIHEIFAQGKKLYVPKVTGPRSEDMVML HVTSQRDIDTFPKSKWNIPEPLLDHPNGLPRENALDVTDLDLILVPGVAFDAHCNRLG HGKGYYDCFFERYASKCRGALPPTLGIALPEQVIDQVPTSAHDKALDGLVTPRGVLSR FTP H257_17569 MPLTRSEQSRFLKPHKCCQEELFASDYIRTNKSGGLKSLRCFPH CCKGHKKKTFCGTGLGVETDLPDCSAVLSYFSCHGDPGSTPDKDECPVQFQVGHTYNL LDFETHVKSKDNLFGSVFPGQRVGSSRQFVINGDRQCWHYGWCSSRVGQKYTHCLNVY FFKVEADTKLECIDAIESDPFHITSSRVMRKKTRLAKRTIADVHGGYLSDKAAESSSG HSDAQIQSKRVKSTSLAKRDLICLLNPNEAAAAAAANITATLPPTPSLPPIIPVVNVT NRRLQPDDTLQARSNDVFFIIQILSRIADFERLGSTQRQYFSLTMHHLFSVQPLLAKP PPSPPRIPHGSMMESLLSVLVAGVESALEAGFLSKLRDHILTHPHDPLKAYSDMLGMF EQALVALGGQFPTTCDTVAAMAKQLHVAFSTVIGHKHKSLLPEHEYVPETPPTTFLDS LYMGREFASAVMSALHVPQWSPTSRNVQGDFSPLSGKWARLSLQCRTQPQHHPSWLYR LLTDVASRTWSIDDRGDEMLILWPGSVGTAHLIHKLCGKHRLLAQSPYGLSSSCTQLI GYLAKRNFQDNTVTLQYYYWPAEASSKFRKRLTRHFRTHPDDPNLLEIHVVLEVCKPP TDQHVAKTPMEKLMFPADWHVESSSIDTYARAAAE H257_17569 MFCRMQVYFFKVEADTKLECIDAIESDPFHITSSRVMRKKTRLA KRTIADVHGGYLSDKAAESSSGHSDAQIQSKRVKSTSLAKRDLICLLNPNEAAAAAAA NITATLPPTPSLPPIIPVVNVTNRRLQPDDTLQARSNDVFFIIQILSRIADFERLGST QRQYFSLTMHHLFSVQPLLAKPPPSPPRIPHGSMMESLLSVLVAGVESALEAGFLSKL RDHILTHPHDPLKAYSDMLGMFEQALVALGGQFPTTCDTVAAMAKQLHVAFSTVIGHK HKSLLPEHEYVPETPPTTFLDSLYMGREFASAVMSALHVPQWSPTSRNVQGDFSPLSG KWARLSLQCRTQPQHHPSWLYRLLTDVASRTWSIDDRGDEMLILWPGSVGTAHLIHKL CGKHRLLAQSPYGLSSSCTQLIGYLAKRNFQDNTVTLQYYYWPAEASSKFRKRLTRHF RTHPDDPNLLEIHVVLEVCKPPTDQHVAKTPMEKLMFPADWHVESSSIDTYARAAAE H257_17569 MPLTRSEQSRFLKPHKCCQEELFASDYIRTNKSGGLKSLRCFPH CCKGHKKKTFCGTGLGVETDLPDCSAVLSYFSCHGDPGSTPDKDECPVQFQVGHTYNL LDFETHVKSKDNLFGSVFPGQRVGSSRQFVINGDRQCWHYGWCSSRVGQKYTHCLNVY FFKVEADTKLECIDAIESDPFHITSSRVMRKKTRLAKRTIADVHGGYLSDKAAESSSG HSDAQIQSKRVKSTSLAKRDLICLLNPNEAAAAAAANITATLPPTPSLPPIIPVVNVT NRRLQPDDTLQARSNDVFFIIQILSRIADFERLGSTQRQYFSLTMHHLFSVQPLLAKP PPSPPRIPHGSMMESLLSVLVAGVESALEAGFLSKLRDHILTHPHDPLKAYSDMLGMF EQALVALGGQFPTTCDTVAAMAKQLHVAFSTVIGHKHKSLLPEHEYVPETPPTTFLDS LYMGREFASAVMSALHVPQWSPTSRNVQGDFSPLSGKWARLSLQCRTQPQHHPSWLYR LLTDVASRTWSIDDRGDEMLVRCVFTNLYRL H257_17570 MYVTKQRSQMNHPFPNHLKCLLRTGTSLGVADQHDARGVSWVRK RPEAGQHDLQHPSTCCCPKHGSDHASNHIVSHDSHVHIHDRYTSIGAKRCLVGSYGIY SRCRASRSGRTMFREILSDG H257_17571 MNADDSQCSTPGCPNLAQSYGLCSSHLSRVQARDLPQTSFMDAG HSSLRSPPTQHHRQHQHQSSQPSSTHYDTPTSVQSGSDNSDEGGEQPDSVVRRERNRI AARKSRQRKLDKIQHLENEKGRLEGHRNRLINEIRMLESRMEGSLGTTKKMLSDVEYE ALQSERVRVIEDVHAMYNSRNIEDSTKYFREDSIVSGPQNSVHLRGKDAIVLDFLCTD ALFENFQLNHTKIDSGGPRSQHFRVYWLFTGTIKATGSCSGKEFQDLFGKVVGKTLTI EGVSNYSFSGDKIVYIHRTADQAKLLSTLVKLSKV H257_17572 MKIVAIQFLRADQGDAEPPILASAFDLNSFGYFKRSTVRDMINF FSRTFIKRTPKGQRQSIQHEEYNCHVYVRQDGLAGIVVCDQEYPPRVAFALMNKFLEE FNKETNGEWRTSPSAANPDWAPLVKALEDYQDPSKADKISAIQKELDETTAVLSKTID SVLERGEKLDDLVQKSQDLSSQSKVFYKQAKKTNSCCILM H257_17573 MVAAITQAVEQHTFDQFTGRCRLPAAFMQEHGLFNGSAVAVYVN GDCYLCKAHASRQRNAFAIDTSIAIEATHVVLAALPKICLEHTHEDEVFISVLPSPLK SAASISLEPVTSDKSSNDDTNTVLQDPISLRILQDACRRLILHESCVIQLPHPTNNTS MSFRVHRTLPIGVVRVIRATRVVLFRAAEAEPHDDNNAILPVDVQLRKPAFDESHQDE DAATTHVGGLHVELKKLEELIYMAVEFPSLQHDIGIQLPKGVLLSGPPGVGKTLLVRT AIRRCHASGACHLMLRVINGAEILSGGGIGDAERALRDLFDQGRAFTRQSNRHVFCLF LDELDALCPRREASGRSHSRIVAQLLTLMDGVDASTNSRMLVFGATNLPHSIDPALRR PGRFDREVVVHAPHAQDRAEIFKVHLKRVPLEPPLAVATLSNDLADLCVGFVGADIAA LCRQACMIALTRVGNERHQTPQMLKFLRNQQLSAMYWNNSSSSDMDALMAKALDELSL TTSKPPTVSFADFTHALEFVQASALRGAHTFSKTSQSKVTTWSDIGGLDSVKTSLQQA VEWPLKFPETFQRLALKPPRGILLYGPPGCSKSTIVRACAFASHATFLSLSAAEVFSP YFGDAEAAIRQIFRDARAATPAIVFMDEIDAIVTKRNFEQGGSGGSGMELRVLSTLLN EMDGVEAADGLLVIGATNRPDMIDAALLRPGRFDRVVYVPLPDQDDRFKILQIHTKHA SLSTNVDLKWVAAQTPMFSGAELENVCREAALLALRENIHMEAIDGRHFQQALLLTSP VSSEESLETFQRFHDKQGAL H257_17574 MMMIHCLDQGDPDDDADGSVGYCGTTISCDDASAVMKCFYTRHL LFESSQDLRNYSYWGFTDGFPTLCGSERAAVDAGLVHPHIEMRPIDIPGIGTQMGLFA TQDLPAGTFLGEYTGVLKADRGGSFDSYGLAYPSTYEHGNLCISASEYGNIMRCINHS YTRPNSAFASALCNGLLRMICRTQCNVVSGTQILINYGTAYWNAAGVTPHEWHGCNSL TSFSG H257_17575 MASTSVLQHFETYQKARVSFVQAVAEAATRPQNIEVMQNAGVMQ LLRPLLLDNVPSIQQSAALALGRLANYSDDLAEAIVGNEILPQLVYSLSEQNRFYKKA AAFVLRAVAKHSPELAQAVVDSGALEALVPCLEEFDPTVKEAAAWAIGYIAQHTSELA QSVVDAGAVPLLVLCIQEPEITLKRVAASALSDIAKHSPELAQAVLDPGAVAYLAPLV QHPDAKLKRQVCSCLAQIAKHSVDLAEVVVEAEIFPNILHNLNDIDHTVRKNAATCIR EIAKHTPELAKLIVNAGGASTLVDYVAEASGNNKLPGIMAIGYISAFSETLALAIITT KGIAPVKNALVAEPEDHIKAASAWTLGQIGRHTPDHARAIAEADVLRHLLACMIHPNS SDDLKTKSKRALKSILAKCTHLQALQPLLRDAPMKVQKYILKQFAQMLPHDLEARRSF VQNGGLQFLQELSEAAGGKLTEYIMEINNCYPPEIVEYYSPNYSKTLLDKLDEFQPQV R H257_17576 MLSRVLASSRVSVASTCVRGFAVSAAAAPVKVQRPKHTGPPTLQ STAAEVQYLGTVYSIAASSNHLTKAKLEFIVREAKSEKDLPLAREALELYERNFLLIP THCTGTFVSKCIKHNQADLALQWLRDSKFLSKHIVNGTFSRLIDHYSAAGDVDKAVEV FGIAKKHNIEITAKVYTSLINLGKANGKIDLAVDYALQAGHAKMINSHGVLLLLRDLP EHDLRDLIPVAKNVIHLGDVFLNKELTSLLEQYDESAAPASAAAPEADEEGDEEQVVA EADESDKEEDGDAKKDA H257_17576 MLSRVLASSRVSVASTCVRGFAVSAAAAPVKVQRPKHTGPPTLQ STAAEVQYLGTVYSIAASSNHLTKAKLEFIVREAKSEKDLPLAREALELYERNFLLIP THCTGTFVSKCIKHNQADLALQWLRDSKFLSKHIVNGTFSRLIDHYSAAGDVDKAVEV FGIAKKHNIEITAKVYTSLIKYTRSFISMRWCVDCVLSCSLGKANGKIDLAVDYALQA GYDLLLSFHRRDFHHLAHLGHVL H257_17577 MKCYLRDQGYKRGNRKGSSTYHLSKANAIARDVYVRKMQALVDA PDGPHVVYTDESYIHHHYKSHHLALYDPSNTMDLPSKEKHKGRRYCFVAAILDSPTLE IKFMAINIFTDGNSTAKQPKDYHGMFDTEYYVPWFGQPLNEMDEGGITNALIVFHKSL PKTTQSSGRRKGVLVDACRAYGIPTRGHEHKSELWGLLATYIRAHVKPIITDMAESRG HIIVFTPPHHSDLQLIEIVWAIVKGEVGRQYKDMTKFPDVKLRLEAAFANLKPSTIKG CVRSAQEKLQLLHNHLVQVDALESESSSAASGNSSDDGSNSDD H257_17578 MQVGLAACPIFLTADAPHGSPTRLIRRRHGADLSNRSDEPAECG DAAEGGRGPLVAPDATVDPRFNTSVRVTGRECVQYYVGLMLVTSDGIELGTVSVSDGL PRCSMC H257_17579 MECANGCVTLIYQGAAVLKGAFGSLRTSDGHVLGTVSVADTAPR LRMSPQHRQAMDTFHDNVVALIEDRLAVALQEH H257_17580 MPFCGGFSVLENVQVDPANVFDVQLCLGCVAETAVPVVPPPSAP SQPSPTERPPPPPTVEPIVLRERLSFNPDADAMMRTMTLHPQLSSSLRTPMASKDHSH QPQRYVVPLLEMEAANIEEGDEDEGSDDEYDRRRRYRTSTSHSTTTLQEDHPYDIADN QSSSPPPSSQQQQQQQPQVCVDMECANGCVTLIYQGAAVLKGAFGSLRTSDGHVLGTV SVADTAPRLRMSPQHRQAMDTFHDNVVALIEDRLACLNHAVLWGCSVLQNVQVGPANV FELQLCLGYVAETAVPVAPPPSAPSQPSPTERPPPPPTVEPIVLRERLSFNPDADAMM RTMTLHPQLSSSLRTPMASKDHSHQPQRYVVPLLEMEAANIEEGDEDEGSDDEYDRRR RYRTSTSHSTTTLQEDHPYDIADNQSSSPPPSSQQQQQQQPR H257_17582 MFDGQVGRRTRVSMGGKKLPAKELSKHDFVIAAREERVKRARSR LVHETSTKLQAWFRGCRTRAITRASLQHAVVAKCNDVATLQRMYTFAIPVPVLTRLVQ ETIFVGRLWQPPATADAVVVPCSVLGLVQQSWTALQIEWDRSPSSKHEWTVRVASLCS LVSRLRPSDLQGILPLVAESLPHALYLWAIRPSFGFFDAVVEAWTRDRHHQFPALAPY VDTLVQSYALHEAFACTLMSLPLSAQQPALKPWLDASYLHLPANTLWITSPWQQAVVV GNLLDLLNSYNQVETTAVTLLVQYTCQSVVDFALNSSTIQTQLQLIASRSIVSKLWLS ALQQPTPRLVYGVAQVYAWLLTGHPSSSPLVSTVLFTISSSSAILRHVFRLLQSLPSP LWLIFCSSFGSYIDTSDAHTLSNHFPQIPELVTLLSHTLYGILWRESPTVYSIESEAQ LSAMVHLFNQLHARVESIALWPSLPIPPDVMTFEEEKNDKTVKVFFESNTRAKLQYVL TTIPQVVPFETRVALFHSYLHLDKQNVPNRHVFAALVPLRIQREHIVTDSFEQFHAIQ SLKGRLQITFVNAQGLEEAGVDGGGVFKEYIDTLTKTAFSTEFPYFLATDDHQLYPNP QAHLSSDDAVAYFRFLGRLLAKAMYEEILIEPQFALFFLKKLLGQFNSLDDLRSLDAQ MYRHVLELKTIPDVESLGLTFTAASGPHSVHELETNGGEVAVTGENVIRYIHKLADFK LNVQIAAASRAFLVGFHDLIPSTWLRLFSPTELQMLIGGATYDVNVADWQAHTTYGGG YHPSQPRIQWFWEILTDMSPLERGDLLRFITSCSRQPLLGFKHLNPLICIQQVRTTDD DRLPSSATCMNLLKLPTYSTKQIMRAKLVYAIQAKAGFELS H257_17582 MFDGQVGRRTRVSMGGKKLPAKELSKHDFVIAAREERVKRARSR LVHETSTKLQAWFRGCRTRAITRASLQHAVVAKCNDVATLQRMYTFAIPVPVLTRLVQ ETIFVGRLWQPPATADAVVVPCSVLGLVQQSWTALQIEWDRSPSSKHEWTVRVASLCS LVSRLRPSDLQGILPLVAESLPHALYLWAIRPSFGFFDAVVEAWTRDRHHQFPALAPY VDTLVQSYALHEAFACTLMSLPLSAQQPALKPWLDASYLHLPANTLWITSPWQQAVVV GNLLDLLNSYNQVETTAVTLLVQYTCQSVVDFALNSSTIQTQLQLIASRSIVSKLWLS ALQQPTPRLVYGVAQVYAWLLTGHPSSSPLVSTVLFTISSSSAILRHVFRLLQSLPSP LWLIFCSSFGSYIDTSDAHTLSNHFPQIPELVTLLSHTLYGILWRESPTVYSIESEAQ LSAMVHLFNQLHARVESIALWPSLPIPPDVMTFEEEKNDKTVKVFFESNTRAKLQYVL TTIPQVVPFETRVALFHSYLHLDKQNVPNRHVFAALVPLRIQREHIVTDSFEQFHAIQ SLKGRLQITFVNAQGLEEAGVDGGGVFKEYIDTLTKTAFSTEFPYFLATDDHQLYPNP QAHLSSDDAVAYFRFLGRLLAKAMYEEILIEPQFALFFLKKLLGQFNSLDDLRSLDAQ MYRHVLELKTIPDVESLGLTFTAASGPHSVHELETNGGEVAVTGENVIRYIHKLADFK LNVQIAAASRAFLVGFHDLIPSTWLRLFSPVR H257_17583 MLSTTNAATYGATKSNAQHAHLLQDKDASRIIHIAKKGHVAPEA HLKDGGHIKSAVYGGLDGIITTFATVTSVAGSGLPHSVILILGLAHLVADGMSMGMGD VLSSQAEIDLVNHERAREMWEFDNFPEGEMDEMVELYEQKGIATEDAKLVVNTLAKYK EAFIDIMMVEELNLMPVDEDDNPVIGGLVTFGSFVLFGAVPLFSYLVNLLPGVQLTSE EALWGSCVLTALTLFLLGAVKGQYVGQKWFVSGMYMAVNGTVAAGTGWIIGYLLQLTG VQNVTMG H257_17584 MVTPMILFGDFTAEETQEVLAISHVNLPQKPKPFSWSNLSKPTV AAASHPSPFTPATPVGTIEAKPAISLEVAFEQVLTELDLHESAKDLQRRGFVNQGNTC FQNVTFQALLACPPFRNLLCHLSSKVGDISSVSSHQASLVGWKHLVTLVRELDEPTLA QQRTIMRRTSAAPVILSPYFLRLFQSANGMQHDALEFLEFLLEHLHVDYEASHSTFPL TSPSPCDTVSPLDGWSEIQKHGKAAAVHHNIVDTHSPITYLFKGTLRSELIRAGRKVG AATIEPFHCLHLTTPSTDSATLSQMIRATMEDEALDQSTLKRTAFETLPVVLSLHVKR FTYDPVQGPLKLNTIVQYPTDLELPPSLFSPTLLHHTKKAAKYKLFSVISHHGLHAVG GHYTAVCCDAKDQWSLYDDDTVQYITKQAALAEHAYLLFYLRV H257_17584 MVTPMILFGDFTAEETQEVLAISHVNLPQKPKPFSWSNLSKPTV AAASHPSPFTPATPVGTIEAKPAISLEVAFEQVLTELDLHESAKDLQRRGFVNQGNTC FQNVTFQALLACPPFRNLLCHLSSKVGDISSVSSHQASLVGWKHLVTLVRELDEPTLA QQRTIMRRTSAAPVILSPYFLRLFQSANGMQHDALEFLEFLLEHLHVDYEASHSTFPL TSPSPCDTVSPLDGWSEIQKHGKAAAVHHNIVDTHSPITYLFKGTLRSELIRAGRKVG AATIEPFHCLHLTTPSTDSATLSQMIRATMEDEALDQSTLKRTAFETLPVVLSLHVKR FTYDPVQGPLKLNTIVQYPTDLELPPSLFSPTLLHHTKKAAKYKLFSVISHHGLHAVG GHYTAVCCDAKDQWSLYDDDTVQYITKQAALAEHAYLLFYLRV H257_17585 MLLNKTNTPTSYGSTKPGVALMYQAQQAHRENDVEASRSVHIAK IGHACEAHLKDGGHIKSAVYGGLDGIITTFATVTSVAGSGLPHSVILILGLAHLVADG MSMGMGDVLSSQAEIDLVNHERAREMWEFDNFPEGEMDEMVELYEQKGIATEDAKLVV NTLAKYKEAFIDIMMVEELNLMPVDEDDNPVIGGLVTFGSFVLFGAVPLFSYLVNLLP GVQLTSEEALWGSCVLTALTLFLLGAVKGQYVGQKWFVSGMYMAVNGTVAAGTGWIIG YLLQLTGVQNVTMG H257_17586 MHVYFSVDQRHHGVGPVKYAWDPTGNFLASTGSSRVVHIFDRRG ELVDQIVPPSPSICTHVAWSNSRDRKVHGLTLAVSQANSSVLVLWSAATHTTHHVDVN VKEITLLLWNKAKSTTMEGVEDNCMLLAIGTSRGQVVFYDMPDEVVSSARVHRVALRP ALAKHKKKILCGDWNAQNEFAFGSEDRQVSICYGKDGSVIDQVKIKAAPVGIHFGGRR STAVKRIVSVNMGRETILLYDLNEKDNALELAFQARYGDIVDYRWFGAGYIVAGFSLG YVVVISTHMNEIGQEQYCAKFHDTWLHAICYNEINGMVATCGDACIKVVRMADWKEIA MENLHRDAAAFDDLNWTVDGRLLSVSCHNGWLHHFKLVHMEQACTTLDSAALPSAAHL IAAILTPFTPPVLVFTVVSMVGCITLAMSWALEVSVWQLLQAMFGFGLRL H257_17586 MGSDGKLPRVYGKLPRGPHLRPTRGARGPNRAAVAVDLYPCGME QLARPQGPRVNPGSVASKLVGARVVVRGNAHDPSRGREREGDHAALMEQSQVHHHGRC TYHVDSMSCSCMCVGVEDNCMLLAIGTSRGQVVFYDMPDEVVSSARVHRVALRPALAK HKKKILCGDWNAQNEFAFGSEDRQVSICYGKDGSVIDQVKIKAAPVGIHFGGRRSTAV KRIVSVNMGRETILLYDLNEKDNALELAFQARYGDIVDYRWFGAGYIVAGFSLGYVVV ISTHMNEIGQEQYCAKFHDTWLHAICYNEINGMVATCGDACIKVVRMADWKEIAMENL HRDAAAFDDLNWTVDGRLLSVSCHNGWLHHFKLVHMEQACTTLDSAALPSAAHLIAAI LTPFTPPVLVFTVVSMVGCITLAMSWALEVSVWQLLQAMFGFGLRL H257_17586 MHVYFSVDQRHHGVGPVKYAWDPTGNFLASTGSSRVVHIFDRRG ELVDQIVPPSPSICTHVAWSNSRDRKVHGLTLAVSQANSSVLVLWSAATHTTHHVDVN VKEITLLLWNKAKSTTMEGVEDNCMLLAIGTSRGQVVFYDMPDEVVSSARVHRVALRP ALAKHKKKILCGDWNAQNEFAFGSEDRQVSICYGKDGSVIDQVKIKAAPVGIHFGGRR STAVKRIVSVNMGRETILLYDLNEKDNALELAFQARYGDIVDYRWFGAGYIVAGFSLG YVVVISTHMNEIGQEQYCAKFHDTWLHAICYNEINGMVATCGDACIKVVRMADWKVRT RTCFVRGINHDDVYVGDCDGELAPRRRRVRRLELDR H257_17587 MHEQEDELAMEFVKEGCDCHRPVFEPKYLRTHRAGSVKLIRCFP HCCPSHSFASFCTSSIGLTVSSQDVDTVAFLRFQSAATSTLDRGAVISISDVRTSDNV KGEWIPSESRSLLVATTATLFRFNHVHHVGWHYGWMGTSTKAHRTSPHHLVAYVLQRS ASSSTTKAAAASDGGRFVVRGVLTSPSFIVMSYRRACYACQKHRNHTASTKCECEGEF NVSSTSAPSPPPPSTSTTSQERPLSSTAIENDLRSILHCVQLTPLGALVRYLPAIESH LARLVLGHNYKVAASLLASLVHASPHRRHQGEQQVDGLVVECVLQALTSAFSNNYRTH FGHYATFLFDKPALIDAYNHWVAWWSSQVTHRLASHSNASLAELARRINKHAASPMLS MSDGVEYFVAQLREVYLGTAGHPSKMPFPPNNALGTMHGLWTFSHVHGGIDLRLPMSL LTVLRCMSMLYVMDIGTAKDECGASVLHVRAPVPLYNTIGSIFVVDGTPRVFRAFPNG ESTMTNMSGFIHGDYIANASSPHDAVEVRVFSWPVDAAFAYVTRCRAAVAPGSTQMSL DIQVCRFPVEASLDWSTMTSIERCSHYRRDMEAPVFQGCFVYIPHLEIP H257_17587 MHEQEDELAMEFVKEGCDCHRPVFEPKYLRTHRAGSVKLIRCFP HCCPSHSFASFCTSSIGLTVSSQDVDTVAFLRFQSAATSTLDRGAVISISDVRTSDNV KGEWIPSESRSLLVATTATLFRFNHVHHVGWHYGWMGTSTKAHRTSPHHLVAYVLQRS ASSSTTKAAAASDGGRFVVRGVLTSPSFIVMSYRRACYACQKHRNHTASTKCECEGEF NVSSTSAPSPPPPSTSTTSQERPLSSTAIENDLRSILHCVQLTPLGALVRYLPAIESH LARLVLGHNYKVAASLLASLVHASPHRRTCLLLPPSSSHMFVGHQGEQQVDGLVVECV LQALTSAFSNNYRTHFGHYATFLFDKPALIDAYNHWVAWWSSQVTHRLASHSNASLAE LARRINKHAASPMLSMSDGVEYFVAQLREVYLGTAGHPSKMPFPPNNALGTMHGLWTF SHVHGGIDLRLPMSLLTVLRCMSMLYVMDIGTAKDECGASVLHVRAPVPLYNTIGSIF VVDGTPRVFRAFPNGESTMTNMSGFIHGDYIANASSPHDAVEVRVFSWPVDAAFAYVT RCRAAVAPGSTQMSLDIQVCRFPVEASLDWSTMTSIERCSHYRRDMEAPVFQGCFVYI PHLEIP H257_17587 MHEQEDELAMEFVKEGCDCHRPVFEPKYLRTHRAGSVKLIRCFP HCCPSHSFASFCTSSIGLTVSSQDVDTVAFLRFQSAATSTLDRGAVISISDVRTSDNV KGEWIPSESRSLLVATTATLFRFNHVHHVGWHYGWMGTSTKAHRTSPHHLVAYVLQRS ASSSTTKAAAASDGGRFVVRGVLTSPSFIVMSYRRACYACQKHRNHTASTKCECEGEF NVSSTSAPSPPPPSTSTTSQGRRLVVLVVVVASYPSFDHHSRRTIERPLSSTAIENDL RSILHCVQLTPLGALVRYLPAIESHLARLVLGHNYKVAASLLASLVHASPHRRHQGEQ QVDGLVVECVLQALTSAFSNNYRTHFGHYATFLFDKPALIDAYNHWVAWWSSQVTHRL ASHSNASLAELARRINKHAASPMLSMSDGVEYFVAQLREVYLGTAGHPSKMPFPPNNA LGTMHGLWTFSHVHGGIDLRLPMSLLTVLRCMSMLYVMDIGTAKDECGASVLHVRAPV PLYNTIGSIFVVDGTPRVFRAFPNGESTMTNMSGFIHGDYIANASSPHDAVEVRVFSW PVDAAFAYVTRCRAAVAPGSTQMSLDIQVCRFPVEASLDWSTMTSIERCSHYRRDMEA PVFQGCFVYIPHLEIP H257_17587 MHEQEDELAMEFVKEGCDCHRPVFEPKYLRTHRAGSVKLIRCFP HCCPSHSFASFCTSSIGLTVSSQDVDTVAFLRFQSAATSTLDRGAVISISDVRTSDNV KGEWIPSESRSLLVATTATLFRFNHVHHVGWHYGWMGTSTKAHRTSPHHLVAYVLQRS ASSSTTKAAAASDGGRFVVRGVLTSPSFIVMSYRRACYACQKHRNHTASTKCECEGEF NVSSTSAPSPPPPSTSTTSQGRRLVVLVVVVASYPSFDHHSRRTIERPLSSTAIENDL RSILHCVQLTPLGALVRYLPAIESHLARLVLGHNYKVAASLLASLVHASPHRRTCLLL PPSSSHMFVGHQGEQQVDGLVVECVLQALTSAFSNNYRTHFGHYATFLFDKPALIDAY NHWVAWWSSQVTHRLASHSNASLAELARRINKHAASPMLSMSDGVEYFVAQLREVYLG TAGHPSKMPFPPNNALGTMHGLWTFSHVHGGIDLRLPMSLLTVLRCMSMLYVMDIGTA KDECGASVLHVRAPVPLYNTIGSIFVVDGTPRVFRAFPNGESTMTNMSGFIHGDYIAN ASSPHDAVEVRVFSWPVDAAFAYVTRCRAAVAPGSTQMSLDIQVCRFPVEASLDWSTM TSIERCSHYRRDMEAPVFQGCFVYIPHLEIP H257_17587 MGTSTKAHRTSPHHLVAYVLQRSASSSTTKAAAASDGGRFVVRG VLTSPSFIVMSYRRACYACQKHRNHTASTKCECEGEFNVSSTSAPSPPPPSTSTTSQE RPLSSTAIENDLRSILHCVQLTPLGALVRYLPAIESHLARLVLGHNYKVAASLLASLV HASPHRRHQGEQQVDGLVVECVLQALTSAFSNNYRTHFGHYATFLFDKPALIDAYNHW VAWWSSQVTHRLASHSNASLAELARRINKHAASPMLSMSDGVEYFVAQLREVYLGTAG HPSKMPFPPNNALGTMHGLWTFSHVHGGIDLRLPMSLLTVLRCMSMLYVMDIGTAKDE CGASVLHVRAPVPLYNTIGSIFVVDGTPRVFRAFPNGESTMTNMSGFIHGDYIANASS PHDAVEVRVFSWPVDAAFAYVTRCRAAVAPGSTQMSLDIQVCRFPVEASLDWSTMTSI ERCSHYRRDMEAPVFQGCFVYIPHLEIP H257_17587 MGTSTKAHRTSPHHLVAYVLQRSASSSTTKAAAASDGGRFVVRG VLTSPSFIVMSYRRACYACQKHRNHTASTKCECEGEFNVSSTSAPSPPPPSTSTTSQE RPLSSTAIENDLRSILHCVQLTPLGALVRYLPAIESHLARLVLGHNYKVAASLLASLV HASPHRRTCLLLPPSSSHMFVGHQGEQQVDGLVVECVLQALTSAFSNNYRTHFGHYAT FLFDKPALIDAYNHWVAWWSSQVTHRLASHSNASLAELARRINKHAASPMLSMSDGVE YFVAQLREVYLGTAGHPSKMPFPPNNALGTMHGLWTFSHVHGGIDLRLPMSLLTVLRC MSMLYVMDIGTAKDECGASVLHVRAPVPLYNTIGSIFVVDGTPRVFRAFPNGESTMTN MSGFIHGDYIANASSPHDAVEVRVFSWPVDAAFAYVTRCRAAVAPGSTQMSLDIQVCR FPVEASLDWSTMTSIERCSHYRRDMEAPVFQGCFVYIPHLEIP H257_17587 MGTSTKAHRTSPHHLVAYVLQRSASSSTTKAAAASDGGRFVVRG VLTSPSFIVMSYRRACYACQKHRNHTASTKCECEGEFNVSSTSAPSPPPPSTSTTSQG RRLVVLVVVVASYPSFDHHSRRTIERPLSSTAIENDLRSILHCVQLTPLGALVRYLPA IESHLARLVLGHNYKVAASLLASLVHASPHRRHQGEQQVDGLVVECVLQALTSAFSNN YRTHFGHYATFLFDKPALIDAYNHWVAWWSSQVTHRLASHSNASLAELARRINKHAAS PMLSMSDGVEYFVAQLREVYLGTAGHPSKMPFPPNNALGTMHGLWTFSHVHGGIDLRL PMSLLTVLRCMSMLYVMDIGTAKDECGASVLHVRAPVPLYNTIGSIFVVDGTPRVFRA FPNGESTMTNMSGFIHGDYIANASSPHDAVEVRVFSWPVDAAFAYVTRCRAAVAPGST QMSLDIQVCRFPVEASLDWSTMTSIERCSHYRRDMEAPVFQGCFVYIPHLEIP H257_17587 MGTSTKAHRTSPHHLVAYVLQRSASSSTTKAAAASDGGRFVVRG VLTSPSFIVMSYRRACYACQKHRNHTASTKCECEGEFNVSSTSAPSPPPPSTSTTSQG RRLVVLVVVVASYPSFDHHSRRTIERPLSSTAIENDLRSILHCVQLTPLGALVRYLPA IESHLARLVLGHNYKVAASLLASLVHASPHRRTCLLLPPSSSHMFVGHQGEQQVDGLV VECVLQALTSAFSNNYRTHFGHYATFLFDKPALIDAYNHWVAWWSSQVTHRLASHSNA SLAELARRINKHAASPMLSMSDGVEYFVAQLREVYLGTAGHPSKMPFPPNNALGTMHG LWTFSHVHGGIDLRLPMSLLTVLRCMSMLYVMDIGTAKDECGASVLHVRAPVPLYNTI GSIFVVDGTPRVFRAFPNGESTMTNMSGFIHGDYIANASSPHDAVEVRVFSWPVDAAF AYVTRCRAAVAPGSTQMSLDIQVCRFPVEASLDWSTMTSIERCSHYRRDMEAPVFQGC FVYIPHLEIP H257_17588 MEYPHPIGDAACIHSPIGRMTEQPSTPPALVFAKEDCACKETLF SLKYLRTHRAGSLKLIRCFPHCCPSHSFGNFCSTSIDLKVTGATTDNVAYVHFQPTTD RILEPNDIIDAAIVVNNTRSMDNLKGEWIPSLYHYYHETRNETIYHFNQNNTFGWHYG WVGTSTKAHRTCPHRLVGYMFSSVSGHAKPMLRVVHATMSPAFIVMSYRRACYFCQKH RVPSSLPLDASPMSSACECEGEFNISTHHPAHTQPCTPQHTSTLHLGHVYRVDPSKQL KLPSSSSSSSPLPLPPPTESLERDLHVLYAFMSVPSINFFAAHMPSLESRVLKSLLHP LGVSLGLNTYQKRLLGFPAFLRPSFPGNKDRLHHHSNTLASLQSVALDLLLSLFTFES LKQSEMAFATATPHLLHRDKLLSAYQSWLSNLHANLTTRLYPLNLTIPDLVAHIQDAA AVVPLLHPLLSSTSLLTEYDDGFDYFVAQLREVYMAQQDAHRHVVSGYFPDSDMVPGS GFNGQFLFDRTLSVVYEENSPPDEDISLPTLLRCLTIGYAFTLRHTTRPSLQITSSLR PFATIPSEFMLDSTPRVFRVFPNGESSMARLAGLSHGDYIATYSLNTVSLDLFSWPRS ADRSMVSRVSIVLARNGTGLTAVVSVTHADAAPTVDYAALNASQRYATYDKLRETPSA TFRFGYTRLK H257_17588 MEYPHPIGDAACIHSPIGRMTEQPSTPPALVFAKEDCACKETLF SLKYLRTHRAGSLKLIRCFPHCCPSHSFGNFCSTSIDLKVTGATTDNVAYVHFQPTTD RILEPNDIIDAAIVVNNTRSMDNLKGEWIPSLYHYYHETRNETIYHFNQNNTFGWHYG WVGTSTKAHRTCPHRLVGYMFSSVSGHAKPMLRVVHATMSPAFIVMSYRRACYFCQKH RVPSSLPLDASPMSSACECEGEFNISTHHPAHTQPYPSKQLKLPSSSSSSSPLPLPPP TESLERDLHVLYAFMSVPSINFFAAHMPSLESRVLKSLLHPLGVSLGLNTYQKRLLGF PAFLRPSFPGNKDRLHHHSNTLASLQSVALDLLLSLFTFESLKQSEMAFATATPHLLH RDKLLSAYQSWLSNLHANLTTRLYPLNLTIPDLVAHIQDAAAVVPLLHPLLSSTSLLT EYDDGFDYFVAQLREVYMAQQDAHRHVVSGYFPDSDMVPGSGFNGQFLFDRTLSVVYE ENSPPDEDISLPTLLRCLTIGYAFTLRHTTRPSLQITSSLRPFATIPSEFMLDSTPRV FRVFPNGESSMARLAGLSHGDYIATYSLNTVSLDLFSWPRSADRSMVSRVSIVLARNG TGLTAVVSVTHADAAPTVDYAALNASQRYATYDKLRETPSATFRFGYTRLK H257_17588 MEYPHPIGDAACIHSPIGRMTEQPSTPPALVFAKEDCACKETLF SLKYLRTHRAGSLKLIRCFPHCCPSHSFGNFCSTSIDLKVTGATTDNVAYVHFQPTTD RILEPNDIIDAAIVVNNTRSMDNLKGEWIPSLYHYYHETRNETIYHFNQNNTFGWHYG WVGTSTKAHRTCPHRLVGYMFSSVSGHAKPMLRVVHATMSPAFIVMSYRRACYFCQKH RVPSSLPLDASPMSSACECEGEFNISTHHPAHTQPYPSKQLKLPSSSSSSSPLPLPPP TESLERDLHVLYAFMSVPSINFFAAHMPSLESRVLKSLLHPLGVSLGLNTYQKRLLGF PAFLRPSFPGNKDRLHHHSNTLASLQSVALDLLLSLFTFESLKQSEMAFATATPHLLH RDKLLSAYQSWLSNLHANLTTRLYPLNLTIPDLVAHIQDAAAVVPLLHPLLSSTSLLT EYDDGFDYFVAQLREVYMAQQDAHRHVVSGYFPDSDMVPGSGFNGQFLFDRTLSVVYE ENSPPDEDISLPTLLRCLTIGYAFTLRHTTRPSLQVSHIPSNNRIHPIILYALESYTL PNVLNPCLDHIQLAAVCDDPVRVYAGLDAAGVSGVSKWRKQHGEAGGAVPWRLHCHVL VKHGVIGPV H257_17588 MEYPHPIGDAACIHSPIGRMTEQPSTPPALVFAKEDCACKETLF SLKYLRTHRAGSLKLIRCFPHCCPSHSFGNFCSTSIDLKVTGATTDNVAYVHFQPTTD RILEPNDIIDAAIVVNNTRSMDNLKGEWIPSLYHYYHETRNETIYHFNQNNTFGWHYG WVGTSTKAHRTCPHRLVGYMFSSVSGHAKPMLRVVHATMSPAFIVMSYRRACYFCQKH RVPSSLPLDASPMSSACECEGEFNISTHHPAHTQPCTPQHTSTLHLGHVYRVDPSKQL KLPSSSSSSSPLPLPPPTESLERDLHVLYAFMSVPSINFFAAHMPSLESRVLKSLLHP LGVSLGLNTYQKRLLGFPAFLRPSFPGNKDRLHHHSNTLASLQSVALDLLLSLFTFES LKQSEMAFATATPHLLHRDKLLSAYQSWLSNLHANLTTRLYPLNLTIPDLVAHIQDAA AVVPLLHPLLSSTSLLTEYDDGFDYFVAQLREVYMAQQDAHRHVVSGYFPDSDMVPGS GFNGQFLFDRTLSVVYEENSPPDEDISLPTLLRCLTIGYAFTLRHTTRPSLQNRIPSR TC H257_17588 MEYPHPIGDAACIHSPIGRMTEQPSTPPALVFAKEDCACKETLF SLKYLRTHRAGSLKLIRCFPHCCPSHSFGNFCSTSIDLKVTGATTDNVAYVHFQPTTD RILEPNDIIDAAIVVNNTRSMDNLKGEWIPSLYHYYHETRNETIYHFNQNNTFGWHYG WVGTSTKAHRTCPHRLVGYMFSSVSGHAKPMLRVVHATMSPAFIVMSYRRACYFCQKH RVPSSLPLDASPMSSACECEGEFNISTHHPAHTQPYPSKQLKLPSSSSSSSPLPLPPP TESLERDLHVLYAFMSVPSINFFAAHMPSLESRVLKSLLHPLGVSLGLNTYQKRLLGF PAFLRPSFPGNKDRLHHHSNTLASLQSVALDLLLSLFTFESLKQSEMAFATATPHLLH RDKLLSAYQSWLSNLHANLTTRLYPLNLTIPDLVAHIQDAAAVVPLLHPLLSSTSLLT EYDDGFDYFVAQLREVYMAQQDAHRHVVSGYFPDSDMVPGSGFNGQFLFDRTLSVVYE ENSPPDEDISLPTLLRCLTIGYAFTLRHTTRPSLQNRIPSRTC H257_17588 MEYPHPIGDAACIHSPIGRMTEQPSTPPALVFAKEDCACKETLF SLKYLRTHRAGSLKLIRCFPHCCPSHSFGNFCSTSIDLKVTGATTDNVAYVHFQPTTD RILEPNDIIDAAIVVNNTRSMDNLKGEWIPSLYHYYHETRNETIYHFNQNNTFGWHYG WVGTSTKAHRTCPHRLVGYMFSSVSGHAKPMLRVVHATMSPAFIVMSYRRACYFCQKH RVPSSLPLDASPMSSACECEGEFNISTHHPAHTQPYPSKQLKLPSSSSSSSPLPLPPP TESLERDLHVLYAFMSVPSINFFAAHMPSLESRVLKSLLHPLGVSLGLNTYQKRLLGF PAFLRPSFPGNKDRLHHHSNTLASLQSVALDLLLSLFTFESLKQSEMAFATATPHLLH RDKLLSAYQSWLSNLHANLTTRLYPLNLTIPDLVAHIQDAAAVVPLLHPLLSSTSLLT EYDDGFDYFVAQLREVYMVRHSKWMRLSCTRCR H257_17588 MEYPHPIGDAACIHSPIGRMTEQPSTPPALVFAKEDCACKETLF SLKYLRTHRAGSLKLIRCFPHCCPSHSFGNFCSTSIDLKVTGATTDNVAYVHFQPTTD RILEPNDIIDAAIVVNNTRSMDNLKGEWIPSLYHYYHETRNETIYHFNQNNTFGWHYG WVGTSTKAHRTCPHRLVGYMFSSVSGHAKPMLRVVHATMSPAFIVMSYRRACYFCQKH RVPSSLPLDASPMSSACECEGEFNISTHHPAHTQPYPSKQLKLPSSSSSSSPLPLPPP TESLERDLHVLYAFMSVPSINFFAAHMPSLESRVLKSLLHPLGVSLGLNTYQKRLLGF PAFLRPSFPGNKDRLHHHSNTLASLQSVALDLLLSLFTFESLKQSEMAFATATPHLLH RDKLLSAYQSWLSNLHANLTTRLYPLNLTIPDLVAHIQDAAAVVPLLHPLLSSTSLLT EYDDGFDYFVAQLREVYMVRHSKWMRLSCTRCR H257_17588 MEYPHPIGDAACIHSPIGRMTEQPSTPPALVFAKEDCACKETLF SLKYLRTHRAGSLKLIRCFPHCCPSHSFGNFCSTSIDLKVTGATTDNVAYVHFQPTTD RILEPNDIIDAAIVVNNTRSMDNLKGEWIPSLYHYYHETRNETIYHFNQNNTFGWHYG WVGTSTKAHRTCPHRLVGYMFSSVSGHAKPMLRVVHATMSPAFIVMSYRRACYFCQKH RVPSSLPLDASPMSSACECEGEFNISTHHPAHTQPYPSKQLKLPSSSSSSSPLPLPPP TESLERDLHVLYAFMSVPSINFFAAHMPSLESRVLKSLLHPLGVSLGLNTYQKRLLGF PAFLRPSFPGNKDRLHHHSNTLASLQSVALDLLLSLFTFESLKQSEMAFATATPHLLH RDKLLSAYQSWLSNLHANLTTRLYPLNLTIPDLVAHIQDAAAVVPLLHPLLSSTSLLT EYDDGFDYFVAQLREVYMVRHSKWMRLSCTRCR H257_17588 MHSTHRAGSLKLIRCFPHCCPSHSFGNFCSTSIDLKVTGATTDN VAYVHFQPTTDRILEPNDIIDAAIVVNNTRSMDNLKGEWIPSLYHYYHETRNETIYHF NQNNTFGWHYGWVGTSTKAHRTCPHRLVGYMFSSVSGHAKPMLRVVHATMSPAFIVMS YRRACYFCQKHRVPSSLPLDASPMSSACECEGEFNISTHHPAHTQPYPSKQLKLPSSS SSSSPLPLPPPTESLERDLHVLYAFMSVPSINFFAAHMPSLESRVLKSLLHPLGVSLG LNTYQKRLLGFPAFLRPSFPGNKDRLHHHSNTLASLQSVALDLLLSLFTFESLKQSEM AFATATPHLLHRDKLLSAYQSWLSNLHANLTTRLYPLNLTIPDLVAHIQDAAAVVPLL HPLLSSTSLLTEYDDGFDYFVAQLREVYMAQQDAHRHVVSGYFPDSDMVPGSGFNGQF LFDRTLSVVYEENSPPDEDISLPTLLRCLTIGYAFTLRHTTRPSLQITSSLRPFATIP SEFMLDSTPRVFRVFPNGESSMARLAGLSHGDYIATYSLNTVSLDLFSWPRSADRSMV SRVSIVLARNGTGLTAVVSVTHADAAPTVDYAALNASQRYATYDKLRETPSATFRFGY TRLK H257_17588 MHSTHRAGSLKLIRCFPHCCPSHSFGNFCSTSIDLKVTGATTDN VAYVHFQPTTDRILEPNDIIDAAIVVNNTRSMDNLKGEWIPSLYHYYHETRNETIYHF NQNNTFGWHYGWVGTSTKAHRTCPHRLVGYMFSSVSGHAKPMLRVVHATMSPAFIVMS YRRACYFCQKHRVPSSLPLDASPMSSACECEGEFNISTHHPAHTQPYPSKQLKLPSSS SSSSPLPLPPPTESLERDLHVLYAFMSVPSINFFAAHMPSLESRVLKSLLHPLGVSLG LNTYQKRLLGFPAFLRPSFPGNKDRLHHHSNTLASLQSVALDLLLSLFTFESLKQSEM AFATATPHLLHRDKLLSAYQSWLSNLHANLTTRLYPLNLTIPDLVAHIQDAAAVVPLL HPLLSSTSLLTEYDDGFDYFVAQLREVYMAQQDAHRHVVSGYFPDSDMVPGSGFNGQF LFDRTLSVVYEENSPPDEDISLPTLLRCLTIGYAFTLRHTTRPSLQNRIPSRTC H257_17588 MFSSVSGHAKPMLRVVHATMSPAFIVMSYRRACYFCQKHRVPSS LPLDASPMSSACECEGEFNISTHHPAHTQPCTPQHTSTLHLGHVYRVDPSKQLKLPSS SSSSSPLPLPPPTESLERDLHVLYAFMSVPSINFFAAHMPSLESRVLKSLLHPLGVSL GLNTYQKRLLGFPAFLRPSFPGNKDRLHHHSNTLASLQSVALDLLLSLFTFESLKQSE MAFATATPHLLHRDKLLSAYQSWLSNLHANLTTRLYPLNLTIPDLVAHIQDAAAVVPL LHPLLSSTSLLTEYDDGFDYFVAQLREVYMAQQDAHRHVVSGYFPDSDMVPGSGFNGQ FLFDRTLSVVYEENSPPDEDISLPTLLRCLTIGYAFTLRHTTRPSLQITSSLRPFATI PSEFMLDSTPRVFRVFPNGESSMARLAGLSHGDYIATYSLNTVSLDLFSWPRSADRSM VSRVSIVLARNGTGLTAVVSVTHADAAPTVDYAALNASQRYATYDKLRETPSATFRFG YTRLK H257_17589 MVTLAFAKTNCTAHRDALFSYKYLRTHRTGSLKLIRCFPHCCPS HSYGNFCSTSIDLKLGGVAPLQDVYAFARFQEANMRVYQVGDVFDAQLILGSCRSLQN PKGEWMPSQSSYHNETSQEMVFQLNHNNNLGWHYGWVGSSNQVHRACLHHLVGYVVEY AATDPTKFRVVQSTSSPPFIVMSYRRACYVCQKHRLQQDRLAPPATPLECACEGEFNN TRHLSVVNTPLPLAKPLLHGLDDPVAMERHLSVFLTFLSTPSVHFFSRQLPNLHDRVV HRLLQPMVETLSQNHQRPPISVPMSVVRSGVVSMVSTCDDVESLKSFCFDLALSSLTL ASLHQHVAFFSTNAGHLLDRDALYDAFVQWIQWSHRILTNQLAPFNMTLPQLTKSIMQ SAAQLRELNPINECLRRLDQSTGDHNSPGFDYFVAQLREVYMAKNSPAAFPSPIASTT SNLNGRWMYDSTNSVTYEDATSPELDFSLTTFLRCLTMGYSFQLRLVNHVLQIKSDLS AFDTVWSEFLLDSTPRVFRVFPNGESSMTHLSGFLHGDYIGREPAADTSIYLDFFSWP LLDHQVNRVTILLHLTQESHLVVAVTVASASLDQGGPDDVATLSAPDRCASYNSEKEV ARANVSFLYRRPE H257_17589 MSYRRACYVCQKHRLQQDRLAPPATPLECACEGEFNNTRHLSVV NTPLPLAKPLLHGLDDPVAMERHLSVFLTFLSTPSVHFFSRQLPNLHDRVVHRLLQPM VETLSQNHQRPPISVPMSVVRSGVVSMVSTCDDVESLKSFCFDLALSSLTLASLHQHV AFFSTNAGHLLDRDALYDAFVQWIQWSHRILTNQLAPFNMTLPQLTKSIMQSAAQLRE LNPINECLRRLDQSTGDHNSPGFDYFVAQLREVYMAKNSPAAFPSPIASTTSNLNGRW MYDSTNSVTYEDATSPELDFSLTTFLRCLTMGYSFQLRLVNHVLQIKSDLSAFDTVWS EFLLDSTPRVFRVFPNGESSMTHLSGFLHGDYIGREPAADTSIYLDFFSWPLLDHQVN RVTILLHLTQESHLVVAVTVASASLDQGGPDDVATLSAPDRCASYNSEKEVARANVSF LYRRPE H257_17590 MGHAAMARTSLASSPVDHLSGQGKPDSISDCPHVHGKIQVMAKV VGTTVPKVPSSTRSCPRCGYSSNDVEIHPRKVRRHLPKQVPRVSRGS H257_17591 MLRRQAAPDVSTMADLREHVRACHTTLRNRHATPAACAGALDQV GKCLAFHHDRRNLNVEYFLSSFDYIVDNMVDRLEERRTHTQVLLSIVQLCFCSGLFCG ESFRPVADRIVVHVILLCTHTDARVAAKARECLTVWTERVSYDLHVVVQAGERLSSEE ESVNYCISFVDQIPTILGSWDPKELHDIDLFALIANCLMDDRQNVRRHGYEPLALLFE FHRAEYGDDPPLVHAYLEQLPKQVVDELLRQVPTSALAHAIRPLLVPRHAFPNAKKAM QSPVLSRKLQFYDTPSSYPDVVHHHLRHRASRANPRLEPDVPRSAFKARRPPPPHRKR RMYSGYGDDASLMHTVVGWVWFWVVVLLAAFGFMSLLWFLWQV H257_17592 MNLTLTRQNDVLWTASVLALMGLLRSSEDLRNGFLWEKFMHWAV FGLIFVFCGGTFLASLQILQYEPHQPWVQWLLRYPLWLFARWLFVHTCGNLAQSLCCC SPSACPIESSLAPLLQLSECGDGCSFWSERLYTTVSQAASLVAVELMLYAWDTRQQVA PYTVVAT H257_17592 MNLTLTRQNDVLWTASVLALMGLLRSSEDLRNGFLWEKFMHWAV FGLIFVFCGGTFLASLQILQYEPHQPWVQWLLRYPLWLFARWLFVHTCGNLAQSLCCC SPSACPIESSLAPLLQLSECGDGCSFWSERLYTTVSQAASLVAVVSPAPKVV H257_17593 MDTFYDFDSADDADGLFSDGDDGGVDVIGLLETTRQGTPPQQPQ PCKSKGNDDDDHGGVIADLSSDGSWDVRRPELVNVPSLDSTEMATIQPANAFSNVFLD FEVPIQTREIAPGIQTQALTTDTNPVKCDDFGDEFMPLLEEREIPRPIAESSPEKVPR QMPAEDRRASIVLDDNTILLHEATPKHAFIEFICAQPSSHNLQLSLHLPLHPDDQSQV DITYERLHQQTNGLTPSALLDQLKATKLFPYIVSMAQYDVALSRHSTNPILTLRNFQD IVTTWTNSNEPLTMVVPDQSQRLQVLLNGLNHHLDMDVHTRCKAEVHEQVWIKAAAKY DHSTSQKQSSPAIQSMSPNLKAILTSKQTQAFVARMQSDLAIRLTKEHAREAQRLHAV QLKETPEPRNPETPRIISQGSIRILKKNGWIAATADACIERMLLAKAEQQELNELERE RRLALDMQTGQRLFRPQVNPSKQQHWRHKRHGGHVCDELYALAQQKALKTAWIQEQST RAIDATVQTSVQMTAASKQRCEDRFDKDFTAQIASVDISPQELEFPSVTQMEHVLTWF GLLPPGPSFESDVTAVRNDVTDGDFRGWVKSVVLNMPKRSEIWKRCRRHYISRIQPTI AQSYHTKQKPQNPETPKPRTPQRSCHYTLHGKAADAVDLLSDRHNQEEVRVHELRVQL EDSATAECTFSPEIHSKFPQRNDSKDIGHRLYDLAIEQRQKRAQQLDQRQSPLDSPMQ TPPHDDDQLRLSATDRLELFYAVTAEPAPVAAFDKAVEGMRLAQLEKARKLQTIHASI APHLNVDTMRTADGRRTIPRPFGFYHKSSAKAYAVHAKKKSRRLRTLKPDQIGDHHSA VVNVHIAPSLDHSIALSQVQDAQALDNVVLAMTSVYALDSLQVAGLDSALHDFAGLDF SHVH H257_17594 MMRPEAYAEVQVTEIESEDAAPRVQSPTRRLHKKPEDQIQHVKP PVASSPLDNVTLPSNMPRRWRHGYQNLYETLYLTNTRDGQRCNVILMVLTVVSVTVAV VDSVSEVREAFNNGLVVVEMLFTLLFSVEYAMRLFCLQHPADYARSMYGVVDMVSILP TYLAFLTDDARPLMHLLVLRVFRILRVFRILKLVRFMEAASTLVDNIHQNKRRIAVFL CAAFAMVLVIGCAMYLIEGERHGFSNIPKSMYWTVVTLTTVGYGDITPKTVPGQLLAT IVMFMGYGLIACPMVLSAAAKSPLSLEDMGFRIMHCPSAPTCNPFMNLHQDDALFCRK CGAKLTAFL H257_17594 MMRPEAYAEVQVTEIESEDAAPRVQSPTRRLHKKPEDQIQHVKP PVASSPLDNVTLPSNMPRRWRHGYQNLYETLYLTNTRDGQRCNVILMVLTVVSVTVAV VDSVSEVREAFNNGLVVVEMLFTLLFSVEYAMRLFCLQHPADYARSMYGVVDMVSILP TYLAFLTDDARPLMHLLVLRVFRILRVFRILKLVRFMEAASTLVDNIHQNKRRIAVFL CAAFAMVLVIGCAMYLIEGERHGFSNIPKSMYWTVVTLTTVGYGDITPKTVPGQLLAT IVMFMGTFPSYWRFTQYFWTFCADSKSHTN H257_17595 MRMIATSQPKSAPSNPPSNMDTMWCLTFASRCCMWWRHCFNDTS NWCRRSSAVRARCSTTRSRFCCSSNCLRSSWTISLATSRWCISSASIMVAMSEVFESE NALPYSTSIRLR H257_17596 MTGAADMLLVKRRGLASNPLAGVMLVIAIKKGVQPSHSGQALGQ LERLSASPKAPLHCYPMSLLTDLNNHWEFTWFNDDHFVGSAGSEYRRTRLTSLWQP H257_17597 MNRPLLFALLLTAIFATTTTAWTCNSTKIVNWRASCDKLGSDDE KCKNRACHSALHYLVEGFVRDCYVQSGLGPASDLDKYIDLDNYCHGETPAPKPVPTTT TPVPTTTTPVPTTTTPVPTTTTLVPTTSTTPPTTTAAPTTTTNVAPTTVVPTIAPTST PVACGTVE H257_17598 MASIKTIFSGLQSPPQGVGDVKTAIHPRDASGFLSASTFSWCNR LISVGNERQLAPSDIWELQDSNKVGPLLQQYLAVYHAKHRGLLRAFFSIYKWKLVVIA VMQVVSSGCDLFGPAYVLPQVILTVSTSNWTRGVVLVVALFFVQMLSSLLKSHMNFMS EVIGIQFTACLRSMLFEKALKLSSKARKDKTAGDIANLFSVDVVNVMGLSANMNMAWI VPIQVGVTLYLIQQQVGWAIWAGFLALFSILMLSGGVGVFAGKAQRMILTCKDNRMKV INELFGAIQIVKLHAWEEKLTASVTELRRKELDALWLFVKTILVLITSVHTAPVLITV VVFATYAMWMGQLLTVTIVFTTLALFENLQAAFTALPMVFVATIQALVSVKRITFVLD MHETNPDNLVDGVVEDVHQPVVIRISDGEFGWEKESPLFKNLQWSIRQGEFVVVHGAV GSGKSSLCSILLGEMDKYDGNVVVAGRVAYVGQQSWIQNATIRHNILFGRPYDHVRYR KVLDACALSADLSNLPANDRTEIGLRGVTLSGGQKARVSLARACYADADIYILDAPLS AVDAIVANEIFTKCFQNLLKHKTVVLATHNPDIIHSSAIHRSFHIQDGSLVEDRPVNA MRLPHLSMMSSPTPSRDGFWDGDEDVSIILGRPVCDTVMPSMLLTPRRRMSAAGQNGQ NVLTVDEDRACGRVSQAVVTSYMSAIGGWPAVAAMVGMSLVTEAVRLSKDLWLTGWTN QSNSTSSSQDIKVETRYNMTIYAVLVLATCTAMIAQFGTVLVVGLRGSKRLFERMWTG LMASPMRFFDTNPIGRILNRCGDDVFQCDLMLPMSMAPILSQTAAAVGKVALSVGTIQ WMALVLPPLVFVYVKLGSYFIAPLREVNRIKKVTLSPLLTLVSEGVDGAIVIRAFGLD YQRRFYRLHDVAVENYSAASFASASLNQCFALRVAAISNSIVLVILLGCVVMSSSISA GILGLIVSYGLTIPANLAKLVNLWANLETALIAPERLHEYATLPSEGRRDTPFNLASW PTHGRITYTNVSFRYKPDDPLVLENVSFDVSGGEKIGIVGRTGAGKSSLIMTLFRIND VAAGEIAIDGVDIATIGLKQLRSSLAIIPQNPVLFKGPLRTYMDPFHDFTDDQLWAVL KKVHLTDRIVVSDAKLEQEVDENGENFSVGERQMLCLSRALLHNAKIVMLDEATAAID HATDKVLQKVIREELKTSTVLTIAHRLDTVLDYDRIFVFEQGQLVQNDTPAVLATQGG IFFDMIAQGGGYAHRFVQL H257_17599 MKTIVLLSLLTVSVFACDLTKIKDGMASCDSLGSADAKCNDRAC HKALHYLVDEDTIKCYVSLGLGPASDLNKYVDLDKYCHGEGPPPTNPPPATASPPTAP PTAPPTAPPTAPPTAPPTAPPTGPPIAPPTVPPTAAPSTSSAPAPPHHKRAIRSFHFR TIHNYAFDDRNRPNY H257_17600 MKTAMIVLACVAASASAQKACDPAKVTDWMASCDRLASDEIKCK DKACHKALHYLVEDDAIKCYVSSGLGPATNLTKYTDLDKFCHAAPKATTTAKPNAASS ASLVSTVSVMTVACLGVLSSGM H257_17601 MPLHVKQFDQGVKNAAYSLTRSAKERHHVVVAARIAQTIQYNTQ GFDSKTTVTFGGHQNMGYAKAGIQLVHAKDIETKLEHAVSVGHVHKAKSCVWYDGTLD STVQETK H257_17602 MSRSLLLLVLAGVASVGTAWTCSPTKIIDWRASCDKLGSDDEKC KNGACHRALHYLVEDIVRDCYVQSGMGDASDLDVYRILDDYCHGETPAPKPVPTTSKP TPTTSTPVPTTSAPSPPTPTTSAPTPTTSAHTPTTSAPTPTTKPPTPTTKAPTPTTSA PTPTTRAPTPTTKAPTPTSPPAVQPTTSPASAPPTQSTNEPPVALPIAPTTSSPDSSV PTSSAPSIVAPSSATPASTFAASTRSPEKSTSTTPTTTGVRVAGPTSVPVKTTYNITN ATKTTNVTKTTNATNATLGVELITVPTTQASKTSLPTTLQATTKPVATSSAAQIGALP LVMAFTTLAFVLP H257_17603 MELWITSPLKGRLSGTLAVQLAVTRESMRWFQKPRTTAPMGCAT RVRLAPSAALPSLGDTSVMQHSTFVQSTNTMSSTNTAAHQAVLALLRRGFGDNDTALL L H257_17604 MESVPTKQTIQDSFRGASTRRTYLTYQTQFQEFCVSHKHGLDPA AATTEDCTDFFHHIYSLGRKPRTVDSAKTSLVAYFKDQHVEPNPAQAPLSKQYVVGLQ KYNRQNNVDDEKKTHPLTIDELSTLINGFARLNPFVGAMFRCLFSCCYLGCFRIGEML GLKWGDVSLGKSAHGPYVSVRLRWHKKA H257_17605 MENNAWTVLTTAIWTDPQCNPTSVCHGSIRMEWVVTWWSLPSLN ENTVFARLATDRENDLEGLPVGKRAPHESNDGSIARYMPNTSTTLMFIWSGFA H257_17606 MTPKAPVSAFANIIFSTGVPFRFADSPALAIFVHLARPAYTPPS AKLITGPLLDRAHAQMENSMSAFMDNQPIASLVSDGWTSMRNDHMVNFVAVFPLKTAR PVFVKAIATDDISQTGVNIAAELDRAIVAIEVSKVGSVVTDNAACMKSAWKILEQRYP GLICNGCAAHAVNLLIKDVCKLEVFANALERAGDVTSFVKDRNALTKRFERIQDTLLA DGEISSKRAFVLRGGYTMLANTALFHDLKVTPGSRVKKAVFVDAITDATFWSNLQSME ATLRPTCSIIGKFEGDTCCLSDVYRLFLELRAHWSTSDELTELLLDRWAFIHTESMGF AYFLDPTTRAGEGMFEDDLYDNAHLLQEFVLVKKQISSNVEAVRTELANFVDAMKNPS DKAKFFIEQCATPMTYWHQVGSTKFPILCAVSRIMFSVPTSQAASQRVWIIYDFILTK RRNRLSPAKVTKLVQLYMNADLSSRGSLVSVMMGQESDAGESDDETKT H257_17607 MSMEVMSDTPDITMHCWPLGEIEVLDYCILKPSATIANLHARVM SFLACSNPPPSTAWVPPVVITVGDTVYLFLINLDVRRVDLLQSLSIDLTEVEHGSWRK SDGTDLPTSIRKVLLAAVEQQVGDWMVQHSLISWGKRANGDDGLVVNGEPTFEFRTLS SNRKDNWNTLRETAGDARWWFRLKVALSTPSQLVVSIVPSSSNQDWTTQNDALMRLHG VSQLADVWAVADTFSCDDALPRLVEKEKPRLKRVRDDTSPQNPVDVSKEVTDEFETDF DKDDDDNSQDNVVDKKHTPLLGQFDPDDEFLVPVAPELPSKGRQVCVEFPLQSGALPG LKRARKVQPFVRKLKKASKKADDPLSRVKFVNLEHATPSVPLREPATAHPLNLNKQAL EWLARDVKAELSASKRTTSQSLGVATFTADVYKPAAHSSPRRYMHTKAIVPPSRIQQP TPDFREVDSRASPQSALPPCVVDVKVGGGARWLAKAAVVSWQTHQANGHDDTTSEHQL GVLTSLVEPHLSSLSHLYGAAPSHWLNAQEYLSHVTTQPPNGPLETHVLTPPSFVASK ADSSHVALPPSLLPEWTLRSCAPIGGPKPVRLAVICPHSSGDWIATLSHMYMASLRTS YINASLGTFNDVDHETHKNALVIVNKSDDAADPFKTYREAVTDLGRTAFDTTASIFVV APFGSKFSHRVTDFLGAISSQLDGWQPSFQVVYVEELVETCVHVQPTYFREQSFALYD RLYEPLPAGLAADAYACLPHGVTKKMYHLADPSAAFHVFLGYGLANGWLLSALVTSNG GVMATDAVFIDAPDLRAEDIALLVDKVLHFAALGRDQDAPAAVVATNALARINDRERA IWHDVWESKAIGDTQPVVVNQWVLSSAAVDGDLGWTNRQDADISRAVAVHDAGLIVPS KPANVHGLDRLSAAPNIVQLHVEAILGLSSAKVDGIPVGVTVEILKCFHDLAWLTVHP TTLRQASALPVHLFAVQNMLSLVAPRPTADKTIAHTK H257_17607 MSFLACSNPPPSTAWVPPVVITVGDTVYLFLINLDVRRVDLLQS LSIDLTEVEHGSWRKSDGTDLPTSIRKVLLAAVEQQVGDWMVQHSLISWGKRANGDDG LVVNGEPTFEFRTLSSNRKDNWNTLRETAGDARWWFRLKVALSTPSQLVVSIVPSSSN QDWTTQNDALMRLHGVSQLADVWAVADTFSCDDALPRLVEKEKPRLKRVRDDTSPQNP VDVSKEVTDEFETDFDKDDDDNSQDNVVDKKHTPLLGQFDPDDEFLVPVAPELPSKGR QVCVEFPLQSGALPGLKRARKVQPFVRKLKKASKKADDPLSRVKFVNLEHATPSVPLR EPATAHPLNLNKQALEWLARDVKAELSASKRTTSQSLGVATFTADVYKPAAHSSPRRY MHTKAIVPPSRIQQPTPDFREVDSRASPQSALPPCVVDVKVGGGARWLAKAAVVSWQT HQANGHDDTTSEHQLGVLTSLVEPHLSSLSHLYGAAPSHWLNAQEYLSHVTTQPPNGP LETHVLTPPSFVASKADSSHVALPPSLLPEWTLRSCAPIGGPKPVRLAVICPHSSGDW IATLSHMYMASLRTSYINASLGTFNDVDHETHKNALVIVNKSDDAADPFKTYREAVTD LGRTAFDTTASIFVVAPFGSKFSHRVTDFLGAISSQLDGWQPSFQVVYVEELVETCVH VQPTYFREQSFALYDRLYEPLPAGLAADAYACLPHGVTKKMYHLADPSAAFHVFLGYG LANGWLLSALVTSNGGVMATDAVFIDAPDLRAEDIALLVDKVLHFAALGRDQDAPAAV VATNALARINDRERAIWHDVWESKAIGDTQPVVVNQWVLSSAAVDGDLGWTNRQDADI SRAVAVHDAGLIVPSKPANVHGLDRLSAAPNIVQLHVEAILGLSSAKVDGIPVGVTVE ILKCFHDLAWLTVHPTTLRQASALPVHLFAVQNMLSLVAPRPTADKTIAHTK H257_17608 MKVQFALACLLALALECTSSKLEQHSFSGPFKTLDRAGKRIVNS TWSHGGSTEVLKNFIRLTPDRQSKHGNVWNTAPLGRNTFSAVLQFRISGQGKKWFGDG IGLWLTHTPTHEDGDNHGFKDQYVGVGIVIDTFVNSEHKGGHKDVTIQINDGTKTTDT LQDETKIGCDAALRFHEESAHFDPVFSSSRLRIVVDGVKLKLEVDAKSTSEWKPCYEG DLPLPSDWLQDVTVGITGSTGGLADNHDVLGFLSFSEADDVQMHLTDSDVYWNYYSKE HNEILKSDHCDNTCKLIILEKSLGNSRVNIEHQIVALKERTARNIQKIKEREIEIHDK LLHLSGRVESYMKKTVNAKIDSVAVDLENQVHGQVTGKADAAGGWKLPFVLVSSGVLA GSFYLYKKYTELRKSHLF H257_17609 MAKLHFLHMLLLLALAISICVADKLEVLSFDGPYDSIDANGQRF INGTWIHGGSAEVKKNFIRLTSDRQDKKGYVWNSQLIGRDSFATVLTFRISGQGKKWF GDGIGLWLTSSQNYVFGDNHGFTSNFAGIGIIIDTFNNPDHKGGHKDVSVQINDGSKS LQTLNDETKVGCDAALRYHEESAAFSPAHSASRIKVKVIQQTLSIEVDEKSTGSWKQC YETTLPFNADWLRTATLGVTAATGGVADNHDVLRLVSFEDVNDKDIGEDDSVSLLQKM SKDYRKWLVEPSCHSDCRIAVLTKQIENFRIESDHALIDLKEKTSHTISKLRDQEQMN ENRLEKIYQRIVSVVDNKVQDKITNTANQVKSKIDEKVKNVTIGGGWKLPFLVLFVVL AVASGVAYQKYQALRKSHLL H257_17610 MSSPKTCLHDSQYGMAIAMRQRSFVMLCILDAVIVLTVLHQRWW LAYTTQPATTSAATTIPTRAPAATGKGLNSRNVKRLSVAATPPAAWIVPTPATTVPNV AMARGTSMAHAQLNIGIIKAPMMAARAKY H257_17611 MRRIIQHLAVVVALAAAYVAAQANAQGDAFALADEDHDGVLQRR EFVKWSNKIRDAINPLLAADDSAAVPLFVTNERVKSDPTEDTNSGAKRAKSTVSKFWS GFISGIVTIWATEVGDKTFFIAAILSMKHDRIVVFAGAIGALIVMTVLSVVLGGVAAI LLPPWLTHYAGACLFVVFGLKMLYDSREMNANGPSDELNEVEEELEGKKTAEDAAGNA ENGEATPTSPTPPPGAFQVFSQGFLLTFLAEWGDRSQIATITLSASNDAFGVTLGAIL GHSMCTGLAVVGGKFLASRISEKTVTIVGGALFLMFALHALAFPPQE H257_17611 MRRIIQHLAVVVALAAAYVAAQANAQGDAFALADEDHDGVLQRR EFVKWSNKIRDAINPLLAADDSAAVPLFVTNERVKSDPTEDTNSGAKRAKSTVSKFWS GFISGIVTIWATEVGDKTFFIAAILSMKHDRIVVFAGAIGALIVMTVLSVVLGGVAAI LLPPWLTHYAGACLFVVFGLKMLYDSREMNANGPSDELNEVEEELEGKKTAEDAAGNA ENGEATPTSPTPPPGAFQVFSQGFLLTFLAEWGDRSQVKYLYIHIYH H257_17612 MAAALGKLVPSAVMRRSMVHHRTASSFMTVLKHGSRTTDSPLRA LVARNLLRPTCHGPTSSVTGRGLSTFSTGDGGPGLLPCPQCGNGLKLSVAVAAPNIMA KANVIQQSSSDAFAIPSNLTEGDVISCEECKGRFVVKSTGGFPSLGDRPSSQASTPRL TTESEYNRIVHQGGSSPAAVATPEAQDCVLTPRQIFDGLNSYVIGQDAVKKALSVGVH NHYKRLHMHAVKKKTRSDANSQSVVHSLGHGVHVEALVPSADAAPDVEDDVELDKTNM MIVGPTGSGKTLMAKTLARMAQVPLVIADATCLTQAGYVGEDVESILFKLYQAANYDV EAAQRGIIYIDEIDKISRKSENVSITRDVSGEGVQQALLKMLEGCVVNVPEKGGRKNP RGEFIAIDTTNILFICGGAFAGLEKIVSSRTAASASIGFGARLPTTKPDGLESVGQLL MLSEPQDLVSYGLIPEFVGRFPVVVSTLGLTQDELVRVLTEPKNSLIKQYQALFALQN VDFHVSPCGLDAVADLAVQRNTGARGLRAIFERALVETMFHIPDMPHVKAVYVDGDVI AGRKHPVLLRHDVAKYVNDKNKAFEVDHPADADATAAAA H257_17613 MVLPTSTLVEDPEVRRALARRSRDTERVKKLHDGRLRNNGADII GIKNQLIEKEARAAREAHDELVYVQEQESIRRYLSRVEADEAAQRHDDAAKLRQEWLS QGLTRGERREADIARSTKDFSALNVDACSVATAQKFDGEDLGRHERRRVQASQVRDWT QSQLDAKHAKAADDLERDRLYDETMKGVGELQLQAEVEYNREKTKLAIEVRRFNQAMA SATKDHETALDELNDRVDRGEIAATVQSNFMSENALQAHTSNPHRVRVDHWKGLSKDE VKSIVLSNHELVQAKQQRHAAEAEDEMERSHVQDGIRRQMAENEYAADKHRAYTQLEI QATLKRQVQQAKDREQMQKEQSQGKIDTSFFNSFGRSFR H257_17614 MAKESQGTDADNSMRDASVHASEQSHSRLTALLHAVDRPADDSL GADQEEFIRLLSDEASPTSSLHHEVLQCISALFTRAKRDFSRDMFHLPPGHFTFQSFS TGCSYLYTHAASVNAAPLTSPMVMEVRRIVHTLLGFQPCFRTTDGTMNLHVLVTAWKN TTKLCKTFSAHIAIPENAPAIANLIGYVQTSALDTVRFLDGCLSTALPLDSTAITHNI QGARVLFKALQGLVHTFANVMSSSEIERYWGSMGHVLAVLLPPQPAQPLDPACRQHWT SLVDDVSNCIQASLSLGDPTTERGLKTFETGLLGSIQSTDPSDQVLHLLAAYARYCVE RTPSGEWDDCRHVAAWDGILTAQLASTGLQYQCTMMMTLGMESMLRLLFDANQHGSQA LQLRLLQHTFQSDSISHRPLCRVVWMQALHLWNQFDDGGGGGYSDDIVRLLLDLMMCD DDNLDMAHRITIGDLIVDLSVAMHASQKMLIVDSVSTVVERICADGPSHAFGRVDQAK LCLLERFSRSLLDGVDDAYRVVYTEKYMRMCYECCGTAVQIIEQASDDGLWRIVDATL LVLGGIFSSHAVDDSTDDDDVQACIAETMPMLLQVLDQLATLTCPPGPSQRRIVHTSR SLLTSFHHGLKRNQQNHLVVLLDALLRLAQWPSMGVAVAGWMIWLGDVQVPPHMDEFA AMLWKHYCKVFFALLGSSDWPVVCTTLEAISVVLSDSSLPLQANGICQDLHEAASVLS EYIDIKYNKETTTADPAKLRKRTQSQLDRLGDACARVKRACSMHPRLLDDHKIHVALD NVTGLLDNLVQTLEMSDR H257_17615 MELRIQQNMARAPTIVTLDVGGTIFKTSKDTLVRVKGSYFHVLL GSGLWTPDSGGDAYFLDLDPTLFRCALMFMRTGTTMSTDGLTTIERHEFHAMMEYLNL TEAPTQLFEWNPNTHAKEMALSNANRTVERMSLNNGYFKAAVANAALVDRIRVRVDVC SGSFGVGVGPAAGFDVSTSKSTTQCYRYWSHGEVFKKGPEAIVTLAKIQAGDVVTIRL APSHVEFALNDGPPVNLALEDPTEESLFPLVFMSELGTKLTILY H257_17616 MSLRRLGDGLIGRIIESLAIEDVAMLMRVSRKFHGLARPTLEDK CKLLCVVEYLPVLLATYRLQSPVPPTWAALYRKFACLNGFKWVPWSPTVSTSLSKLGI DRFEYAVDGATHHLTRCGGHYRFEVWALALSEITLALDSTTSTVADVDATLHFNGWTN LKARGEEPSPRRHHSVTYLPNVHGIKRLLVFGGHAERFPFQSFNDVHICLIQHGPLDD GRPVEAAVGTLRMGPTWFEPEISGQAPLPRSGHLTTLITREVVAISGGSHGATPISEF QVHLLHIDDQGFCSFRWSTPTCASYCPRGRSFHSMYRLSTCSYLVYGGKQVGESKGLY DVHHVQINVLQNSCTWSRPPLQGDDVLTSRRGHSVTPIGPKLLVFGAQVDQNDHTPDN ATFIFAPKQMRWHRLDGDSPCGRRGHTGQ H257_17617 MFTNQYSDVMERGLEPIDYGLNVSAMLHGATDGAGAGEAKSNDP SEAAAELNLGGHMFESDMASCNDGNVVRDRPDVAEPSAVPREDVLTSYPSTSIAVHPS HSAVAPPQLPVNVDTNGVALPGFVSRLEKRTKLLGHPMVLAVSTNGREVTCKCGRIVR LNPPWYILKYDQHTKSRNCVRPMGILAPNHDPAIDVTDILDVDAMLAGDERGDGGRNE VDASAISTPLNCVRRLKAHPNFDCVARGGHWVRGLCRCIVHLESPWHVNTFVAHDASC TGLKKRKAKVKAAAAAASKDPSQTADEVLPCPGLRGPDVVEYITSAVQLTGGSRPKFK VARALFPTLFSTSKCNLHSMLCPFENKWLHDTLAQEALWWVDKDAATVRSFRCTRAAR MQHPARLPCSSCASLRGMASFRTALASRATLSSSSRNQKFTPRTSVPPSVFNLNLSAT CPSHAKYGRELRQLVACYKHDVANPHYYWLPVAEMGMALDLNDSPVVLGLLQYLVALK DKERRGVGKQNMPYAPSLDAFVARLADISVHASDLFQQHFCGRSKRLAKKAAPIMALL AAASTDNDPPPDHPLNIIQHPDTIVESSVVQSNLTQIACNEREMAWKEHASQHPNEKI PCPGLSAPSYVEYSLQLTGGSRPRHVLARELFPTTFGEGKGVKNIAGLLSVADQQLLL DAVHREAVWRIDKTSRCVRSMQCLGTCVRRVDACANCMLLHRNASFRSAVSRARKQSR TQANIRFIPNQYMTAEMLKVSKNPTLRMFALNAKANVESNPDASFWLQVARLGISGAF RDFPVVEGVVESLLHVKDKQRRGAGNQNMFYPVALETFMKHLVAISPKALELFADAVV GGHSVRAHILAKKRKLAAAEQSPPPLVFHDIPMPAMLMYDTHHPHDRLLDDGFHDAAA FGQELLTGDPDLGTSEVMQL H257_17618 MQAMAEDEAFAWELSKENVAPVHCGRNVDKLNVALAEVHSSSHH STLQLKERELQDHIAAYTGDDPLTSWLEYYKWVQECFPSDMKKNSSVLEQITHEFKGI KKYRNDVRYMKLWVTYADKVEKPLDVFTFLYKNKIGDKLALFYIAWAFLCEKCGKIKD AETIFNRGFVKNAEPRDTLRKKYDEFNRRVSQTWTKPPEDSDETSSQQGQSTRTTQPP GRAALRPPRMHPSTSVPLENLTNTIRVKSPEVAFTVYTEPNTPIIPRPDAIVFRTSAS KAPASGGRIGGSVSATARHPSPKVSAAIFEDAVHPPSSSSRKRPHSSLEVNHPRDNTK PRDVFRFNESALHDASGEEFCFEERRAMQQLVVKVAPSAFLVNASDFISKALPTTTSD PIQQAAVQDITITTKIAMDDINDMFRSPTKRPPSQSSVRIPLTEEPIIRKLQFSSFCV ADDGDSTDHVAAKTPARFKPNAEENATTPEHPTTTLSNRDDPSAAKSARRTYKDVLGF SFRQR H257_17619 MSDLVFVHDTTFDAHLPMGGKRGAKWKPQAVIHLCRASSCKLTG HLGSGSYASVYAAQLFESDASKTPVELAVKHETRVGYLPWECYCISEINARQNTTNEH GSSVVDRRIVQVYALHVFKNSTLLFLQRGDKGTLHGLVNLYAQFGRRMPEPVVVHYAC QMLDAVQRVHGANFVHGDIKPDNWIVVDGRSPWNHATTFATGAVCLIDFGRAIDLQLY PPDTAFCGDCHASGFQCVEMLTKTQWTHQIDTFGLCATIHLLLFGEYMECVKMDDKWT ITRRWKRYWHVELWQDLFDSFLNVPSCAHQPNLCDWRLKLHKYFTEANQKKLNHQLCA QDKMFH H257_17620 MVDARQVFRELKLHGLTLHSDALKRLLSELTNQPTLHLDDVVFA IKNSIDRSKLKSSVVSQDALESALDTLLNVSSDNDYQCIQVFNAFDQPKLIFHPSTKT YEVSADPTRKLHASSAHRLSLFRERFSALERRVLRHKSFSKPVAATSSSSRVESFQEI SRIESLLGVTGMKRVLGMLGQDERQQVYLEDMTSRIYLDISEAKYTNGIFTSTCIVLV EGQVLDDVFHVETIGSPPPELREHSVQILSGVDPLGVEISAQQLTQIQQLERNQELDC FVVLSDVHLDEPAVMARLDALFSGYEPFTPTLFILMGNFTSSPVGYGYGAESKTIRTL KQHFDDLATLLLKYPALVEKSQFLFVPGPDDPGAPHVLPRHPLPTMCTDDFVRRIPSA IMTSNPCRIRYYTKDLIVCRDDLQHKMTKHSLFRLPTHDGPSDDVSRHLVKTLVDQGH LCPLPIAARPIHWAYDSALQMFPLPDVLILADKCKQFQVNYAGVSVFHPGPFHVDYSF VLYRPASNTAEVSRVDID H257_17621 MSSNPSRHDASSSGSDDSDSARRPRRSDKKEMKHKKKSSSKARR HDSSDSDDSGSSDSDHRSKKYKSSKKHKEKKAKKDKKSSKKSSKKSNAVNQNEYGKYG ILRESDFHLKAVSFNVWLRDIKKINDFNGPKWEAMELFKEYMEDYNTATFPHEKYYDV EKYEMRKHHKKASKDNKRKHDDAPGDEEAMRRERLRQRIEAGQKDFGLIMQTMSKEKI EGMRNQEKLRTQMQLHYKSGNVTEARRLEVLLNKVDDKQPNMPFL H257_17622 MAKTWITAFFLSLLTTCDAATVTSCKASSATSWSTGIECSMQLD VVQPSETNNPTVFRWTIRSVYGDPIVLAKVMASVCDTAKYPCIFGSQDFVPATTSAAE AENATFAQGTATVSFPTSSTYVIAVSAVVGSSAQQFLTTLPVYISLPMDATTKTTELP TASYLPPSMTPLAPPIEATPKTISTTSTVLIIVGVVLAVVVGTVFAILFYKLRKARAS MSSSQQSSATSTSQQIELDTMPTTAAAEPFRRVHLATTFNSTSSSVVGGPSASYASAA NHRAAADHVSMTNSTPPCPHDRPTRERSHNEHSSYVKVTDEGIPPSSVHHPTYGPAPN RR H257_17623 MFTTYRRTGTIVKQMAEFVQEQTADLENDSLWWESRIKVVQEWL RANPLRIGVPDDGTIETITQQIARGDQHSHIRVKAWNHIVAELHGIPGVCTKFTGTLA ATLAGPVEVEPGDDQPCNNEGDALASQDSDDRQLTFNATEEVLSPLRGKLRATKGKAP VVESVTVTSILNQQEEQKHPHMLEKKSLSQMSMMDRQQEWLRKKAEKTAAEKQRQQDE ADKELTFQPTLKKSTRSLTQTAVPQPSTTPAPLEPTKQPRSKSADRHRKQPGTQKLPL KTTSSLLDSVKAELSASSAATPMPPTKATKQSAPLTCHPTTVEDSTNVDLIAKALESL GTIIKATNESPPEKEPPFQFDPRSSETKARYQLQDPAQFDLTSVYRKKDKYARHDGVS LQMGRRDDTREEQIIAVLFDREHFTSEAEAGEWFADHKQRLLSYMS H257_17624 MDDYDEDGNLVTAATHGGYVNPFENRWESQNYRVVEEQKREARK RKAKELVKTKEFIPLTNDSEDESTSRTAAIHPTYTTNALAKDRAKIKYELALVAQHMQ NGKMLKPKAKKLRDSLMTVNKKWREEQEQCRVAKTTEPEKKKLQRMLENPIGESVATI NGVLDMPYCPDNAGRNNPNNASANSMGWKRCRKPTRHSEEDGGIAGHSENGRWTVKLP GKQLFYVVDDNYELIVSKYALMSIGLDMDRLLEQAARANDKQLDMEDMRAAENLYKMA TTSANAADQAQAAAFKQLRGIVVDAAAKGVWRTKFRGTGLPANVKAMEIRLKADACPN RCKPRKVNPLTGMFVEAFSKQLEQDQVIYANSSSSHCSPVNPVMKPEGKKLVKTSDKW TIEELLQYFRLTIDYRIINSETIPLVAGAMPFQFLVLENVLGLFHLT H257_17625 MHPSPQLPQVTVDKNNATCANGVTTANGAAVNGATDAIDQANGP NHETITQATARNLATDTVVVRTNGTMKNAVPVMDRPTANPSRKWDLR H257_17626 MTDKIATPLVGVGGGYKSVWDARPVKYTNVIVQVCGFILLMELS ERLSYYGINQGLKNFMGKKLDWSSVSSNSIKSTWTSLVYMSPLLGAYMADERWGRFKT IAVFGTVYMIGDILLAIAAHPSVLSLDGSTKTAEAIFIVGLFVFIGIGTGAIKSNVIT LGADQFNPDDERESAQKVVFFSYFYWSINVGAGFSYGYLATLCVKGSGDFIPKEYGYF ATFTICACVFILALAFFFLGSSRYIKIAPSSNAMSKLVHVLVASSSKSTAARYTVFGF IAFILSFFLNLLAVFLTDRSDERLAMSYVAGGVSLIGVLMWVWFGRQYDNMSLAKESE GGNQEDLSIDEIKLVVRVLPFAAFNVMWQCVYDQIDANFQTIAQQTDLRFGNERDATQ LPGAVLGVFGPIAIVVLIPFLETVVYPIYKKFSGKEASAFGKVAAGLILSTFTMFYSG GFETVRRNSGVLLVPASATNSTLDVILDASSDLPMNDIAWGWCIPMYVLVALCECLIN VTAFDVFYTEVPSYLKSTCQAINLFMVSMGSNVTSIFTLVFQKYIPDDLNDGGNLEYM FYGVGIVSLVNLIAYLVVMKEMQFGMASSKRGADVIEAAALHQHKDSHLADAEKARLS YA H257_17627 MYPVFMFKPQGSTEVTLTPSPCGSKRTLKLSLQKLPFVNAIAST IYKIQGETMESLVVADWKARGSKTNKVVNTCQQRYIAISRLTKRDGFSALKPLTNACI QYFQPSNGTITESLRVEQLFALYLSRNENTAVFSSSDFYALKSTLPAAPVAVARLAKR KLCVLDLMESTPATNEISMARPKRTVLDRMEKSPSTIEVARVQPKSSVLAVMQRGFSW AYRGLVLATIFQNPSTPIGDVLATLSLSSPPPQNKGKARRDLSRDERLSYVSTAQAHH VNLASLL H257_17628 MVTVARSVCNHDTTQRGHQLRECGLTTTQNSKEPSLLLGESQHA VEVHVGYGGNCGDDDNSDNDNYGDSDDDNCDDSDLRTDTPTRVIQTVIEEGDKTTSPV TTRTI H257_17630 MCDGTRAQHFHQNAHYAKNNHYLCCDKTRTKWYCMTIAQAQARA IHFTLGKPATRTTALTSHTHDRPGQVRCTARHLQVDPYKTQLKVHKCPSVNDQDMTFP VKMALTTATGLFQGTAAATVAENGPFTDVVAAAIPVTGPFECTVAAVRDRTIVETRPG VYLKTPTSNPPTVHAEGAQTKRASSQLLLGLSHLLGPDR H257_17631 MRLVDDTRAQYLAILERFKRWLHTEYPSFVVDTTIQLPLPSNIC QMYLDYASIKRNTRGEALVPKQYNTFSTIGTSKSALKFLYKEANVTMDEDLESRLKDF ANGYKRHIAQLKEDGVMPIGEGKLPMSVDVTKKALLCFPSMFTQIPRVRQCAQF H257_17632 MRVTSIIEYLQKQHAVDQINIAECLLTHGDTIDAILEESGCDDC LLSPTSPKDAFFMTLTVLKHYQTWEMQATHFKFKAPTFQKLITLVIAVIESIFFRHFV KMPSMTDLRNKDAVLPTTPRHYFSGKHKLYGLKVEASVSTEILCVDMSAHLPGLMKDL TMLMDRFTVHRMSLANVETEMAINDVGETFDDQIGYSTGLVTKGYVGIMHHTRRIHSK KKPTGGALDTDDVNRSKRVSSDKVVLWKVSYATFTWNHIFDGFLRLTFTLTKHQVTLM PLRSNDGATYRSVLACYQAMSKTYSTSTGAMKLNGASRRHRAERVLKGSAIRRRMSSL NDSPYIRRLPSQNNSPSLR H257_17633 MEKEVQRPWRTSTTTPAKPTKATKANKQTKPTTVASKKTIQDSF HGASTRRAYETYQNQFVAFLQSIKSGAGPREAGTEECTDFFHHMYTQGRKSCTIDLAK SALVAYFAAMGVTPNPAQDAATRRYIVGLQKYNKQHNVDEEKKAHPLSVHELSTLMNS LAHLHPFVGDMLRLLAVAFICCFRVSEVLALRWNDVDIVGDAKGRYLSVRLRWHKKAN VEEDCQVYHLVDETTFPCLRVCGFYDEYIAKVRSAGVNISSSTFVFPNFVDQQYGRTP SLPIGTSLHSLRRGGTFFRVFESKERRLNFRELMAWNLLRTGSDANHIQWQTGSVAVP VGLGFSVDDIGQALAKNLHSQGALGSLRTTTTMRQSSMDQFVAQKSVPTARSALKAWQ QWFVADPAIGLVCALKDYTKEMIRMDRKKYSERLTLGTAFSNNRAPGINTVVVPSTTW QQVKSDGTYDGWFTRHQRCTRHTFLCIADNTVLLTNQHAS H257_17634 MGPWLRSCVHTAYHLDLQPIEYVWAYLKGNVGQQYTMETTMTTM EDVRKKVLELNTYVLSWKPPKKS H257_17635 MGIRLDALNDLHAVLATVATAGSVTELPESVNKLIDISPLDFYQ YACGAWYNDAVIPPDIHRIDTSFNKIGIQNEAVLTKIFSHNKTKLGKCGEFYNSCLDT ATLSSLGLTPQEDWFKAIRVDDNDSTKNALFGFQAPLPLDSFYYTPSQWEYAEPMHKE VAAAVPVITRFEDTLANVDLNELQEIEAVVSPYTALTYYQMDQKYPLLLGSWLKTNGF NVRDEYSGSNDWVGFKDLTRPKEELLKNTTLDNLRTVMENKLIHASSKHLTLNSASTT GTSSARPSVAKRWNLHVKSSAYLRRATPSMNSWASTS H257_17636 MLQLAGYTAEKVAAAVPVITSFEHTLAGVDLIKLGTKYPLLVGS WLKPNAFDIYDQWCGSNDWHHRGVQAHPPSSTHLTPEFRTVNWDFFGKEINGEKVEPT RQTFCLSETHSTMDDLLEQYFIYDRPSTAIATADWLDHSSRANVQTKLSKMLHLLGGP EKPQLHPTLTLDSKSY H257_17637 MSQVKIDINLKLNSQSVDRYKFGKATHEATALYRPHENKIILPV GILQKPFFDAQFDATQSFGAIGMVIGHEITHGFDNSGRYCDCDGKIETVVIERLQRFS EVTDTVLGKVSGETSLGENIADNGGLKSSFRAYREYLKEFPSQNTEEAGVKLFYLSYA RAWCSKNTPGQILDKFWRTHTLERYRVTGALQNNPEFARVFQCPTDSYLNPSKKCLLW E H257_17638 MVKVLISLSVFACAATAGSVTELVESVTKFNGYSINPCDDFYQY ACGAWHKEAVILVPPDIHRIDRSLNKLDIQNEAVLTKIFSDNKTKRENQACREKKAHV RLAKFALGDFVLLGKIIKFPNKLALNWKGPYRISQVDSDYVMEVQQPVEPFRTTVHHA SRLKLSAKLHWFS H257_17639 MLQLAGYTAEKVAAAVPVITSFEHTLAGVDLIKLGTPLDQKYPL LVGSWLKPNAFDIYDQWCGSNDWVGLNYLT H257_17640 MSQVKIDINLKLNSQSVDRYKFGKATHEATALYRPHENKIILPV GILQKPFFDAQFDATQSFGAIGMVIGHEITHGFDNSGRYCDCDGKIETVVIERLQRFV QHESPVH H257_17641 MVHEAILREVLLKCQDARAKAQGIASGNMQVCVANRKKGRVGRK IKYTAAQAREKLLQRVCSRLKFALNFMAPGEDMCEMLDYVHLDEKWREATRAQVQEQA IHYEGYVLDGGGTASAQRGHQCLVGWQNRNVALRQLDELRTADELSTTYFLRAWHWTP MSLPWKLQDLKLMT H257_17643 MAEVLIFLSVLAAAATAGSVTELPESVTKLIDYSINPCDDFYQY ACGAWHKDAVIPPDKHDIDTSFHEINIKSEAVLANIFADNTTKIGQFYNSCLDTATLS SLGVTPLEDSFKAIRSARTKLDLLIVAGELAKNGIPAFVDISSRVDDDSTKNNLFAYV PPLSLGRTFYTNPSEWELVKAEYKEYIATVLQLAGYTAEQAAAAVPVIIRFEQAVVGV AHRELKDMEAVVSPYTALTYSQLNQKYPLLVGSWLRAHGFDIYDQWGGSNDWVGFLNL NYFDTTEKLLKNTPLDNLRTIVEYRLIHSSSKHLTPEFSTANWNLFGKKIYGQKVETS REDYCLSETSKTLWDLIGQYFIDAVLSADAAKKADDLVKALRSSFSTGIATSDWLDNS TRENAQTKLTKLIHLLGGPEKPQLYPTLTFDSKSYLNNRWKVSQVNIDTDLKLNGQPV EKRKFNRAPHEANPYYNPHDNAIVFPAAILQKPFFDGQFDAAQNFGAIGMVIGHEITH GFDKSGRRVDGDGNLKQWWSNATNDAFKTKAQCFSDQYSNIVVNSEVTDVVLGNISGK DSLNENIADNGGLKTSFRAYHEYLKEFPSQYTEEAGDKLFYLSFAQSACSKNTDAFLL RSLKQTHPRGRYRVTGALQNNAEFARVFQCPTDSYLNPSKKCLLWE H257_17644 MDDSSFCSYDGQKTGIQEAHVCTLDSFGCGGTKLGWGVSREQSQ HIHSGLFPIGLPAKTVPVLRSCSVVHAICVNASCQKNQFSSWGVPPITRADSVTMCAM SVVTQQCPAKADERSITFSQSSP H257_17645 MINSRTIGGCPKGIPNGATDGFFDVALTEDDLADHQRLRDAWQR RASSILK H257_17646 MSQRVQDRPRLGRSDDAHRGMSNGPRERPLERIDGGADPVLSFP KLKDIRQEQVRQWLLDRETYEEDLRVVCMRRNLEVRKYREGWKECFDDKRLLKQFMIM RKLRGDPKELDETVLETELRIIVDEPKNGVEADIPLLFHGIHMDMKDDDVLSRVCKFL ADCDERIEARVMKGHLKKPEMRKKIFKRLLEMVDPEPVRDACVLDMEKA H257_17647 MAATQRHHDEWFDANFIDHRRRGSFGHSTSVADTFRAVHDTFEH ENKVAREERDPNFNDNVASNADNVASNADNFDGMDYQTADDDGLSSDNITAYISVLTN SRNYFSLVPGYLYLLPSASNNFKQLKFGGKAVHVFWILHTFADMTTCELYYCTTCRAT RRYMSTRMVFAQAATFESRNDFELQCGDSTECIHCLKQCSTGSPNTLRIRYWFAHGHG VDIPYLFLQSSNRHEDWESDYHVT H257_17648 MAATQRHHDEWFDANFIDHRRSGSFGHSTSVADTFRAVHDTFEH ENKVAREERDPNFNDNVASNADNVASNADNFDGMDYQTADDDGLSSDNITAYISVLTN SRNYFSLVPAAWTEACGSLDADDVVQYEHDWCAPNALVQSEELVVEDIVPLATSKISI DQVKTSRGFQCLDQSGHAIVLPITPTTTLDLHDGFNCPHCGSIDTAPVLIGDGTKLAC RAELAGAQPDEIRVDQHYQGVDISDRVLVRSKAIRRLLRTFSGRNVSKHDKSPIKTMS LVEHTNLKELLVGTLTVAYLSDFVEYLLGTAGVSGLCDPLWADFVYDLSCTSVVPGGL IHDPTVLRDVLQTMAVTHTIGIANGEFLKLQGRMPVLSQALQKSQMLGNPKHPLWRGL SKLLLQLSVMCDYMSRLQPHETTDSLASRDARACNYDDKAACFPGAPCIRLLGHYRSD SPSHPFSILAQRLSRTDGKRVVIIDNAGNIQNYCMQREPWIFRNIWFLVDRLHYANHI NCSRY H257_17649 MAATSFWRPRAHTDNKFAYVTFDEPYTLVELKYMDILCQILMKP QWWVKMQDVTILAKWKAESALSDNDFGFLVRELEFYVNQYMLTTNEQPLPSTDPHPLG IVPLPAHGVFMTDHLVDSDLLRSIQALTAPLEAEARARGDFHPNSDDQVLDIVHPSLY CAVNGRTRITSSSSSLSSAPLTGESVLQWPLSSVFDVSNRFQWLPTPVHVDSCGHASF QSYINNIHPSDHSDLYPQLASLFELMLPMFETCLGSADVQPRHRIAHINMDQVMPTNK SECARQAFFAQRRLDNPNSVTDGDEFEDDVWEFAESFDEANVPLFLPALPTDEFEFEA QFPSVKLNNNTLQVIVKIASIELTPHKSTYPGGSWHVEGMIHESIAASGILYYDCDNV TPSKLWFRHAFEPDYEFEYEQDEHTAITAVYGVSREGTDNTQVVGHIEACTGRCVVFP NYMQHRVAPFQLADPTRPGHRKIICFFLINPQHSILSTANVPPQQETWIQRSLDSTFA GTLPEEALDLIRAMVGATTHDQAKDVMTQLMQERKGSDVFAKFLTEEVSLCEH H257_17649 MAATSFWRPRAHTDNKFAYVTFDEPYTLVELKYMDILCQILMKP QWWVKMQDVTILAKWKAESALSDNDFGFLVRELEFYVNQYMLTTNEQPLPSTDPHPLG IVPLPAHGVFMTDHLVDSDLLRSIQALTAPLEAEARARGDFHPNSDDQVLDIVHPSLY CAVNGRTRITSSSSSLSSAPLTGESVLQWPLSSVFDVSNRFQWLPTPVHVDSCGHASF QSYINNIHPSDHSDLYPQLASLFELMLPMFETCLGSADVQPRHRIAHINMDQVMPTNK SECARQAFFAQRRLDNPNSVTDGDEFEDDVWEFAESFDEANVPLFLPALPTDEFEFEA QFPSVKLNNNTLQVIVKIASIELTPHKSTYPGGSWHVEGMIHESIAASGILYYDCDNV TPSKLWFRHAFEPDYEFEYEQDEHTAITAVNSPYIYISFSCLLRCRFVFLILYVYAMK IFYYILRPVSLTRLVEILTSFWPII H257_17650 MSAFQRIFRCHELLDVITSFQPGSPQDLHVFLPLSYPKINFGTP VSQHDMFLVKLSFASVHAAIKPWYLIYGVTRLSKLVTCVPQLIDLFFADAVFFGEVAI VTLLLRLVQSTPTPLPLKRDALTVAAMNGQVDMFQHLQQWQYRNVSTNVMTWATHGGH LAMLQYLHEQHATGASAQTMDMAARNGHLHVVQ H257_17651 MDLAASQGQLRVLEWLHAHRREGCTTLAMDLAARNGHLNVLIWL HEHTQEGCSTGAMNLAAGFGHVKVLKWLHTNRSEGCTKKAIRAVAKHGHLKVVRWLHH VMHLKCTSKVLALATSGEHWDVVAFVTSNLWENCHY H257_17652 MSSLSTPPPSVSVFRCHELLDVITSFQPGLPQDLHAFLAVRLSP VYFDTPVSQHDMFLVKLSFASVHAAIKPWYLIYGVTRLSKLVACVPQLIDLFFADAVF FGEVAIVTLLLRLVQSTPTPLPLKRDALTVAAMNGQVDMFQHLQQWQYRNVMTWATHG GRLAMLQYLHEQHATRTVYGARGSRFQR H257_17653 MFALSSRSKALQRETPIKDIDERTFVQVDVYFQERSLGVQFTPV PSDVVAPFHLHAQVADVHRLPNGERSPIDMANLRAPTPSRRVSRGMRLSWINSTPLTH VAFSSVISMLSSARRPLVLRFEDCHQPRGLSASPPPPPPPLALPIMPSLPPPTTPLPP HSLSWITPYIAMVGVIFPPGRLGLHLAPICDDVISRCHSLSTRIAALVPHPLGVPTLA SRYNAVAYDQGHMRLTPGLAIAAINFHSVAGLCHSDVVDRIRRLVRPTTLHFCDLSKG ISHDVAASETSSLPVRIPWNATPTQQLTTLEQPPDHTSMATSTSSTSSTSSSSITTST KLVVGATTAVAPCGSSLYMGSHVLAMARVHPTPPRSVRHAPNVPNRSVYSWIWSTQVE FCEILYGMKTQEYTGSDFAEFHVELDAIPPDGALAKWNANAPTLEVVATMRLVCIGNQ GLQHKSYDQVLALLRSASRPVSITFADTSKSTVEDKEYEAYMESVKPVAATSSWWGTS AAALVRPVQYLVPISISFNDGPLGLETQDVSETRSNVPLYVQVHAIVQILESGEFGPV SRYNWSHPAALRLHPGMRIYQVNAKVMWQCSYNEVLDAIRHAHRPVVVVFVNIDGPTC CDSIQELKDTSIQELKDHSILEHQQLYRRHMYQLDAIDTLEAAQDMRRLYWVQQIRHL NQFQALVAAKVLRMNRILADLANHSVAWHHRIADLNHDRQRYQSLLDALAAQAQGQMD NPLLIRANRLDERATKLHDRVRKLEADHKKLKVRHKGLTNQRQELEAMLPNEDEEEKV SQARKTAAKLFDVDILLPLQDQLALFKRHFKDVQKEYQQEDRRRQLVQREVAYMRQHV KSLVKAEKKMKKTAKLKPSKTDTRISTLREKLKSINDQLAAAADSGDNEHAAKLSKRR KHLTKELRAALYDQDKKNTLKVPSKGFYKTNNVIAEMNHPQPTTPTTKARPLAYFFID GTLDKHPTISNETDIFTSGWKHFKKAYPRYCTITTHGSLAYFRQPGDAHARGELNLAD RSLEIAVHGCEFTLCTNTESTKFHAKSTRDCRKWVDAIQAANAHFTKTHKSMTKT H257_17653 MFALSSRSKALQRETPIKDIDERTFVQVDVYFQERSLGVQFTPV PSDVVAPFHLHAQVADVHRLPNGERSPIDMANLRAPTPSRRVSRGMRLSWINSTPLTH VAFSSVISMLSSARRPLVLRFEDCHQPRGLSASPPPPPPPLALPIMPSLPPPTTPLPP HSLSWITPYIAMVGVIFPPGRLGLHLAPICDDVISRCHSLSTRIAALVPHPLGVPTLA SRYNAVAYDQGHMRLTPGLAIAAINFHSVAGLCHSDVVDRIRRLVRPTTLHFCDLSKG ISHDVAASETSSLPVRIPWNATPTQQLTTLEQPPDHTSMATSTSSTSSTSSSSITTST KLVVGATTAVAPCGSSLYMGSHVLAMARVHPTPPRSVRHAPNVPNRSVYSWIWSTQVE FCEILYGMKTQEYTGSDFAEFHVELDAIPPDGALAKWNANAPTLEVVATMRLVCIGNQ GLQHKSYDQVLALLRSASRPVSITFADTSKSTVEDKEYEAYMESVKPVAATSSWWGTS AALVRPVQYLVPISISFNDGPLGLETQDVSETRSNVPLYVQVHAIVQILESGEFGPVS RYNWSHPAALRLHPGMRIYQVNAKVMWQCSYNEVLDAIRHAHRPVVVVFVNIDGPTCC DSIQELKDTSIQELKDHSILEHQQLYRRHMYQLDAIDTLEAAQDMRRLYWVQQIRHLN QFQALVAAKVLRMNRILADLANHSVAWHHRIADLNHDRQRYQSLLDALAAQAQGQMDN PLLIRANRLDERATKLHDRVRKLEADHKKLKVRHKGLTNQRQELEAMLPNEDEEEKVS QARKTAAKLFDVDILLPLQDQLALFKRHFKDVQKEYQQEDRRRQLVQREVAYMRQHVK SLVKAEKKMKKTAKLKPSKTDTRISTLREKLKSINDQLAAAADSGDNEHAAKLSKRRK HLTKELRAALYDQDKKNTLKVPSKGFYKTNNVIAEMNHPQPTTPTTKARPLAYFFIDG TLDKHPTISNETDIFTSGWKHFKKAYPRYCTITTHGSLAYFRQPGDAHARGELNLADR SLEIAVHGCEFTLCTNTESTKFHAKSTRDCRKWVDAIQAANAHFTKTHKSMTKT H257_17653 MFALSSRSKALQRETPIKDIDERTFVQVDVYFQERSLGVQFTPV PSDVVAPFHLHAQVADVHRLPNGERSPIDMANLRAPTPSRRVSRGMRLSWINSTPLTH VAFSSVISMLSSARRPLVLRFEDCHQPRGLSASPPPPPPPLALPIMPSLPPPTTPLPP HSLSWITPYIAMVGVIFPPGRLGLHLAPICDDVISRCHSLSTRIAALVPHPLGVPTLA SRYNAVAYDQGHMRLTPGLAIAAINFHSVAGLCHSDVVDRIRRLVRPTTLHFCDLSKG ISHDVAASETSSLPVRIPWNATPTQQLTTLEQPPDHTSMATSTSSTSSTSSSSITTST KLVVGATTAVAPCGSSLYMGSHVLAMARVHPTPPRSVRHAPNVPNRSVYSWIWSTQVE FCEILYGMKTQEYTGSDFAEFHVELDAIPPDGALAKWNANAPTLEVVATMRLVCIGNQ GLQHKSYDQVLALLRSASRPVSITFADTSKSTVEDKEYEAYMESVKPVAATSSWWGTS AAALVRPVQYLVPISISFNDGPLGLETQDVSETRSNVPLYVQVHAIVQILESGEFGPV SRYNWSHPAALRLHPGMRIYQVNAKVMWQCSYNEVLDAIRHAHRPVVVVFVNIDGPTC CDSIQELKDTSIQELKDHSILEHQQLYRRHMYQLDAIDTLEAAQDMRRLYWVQQIRHL NQFQALVAAKVLRMNRILADLANHSVAWHHRIADLNHDRQRYQSLLDALAAQAQGQMD NPLLIRANRLDERATKLHDRVRKLEADHKKLKVRHKGLTNQRQELEAMLPNEDEEEKV SQARKTAAKLFDVDILLPLQDQLALFKRHFKDVQKEYQQEDRRRQLVQREVAYMRQHV KSLVKAEKKMKKTAKLKPSKTDTRISTLREKLKSINDQLAAAADSGDNEHAAKLSKRR KHLTKELRAALYDQDKKNTLKVPSKGFYKTNNVIAEMNHPQPTTPTTKARPLAYFFID GTNSIHKSI H257_17653 MFALSSRSKALQRETPIKDIDERTFVQVDVYFQERSLGVQFTPV PSDVVAPFHLHAQVADVHRLPNGERSPIDMANLRAPTPSRRVSRGMRLSWINSTPLTH VAFSSVISMLSSARRPLVLRFEDCHQPRGLSASPPPPPPPLALPIMPSLPPPTTPLPP HSLSWITPYIAMVGVIFPPGRLGLHLAPICDDVISRCHSLSTRIAALVPHPLGVPTLA SRYNAVAYDQGHMRLTPGLAIAAINFHSVAGLCHSDVVDRIRRLVRPTTLHFCDLSKG ISHDVAASETSSLPVRIPWNATPTQQLTTLEQPPDHTSMATSTSSTSSTSSSSITTST KLVVGATTAVAPCGSSLYMGSHVLAMARVHPTPPRSVRHAPNVPNRSVYSWIWSTQVE FCEILYGMKTQEYTGSDFAEFHVELDAIPPDGALAKWNANAPTLEVVATMRLVCIGNQ GLQHKSYDQVLALLRSASRPVSITFADTSKSTVEDKEYEAYMESVKPVAATSSWWGTS AAALVRPVQYLVPISISFNDGPLGLETQDVSETRSNVPLYVQVHAIVQILESGEFGPV SRYNWSHPAALRLHPGMRIYQVNAKVMWQCSYNEVLDAIRHAHRPVVVVFVNIDGPTC CDSIQELKDTSIQELKDHSILEHQQLYRRHMYQLDAIDTLEAAQDMRRLYWVQQIRHL NQFQALVAAKVLRMNRILADLANHSVAWHHRIADLNHDRQRYQSLLDALAAQAQGQMD NPLLIRANRLDERATKLHDRVRKLEADHKKLKVRHKGLTNQRQELEAMLPNEDEEEKV SQARKTAAKLFDVDILLPLQDQLAYEIIYI H257_17654 MGSLQSTPTPAPPPTAPSEPAPPLSDVPSSMISLSISVDGPSNL PRSPALEVIRCAELLRGIVEFQDGIPSDMVRFSRLQVPRLPSADKHTEPDVHEDFLAI NRVLAPWYATHGITRLDLLFHTHRCMHHIVLLHSVYFGLIDVIRYLFDKGLFFMTHYP HVDLAAFNNQLRLLPFLNHVGCRGTSKAIDAAAQRGHLEVIQWLVENRLDGASELALV GAAANNHLDVVLFLHENRRDGSTTAAMDLAASRGHLDMVEWLHVNRIEGCTTVALDDA AKNGHLDVVEWLHSTRTEGGTVNAINQAAAHGFLEVVQFLHEHRSEGCTTSAVDGAAA NGHLEVVAWLLEHRTEGGTIHAMDGAAGNGHLKVVQWLHANPSDYGCTTKAMDVAAAN GHLDVVQWLHVNREEGCTTQAMDGAATHGFLEVVQFLHTYRSEGCTTQAMTGAARYGF LEVVHFLSTTRSEGCTTRAMDMAATYGHLSVVTYLHAHRCEGCTTDAMDGAVENGFLE VVQWLCANRSEMCTGRAMFWALEHDHRRMAMWLKSRNVPVWTSEQTHSFRMVLRCRR H257_17655 MHIGYYMDVLQRRQQQGPDGVNILHHQSPDGAPVRRVINSTLFV DDALDIATSYTGIQHRATTSNIFTGIHGSGGVFGAAKSFMIYYSPSGLHYPTVDLNDG LGLPQPVTMAPPMEGFKHLGIQQGFGGTWDITISATWTKLKQDVHRAAACQLTIRQFQ YLVNSVWLPRILYRTTLSPAIHVASAFDTLIRRAARRNFRLPYCTPRAFYYDTVHGLG LRACENHSEVARIHAVLRICNTPGSPVYDVMMEALEDWAKRHGLTTHPLAQPAQMKPA DTSFLGTTLGLLAKHAATCGVVARWTSPTWQASKRYNDRPILPLLEGQQVGALMVINR RFPWQLRHVGDVCNIDGTYVLDDDTLAVRTGARSPRPATTMGNYVDTPLYGLVLRAVL LTRPNSPDELSYVIGQRTALATRTDASGIQLTITNWHEHRRGSGIWSAPRPHHWRGRT RWEHASNCTPIQIVYSPRRMRTTTVLVWTDSHCGGRTGLNSRNIVLDTYRRDRARIEQ AHGAADAGLCTYCSRPPGLNECGRCHRVHHAHCAPAECETAPPSPWQTLHHQSFPTQQ GHSSRPITLPAGDGSVLRGGTPTAQGSWAVQHGATIVYGRTYCNPEDLSSTRCESHAI IAGTVLGHDLGPQICDNTSAGAIHRAARRRMLKPWPIRYSHPFRAELRGLMSVMRPSG SFHTVWVRSHQEHEHTTDRQLQAQREALATVDTLATEAHHSDMPSPQTLPIILDTWQL IDHNGRPVMGNTLRWLGNWLATNKWADQQAAYSTDRRTILPTGLETPRTCGWKKGLTR FYWRTIAMTLHTNAAKHRLDGRWGPHCRLCYENPRDTVGHRFGISAPTCSESCHLDSR LGHRLHKTCTMSWLPDQHIYPPRMAQEMAITFDLPLAEAWHENERQRPTQSTTYQPMI MLTGSWHMHGLHLSRLTQLPLASTSAGQLIAQIRWENMQLRAGQYGYITPRWLGRTAL SAQPLLAQREIWLAQQMGTEQPATYNGPWDSCSTRKEDAPALGHRFWWIDAILQGRTD QWWRTLATEITTTAAMAGPYEYWCICYKNSTGHRTLDALGATWNLHIPAGQLRMRHHS TITYSSPPRWAGLTNMNKVDILLGFIVSPDSDTSTLPTHWAHALQHWGQMTWLMNPPR NTLPSPPPAYTQAIRWDADNDGDPEDPTCHEVHSWLRASFPHIYRAPAAWQRLPTMTN NTLQWAIALSLTPRLGKDFHRRWFTTHWTTLHAYWTHTCHEQYVATAQDGDTALAQAV NTGIKQRRRAHDDTPAAMDTNLRQAKARRTRDIDTWLGQRAKGLLGSPAASHDQQIVT RTRRRRPLRRPPETSAARHQQL H257_17656 MSFSTKNGQTYTARIIGYTISRNILAKIVDSYFDLCVTKSRIDT AVAMLLKPVKSVTDPYILRPTGLARGLHPLSAVSCSMTPCGVASCVRGDAHGSGHYPT RMHLSLQPGTPSYPRGFEKVGICPLSRDIMMAKIVGDKPGLKATTVIQATVRVETRVV VHLRELEIDIDSARVLCINDAVVQAFTNRASEPKGEDDWIHGGLLMTADDIASKVVDK EAKKKEKEEILVQKKKATAQKRVIAGRTKKA H257_17657 MPVSRATSIEWDRCLELSRPSERAFVSLDGSDFRIQEQIPFDRK WYSHKFHGPGLRYEVGICIRTGNIVWVNGGLPCGEWPDLRFARDSYINMVRRGELTLA DKGYNDPNYFIYPCPQLQNPRRHKDIMARHETVNKRMKQFGVLSGVFRHIRETIEGIS SSFHN H257_17658 MKDFVKQRRQRSLTYDEKLDILWLQATLREDNTVDVAGTIARLL GRLRDLVQTFVRDRPVTRTRTVAKDVLALVQDAHVVTVADGAKDHAACLRAVQRFLDK QGNARGKPSRNTTYRITPAHEQARDSNANFMVPTVTTAPRRSVAYLDEGFIHHHYTRH ADSLYDPMDTAKTKPKHKGTVALHLLGLDIFVGGKKNGRTVKDYHSMFNHEYFVNWFG KLLDEVEELGWSSAVFFMDNAKYHKGKTSTTPKGSWKKADLYQACLKYDIRDISPTDL EAAMWARLKKYIDEHIYPVVLHPIELIWANVKGTVGRAYTSDTTFQDVYKRLDNAFYH LDSETIKVTIDNSTAKLIALEKALRQAEEAAAMVSIGSGHSDGDSDTSSSCDESSAGS SDGVDSTTLTITHISLP H257_17659 MDAKDVADTKDSVRPSTLATDNPMSPTSTPPIVDTTSDQDEASS QQDTSPTALTRTYSIPAPHLAPNSPTAINDVGAPFSRTVSVPASVPQEGHPPHFPPRH VSSLPPQ H257_17660 MAYTAFSRPETFQSERRVTFVHNKKNFIGPSAIPTTQIYRYTYT PGQRLVVSVTSSVHDAPFCYYFRAESRWVFDASLSSAHECSLIEGFAKSESKSVMLKW VKQAIDAYNSAHPS H257_17661 MDQDAVAATMTMQLDPDTSLRLLRRLNVVGVVVLVVLLLQLMAT LYNLRTTTNESVRLQNQHQVLLSFNSWTR H257_17662 MACQCSGVLFIGGCSQTKATRLNCLTFQFAYDPSMSAYLIVCSA VLYTILVSTPLALAATAPSDVWKTNNNRVIVLHHRHGYVSTESNVITTEEHAALRRLI ANIEAPSNDEASHRRAGIPAEYAVNLMQPFGGASASAEIAAHDKQKAEASSLDKAHSG TSAEKKADRGDIFYEKLIIFKTSHDRVKENAESDNKRLSSRDVSQEADRGQMAKQRQT VT H257_17663 MEGTEAAGGVEVVTEATVSVDPQDLAVCIRVLEAFHGNMEALKA APFKALRRAMVPLCEDVRTRLFHGKDVATYDDTKKKKQDRKRKAAQAAALDKHFINNT KLRSQRLQALDSLTAAAPMLALVADGAVVDDAPDVSMDGQTDNQPPPTLHGFRSCYAC KKRFDTLHHFYDQLCPDCATLNFQKRLQTADLTGYIALVTGARVKIGYHTALKLLRAG ATVIATSRFPQDAAIRYANESDYSTWKTRLHVYGLDLRDLAGAEVFMDCIERTFHSLD ILVNNATQTIRRPTHYYQHLLPNERRAPADTPENIREVLSGNHSFQRALATPHQPLTL THAASTDPITAPTNSANDAALSATTSTPTAKSAELSQLPLTKDDKDLTADERAALYPV AQYDANNQQVDLRKTNSWKLKIDHVESPELAEVFAINALAPFILNKRAILLFEKSARP HHFIVNVSAMEGKFYRYKTPHHPHTNMAKAAANMMTRTCSEELKTRHIYMNSVDTGWI NDENPRDKAQEIAAKHNFQTPLDEIDAAARILDPIFGGFQDISKPLTFPSGEFFKDYQ RSEW H257_17664 MYEKEDILFDDVTPLKPHVFTKAVVDHFATTPSYWRQRYYVNDE YWGGPGYPVFFMIGGEAPIQPTDVSHEMFFINTLVIQHKALLLSLEHRYYGQSYPTPD MTVENLAHLTIIAQALKDLARFHGHVWIAFGGSYPGNLAVWFKEEYPQLVAGSIASSA PVLLMADYKEYMQVVSNDFTRVGGAACGMSIRHALQALDDAIVEALATVPSKDAKKSL KKKQGKHAAHHDATSSNGTSGALYDVLTLCEPLTNDMDAMYNDFDPVDVLCTCDYFAS VANEKPLAQLAGYLAMTSPVGCFMSTFHTSSGMSTTSSIASRQINVCF H257_17665 MSDYDSDSNGRSNKKNFRGRGQRSVGLASSRSVFDRLDQGESKA ANAGQKSVEGYVLFVSNVHEEAQEEDLLDAFQEVAEVANIHLNLDRRTGFVKGYALLE FKELDDAKEVIKEMNNKDLLGNELKVDWAFVKDGGDIKGGRPSQQAPRSRRQ H257_17666 MAFHLKLASPCINQINHGYSNVRNLNVRLAPATSPTSSTSLRCC VINVLNYIDRGIVPGAPIQFQWFIHHTLDIAADRVSIYIGLLVSVFIASYSVFICVFG YLSIRRRPFRLAAFGLILLTLSIALCGLARPMKNYYLLLVGRLLSGVGESSFQAVTPS FIDQHAPPHKRTLWLGLFGCAISAGTALGYSYGSLTAQTIGWDWGFYLTAIAMAPLAY MCYTGIPARFDLPMIQETTQPHQDVIADDMRRGSSLTISFLPELWSVMQSPLFLTATF GWAAYSFTLAGLASFGPAILIGSNILDEKYASTAFGGIVVLAGLVGSPLGGFAVDFQC KAQESDADHRLYVVTRQMFVLVSGGVACVFGSAAMMDVAAAFLAFLFGGLVLLFMTQS AFTVCVLLSVTRSRQGFALGLSTFLLHLLGDVPSPILLGYLKDQWAPDCGTVVDEVLD SEVLNPMCSVTGKGGLQRLLFFAYGWLTMTAFFWGLAFLLGRRQLLRPQKRSMFDTAI PMMGSV H257_17667 MCTVHWLARVLVVASYSLSICVFGYLSLRQHPFLLIAAGLFIWI VAQSLARPPRQFSPSPRPAPEANALAGLGAPFRPARPLGARWGPSLPKVWGDVGFYIL GGAVVPLAYLSFRWIPSGPCVGYDPSTATAEMLMPCLNNRNTNIINVTADVDHQHTAI VSTLISEAMVILSTLMFLTSSIGFAVYVFTLADGGVRARHSHRHGRVGRAIDCRVHCQ CRGRGNAADYQLFIATRQMFWLVAVGTSMAFLSWMLLYVPVACLGCLFLSLLLLFMTQ SSQSVSILRRVIDPQGRESLNLQCVVDGKDGLQRVLAFAILWLG H257_17668 MSYNGVGLRTARGSGTNGYVMRNLSYVKPAHIRERERQAQLKYA MLEEPHNKQPVNKEILLHEKKRQVEVKVMELRDELEEQGCDEDEIEEKCAAYRVKVQA RIETDARRHDDSKHASSHAKAMRKAKELAALKDAFGIRDTYVEGTSFDVDLQEQRRLE RMNEYDRKQREREERSARPRLTNNDDKSSSPSKSSKKESSSKEKRRRRRSPSSSSSSS SSSSSSSSSSSSDSSSDSSSESESENHKSKPRRESTKDRSRSRDKTRALTPKQTASVH DEANSSRKRKERSPPRSPEDAKRPSRRRTPTPPPRSPRSPLRRRSSSPSRSPVRRNLS PSRRSPNRRTRSLSRSRSRRRGDRSRSPVRRFRGSPPRRGRYNSRSRSPSYRRRGRFR SSSRSPPSRHRRRSRSFSRSPSRHRGQHKREAARLSPAKGSPTNGKDEAKKQDEPTNA GGGRRSRSRLSTSSSGSDMEE H257_17669 MADGNLQHDVANAEPATETRESDGEYEDGGSATSMYAEFWMHDL VELGNVDVLKAALDEDEEGFLVSLKEVDDMGCTPLHTAVLHPVESVLSFLFTFGPEAL GLETACNGTPLIHLVLRMCTFAEHRAVLLPILRVLLTYDTFMATAIHGKDDVGNTIFH VAAMANVLDVFVQLEPSVAALEVRNRVSERPLHIAIKFRSSHVLQSLVHDHHVDIAAP TSFGMTPLHQAAASPDATAILPVLDPDWHTSTCKNGLNQTPLDVYIATTQHRLPGTSC GFLYHPDAMEHLPMAGHVRGKDEPPPENFERMKSLVSPGLGILRTAEFKSSPVTWSHD IPKADIADVLRIHDVAYVEKLKTLCGRVPIDVPAEELSAYCLDADTALSRDSYEAALR AAGNVCAAVDKVVAGTTRNAFCIVRPPGHHAGPVGKVTCDHDRVGSHGFCLLNNVAIG ASYARSHFKAQGINKIAILDFDVHHGNGTEECIRHLVHRVQDVPFETPFVSGTHRTHQ YKPWRSEEDVSNVFFCSIHGYGPKDPKQEFPPGQYAGAWFYPGSGESTDKPTDKDQPI IINVGLPYQRGNLARQEWRRVLRSDILPQLVAFEPDLIFLSAGFDGHRSENVNWGYVG LMEHDFEWLTQSVVKVANKVCNGRVISVLEGGYNFHGRIASPFCRSVAAHARALVAGS QTTEPWNEVAMAHEAACEAAMILDATAKKHKTVAKREDDPSRDAERVDSSSMETTRTS KRMRKEVDYVALAAELTWESAATK H257_17669 MADGNLQHDVANAEPATETRESDGEYEDGGSATSMYAEFWMHDL VELGNVDVLKAALDEDEEGFLVSLKEVDDMGCTPLHTAVLHPVESVLSFLFTFGPEAL GLETACNGTPLIHLVLRMCTFAEHRAVLLPILRVLLTYDTFMATAIHGKDDVGNTIFH VAAMANVLDVFVQLEPSVAALEVRNRVSERPLHIAIKFRSSHVLQSLVHDHHVDIAAP TSFGMTPLHQAAASPDATAILPVLDPDWHTSTCKNGLNQTPLDVYIATTQHRLPGTSC GFLYHPDAMEHLPMAGHVRGKDEPPPENFERMKSLVSPGLGILRTAEFKSSPVTWSHD IPKADIADVLRIHDVAYVEKLKTLCGRVPIDVPAEELSAYCLDADTALSRDSYEAALR AAGNVCAAVDKVVAGTTRNAFCIVRPPGHHAGPVGKVTCDHDRVGSHGFCLLNNVAIG ASYARSHFKAQGINKIAILDFDVHHGNGTEECIRHLVHRVQDVPFETPFVSGTHRTHQ YKPWRSEEDVSNVFFCSIHGYGPKDPKQEFPPGQYAGAWFYPGSGESTDKPTDKDQPI IINVGLPYQRGNLARQEWRRVLRSDILPQLVAFEPDLIFLSAGFDGHRSENVNWGYVG LMEHDFEWLTQSVVKVANKVCNGRVISVLEGGYNFHGRIASPFCRRYIYMLYRVFGSL ILSFTRQFATFP H257_17670 MNTTASPSISLGQVEDELREAEAYLFQEAPKKETLTDIALPTMT EARRKEIIAKLNSERAALRQRKTAPPSADDDRTSSTSFLDATAFFPLPSLHQHSFESP SQFNSIDDKRCGGDSRDGNDGAYSGDEDDGDDSMYFLKELERSDGNDSLFFASDIHNL PLPSFDPSSFPSELHEPHIPSPPTSPFAPAKPPCTSGRPPKRGVRFHIELEPPARSIA PSTATSDNPPHPTTRASVTPKRLISSSPSSSHPSPAHQSSSPPPPPPPPPLPSPKRAV MRSSCAKPPAPPSSTQQSKRMSQLARSVDYTAREKTKVAQDMATLTSTCSFRPAQSSR AAQTLKTPEKARRSKATAHSKPPPSWSPHKKAKVDELTERLHVEGALRFELREKVKQV LDDQRVRATCTFKPKINRNNRSVLGHTTNQKPIHTRLPELQRQKKEMLRQLEHAIEAE SQLTFTPTINAHSHKLTHDMTKMDVTDRLVQDAEDTAEKKLQVQAYYAALDVPAFVPC VNERSNAIVEKKPEFKLDFVARQQLLHAQMEQKFEAKLALEERIQAEEKPFQPCIGNS NQVLQYTRPKRLVESTQAQLYRMTYEEPRQRALVKKRLEDEQYEKFSHKPTLNPVSNA LGRPTSIHKLAQKAPTKMIRSRVVRDMDAQAQAECRFRPELVAVDDADLDRTTVWNPD TCLQQIEQARMTRRQKLEDQRNSLEFQELQACTFAPTINKSTTKPSRGPVVVRGLGRF LELKQLAKRQVAERKEREAKVFTQTRDYTPRAYTVPQPFNLSFDQRRRAREERLKAEM DEKELGECTFQPHTMEHKNRRLIHRLLEQSQEL H257_17670 MNTTASPSISLGQVEDELREAEAYLFQEAPKKETLTDIALPTMT EARRKEIIAKLNSERAALRQRKTAPPSADDDRTSSTSFLDATAFFPLPSLHQHSFESP SQFNSIDDKRCGGDSRDGNDGAYSGDEDDGDDSMYFLKELERSDGNDSLFFASDIHNL PLPSFDPSSFPSELHEPHIPSPPTSPFAPAKPPCTSGRPPKRGVRFHIELEPPARSIA PSTATSDNPPHPTTRASVTPKRLISSSPSSSHPSPAHQSSSPPPPPPPPPLPSPKRAV MRSSCAKPPAPPSSTQQSKRMSQLARSVDYTAREKTKVAQDMATLTSTCSFRPAQSSR AAQTLKTPEKARRSKATAHSKPPPSWSPHKKAKVDELTERLHVEGALRFELREKVKQV LDDQRVRATCTFKPKINRNNRSVLGHTTNQKPIHTRLPELQRQKKEMLRQLEHAIEAE SQLTFTPTINAHSHKLTHDMTKMDVTDRLVQDAEDTAEKKLQVQAYYAALDVPAFVPC VNERSNAIVEKKPEFKLDFVARQQLLHAQMEQKFEAKLALEERIQAEEKPFQPCIGNS NQVLQYTRPKRLVESTQAQLYRMTYEEPRQRALVKKRLEDEQYEKFSHKPTLNPVSNA LGRPTSIHKLAQKAPTKMIRSRVVRDMDAQAQAECRFRPELVAVDDADLDRTTVWNPD TCLQQIEQARMTRRQKLEDQRNSLEFQELQACTFAPTINKSTTKPSRGPVVVRGLGRF LELKQLAKRQVAERKYVCCHRLLHVVFGM H257_17670 MNTTASPSISLGQVEDELREAEAYLFQEAPKKETLTDIALPTMT EARRKEIIAKLNSERAALRQRKTAPPSADDDRTSSTSFLDATAFFPLPSLHQHSFESP SQFNSIDDKRCGGDSRDGNDGAYSGDEDDGDDSMYFLKELERSDGNDSLFFASDIHNL PLPSFDPSSFPSELHEPHIPSPPTSPFAPAKPPCTSGRPPKRGVRFHIELEPPARSIA PSTATSDNPPHPTTRASVTPKRLISSSPSSSHPSPAHQSSSPPPPPPPPPLPSPKRAV MRSSCAKPPAPPSSTQQSKRMSQLARSVDYTAREKTKVAQDMATLTSTCSFRPAQSSR AAQTLKTPEKARRSKATAHSKPPPSWSPHKKAKVDELTERLHVEGALRFELREKVKQV LDDQRVRATCTFKPKINRNNRSVLGHTTNQKPIHTRLPELQRQKKEMLRQLEHAIEAE SQLTFTPTINAHSHKLTHDMTKMDVTDRLVQDAEDTAEKKLQVQAYYAALDVPAFVPC VNERSNAIVEKKPEFKLDFVARQQLLHAQMEQKFEAKLALEERIQAEEKPFQPCIGNS NQVLQYTRPKRLVESTQAQLYRMTYEEPRQRALVKKRLEDEQYEKFSHKPTLNPVSNA LGRPTSIHKLAQIVWLESADQDDPIPSRAGHGCPSSSRMPVSAGIGGCRRCRPGPHHC VEPRRANTMSLFQKICDQSRVFIRRACSRLNRRE H257_17670 MNTTASPSISLGQVEDELREAEAYLFQEAPKKETLTDIALPTMT EARRKEIIAKLNSERAALRQRKTAPPSADDDRTSSTSFLDATAFFPLPSLHQHSFESP SQFNSIDDKRCGGDSRDGNDGAYSGDEDDGDDSMYFLKELERSDGNDSLFFASDIHNL PLPSFDPSSFPSELHEPHIPSPPTSPFAPAKPPCTSGRPPKRGVRFHIELEPPARSIA PSTATSDNPPHPTTRASVTPKRLISSSPSSSHPSPAHQSSSPPPPPPPPPLPSPKRAV MRSSCAKPPAPPSSTQQSKRMSQLARSVDYTAREKTKVAQDMATLTSTCSFRPAQSSR AAQTLKTPEKARRSKATAHSKPPPSWSPHKKAKVDELTERLHVEGALRFELREKVKQV LDDQRVRATCTFKPKINRNNRSVLGHTTNQKPIHTRLPELQRQKKEMLRQLEHAIEAE SQLTFTPTINAHSHKLTHDMTKMDVTDRLVQDAEDTAEKKLQVQAYYAALDVPAFVPC VNERSNAIVEKKPEFKLDFVARQQLLHAQMEQKFEAKLALEERIQAEEKPFQPCIGNS NQVLQYTRPKRLVESTQAQLYRMTYEEPRQRALVKKRLEDEQYEKFSHKPTLNPVSNA LGRPTSIHKLAQIVWLESADQDDPIPSRAGHGCPSSSRMPVSAGIGGCRRCRPGPHHC VEPRRANTMSLFQKICDQSRVFIRRACSRLNRRE H257_17670 MNTTASPSISLGQVEDELREAEAYLFQEAPKKETLTDIALPTMT EARRKEIIAKLNSERAALRQRKTAPPSADDDRTSSTSFLDATAFFPLPSLHQHSFESP SQFNSIDDKRCGGDSRDGNDGAYSGDEDDGDDSMYFLKELERSDGNDSLFFASDIHNL PLPSFDPSSFPSELHEPHIPSPPTSPFAPAKPPCTSGRPPKRGVRFHIELEPPARSIA PSTATSDNPPHPTTRASVTPKRLISSSPSSSHPSPAHQSSSPPPPPPPPPLPSPKRAV MRSSCAKPPAPPSSTQQSKRMSQLARSVDYTAREKTKVAQDMATLTSTCSFRPAQSSR AAQTLKTPEKARRSKATAHSKPPPSWSPHKKAKVDELTERLHVEGALRFELREKVKQV LDDQRVRATCTFKPKINRNNRSVLGHTTNQKPIHTRLPELQRQKKEMLRQLEHAIEAE SQLTFTPTINAHSHKLTHDMTKMDVTDRLVQDAEDTAEKKLQVQAYYAALDVPAFVPC VNERSNAIVEKKPEFKLDFVARQQLLHAQMEQKFEAKLALEERIQAEEKPFQPCIGNS NQVLQYTRPKRLVESTQAQLYRMTYEEPRQRALVKKRLEDEQYEKFSHKPTLNPVSNA LGRPTSIHKLAQIVWLESADQDDPIPSRAGHGCPSSSRMPVSAGIGGCRRCRPGPHHC VEPRHVLAAD H257_17670 MNTTASPSISLGQVEDELREAEAYLFQEAPKKETLTDIALPTMT EARRKEIIAKLNSERAALRQRKTAPPSADDDRTSSTSFLDATAFFPLPSLHQHSFESP SQFNSIDDKRCGGDSRDGNDGAYSGDEDDGDDSMYFLKELERSDGNDSLFFASDIHNL PLPSFDPSSFPSELHEPHIPSPPTSPFAPAKPPCTSGRPPKRGVRFHIELEPPARSIA PSTATSDNPPHPTTRASVTPKRLISSSPSSSHPSPAHQSSSPPPPPPPPPLPSPKRAV MRSSCAKPPAPPSSTQQSKRMSQLARSVDYTAREKTKVAQDMATLTSTCSFRPAQSSR AAQTLKTPEKARRSKATAHSKPPPSWSPHKKAKVDELTERLHVEGALRFELREKVKQV LDDQRVRATCTFKPKINRNNRSVLGHTTNQKPIHTRLPELQRQKKEMLRQLEHAIEAE SQLTFTPTINAHSHKLTHDMTKMDVTDRLVQDAEDTAEKKLQVQAYYAALDVPAFVPC VNERSNAIVEKKPEFKLDFVARQQLLHAQMEQKFEAKLALEERIQAEEKPFQPCIGNS NQVLQYTRPKRLVESTQAQLYRMTYEEPRQRALVKKRLEDEQYEKFSHKPTLNPVSNA LGRPTSIHKLAQIVWLESADQDDPIPSRAGHGCPSSSRMPVSAGIGGCRRCRPGPHHC VEPRHVLAAD H257_17670 MNTTASPSISLGQVEDELREAEAYLFQEAPKKETLTDIALPTMT EARRKEIIAKLNSERAALRQRKTAPPSADDDRTSSTSFLDATAFFPLPSLHQHSFESP SQFNSIDDKRCGGDSRDGNDGAYSGDEDDGDDSMYFLKELERSDGNDSLFFASDIHNL PLPSFDPSSFPSELHEPHIPSPPTSPFAPAKPPCTSGRPPKRGVRFHIELEPPARSIA PSTATSDNPPHPTTRASVTPKRLISSSPSSSHPSPAHQSSSPPPPPPPPPLPSPKRAV MRSSCAKPPAPPSSTQQSKRMSQLARSVDYTAREKTKVAQDMATLTSTCSFRPAQSSR AAQTLKTPEKARRSKATAHSKPPPSWSPHKKAKVDELTERLHVEGALRFELREKVKQV LDDQRVRATCTFKPKINRNNRSVLGHTTNQKPIHTRLPELQRQKKEMLRQLEHAIEAE SQLTFTPTINAHSHKLTHDMTKMDVTDRLVQDAEDTAEKKLQVQAYYAALDVPAFVPC VNERSNAIVEKKPEFKLDFVARQQLLHAQMEQKFEAKLALEERIQAEEKPFQPCIGNS NQVLQYTRPKRLVESTQAQLYRMTYEEPRQRALVKKRLEDEQYEKFSHKPTLNPVSNA LGRPTSIHKLAQKAPTKMIRSRVVRDMDAQAQAECRFRPELVAVDDADLDRTTVWNPD VRTPCHYFKKYVTNLGCLLDVLAAD H257_17670 MNTTASPSISLGQVEDELREAEAYLFQEAPKKETLTDIALPTMT EARRKEIIAKLNSERAALRQRKTAPPSADDDRTSSTSFLDATAFFPLPSLHQHSFESP SQFNSIDDKRCGGDSRDGNDGAYSGDEDDGDDSMYFLKELERSDGNDSLFFASDIHNL PLPSFDPSSFPSELHEPHIPSPPTSPFAPAKPPCTSGRPPKRGVRFHIELEPPARSIA PSTATSDNPPHPTTRASVTPKRLISSSPSSSHPSPAHQSSSPPPPPPPPPLPSPKRAV MRSSCAKPPAPPSSTQQSKRMSQLARSVDYTAREKTKVAQDMATLTSTCSFRPAQSSR AAQTLKTPEKARRSKATAHSKPPPSWSPHKKAKVDELTERLHVEGALRFELREKVKQV LDDQRVRATCTFKPKINRNNRSVLGHTTNQKPIHTRLPELQRQKKEMLRQLEHAIEAE SQLTFTPTINAHSHKLTHDMTKMDVTDRLVQDAEDTAEKKLQVQAYYAALDVPAFVPC VNERSNAIVEKKPEFKLDFVARQQLLHAQMEQKFEAKLALEERIQAEEKPFQPCIGNS NQVLQYTRPKRLVESTQAQLYRMTYEEPRQRALVKKRLEDEQYEKFSHKPTLNPVSNA LGRPTSIHKLAQKAPTKMIRSRVVRDMDAQAQAECRFRPELVAVDDADLDRTTVWNPD VRTPCHYFKKYVTNLGCLLDVLAAD H257_17671 MSTLDYCRYNSSHFKISVEFAMKSEEIWNAIKDELLALSVQDVY MILNVVCLLVFVYYLVCVVLGVAPKEKKPDPTLLKAYSFGHWWLWTLLGVANLSERVF GITMFAIPVERLKELLRSTPKEADQFFGDPATTIELFDTVIDDLAKTSTLSAYGRYMV TKDLTASLLARKAFMEYVVEHPEVIDETVEAPIVITGVARTGQNLLYNLLALDPSLRA PRHYESEAMAYSPVAPPKAGAVDSTHIWHNRSYHAWQSTYRLVPELYESLVAVQYMDP ISFCDDTVISQHVMPSTLYSAVLGDAARRLLVALPNASNVYSYLRRYLQMLQTNQTID TTTSENNAAATAVTSTTAPSKATSWLLKAPFHASHLSQLRVAFPDARVVVLHRPMTAV VPSSATHLLRVMHPALKGKALDKKHLGRVALDLCSEKAAALHDFQSSKSSSDVVDISY DDLAADPIDVVKTLYAKWNKDVSDEHAEKMQAYLTDKPKGKYGELKYSLEEFGLSTLV VDSLFAKYSTKGSEYIGNSDLASSVPGSPLIQTA H257_17672 MKPIIIDEDVEIGDVAPSSTTSAPGNKVLIPHNAASPKKKEKHP PVYRSHSIHTSSAAHAAYVHPTPHLQPPHTHNSSTDKHNRSATMSHIPMIKHKRPKPT KSNHHKTHDPNWDVSILNKSWQISDDMRRSLPALVQNNLRMARGASAPSADQRFLSST KLVVPALKGPVQSMGIPTSAEVLQAQLLMDEVKNDALEKLTDSDRRKYFGESGKSDMG RLTNKLASQMYLFTSVEDLLDTNIDMTQLEPSDDTVGIFAGPLTARHKYTAMCLIAKL PPSIRLMIRNHTGPEINVSHMAMGDDMCKIFAQCLADLPMVTSLNVRNNRLTDSGIGA LVYVCLNKDDLTSLDLSENKVDGDAAAALAEYVAAPTCALTELRMNKSDVDDGEVLGF AQALHTNTSLRTLELSRNLIGSAEMMNVVKPDMITGGEAIAEMLCNNSFLTKLDLSWN TIRLNSAVELGKALAINNGLRELNLAYNGFGNEGTQAIGMALHKNNCLQVLDLSHNNI PCQAAWVIAQSLQVNDSISTIILDGNPLGKLGCQGLLQAVATSSNRSLSIPMNGCNFD LFDPNSFNPEEASGQYDLNLSIPYERSILLELVRCASTKTGCKFISMVHVLDKTSKTI HVECRDATKASAELVRRRSSNKARMFAARMNQTTLESLFDELDKDHSGAIDSIELENG MLSMGIEPEVGEAARLISRFDIDGTGTIEMNEFMELMASFNVVPTPKRVLVDVATNRP FEVPLTGHMLVEFVDLHIPSEQQEAHTRESVGHLIKNLKDNHSHVQMLAMAKNGMYFK EREAQMIIDSILDGSDVVQAMASILPHMIDASNACQLIECNITDVSQRLRLQHLLRFS FGPIVGLCTGHYRLEMAEPLDRIAAKKLMEISNKTMLWKKRHDLLDTSQHRNFQCFRN ECFNGKPVVLENAFCDKIPKFGVLEFDFVHMASRPLRQQGQADIQPMSTKRFQQFLDK MQTVGLDVNPHCQVPHCYRVLSENVYTQVLKMQKNRQHIHYGAGLAAVSEATLLGEKT TISVRRLIMELHSVLSSRWISVKQTIRFLTMWPRVFQAARLDVILIFFDRITDVYNFQ QVLPLLTDDEVAQLLYRVGWLHVWSPLVPDLYYELDLSMYEQREVAKILVQLALNEPG ENWQNETYGWNRGDPIPGWQLNQSWLGEGNFPEKGYLTLDYYSGADRGCGPVWHTRMA LCKHVLAEPPPPAHLNEFIHHTTILTLNSIRDLTPKPVVAHSIQPKKKQ H257_17672 MKPIIIDEDVEIGDVAPSSTTSAPGNKVLIPHNAASPKKKEKHP PVYRSHSIHTSSAAHAAYVHPTPHLQPPHTHNSSTDKHNRSATMSHIPMIKHKRPKPT KSNHHKTHDPNWDVSILNKSWQISDDMRRSLPALVQNNLRMARGASAPSADQRFLSST KLVVPALKGPVQSMGIPTSAEVLQAQLLMDEVKNDALEKLTDSDRRKYRPCYIITSSS YGLLLQVLLIRYFGESGKSDMGRLTNKLASQMYLFTSVEDLLDTNIDMTQLEPSDDTV GIFAGPLTARHKYTAMCLIAKLPPSIRLMIRNHTGPEINVSHMAMGDDMCKIFAQCLA DLPMVTSLNVRNNRLTDSGIGALVYVCLNKDDLTSLDLSENKVDGDAAAALAEYVAAP TCALTELRMNKSDVDDGEVLGFAQALHTNTSLRTLELSRNLIGSAEMMNVVKPDMITG GEAIAEMLCNNSFLTKLDLSWNTIRLNSAVELGKALAINNGLRELNLAYNGFGNEGTQ AIGMALHKNNCLQVLDLSHNNIPCQAAWVIAQSLQVNDSISTIILDGNPLGKLGCQGL LQAVATSSNRSLSIPMNGCNFDLFDPNSFNPEEASGQYDLNLSIPYERSILLELVRCA STKTGCKFISMVHVLDKTSKTIHVECRDATKASAELVRRRSSNKARMFAARMNQTTLE SLFDELDKDHSGAIDSIELENGMLSMGIEPEVGEAARLISRFDIDGTGTIEMNEFMEL MASFNVVPTPKRVLVDVATNRPFEVPLTGHMLVEFVDLHIPSEQQEAHTRESVGHLIK NLKDNHSHVQMLAMAKNGMYFKEREAQMIIDSILDGSDVVQAMASILPHMIDASNACQ LIECNITDVSQRLRLQHLLRFSFGPIVGLCTGHYRLEMAEPLDRIAAKKLMEISNKTM LWKKRHDLLDTSQHRNFQCFRNECFNGKPVVLENAFCDKIPKFGVLEFDFVHMASRPL RQQGQADIQPMSTKRFQQFLDKMQTVGLDVNPHCQVPHCYRVLSENVYTQVLKMQKNR QHIHYGAGLAAVSEATLLGEKTTISVRRLIMELHSVLSSRWISVKQTIRFLTMWPRVF QAARLDVILIFFDRITDVYNFQQVLPLLTDDEVAQLLYRVGWLHVWSPLVPDLYYELD LSMYEQREVAKILVQLALNEPGENWQNETYGWNRGDPIPGWQLNQSWLGEGNFPEKGY LTLDYYSGADRGCGPVWHTRMALCKHVLAEPPPPAHLNEFIHHTTILTLNSIRDLTPK PVVAHSIQPKKKQ H257_17672 MKPIIIDEDVEIGDVAPSSTTSAPGNKVLIPHNAASPKKKEKHP PVYRSHSIHTSSAAHAAYVHPTPHLQPPHTHNSSTDKHNRSATMSHIPMIKHKRPKPT KSNHHKTHDPNWDVSILNKSWQISDDMRRSLPALVQNNLRMARGASAPSADQRFLSST KLVVPALKGPVQSMGIPTSAEVLQAQLLMDEVKNDALEKLTDSDRRKYFGESGKSDMG RLTNKLASQMYLFTSVEDLLDTNIDMTQLEPSDDTVGIFAGPLTARHKYTAMCLIAKL PPSIRLMIRNHTGPEINVSHMAMGDDMCKIFAQCLADLPMVTSLNVRNNRLTDSGIGA LVYVCLNKDDLTSLDLSENKVDGDAAAALAEYVAAPTCALTELRMNKSDVDDGEVLGF AQALHTNTSLRTLELSRNLIGSAEMMNVVKPDMITGGEAIAEMLCNNSFLTKLDLSWN TIRLNSAVELGKALAINNGLRELNLAYNGFGNEGTQAIGMALHKNNCLQVLDLSHNNI PCQAAWVIAQSLQVNDSISTIILDGNPLGKLGCQGLLQAVATSSNRSLSIPMNGCNFD LFDPNSFNPEEASGQYDLNLSIPYERSILLELVRCASTKTGCKFISMVHVLDKTSKTI HVECRDATKASAELVRRRSSNKARMFAARMNQTTLESLFDELDKDHSGAIDSIELENG MLSMGIEPEVGEAARLISRFDIDGTGTIEMNEFMELMASFNVVPTPKRVLVDVATNRP FEVPLTGHMLVEFVDLHIPSEQQEAHTRESVGHLIKNLKDNHSHVQMLAMAKNGMYFK EREAQMIIDSILDGSDVVQAMASILPHMIDASNACQLIECNITDVSQRLRLQHLLRFS FGPIVGLCTGHYRLEMAEPLDRIAAKKLMEISNKTMLWKKRHDLLDTSQHRNFQCFRN ECFNGKPVVLENAFCDKIPKFGVLEFDFVHMASRPLRQQGQADIQPMSTKRFQQFLDK MQTVGLDVNPHCQVPHCYRVLSENVYTQVLKMQKNRQHIHYGAGLAAVSEATLLGEKT TISVRRLIMELHSVLSSRWISVKQTIRFLTMWPRVFQAARLDVILIFFDRITDVYNFQ QVGGVLGVVVLNEM H257_17672 MGRLTNKLASQMYLFTSVEDLLDTNIDMTQLEPSDDTVGIFAGP LTARHKYTAMCLIAKLPPSIRLMIRNHTGPEINVSHMAMGDDMCKIFAQCLADLPMVT SLNVRNNRLTDSGIGALVYVCLNKDDLTSLDLSENKVDGDAAAALAEYVAAPTCALTE LRMNKSDVDDGEVLGFAQALHTNTSLRTLELSRNLIGSAEMMNVVKPDMITGGEAIAE MLCNNSFLTKLDLSWNTIRLNSAVELGKALAINNGLRELNLAYNGFGNEGTQAIGMAL HKNNCLQVLDLSHNNIPCQAAWVIAQSLQVNDSISTIILDGNPLGKLGCQGLLQAVAT SSNRSLSIPMNGCNFDLFDPNSFNPEEASGQYDLNLSIPYERSILLELVRCASTKTGC KFISMVHVLDKTSKTIHVECRDATKASAELVRRRSSNKARMFAARMNQTTLESLFDEL DKDHSGAIDSIELENGMLSMGIEPEVGEAARLISRFDIDGTGTIEMNEFMELMASFNV VPTPKRVLVDVATNRPFEVPLTGHMLVEFVDLHIPSEQQEAHTRESVGHLIKNLKDNH SHVQMLAMAKNGMYFKEREAQMIIDSILDGSDVVQAMASILPHMIDASNACQLIECNI TDVSQRLRLQHLLRFSFGPIVGLCTGHYRLEMAEPLDRIAAKKLMEISNKTMLWKKRH DLLDTSQHRNFQCFRNECFNGKPVVLENAFCDKIPKFGVLEFDFVHMASRPLRQQGQA DIQPMSTKRFQQFLDKMQTVGLDVNPHCQVPHCYRVLSENVYTQVLKMQKNRQHIHYG AGLAAVSEATLLGEKTTISVRRLIMELHSVLSSRWISVKQTIRFLTMWPRVFQAARLD VILIFFDRITDVYNFQQVGGVLGVVVLNEM H257_17673 MLVVCLEGCHGSGKTSLCNEFAANGFRILDENFLDMPAHSLHPQ SLFMETKWVCSWFDRVLQLSHKPNTQRQVFFADRSPFSAVFYAAHGKLLEPLIRAQMD EVSKFADIEFVTLHVNVERELLWRRIQRRLQDEPERIRYMEHKRSKMEDCLAFYNAFP WDMHVVNDARSLPVLAEQIISSVAHKSHTMRVYLERMVQSKDFCMETDSDCDSETTMS CDDSPLKAKLASVEDSSPIPMHFE H257_17674 MRLTQAAASGLQRCFGRAKMQLPYAAHRPSAPRWCRAFSDIKSD DDDDDDVDVPSSKSIATFGEGDKAPMVPHVLVIPTHKRPLFPGVVLPMTITNPDVTKA LAALRDSGQKYVGVFLKKQASKLDVTTKEEMGFTGMEAQKDEDLVTDLTGIHHIGSYA RIDNLINFENNSASQLLLVGQRRITIDDVHDSGVETSSQRRSFVSMP H257_17675 MEHPIMATPCTGRTRVIAHLQFFPRDHLEVSNQHSTVASTNPSF KIKHSSFEVSSQDQPTLGAITTSSVLTRRKKFPFQIRHWVIRQPCTVKTAILHPAALT IKVAQRPSTINRPKTANLRLNVSPHRPTAALTRMSALTGKTSYGLRTCTTKLGAFYSS RTPETLVNASHQFALRGNQSLGSLITSARASGRTTTTTNANNDPAVDGPPPPPVTQHD YHYSTPTVKETMEFVHQACGAEPYPRAEMSTRLALDEAYPDAVVHQLGLSINKDTTMG NAILHGVSGGERKRVTIGEMEFDMRQVSLMEGSRRGWPAPLYSASSRARKTIVIALLQ PSLEVFDLFDDVLVMSQLHLMYHGPSNQVLAYLESLRFVYPKGNVADI H257_17676 MLRTRSLGALFLSFLSFVSFACSSSTVAATVEVPMLHHVEQLPT GQLFYNGHTYTMASNVVSGVLSASILPPQGCEDDTYLVYACNPSKFIGAVCCVVVFVI LAGAMSGLTVGVLSLDTMHLSVLKMEGSPTARNAAARLLPVLSQHRLLLVTLVLMNAL ANEALPIFLNTLINPVASVVFSVTFVVLFGEIVPTALCTGDRQLVIGAACVPLLRGLI RMTYPISYPISVLLNRTVGETSSNLTYSRDELKALVQLQYERNPRAGLTSDDVDLLQC VLELGKCTVQDCMTPAAPIEDAVASPPSGVPLGSHLLDEGASPVTSDSTLIYAHKTGL YPVLDGVCGDKMPCMQVEPSTPLSSLLALFLRHPHATTVLVVTTPSQESDKEANPLDG VVGIVRRAHLPVFQPASSRGDLKLAMDDVDDHLTENSSNESKSPTLVGVHPSLFFSSK DNVMGYSRVSCVDDMEGGSFVPVTAATCS H257_17677 MDSPAAATLAASIVAQGEKVRDLKTQKASKELVVEAVNGLLAFK AQFKALTGADYVDPNAKPKEKPSEKAKAKVVDAPPADPTKKSKKELNKEKKKEKKATI KVGGDVAALPSTPVAKKEGKKPASLTIDVAKAPIFVQAPPAATDKPTVYYTASHLPRT AFAVAALLKDAGLDVTFVNFTIPHALPVLVLPTGKVINGDIAIAKYFCRLTPDAGLYG LSSEPILMGEIDGWVGYAQSHVHAATLPASFLDAILAERTFLVGFGLTLADVAVFSAL SAVQFQADATGAYPHLSRWFQYLHPILSPDSSLATTLPAPVLAILSPRKKPVPTEKST FVSQKGKTSGSCPPLEGAIVGQVVTRFPPEPSGFLHIGHIKACMLNDYYARQYQGKLI VRFDDTNPSKEKDEFEQAILQDLARLNIKPDVVTYTSDSFPIIIEYARQLIKAGLGYM DNTAQETMREERMVGIESVHRNASVSTNLALFDKLLVADPAAAGYCLRGKIDMQAKNK TLRDPVFFRANVKDAHHRTGTKYKAYPTYDFACPIVDSTEGVTHALRTTEYNDRDAQY QWVLQALQLRPVRIHAFARMNFVHSVLSKRKLQWFVDHGHVAGWNDPRFPTVQGVLRR GVTVEGLREFIISQGASRRITDMEWDKFWTINKKVIDPIAPRFFALDATRAVRVTVHG LPFTGVQGFPTALHPKNPNLGVNMKRLGADLLVERDDADAFNVGEDVTLMRFGNITIT AIHKSKDGEILSVDAQNHPEGDFKTTKLKITWLAHVDDVVPATLVEFDHLISKPKLEE SDNFQDHLTPVTRAEMRAIGDHGLRNLKTGDVIQLERRGYFRVDQPFLSKDRPLVLFM IPDGKQRAMSTLSTNLAHR H257_17678 MVCRVWAPCRPCSAAKVHARFCRVHVVHRPHQFPRGPTPNIFEV QCDLRRLDTCMEICRAEWPFACHSVPPYAPIPRMYVACDGHCRRQRPSRHCVVPAFVS RRRYAISTTSTYPHSPSSSLGCTKEAMDAASFKGFLDVVQFLHRHRKEGCTKSAMTWA ARLDQLQVVRFLDENRTEGCTKKALDWAAKHGHLNVVKYLHEHRQEGCPEKALLEAAK AGHMHIVRYLALHAKDLHAWMHRAMQTAVAAGHLKHVRALVEQGGRCATSNDMGHVVE AALETAMDTGHTAIAHYLLVCYSQLTVLK H257_17679 MSDRLGWFSLTSDNRGLGLARSHWSVSLKSNLLGWLGGISLARS YWIFRLTGDNRSFSLARDNPNLTGSHWSLGVAGGGLAGDWLFPNTWYVRCLVDHEIGH DGGGGDRSGGVRGCIMRTRHLGQHLSLAVEHAGATGDH H257_17680 MATTTHIAPTTTTKDGTMAAAAIAADVTSFGVVDGAGVRWVVVG AGVVVGAEVVVVTGIVVVAFGARVVAVILGLRVALGADVRLSNANTGSIGGTTGAAA H257_17681 MKRLNRKPGGGSSWAKNSQGARSNASGRGMPQEKLAQLAQQDAF DLTHGFAPLTEEDGPQIGWLLNACNTSRNVDNVERSGVELYFLAQDGSAFKTTLLRPP YFYVAAPPKRLQEALAFCQKTLEGTLLSSSVVSKEDLDLPNHLSGLQASYIQLVFRTV ADLVDAKQIVYPMIIKNKQDPYHSTDIPLVDIREYDVTYLMRVAIDDEIRVGAWYSVQ VDALEGVKVDRIMDMVDKAEPVVLAFDIECTKAPLKFPDAATDQIYMISYMVDRQGFL ICNKEFVSQDVDDFEYTPKPEYPGPFECINVANELELIRYFFNHVKELNPHIFVTYNG DFFDWPFLETRAQHHGMDLKSEVGISKDRNGEYRGQCAVHLDAYCWVKRDSYLPQGSQ GLKAVTKYKLGYDPVEVDPEEMLPLAQNEPLKMASYSVSDAVATFYLYDKYVHLFVFS LCTIIPLGSEDVLRKGSGTLCEALLMVEAFRGNIICPNKQVSVPFETTHKNHVVGSET YIGGHVECLESGVFRADLEYQFKVVPSALEHLIQHIDRDLTFALEVELDIPRHDVTNY TQVRQEIVEALEMLRDCPDRWEKPLIYHLDVAAMYPNIILTNRLQPSAMVTPADCAAC VHSTTCETSTSTLSCQRPMEWVWRGEYYPATKTEFDHLQTQLSYEVVGNIPYAQLPQD KRTSMLTDRVKHYCNTVYKKNTVTDTETRTSTVCMRENAFYVNTVRAFRDRRYDYKIL TKQWQKKASAATDPLDKVDATTKFGVYDSLQLAHKCILNSFYGYVMRRGARWHSMEMA GIVTNTGSQIIKQARQLVEQLGRPLELDTDGIWAMLPGSFPDKFKFTLKDGSTRSLEY PCVMLNAAVQENFTNHQYQEVTAGQKYQMRSECSIFFELDGPYKCMVVPASTEEGKLL KKRYAVFNFSNKLTELKGFELKRRGELELIKAFQSQVFPCFLEGKTLAECYAAVGDCA NRWLDILDTKGQAIEEEEVLALLTENKSMSGRLEDYGNQKSTSITTAKRLGEFLGPKM LQDKGLTCKLIVLTRPYGEKVTERAVPTAIFSAEPAIKKHFLRKWCRDPSMTDLDVRS LLDWDYYRTRFAGTVQKIILLPAAFQHIENPVPRIDLPKWMDRLVKERLDTKRQTTLG FLPVPKPVDLPAWTPQASTKKTKLKGPIKAQSLTPDIEDDIIDPSTLSTVEGDVHKPV DGVGDKPTSSWTSYEEWLALRKLKWRRKRLEMKALDTPMAVQKRKQLYLWHIVEVRDT AIPGLCDVWAINDGRLEIHQIETGTTVLKHSSTTVLVDTIDRAATFQEIKRYVPNQPH MVALEACKHATTSLDTIYEATIRPTSRLLWSLGSVVQPPSSLQRLTLSAFHSKPATSY LSSSTPSTHPLHQLALVISTNATHQVGSWSVLVRSSIKDGGMMWTEAMTWLLDAQGAT SGLKLTDWRHLSTPSTDLPKMTNHVVSAFTDVVAGVNNVLAKWRDVSSVVVAVVPKWV TRTSLRTQFRGLEAFPLVVTLSSDPSSFPMLTWRQDWQLRLVHAIDQVPRQYQDLLDC ARYVQVPVGNLQGDLHVMMLDTLYARLLQKYQHVWWGPADLDASRAATYTLVDGFKPV LVPGAYTHNMVIDVSLDGLAIQALLSDDGQAASEENVAFRLVRQLATQLFNDVVSTKS PVADALLQHFYRWMASPGAHFYDPNLHNLMQNCMHQLLKQLLAQMKRLGATIVYADVS RIVLETQKHSVDQAKAYMGFITRTLQETFPVLQWTPVHFYSHVLFLDMENFGGMEWVA DTAEPQVVGAWNLARYLPRGVDEYCRLLLAQFIKRRYDFTLRYSQDPASTTPLGLNNV EMALTEYCQKLISTQFTDKFLRLVPDILSHAHTFPTLAGSHLPWTHAALELVKCVCHV WHLDTTLSTHVMQLKRTLLKTMSISEFSTEAEFVNPSKSFVLPDIICTQCNLCRHVDL CREPGLMDDLSALDDRDEVVQSWQCPRCTHLYDLDMLEHRLVHVVHTQHSLPYQLREL VCKRCNLPNESQLNTLCGCTGTLSLDENASNVVEIDEIFRILLHLAKHQRFGYLQETM ERLMH H257_17682 MPTDRGASSVGRPSDPVWQYFKKLATPLPPSHVEEDGTTATFAR PRARCIACGCIMIGQVRQLKAHHARCGSRRHSPSSPSAPAQDDVNEGNDSVDAAENEL SRIPSIKHQLSAAEKLLVVKCHAYFKAEKQKEKFLVHRNPPWQTRDRVSKCLGMSQKT VSQVIAEWNRHQDPTFQVPLLLAPCPPSTASQPAATTAATSCSMQQLGEYAHDVDAYI QHRHAAELPVTAAVLCAHLMDLHCQHVDVAKMRLFLRRRGYVSGPRINGRRCLIRPTS PRPL H257_17683 MSLNPNAKTFSFNPAASSWTPPVPSQILPKPKPAAAAPAPPAAP VAAPPAPPAEVVASPKKAAAAPAPKPVEEKKAAAVVEDAVVADEDFEPLTDEEIGEED PREHINVVLIGHVDAGKSTLSGNILYLMDQVDKRTIERFEKEAKARNRDSWFLAFIMD TGEEERAKGKTVEVGRAQFDTPNKRFTILDAPGHKNYVPNMIQGAAQADVGILVISAR KGEFETGFERGGQTREHAMLAKTLGINKLIVVINKMDEAKWAKERFDECADKLRPFLR GCGYAVKRDVVFIPISGLAGDNIKVKVDPSKAPWYEGESLIDFLDTMTIPGRNPAGPL RVPVLDRYQERGTIVLGKVESGVLTTGAKIVLKPTSLRTTVAQVYINDKPVRTAKPGE NVTMRLTCGLEEITKGFVLVGAAEADEAKSVTKLVAQIAFVEALEHRPLLTAGYKAIL HCHTIAQECTITKLLRPIDPKTGEALKKKVMFAKAGQSVVVRLEVDQSICVETFENLP QMGRLTLRDEGKTIAVGKILKLETKAA H257_17684 MSVAGLSDGDSFDTYAPKPKKAKVAHPTEVTSVVAAAMAPTATT LTRTARQILGIMNGLELDDVASYFDKDGDDIDPYVVCDGVSVEAFNAYVGDGEGLPVA LRFLDLTADGRILIVELPTKVHESTARTFEYMFLAATGNGLEVATGGSMRARRAGLRK KEADATFGPMRSTPNRTAPPVPRTISDWVTLAVEVGRSQSWASLTAAASWWAGYAGIQ YVLLLKVSARGTQIAYRLYDIVTPGVLPDHPTYSDIVRRRTTPNAPAITDSFNMHRIL SIPAHQPLPDGVNPVAVVNLRTVMDQVIDSI H257_17685 MLPPHTSLVKYDSPILISTTKDKKGGNAGKKAKGGGKSGETPAD KAGIPSIANASSSQTEDILNSILPPKEWTEDGQLWVQYVSSTPATRLDVINLQEQLDM RLQQKQARETGICPVREELYAQCFDELIRQITINCAERGLLLLRVRVEIRMTIAAYQT LYESSIAFGMRKALMAEQRKLDADQKLKQLETDRNELIAQVEELKLRCEAIQKREEEK RLADEKKHNDEVDGLKKANDQLKANLESLLSAPK H257_17686 MAVEGPTPATAAAASTSSSPDPCHVGASTFPESSRRTPSSVEDV SLHQPVSTTTRPTQSFLFSHAACVRHRIKGHPERPERVQVILDRILNVFPCMPHITTF PAATLEQLHAFHTPLHVNAVLKWCGKIERSMDELDRIATDPRATAATRRDTLLQYSSL DIDGDTTIMRYTREAALHAAGAACAAVDAVMTGACANAFCAVRPPGHHAEPHKAMGFC FFNNIGVAAMHAIAGHGVQRVAIVDFDVHHGNGTDTKARTPDMAHRLLYISTHQKPPC FPNSGHAIRNSSNVCNVEMDAATSSSAFRSKFRTEVEPTLRAFAPNLLLISAGFDAHR DDPMANVNLMADDFYWVTSRLVWIASAVCQGRIVSVLEGGYHLRALADSAEAHIRALT EVVPVLNHENEQDQIVSIESDLCDSVQVLSITDHPPSLRVTLSSLQGKSKKLKSVAVL LSGSTDAQWTQLCVAAKTKLNMKKTQKLRTKRGAVVESADALLTLHNDVVLYMS H257_17686 MAVEGPTPATAAAASTSSSPDPCHVGASTFPESSRRTPSSVEDV SLHQPVSTTTRPTQSFLFSHAACVRHRIKGHPERPERVQVILDRILNVFPCMPHITTF PAATLEQLHAFHTPLHVNAVLKWCGKIERSMDELDRIATDPRATAATRRDTLLQYSSL DIDGDTTIMRYTREAALHAAGAACAAVDAVMTGACANAFCAVRPPGHHAEPHKAMGFC FFNNIGVAAMHAIAGHGVQRVAIVDFDVHHGNGTDTKARTPDMAHRLLYISTHQKPPC FPNSGHAIRNSSNVCNVEMDAATSSSAFRSKFRTEVEPTLRAFAPNLLLISAGFDAHR DDPMANVNLMADDFYWVTSRLVWIASAVCQGRIVSVLEGGTSIDCVQTLIVWFYDIGL EGVKRFRQIACESS H257_17687 MARQPKSRNQLNQSLVGITFNTPKPGVVLSYDPGTFKFIVRMHM SSDVELELTRDEVLKLVNDDRAPPPSIVAAKDRHHPLVGTKVVVPFGPDADDITGTVL YFLPVPSDTFCVRFLNGVVRYLDEDTIRRGGGGSLVPTAPPPPSPYPASSTPLPPPTT ATKPPIIDLTLTDSDDSDASTQPRPPPPPLSSNPTPHLSAPSSPQPPSSPPPPQPPSS PPPPPPRRRKPSLHPPPSHRRIIDSSEDDEEDAMPAGGLHPPESAFAAAAEYAITHRA KTTIATSPSSPSSSSEDDDGHHRRRHRVVFDGFSYASSSDEERQARRKRRRDKVLLTR TPRNNPKPPALDDDDFRCCQLQPQLQLQPQLQLQQSSSEKKKKKPHEGDGNEVGGTRT REQAEFVRNRQLHVANRNRRVREERAAAAAALRVQEEKLQVQKARERQVRDAEDAERQ RLAQQHVANRRKHEGERARRDRLRRAKAEANASAAAAPRGRKRRMMAEKKTPELEEVE VDGTAMPRYLSRDATAVPVVEEREILWC H257_17687 MHMSSDVELELTRDEVLKLVNDDRAPPPSIVAAKDRHHPLVGTK VVVPFGPDADDITGTVLYFLPVPSDTFCVRFLNGVVRYLDEDTIRRGGGGSLVPTAPP PPSPYPASSTPLPPPTTATKPPIIDLTLTDSDDSDASTQPRPPPPPLSSNPTPHLSAP SSPQPPSSPPPPQPPSSPPPPPPRRRKPSLHPPPSHRRIIDSSEDDEEDAMPAGGLHP PESAFAAAAEYAITHRAKTTIATSPSSPSSSSEDDDGHHRRRHRVVFDGFSYASSSDE ERQARRKRRRDKVLLTRTPRNNPKPPALDDDDFRCCQLQPQLQLQPQLQLQQSSSEKK KKKPHEGDGNEVGGTRTREQAEFVRNRQLHVANRNRRVREERAAAAAALRVQEEKLQV QKARERQVRDAEDAERQRLAQQHVANRRKHEGERARRDRLRRAKAEANASAAAAPRGR KRRMMAEKKTPELEEVEVDGTAMPRYLSRDATAVPVVEEREILWC H257_17688 MTRCNWWNLDIPLFKSHHPLCYHQLQICHLVITSYPADKPMTLA TRHAAATTSSSFAEDFKVLVKQTLNSTYEPCIEGGASSPLGHPGIDSTTRRPVHDTRS GCSQPIEIDWNRRRTHSLDELDARDMHLRRKLHSSDAASNEFWTCGSPTGVDDDDDDC IFALDL H257_17689 MNDTGDNDSDDTGHDIVLSREDYELLHAFHRLIPLPALSSGITR LLCDEDLQVPDDDVDCTSSTAATPSMKKRTSHVETMRQLREPPTKPRQETDLTKLTTR RQPEQLRPESS H257_17689 MQPCTYTLDTCQAFHRLIPLPALSSGITRLLCDEDLQVPDDDVD CTSSTAATPSMKKRTSHVETMRQLREPPTKPRQETDLTKLTTRRQPEQLRPESS H257_17690 MRAMAVMYAAATATAATSSVEECSTMGFGHSTTCKECHEVDDQS RTACLGCCIARATFPQMQMQDITPGFSVVYKRGRLPTMLFYDDLDELQESVIVATWDQ SSLDAYLALHLKPAGVAAQALGSDEL H257_17691 MGGKKPMNVQHNRGTWGHFPSHHHASGSPMNHDGYALSNGGGSM LNHSAGLAYDVGLLDLGPHPSTSVGGALHGAIGSTRSSSAFYAEQHDTQDIDMKVMEL VDVIGTRMRRQLKPMMQASSVGRCSCSEDVAALQSTVAIMQEQIKLLMQERTAKTPSS VESASSSSAVVNALSDRVSTLEGRQSAFQSQMAQLCKSLGTVPTGKNATGKPGGIALP KPLLQELRDEFDQKLAASTVKLETAMNKQMSSAMSSMEQHILNDIGGRFKSMTSMQYD DILSLVASETDMGVQNTKQWFEDRCAQEAKHRMGLEARVMGQFDAHAEWLQQLEGLCD TWHASQTHVDALAKQVAQLQKKAPSGSNGASSAVVSTASMQQMQSQVKQMMQDTKDVM ESVALMESRVLQAGNTGDESKKKVAGLQKLIEKIMRDQGSVESMLQQYVSTITHQVAS VTRQYVSVRIRDNNRLLDATLRARIPAYVENEHESFMLVRPNSKQPGGTTKPGITSIA PFIRLMLPCRTGRRGSGGPSRCRQLHRLAVAERVETRIGANVVSMETLYKYITQFPLE IDNVHTRKYTFQI H257_17691 MGGKKPMNVQHNRGTWGHFPSHHHASGSPMNHDGYALSNGGGSM LNHSAGLAYDVGLLDLGPHPSTSVGGALHGAIGSTRSSSAFYAEQHDTQDIDMKVMEL VDVIGTRMRRQLKPMMQASSVGRCSCSEDVAALQSTVAIMQEQIKLLMQERTAKTPSS VESASSSSAVVNALSDRVSTLEGRQSAFQSQMAQLCKSLGTVPSTYIFLLKEPSKTDI IFKLAGKNATGKPGGIALPKPLLQELRDEFDQKLAASTVKLETAMNKQMSSAMSSMEQ HILNDIGGRFKSMTSMQYDDILSLVASETDMGVQNTKQWFEDRCAQEAKHRMGLEARV MGQFDAHAEWLQQLEGLCDTWHASQTHVDALAKQVAQLQKKAPSGSNGASSAVVSTAS MQQMQSQVKQMMQDTKDVMESVALMESRVLQAGNTGDESKKKVAGLQKLIEKIMRDQG SVESMLQQYVSTITHQVASVTRQYVSVRIRDNNRLLDATLRARIPAYVENEHESFMLV RPNSKQPGGTTKPELDVEEAVVLRDVGSSTDSLLQSVLKLELEQT H257_17691 MGGKKPMNVQHNRGTWGHFPSHHHASGSPMNHDGYALSNGGGSM LNHSAGLAYDVGLLDLGPHPSTSVGGALHGAIGSTRSSSAFYAEQHDTQDIDMKVMEL VDVIGTRMRRQLKPMMQASSVGRCSCSEDVAALQSTVAIMQEQIKLLMQERTAKTPSS VESASSSSAVVNALSDRVSTLEGRQSAFQSQMAQLCKSLGTVPTGKNATGKPGGIALP KPLLQELRDEFDQKLAASTVKLETAMNKQMSSAMSSMEQHILNDIGGRFKSMTSMQYD DILSLVASETDMGVQNTKQWFEDRCAQEAKHRMGLEARVMGQFDAHAEWLQQLEGLCD TWHASQTHVDALAKQVAQLQKKAPSGSNGASSAVVSTASMQQMQSQVKQMMQDTKDVM ESVALMESRVLQAGNTGDESKKKVAGLQKLIEKIMRDQGSVESMLQQYVSTITHQVAS VTRQYVSVRIRDNNRLLDATLRARIPAYVENEHESFMLVRPNSKQPGGTTKPELDVEE AVVLRDVGSSTDSLLQSVLKLELEQT H257_17691 MGGKKPMNVQHNRGTWGHFPSHHHASGSPMNHDGYALSNGGGSM LNHSAGLAYDVGLLDLGPHPSTSVGGALHGAIGSTRSSSAFYAEQHDTQDIDMKVMEL VDVIGTRMRRQLKPMMQASSVGRCSCSEDVAALQSTVAIMQEQIKLLMQERTAKTPSS VESASSSSAVVNALSDRVSTLEGRQSAFQSQMAQLCKSLGTVPTGKNATGKPGGIALP KPLLQELRDEFDQKLAASTVKLETAMNKQMSSAMSSMEQHILNDIGGRFKSMTSMQYD DILSLVASETDMGVQNTKQWFEDRCAQEAKHRMGLEARVMGQFDAHAEWLQQLEGLCD TWHASQTHVDALAKQVAQLQKKAPSGSNGASSAVVSTASMQQMQSQVKQMMQDTKDVM ESVALMESRVLQAGNTGDESKKKVAGLQKLIEKIMRDQGSVESMLQQYVRYI H257_17691 MQASSVGRCSCSEDVAALQSTVAIMQEQIKLLMQERTAKTPSSV ESASSSSAVVNALSDRVSTLEGRQSAFQSQMAQLCKSLGTVPSTYIFLLKEPSKTDII FKLAGKNATGKPGGIALPKPLLQELRDEFDQKLAASTVKLETAMNKQMSSAMSSMEQH ILNDIGGRFKSMTSMQYDDILSLVASETDMGVQNTKQWFEDRCAQEAKHRMGLEARVM GQFDAHAEWLQQLEGLCDTWHASQTHVDALAKQVAQLQKKAPSGSNGASSAVVSTASM QQMQSQVKQMMQDTKDVMESVALMESRVLQAGNTGDESKKKVAGLQKLIEKIMRDQGS VESMLQQYVSTITHQVASVTRQYVSVRIRDNNRLLDATLRARIPAYVENEHESFMLVR PNSKQPGGTTKPGITSIAPFIRLMLPCRTGRRGSGGPSRCRQLHRLAVAERVETRIGA NVVSMETLYKYITQFPLEIDNVHTRKYTFQI H257_17691 MQASSVGRCSCSEDVAALQSTVAIMQEQIKLLMQERTAKTPSSV ESASSSSAVVNALSDRVSTLEGRQSAFQSQMAQLCKSLGTVPTGKNATGKPGGIALPK PLLQELRDEFDQKLAASTVKLETAMNKQMSSAMSSMEQHILNDIGGRFKSMTSMQYDD ILSLVASETDMGVQNTKQWFEDRCAQEAKHRMGLEARVMGQFDAHAEWLQQLEGLCDT WHASQTHVDALAKQVAQLQKKAPSGSNGASSAVVSTASMQQMQSQVKQMMQDTKDVME SVALMESRVLQAGNTGDESKKKVAGLQKLIEKIMRDQGSVESMLQQYVSTITHQVASV TRQYVSVRIRDNNRLLDATLRARIPAYVENEHESFMLVRPNSKQPGGTTKPGITSIAP FIRLMLPCRTGRRGSGGPSRCRQLHRLAVAERVETRIGANVVSMETLYKYITQFPLEI DNVHTRKYTFQI H257_17691 MQASSVGRCSCSEDVAALQSTVAIMQEQIKLLMQERTAKTPSSV ESASSSSAVVNALSDRVSTLEGRQSAFQSQMAQLCKSLGTVPTGKNATGKPGGIALPK PLLQELRDEFDQKLAASTVKLETAMNKQMSSAMSSMEQHILNDIGGRFKSMTSMQYDD ILSLVASETDMGVQNTKQWFEDRCAQEAKHRMGLEARVMGQFDAHAEWLQQLEGLCDT WHASQTHVDALAKQVAQLQKKAPSGSNGASSAVVSTASMQQMQSQVKQMMQDTKDVME SVALMESRVLQAGNTGDESKKKVAGLQKLIEKIMRDQGSVESMLQQYVSTITHQVASV TRQYVSVRIRDNNRLLDATLRARIPAYVENEHESFMLVRPNSKQPGGTTKPELDVEEA VVLRDVGSSTDSLLQSVLKLELEQT H257_17692 MNAFEFLGSLPGGSVDRLYQDAWACQAVFQSMSPLAQQIVMRLL FTNQGSYSHDAILQWVQDPAQVKMTAAIEKLRHLRVLRMAHGTAEYVLNPVFQDQLKK ALSSLGGSPWEAGRHKLPSEKPIAAAELEQYARKRWDAVLHFMVGSTAVAAPPPTVIG ILEHTGLMQPSKTDARALHITDTGYEFMLKDIHAQTWIFVLEYMKDIDRKGILSAEEM LQFLFQMSYCRMNEYYAVADLTRHQQQLLGDLVELGLLYRRGAKATRFYTTSLAVNLI FGGMLGQTRSSITMSQGLDHLHRPSKSPHLPPPTAAAADAHLLIVVETNFKVYAYTQS SLHIAMLSVFVDIVARLPNLAVGFLTRESIRSALSNGISAEQIYDFLMQHAHPKMLGN SPVIPENIADQLYLWQRERNRIKFDAGELVDGFVTTEDFDVVLKFAQDVGVMLWYDSI HLRLVVTKAGGERVRDFIKNH H257_17693 MEPSAKAELRPLAFFKQLVHYLSLATSLCLVLLAVLGFQQLSQS NVTNLEQVESTTSDGCLLFLGVTFGALLFLGEFKWELFFYFFGFLRYRLGRSILYAVS GIMTILMGRSRSSCTGCITYSLLLAEGVGLLVIAVFQLLSVVVFGNNTAPPPQQHDNI TNRTESSYSKTALVPLTQREINLKSVVIADKTAAPPPPPPSSPFETNAKTQAKPSSPT SPKNPNMPSWMQA H257_17694 MTTSIRVVDGRTKAHQAHVIVHDPYLLDHLRKSQDMAYLRQLVP QLEGHSTATIAPVPFRFPLYMSWVYRATRFTNAVVSLVTANAYISTLGGGFFLCRHLN QAKLMAQLQICVSQGLHDPILASKCRINLAYGAMARGKFKRAQRIINDEGVNAKALRS DEIHNVCHAAQVYLDKTWDLHRSRLVHEPTDTTQVVDEYYRQRVVATR H257_17695 MSPTPQAASTNHHPNDTGATRSLPIVPDYAMMFVFNDGAITQPP HVLELWIDAKCNHLRSMKQRGASQAAILQEVQVLLAWTRHLRRQRSNIA H257_17696 MSAAADAARTSEGKKCGIPSTGEHDLIGDRREETPPDDHDKQTC FPQSTPVTTMLSVPLRLPSLTTLHHHYHRSTAASSSPFIAKTKSRKRKMSMLDVISSH HHTNPLSLLRQHEHPHHHHHQQQRQATPSSLSIVAASDLHPLTITSTTSVPPTKSTQH VHTRGRTKRGGRAHVPAAPAPRTASSIARLTSGKDVCVVAHHGTVDWVATYYVYGFDK NSLFHLLVPLRHPNEPWTDAETAYLTILARLLHQGTMRVPRGKSLEAYLAEKLHAPEP RIRVQLQTVKCEIDAETGRQLLTDGHRPKGVMTPDEAAQLKQSKASFLQTLEPAVVAA LHANAIDQSCVVSIKY H257_17697 MGKKVTASVTTWTVSRHTCVCLFRRGVFLDSTIMGAASGVLQAE SQRPLDASDVNTPRGESAKQEVIRLRALLANESAAASSQAQSSQHQASPSTGLPPLFA RYAERIFLEVDVDQSGYLSYDELWSMIQDKMSLGLSPVAIEAIKAHSGLASGTDVSWQ QFLTMLPELLKLQLRQSEASAADWCELSTETGSVYYYNKRTQLSQWDKPTWDLPADMQ ADMIAVFQAADMDGSGYLSWDEFGICLRHQLQLGLSDDQLNALQSQVVLQPDRGVSWN DFVRAAPDLLSNWLWQGQPVAK H257_17697 MGKKVTASVTTWTVSRHTCVCLFRRGVFLDSTIMGAASGVLQAE SQRPLDASDVNTPRGESAKQEVIRLRALLANESAAASSQAQSSQHQASPSTGLPPLFA RYAERIFLEVDVDQSGYLSYDELWSMIQDKMSLGLSPVAIEAIKAHSGLASGTDVSWQ QFLTMLPELLKLQLRQSEASAADWCELSTETGSVYYYNKRTQLSQWDKPTWDLPADMQ ADMIAVFQAADMDGSGYLSWDEFGICLRHQLQLGLSDDQLNALQSQVLYTLIGRLGIG RQMLIHVF H257_17697 MGKKVTASVTTWTVSRHTCVCLFRRGVFLDSTIMGAASGVLQAE SQRPLDASDVNTPRGESAKQEVIRLRALLANESAAASSQAQSSQHQASPSTGLPPLFA RYAERIFLEVDVDQSGYLSYDELWSMIQDKMSLGLSPVAIEAIKAHSGLASGTDVSWQ QFLTMLPELLKLQLRQSEASAADWCELSTETGSVYYYNKRTQLSQWDKPTWDLPADMQ ADMIAVFQAADMDGSGRWSTIFRQSNVNPVAMQGI H257_17698 MGDSAWRNVWHGIAVAPASRAIFPGSSSQTSSAQQPFFNMRSGV RPRALYKDLAYFLINLSWPRLALALAISYFGVIFLFALAFYFVCQECNDLFDGYNLSY QAFSTIGFGIVYSRDRCGNYVTILESYMSMVLLPTFAGIIFSKFSLPKVQVAFSNVCC IQPNYSGNHAALVVRVANASSSSHLNLDVIMDASFSMELFSVHGTLLRRHKLPLKQAD FIFFRLALELVHVIDAASPLYATPFSPDCMLLVTFTGVDSNRHATIVNQVSYTHDCIA HGAKFVAMLSHEVNHVEMDFDRLSDVALSETSVLWNAMGHRRLLSPDQGIHHEGMTWE YAASTSTESPSQPFVEPTMAGLLLKHSSARQSNVLSDDDGSSYVHMTDAAAAFASLHL VHPLNVPFRFQYLYYHILHATWKSIFAGIFVLTGAVTITFACLHWLHFPRGLFITDDM LPPRNSAFELCFYLSVHTFSTIGYGTIAPAPGDNYHNALVAVEAMLGLTVATILTGIF WSKFALPQAHVRFSPKMAVSTYHAHRCLVFRAVNTRNVGDIQLCQFKLGAFFTDRRGA RRMHDLPLVQPTWPSINMPVTLIHVLDASSPLYKHDDDALSATSLLGLFSGFDSTFCE TVYSRHIYTTYEFGKPMVDDAVMLTPDGVSWGDRDMM H257_17699 MEEAQRVAQYDMSNAVQFKFSTVMAATCDEAACPVWGMKVGLLK SKMLSVMREGHDLDRDKVAMPSCWLRFH H257_17700 MSDKFGSYVSSDERHTLSNNPLLADKNYGHHWVNHSENFVDPAT GAHTQTLVGVWEVCVKRFLKTMRGIRKNAHLGTSQPISPLNRHDKTTHSAVQHYQAEV RASSTLRRTLHQLSQVCAERCASKYSLDLTDQVTKLVATSNAKRAVLGGQGTKCIIPF QRDLPMFMEDVRRDEHILTSMYTINFMKTHYNDWLLQYQANKPNHTRPCCVCAKRFAH RHRFYQHVACHFMLPTSDIVQIRDNFASKIGSKYDGHNLRDIINVTRRSFTTTCPRGR FGPN H257_17702 MARRWMVHAGERMASFSGEAMDILESPNDVSQEQVVWVTHDEST FYANDDGGMVWTNTAHLDLTKRGRGRSFMVSDFLCPCHGRLFMLGESEPLFVTEDLHV GKAQEGYWTSEHVTKQVTQRVLPAVAALHPGCTALFTFDQSTNHAAYAADALRTSSMN LNPGVKQPRLRDGWHGSSQIAQAITCPEDHPDQSLRGLTKGVRSVLIERGAWDNAMLL TCGNVVSMPPFPEELNPMESYWGAAKRHARSNCNYTWIGLVQCVPRSLESVSVVSIRK FFRRCSHLIQAYSYGLDYNMSKYAHKQCKSHRRTPQGVTVAIDLD H257_17704 MEQLLVLSVFTGWTNTVGLDGLEQRPYAGIVFTSRQNMWNVAIA SKHQKEGNARKPIRSYCEYPLKRFFDTFFDYQLDVATCSIDHTQYIQTALKKQWPQVH VVSCAIHMLRNVHKHKSLLRTMDNYKVICTQIHLMRSARTWMQFHTIASVVLMDWETS LAEEEFAQWFQETYLLPPGTFGFSLRRSLLEFLHTNSTLRAIISCELVRLKYKCDCLA YHESGWLCAHTVACCNLIDDFRLKVKVNHLANPEVSGTSKENPLLIGKRAEASFLRCS YVGAKDGSTATVSYQLQVLQGL H257_17705 MESSSTNRYRHLRNYKCSDTKRSYVHLRTIYQHDAAVLLRLPTR QQLAARRKHITKQRGGGWEIETFHTLQEWSADKMCTTRDEFLGSRSPQNYVEFAALDN AYK H257_17707 MPSDEPSAIFDRVCDRVQILWPGTGAITEIRLKITDRLLVSSPI PMHAGEVDFIADERTVERMGKTWHQYLVHWARPHLLGCTRSWVISRSMKHCPEALGVY TRWRQHPGNFTYSEYRFHRNKANGPST H257_17708 MVKAALVPLAVVASAAQAFETKIINGIEAPIGKFLYTTGFHTED ISGAYCVGALIAPKYVLTGATSAHTVHQLYLSSQKTAFKYIDCWHVLKMQPKCLKLAK CEIKDRNATKQPADEADNKDFDLEGRPSGNKLAKRMLKKDELLQKSVAIQAEVAEVFI PI H257_17709 MADTVPLSTFEKWLNNQGRINRVSADRVNKHIAPRASILQRQSK TPVAPRRLPLHAWMATARSPINLELMRVKFETRRQANDAAASDDTVSGSEPNGVDTFD ATETVEQGGGTSGEDTETDDELSTRDPALDRTYGTSKKAPCRPFRKSVVSEDADLLDN DDSLAHSDFATLLEDSSHPFSCPFSPSHPSAASSEDSYDSDFEDYFKMTSVPVKLPKA LGGKRSGKTPRTKCRPRDHTNPRDITPAPAPPSPVYPKDPITRRAAAPLSAVPAPVHP KDPATRRAATPLSAAPTPATREAPMKHQLRRPQGLRDTHQTRGDGPPTKIKPTKAPRN LNEAPNVIVLTESDDSEDDVKFEPVEADPDPLAAFIPMSRLVSPV H257_17710 MVKAALIPLAVVASAAQAFDTKIINGTEAPIGKFLYTTGLRTMV ISDNQCVGALIAPKYVLTTATCVHTSLTYSSVGSHYLSGDKDGERIKIVKQTRHPLFD HHEFDFAIFELETASKIAPVKVNFDPVVTPGSWATVRGWGKFSDAEYTPSQVLLEADV KVWDNVECAKVFAAYGPIMDTHICAGGADKDWCTGDSGAPLIVNKAGEDYVVGLASWG SSCATKNVPGVYARTSSARDFIEPYLSTNPVPTNPVPTNPVPTKPVPTTPVPTKPVPT TPVPTNPVPTTTKPSC H257_17711 MELFASRQDAQHAMWPIYTSYTIAPRPKMWNSVALGGGNLLCEF NPSAATSKIPGLSFSSGGSCGLNLQEFIIGDTKTIMTALVAVKNVSVSAVARLEFRNP TSTLAALEASVAFLHTYFDPALATTFYTQAQIVKAVVRDQLHVQMIQFIRPNQTFSLS QMTLFGETEVDFEVYAWLYAFDWVQGVREVVSFQGDNGTLTLLSMGTNPLDAPVNPME VPSNVAYYLRYLVQYITLVMFCVASVVCVYIIALKGQVEAANMMVFSRIAGLVWIGRW LIFLRALSAVCLLATSTLVLKRPLDGLVSYFESVQRPWYMVILAAGELNWMVYIVNDV FSVATKAFTAKYANTSYFVTWIASAVWVFVAPPSQSVTLDRNCTVVTVDFEVVCHSGV VEIGSVRHFCSLLALVFGCCGLCYAAERCRHWKHGTKPPQPHASLLLYAAAKHQFSST NWDHMGTRYLDKASAVLTGILTVEMHGALYVFDTKSWRVYVIWIQDMNGQCSQPPMHL QHALPLVE H257_17712 MEPSGTGESGATMERNKVSTKGKASKKAPPMSKSVATASTNGKA KLNPTPKITRIVWQLPNTAADGEQSLPDAWSEARRELLQCIQLAYPSDSEEETKDDLD VAS H257_17713 MQTASQHHCNWQWTKSCINHPSVRPLSSMPARTSPSIVPRKKQR VVKSKLAASAAKKEYRQTKRAKKSQMRQADEQPQVEDFLMKFVPAQHPIIPEGPALSR GEMAALDVELNSRPFQALDKPEALQDDIDFVEGVLDDINLHLTLESYPFQPLEYPTAI HGEVQFIEEALHDIDLGEDPPFLMIQSNMRFKYGLHAETL H257_17714 MTRDQIVPHKVAWDDEAPDDGFEILGGQEAQFGKHRYVAGLKRS PIHKSYCGGSLIAPNVILTAEHCLGNATSVVVGTHYIAGFADGELATVTQKIKHSNGS DVGIIILDRNLTAIKPVGVSFEFAHLVLKEVSITTWDNTRTWIAHFRPLPDSVLGAGG KKGEDSWAATQGGR H257_17715 MEKASAGHTPPHTARSSGAGSAAPAARINHMVTPTFAAHTDQLV AQIQLLLELPSPTPPTHVDPDPNTDLVDQPGARGASIETSSAGHSLASNLECTFRGLF EALSVSAVSNQALLDRLGRTVRIEAALRFVLARLLPNGPHNMPAALWRAREEREAEMA LREKVEQERGQANTERNQLEERVRGLRTELPPAAAPAARVQAAQPPEVVALLGKVRGL QTRQREAQHEAKKYKELATRVENAIQAQLSSMADQVRELDRQQRSHEEEVAKVKKAIP GRRPRLLGRVNSHFRVTSGPMFDRLLEAWVRDDPALFEVNCENLSAFAFPTRQPPSTR APESAGCQSGCPDPSDPDLAIRSGVIPVVSRANPHDDFGHRCVVRGRRCFGLYKIGVV HDPRDYRYGIRGRGFDPHLPAAQVSTPSSKRPSPGTSTQLTKKARRSDPDAAGPLGAS LPPPVDSAYASVVARSPWERYSTTLVSFVPLSWHQLPEWRELDRVLRSFWQKIAGTLF RVVQAYGVQLLRFLCYPHSYSLVTATQRFPDVVPAFLDGSIRTSA H257_17716 MASWIIHPMADEMAARVQAAGFPGEKRFKSLARNISQGHSLSKE FRPVGVRVAQEAQQLDPAAPDDVINEWLQIKCAYFCQNDRRTRVVEYRSQGLLATTEA YAESTGGGKEAPRGPAASGSERRAFFVNCVDMRVEAASADSVPVVPGVMDDPDFVETK ASAAPDDAPVTKIIVRVGPGDHWTTDQLSYDTPRIRELVWTEKSVKHRPARHAEVRID PSKKAGTTSGNRCVAVTRALDASRWPATSTRYLSSPLRLPPRATAVVGPARPPEQPPG LREPFNAPAFGPEHEQRRLPDSSRLVPQSDDPDFVETKASAAPDDAPVTKIIVRVGPG DHWTTDQLSYDTPRIRELVWTEGWVIPDPRL H257_17717 MKFALLLALTVAVAAFAQDQIALPKVAWGDEAPEDGFEILGGQE AQLGKHRYVAGLKVSPNNVTVCGGSLIAPNVVLTAAHCLTGKLRTVVVGTHYLTGFAD GELANVTQEIKHPDRYDVGIAILDRNITTIEPVKVSFEFVPADVLTWVRGWGFVTWDG PQSPVLKEVNVTTWNNTRASAALTSSPRPLYDTELGAGGVKGEDSCNRDSGGPLTIEE NGTVRLVAVVAWGDGCGKIGKPGIYERTSAARAFIEPYLPK H257_17718 MKFALLLALTVAVAAFAQDQIALPKVAWGDEAPEYGFEILGGQE AQLGKHRYVAGLKVSPNNVTVCGGSLIAPNVVLTAAHCLTGKLRSVVVGTHYLTGFAD GELANVTQEIKHPDRYDVGIAILDRNITTIEPVKVSFEFFPADVLTWVRGWGFVTWDG PQSPVLKEVSVTTWNNTRASAALTSSPRPLYDTELGAGGVKGEDSCNRDSGGPLTIEE NGTVRLVAVVAWGDGCGKIGKPGIYERTSAARAFIEPYLPK H257_17719 MDNQVVRTRRELSSDEKVTVIQHLHPFLRKDKLQRGAYKHVAEQ PNLDPRTVGYIWRTFRDRSTTATKKRGKVGLKRAYTAEYVQQLVQAVPVDKRSTFRDL AAATGLSPANLNRHLKKGTFERRSTRIKSLLTDANKEERVAFCLVPGETQPRRSWKSK RFILKVMFLGAVARPRIDGDRSVVFNGKIGMWRFVRLASHNSGNRSAGTMATKLVNVD TVVYRDFVINKVVPAIKASFPSATNRVLLQHDNATPHGGITDAVLESVSTDGWTFKMR KQPRPECLDLGFFTSIQSLQYKKMSRTVDDVVRNTMEAFDELTYDKLESVFLTFQVVM RLVLEHSGDNHFALPHLKKAALRRAGLLMSNVSCPVSLLL H257_17720 MESKHHSRLATIGGQGHKQFIPFGPTLLEFMRSRRGDERYVRVF HMMTWVKKNHHAWPVEYLSTKKNESVGLQSFRCLLLLFAERHRYYYRMPCASKRMQKV LDDTAVCFDMPRGKTLAEVGTSSKYTFARGTRTKLPLLFIVKSQPDGTIEKQELSHTR LAITTQSRRMRG H257_17721 MERCMRLLNPSILGCPALHQVEAMNNQAFGPTLESERVRAKLLR YIRHILDQGQCSYSITPSGSYPLKTYLPDSDIDMCLEVPDAAATWHLAVTQALIGAAT PAAAESGGSRESFTLEDAGGSGVEEDGGRVVVAESAEERWKKDERFGTCSIQQMDVME KRDV H257_17722 MHKASSALALKRWGHPLPEAARREKTSGNRVRGVLTLPFHGDVD TNGLAWFYNGSNNAINSNWVPYERPEIVEQMLPGWVHEALEAHERTNEMQNLVVDTLE ALKD H257_17723 MDDHQGEQEAKSYESYNRSKNSDGSNYAEWADHIEQKNSQQKLR GYLMSSNRFDHYDEEDVYVAKSYINLSVHRDNLKTSPSTSFKEVHEKTTIVNKILLRR ELSTLSWKPHEAYATFIHNKLFEAGDMTPETDRIVEFLYLLPPSFEAWVDSILERITK QELEY H257_17724 MPSLPQPSPQLTTPTKRAVPFSSMVNGPPESPIQASSPSTPPAP NVVSVYRQACNAAEVLVLFQVVTLSSLSTRDLGPRLMTAPQPRTQVSTSAGTNLVKFP LVYALDLKHNNLNAIQNFQLPFCMHFYQMVSGKKLLKPGKAGMSYARPDTSRRFSQFR SLHDALIRDGVSTAPTSPRQPLG H257_17725 MRITYTHSAFVINRLEWTAAHCLGDGLTYVVVGTHYLTGFDDGD LANVTQEIVHPDHYDVGIVILDRNITTIQSVKVSFEFVPADVLTWVRGWGAVTSRGPK SRVLKELNITTWNNTRTAAALISSPGPVYETELGAGGVSQTCACHYESDMASI H257_17726 MKFALLLALTVAVAALAQDQIVPPKVAWVDEVPGDGFEILGGQE AQFGKHRYVAGLKLSPTNATWCGGSLIAPNVVLTAAHCLTGIIRSVVVGTHYIFGFAD GELANVTQEIKHPDGADVGIVILDRNITTIQPVKVSFEFVPADVLTWVRGWGAVTSRG PKSRVLKELNVTTWNNTRTSAALHAWRLTDTTLGAGGVEGEDACIGDSGGPLTIEENG TARLVGVVSWGDGCGKLGIPGLYERASAARAFIEPYLPK H257_17727 MVNGVKKYTTQKLHVGKNNEGYWTCEHMIKQGQEEVVAAFNEMH PGAKGYFTFDQSTNQAAFAPDALRASNMSLKPGGAQALLRPGRLLGGTTQSMVFEENH TRSGEAKGDVRMCCARHCMASQEDFRAQLSLLEETIIRADAKRYARRHCDYSFEGLTT CVPQSLDSSFEYDYELTRFAHTKYKSTDESQS H257_17728 MHCSLRVEASRGDDGRHCATWELGTLPDTADDHAGCTALAASAS GVMDDLTARDRGSRMNRLALAPPLHPDNAILPTLHLITASGSACKICATVNNEGRCYT TVDCSIPGVALNMRVHLDGMDRVDVSGVCGADATPATMSGVLDWLSGTAETTHSVILT TAVTNLCPAHFQGLENGGGPLPRTYDPSLSKIFGSAWKKAFLVASVLAAIGVNNHAGA SVDIAAADIAGSGKVVDQLDGALLNGDKFQFQAEVSRLMDIIINSLYKSKEIFLRELI SNASDALDKLRFLALSDSSLLDVLKELEIRISFDKTAHTLTIKDTGVGMTKDDLVKNL GTVAKSGTANFVSAMQNGADANMIGQFGVGFYSVYLVADKVRVVSKNNDDDQYIWESS ANASFTVSKDPRGNTLKRGTEITLFLKKDALEFQDQAKLKQLVSHYSEFINFPIYLHT SREETVEVDDDAGDSVSVL H257_17729 MTGGPQLTPGLSQPTAAWLNLCDYTCGTPTSMSWMKAYICKILD EPANKSTVTEAEMEEQCKKLREDPFGDVASRLGAQKAISIPAISRGSRSSCAAQQALT TSPPLQQPDTKPSDLKMAKTRGNTYGDSQLLVGQIQGVYSSSHPSIRAQYLQARRLAP TMHSTGRHRSREGN H257_17730 MPIKCLVPRRRRSPIWLLVLAQCGKQRKTNHAPERQANSACKRS ERLKQTVVADQEGAETQEEVLTAELAMDRPTWCDTMNGNWRRGKTEGESSRRNLVDLT RTPDSSYDDEREDERRNLDAKPKNKKLDYMAQAKRSMHTD H257_17731 MGLRLAGHVTARQSVNVYIQVPSTVQLTQCPITSLPRVLNADLT TVPGSSASPTPLQRLTDPAPPPRTRSDGRILSAAS H257_17732 MKSSTSVLLTALAISSVVDFADAHGRLISPPHRGYIGKLPKFAD LVPVNYDDNGLSAGGIGGTKGGKHGVCGDPYSGVRQHETGGTYGLFPVHGSKVVGACY APGSTVDLQVQLTANHQGYFQFGLCKLNGKHDKETNECFQTLVQPNGDKQWQVPPGNE VFTIQSVLPAGVTCEGDSHCVLRWHYVGWNNPGVDINGQEQFWNCADVYISNTCGSNP APSSATPSTSPRTTSAVPTSTKAPSTPPSTTVTAVPTSTKAPSTTPQTTVAAPTSSPK PTQKPTQSPSTTTPTYATLPPKTTQPSYPTQPPKTIASPTQAPSQCGQCANCYYPLSG ACFVGWTAAQCASIPQLKWCGLSA H257_17733 MKSSTSVLLTALAISSVVDFADAHGRLISPPHRGYIGKLPKYAV QLTANHKGYFQFGLCKLNGKHDKETNECFQTLVQPNGDKQWQVPPGNEVFTIQSVLPA GVTCEGDSHCVLRWHYVGWNNPGVDINGQEQFWNCADVYISNTCGSNPAPSSATPSTS PRTTSAVPTSTKAPSTPPRTTTATSPPISTRSPSTNTPVNPTQAPAPGQCGSCANCYY APNDSCFVGWTASQCATVPLFKWCGAAAVKVPSRVSAEVSTELVSLHGQCGGRYYTGP THCHDDARCVALDEYFSECVAKSAL H257_17734 MKSVTVLAWALALKSYVQYTEAHVRDHGLNAGGIAAMCDGKFGV CGDPYSGASESRNRRRLQDVPHQHRENVIGACYASGFTVDLQVQLTANHKGYFNLQWQ VPPGNKKFTIQSVLPAGVTCKGDSHCVLRWLYVGWNNPDVNIKGQRQFWNSVDVYISN TCGAAPAPAPPTPPQHLPQSSQSMLLSRPLAQHFSQTYCERLVRHCNTCHYGPTNACF IGLTATHCAEINKSTMCQQPPEYPCAAGKQFFGRGPIQLSWNYNYEDFGKAVNLDLVA SPELVATDYDLWNGNVHKVVGLPGGVAKATFIINGGFECNVSPPNRESQCQLHRVSQR LEGGSPGRSCRVKPVISPQSPYLGVGCDHRWYQCGSHYYTGPTTCHEDAEYAALDQYF SEMCAQERLVDSEFV H257_17737 MQQAEMAKATTLHRVQQGHVIASKNELALHMYMVLHGRVDVTTD HTIQKDGAIEWIVGGDVDVVLLRPGGVDVVAASSDVSVAFLSKYNIECILRQSFHPTS SSVVLNKPFSHHQQLRLAVKPVPKLTTSGPHRPRSIAHMVNMVAKTTLPRNQRDRIKH TRIIQSKLFTEAYMSHDKTTNKATTSRNDSITSSTSLLAAAATEDANAMSSFQSSTMM DMDETREDNELQMDTTSTTSSTHLPHCNSSSTSTSTRATSVLLPSKPTTSSPAFEFFP TFADRFDPAQLDVLFLPSVPFPMDEMRLTDIGPPCPDAPSFVMPPRVAIDTAVLLVDQ LQLLCKTSHQKAPPDKRRQSCVDTQSSSTAMMPLVFHGHFDAASESNLEPLAELTLSQ FVDGRKTVTAAAVKGQKMPFASVSLYCADWNQPPPPPPPPPTCDDNVVESDVVVAVAE DNNEDDVWLQTVGTHHDKDNHPTSIKEVSTQLEASGELCHTFITSPGGTSTTTRKLSG RRRSSQRTQSRRGSGYTSQAMNNNKEDATDEVGDSVPRQDGGSISVVVGEEEERVDGM QQQQQRRSDADGSIDLDTKHVFKVESSTNMNHLPAPPRQRLQQRLPSNDPLSRRNVYR KLVQQVSTVTKTTLDDMLSKPTMHLEQLEIEQKLRDQVGKAMLQDGNPCPWRIQLQQR MEAVLTSLQLSAQKKLEIVIKYTSVKHSPHLEAALDLWEKAANCIMDREATLQRVYEF ELVASDPRRLFQTISTLRLKEQRQRDRLFLLLHNHTDFCTKVLDDLFRQFGDVVLFQD RSYSDKMHHDYTEVLYDLEQVRLRMYFGGISVPTQSQDRPSMHNIAATIDPVNSHDRS TPPVVIIMPSKTGSMAGGVGATSVPSCRGEKDHQSDDKDDGHRGHLVDIVKARGEATL AALKADLRRQHLQDLAAKAQAKLEATQEKINRRRQPTRPPQPKPAQKDSIQATMAHLL GRLGPTQRPEEPPLSTVHGVGLS H257_17737 MQQAEMAKATTLHRVQQGHVIASKNELALHMYMVLHGRVDVTTD HTIQKDGAIEWIVGGDVDVVLLRPGGVDVVAASSDVSVAFLSKYNIECILRQSFHPTS SSVVLNKPFSHHQQLRLAVKPVPKLTTSGPHRPRSIAHMVNMVAKTTLPRNQRDRIKH TRIIQSKLFTEAYMSHDKTTNKATTSRNDSITSSTSLLAAAATEDANAMSSFQSSTMM DMDETREDNELQMDTTSTTSSTHLPHCNSSSTSTSTRATSVLLPSKPTTSSPAFEFFP TFADRFDPAQLDVLFLPSVPFPMDEMRLTDIGPPCPDAPSFVMPPRVAIDTAVLLVDQ LQLLCKTSHQKAPPDKRRQSCVDTQSSSTAMMPLVFHGHFDAASESNLEPLAELTLSQ FVDGRKTVTAAAVKGQKMPFASVSLYCADWNQPPPPPPPPPTCDDNVVESDVVVAVAE DNNEDDVWLQTVGTHHDKDNHPTSIKEVSTQLEASGELCHTFITSPGGTSTTTRKLSG RRRSSQRTQSRRGSGYTSQAMNNNKEDATDEVGDSVPRQDGGSISVVVGEEEERVDGM QQQQQRRSDADGSIDLDTKHVFKVESSTNMNHLPAPPRQRLQQRLPSNDPLSRRNVYR KLVQQVSTVTKTTLDDMLSKPTMHLEQLEIEQKLRDQVGKAMLQDGNPCPWRIQLQQR MEAVLTSLQLSAQKKLEIVIKYTSVKHSPHLEAALDLWEKAANCIMDREATLQRVYEF ELVASDPRRLFQTISTLRLKEQRQRDRLFLLLHNHTDFCTKVLDDLFRQFGDVVLFQD RSYSDKMHHDYTEVLYDLEQVRLRMYFGGISVPTQSQDRPSMHNIAATIDPVNSHDRS TPPVVIIMPSKTGSMAGGVGATSVPSCRGEKDHQSDDKDDGHRGHLVDIVKARGEATL AALKADLRRQHLQDLAAKAQAKLEVEKCSPSLFMCVEEGPKC H257_17737 MQQAEMAKATTLHRVQQGHVIASKNELALHMYMVLHGRVDVTTD HTIQKDGAIEWIVGGDVDVVLLRPGGVDVVAASSDVSVAFLSKYNIECILRQSFHPTS SSVVLNKPFSHHQQLRLAVKPVPKLTTSGPHRPRSIAHMVNMVAKTTLPRNQRDRIKH TRIIQSKLFTEAYMSHDKTTNKATTSRNDSITSSTSLLAAAATEDANAMSSFQSSTMM DMDETREDNELQMDTTSTTSSTHLPHCNSSSTSTSTRATSVLLPSKPTTSSPAFEFFP TFADRFDPAQLDVLFLPSVPFPMDEMRLTDIGPPCPDAPSFVMPPRVAIDTAVLLVDQ LQLLCKTSHQKAPPDKRRQSCVDTQSSSTAMMPLVFHGHFDAASESNLEPLAELTLSQ FVDGRKTVTAAAVKGQKMPFASVSLYCADWNQPPPPPPPPPTCDDNVVESDVVVAVAE DNNEDDVWLQTVGTHHDKDNHPTSIKEVSTQLEASGELCHTFITSPGGTSTTTRKLSG RRRSSQRTQSRRGSGYTSQAMNNNKEDATDEVGDSVPRQDGGSISVVVGEEEERVDGM QQQQQRRSDADGSIDLDTKHVFKVESSTNMNHLPAPPRQRLQQRLPSNDPLSRRNVYR KLVQQVSTVTKTTLDDMLSKPTMHLEQLEIEQKLRDQVGKAMLQDGNPCPWRIQLQQR MEAVLTSLQLSAQKKLEIVIKYTSVKHSPHLEAALDLWEKAANCIMDREATLQRVYEF ELVASDPRRLFQTISTLRLKVIHLLFSIYIYIDLGVYME H257_17737 MQQAEMAKATTLHRVQQGHVIASKNELALHMYMVLHGRVDVTTD HTIQKDGAIEWIVGGDVDVVLLRPGGVDVVAASSDVSVAFLSKYNIECILRQSFHPTS SSVVLNKPFSHHQQLRLAVKPVPKLTTSGPHRPRSIAHMVNMVAKTTLPRNQRDRIKH TRIIQSKLFTEAYMSHDKTTNKATTSRNDSITSSTSLLAAAATEDANAMSSFQSSTMM DMDETREDNELQMDTTSTTSSTHLPHCNSSSTSTSTRATSVLLPSKPTTSSPAFEFFP TFADRFDPAQLDVLFLPSVPFPMDEMRLTDIGPPCPDAPSFVMPPRVAIDTAVLLVDQ LQLLCKTSHQKAPPDKRRQSCVDTQSSSTAMMPLVFHGHFDAASESNLEPLAELTLSQ FVDGRKTVTAAAVKGQKMPFASVSLYCADWNQPPPPPPPPPTCDDNVVESDVVVAVAE DNNEDDVWLQTVGTHHDKDNHPTSIKEVSTQLEASGELCHTFITSPGGTSTTTRKLSG RRRSSQRTQSRRGSGYTSQAMNNNKEDATDEVGDSVPRQDGGSISVVVGEEEERVDGM QQQQQRRSDADGSIDLDTKHVFKVESSTNMNHLPAPPRQRLQQRLPSNDPLSRRNVYR KLVQQVSTVTKTTLDDMLSKPTMHLEQLEIEQKLRDQVGKAMLQDGNPCPWRIQLQQR MEAVLTSLQLSAQKKLEIVIKYTSVKHSPHLEAALDLWEKAANCIMDREATLQRVYEF ELVASDPRRLFQTISTLRLKVIHLLFSIYIYIDLGVYME H257_17738 MPWKAAAPWLEAPNDEDAAIENATYVAKKKLLEDIVSRRLAPSD GASSVKDERRCNFEIITVPLRRKKVEPKPVVVAEEPDTDELTGHLDGWEHAWRGDLKL HKKRILHEIWTDPRDPAMGRMLALQNITQVHGQKLLEKIHAAMDAIGDALFTTMRATY VKTYQNGMFTTDQTITRLLSSFKIEIRTALTADAVRQKGFHT H257_17739 MIQSLFIITSTGETIIEKHWRGTTNRTICDYFVEEVNRHKLRED VPPIISTANHYLISAFREELFVLAVITNEISPLFVIEFLHRVVAVFKEYFGKFEESVI KDNFSTVYQLLEEMLDNGYPLTTEPNALKAMVAPPPGAVGRLAAMVSGRSGVSDSLPD GALSNIPWRKAGVKYSQNEIYFDVIEEIDAIVDANGEMLQCEVHGAIHGNCRLSGVPD LTMVFRDPSVIDDCSFHPCVRYARYEHDRVISFVPPDGVFELMQYRVQVNHVVPPIYC QPSIVYDKPPNHGGIGGGAIDLVLLQRNMPTLVSTSKKGLRPEDVSVEVIFPSAVRTV NANTNHGSCLFDDVTKTLKWTVKWSKKVLSPTCHGTIVLHANASIPDEKPLVLLHFGV PLSSVSGLLVESLVLSNEKYKPYKGVRTLTKTGRFQIRT H257_17740 MTVSFAVMARRSSAILDDAAAAYHPTDDDATAILSRAVDPSGQF GWTQTLEELYVYVPVRPRIVRKGVNVLATQSTDHWTRSPVCMRSWRPLCSAHCWTGRS RRRRSRVRSTHALCSRRPLARPWKCASPWSSKRPRVGALCSRSTWFQHSTHHVHLALV LLVKAILVNENRSSLEYYPT H257_17741 MIQSMFIITSTGEIIIEKHWRGITNRTVCDFFVEEVNKYKLRED VPPVIATSKHYFISVFREGLFLLAVVTNEISPLFVIEFLHRVVAVFRDYFGSFEESAI KDNFSTVYQLLEEMLDNGYPLTTEPNALKAMVAPPSTVNRIAAIVSGKSRVSDQLPDG AISNIPWRKAGVKYTQNEIYFDVVEEIDAIIDSHGQMLSCEVNGTINGHSRLSGVPDL TMVFVDPSVIDDCSFHPCVRYARYERERVISFVPPDGHFELMQYRVQVNQVVPPIFCQ PSIKYTDKGGTLEIALGARNMPTLVNNTKKPIQTEDITVQITFPKSVRTVDANTETGS CLFDDATKTLKWTVGKFNPKKAASPSLKAAIVLQQGAAVPDEKPMVLLGFKVPFTTVS GLAVETLVLTNENYKPYKGVRTLTQAGRFQIRT H257_17741 MIQSMFIITSTGEIIIEKHWRGITNRTVCDFFVEEVNKYKLRED VPPVIATSKHYFISVFREGLFLLAVVTNEISPLFVIEFLHRVVAVFRDYFGSFEESAI KDNFSTVYQLLEEMLDNGYPLTTEPNALKAMVAPPSTVNRIAAIVSGKSRVSDQLPDG AISNIPWRKAGVKYTQNEIYFDVVEEIDAIIDSHGQMLSCEVNGTINGHSRLSGVPDL TMVFVDPSVIDDCSFHPCVRYARYERERVISFVPPDGHFELMQYRVQVNQVVPPIFCQ PSIKYTDKGGTLEIALGARNMPTLVNNTKKPIQSSTRVWMCSIWANYIYIYGEYVMYF EKYEIWMCS H257_17743 MGRFGEAFDAAVEARTPEAFSLFQAQVDGWVIDGQFARSSTDVE SALVQLMDGYGVNAPEVQAMCEEFILLCNSAAMRALSLAESEDALDLLTLADQHTTPG TCHLVDDSHRKRLRGITLNNFACYFKKHGKLHTAIQYLEKTLKIESSIANVENPAGTH LNICAILSQMGRHVRAAEHARCAIELLKYERTKAMADERVAGGGAPSNNKSDTSVLAI AYYNWGVELEHMRRFDQAIKAFTKGHEVACSELDASHPMIQAMYKALVDVEDHVAVKK GYAVTPRSAIKTPRTAR H257_17743 MGRFGEAFDAAVEARTPEAFSLFQAQVDGWVIDGQFARSSTDVE SALVQLMDGYGVNAPEVQAMCEEFILLCNSAAMRALSLAESEDALDLLTLADQHTTPG TCHLVDDSHRKRLRGITLNNFACYFKKHGKLHTAIQYLEKTLKIESSIANVENPAGTH LNICAILSQMGRHVRAAEHARCAIELLKYERTKAMADERVAGGGAPSNNKSDTSVLAI AYYNWGVELEHMRRFDQAIKAFTKGSNVMYCGRWLQEGRTMCWEMYDA H257_17744 MEAYLRGASGTWLHTDRPRRDDVACTLGWRLVPPILDHIAACQV SLLDELIAKACDKQSSEHLVRVMERAATSPSTFDLSNEQMQNVACSLPFIVRGLALQN LTYPMTDSTTPSDETAAWNAALAQLQRALGSIPHRDVVAITLLKRWKRHLKLASSHAT AEGVSSSPHKAFGSVEPTFEHLNWKLRLVMRGPPTLAPPSVSVRLQWAAASDNTTTTS HVMDLTVSAFQELHASWQALAVAMGDQP H257_17744 MEAYLRGASGWRLVPPILDHIAACQVSLLDELIAKACDKQSSEH LVRVMERAATSPSTFDLSNEQMQNVACSLPFIVRGLALQNLTYPMTDSTTPSDETAAW NAALAQLQRALGSIPHRDVVAITLLKRWKRHLKLASSHATAEGVSSSPHKAFGSVEPT FEHLNWKLRLVMRGPPTLAPPSVSVRLQWAAASDNTTTTSHVMDLTVSAFQELHASWQ ALAVAMGDQP H257_17744 MQNVACSLPFIVRGLALQNLTYPMTDSTTPSDETAAWNAALAQL QRALGSIPHRDVVAITLLKRWKRHLKLASSHATAEGVSSSPHKAFGSVEPTFEHLNWK LRLVMRGPPTLAPPSVSVRLQWAAASDNTTTTSHVMDLTVSAFQELHASWQALAVAMG DQP H257_17744 MQNVACSLPFIVRGLALQNLTYPMTDSTTPSDETAAWNAALAQL QRALGSIPHRDVVAITLLKRWKRHLKLASSHATAEGVSSSPHKAFGSVEPTFEHLNWK LRLVMRGPPTLAPPSVSVRLQWAAASDNTTTTSHVMDLTVSAFQELHASWQALAVAMG DQP H257_17746 MLRNVLLMATSGLVLFSKEFVNAIAQPRLIGSLLTAILEFSAKT TGAPVSYMEFAHVAVAVVTNEHAKVFCAIFLDVCDGPKFGSFIAKEILAAFVNEYAGD LGNIGHNLRDFHGFHYKISEVIRESAKPILATLQQHRGIQKAILVTDDTVTYATVDVD HLGVLVNLQSLRTLSADMMAFVGDNAKSVTLQTGRNCSIHVSAIENATLVVTYKQNGA ACVAAIDDAIFMLHRVYRLINNLHHHTAAIPVH H257_17746 MLRNVLLMATSGLVLFSKEFVNAIAQPRLIGSLLTAILEFSAKT TGAPVSYMEFAHVAVAVVTNEHAKVFCAIFLDVCDGPKFGSFIAKEILAAFVNEYAGD LGNIGHNLRDFHGFHYKISEVIRESAKPILATLQQHRGIQKAILVTDDTVTYATVDVD HLGVLVNLQSLRTLSADMSTWHDV H257_17747 MEGGRRSETRGKDILLKACFVMHGRLSVLRQRSAAWRCGVGINT MSAFLRQQLLQLTHNRFDFFFRVDMSLVTSLLRMQQDRRLDAATVGPRDNLKVARRPG IFEGDEVHLTFIKVLLQFRGGGVEEADEASGAAELDRYVNGSHR H257_17748 MSSTSKWLWLLVLASQACGTRFLFTLHADTTDCFYEPVDVRATS NALLVRFDMVDAVNGDAMDVTIESPIGRSIAGWTDAATGHWQHTTRESGLYKLCFGHL GKSSQVAVLVHIEFLTAMDRQLTIYPSAAVTLTRHGTEILDLKMSDSTNGLVVFSIQG MSPSLLLANSTTRYLLSLSVQETTASLVESSPLVTLTPLLTVPSRPFEHRLIQVMQDV QRQQRQPVQAHNVVVFDVTSVVEVAMVQQQSQVAFTLHADAGTTVRMASTARVAPDHW PLLTVERGSNGVEHDLREFQTKVWNLQSLLAYIRHHHRHSLDATAATAARLLVGTVVV SVLWVAVGIAQVVFVGKLLQ H257_17748 MSSTSKWLWLLVLASQACGTRFLFTLHADTTDCFYEPVDVRATS NALLVRFDMVDAVNGDAMDVTIESPIGRSIAGWTDAATGHWQHTTRESGLYKLCFGHL GKSSQVAVLVHIEFLTAMDRQLTIYPSAAVTLTRHGTEILDLKMSDSTNGLVVFSIQG MSPSLLLANSTTRYLLSLSVQETTASLVESSPLVTLTPLLTVPSRPFEHRLIQVMQDV QRQQRQPVQAHNVVVFDVTSVVEVAMVQQQSQVAFTTPAQPCGWHPRPELPQTTGHS H257_17750 MTEGPVSQYVGATTPKQSEHLYAFSANNDPPLHGSGLSPRRGHH ASASPISRVFGILGHGIVGLLLVLAVLTPAGYNFPLYAPTFSTPMSTWWGVNPKVKGS GHSEMVVPTYFFLGTVLPVLVAGVLFALVQVKAPVGSPSPLSPFLHRKPKLFKSMLSY GEILFIAVIVTVNVLVFNYQFVKRYKPTNTTADTFKNVGTALGFTGLFDMVLVALPAT RHAFWMEWLDIPYAHGVKYHKWIGVLTIVAFVAHTVCFVVYYAIVGKLYKLLPCVNCD MATDGMANWEYFFGILSMACFVVMGATSLPYVRRHHYTVFYATHFLFIPATLFAVFHW GNIIYFLFTSIVLYVGNRMLSQASIVTPVSLTRAVQLSSDVVELSFECATGYSPGDAV WIKVPALSKTQWHPFSVASTPLETPDLLTIYVKNLGSWTAGLHEYIGQCQEKNVQPVI YMDGGYTSAAPIPATHSDVVFIGGGIGITPLMGQLLHILRSHPTQNAWLIWNVRRKDM LVAFQSWLHHIEVLGGSRLKIRLHVTQDDTTSFDLDTHDDKPRHARYVDGHVAVESRP YSHVSTFKRVWMLLLAFGFSGGLLVAVTFGNKIQSNPPRLWLLQRFVQFCVVVLGCFL AYWVIKYANGRSSQHQLTGVVSSSSGGRDGKVHLNADEMTAHFNVQTGRANLADLFHE IEASRVSTVGVYVSGPMSLIHAVDFHGQGISKFHIRHEVFEL H257_17751 MQYGATGAYLVLYTTSTFGGGGECWLHLSEATTAKEKRIVMIER AIKAWDLITERDVRASFIKALPKSE H257_17752 MRVFAFLALVAAATVSADDQVTQDSIQVAQLAVAEAAAAALDAQ LVGRVLVEAASAEAESKKDDDDDDDDDDVVEADVFVGIRRLESEAAAAVEAVQSVESV EAVQAQASKKDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDVIEADNVDASKG DVVVGNLSDEEVEIP H257_17753 MDRAQVGVFKETHQVRFRGFLEGQDSRGLEAQVGLVVLRDFTHE ALERQLADEEVGGLLVAADFAQGDGTRAVTMGLLHAAGRGHTLAGGLGGQLLAGRLAA GGLACSLLGSGHVG H257_17754 MLKRLGTVTRALSPTARASPHSPSQTSPVVQSGTAATRHPPPSP RLKLNLQSRHVHDNQDHPVVVYPDVQDAASKRTDLVDEVLVLLDQLLRRMDAIKPKKL RSIRLGGELRGLLGKAEDEVRAHQATFSAYLATTPGLNIQMTNFLASVHGLEPIIHSI HATSFLVNAFVKKSIQFAFQEISSYYASIFTELSLAVAQATGSAAEAAVLAALSKPTI APVPDEPPPVDYEAMYLLGHQHYFGHGKDKNSSLAFRLYLTAATHAHVDAMVCVGCML RDGIGTDADQEEAMTWLTRAADEGALLGASNLGLLMMDKADRCPQSTKQHELYAMALV RLTQAGDRGVAAAQYAAGTIYQRKLAPVDVTKAMDWFVKASAAAYAPADFALGHLYFD QDKALSAHHFQRALQNSQTGGEVQSDAAADARYCLGCIYSAGEDVTEDLPRALAYFRQ AAEAGQAKACLEMAHRSLQTPHQALRYLLLCRIFESAEFDSRSTALRHYIAAADQGHV EAAKRAAAMFYSGVVDAKKVAPDRVKALALYTIAARKNDPEALNALGLMHEEGVGCHM DVGKAAEFFGEAAARGSSHGHFNLGCLLQSGKGIQQDYAKAKWHFAQAAALGYAIARH HHFHQESTR H257_17755 MHFSSHSYTVSTAQSLDFCSSQSLFNLPANSLPMLTWTALSTAA SVGLFHGIHTACVHRLQWAVTQHQSTDGRKCPLARSNAPVADVAMIAAQCASSTVGLL HVLPLLPPLAEYVVNPQHVSLNYTPEDLHTLFVATLLVVGGYIWSLLFAPKSITSVLH HTLLVGLIVAATSFQVAVQVAAVYTVSTLLTMQPVSVADALFRAKSSWAPAMLRTALV YYGVVKAVAAVVLWTVLAAQPTDHLIVQGLVVWILSIIQVLNFIRMANHYAIARTWQP DECATSDAIIATALGVSNSKSLKH H257_17756 MRLSKAVQPVLAISPASSIPSEDSAVDFEEPPWDASSSSDNEYN ATAPQQPTSWKNVLAGAKRLIASAESSHHADILAAIPAGVVAPHPTCRDVNFDPAVDC ALDSDEDEVYDEDDEAEFPLFDPLGSEGLGATEDDLVEVAEVLYEEPFDAAQVRSLDV LHYLVQSLP H257_17757 MKYSLLSVIALFAASATAQTNNAIAGIDDRARSLHEVSEPEGDA DVNATAKVTLPRGDADILACQQQNTNYIPSLKAGQYVLCLPTALDNLTAIHEPIGAAD RVSLLQKYHTLSWDWKNVPLEEFLGAFRDLMRRLDRAALNELPSFRVNKLLTLMPKEM RMSSRRR H257_17758 MSSSRRSQLSSLATYVGPHFTDVGHANAHCGTRHVVSNFWADTR RCLNCSTSCHARSSSVRKSRWQNVINVGRPWFDRKYCSSSFMRLAALDVVVTTDVMAW EDDAR H257_17759 MLLPSPPPQQRPSQLNAPPKQAARCLRVLVLTMHIIVAMYLVMW ATLLQTALDADLVSVRVYQPLVTSVVYVITATLHLYAVYSVVVSECKQRPLSQKVGPS IDAIAPQSRIAFFDLDNWYDHSIILFNLIEIACASTQATTMFRTIVEPAKVVSYAIVV VLYIVLSPLILFVRNTQAKTSLVNVADSILSFTLSCGHPFAAVVFQLSDYVLVHPALE HDNLWSTQTLVLTRQLLPSTFVHLCSILGLHVGTYLALRRVMNTSHHIHLALTAVTEK KPPVAPVTRTRTARVKQTLHLLVHASRSSLQDQLKLSRKKRLLLRVNLVVNMTLGMAS IVVITRSMVRRTHCPEYCRAYSRPLFDLSCHCAYVEVNCVLQGIVDPLPLLDPAIVGT NALYMEISRCDLSSGLPAASLAPFQDLSKLLILYSNMTSWDEPLPPGVNHVIVRRSRL QSVPSALGGPISPSLVSIFIEHCDLHEVSERVMQSWRNVRQLHLVNVSLQDVPAALLE LLHLEELNLQSNRIATLPSPWLVDDSAKVTSSALRLVLLGGNRLVQVPWALAKHSAVV DLSGNPIPPSTTSLSDMASLLEARRVILDGTPFCTATPTTYCKPLCAPYCFATMPGDN YCNLECHSPSCKFDVGDCDRFGFSRNQ H257_17760 MEDLDMGQNDMHLHNAPALLKNPMLIGSTKEERHVFMAAYNLNI SQTNTLTANGVRPFIMPVRACI H257_17761 MLARTYNIPEATLRRWKARASDFVESSDHRSRATLMGRGQSENI DFSQQLVEFMESVREGEYFLTTAHLVTWIKSHQPEWLSEYMAAKPNEVCEYKCLIEWC LSFANRHGYKHRVPCPAKASKGELLATQDEFDTAFQEKFEHLPRRAWINVDKTPVYYD MPPGRTLAKVGESSRVLETQKHSDRVTAVL H257_17762 MAKQGSPPCPSASALELAASFVVANGLLDPIRTTTSKKRSRRDG QLRVSTAARLVRQMEPTEDPRPYRKRNKRAAET H257_17763 MKDQRMQSIPLSVFWCAYLYMPAVLYIYVTNMHLPPIKYCQPYL MVATGIFSTLYCLTVPLVLILGPNWPSPILIVCGYFVPSLICVSFILSAGAVVVMFKI TDLIVLHTHFSPSQVDRLSFYRRLLYPKVQVLAVVVGGVVVSMPYCVALYSFGDLTAA ELLQGHGGTVLWTSTCVFALLAGVGGVVLSAHMAPYMDNLGLRRTYRRSCFASSLLVL TSALISTLELTITLPLAQDYNVHNILYTLAAQYFFFFNLVVPLRDLESFQLMMRGKSL ANGTMAPSQPTTSSPATACTAQLERYLRSDHGLPTFMTFCQRDFRTEELRAWQLVEQF KQRLVSAQKLLTTCLVPQCALACPTSVKWGPTYATRLESWLRCDEDIVGNEVPTTFFD EFQAALLGALCEDVWLRFQRQSLDWIDHDRRRRSLEGMDTVLRMVVKKQPVAVVVTDE PTPACVDDVLESMDDEDFVSFQS H257_17764 MTSEKRGWPVDKVKLTKASAKFNRVVLARTLRTNKNRGDAKLYK ATARPYDITLAGSTHVLNMAWAWWLWKPTSNRLNTITSISRRRRSAETATAMAQSVVG LRCTDNCAVVEIDAEKSIETTAFKCKQMSTA H257_17765 MCSRGSFKPEFVAVAAVVIARFCKARPVAIMVSNPFLCTSMATH WTTRRRNLWGRMQTERSAIELHLSVLERSTRHVLGRLYGVANQLKQYFAPHRQRFRYL GQLVPR H257_17766 MAARPANQGSTESPQDRRKRLSIESVKQAALRSKETPVQHAIRL EKLKAKRGMDSIEEHAIRLQSVNSKRDKKTSEEHALRLQKMKARRDMEAPEEHIMRLQ RLKRAIWKALHVSESVEERKSRLERRRQGLHRVEEAKSNDKIASEAQCAALDGAGLLH EYSKDMKSKILGELGFALWNGSMCVLCDMLQSMAARLRNPDATLCDELVAFYDCKDIH PAFVGLMLSRKGITHAGNVNNIENPKDVDFNVCLECETVLLQPWLSELPRHYVFPGER VDAERDIERDFDDDHPMTHADQDACQYDDPIDDTDGSSLDTLAASIALSMALDGGEHE VALQQCTLLEEPHSRTLPP H257_17767 MRQVVNRQGNPVADGDDSVVMQGLVKLAPSVLDFGRNHIKRRPA ASEVSVKPTIELFIVLHTSPGRPSIVAMIAAAMAAIVTTNSGLMSSSGTPTAKEALDG KARSHEA H257_17768 MAKPRGPNKAPTKKDLLERVRQLEANLEGQAGPSVPNVKWTDAM VKCILACRFETNKNYFNDNKRKAQLMMTAVEVPKLKNKFQAVKSESSSRSSPRFGGRW TTNLEMRRERPFDLPMFWESLVEHFGDKTGLGLHEFGTSDPPSPAKSVDDTSTVEGLQ DDVTNVDDA H257_17769 MGQLGRPQLDLCKRLQATLDTNRRPGHYCNISSHENDDGIAYKG KVVHWTNRLSWEATAGPYAPPCESEHIQVLVEVPSARHRPLFMAEHASARLTAELVKI QGTPRTTAARVQLRRPLRTASAPRRKANELPQAAEPVHNVFVATQVVPDTVVLDGFDG PASVELPAAAPWWTFRSVTTYFTYLAKAAIAAVKVPLHYLLAPATTMPPITLTSHNFA TWRVWFIAKLQTKRLANYLVWDGVAAQGADGFEYNALDNLRALGILTESLSESQYQYV DGALLVKSAMDNLTAIHEPIGAADRVALLEEYHTLTWDWKTVPLEEFIGVFRDLTRRL DRATLNELPSFRVTKLLSLMPKEMRMVSHMIIDSNAEFHTVPIAATKLVAEYKYLQKE GVLRIRGRNMDDAVLIAHHDRMPTSENGNERRKARNQDKCHNCGIIGHWARDCRRPDG GSHAGQYRRGQHETRRTRAAHVNAVRSDSTRDEDYLFALDLESSEQPSSLHMSSLPTA SLSSTHCMVVDSGASCHITGNLDILYDRTDCERSVVVADGHRMRVVLMGKIRLTGIDG THLVLTDVLYEPSVTNTLVSISRMTVDNPDVDVSFSGQNCSIHAGARLIATARFDPRS KLFILGGKTNVEGDEYVGTATGTTDLTEPLPPRTIDLWHCRMGHAMLDHMRWNSRQHP ELPRFDSKLSLCECCQTSKQTRAPRPPRATRHWQLGELVHSDIKGPMRTSTNGGGRYM LSYIEDSSGYAWTKILQNKSDQADAFMEFLPWLERQTGTKLKCLRSDNGGEYLSSEFS QSLTTLGIKHETSAVDSQFQNGKAERFNRTIFEMALAMLTHASMARVWWGEAIHTATF LRNRLVNSVSNTKSPIELLLGQKPNLANWKVWGCLCFHLLPYQSKRDKLRPKAVKCIF LGYSDTQKAYRLFDIENNKLVFSVNVKFFESKFIHNDTTVDYESDSGSEDGETAEDKA DDSGPDSDLPNDNDDDDSEGGNGDDNGPAGFAPNTTAPRAPGPALPPRNTVRRSFENL LGIPTRQRDARATRRDAERTQRERDSERSQRQPQRFSPGNGGRPRDSPRGRDFDARVR DQNHQERQENAHGARNDVERNEPRQSTRARRTPNRSADEGYAYVTANPPEYCYNIGDD VPRGHNAAMRSLEAREWEKAEKVALDAMIANGTWEVQQVTASQRSLRCHWVYAKKIDS EGNVTRYKARLVANGSTQEQGVDYFQSYSPVVKLVTLRTVISFATSKGYHMEQADAVD AYLQSFLPLNERVIMDQPPGHQLGPLGSKLRMIRPLWGLKQSGLHWNVYCDVGLKKIG FHPSLYDPCLYTKTSNGKVIILCVYVDDFLIAAPTKEVVTVVLDAMEGAGKIRLKRQG PLQYLLGIKVSRTLEGTTLSQATYAKTVLKRFGMENCSRVATPEVTGSEDEWDEPGEL ADVEAYQSMVGSLVYLMSCTRPDLAHCIQRLSRYLHQPTTKHVEGAKRVLRYIQGTLD VGITFTHNSMQLHGYSDSSWAPKPDRRSISGQIWILNGGPVSWRSIRQKTIATSSCEA EYVAMATATKECIFLRGLLSDLGVDQHSVLCYADNQGAIALSSNRVTNDRTKHIDICH HFVRDMVHEKIIHFEYIETKAMLADAMTKIATRVSLQKLFEGARMTLVPHGEC H257_17770 MNCHDAVGCVVEVFSDVTKVWCQGRIDEYDPQVGYRVMYDDGNE QWEDLSDPDRVHVVQPSRANMEGYAEGVHVIPTENTIADEKCAMTPPPDLDDVIVDTR VSRESADNDNAIESTTQVYHLQSTIGGQLAPSCRQSSSDVQDDEVEAVTYESENRLIP EWLAPRMNLQTTSYSSGRATTYTTTNNGHEQVHGGNGGLNDTPCSLDSGTNPRALANV HTSETTTAHGICSVQTKTQPSDYSGNENTTPVASKPATACEFPPVADWDGRTSRLSGR VSHFRSERDMTACHVFVKVHVVSPGPGSVHLRCKRAIHTTQLHVCGGGGAWDDSIWTH TFTDDEPVDLNTALLYAVYLAESDNIFLGHVLIPISHFSRSVVVQDEEFALVSRQGKV VAGLFLRLQHQVVLVTTFPTAVPPVKSTPVPDTPKLAKPSKTVDGKPRNLQSTDQKVK PVATRRVHRLVRPKSASGNQKQQALHAKASTIYSAKRRPKPSSNAKLPLPSTYDTQVQ LVEDVAALQASVAQAEAQVLRLTAMLSRLDISHGKLKSTAGCLKRSIARATSSSCSPQ GVIPTKPSTPKGPTAAGGGYVETLTCVFNDAVQERQGLMLAMSALAQDEVATSKSLGD VLDDLQRGRRQLRWLTDPTRKIADDDAFLAQRYTVLMDLKVQVATLHEQESMYQQSAA DPIDNVVESKVDARRKKLDQLEQEIAIHRGQYNQMVHDQSSHDLKQKVNDMHLILLMV SNVSGVV H257_17770 MNCHDAVGCVVEVFSDVTKVWCQGRIDEYDPQVGYRVMYDDGNE QWEDLSDPDRVHVVQPSRANMEGYAEGVHVIPTENTIADEKCAMTPPPDLDDVIVDTR VSRESADNDNAIESTTQVYHLQSTIGGQLAPSCRQSSSDVQDDEVEAVTYESENRLIP EWLAPRMNLQTTSYSSGRATTYTTTNNGHEQVHGGNGGLNDTPCSLDSGTNPRALANG SLISSALLEAPTPIVVHTSETTTAHGICSVQTKTQPSDYSGNENTTPVASKPATACEF PPVADWDGRTSRLSGRVSHFRSERDMTACHVFVKVHVVSPGPGSVHLRCKRAIHTTQL HVCGGGGAWDDSIWTHTFTDDEPVDLNTALLYAVYLAESDNIFLGHVLIPISHFSRSV VVQDEEFALVSRQGKVVAGLFLRLQHQVVLVTTFPTAVPPVKSTPVPDTPKLAKPSKT VDGKPRNLQSTDQKVKPVATRRVHRLVRPKSASGNQKQQALHAKASTIYSAKRRPKPS SNAKLPLPSTYDTQVQLVEDVAALQASVAQAEAQVLRLTAMLSRLDISHGKLKSTAGC LKRSIARATSSSCSPQGVIPTKPSTPKGPTAAGGGYVETLTCVFNDAVQERQGLMLAM SALAQDEVATSKSLGDVLDDLQRGRRQLRWLTDPTRKIADDDAFLAQRYTVLMDLKVQ VATLHEQESMYQQSAADPIDNVVESKVDARRKKLDQLEQEIAIHRGQYNQMVHDQSSH DLKQKVNDMHLILLMVSNVSGVV H257_17771 MAQIKTCHFKLVLIGDTAVGKSCLVVRFVRDEFFEFQEPTIGAA FLTQTVSMDDGVTVKFEIWDTAGQERYRSLAPMYYRGAAAAIVVYDVTNKDSFTGAMS WVKELQRRGDPNVIIALAGNKADLESRRTVEFEEARQYANDNGILHMETSAKTAANVK DLFVAIAEKLPKNPTQPEREAFPITAPPSQKPARGGCC H257_17772 MQRESSATPLEPNLNRNVNWMDSPGFMGFYVITLFIIYIVVHTI VPVDWAWTSVNIVHGFFSFLTMHWIKGSPDEDPSSLGGQYRELTFYEQIDDGRPWTWI KKFLIVVPTLLLLWASVNSNYDTTQLLINVPVWIVLILAKLPELHGVRLFGINRTVGI DDDAKLHFAQSNKRRD H257_17773 MAYLKATLGDNLSKTIQEAKILVVGAGGIGCELLKNLVLSGFED ITVVDLDTIDVSNLNRQFLFRSQHVGHSKAEVAKAVALQFNPRVKITAYHENIKSSRY SIEYFKQFSVVMNALDNVDARKHVNRICLAAQVPLVESGTTGYLGQVSVIMKGDTECY ECTEKATSKVYPICTIRSTPDKMVHCIVWAKECFKLLFGKMDDSMLWEDPANDDKSAY IDLVLAKDVPHQEYAANVFRGLFEFEILKKLDIKVYKTAQHQPSPVFLDKLDLASYSL SSNDKSRSWNDRVLWSVDECAARFVYSAAHLLASPDVGLMEFDKDHAVALEFVTAAAN LRAHVFGIAMESMYACKGIAGNIIPAIATTNAIVAGLQVLEAFKILRNHHRKESIRQV CRYTYCNRSWDGRGVLLTPVALSAPNPTCFVCSRQVVDVGLNVHSTTLQQFVDHVLKK KMGVHEPTISMGSHTIYEEGDDAEESLAANLTKKLPDLPGGGVGHGAVVIVEDFSQDF TCSISIHHVEADEVVYLLGSEWLKHLDQKEASQDDEAAEGVDVKRKHADDDQGDVTLV KRTKVY H257_17774 MSPSDDFYLRYYVGHKGKFGHEYMEFELRADGKLRYANNSNYKN DTLIKKEVTLSQSVIEEVKRIIETSEIIKEDDSKWPESDRVGKQELEIIHNNEHICFT CAKIGSLLDVQDSNDPEGLRVFYYLVQDLKCFVFSLITLHFKIKPIP H257_17775 MPQALRELSCNQRHDVIRHLHQFIKGGWLTHGAFAKTARELDVS ARVVSAIWRTFRGGGKIESNKAGNVGRPKRYTVQDVQQRVGAVPFEQRSTMRDISVAT GIPVGTKNASNFAAYATLAETAGHAGAGEAGPCVEFDPLWDVVHLDEKWFNADKDRRT MYLLANEAPPCRSWKSKRFIPKVMFLAAVARPRFDEGRGVLFDGKIGMWPFVDLVPAV RSSRNRPAGTLVTTLVNVNANVYRDYVINKVVPAIKASFPSTNKRVILQQDNATPHRS ITDAELASVSTDGWTFVVRRQPPNSPDLNVLDLGFFASIQSLQLKKVSRTVDEVIRYT LASFDELSYEKLECVFLTFQAVMRLVLEHAGDNKFALPHLKKAALRRAGLLMSNMSCP VSLLL H257_17776 MTATRTPHPAVCVGLQERLPAFMDAMNDFQYIQAKELIPSELGD VHEWKRIAKYHKTTFINKAQDDSTNPLARLYAELAKLSTSELLFVHQNHKGNADVDRF GRVKTPKARQSIDAPSFDLTLQLQAHDLTAFLQQCSVLFEHRQAAVSLYVALMRDRIC GHHLVDFYEQFDTVAAIIQHLNTLSHPLLTAIRDMALLEVGAVRAAIECEMAISDFEY IKAITTLHRLKRCMHEWSDGLNAIDDDDDNHMMGSSSASSPRLSDDKPRKITPLKAKK GTAGDSPGYSSAHSMSSIRMSLDLLPTTTTHDDDDDDTGIIVLPRFCWARRWQQSLVR KFSLYFYKWLYPFDHPPQHHLNMTFKSSSSSLRSSPVLDILEPFFSKHFKEQDVATMT IIINTDSLPHNGATFHCHGYLCPAHVEKPDPSVAVKQHIRAANKTYFGQVSRYDEDVN GEFAAPWGVGTWPAVFSYPKPELDTSLILKHWPNLIMLLTNLPPWDEHDGAKLHHSKP ITIHHEKQMATTYAVARIDPNVYLAFLCEKRKQNVTEKLLTDTMAAVTACLQHATVL H257_17776 MTATRTPHPAVCVGLQERLPAFMDAMNDFQYIQAKELIPSELGD VHEWKRIAKLLHFWADCDSTYHKTTFINKAQDDSTNPLARLYAELAKLSTSELLFVHQ NHKGNADVDRFGRVKTPKARQSIDAPSFDLTLQLQAHDLTAFLQQCSVLFEHRQAAVS LYVALMRDRICGHHLVDFYEQFDTVAAIIQHLNTLSHPLLTAIRDMALLEVGAVRAAI ECEMAISDFEYIKAITTLHRLKRCMHEWSDGLNAIDDDDDNHMMGSSSASSPRLSDDK PRKITPLKAKKGTAGDSPGYSSAHSMSSIRMSLDLLPTTTTHDDDDDDTGIIVLPRFC WARRWQQSLVRKFSLYFYKWLYPFDHPPQHHLNMTFKSSSSSLRSSPVLDILEPFFSK HFKEQDVATMTIIINTDSLPHNGATFHCHGYLCPAHVEKPDPSVAVKQHIRAANKTYF GQVSRYDEDVNGEFAAPWGVGTWPAVFSYPKPELDTSLILKHWPNLIMLLTNLPPWDE HDGAKLHHSKPITIHHEKQMATTYAVARIDPNVYLAFLCEKRKQNVTEKLLTDTMAAV TACLQHATVL H257_17776 MRDRICGHHLVDFYEQFDTVAAIIQHLNTLSHPLLTAIRDMALL EVGAVRAAIECEMAISDFEYIKAITTLHRLKRCMHEWSDGLNAIDDDDDNHMMGSSSA SSPRLSDDKPRKITPLKAKKGTAGDSPGYSSAHSMSSIRMSLDLLPTTTTHDDDDDDT GIIVLPRFCWARRWQQSLVRKFSLYFYKWLYPFDHPPQHHLNMTFKSSSSSLRSSPVL DILEPFFSKHFKEQDVATMTIIINTDSLPHNGATFHCHGYLCPAHVEKPDPSVAVKQH IRAANKTYFGQVSRYDEDVNGEFAAPWGVGTWPAVFSYPKPELDTSLILKHWPNLIML LTNLPPWDEHDGAKLHHSKPITIHHEKQMATTYAVARIDPNVYLAFLCEKRKQNVTEK LLTDTMAAVTACLQHATVL H257_17776 MTATRTPHPAVCVGLQERLPAFMDAMNDFQYIQAKELIPSELGD VHEWKRIAKLLHFWADCDSTYHKTTFINKAQDDSTNPLARLYAELAKLSTSELLFVHQ NHKGNADVDRFGRVKTPKARQSIDAPSFDLTLQLQAHDLTAFLQQCSVLFEHRQAAVS LYVALMRDRICGHHLVDFYEQFDTVAAIIQHLNTLSHPLLTAIRDMALLEVGAVRAAI ECEMAISDFEYIKAITTLHRLKRCMHEWSDGLNAIDDDDDNHMMGSSSASSPRLSDDK PRKITPLKAKKGTAGDSPGYSSAHSMSSIRMSLDLLPTTTTHDDDDDDTGIIVLPRFC WARRWQQSLVRKFSLYFYKWLYPFDHPPQHHLNMTFKSSSSSLRSSPVLDILEPFFSK HFKEQDVATMTIIINTDSLPHNGATFHCHGYLCPAHVEKPDPSVAVKQHIRAANKTYF GQVSRYDEDVNGEFAAPWGVGTWPAVFSYPKPELDTSLILKHWPNLIMLLTNLPPWDE HDGAKLHHSKPMYYIYIYSFGG H257_17776 MTATRTPHPAVCVGLQERLPAFMDAMNDFQYIQAKELIPSELGD VHEWKRIAKYHKTTFINKAQDDSTNPLARLYAELAKLSTSELLFVHQNHKGNADVDRF GRVKTPKARQSIDAPSFDLTLQLQAHDLTAFLQQCSVLFEHRQAAVSLYVALMRDRIC GHHLVDFYEQFDTVAAIIQHLNTLSHPLLTAIRDMALLEVGAVRAAIECEMAISDFEY IKAITTLHRLKRCMHEWSDGLNAIDDDDDNHMMGSSSASSPRLSDDKPRKITPLKAKK GTAGDSPGYSSAHSMSSIRMSLDLLPTTTTHDDDDDDTGIIVLPRFCWARRWQQSLVR KFSLYFYKWLYPFDHPPQHHLNMTFKSSSSSLRSSPVLDILEPFFSKHFKEQDVATMT IIINTDSLPHNGATFHCHGYLCPAHVEKPDPSVAVKQHIRAANKTYFGQVSRYDEDVN GEFAAPWGVGTWPAGVTD H257_17776 MTATRTPHPAVCVGLQERLPAFMDAMNDFQYIQAKELIPSELGD VHEWKRIAKLLHFWADCDSTYHKTTFINKAQDDSTNPLARLYAELAKLSTSELLFVHQ NHKGNADVDRFGRVKTPKARQSIDAPSFDLTLQLQAHDLTAFLQQCSVLFEHRQAAVS LYVALMRDRICGHHLVDFYEQFDTVAAIIQHLNTLSHPLLTAIRDMALLEVGAVRAAI ECEMAISDFEYIKAITTLHRLKRCMHEWSDGLNAIDDDDDNHMMGSSSASSPRLSDDK PRKITPLKAKKGTAGDSPGYSSAHSMSSIRMSLDLLPTTTTHDDDDDDTGIIVLPRFC WARRWQQSLVRKFSLYFYKWLYPFDHPPQHHLNMTFKSSSSSLRSSPVLDILEPFFSK HFKEQDVATMTIIINTDSLPHNGATFHCHGYLCPAHVEKPDPSVAVKQHIRAANKTYF GQVSRYDEDVNGEFAAPWGVGTWPAGVTD H257_17776 MTATRTPHPAVCVGLQERLPAFMDAMNDFQYIQAKELIPSELGD VHEWKRIAKLLHFWADCDSTYHKTTFINKAQDDSTNPLARLYAELAKLSTSELLFVHQ NHKGNADVDRFGRVKTPKARQSIDAPSFDLTLQLQAHDLTAFLQQCSVLFEHRQAAVS LYVALMRDRICGHHLVDFYEQFDTVAAIIQHLNTLSHPLLTAIRDMALLEVGAVRAAI ECEMAISDFEYIKAITTLHRLKRCMHEWSDGLNAIDDDDDNHMMGSSSASSPRLSDDK PRKITPLKAKKGTAGDSPGYSSAHSMSSIRMSLDLLPTTTTHDDDDDDTGIIVLPRFC WARRWQQSLVRKFSLYFYKWLYPFDHPPQHHLNMTFKSSSSSLRSSPVLDILEPFFSK HFKEQDVATMTIIINTDSLPHNGATFHCHGYLCPAHVEKPDPSVAVKQHIRAANKTYF GQVSRYDEDVNGEFAAPWGVGTWPAGVTD H257_17777 MQVQASSFFPDTEGDESSLPPTRKTSTNTGPSTRLEYEAVPTMP RQSATPRDQDQSVGLSSGAYVGEIEFPATKSILWDRRPLSEPHVVHAADIFVPSAVVV SPSAVTSIINSVRNNTTGGALDLVGHASFSEDVSATNWKLIITSFTPHRTSNVASAIP VYASTTSPTDDSSQASPWHLALAQDFSKVLAPTTTTNLRVSSELLTAYLSVQLVVSDI TSTSVTVQASALGPQTSSLTLTPIRNLPLLLTPLAKLLMKPRAVSPSWWGYVTLDKTR KVVPLLQTDPLCASRPLVGVWVRSLSLSHMYLASMLFGTTSSRQTLWIAPRTFLLVKY PMQSTQWLPEFYECTIVADKALLGFFTSSQTAACDRAVEICMERRVFPATLPTSSLTC EDQVSTQENVVNTSVPPVVNSYRPEPPRNDTMSSFDMPTPMPERAPPIPPPQTKPILP LPPPPPGDIDDQPSGGSGGRNDVDLAALVHHQSRVMDAMQCEIQSLQRQLKAAMAPKT EKAEVGTNTSFAGETNALDCTTSWKGNDSDLDDDDDLDDRYMMTPPPLVVQPAASQPP PSSPSPSTSSPLAGGADFSFDVPRIQVPSAASTMDGQSDDDDDDDIAQIEARYLGKVK RAPLTT H257_17777 MPRQSATPRDQDQSVGLSSGAYVGEIEFPATKSILWDRRPLSEP HVVHAADIFVPSAVVVSPSAVTSIINSVRNNTTGGALDLVGHASFSEDVSATNWKLII TSFTPHRTSNVASAIPVYASTTSPTDDSSQASPWHLALAQDFSKVLAPTTTTNLRVSS ELLTAYLSVQLVVSDITSTSVTVQASALGPQTSSLTLTPIRNLPLLLTPLAKLLMKPR AVSPSWWGYVTLDKTRKVVPLLQTDPLCASRPLVGVWVRSLSLSHMYLASMLFGTTSS RQTLWIAPRTFLLVKYPMQSTQWLPEFYECTIVADKALLGFFTSSQTAACDRAVEICM ERRVFPATLPTSSLTCEDQVSTQENVVNTSVPPVVNSYRPEPPRNDTMSSFDMPTPMP ERAPPIPPPQTKPILPLPPPPPGDIDDQPSGGSGGRNDVDLAALVHHQSRVMDAMQCE IQSLQRQLKAAMAPKTEKAEVGTNTSFAGETNALDCTTSWKGNDSDLDDDDDLDDRYM MTPPPLVVQPAASQPPPSSPSPSTSSPLAGGADFSFDVPRIQVPSAASTMDGQSDDDD DDDIAQIEARYLGKVKRAPLTT H257_17777 MPRQSATPRDQDQSVGLSSGAYVGEIEFPATKSILWDRRPLSEP HVVHAADIFVPSAVVVSPSAVTSIINSVRNNTTGGALDLVGHASFSEDVSATNWKLII TSFTPHRTSNVASAIPVYASTTSPTDDSSQASPWHLALAQDFSKVLAPTTTTNLRVSS ELLTAYLSVQLVVSDITSTSVTVQASALGPQTSSLTLTPIRNLPLLLTPLAKLLMKPR AVSPSWWGYVTLDKTRKVVPLLQTDPLCASRPLVGVWVRSLSLSHMYLASMLFGTTSS RQTLWIAPRTFLLVKYPMQSTQWLPEFYECTIVADKALLGFFTSSQTAACDRAVEICM ERRVFPATLPTSSLTCEDQVSTQENVVNTSVPPVVNSYRPEPPRNDTMSSFDMPTPMP ERAPPIPPPQTKPILPLPPPPPGDIDDQPSGGSGGRNDVDLAALVHHQSRVMDAMQCE IQSLQRQLKAAMAPKTEKAEVGTNTSFAGETNALDCTTSWKGNDSDLDDDDDLDDRYM MTPPPLVVQPAASQPPPSSPSPSTSSPLAGGADFSFDVPRIQVPSAASTMDGQSDDDD DDDIAQIEARYLGKVKRAPLTT H257_17777 MPRQSATPRDQDQSVGLSSGAYVGEIEFPATKSILWDRRPLSEP HVVHAADIFVPSAVVVSPSAVTSIINSVRNNTTGGALDLVGHASFSEDVSATNWKLII TSFTPHRTSNVASAIPVYASTTSPTDDSSQASPWHLALAQDFSKVLAPTTTTNLRVSS ELLTAYLSVQLVVSDITSTSVTVQASALGPQTSSLTLTPIRNLPLLLTPLAKLLMKPR AVSPSWWGYVTLDKTRKVVPLLQTDPLCASRPLVGVWVRSLSLSHMYLASMLFGTTSS RQTLWIAPRTFLLVKYPMQSTQWLPEFYECTIVADKALLGFFTSSQTAACDRAVEICM ERRVFPATLPTSSLTCEDQVSTQENVVNTSVPPVVNSYRPEPPRNDTMSSFDMPTPMP ERAPPIPPPQTKPILPLPPPPPGDIDDQPSGGSGGRNDVDLAALVHHQSRVMDAMQCE IQSLQRQLKAAMAPKTEKAEVGTNTSFAGETNALDCTTSWKGNDSDLDDDDDLDDRYM MTPPPLVVQPAASQPPPSSPSPSTSSPLAGGADFSFDVPRIQVPSAASTMDGQSDDDD DDDIAQIEARYLGKVKRAPLTT H257_17778 MSSAHSMRDGGVHDRTMDAIRTKLREHGIPSQNTSASPSFGVHH STGKQCDDVDLNRRKGQNARKFDFDIINTNPTPVNNTSPSASSMNSTFGDNRFSPPTS PHNQRRTNVHADDGPKKNRDDSLDGLFLPTQNLAIQAESITFAPRILNLDEEEFVDAA TFIKRTETPVHPPTTPSSPVQKPSFHADVDGAEWQLEEFFARRSRNLKAVEFGRTSDT NASPGDAQADELKKISMELNHAISTSAIPPSFPATKHSLRCDRTDQQPLASPRGTDHL RRDESFAKEERGSLRDRSTGSFPSFQAEHAMQSGPIHDARPFSAPDLSISHDMMSNGT APPPTESTKSQTPHHDSTNNNNLDGPTVETRAVVDFAKRRPGPIHVHLNSRASAQAVV DDAIQSARSMPAQDRAAINWTGPIHPSAMLHHSLDAVVAVQAMMEATNVMQHSVSEVL RLRELAQQAVEAAVVSEAMAIADHCRRTDESSTAGGNPKAAAWTGTHDAYDFIDTTLK GSQNQHQQRLPREHCPDPSTSCSSPTRRGGRNEFSSSSSSRIGIRQDGQSRRQLPQDG TASLFASSSEHAVLQSSSSGGAVGSPYVTPTTANHHQQNTSDPTQRPWMDLAPSNDGH GDVRKPSTTPRDRTDSITHRDTATWPNTPTDNPVRIHDDVSNTSLRQQNSSRENQQRY DEPTSTYAQYSRKNQPSSHNQGTLQGHPGAARGKPHLAPPRADDRQVGRVSNDVRQHH AQQPASAATPSEATEPPPLPPRPYHQRRQSDVRSTGGAMHAEELGYPSGAASPSSNPK QHQPNVSTTQQSIVHPTNDQPSTSDVFHDQMMYTQSSPRTLNRPKLCKRFLCTIGGEI SETFVFRNTSANYARICASIVPLSRGCNQFVIAPTVLELTPHSSDHFVIRFAATKLGA VSAIFQFRSMSGDPMATPYEIIVDAHVKKPSGVPPPIDHSLVPPPPHPRTSSVIADDS HPKQHVFDVQPTHVRLIRRRRTTASIDIFNYVAKTSTFSVSCPFKHLRVTPNQGVIPP NGKCTVDISLTSLSQVQAVAWCGLLTVVVNGAVTREISVVVDDNDDKMAATLMASRQD ALPSSSSSIQEMAMSYLAESTTSTTTTSRSKSRKKGLFFQAKSIEFGAVRVQTGQSQP VRICNGGKESITVFLQRLESPFSCSYASVSLRPRSYVEVPVTFTPSLPGQEVSATMVA YSAADKATVVLQGRGVIE H257_17778 MQSGPIHDARPFSAPDLSISHDMMSNGTAPPPTESTKSQTPHHD STNNNNLDGPTVETRAVVDFAKRRPGPIHVHLNSRASAQAVVDDAIQSARSMPAQDRA AINWTGPIHPSAMLHHSLDAVVAVQAMMEATNVMQHSVSEVLRLRELAQQAVEAAVVS EAMAIADHCRRTDESSTAGGNPKAAAWTGTHDAYDFIDTTLKGSQNQHQQRLPREHCP DPSTSCSSPTRRGGRNEFSSSSSSRIGIRQDGQSRRQLPQDGTASLFASSSEHAVLQS SSSGGAVGSPYVTPTTANHHQQNTSDPTQRPWMDLAPSNDGHGDVRKPSTTPRDRTDS ITHRDTATWPNTPTDNPVRIHDDVSNTSLRQQNSSRENQQRYDEPTSTYAQYSRKNQP SSHNQGTLQGHPGAARGKPHLAPPRADDRQVGRVSNDVRQHHAQQPASAATPSEATEP PPLPPRPYHQRRQSDVRSTGGAMHAEELGYPSGAASPSSNPKQHQPNVSTTQQSIVHP TNDQPSTSDVFHDQMMYTQSSPRTLNRPKLCKRFLCTIGGEISETFVFRNTSANYARI CASIVPLSRGCNQFVIAPTVLELTPHSSDHFVIRFAATKLGAVSAIFQFRSMSGDPMA TPYEIIVDAHVKKPSGVPPPIDHSLVPPPPHPRTSSVIADDSHPKQHVFDVQPTHVRL IRRRRTTASIDIFNYVAKTSTFSVSCPFKHLRVTPNQGVIPPNGKCTVDISLTSLSQV QAVAWCGLLTVVVNGAVTREISVVVDDNDDKMAATLMASRQDALPSSSSSIQEMAMSY LAESTTSTTTTSRSKSRKKGLFFQAKSIEFGAVRVQTGQSQPVRICNGGKESITVFLQ RLESPFSCSYASVSLRPRSYVEVPVTFTPSLPGQEVSATMVAYSAADKATVVLQGRGV IE H257_17778 MSSAHSMRDGGVHDRTMDAIRTKLREHGIPSQNTSASPSFGVHH STGKQCDDVDLNRRKGQNARKFDFDIINTNPTPVNNTSPSASSMNSTFGDNRFSPPTS PHNQRRTNVHADDGPKKNRDDSLDGLFLPTQNLAIQAESITFAPRILNLDEEEFVDAA TFIKRTETPVHPPTTPSSPVQKPSFHADVDGAEWQLEEFFARRSRNLKAVEFGRTSDT NASPGDAQADELKKISMELNHAISTSAIPPSFPATKHSLRCDRTDQQPLASPRGTDHL RRDESFAKEERGSLRDRSTGSFPSFQAEHAMQSGPIHDARPFSAPDLSISHDMMSNGT APPPTESTKSQTPHHDSTNNNNLDGPTVETRAVVDFAKRRPGPIHVHLNSRASAQAVV DDAIQSARSMPAQDRAAINWTGPIHPSAMLHHSLDAVVAVQAMMEATNVMQHSVSEVL RLRELAQQAVEAAVVSEAMAIADHCRRTDESSTAGGNPKAAAWTGTHDAYDFIDTTLK GSQNQHQQRLPREHCPDPSTSCSSPTRRGGRNEFSSSSSSRIGIRQDGQSRRQLPQDG TASLFASSSEHAVLQSSSSGGAVGSPYVTPTTANHHQQNTSDPTQRPWMDLAPSNDGH GDVRKPSTTPRDRTDSITHRDTATWPNTPTDNPVRIHDDVSNTSLRQQNSSRENQQRY DEPTSTYAQYSRKNQPSSHNQGTLQGHPGAARGKPHLAPPRADDRQVGRVSNDVRQHH AQQPASAATPSEATEPPPLPPRPYHQRRQSDVRSTGGAMHAEELGYPSGAASPSSNPK QHQPNVSTTQQSIVHPTNDQPSTSDVFHVRPSAGYQRSTMVHVGPDDVHPIESSHPQP TQVVQAVSVHDWRGDLGDVCVSQHERQLRTDLRVDCAAEPRLQPIRHRPHRVGADPAL VRPLCHSVCRDETWGCVGNISIPEHVRGPNGNAVRDHCGCAREEAVRSAPSHRPLPRP SAPTSTDVFRHRGRLTSKAARV H257_17779 MVSGRIRGNPTSSGALRSPVMPTKGAETNGLQPWGTRCLGHGRD DTSHHVHEGGQETADNGPSTSVDSTAVVRGPVASSSDDESEFVPSTTLLDNGILHIRG KGALGNRVTSSTTPATPLKRKYNNIKKVQKRKMLDSAQSTTPDTKRTKATSSYGPTNG YSKKVWASDSDDTEARGKKDAAAMLHEDWAWKEIDQDYMRLPSRKQMLYIHTLQQDAC RTVVSNLGDLHGADNDSLVQPIMDARMCHIDGIAAAASSPLERPRRGRYYKDIWADVD YLDALKTSATHDTSEFETYTSNHDLVARYDDDAYEQFVHRLEGHPTDPRIRQHAKLGH DHHPAASLQTSATSCVTQPCQSHSASWGGTACLDPPPEADEVSAALDMCIKALVPQSA ANFHALGHVCGISTYVEGIDRSLLCLDRVANEAQLEPIFHQERRVAADIERYYQRWKA GVDIELRGASVLSAWREALWSQDFTPTQIRAVEFAVRFATTLQRGDGVDFLDHTGQWE PGFVVDVFVESADVLSHAKIQLLQHGAVSQEWCCVFSGRLMPPGTNTRRTAVALDVKI HPAPHAVQPPSVGGHLKRVTAVASTASSSSSHPNTPDARTKHES H257_17779 MVSGRIRGNPTSSGALRSPVMPTKGAETNGLQPWGTRCLGHGRD DTSHHVHEGGQETADNGPSTSVDSTAVVRGPVASSSDDESEFVPSTTLLDNGILHIRG KGALGNRVTSSTTPATPLKRKYNNIKKVQKRKMLDSAQSTTPDTKRTKATSSYGPTNG YSKKVWASDSDDTEARGKKDAAAMLHEDWAWKEIDQDYMRLPSRKQMLYIHTLQQDAC RTVVSNLGDLHGADNDSLVQPIMDARMCHIDGIAAAASSPLERPRRGRYYKDIWADVD YLDALKTSATHDTSEFETYTSNHDLVARYDDDAYEQFVHRLEGHPTDPRIRQHAKLGH DHHPAASLQTSATSCVTQPCQSHSASWGGTACLDPPPEADEVSAALDMCIKALVPQSA ANFHALGHVCDRVANEAQLEPIFHQERRVAADIERYYQRWKAGVDIELRGASVLSAWR EALWSQDFTPTQIRAVEFAVRFATTLQRGDGVDFLDHTGQWEPGFVVDVFVESADVLS HAKIQLLQHGAVSQEWCCVFSGRLMPPGTNTRRTAVALDVKIHPAPHAVQPPSVGGHL KRVTAVASTASSSSSHPNTPDARTKHES H257_17779 MVSGRIRGNPTSSGALRSPVMPTKGAETNGLQPWGGQETADNGP STSVDSTAVVRGPVASSSDDESEFVPSTTLLDNGILHIRGKGALGNRVTSSTTPATPL KRKYNNIKKVQKRKMLDSAQSTTPDTKRTKATSSYGPTNGYSKKVWASDSDDTEARGK KDAAAMLHEDWAWKEIDQDYMRLPSRKQMLYIHTLQQDACRTVVSNLGDLHGADNDSL VQPIMDARMCHIDGIAAAASSPLERPRRGRYYKDIWADVDYLDALKTSATHDTSEFET YTSNHDLVARYDDDAYEQFVHRLEGHPTDPRIRQHAKLGHDHHPAASLQTSATSCVTQ PCQSHSASWGGTACLDPPPEADEVSAALDMCIKALVPQSAANFHALGHVCGISTYVEG IDRSLLCLDRVANEAQLEPIFHQERRVAADIERYYQRWKAGVDIELRGASVLSAWREA LWSQDFTPTQIRAVEFAVRFATTLQRGDGVDFLDHTGQWEPGFVVDVFVESADVLSHA KIQLLQHGAVSQEWCCVFSGRLMPPGTNTRRTAVALDVKIHPAPHAVQPPSVGGHLKR VTAVASTASSSSSHPNTPDARTKHES H257_17779 MVSGRIRGNPTSSGALRSPVMPTKGAETNGLQPWGGQETADNGP STSVDSTAVVRGPVASSSDDESEFVPSTTLLDNGILHIRGKGALGNRVTSSTTPATPL KRKYNNIKKVQKRKMLDSAQSTTPDTKRTKATSSYGPTNGYSKKVWASDSDDTEARGK KDAAAMLHEDWAWKEIDQDYMRLPSRKQMLYIHTLQQDACRTVVSNLGDLHGADNDSL VQPIMDARMCHIDGIAAAASSPLERPRRGRYYKDIWADVDYLDALKTSATHDTSEFET YTSNHDLVARYDDDAYEQFVHRLEGHPTDPRIRQHAKLGHDHHPAASLQTSATSCVTQ PCQSHSASWGGTACLDPPPEADEVSAALDMCIKALVPQSAANFHALGHVCDRVANEAQ LEPIFHQERRVAADIERYYQRWKAGVDIELRGASVLSAWREALWSQDFTPTQIRAVEF AVRFATTLQRGDGVDFLDHTGQWEPGFVVDVFVESADVLSHAKIQLLQHGAVSQEWCC VFSGRLMPPGTNTRRTAVALDVKIHPAPHAVQPPSVGGHLKRVTAVASTASSSSSHPN TPDARTKHES H257_17779 MVSGRIRGNPTSSGALRSPVMPTKGAETNGLQPWGTRCLGHGRD DTSHHVHEGGQETADNGPSTSVDSTAVVRGPVASSSDDESEFVPSTTLLDNGILHIRG KGALGNRVTSSTTPATPLKRKYNNIKKVQKRKMLDSAQSTTPDTKRTKATSSYGPTNG YSKKVWASDSDDTEARGKKDAAAMLHEDWAWKEIDQDYMRLPSRKQMLYIHTLQQDAC RTVVSNLGDLHGADNDSLVQPIMDARMCHIDGIAAAASSPLERPRRGRYYKDIWADVD YLDALKTSATHDTSEFETYTSNHDLVARYDDDAYEQFVHRLEGHPTDPRIRQHAKLGH DHHPAASLQTSATSCVTQPCQSHSASWGGTACLDPPPEADEVSAALDMCIKALVPQSA ANFHALGHVCGISTYVEGIDRSLLCLDRVANEAQLEPIFHQERRVAADIERYYQRWKA GVDIELRGASVLSAWREALWSQDFTPTQIRAVEFAVRFATYGKMLCMLLCIIFEW H257_17779 MVSGRIRGNPTSSGALRSPVMPTKGAETNGLQPWGTRCLGHGRD DTSHHVHEGGQETADNGPSTSVDSTAVVRGPVASSSDDESEFVPSTTLLDNGILHIRG KGALGNRVTSSTTPATPLKRKYNNIKKVQKRKMLDSAQSTTPDTKRTKATSSYGPTNG YSKKVWASDSDDTEARGKKDAAAMLHEDWAWKEIDQDYMRLPSRKQMLYIHTLQQDAC RTVVSNLGDLHGADNDSLVQPIMDARMCHIDGIAAAASSPLERPRRGRYYKDIWADVD YLDALKTSATHDTSEFETYTSNHDLVARYDDDAYEQFVHRLEGHPTDPRIRQHAKLGH DHHPAASLQTSATSCVTQPCQSHSASWGGTACLDPPPEADEVSAALDMCIKALVPQSA ANFHALGHVCDRVANEAQLEPIFHQERRVAADIERYYQRWKAGVDIELRGASVLSAWR EALWSQDFTPTQIRAVEFAVRFATYGKMLCMLLCIIFEW H257_17779 MVSGRIRGNPTSSGALRSPVMPTKGAETNGLQPWGGQETADNGP STSVDSTAVVRGPVASSSDDESEFVPSTTLLDNGILHIRGKGALGNRVTSSTTPATPL KRKYNNIKKVQKRKMLDSAQSTTPDTKRTKATSSYGPTNGYSKKVWASDSDDTEARGK KDAAAMLHEDWAWKEIDQDYMRLPSRKQMLYIHTLQQDACRTVVSNLGDLHGADNDSL VQPIMDARMCHIDGIAAAASSPLERPRRGRYYKDIWADVDYLDALKTSATHDTSEFET YTSNHDLVARYDDDAYEQFVHRLEGHPTDPRIRQHAKLGHDHHPAASLQTSATSCVTQ PCQSHSASWGGTACLDPPPEADEVSAALDMCIKALVPQSAANFHALGHVCGISTYVEG IDRSLLCLDRVANEAQLEPIFHQERRVAADIERYYQRWKAGVDIELRGASVLSAWREA LWSQDFTPTQIRAVEFAVRFATYGKMLCMLLCIIFEW H257_17779 MVSGRIRGNPTSSGALRSPVMPTKGAETNGLQPWGGQETADNGP STSVDSTAVVRGPVASSSDDESEFVPSTTLLDNGILHIRGKGALGNRVTSSTTPATPL KRKYNNIKKVQKRKMLDSAQSTTPDTKRTKATSSYGPTNGYSKKVWASDSDDTEARGK KDAAAMLHEDWAWKEIDQDYMRLPSRKQMLYIHTLQQDACRTVVSNLGDLHGADNDSL VQPIMDARMCHIDGIAAAASSPLERPRRGRYYKDIWADVDYLDALKTSATHDTSEFET YTSNHDLVARYDDDAYEQFVHRLEGHPTDPRIRQHAKLGHDHHPAASLQTSATSCVTQ PCQSHSASWGGTACLDPPPEADEVSAALDMCIKALVPQSAANFHALGHVCDRVANEAQ LEPIFHQERRVAADIERYYQRWKAGVDIELRGASVLSAWREALWSQDFTPTQIRAVEF AVRFATYGKMLCMLLCIIFEW H257_17779 MVSGRIRGNPTSSGALRSPVMPTKGAETNGLQPWGTRCLGHGRD DTSHHVHEGGQETADNGPSTSVDSTAVVRGPVASSSDDESEFVPSTTLLDNGILHIRG KGALGNRVTSSTTPATPLKRKYNNIKKVQKRKMLDSAQSTTPDTKRTKATSSYGPTNG YSKKVWASDSDDTEARGKKDAAAMLHEDWAWKEIDQDYMRLPSRKQMLYIHTLQQDAC RTVVSNLGDLHGADNDSLVQPIMDARMCHIDGIAAAASSPLERPRRGRYYKDIWADVD YLDALKTSATHDTSEFETYTSNHDLVARYDDDAYEQFVHRLEGHPTDPRIRQHAKLGH DHHPAASLQTSATSCVVQTSACVTVYKTIVDTTLSVAFGVLGGDGLLGSAARSGRSVG RARHVHQSARASIRRELPCPWPRLRYINIRGRNRPKLTVSRSSGQRSPAGADISPRKA RRRRH H257_17779 MVSGRIRGNPTSSGALRSPVMPTKGAETNGLQPWGTRCLGHGRD DTSHHVHEGGQETADNGPSTSVDSTAVVRGPVASSSDDESEFVPSTTLLDNGILHIRG KGALGNRVTSSTTPATPLKRKYNNIKKVQKRKMLDSAQSTTPDTKRTKATSSYGPTNG YSKKVWASDSDDTEARGKKDAAAMLHEDWAWKEIDQDYMRLPSRKQMLYIHTLQQDAC RTVVSNLGDLHGADNDSLVQPIMDARMCHIDGIAAAASSPLERPRRGRYYKDIWADVD YLDALKTSATHDTSEFETYTSNHDLVARYDDDAYEQFVHRLEGHPTDPRIRQHAKLGH DHHPAASLQTSATSCVVQTSACVTVYKTIVDTTLSVAFGVLGGDGLLGSAARSGRSVG RARHVHQSARASIRRELPCPWPRLRYINIRGRNRPKLTVSRSSGQRSPAGADISPRKA RRRRH H257_17779 MVSGRIRGNPTSSGALRSPVMPTKGAETNGLQPWGTRCLGHGRD DTSHHVHEGGQETADNGPSTSVDSTAVVRGPVASSSDDESEFVPSTTLLDNGILHIRG KGALGNRVTSSTTPATPLKRKYNNIKKVQKRKMLDSAQSTTPDTKRTKATSSYGPTNG YSKKVWASDSDDTEARGKKDAAAMLHEDWAWKEIDQDYMRLPSRKQMLYIHTLQQDAC RTVVSNLGDLHGADNDSLVQPIMDARMCHIDGIAAAASSPLERPRRGRYYKDIWADVD YLDALKTSATHDTSEFETYTSNHDLVARYDDDAYEQFVHRLEGHPTDPRIRQHAKLGH DHHPAASLQTSATSCVVQTSACVTVYKTIVDTTLSVAFGVLGGDGLLGSAARSGRSVG RARHVHQSARASIRRELPCPWPRLRSSGQRSPAGADISPRKARRRRH H257_17779 MVSGRIRGNPTSSGALRSPVMPTKGAETNGLQPWGTRCLGHGRD DTSHHVHEGGQETADNGPSTSVDSTAVVRGPVASSSDDESEFVPSTTLLDNGILHIRG KGALGNRVTSSTTPATPLKRKYNNIKKVQKRKMLDSAQSTTPDTKRTKATSSYGPTNG YSKKVWASDSDDTEARGKKDAAAMLHEDWAWKEIDQDYMRLPSRKQMLYIHTLQQDAC RTVVSNLGDLHGADNDSLVQPIMDARMCHIDGIAAAASSPLERPRRGRYYKDIWADVD YLDALKTSATHDTSEFETYTSNHDLVARYDDDAYEQFVHRLEGHPTDPRIRQHAKLGH DHHPAASLQTSATSCVVQTSACVTVYKTIVDTTLSVAFGVLGGDGLLGSAARSGRSVG RARHVHQSARASIRRELPCPWPRLRSSGQRSPAGADISPRKARRRRH H257_17779 MVSGRIRGNPTSSGALRSPVMPTKGAETNGLQPWGGQETADNGP STSVDSTAVVRGPVASSSDDESEFVPSTTLLDNGILHIRGKGALGNRVTSSTTPATPL KRKYNNIKKVQKRKMLDSAQSTTPDTKRTKATSSYGPTNGYSKKVWASDSDDTEARGK KDAAAMLHEDWAWKEIDQDYMRLPSRKQMLYIHTLQQDACRTVVSNLGDLHGADNDSL VQPIMDARMCHIDGIAAAASSPLERPRRGRYYKDIWADVDYLDALKTSATHDTSEFET YTSNHDLVARYDDDAYEQFVHRLEGHPTDPRIRQHAKLGHDHHPAASLQTSATSCVVQ TSACVTVYKTIVDTTLSVAFGVLGGDGLLGSAARSGRSVGRARHVHQSARASIRRELP CPWPRLRYINIRGRNRPKLTVSRSSGQRSPAGADISPRKARRRRH H257_17779 MVSGRIRGNPTSSGALRSPVMPTKGAETNGLQPWGGQETADNGP STSVDSTAVVRGPVASSSDDESEFVPSTTLLDNGILHIRGKGALGNRVTSSTTPATPL KRKYNNIKKVQKRKMLDSAQSTTPDTKRTKATSSYGPTNGYSKKVWASDSDDTEARGK KDAAAMLHEDWAWKEIDQDYMRLPSRKQMLYIHTLQQDACRTVVSNLGDLHGADNDSL VQPIMDARMCHIDGIAAAASSPLERPRRGRYYKDIWADVDYLDALKTSATHDTSEFET YTSNHDLVARYDDDAYEQFVHRLEGHPTDPRIRQHAKLGHDHHPAASLQTSATSCVVQ TSACVTVYKTIVDTTLSVAFGVLGGDGLLGSAARSGRSVGRARHVHQSARASIRRELP CPWPRLRYINIRGRNRPKLTVSRSSGQRSPAGADISPRKARRRRH H257_17779 MVSGRIRGNPTSSGALRSPVMPTKGAETNGLQPWGGQETADNGP STSVDSTAVVRGPVASSSDDESEFVPSTTLLDNGILHIRGKGALGNRVTSSTTPATPL KRKYNNIKKVQKRKMLDSAQSTTPDTKRTKATSSYGPTNGYSKKVWASDSDDTEARGK KDAAAMLHEDWAWKEIDQDYMRLPSRKQMLYIHTLQQDACRTVVSNLGDLHGADNDSL VQPIMDARMCHIDGIAAAASSPLERPRRGRYYKDIWADVDYLDALKTSATHDTSEFET YTSNHDLVARYDDDAYEQFVHRLEGHPTDPRIRQHAKLGHDHHPAASLQTSATSCVVQ TSACVTVYKTIVDTTLSVAFGVLGGDGLLGSAARSGRSVGRARHVHQSARASIRRELP CPWPRLRSSGQRSPAGADISPRKARRRRH H257_17779 MVSGRIRGNPTSSGALRSPVMPTKGAETNGLQPWGGQETADNGP STSVDSTAVVRGPVASSSDDESEFVPSTTLLDNGILHIRGKGALGNRVTSSTTPATPL KRKYNNIKKVQKRKMLDSAQSTTPDTKRTKATSSYGPTNGYSKKVWASDSDDTEARGK KDAAAMLHEDWAWKEIDQDYMRLPSRKQMLYIHTLQQDACRTVVSNLGDLHGADNDSL VQPIMDARMCHIDGIAAAASSPLERPRRGRYYKDIWADVDYLDALKTSATHDTSEFET YTSNHDLVARYDDDAYEQFVHRLEGHPTDPRIRQHAKLGHDHHPAASLQTSATSCVVQ TSACVTVYKTIVDTTLSVAFGVLGGDGLLGSAARSGRSVGRARHVHQSARASIRRELP CPWPRLRSSGQRSPAGADISPRKARRRRH H257_17779 MLHEDWAWKEIDQDYMRLPSRKQMLYIHTLQQDACRTVVSNLGD LHGADNDSLVQPIMDARMCHIDGIAAAASSPLERPRRGRYYKDIWADVDYLDALKTSA THDTSEFETYTSNHDLVARYDDDAYEQFVHRLEGHPTDPRIRQHAKLGHDHHPAASLQ TSATSCVTQPCQSHSASWGGTACLDPPPEADEVSAALDMCIKALVPQSAANFHALGHV CDRVANEAQLEPIFHQERRVAADIERYYQRWKAGVDIELRGASVLSAWREALWSQDFT PTQIRAVEFAVRFATTLQRGDGVDFLDHTGQWEPGFVVDVFVESADVLSHAKIQLLQH GAVSQEWCCVFSGRLMPPGTNTRRTAVALDVKIHPAPHAVQPPSVGGHLKRVTAVAST ASSSSSHPNTPDARTKHES H257_17779 MLYIHTLQQDACRTVVSNLGDLHGADNDSLVQPIMDARMCHIDG IAAAASSPLERPRRGRYYKDIWADVDYLDALKTSATHDTSEFETYTSNHDLVARYDDD AYEQFVHRLEGHPTDPRIRQHAKLGHDHHPAASLQTSATSCVTQPCQSHSASWGGTAC LDPPPEADEVSAALDMCIKALVPQSAANFHALGHVCGISTYVEGIDRSLLCLDRVANE AQLEPIFHQERRVAADIERYYQRWKAGVDIELRGASVLSAWREALWSQDFTPTQIRAV EFAVRFATTLQRGDGVDFLDHTGQWEPGFVVDVFVESADVLSHAKIQLLQHGAVSQEW CCVFSGRLMPPGTNTRRTAVALDVKIHPAPHAVQPPSVGGHLKRVTAVASTASSSSSH PNTPDARTKHES H257_17780 MAAHQTNRLRCPRGMHEVTTHRLLVLDKKARKRLHVGCTNLSKS ASVPALHQELGDENAAMAKLSTLSVVHQAKLTNQRSGHASSSKAAVMALAPVPAAAAS PPVPPRPHTSHEMTATKDNLGHVDNAQAPVLTMMHRRSESDRSLLSSLKDQQQLDVDD KETQDKNSPLAKASFAKNRDKLRDRVATKFGSLRAMFRAFDTLGSGGISLEQFHASIA GLGLDITDADERLLYQTVDTNGNNSIDFKEFSAMFEVEPMRNSFVDTGNSAERLKATR KPRLQVSPRTKVRIKAFQDTLSHTLLKKHVSQQVAYGSNSKVLLNAFRHMDVDGDGSL SYDELKNALGPQMLDLEVDPAELQVMINTIDDDKNGFISYKEFVKFFSLKPDIDQDDI FHIGRQRELALLASRHTTRDEPLPFIDFDDKGKHLAPLVAGASSSSSTTTTSSRTTEP NDPRGLHERLARRTLDQFTAMSSSSSSSPDQPLESPHKSPRELDALFASLAPVSASKG RSTAPIEWSRIGVGGNDGVNKQSALYLAESERFVTTNDEQFGPMSKDRPRAGLPQHDL DKQARRLKGRHATTSHNIARIDHNNAQRKLQSQLEDKARLRGKSKQRHAYSNGVIQTE EKLFQHKNHMAKKPGGSSFHRMWAGSLESQFNSQPWGVSTAATVQPTPARPL H257_17781 MAASSWNPFKWITVTSVSIAVFAAYFAFTASQIYGIMFPTWDLN PGDLFLGPLWKEGQVMDGECYISPRPNWVASDFTSSRVSFMGHFNDLSFDSNTNAEAI EFNISSSANDTTSLNAHMWSRLRHNETVYLHVHLTQRGASPNPNKPNYHKLLTLHQVT PLTKFAPRRNVQNATRLLDAFWNGGPPDDAPSPATEEDPALSADVVSYWKPDMAVRFV TCFKKFPLAEIPQLVFNNLRMENIENEGWKYMPVLYVDEMGMTSEKLIPLNRSVSTLP LKLSFEPMSYARWQMMMTFENALKQQKDLGFGEDEIDNMRLMIAETNPYLLTVTMAVS MLHILFDWLAFKSDISFWQKNESLVGISIWSMVSSLVSQTIVFLYLIEQHTTLLIVVP SGISIVIQLWKLGRATRPKVSLSWTTLVKIEFTRTDMSSASNDIDKVAMTYMGYTLYP MLVGYSVYSLLHKDHTSFYSWILGSLTGSVYAFGFIMMTPQLYLNYRLKSVAHLPWRF LIYRALNTFIDDLFAFVIHMPTMHRISCFRDDIIFFIYLYQRWIYPVDTSRRHEDEQE QEGDKQPAHEHND H257_17781 MGHFNDLSFDSNTNAEAIEFNISSSANDTTSLNAHMWSRLRHNE TVYLHVHLTQRGASPNPNKPNYHKLLTLHQVTPLTKFAPRRNVQNATRLLDAFWNGGP PDDAPSPATEEDPALSADVVSYWKPDMAVRFVTCFKKFPLAEIPQLVFNNLRMENIEN EGWKYMPVLYVDEMGMTSEKLIPLNRSVSTLPLKLSFEPMSYARWQMMMTFENALKQQ KDLGFGEDEIDNMRLMIAETNPYLLTVTMAVSMLHILFDWLAFKSDISFWQKNESLVG ISIWSMVSSLVSQTIVFLYLIEQHTTLLIVVPSGISIVIQLWKLGRATRPKVSLSWTT LVKIEFTRTDMSSASNDIDKVAMTYMGYTLYPMLVGYSVYSLLHKDHTSFYSWILGSL TGSVYAFGFIMMTPQLYLNYRLKSVAHLPWRFLIYRALNTFIDDLFAFVIHMPTMHRI SCFRDDIIFFIYLYQRWIYPVDTSRRHEDEQEQEGDKQPAHEHND H257_17781 MAASSWNPFKWITVTSVSIAVFAAYFAFTASQIYGIMFPTWDLN PGDLFLGPLWKEGQVMDGECYISPRPNWVASDFTSSRVSFMGHFNDLSFDSNTNAEAI EFNISSSANDTTSLNAHMWSRLRHNETVYLHVHLTQRGASPNPNKPNYHKLLTLHQVT PLTKFAPRRNVQNATRLLDAFWNGGPPDDAPSPATEEDPALSADVVSYWKPDMAVRFV TCFKKFPLAEIPQLVFNNLRMENIENEGWKYMPVLYVDEMGMTSEKLIPLNRSVSTLP LKLSFEPMSYARWQMMMTFENALKQQKDLGFGEDEIDNMRLMIAETNPYLLTVTMAVS MLHILFDWLAFKSDISFWQKNESLVGISIWSMVSSLVSQTIVFLYLIEQHTTLLIVVP SGISIVIQLWKLGRATRPKVSLSWTTLVKIEFTRTDMSSASNDIDKVAMTYMGYTLYP MLVGYSVYSLLHKDHTSFYSWILGSLTGSVYAFGFIMMTPQLYLNYRLKSVAHLPWRF LIYRALNTFIDDLFAFVIHMPTMHRIR H257_17781 MGHFNDLSFDSNTNAEAIEFNISSSANDTTSLNAHMWSRLRHNE TVYLHVHLTQRGASPNPNKPNYHKLLTLHQVTPLTKFAPRRNVQNATRLLDAFWNGGP PDDAPSPATEEDPALSADVVSYWKPDMAVRFVTCFKKFPLAEIPQLVFNNLRMENIEN EGWKYMPVLYVDEMGMTSEKLIPLNRSVSTLPLKLSFEPMSYARWQMMMTFENALKQQ KDLGFGEDEIDNMRLMIAETNPYLLTVTMAVSMLHILFDWLAFKSDISFWQKNESLVG ISIWSMVSSLVSQTIVFLYLIEQHTTLLIVVPSGISIVIQLWKLGRATRPKVSLSWTT LVKIEFTRTDMSSASNDIDKVAMTYMGYTLYPMLVGYSVYSLLHKDHTSFYSWILGSL TGSVYAFGFIMMTPQLYLNYRLKSVAHLPWRFLIYRALNTFIDDLFAFVIHMPTMHRI R H257_17781 MAASSWNPFKWITVTSVSIAVFAAYFAFTASQIYGIMFPTWDLN PGDLFLGPLWKEGQVMDGECYISPRPNWVASDFTSSRVSFMGHFNDLSFDSNTNAEAI EFNISSSANDTTSLNAHMWSRLRHNETVYLHVHLTQRGASPNPNKPNYHKLLTLHQVT PLTKFAPRRNVQNATRLLDAFWNGGPPDDAPSPATEEDPALSADVVSYWKPDMAVRFV TCFKKFPLAEIPQLVFNNLRMENIENEGWKYMPVLYVDEMGMTSEKLIPLNRSVSTLP LKLSFEPMSYARWQMMMTFENALKQQKDLGFGEDEIDNMRLMIAETNPYLLTVTMAVS MLHILFDWLAFKSDISFWQKNESLVGISIWSMVSSLVSQTIVFLYLIEQHTTLLIVVP SGISIVIQLWKLGRATRPKVSLSWTTLVKIEFTRTDMSSASNDIDKVAMTYMGYTLYP MLVGYSVYSLLHKDHTSFYSWILGSLTGSVYAFGFIMMTPQLYLNYRLKSVAHLPWRF LIYRY H257_17781 MGHFNDLSFDSNTNAEAIEFNISSSANDTTSLNAHMWSRLRHNE TVYLHVHLTQRGASPNPNKPNYHKLLTLHQVTPLTKFAPRRNVQNATRLLDAFWNGGP PDDAPSPATEEDPALSADVVSYWKPDMAVRFVTCFKKFPLAEIPQLVFNNLRMENIEN EGWKYMPVLYVDEMGMTSEKLIPLNRSVSTLPLKLSFEPMSYARWQMMMTFENALKQQ KDLGFGEDEIDNMRLMIAETNPYLLTVTMAVSMLHILFDWLAFKSDISFWQKNESLVG ISIWSMVSSLVSQTIVFLYLIEQHTTLLIVVPSGISIVIQLWKLGRATRPKVSLSWTT LVKIEFTRTDMSSASNDIDKVAMTYMGYTLYPMLVGYSVYSLLHKDHTSFYSWILGSL TGSVYAFGFIMMTPQLYLNYRLKSVAHLPWRFLIYRY H257_17782 MLDLPTTSDEKIPLLHATVSINTIRALCTDPSLLRELREMAALA LPVTATFFFEYAPGIVTLVLAGHAGNSEDAVQLYLDAAAIAIMYMNVTGVTIGLGLAT AMDTLCPQAVGEACPYRLACTFKQGSWCWDLYVFPSSSSMRGPLRSS H257_17782 MLDLPTTSDEKIPLLHATVSINTIRALCTDPSLLRELREMAALA LPVTATFFFEYAPGIVTLVLAGHAGNSEDAVQLYLDAAAIAIMYMNVTGVTIGLGLAT AMDTLCPQAVGEACPYRLACTFKQGSWCWGSTYLVV H257_17783 MVEFRAARVLRRTRIERGHRHRRQRDPGQRDQLHLHGALWGWHG GADSSGKRPGRWLYPQHPTNSPKRRGARRVHRPRLRRAARHALPLFFTQDADVIRLTA FVTVAIYQVADALNTTLQGVLRGLGLQHMGTVLNFVAYVVVGVPLGVLLDFYAGWGLL GLWVGMAVVFSTSGVCGAWKKI H257_17784 MDDPDLGFPLLKSPQSCRICRGHETSRNDMLISACKCIGSVGKI HTQCLKAWILSRQLPMHEAMACELCKTAYRLVQRRRLAWDRRHAFSCRAMTYATAFVL LVACTVGVTWTVGALLPTVGSSNLVNMLPLIMLLVTSMLVAITSLWQLFSRWRTKACI LYFDAAVENC H257_17785 MWSSLRKGVATVGVHGRHAALQTRCLQASHVNMTMTRLRMLPKQ WPRGRFRCWSSVSSDQNQTADDDEREQEEVESIMRKILAQSKKVDEGGDRGKKEGGGL VDMITGGRLRKLEDVNQTYYPGQAFKATSQDMVFRAMAGNTLITALKLAAYLKTGSSA MLSEAIHSLVDTGNQGLLILGLRQASFQPDKKHQYGYGRAAYFWSLISALGIFWLGAG ATVTHGIQTLVNPPTAEELVLTWEVWTVLGMSFCIDGYVLQRCLGELMQTKPKGVSLY QHITDIKDPFMLAVVLEDSAACTGVLIALAGIGASYVTGNPVWDSAASIGIGFLLGGV AVSLIRMNQRFLLGQSVDPEVEQGIKALLLSRPSIDNVYAVQSQWVGPSTFSFKAEVD FDGTYMAAQLLEMYKPVFLDSDLESELPVILAWYAEDVTRLVEKEVQEVEAEIRAKYP EAAFIELEPDSKDTDMRALSNIGTKSFRASERDAMTRALAHLARTLDNR H257_17785 MWSSLRKGVATVGVHGRHAALQTRCLQASHVNMTMTRLRMLPKQ WPRGRFRCWSSVSSDQNQTADDDEREQEEVESIMRKILAQSKKVDEGGDRGKKEGGGL VDMITGGRLRKLEDVNQTYYPGQAFKATSQDMVFRAMAGNTLITALKLAAYLKTGSSA MLSEAIHSLVDTGNQGLLILGLRQASFQPDKKHQYGYGRAAYFWSLISALGIFWLGAG ATVTHGIQTLVNPPTAEELVLTWEVWTVLGMSFCIDGYVLQRCLGELMQTKPKGVSLY QHITDIKDPFMLAVVLEDSAACTGVLIALAGIGASYVTGNPVWDSAASIGIGFLLGGV AVSLIRMNQRFLLGQSVDPEVEQGIKALLLSRPSIDNVYAVQSQWVGPSTFSFKAEVD FDGTYMAAQLLEMYKPVFLDSDLESELPVILAWYAEDVTRLVEKEVQEVEAEIRYPML CRMLNLVDFEIYMGDRAKYPEAAFIELEPDSKDTDMRALSNIGTKSFRASERDAMTRA LAHLARTLDNR H257_17785 MWSSLRKGVATVGVHGRHAALQTRCLQASHVNMTMTRLRMLPKQ WPRGRFRCWSSVSSDQNQTADDDEREQEEVESIMRKILAQSKKVDEGGDRGKKEGGGL VDMITGGRLRKLEDVNQTYYPGQAFKATSQDMVFRAMAGNTLITALKLAAYLKTGSSA MLSEAIHSLVDTGNQGLLILGLRQASFQPDKKHQYGYGRAAYFWSLISALGIFWLGAG ATVTHGIQTLVNPPTAEELVLTWEVWTVLGMSFCIDGYVLQRCLGELMQTKPKGVSLY QHITDIKDPFMLAVVLEDSAACTGVLIALAGIGASYVTGNPVWDSAASIGIGFLLGGV AVSLIRMNQRFLLGQSVDPEVEQGIKALLLSRPSIDNVYAVQSQWVGPSTFSFKAEVD FDGTYMAAQLLEM H257_17785 MWSSLRKGVATVGVHGRHAALQTRCLQASHVNMTMTRLRMLPKQ WPRGRFRCWSSVSSDQNQTADDDEREQEEVESIMRKILAQSKKVDEGGDRGKKEGGGL VDMITGGRLRKLEDVNQTYYPGQAFKATSQDMVFRAMAGNTLITALKLAAYLKTGSSA MLSEAIHSLVDTGNQGLLILGLRQASFQPDKKHQYGYGRAAYFWSLISALGIFWLGAG ATVTHGIQTLVNPPTAEELVLTWEVWTVLGMSFCIDGYVLQRCLGELMQTKPKGVSLY QHITDIKDPFMLAVVLEDSAACTGVLIALAGIGASYVTGNPVWDSAASIGIGFLLGGV AVSLIRMNQRFLLGQSVDPEVEQGIKALLLSRPSIDNVYAVQSQWVGPSTFSFKAEVD FDGTYMAAQLLEM H257_17786 MAKSIIVPSNERQHDGSVDSDAAAKALGHPTGASTCRHFGVGLS TPPPDTSFAPNRISTSIYTPYNFLFKNLFKQFARLSNMYFLFITVLQVIPQVTLSGGY PTTIVPLVFVLFVNAIKDLIEDIHRHNADTVQNSSKTLQMQDGDAKPAFVATTWADLH VGSIVKVHQNEIIPADMIILASSSPIGQCFTMTANLDGETNLKIRWVPSQLASPDNPM TADADIWAKMHGAHVEAEEPSRRLDRFKATLTTCDNVKVSIGISNLLLRGVLLRDTDW AVGVTVYTGDDTKIQQNSGETPFKSSSLSKMTNIMTYQIIVLQVVLQIVAVVVEALGP DLPYSPRNSQSMLNAFWLFLTYMLLFSNFVPISLQVTVDITRFVQSILLCLDTEMALG GVGVTVRCSDLNEDLGVIQHIFTDKTGTLTCNNMEFRKCAIDGVSFGNVAAPPSAPRG SYLPPSTSNNYRLRSILPFPKRTMDMVSPRGHLTHVNIVDKALQQKVVDERHPRFVQF FVNLAVNSAVVPIVDAATGRLVYSAISPDEEALVCAAKHFDVTLLRHDSTSVAVSRFG DTVLFDVLHMFEFSSERKKSSMIVRERGGSAGVILFCKGADTVVFPALRSPVDAEEDE RYTAMKQHLVTYAAEGLRVLCVAQRELSDDVYEAWNAKYLAAKTASDTTEDDLDAIVR DIETQLDLVGITGIEDRLQDGVADALQCFRLAGIKVWMLTGDRPDTAVNIGHATQLIS NDMQVVQISTKELSDLRPGGGGNTPSALVGQLLQAIIDAPKDHRTPPTALILDDSALE LIVGLDSLQSLLIQASNRCKSVLCCRVSPKQKEFIVDLVRRKTGVMTLAVGDGANDVP MIQRAHVGVGIMGAEGQQAANASDYSIPEFQSLKRLLLVHGRWMNRRMSILTLYMFYK NVLLVLPQFFFGYYCSFSGQSTYYDSLYQLYNVCFTALPVFLFSVSDQHVSAPMSLQY PSLYASQSFGSIRWFWLWILDACISSLVILFIPLAVLGHGPSSIHGFDQGLWDVGLVM NGAVVVVANLRLALESKCWFWFIPVGFASSLGLWSASAYAFSSLLSFGGELYAVLGVV ANLSILFMLVLVCVLCCFGAYGLQAYHTTFAPHPTDICHEIDVWGLDPTLPSSPVVIV SDGRVHPKQPHE H257_17787 MFVANQSVKFLQTQCGGQSGRFFGRAIVTPPGIRSESWKVSAAA EMLSRAPPGCLHICVGSRVGTTEVYIGEQCLLTRCSTVVLRKRKLANQNQREIYRLLL VDLSQCYKSHHPSSSH H257_17788 MMLGHLFAFVAASVVTAVMAENTWKMTPVRSIQARVQSDPPVWD TDNNVWVAVFKTGTNTFNEKYVASLDTVNTASVEGALMYVQSEGIDQAIVPGCSRKSN MSYVWFYDITIVQPTYGIAEYGSNTAIYPEYCQFVAMDNGMCTPTAGTTLPKECLQYF GGNGQPNIGPCVGGENRLDNPKAPYDNNVWFSFPNSCFTKPFGAKSDACRAQLKGGLC PLGVVPDGVACTFSYNLLGYVNIDDLVGITSMTSSAGEKYPNRYAFCQDGGIEYSSQT GQSLPFWENPTDRSANTARSQKMIQYYGTQVADPVKGANMKAFPDVATLAATNPPCYV NSPLCSSAKFGCRRKLLAQLCEVCTVEASDCIKRPAGTPSFPALEKATRSSSGGSSGS STASGSDQNDAAQSMAHVGVLLLVALALCA H257_17789 MVRMLALLGGACFAAAQSTSPTFTPPPTPVAWSMKKVRSVQARV QSSPPVWDANQKAFVANFKNLSPDPTFRWQASLDTVNTASVEGALFYVQTEGIGLDVD NACSRKTNMTYIWFYDITIVQPYFAVSEYGTDGGVIPEYGAFVAMDNGMCTLRETTIP EQCLQFSGLNYNPNLGPYVGGEPRKTHPKGNYADNVWFSFPGPCFIKPFDQKSTTCRN DPAMKGGLCPKGVAPDGVTCTYSFDVLGYVSIDDLVGITSLPVPGSPTQNFTDRVQFC KAGGIEYNFDTSFSNLTFWNDPLNVTANAERTKKMMTLYSDTVTAGKGVAANFKPFPN VTDLTAANPPCYVNNILCSQNALGCRRRLLAQVCELCTVDSPECVKKPSNAAPFPTLV KQFSPPRPTLANGKPAPPALQDGQVVPNRLVGLANLTLAPSSLTKAVQRQADLVLRKS ATRGNVRELLPGQMVVLDTARTFETQQHDCMLDPTLCIPADSSHSVQ H257_17790 MEITPRNSDVTASVAVFLPLASPIEPQRHHDTDKKVVEVADADD LPSIGDLRNSKFNLDFKTEGRPSATAASIDVGHLSADKATRNSSSPGFAKQRSMSDVA VSASGAASLSSTPDMAQTLVVAEPGSPAAKAIDITNQFDGKNNIRQTSKLTFKKPDGN EIDGQHEQYTLTYGMMTGILNSAGSLNMFKQRLTMNDFMRVDKREFPANLRSKLAHSF KFKDYSPDIFRQIRRRFDIDSADYMVTLCGDFNYIEFMSNSKSGQFFFYSHDGRFMIK TQTQDESKFLRRILPHYYKFVMENPNTLVTRFYGMHRVKMHHLKKQMHFVIMASVFNT PKEIHLRFDLKGSKVGRNATPHEKSKNGVLKDNDLVDEHIHLSLGPEKRAMMLEQLRK DVAFLKRMKIMDYSLLIGIHDSGQEILLSPTTHPTPPLLQLTSAVPRQPSAASSPLQT LNDLKLHEFGAMSSHISDTASDDNSDDDVEFTDAPLSPRTLDTTPKSPSGGSIFCKDF GGVLGRLSTRKKNGKIYFVGIIDILQQYNTRKITETVFKGLVHNKKDISSVPPDQYGD RFLDFIEKNVLVDE H257_17791 MGNEQSSSARQQHTLIHRTSSSGSSGDKRLRSPDHYAGLPFVVW NGQHHFAEPSSYKYNSRGSQVQGHVVFVDPIRADNELRNADALAGNIAVVERGGRVHF PDIIRRLIKAGVTGCIFIEREAYFGPKMLFEGFHSSGRQSVDIPIVRLSKHHADQFMA KKPSKVVIEFLRGPAALERLEADDIPFGISTASRLGETAILRSLLLSAQAKLSIKEIP LSHALCDAAENGHVDCIEVLHTGGVSLNEHKPNGTTPLMVACSVDNIEVARALVMFGA NIDAEDVHDHTALMIAARDGSDACLKYLIRKGGGINLSRNRKRGTTALHNAARSGHGD CLATLIDAKADLDVPSANSTTALMEAARAGKLGAVKQLADAGASLTAKDRDGNTAESI AARAGHQTIEDYLHERAENESVKDQRRHIEEAVGTPGFTVLDLIGMSDTKDGVSIDIL KREVLRNPRTVAWLEEPHVIFDVLRQLTAAPPCTKIDPLYGAYKAHHFCCQVALWYGV HVFERNGHVDLETCVGYFKVLFNFLCRPGELDPVLVILFCDVVNCYVNHRGLGPAMVY FLGTESAHIVPWLVCHIGLDSIRDSLVWLLYSDLSKAGQGYVAQSGLFGCMLDRLYSW QRTLSWGVGTAYQRDSVENICSLLKYIVFPPSVCDAVVFVENHDLSLPLITARTPPGL QNELLRTLLAHLCRTDHALQVFLDLGYAELTRQVCASAKLPLEEGGALSVLMMLMSTL GYHKKKRDVASVEGLLRDVHCDLTTVLVPRVASMVALCKQVVAKPGKTGNTLLCLVTF LKRCIFLQHGALNASLASAGCMPVLMSSFESNPTNSMLHHEVTDIIRFVLLDPDQKRL PSCPLLNSLFVSSTSLLLFVMKSYHARVQYKGHMTTIANSIFTLIKYVYLNHIPQTCV DSGGCCSTPSYKDKSAITCQELVRQYTLGTPEWAEFETVLAKQNAVEMTPLGQRHAPL CSGCISLKESSSKYVTSTLGHVERMSGYLETIFSGAATFHCKVNVTDDSISGFMYKKD KIHDHIPVPEPTRVSSFIQFPVPMPFHALTFTLTYVGLCRKCDKLWYCDTLHSGTANW TTRMKWVIPTSVRKWYSFGASAGPGGGAHGIQFTTKGYKNFLVMTDTWGRQEQWIHAI EDAIEASLTNIHVSSANALAIEIDNDADNSTIDAMKKNETGTDVGEMRKRAQTVGTTG LTKELDLKMFQKSITFDVKTKPMLGMINRLPPRFQTDPNEAAGPMDTKGSIRHVASLP NLAAYEKQLKKQH H257_17791 MGNEQSSSARQQHTLIHRTSSSGSSGDKRLRSPDHYAGLPFVVW NGQHHFAEPSSYKYNSRGSQVQGHVVFVDPIRADNELRNADALAGNIAVVERGGRVHF PDIIRRLIKAGVTGCIFIEREAYFGPKMLFEGFHSSGRQSVDIPIVRLSKHHADQFMA KKPSKVVIEFLRGPAALERLEADDIPFGISTASRLGETAILRSLLLSAQAKLSIKEIP LSHALCDAAENGHVDCIEVLHTGGVSLNEHKPNGTTPLMVACSVDNIEVARALVMFGA NIDAEDVHDHTALMIAARDGSDACLKYLIRKGGGINLSRNRKRGTTALHNAARSGHGD CLATLIDAKADLDVPSANSTTALMEAARAGKLGAVKQLADAGASLTAKDRDGNTAESI AARAGHQTIEDYLHERAENESVKDQRRHIEEAVGTPGFTVLDLIGMSDTKDGVSIDIL KREVLRNPRTVAWLEEPHVIFDVLRQLTAAPPCTKIDPLYGAYKAHHFCCQVALWYGV HVFERNGHVDLETCVGYFKVLFNFLCRPGELDPVLVILFCDVVNCYVNHRGLGPAMVY FLGTESAHIVPWLVCHIGLDSIRDSLVWLLYSDLSKAGQGYVAQSGLFGCMLDRLYSW QRTLSWGVGTAYQRDSVENICSLLKYIVFPPSVCDAVVFVENHDLSLPLITARTPPGL QNELLRTLLAHLCRTDHALQVFLDLGYAELTRQVCASAKLPLEEGGALSVLMMLMSTL GYHKKKRDVASVEGLLRDVHCDLTTVLVPRVASMVALCKQVVAKPGKTGNTLLCLVTF LKRCIFLQHGALNASLASAGCMPVLMSSFESNPTNSMLHHEVTDIIRFVLLDPDQKRL PSCPLLNSLFVSSTSLLLFVMKSYHARVQYKGHMTTIANSIFTLINTPSYKDKSAITC QELVRQYTLGTPEWAEFETVLAKQNAVEMTPLGQRHAPLCSGCISLKESSSKYVTSTL GHVERMSGYLETIFSGAATFHCKVNVTDDSISGFMYKKDKIHDHIPVPEPTRVSSFIQ FPVPMPFHALTFTLTYVGLCRKCDKLWYCDTLHSGTANWTTRMKWVIPTSVRKWYSFG ASAGPGGGAHGIQFTTKGYKNFLVMTDTWGRQEQWIHAIEDAIEASLTNIHVSSANAL AIEIDNDADNSTIDAMKKNETGTDVGEMRKRAQTVGTTGLTKELDLKMFQKSITFDVK TKPMLGMINRLPPRFQTDPNEAAGPMDTKGSIRHVASLPNLAAYEKQLKKQH H257_17791 MVACSVDNIEVARALVMFGANIDAEDVHDHTALMIAARDGSDAC LKYLIRKGGGINLSRNRKRGTTALHNAARSGHGDCLATLIDAKADLDVPSANSTTALM EAARAGKLGAVKQLADAGASLTAKDRDGNTAESIAARAGHQTIEDYLHERAENESVKD QRRHIEEAVGTPGFTVLDLIGMSDTKDGVSIDILKREVLRNPRTVAWLEEPHVIFDVL RQLTAAPPCTKIDPLYGAYKAHHFCCQVALWYGVHVFERNGHVDLETCVGYFKVLFNF LCRPGELDPVLVILFCDVVNCYVNHRGLGPAMVYFLGTESAHIVPWLVCHIGLDSIRD SLVWLLYSDLSKAGQGYVAQSGLFGCMLDRLYSWQRTLSWGVGTAYQRDSVENICSLL KYIVFPPSVCDAVVFVENHDLSLPLITARTPPGLQNELLRTLLAHLCRTDHALQVFLD LGYAELTRQVCASAKLPLEEGGALSVLMMLMSTLGYHKKKRDVASVEGLLRDVHCDLT TVLVPRVASMVALCKQVVAKPGKTGNTLLCLVTFLKRCIFLQHGALNASLASAGCMPV LMSSFESNPTNSMLHHEVTDIIRFVLLDPDQKRLPSCPLLNSLFVSSTSLLLFVMKSY HARVQYKGHMTTIANSIFTLIKYVYLNHIPQTCVDSGGCCSTPSYKDKSAITCQELVR QYTLGTPEWAEFETVLAKQNAVEMTPLGQRHAPLCSGCISLKESSSKYVTSTLGHVER MSGYLETIFSGAATFHCKVNVTDDSISGFMYKKDKIHDHIPVPEPTRVSSFIQFPVPM PFHALTFTLTYVGLCRKCDKLWYCDTLHSGTANWTTRMKWVIPTSVRKWYSFGASAGP GGGAHGIQFTTKGYKNFLVMTDTWGRQEQWIHAIEDAIEASLTNIHVSSANALAIEID NDADNSTIDAMKKNETGTDVGEMRKRAQTVGTTGLTKELDLKMFQKSITFDVKTKPML GMINRLPPRFQTDPNEAAGPMDTKGSIRHVASLPNLAAYEKQLKKQH H257_17791 MVACSVDNIEVARALVMFGANIDAEDVHDHTALMIAARDGSDAC LKYLIRKGGGINLSRNRKRGTTALHNAARSGHGDCLATLIDAKADLDVPSANSTTALM EAARAGKLGAVKQLADAGASLTAKDRDGNTAESIAARAGHQTIEDYLHERAENESVKD QRRHIEEAVGTPGFTVLDLIGMSDTKDGVSIDILKREVLRNPRTVAWLEEPHVIFDVL RQLTAAPPCTKIDPLYGAYKAHHFCCQVALWYGVHVFERNGHVDLETCVGYFKVLFNF LCRPGELDPVLVILFCDVVNCYVNHRGLGPAMVYFLGTESAHIVPWLVCHIGLDSIRD SLVWLLYSDLSKAGQGYVAQSGLFGCMLDRLYSWQRTLSWGVGTAYQRDSVENICSLL KYIVFPPSVCDAVVFVENHDLSLPLITARTPPGLQNELLRTLLAHLCRTDHALQVFLD LGYAELTRQVCASAKLPLEEGGALSVLMMLMSTLGYHKKKRDVASVEGLLRDVHCDLT TVLVPRVASMVALCKQVVAKPGKTGNTLLCLVTFLKRCIFLQHGALNASLASAGCMPV LMSSFESNPTNSMLHHEVTDIIRFVLLDPDQKRLPSCPLLNSLFVSSTSLLLFVMKSY HARVQYKGHMTTIANSIFTLINTPSYKDKSAITCQELVRQYTLGTPEWAEFETVLAKQ NAVEMTPLGQRHAPLCSGCISLKESSSKYVTSTLGHVERMSGYLETIFSGAATFHCKV NVTDDSISGFMYKKDKIHDHIPVPEPTRVSSFIQFPVPMPFHALTFTLTYVGLCRKCD KLWYCDTLHSGTANWTTRMKWVIPTSVRKWYSFGASAGPGGGAHGIQFTTKGYKNFLV MTDTWGRQEQWIHAIEDAIEASLTNIHVSSANALAIEIDNDADNSTIDAMKKNETGTD VGEMRKRAQTVGTTGLTKELDLKMFQKSITFDVKTKPMLGMINRLPPRFQTDPNEAAG PMDTKGSIRHVASLPNLAAYEKQLKKQH H257_17791 MIAARDGSDACLKYLIRKGGGINLSRNRKRGTTALHNAARSGHG DCLATLIDAKADLDVPSANSTTALMEAARAGKLGAVKQLADAGASLTAKDRDGNTAES IAARAGHQTIEDYLHERAENESVKDQRRHIEEAVGTPGFTVLDLIGMSDTKDGVSIDI LKREVLRNPRTVAWLEEPHVIFDVLRQLTAAPPCTKIDPLYGAYKAHHFCCQVALWYG VHVFERNGHVDLETCVGYFKVLFNFLCRPGELDPVLVILFCDVVNCYVNHRGLGPAMV YFLGTESAHIVPWLVCHIGLDSIRDSLVWLLYSDLSKAGQGYVAQSGLFGCMLDRLYS WQRTLSWGVGTAYQRDSVENICSLLKYIVFPPSVCDAVVFVENHDLSLPLITARTPPG LQNELLRTLLAHLCRTDHALQVFLDLGYAELTRQVCASAKLPLEEGGALSVLMMLMST LGYHKKKRDVASVEGLLRDVHCDLTTVLVPRVASMVALCKQVVAKPGKTGNTLLCLVT FLKRCIFLQHGALNASLASAGCMPVLMSSFESNPTNSMLHHEVTDIIRFVLLDPDQKR LPSCPLLNSLFVSSTSLLLFVMKSYHARVQYKGHMTTIANSIFTLIKYVYLNHIPQTC VDSGGCCSTPSYKDKSAITCQELVRQYTLGTPEWAEFETVLAKQNAVEMTPLGQRHAP LCSGCISLKESSSKYVTSTLGHVERMSGYLETIFSGAATFHCKVNVTDDSISGFMYKK DKIHDHIPVPEPTRVSSFIQFPVPMPFHALTFTLTYVGLCRKCDKLWYCDTLHSGTAN WTTRMKWVIPTSVRKWYSFGASAGPGGGAHGIQFTTKGYKNFLVMTDTWGRQEQWIHA IEDAIEASLTNIHVSSANALAIEIDNDADNSTIDAMKKNETGTDVGEMRKRAQTVGTT GLTKELDLKMFQKSITFDVKTKPMLGMINRLPPRFQTDPNEAAGPMDTKGSIRHVASL PNLAAYEKQLKKQH H257_17791 MIAARDGSDACLKYLIRKGGGINLSRNRKRGTTALHNAARSGHG DCLATLIDAKADLDVPSANSTTALMEAARAGKLGAVKQLADAGASLTAKDRDGNTAES IAARAGHQTIEDYLHERAENESVKDQRRHIEEAVGTPGFTVLDLIGMSDTKDGVSIDI LKREVLRNPRTVAWLEEPHVIFDVLRQLTAAPPCTKIDPLYGAYKAHHFCCQVALWYG VHVFERNGHVDLETCVGYFKVLFNFLCRPGELDPVLVILFCDVVNCYVNHRGLGPAMV YFLGTESAHIVPWLVCHIGLDSIRDSLVWLLYSDLSKAGQGYVAQSGLFGCMLDRLYS WQRTLSWGVGTAYQRDSVENICSLLKYIVFPPSVCDAVVFVENHDLSLPLITARTPPG LQNELLRTLLAHLCRTDHALQVFLDLGYAELTRQVCASAKLPLEEGGALSVLMMLMST LGYHKKKRDVASVEGLLRDVHCDLTTVLVPRVASMVALCKQVVAKPGKTGNTLLCLVT FLKRCIFLQHGALNASLASAGCMPVLMSSFESNPTNSMLHHEVTDIIRFVLLDPDQKR LPSCPLLNSLFVSSTSLLLFVMKSYHARVQYKGHMTTIANSIFTLINTPSYKDKSAIT CQELVRQYTLGTPEWAEFETVLAKQNAVEMTPLGQRHAPLCSGCISLKESSSKYVTST LGHVERMSGYLETIFSGAATFHCKVNVTDDSISGFMYKKDKIHDHIPVPEPTRVSSFI QFPVPMPFHALTFTLTYVGLCRKCDKLWYCDTLHSGTANWTTRMKWVIPTSVRKWYSF GASAGPGGGAHGIQFTTKGYKNFLVMTDTWGRQEQWIHAIEDAIEASLTNIHVSSANA LAIEIDNDADNSTIDAMKKNETGTDVGEMRKRAQTVGTTGLTKELDLKMFQKSITFDV KTKPMLGMINRLPPRFQTDPNEAAGPMDTKGSIRHVASLPNLAAYEKQLKKQH H257_17791 MEAARAGKLGAVKQLADAGASLTAKDRDGNTAESIAARAGHQTI EDYLHERAENESVKDQRRHIEEAVGTPGFTVLDLIGMSDTKDGVSIDILKREVLRNPR TVAWLEEPHVIFDVLRQLTAAPPCTKIDPLYGAYKAHHFCCQVALWYGVHVFERNGHV DLETCVGYFKVLFNFLCRPGELDPVLVILFCDVVNCYVNHRGLGPAMVYFLGTESAHI VPWLVCHIGLDSIRDSLVWLLYSDLSKAGQGYVAQSGLFGCMLDRLYSWQRTLSWGVG TAYQRDSVENICSLLKYIVFPPSVCDAVVFVENHDLSLPLITARTPPGLQNELLRTLL AHLCRTDHALQVFLDLGYAELTRQVCASAKLPLEEGGALSVLMMLMSTLGYHKKKRDV ASVEGLLRDVHCDLTTVLVPRVASMVALCKQVVAKPGKTGNTLLCLVTFLKRCIFLQH GALNASLASAGCMPVLMSSFESNPTNSMLHHEVTDIIRFVLLDPDQKRLPSCPLLNSL FVSSTSLLLFVMKSYHARVQYKGHMTTIANSIFTLIKYVYLNHIPQTCVDSGGCCSTP SYKDKSAITCQELVRQYTLGTPEWAEFETVLAKQNAVEMTPLGQRHAPLCSGCISLKE SSSKYVTSTLGHVERMSGYLETIFSGAATFHCKVNVTDDSISGFMYKKDKIHDHIPVP EPTRVSSFIQFPVPMPFHALTFTLTYVGLCRKCDKLWYCDTLHSGTANWTTRMKWVIP TSVRKWYSFGASAGPGGGAHGIQFTTKGYKNFLVMTDTWGRQEQWIHAIEDAIEASLT NIHVSSANALAIEIDNDADNSTIDAMKKNETGTDVGEMRKRAQTVGTTGLTKELDLKM FQKSITFDVKTKPMLGMINRLPPRFQTDPNEAAGPMDTKGSIRHVASLPNLAAYEKQL KKQH H257_17791 MEAARAGKLGAVKQLADAGASLTAKDRDGNTAESIAARAGHQTI EDYLHERAENESVKDQRRHIEEAVGTPGFTVLDLIGMSDTKDGVSIDILKREVLRNPR TVAWLEEPHVIFDVLRQLTAAPPCTKIDPLYGAYKAHHFCCQVALWYGVHVFERNGHV DLETCVGYFKVLFNFLCRPGELDPVLVILFCDVVNCYVNHRGLGPAMVYFLGTESAHI VPWLVCHIGLDSIRDSLVWLLYSDLSKAGQGYVAQSGLFGCMLDRLYSWQRTLSWGVG TAYQRDSVENICSLLKYIVFPPSVCDAVVFVENHDLSLPLITARTPPGLQNELLRTLL AHLCRTDHALQVFLDLGYAELTRQVCASAKLPLEEGGALSVLMMLMSTLGYHKKKRDV ASVEGLLRDVHCDLTTVLVPRVASMVALCKQVVAKPGKTGNTLLCLVTFLKRCIFLQH GALNASLASAGCMPVLMSSFESNPTNSMLHHEVTDIIRFVLLDPDQKRLPSCPLLNSL FVSSTSLLLFVMKSYHARVQYKGHMTTIANSIFTLIKYVYLNHIPQTCVDSGGCCSTP SYKDKSAITCQELVRQYTLGTPEWAEFETVLAKQNAVEMTPLGQRHAPLCSGCISLKE SSSKYVTSTLGHVERMSGYLETIFSGAATFHCKVNVTDDSISGFMYKKDKIHDHIPVP EPTRVSSFIQFPVPMPFHALTFTLTYVGLCRKCDKLWYCDTLHSGTANWTTRMKWVIP TSVRKWYSFGASAGPGGGAHGIQFTTKGYKNFLVMTDTWGRQEQWIHAIEDAIEASLT NIHVSSANALAIEIDNDADNSTIDAMKKNETGTDVGEMRKRAQTVGTTGLTKELDLKM FQKSITFDVKTKPMLGMINRLPPRFQTDPNEAAGPMDTKGSIRHVASLPNLAAYEKQL KKQH H257_17791 MEAARAGKLGAVKQLADAGASLTAKDRDGNTAESIAARAGHQTI EDYLHERAENESVKDQRRHIEEAVGTPGFTVLDLIGMSDTKDGVSIDILKREVLRNPR TVAWLEEPHVIFDVLRQLTAAPPCTKIDPLYGAYKAHHFCCQVALWYGVHVFERNGHV DLETCVGYFKVLFNFLCRPGELDPVLVILFCDVVNCYVNHRGLGPAMVYFLGTESAHI VPWLVCHIGLDSIRDSLVWLLYSDLSKAGQGYVAQSGLFGCMLDRLYSWQRTLSWGVG TAYQRDSVENICSLLKYIVFPPSVCDAVVFVENHDLSLPLITARTPPGLQNELLRTLL AHLCRTDHALQVFLDLGYAELTRQVCASAKLPLEEGGALSVLMMLMSTLGYHKKKRDV ASVEGLLRDVHCDLTTVLVPRVASMVALCKQVVAKPGKTGNTLLCLVTFLKRCIFLQH GALNASLASAGCMPVLMSSFESNPTNSMLHHEVTDIIRFVLLDPDQKRLPSCPLLNSL FVSSTSLLLFVMKSYHARVQYKGHMTTIANSIFTLIKYVYLNHIPQTCVDSGGCCSTP SYKDKSAITCQELVRQYTLGTPEWAEFETVLAKQNAVEMTPLGQRHAPLCSGCISLKE SSSKYVTSTLGHVERMSGYLETIFSGAATFHCKVNVTDDSISGFMYKKDKIHDHIPVP EPTRVSSFIQFPVPMPFHALTFTLTYVGLCRKCDKLWYCDTLHSGTANWTTRMKWVIP TSVRKWYSFGASAGPGGGAHGIQFTTKGYKNFLVMTDTWGRQEQWIHAIEDAIEASLT NIHVSSANALAIEIDNDADNSTIDAMKKNETGTDVGEMRKRAQTVGTTGLTKELDLKM FQKSITFDVKTKPMLGMINRLPPRFQTDPNEAAGPMDTKGSIRHVASLPNLAAYEKQL KKQH H257_17791 MEAARAGKLGAVKQLADAGASLTAKDRDGNTAESIAARAGHQTI EDYLHERAENESVKDQRRHIEEAVGTPGFTVLDLIGMSDTKDGVSIDILKREVLRNPR TVAWLEEPHVIFDVLRQLTAAPPCTKIDPLYGAYKAHHFCCQVALWYGVHVFERNGHV DLETCVGYFKVLFNFLCRPGELDPVLVILFCDVVNCYVNHRGLGPAMVYFLGTESAHI VPWLVCHIGLDSIRDSLVWLLYSDLSKAGQGYVAQSGLFGCMLDRLYSWQRTLSWGVG TAYQRDSVENICSLLKYIVFPPSVCDAVVFVENHDLSLPLITARTPPGLQNELLRTLL AHLCRTDHALQVFLDLGYAELTRQVCASAKLPLEEGGALSVLMMLMSTLGYHKKKRDV ASVEGLLRDVHCDLTTVLVPRVASMVALCKQVVAKPGKTGNTLLCLVTFLKRCIFLQH GALNASLASAGCMPVLMSSFESNPTNSMLHHEVTDIIRFVLLDPDQKRLPSCPLLNSL FVSSTSLLLFVMKSYHARVQYKGHMTTIANSIFTLIKYVYLNHIPQTCVDSGGCCSTP SYKDKSAITCQELVRQYTLGTPEWAEFETVLAKQNAVEMTPLGQRHAPLCSGCISLKE SSSKYVTSTLGHVERMSGYLETIFSGAATFHCKVNVTDDSISGFMYKKDKIHDHIPVP EPTRVSSFIQFPVPMPFHALTFTLTYVGLCRKCDKLWYCDTLHSGTANWTTRMKWVIP TSVRKWYSFGASAGPGGGAHGIQFTTKGYKNFLVMTDTWGRQEQWIHAIEDAIEASLT NIHVSSANALAIEIDNDADNSTIDAMKKNETGTDVGEMRKRAQTVGTTGLTKELDLKM FQKSITFDVKTKPMLGMINRLPPRFQTDPNEAAGPMDTKGSIRHVASLPNLAAYEKQL KKQH H257_17791 MEAARAGKLGAVKQLADAGASLTAKDRDGNTAESIAARAGHQTI EDYLHERAENESVKDQRRHIEEAVGTPGFTVLDLIGMSDTKDGVSIDILKREVLRNPR TVAWLEEPHVIFDVLRQLTAAPPCTKIDPLYGAYKAHHFCCQVALWYGVHVFERNGHV DLETCVGYFKVLFNFLCRPGELDPVLVILFCDVVNCYVNHRGLGPAMVYFLGTESAHI VPWLVCHIGLDSIRDSLVWLLYSDLSKAGQGYVAQSGLFGCMLDRLYSWQRTLSWGVG TAYQRDSVENICSLLKYIVFPPSVCDAVVFVENHDLSLPLITARTPPGLQNELLRTLL AHLCRTDHALQVFLDLGYAELTRQVCASAKLPLEEGGALSVLMMLMSTLGYHKKKRDV ASVEGLLRDVHCDLTTVLVPRVASMVALCKQVVAKPGKTGNTLLCLVTFLKRCIFLQH GALNASLASAGCMPVLMSSFESNPTNSMLHHEVTDIIRFVLLDPDQKRLPSCPLLNSL FVSSTSLLLFVMKSYHARVQYKGHMTTIANSIFTLINTPSYKDKSAITCQELVRQYTL GTPEWAEFETVLAKQNAVEMTPLGQRHAPLCSGCISLKESSSKYVTSTLGHVERMSGY LETIFSGAATFHCKVNVTDDSISGFMYKKDKIHDHIPVPEPTRVSSFIQFPVPMPFHA LTFTLTYVGLCRKCDKLWYCDTLHSGTANWTTRMKWVIPTSVRKWYSFGASAGPGGGA HGIQFTTKGYKNFLVMTDTWGRQEQWIHAIEDAIEASLTNIHVSSANALAIEIDNDAD NSTIDAMKKNETGTDVGEMRKRAQTVGTTGLTKELDLKMFQKSITFDVKTKPMLGMIN RLPPRFQTDPNEAAGPMDTKGSIRHVASLPNLAAYEKQLKKQH H257_17791 MEAARAGKLGAVKQLADAGASLTAKDRDGNTAESIAARAGHQTI EDYLHERAENESVKDQRRHIEEAVGTPGFTVLDLIGMSDTKDGVSIDILKREVLRNPR TVAWLEEPHVIFDVLRQLTAAPPCTKIDPLYGAYKAHHFCCQVALWYGVHVFERNGHV DLETCVGYFKVLFNFLCRPGELDPVLVILFCDVVNCYVNHRGLGPAMVYFLGTESAHI VPWLVCHIGLDSIRDSLVWLLYSDLSKAGQGYVAQSGLFGCMLDRLYSWQRTLSWGVG TAYQRDSVENICSLLKYIVFPPSVCDAVVFVENHDLSLPLITARTPPGLQNELLRTLL AHLCRTDHALQVFLDLGYAELTRQVCASAKLPLEEGGALSVLMMLMSTLGYHKKKRDV ASVEGLLRDVHCDLTTVLVPRVASMVALCKQVVAKPGKTGNTLLCLVTFLKRCIFLQH GALNASLASAGCMPVLMSSFESNPTNSMLHHEVTDIIRFVLLDPDQKRLPSCPLLNSL FVSSTSLLLFVMKSYHARVQYKGHMTTIANSIFTLINTPSYKDKSAITCQELVRQYTL GTPEWAEFETVLAKQNAVEMTPLGQRHAPLCSGCISLKESSSKYVTSTLGHVERMSGY LETIFSGAATFHCKVNVTDDSISGFMYKKDKIHDHIPVPEPTRVSSFIQFPVPMPFHA LTFTLTYVGLCRKCDKLWYCDTLHSGTANWTTRMKWVIPTSVRKWYSFGASAGPGGGA HGIQFTTKGYKNFLVMTDTWGRQEQWIHAIEDAIEASLTNIHVSSANALAIEIDNDAD NSTIDAMKKNETGTDVGEMRKRAQTVGTTGLTKELDLKMFQKSITFDVKTKPMLGMIN RLPPRFQTDPNEAAGPMDTKGSIRHVASLPNLAAYEKQLKKQH H257_17791 MEAARAGKLGAVKQLADAGASLTAKDRDGNTAESIAARAGHQTI EDYLHERAENESVKDQRRHIEEAVGTPGFTVLDLIGMSDTKDGVSIDILKREVLRNPR TVAWLEEPHVIFDVLRQLTAAPPCTKIDPLYGAYKAHHFCCQVALWYGVHVFERNGHV DLETCVGYFKVLFNFLCRPGELDPVLVILFCDVVNCYVNHRGLGPAMVYFLGTESAHI VPWLVCHIGLDSIRDSLVWLLYSDLSKAGQGYVAQSGLFGCMLDRLYSWQRTLSWGVG TAYQRDSVENICSLLKYIVFPPSVCDAVVFVENHDLSLPLITARTPPGLQNELLRTLL AHLCRTDHALQVFLDLGYAELTRQVCASAKLPLEEGGALSVLMMLMSTLGYHKKKRDV ASVEGLLRDVHCDLTTVLVPRVASMVALCKQVVAKPGKTGNTLLCLVTFLKRCIFLQH GALNASLASAGCMPVLMSSFESNPTNSMLHHEVTDIIRFVLLDPDQKRLPSCPLLNSL FVSSTSLLLFVMKSYHARVQYKGHMTTIANSIFTLINTPSYKDKSAITCQELVRQYTL GTPEWAEFETVLAKQNAVEMTPLGQRHAPLCSGCISLKESSSKYVTSTLGHVERMSGY LETIFSGAATFHCKVNVTDDSISGFMYKKDKIHDHIPVPEPTRVSSFIQFPVPMPFHA LTFTLTYVGLCRKCDKLWYCDTLHSGTANWTTRMKWVIPTSVRKWYSFGASAGPGGGA HGIQFTTKGYKNFLVMTDTWGRQEQWIHAIEDAIEASLTNIHVSSANALAIEIDNDAD NSTIDAMKKNETGTDVGEMRKRAQTVGTTGLTKELDLKMFQKSITFDVKTKPMLGMIN RLPPRFQTDPNEAAGPMDTKGSIRHVASLPNLAAYEKQLKKQH H257_17791 MEAARAGKLGAVKQLADAGASLTAKDRDGNTAESIAARAGHQTI EDYLHERAENESVKDQRRHIEEAVGTPGFTVLDLIGMSDTKDGVSIDILKREVLRNPR TVAWLEEPHVIFDVLRQLTAAPPCTKIDPLYGAYKAHHFCCQVALWYGVHVFERNGHV DLETCVGYFKVLFNFLCRPGELDPVLVILFCDVVNCYVNHRGLGPAMVYFLGTESAHI VPWLVCHIGLDSIRDSLVWLLYSDLSKAGQGYVAQSGLFGCMLDRLYSWQRTLSWGVG TAYQRDSVENICSLLKYIVFPPSVCDAVVFVENHDLSLPLITARTPPGLQNELLRTLL AHLCRTDHALQVFLDLGYAELTRQVCASAKLPLEEGGALSVLMMLMSTLGYHKKKRDV ASVEGLLRDVHCDLTTVLVPRVASMVALCKQVVAKPGKTGNTLLCLVTFLKRCIFLQH GALNASLASAGCMPVLMSSFESNPTNSMLHHEVTDIIRFVLLDPDQKRLPSCPLLNSL FVSSTSLLLFVMKSYHARVQYKGHMTTIANSIFTLINTPSYKDKSAITCQELVRQYTL GTPEWAEFETVLAKQNAVEMTPLGQRHAPLCSGCISLKESSSKYVTSTLGHVERMSGY LETIFSGAATFHCKVNVTDDSISGFMYKKDKIHDHIPVPEPTRVSSFIQFPVPMPFHA LTFTLTYVGLCRKCDKLWYCDTLHSGTANWTTRMKWVIPTSVRKWYSFGASAGPGGGA HGIQFTTKGYKNFLVMTDTWGRQEQWIHAIEDAIEASLTNIHVSSANALAIEIDNDAD NSTIDAMKKNETGTDVGEMRKRAQTVGTTGLTKELDLKMFQKSITFDVKTKPMLGMIN RLPPRFQTDPNEAAGPMDTKGSIRHVASLPNLAAYEKQLKKQH H257_17792 MQPSGDSRALPPESRATARPFETLPNLNVDPLADIEAGISRDVH QVEEVVKKHSRVVTSRRISRKVTRDSIKVIARQMGSLSRFKIKRLSMVSTTDLKMDTL TPHDIMITYGLFNLVLIAGALMSIGSVVWWSSSRFSVTASPDMDIEVAHYLIQAFTSA VCGVFTRRMYKLPTGERQPVQSTMALIAVVYGLVSLGEVVFGIGFSGAYGSWATRRHC WYDGHGRAVNVAVRNVLNAIQAVLLYAVLNLLCRCFKTPTTSNVSTEVEFAVWPYACY VVLRVVLGCTFGFVLDYLPMTNLVTVARLYIHSSVEVAPGILITCVVITAIDGAFSAW AFLEISQVRRSFRLCFVKNISKFIVAFNFFMNYNVNMVFALVVCGHGVSWLVPTEYYV TSSNGIQCTGATAAIVGFRLTIVAWLLISMYACLPADAMGLRGWIHSSPGGLDVKTNR VRYFVHPSDVFQTANFNISDLLKHSSSSASSVATIDPRHFVLEGQIEMFNFAYLAYAC GHKDYSQEFMHLDNMIGDKRFGLVDHIHEPHNDTHCLIVQSPDKIVVAFRGTTSWKNF RTDFNAARREYKVSFDHIVDTVEPEYRPQNVSRVNCCKRKYPWVHEGFWVAYQTVAGR VLAAIECLHSQSPRPVYVTGHSLGGALAILCSLDVALKWGSHHVTCTTFGCPRVGGNA FKKLYNAHVPATFRFVNSRDPICHSPIRTLWDSFTEVGTTVLLNDFGNMIINPNMLEY SMLNRGVSMEAHKLTFYQLSLLLWCTRAHGRTFEPQFWPHSLQQLRWLCGHIPEVLQY LSRSSLLGGGHPISEDVRLLSILRHVHKNVQPTSTRLGIFKREVACLSCKSMIESLVE NAIVPTEAEAVEVGRILLAKGHINMVGGIVFNKHGHFVLIQDSKPRRASVQHDEDIK H257_17793 MSRRSSRASGSGGRSDALNEIRRLREAKLNGRDEDDDGAGVKKV SRIETYNAKEGSIYQELNEDEYNDLVRKRREELPFVEDDEGGMGYYDDGEEQYFEDDG DLDEEVVDPDDDVDPNNKKRQSSGALSSAYVKRAKRNQRAKLGTGTDQKITHMFFSKS SEKPRSAPAAAASSRHAKQDIDLDSMLDDLTSNPLDASLSRARKPPVFPSSRSATSSQ HVSSSDRQFMQEYRPSATTTTERDDTDDVQDGVDNGYEFDDDAAVAPVDPSNDGNVSS PLAAPPTQDVAAVAQPYVSKRDLLLKKARESRVEASAATERALNSSSVPFVGEKPVDD VVDSVPSNQVGEWWNPTNDAEVDTIDTSTAADGEAPALNDDLQLFWTDAVEVRDRPGK LYLIGKTKDAQGGGFKSCCVIVNNLQRYLYVVPRVPDQHKDTYSTMGDMPKDIQQQLW MNMHKDISSLLIPSCITDRKDQQTFRTKLVDRNYAFDLPDLPRGKNTYLKVKYPARYA APHADVCAKGGPSFVRICGASVRPLETFLIRRKLLGPGWLRISGAQRVTTNHQSYCKV EYETASPVHVVPIHGIPSPPLTVLSLSIKTCCNPHSHKHEVVAFSAVTETNINPEGGS KGKGKISHFSGIRPFLADGHQRFPESYGPNALANDRFRAPQSLSIEMNEKALLNFLLA RVQREDPDVIVGHNLQGYTLDVLMSRMDNFKMGGMWSRLTRLRRGLLAPLNQGEGWNE YRLDDMSNGRLFCDTFVSAKELLTSQSTYSLSHLVSTQLQKTRVEVESTDIPAILSSG PDNFVKFIHHTLDDAMFVLHLMHKLEVIPLTKQLANLCGYLWTRTLQANKRAERIEYL LLHEFDRSKHKFIVPEKFRDSKQSSKSVGKKKREPAGYAGGMVFAPKKGLYDNFVVLL DFNSLYPSIIREYNICFTTVERSTLNATKDAGPARRKTNLDDDDDDEDVDEVLVKAES DVPALPSSSCAEGVLPQVIKRLLESRKLVKQQLKAELKAGNIEKSQTLDIRQKAIKLT ANSMYGCLGFRYSRFYARPIAALITSTGRQTLQRAQEVAEQECGYDVIYGDTDSIMVD SRSDQLEHAKRIGREIQIQCNKHFKLLELEVDYIFKTILLLNKKKYASLVVKETNDGV VTYEKEVKGLDMVRRDWCVLSKVVGNVVLDLILSGIGRDEVVEKIHEHLQTVGENMRT GKEPISQYVITKSLNKAPEQYPDKAKQYHVQVALALRSQGKAIGVGTHIPYVLCKEEE AGSLRRAYHPDEVTRSHGKLNIDIEWYLEAQIHPPVNRLCAHIDGTSSPQLAQCLGLD TSKFSHSVQNVGDDEVDVIPSVLQHDSDRFKSCTPLRLTCLKCGQENAFEGVYASRAS RYSSGLLCPNAACSAIFWGYDQRGLYGQVGDDFASLVSNRMHLAIRDCTRRYYQGWVV CTEGLCSSRTQKQSLRGRRGDACSVTGCRGTVCMEYSDSALYTQLKYYESLVDVNHAL DNIQKENARQPGQEITVGALSDSHRDLFAKLCVQIRETIDRNDYNWVKPSMWTSLFS H257_17794 MPTPAAKATVVAGMMDTLEATVEIALATKTVEEEMWREVHVLPA GTLWTTADVIGEAQIDPPAATIANHTSLAPTDIASIRNVAVKDPNAAVTTTPIHVTEM PAMVARIPPAPTAAATTGYGRSSDARSSRDFDRDSGYGRTESSRGGSDRRDDGYGRTE SSRGSDRRDDGYGRSSRRDDDKQPSSNGRRSRSRSRERRGY H257_17795 MSFGQNISSTSTPKMPPVCLIRQADDALETCPDGIALLQSLKNE PVAVICLAGQYRTGKSFFLNQMCRKGDVSSVPSTNAGFVVGPTTESCTRGIWIWDADQ RNARGEKVLLMDTEGIASTDNDETYDAKIFSLGLLLCSVFVFNTMGVIDEGAIDRLFL VSELTKHVCVHQHGGAADNHVPKSDDDDDEDDDVQHSVHESALAPHFPPFVWLLRDFL LDIQENGLPLTSNVYLERSLQRRDGTSKRNEERNRIRHSLRTLFTQRECVTLIRPVTD EDKLRNASTLPDADLRPEFIVQMRAIRDRLLDVARPKRVLNQVVDGPKLAHLVQSYVA TMNSGAVPDIKAAWEYVSDVTCEAAFVRALDTYKTAMESNTSMAQVEFERVHREAQDR ALVVFKSESVEGDARKRCFQKLKAAIAQTRALQINALQEKSTLLCTDVVERLAQQWLQ SPIESGEWDDIPSDGRALGRGLTPFFHGYDEAGDGPSKAKVLVQFVKTDLPTILDQFI GRLSRHHQSVLEQATKAADDTIRQGEEVRRRLELEVHQLQLEGTRLVGVVSTVEEKLD RATQQVVALKQAAEDLHDQLVASEAKRLATGDDLDAVKAQHAAVVLDNVKLTAQLEHV NQALDEAVAARQATQDQLTSDLHHERAERKNEREGAIANLAKQAAELHAAQRSVKACR DELDDSARSAALVAKERDLLSVKIKSLLEVHENSLEQLHIMETSHALHADEKERLTLR CERLEQQLGDVSVHMQVENVLTNLCVDVVKLVDVDKAIRIVTEERAALQERLGELHEK ISTLPDFYQRQVFCAHEPAPDFFEALTQLIGQ H257_17795 MSFGQNISSTSTPKMPPVCLIRQADDALETCPDGIALLQSLKNE PVAVICLAGQYRTGKSFFLNQMCRKGDVSSVPSTNAGFVVGPTTESCTRGIWIWDADQ RNARGEKVLLMDTEGIASTDNDETYDAKIFSLGLLLCSVFVFNTMGVIDEGAIDRLFL VSELTKHVCVHQHGGAADNHVPKSDDDDDEDDDVQHSVHESALAPHFPPFVWLLRDFL LDIQENGLPLTSNVYLERSLQRRDGTSKRNEERNRIRHSLRTLFTQRECVTLIRPVTD EDKLRNASTLPDADLRPEFIVQMRAIRDRLLDVARPKRVLNQVVDGPKLAHLVQSYVA TMNSGAVPDIKAAWEYVSDVTCEAAFVRALDTYKTAMESNTSMAQVEFERVHREAQDR ALVVFKSESVEGDARKRCFQKLKAAIAQTRALQINALQEKSTLLCTDVVERLAQQWLQ SPIESGEWDDIPSDGRALGRGLTPFFHGYDEAGDGPSKAKVLVQFVKTDLPTILDQFI GRLSRHHQSVLEQATKAADDTIRQGEEVRRRLELEVHQLQLEGTRLVGVVSTVEEKLD RATQQVVALKQAAEDLHDQLVASEAKRLATGDDLDAVKAQHAAVVLDNVKLTAQLEHV NQALDEAVAARQATQDQLTSDLHHERAERKNEREGAIANLAKQAAELHAAQRSVKACR DELDDSARSAALVAKERDLLSVKIKSLLEVHENSLEQLHIMETSHALHADEKERLTLR CERLEQQLGDVSVHMQVENVLTNLCVDVVKLVDVDKAIRIVTEERAALQERLGELHEK ISTLPDFYQRQVFCAHEPAPDFFEALTQLIGQ H257_17795 MPPVCLIRQADDALETCPDGIALLQSLKNEPVAVICLAGQYRTG KSFFLNQMCRKGDVSSVPSTNAGFVVGPTTESCTRGIWIWDADQRNARGEKVLLMDTE GIASTDNDETYDAKIFSLGLLLCSVFVFNTMGVIDEGAIDRLFLVSELTKHVCVHQHG GAADNHVPKSDDDDDEDDDVQHSVHESALAPHFPPFVWLLRDFLLDIQENGLPLTSNV YLERSLQRRDGTSKRNEERNRIRHSLRTLFTQRECVTLIRPVTDEDKLRNASTLPDAD LRPEFIVQMRAIRDRLLDVARPKRVLNQVVDGPKLAHLVQSYVATMNSGAVPDIKAAW EYVSDVTCEAAFVRALDTYKTAMESNTSMAQVEFERVHREAQDRALVVFKSESVEGDA RKRCFQKLKAAIAQTRALQINALQEKSTLLCTDVVERLAQQWLQSPIESGEWDDIPSD GRALGRGLTPFFHGYDEAGDGPSKAKVLVQFVKTDLPTILDQFIGRLSRHHQSVLEQA TKAADDTIRQGEEVRRRLELEVHQLQLEGTRLVGVVSTVEEKLDRATQQVVALKQAAE DLHDQLVASEAKRLATGDDLDAVKAQHAAVVLDNVKLTAQLEHVNQALDEAVAARQAT QDQLTSDLHHERAERKNEREGAIANLAKQAAELHAAQRSVKACRDELDDSARSAALVA KERDLLSVKIKSLLEVHENSLEQLHIMETSHALHADEKERLTLRCERLEQQLGDVSVH MQVENVLTNLCVDVVKLVDVDKAIRIVTEERAALQERLGELHEKISTLPDFYQRQVFC AHEPAPDFFEALTQLIGQ H257_17796 MLGLQLARRARHVRLENAATAIRAASSTSNGGKPKAKVQSAKDA SEGVSYTRGLFLGVNNAKLAFPFPKLSTDESETLQSLVNPVVKFFEEGVDAKLIDDTK VIPEETLASLKEMGLFGLQIPEELNGLGLSNTGYARVCEELSDASIAVTIMAHQSIGL KGILLNGNAAQQAKYLPKLATGENLAAFALTEPSSGSDAQSIKTRAVLSEDKSHFILN GGKIWISNGGWAEVMTVFAQTEVDGKDKVTAFIVERAFGGLTSGPPEDKLGIRGSNTC QVFFDNVKVPVENVLGGGEDGKTGGLAGVGHGFKVAMNILNNGRFGIGAASGGQLRRV IAKAAEHATQRKQFGASLSEFGLIKEKIGHLALNTYAIESMAYMTTGMIDRGDPFCEI EAAMCKVFGSEASFEGINEAIQIMGGTGFMRDWPFERYMRDARILSIFEGTNEILRLL IALSGIKTAGERLSAVGSLFKNPFSDPAAVASEISSRVQKKISAPATLEGVHPKLKAS ADLLQTRTIEFGDAVESLLRKHGKTIVHEQLQLKRIADASIQLFAMTATISRASTALA DKSPTAAHELALTQLYAEIASDKIRNNLREIQTHTKKDSQLKAIADQVFAQSKYIPSH PTGVNA H257_17797 MVRRSERQLTLQHAMMLLDTRQLMRMERTVLSENDSDEDDLDSQ LICWIRSIENRRYLSRRINDPFKAPRFHHFLFETRRTRFRKLFRVERSSFDHIVVLIQ ADPSFMQMSSSSTQRPVSHQLLVFLYFLGANGNAVSNEHMSSLFGIGAGTIALYIRRV MDAIVRLRDQFILWPNHSEASSISLDIYAMCGFTGCIGFIDGTLFPFEFKPTLCGEDY YSRKGCYAVAAQIICDHRGIIRDVHADWPGSTHDNRVWRNSKIWVGEVRGPGFNP H257_17798 MAQATKKDVDMDLANTQTPPQRSASSMTSERGKSPSNRKSSPAR LMGQHFAHYPPQVTRHDTRNSRRAAEAAGVAAPTHEEGPRESRWDPSHRPSNKKSTSP GRTKQAAVEGMDAARHQARATTVDSKCSTSRNAKVRASATTAQPTRKAGDRGQSPHKT MRTEETRGVATKLPWQHPIDRDDPSDAANAAYDACFNATDVDEDVSATFHEAMQSADA TGYLLEACKKEIGNLEAMECYELSQVGDLVDSFARVGVLGIGDMSTQLVDSHALVTGQ HAKVFA H257_17799 MTERYLYGQPNKLKADAPTTANGASKRELRSTSTSWASKYLQPH RGPDSKYKPDTDEVDDLVALSYIQLSVHNDHLKYIQHVDTTCDTWNALKTIYENTSEV SLVTLQMKMYKLNRSERIGLESFPGQFQELTRKMTAAVTAPLNGRTAPGFFVCRRHAS QTP H257_17801 MPNQTYAYQSVQRHRNPRLHVNAVWLGPSTSWMNLDPHRAQCGM ARTGPSVASCVIFVYHEGGGAMYSESLSKSPSVSKNQIIADCMERGHGLRESTFAVNH HRVKSELPSVGQSAVYTAYQRLRPVVTTISPIKQGYSDVGSAWAIARLAWTKQLASSS TGLV H257_17802 MHGTLHEHDWVFWHDALSQMTCRSTLEWMKSKDYLRRWVLPEQN LNAGTAFSGRPVGNSPELMCWDCSLIKDVDNAFIRHKAWTMHLPRGSPTKFCSSTPKR LEESYLRLMDPCHGHDAGVPTSDRIIHDAEKCFGDHLMAVINAQGAVVHGLGNRNGKR RESGNGGHGGKREKASDVVGHWLHPDAEAGLVDQLGLVTRAIELSKCTVDVAVV H257_17803 MTFPVKMAVTTATGLFQGTAAATVAENGPFTDVVAAAIPVTGPF ACTMAAVRDRTIVEDMSSTRRFVGETPVAAEIAVQMLLIPVRVVVVMFKIKSITPVAL EKLTLSLFLGKSFRLKGPGR H257_17804 MPVNHLLQERVSYIASITKLADKDVDGFKDASTPLDLEDGALRE GGSLVYTSPEVLTLLFQYAVVGICRAGLGGIHLPILTYYFGLESATLSSAGGLLTLGW SFKVFYGLLSDCFPIMGYSRKPYILIGWVMTAICFVVIALKPAGPSVILDRSDENRKA AQSYGSLVVLICSVASFCYIMADVACDALVVELSQREPERVRGRLQSSIYGTRFVFQG LTTAMSGFLMSSERYGGKFGFDISVNAYFGVLAVPVVVNVFLVFFFMKDRKRGTIHFA TYFHDVYELIQKRAVWQVMIFYFMFNLLASGIGSLAGNYIQVYWAHVEPVNSAVAGVI TYIILATTVFAVGRWGTHWNWRFILVISTLSGVVIDAIVQYLTIYDIVRNQWFYIGVP LTSEVPEAVQFVVSTFVIVELAGDGNEGLIYGLLTTMGNLPATFGKMVTNVYSTQLKV TKADIETDTAEVRNHAAYSYLVVYGTTVLACCWVVILPPQKAAVKEMLQHGAKYPIIG ALIIVLTSVILCVSVTAIMMTMFEATSCYLLAGGQGC H257_17805 MLAYGVCADSTDEYCRLGESTAIESVKRFARAVVSEFGPVYLRE PNEEDVEKHLDLNESRGFPGMFGSIDCTHWEWKNCPVAWQGQYQDRYGKRSMILEAVA TQDLWIWHAFLGAPGSNNDINILDSSTLMVNLAKLATSSDGFSKSLSSPSTEKEEYYC ERHESVRKDGERCFGVLFGRFHILANPSQLWSRDHMRVVWLACICLYNMIIEDDNTME HHPNASKWDNDLERSRPQQPLSFDGYLHQRSAMVDSDTHDLLRQSIIEHLWTERGNI H257_17806 MENELLSKAWVAAYEDPIKGSGQTSTTFWDTVTHRFNEIKPEDR PQRTARALESKFADIKHAVSKFTGCYTQVQDLQASGTNIDDVEDAARALYFKTTTSTT GSGRNFKYMVCWRVLRDKPKWKAYRENGFGIKKSTKHSKLTGEDNNLDGSKLLSSTVN LESSEGRPQGAKAAKRKRLSIEDMPQASVDMGGLAAAINRKANDQSTVANLQLFTTPT ENLTPMALRFLELQQAEVIAELELRAASRMQKSADSQSN H257_17807 MPFNTHATTLTNVANGSVVRFGGEDFEVVDVPGDGNCLFHSLLV PGVLPTPSQVDLRRRVMEMTMSPLWIPVVRDVLTMFYGPNFDVDTYRARLGRVGSWVG DCEMCLVAILFGVNITSFACVKDPNNVNKAVSIFSTATTLSSMNHPWASHASTELFVH FHQYGRPCTNQLWVSLNQFCVLVPNFVQSDKTAKSEDRLDALNPPTATPSFHNHQVQE PP H257_17808 MPTRYIWAVRGGSSKISSGEKHSLRMTAVLTIMYMYEESLPPNV TYVCQPLDVGVMALFKRNLRKLWHFVKKSSSGMTKIRFLSLHATSAWL H257_17809 MKILPSRAQLKERRKVIGRQKRGGWEMNNLAELVEWGQAHRCDT AEAFFDRGEGFTRRLIVLDVFEGTYADQGDDKPYVGLVLSSRQNMWNISWAHECQGSS LAISTDGTWVDFD H257_17810 MKQTDYVCPRCKACVSSKNAARHSRSSHCVTEEQAETHALALLE ARRQRDRERKAAARVLAEKYNCHHSTICRVFFSGKAAGSCYRQHESLGFEQQEGSCG H257_17811 MPPVPVLPDPTVIKMDPPLPPAASPDPKMTLPELPDVAVPLLKL NKPDTPVVPAPALLTTTSPLVVALPEPDVKLTAPPMPEVDTPPAKLNAPPVPDTDEPP TIETTPPANVVEEVEPLKVNDPPIPELAVPGTKLIDPPVATPVPPLKYTLPPTPVEAS VLAPALRDTMPPVPVLPDPTVIKMEPPLPPAAVPDPRIKLPELPTDD H257_17812 MTVGSGNTGTGGIISITAGTSTQASTGVGGSVYLSGGTGIATGG SVQVVSGTSAAGSSGSVGIQTAIAGTSGLSGAVVVSSGTSAYGSTGAVTIASGVSTAS GVGGAVSLSAGNGATGTGGAVTVAGGLSATGGSGGTVTLTSGSGSATSSGDVVVSSAG AGTTGVSGLLSFSSGAATSGSSGNVILGSGAAAGGKGGSILLTVGSGNTGTGGIVSIT AGTSTQASTGVGGSVYLSGGTGIATGGAIGIVTGSSAAGSSGALSLTSSASGTAGASG NVILSSGVSSQGSSGTVTIASGVSSAGGVGGAVAISAGDGASGVGGAVTISSGKSANN IGGDLTLSSGPGTATSSGNIILSSPNAGTTGVSGLLSFSSGTSSSGASGNLIFGSGTA TGGKGGSILMTVGSGSVGTGGIVSIAAGASTQATTGVGGSVYLSGGTGVATGGAIGLV TGSSASGNSGALSLKTSDSGTSGVSGNVAITSGTSAAGSSGTVTIASGVSSAAGTGGA VAISAGDGATGVGGAVTVTGGLSPTNYGGSLTLQSGSGTATSSGDVSVQTAAGGVSGV SGKIALSTGTTTAGSTGAIQIATGVATGGVGGAISLSVGSGTAGAGGDLTVTAGTTTS TGAVGGAISLNGGAGVGVGGSIVLTAGQSTGTIGGYISLVSGAGTSAASGAVTVASAT STTSGALTFSSGTASGGNSGAITIQSSPATGGKGGAISLTVGSANTGAGGDITITAGL TTASTMTGGKSSILGGAGIVGGDVQLTGGSGTAGAGGNLVMASGAGTSAASGSVTIST PTSASSGSLTFSSGTSTAGNSGAITIQSSAATGGTGGAIAISVGTANTGTGGAIDITA GTTTASTSTGGTLTLTGGGGTSTGGSLTLSGGAASSGTGGSVTLKAGSGTTEGSVKVV DASSNSLLLVSASTLTASSAAVDLTSTSTMALTASTTMTLTGSSTVVTGGLLHVNANN FKVTSDGTTSTFLVTAATGGVSMAGDLALTAAVASITHSGATSLTVSTPSLIVTGGTF AMAGSAGTASAGTCVQGTIMYDTSFIYICSTANAWYKATLAPI H257_17813 MASMANVIHRVDPFFLALLRTRQRRFQESVDLCTDILDQNPYDQ AVWFVKCRSLTQQTYMDDSEMEEDGAADLLLDDNVMAHMPRPGTSLSRPMTRSSNAAG DQSFRPMSSSGRPLSGFARPGTSSRPGSGMSIDQAMQGSRPGTSRPPTSLGRQVRLGT ASMQNRDDEGVFINPDRLDFKRYATRPPIAKALVDYLLYHDHNPKKALELAAEATVAA DYKDWWWKARIGKCYYQLGLLREAEKQFDSSLRNQPMVVTYLELAKVYLKLDQPNTAL ELYAKAKEAFPGDVHVLVGIARVYDMLNDSKHAVEAYKEVLVLDPANIEAIACLASNH FYTDHPEVALRYYRRLLQMDVNTTELWCNVGLCCFYASQYDLTLSCFERALALASDDN MADVWYNIGQVAVGIGDLGLAYQAFKIAVSVDSNHAESYNNLGVLELRKGNVDQARAH FQFADGLATFMFEPTYNRALLAYKIGDFQDAYAKVSKALQVYPEHADSVELKRQLEAF LTMI H257_17814 MVEATRVETKSLDEQISRLRRAENLTENEVMDLCKKAKEILSAE SNVQPVRCPVTVCGDIHGQFYDLLELFCIGGQCPDTNYLFMGDYVDRGYYSLESVSLL VALKVRHRERITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDFLPMTAL IENRVFCMHGGLSPSIDTLDHARALDRVQEVPHEGPMCDLVWSDPDDRCGWGISPRGA GYTFGQDITEQFKRSNGLTFIARAHQLVMEGYQWTHNRGVVTIFSAPNYCYRCGNQAA LMEIDEVMADRGGDKVYDTCKFIQFDPAPRDHTFNEKKRTPDYFL H257_17815 MFPQNTVERLTDRQFGHQKKKLLGQYTKLLKDMGQHGMEILSYV YDTQNNSGDWYTQGKNAAKNAKCISKFRGLDPNVQMPFHFGMQELRKPSLKQDRVVLE KVLLKQLNVTLESMSETPLLKWPRELYSSLFQPVKMKDVLLVTKATSDGSLKFLPQIT TNVK H257_17816 MTNFTRREFDVLWAVAELPHKARWNDGRGSKSKTTPMDSLFMTL TVLKHYDNWEKHALDFGFKAPTFQKLILRVVEVVMPVF H257_17817 MSELRAQGHTFGNYPYTLHATDVKFQPTERPAGRHGEAKPYFSA KHKLYGLKIEASVSPQGLLVDMSEAHRGAIADLTIMRSRMDQHVGALAKSDNELSILD HGEQVDTYRGMWVLLVDKGYYGASVDVRAIHPKKNPPRGMLDPDDVVGNRRVSSDRVV VENFFCRVCSLWKVSYATFTWSTKFYDEIQRLTFALTNFHVSLMPLREADRH H257_17818 MTIVEEFCAVSGARLNQAKCQTLVLNGHLDPADTDGGGLLNIVP TGQPVKYLGLMFGHQLPADHQLNLAHERFMASFQQWGCRARTLQGRRLLANTVMLSLL WHVTAALPVPPAMMQSWQSMLNRYILDRKTIPTDRHRPLLAARLQFDSKLGRGLPHLR PGSVHNVYTSFNVPCPWQHLTVHFGNHWYYASLRDVWRQWSATPMAQRIQLPLTPATT MQLPVWLTTYEPTMSNGKCAARIVNSPPTRRWCSHGAYNQLRCLADIVAVHGRWPTRP EFMVMMCQGNPAAPVERGNDGHMQWAPVYRSGMIYNHLTCVYARVQGLSCPPLPPQQL GTPSTARRMVLALAYHAPATEAHHPMSSTARATTAALHNYVRRVRQTCRLPPPVHGDV WLRLLFRMLPVNCRFAYLLERPDAICCAYGCGGRCYGVTFSWSTISDHDLFTVNARGH QRQDALKTLWILLIASTLHLIWTEHNKVQYEAATPLPPPAWNELSFLGWTMSVRRWLR LQDPDCPLRSSVLEVLRVQSPYRPLWTKYPYTLLLAPTSATDQRH H257_17819 MPTLPSVDPPVNTISTTSVSVSYTSEMVTTLLHLRFTVYRAPFN RHNSTKQLGVLWSKLALQFNIVFDSNPKWIRSRTRSVRCGPTTSRSRMLKRRRATRCS LKSRTTSMRCYSRFRT H257_17820 MAVVFGISKTRAYEYYSEVILVLQLCFLAEVVHMPRTQADWDEV RMGFEGHGFPIVYGTLDGSLIAVTRFADFTGWYCRKGFTAFNMQAVVDHRLRFMSYSL RSGSQNDNALFNNSKFGQTCHRMVPRGGWFLGDAGYKLYAHIMTPYPINDDMTNDEVN YNLLDSRTRMAVERAFGRWKNLFRVFKTDLLHHHPNDMARMIEATESVWGLVGTFGDE H257_17821 MRLTTALFALAALVGTASSRFLLVGSQTSGATTNDPVGISIYRT DAAGGLTKIGQETGSTTGGEPTYFALSNDQKYLYVTNELGNGRLTAFRVRRDNNDGGV NCEDDSNNEDDNKDNLSLDLLGSTATNTKGPVHVVLTNDNKYAIVASYSDGSVVVFKL NQNSTVGEIVDKVQFKGGAASYGTQSGPHAHCVALSKDNSYLYVTDLGNDKIMQFKLN KGKLTANGFVDTGRGTLPRHLAVHPNGDVIFLITERTNELVRYEVQSNGQLYKPEAVK TSEKKGKQYGAAVHVTKDGKFVLVSNRGLGNSENSENNIVVFDAATLERVSTGLLPVG AYPRDFTISDDNIVYVGNQHANKIVSFRLLENGALVSTGTNFAVPKPVVLLTL H257_17822 MKDGNDMPPVPHLPDDTEFLKRGGPRWPDQLQPRSLWHHLQQLG CIKIMTYTRRCCGCCRVITPAAGKVKRHVTYDFADSWSDNAVTPERLVVGRDGGTCHH YHHDHHYCPLDHVHCPPITTSRSPVTACPLRDLRHPVRHVDLITTRVTVGPVTTTTKA PTGHQRQA H257_17823 MADPSKKRVVCPVVDCEDKFVADTNKKSHYTNVHFTKNPSIPVP SEHLFVDHALRCATEQEAMESQNEEVAPPVATKSLTSKQKAAKRKVAAAAKRSSKK H257_17824 MRLTTALFALAALVGTASSRFLLVGSQTGAATTNDPVGISIYRT NAKGGLTKIGQETNATTGNQPTYFALSKGHKFLYVTNEVEKGTLTAFRVRRNNHDDDD NCEDDSDDEDDDKEETSNEDTLSLDFLGATATNTKGPVHLVLTNDNKYAIVASYDDGS VVVFKLNQNGTVGEIVDKVQFTGGAGVVPGRQEGPHAHCVALSKCNSYLYVTDLGNDK VMQFKLNKGKLTANGFVATGPGTLPRHLAVHPNSRDIFLTTEFTNELVRHEVQPDGQL VKKAVVKTSALTGDQYSAAVHVTKDGKFVLVSNRGLGANENNIVVFDAATLERVSTGP LRAGAFPRDFTISDDNIVYVGNQLTSDLVSFRLLKNGTLVPTGTNVAVPRPVVLLTL H257_17825 MVEIWFVRHGQTDWNIEHRLQGHQDIPLNATGLSQAAAAASYLM DIHRTTPFDAVVTSDLSRAYSTAGAIASALCLPLRLNAGLREHNLGRLQGFTSREMSP SQHHDYELLRTDAEFNGHGGESSAEMAARVQTTLKSLQQQHGGQRLVVVTHGGFLFHS FRWIHAMAVDRSRDDERTPNACICIIQATDNSPSWRVVLWGSTQHLTTQE H257_17826 GNPEAVHRLGEMHFFGDAQAGLPANPAEALRHFEEAAANGVAHS LANLGLMYANGMGVEANPERAVQYFQQAADQGNAFAVNGLGYMYWTGQGVTKNTTKAV AYFQNAADLGFPDAHQYLGAAYLDGTGVNQNNSLAFYHFSIAANESDSRQAYFNLGIM HYKGLGTPRSCPLAVQAFRQVAWRAGHFDDALLSPELGHEAYTRRDYPRALLHYSIWA LVGVPQAACNAGFLLDHVHTQPFDTTPSLQLAKSLYESAKADPEALRKLGHCHRDGWA HACTTNATAALEYYAQAGTLHDSEALYSAGMLYTTRGDWDKAHQAWNVCRSHEFPTNI PCILPALALDMWTGLAWMWTSLHDAIVVYSI H257_17827 MGRLVVALLVGLTVLWAPRTNAADVCTDPRQTCDLNTACALDAA QLPTGMKSFCALSTTVSPCKQCTGSGQNCFNAPECQDSMFLCNYLTAAQKAALAPAHP CLNVPCQLNTNSPSCTNSVYQYCCVPGSPNCTNAAPTPCTPSGCSSFMTGTSATVEYT KVACPFGDSAFTCTHPECNNTYIYQDIVNTFAANQ H257_17828 MTTPCSATAFSPLSLNASQALASDNYAAIYCAAIAANATLRDPG CDKYVNQTLGFNIPTVTNACDYSIKVVAVNLPQLNSLKFAIFTCRFADPMGARASPTP QYHFTNPVDAMSPSTKAQLSTESSIALGALYDNHTSGLYSLRDLQLSDFFPFVDSDLI VNGGPVSLPNQLGRLVVTQDGSIDAPDDCRSRNFTESLVTLPPSRNPLQWALKATLMR LKYLAINNDDPSISDFATIAPDDLIVDSAVPLDGVLQFAYVVGQSYKDSEGVVTDVPA VSCSPAGSSQTCLVVEDAIKTGGVRIHIQDQLFDNSCSDTTCPYTVSKCKLVPISPKP VALTGPAIRATSSNIVADIQHLSDTASPDETTQAALQVAMAASSEVFSDTVSLFWGVV TTGTKPKMMKNVPNYCGVKRVFNSTINPLWLSNPCCNSDLAEFMCCLPQDVPHGTINV ITGLNKEVVDANCPLNADLMFSFLNGAYVGLTSAQDAVNALDMAHSTDAQSIVDSLQR LCDAAIMNATSQTCQTDDDCAVCSQSQCQIDPITLTGTCTVPYDNLVGCTIECIRDSM DPLMLRYLKHDWNLTSANSDQDFAMAFVSVATDVGCAGPLAKSDDIGTTKPMLVCNAT CQISHMCDDTEYQFYLRRNLHNPRLDFSVASTCTNNGGRSVCATYLPNGNCQSYQCTF DTIQTDCKAEAHCISQCQLPLTAGGCTIVNGNWYTDVSGTGRCCPPDANFHAATLTTL AVCTYQQPNAPVLAYQVHDPLCCAANNGTWFMVDDSTGSCCFGRIITIQNKGVSQLAC QTSVNGWDVATCMSSCARFHTSCNACKRQSAAASVDGGACCAMQTVVANQTACLSKTS CNNKQVANNHCNDPTPFCAKCSGKDCVSVTKPPTCLIEVQKPSDCPAAGGTWNPSLKQ CMSGKTTITASDCFIRPDLCPSVTNFTSFYTVVPLYPRRTTRCLFGCYLPSLSKTSCL ANTKYTWDGTHGNGSGICVGRRNAIKTLASCTTEGGVFLNATKSYFPGAFATQDQCDQ GKCIGSPSNDGWSSSQCLNLTFGTCSVPCSACTSQSLPFSQQDQGGCVSSDVTYCTSI NATATTPCVVSDATTAVACAALSSTEWVSCSQFLTPSTCGNVTDVVASLLTCGWTTQN CPTHAKCSAQGSCNDIDNMRMQCLDLDWPFGDTCVGTIQLNVTNSKGISLTRNQVKQC QVCHRINGVCVQAVQANRLCPVELSHPMGCRVSGVWDTAVCGVLGGTWYTRSTTEAEC SSYKQCRERGVDRVSKKNATECTKCKGVMAPLFKWTPGRWSGPSVESYTWNADGTHLT PVNQWKTSISQPKLAKALVRPLARRLANVQSQKALLMYNAMTDVLATLACACGADAST SCFQPPTNGSKVAETTVYCGLDAAVDTGFGSASVSTNCSAPLQRRRLATGDGTSDTTT LAWFAFPLATFTMFSLSPQCNSSELSPLVVQSPQRVTYGQLLGPGQGFTVTSGQSTSA TLCLSLSPNVHSWDALFDTVDVATYASGMFTPLNLLTFLPSPENQLCIAAAPNTTYFP IKRANISGLDTLVCSPSCSNDASICVFNTTAQATQCLCRCGSSGATCATLACPSNCSN AGVCNLGGTCTCNAGADGPACDQVITLPPSSTSPTRTSTSGSSTPSDTPTTSTSTASS PTPTPANTSPTSTVDTSAPSNATTTTSPPVATTDVPVTTRTTTPPPTTTKSDAPRPHT SVNVGSLVIVAILICILT H257_17829 MCSFNSVALPDCLALASAEDLTIGTIDNIQKLHVQTVPLNEWPR RLAHDPTSHTLAVCTVKYSMDAAAASDATSSVDEMEVSFVRLVDDQSFDTLFSYRLDP FESACSIVHLTFDGHPYYVVGTAYVHEEENEPHQGRILVLSVVQAKLVLVAEKEVKGA VYCLNAFQGKLLAGINSKTTLFKWSDNAEDADKELVAECGHHGHTLVLYMESRGDFIA IGDLMKSISLLQYKALDGSLEEVAKDLNSNWMAAVDILDDDHFIGSETDFNLFCVERQ SGATTDEERSRLDCVSEYHVGEFVNRFRHGSLVMQEQGQATTRTPLLFGTVSGMIGCI LPLDNAQYGFLRRVESALNQVIKGVGGLLHKEWRMYESKRTVNEARGFVDGDLIEGFL DLTKPHQQKAVDLLNVDGAMDGRPPVTVDELTSIVEALAQRH H257_17830 MAAAAPPMDTTNVLGRSPQASIPKMLLNLDEEEVKEADEAHDSS PPAGTMPSLLLPSNRLTPDDPAQRIPHNEEESRNRKQDAPSSPPSESHEPVDEDGPSS YLRKSIDVEDIDVPISNDDLMRSLDAELDKRRPDTYIITQLCRDLGEIPTQWRARVWK ELLCPGGIKAELPVVSPTEQDDPNQRVIRADAPRTRAKDFPADSREAVERTLVHMLTY YCKCKNIRYKQGMNEVLAPFLLLRGISSMSSSSSGWTDAVVYQCFYSFIDKFLTNVFS DREFRSLQCSMRLLRLLLQYHDPVLCAHLDQHDMTPELYVTSWFMTFFTRHESPDLVF ALWDTVLLADDPILLHFFALALLEDSRDRVMQADVAEMPQVLARLTFTSVAHVHKLTA VAQDRLANTPASFRKDVLLVCYRPLTDRSLPALRHMGAAPCLSLHAQEVAAHMVSKIQ TNKTSPNGFTLLVLDCRPFAAFQEFHFTLSYHIDPDVLASPEAFNVLLDGFGRMKDCH FCFLGDQASPQRGSPDDPPTSSNSSSPPPATPPATSSSNDRSTESDMHVTRFVLLFLQ HGFPHVSRVQGGVDALRAEVAAHADAELVDQLTVGEWSGPDDPYSLKSKAKKLLLGKL PTLTQRFRGAMKPFAKKAPSLLEGDTTQGPLVDEDEWVEVVVRSKEVLKRTSSSSSSP SIAPTKQVLFGSGKLGILFKGIDKSPITVDSVVPKGQADLTNQIERGDVLVAVAGQSV HGMKFHHVMDMLHAAARPMTLEFSHPSSRLLDVLDALSVSPHAPTMLRNGPYSLSLLW DTVPGATRYQLQFAMQSEHRFHPWATVAVKNRSGVGLLDHIAAPAVSAGTLVGLEPNE KYLVRLRCGTDSTWGVYSEASAVLSTLPLDNTPKLASPRATTTCVTSSVVFLAGECPD VVELGLFYYRVLIGLRARSGPSYEAPTVDVALDKGSLIKCEEKVTRGPFVFVRLQDTD LWAFETTVDNAAVLERLAFEDKQINNHVVPQSNKPASLPSVQPLSQQRMLMPPTGLAV QAPTTSSVVVSWEGLLDPLVVKYQVQYSKNSIFGAMWVSKDVSSQLNSCVLTQLSPGT PYVVRIRAGFETSWGPFSPKSAPVKTIEDDHPPAKSTTSGPPPTFFLNSFVERAAETA AVAARSVSARLTRTASQDLMDDTSNEMVMDDLPSLVVNVEEMKATASTEFRWFAAQKV SGDLEWTCDVVVSHGYVMAICPEVDRPGWGRIEDKRQLKLLSKITSKRGLQTSVLFHF KKQEGSDEDAETLEFLIHDRQACLQLVKERFLAITAKPKA H257_17830 MAAAAPPMDTTNVLGRSPQASIPKMLLNLDEEEVKEADEAHDSS PPAGTMPSLLLPSNRLTPDDPAQRIPHNEEESRNRKQDAPSSPPSESHEPVDEDGPSS YLRKSIDVEDIDVPISNDDLMRSLDAELDKRRPDTYIITQLCRDLGEIPTQWRARVWK ELLCPGGIKAELPVVSPTEQDDPNQRVIRADAPRTRAKDFPADSREAVERTLVHMLTY YCKCKNIRYKQGMNEVLAPFLLLRGISSMSSSSSGWTDAVVYQCFYSFIDKFLTNVFS DREFRSLQCSMRLLRLLLQYHDPVLCAHLDQHDMTPELYVTSWFMTFFTRHESPDLVF ALWDTVLLADDPILLHFFALALLEDSRDRVMQADVAEMPQVLARLTFTSVAHVHKLTA VAQDRLANTPASFRKDVLLVCYRPLTDRSLPALRHMGAAPCLSLHAQEVAAHMVSKIQ TNKTSPNGFTLLVLDCRPFAAFQEFHFTLSYHIDPDVLASPEAFNVLLDGFGRMKDCH FCFLGDQASPQRGSPDDPPTSSNSSSPPPATPPATSSSNDRSTESDMHVTRFVLLFLQ HGFPHVSRVQGGVDALRAEVAAHADAELVDQLTVGEWSGPDDPYSLKSKAKKLLLGKL PTLTQRFRGAMKPFAKKAPSLLEGDTTQGPLVDEDEWVEVVVRSKEVLKRTSSSSSSP SIAPTKQVLFGSGKLGILFKGIDKSPITVDSVVPKGQADLTNQIERGDVLVAVAGQSV HGMKFHHVMDMLHAAARPMTLEFSHPSSRLLDVLDALSVSPHAPTMLRNGPYSLSLLW DTVPGATRYQLQFAMQSEHRFHPWATVAVKNRSGVGLLDHIAAPAVSAGTLVGLEPNE KYLVRLRCGTDSTWGVYSEASAVLSTLPLDNTPKLASPRATTTCVTSSVVFLAGECPD VVELGLFYYRVLIGLRARSGPSYEAPTVDVALDKGSLIKCEEKVTRGPFVFVRLQDTD LWAFETTVDNAAVLERLAFEDKQINNHVVPQSNKPASLPSVQPLSQQRMLMPPTGLAV QAPTTSSVVVSWEGLLDPLVVKYQVQYSKNSIFGAMWVSKDVSSQLNSCVLTQLSPGT PYVVRIRAGFETSWGPFSPKSAPVKTIEDDHPPAKSTTSGPPPTFFLNSFVERAAETA AVAARSVSARLTRTASQDLMDDTSNEMVMDDLPSLVVNVEEMKATASTEFRWFAAQKV SGDLEWTCDVVVSHGYVMAICPEVDRPGWGRIEDKRQLKLLSKITSKRGLQTSVLFHF KVGFV H257_17830 MAAAAPPMDTTNVLGRSPQASIPKMLLNLDEEEVKEADEAHDSS PPAGTMPSLLLPSNRLTPDDPAQRIPHNEEESRNRKQDAPSSPPSESHEPVDEDGPSS YLRKSIDVEDIDVPISNDDLMRSLDAELDKRRPDTYIITQLCRDLGEIPTQWRARVWK ELLCPGGIKAELPVVSPTEQDDPNQRVIRADAPRTRAKDFPADSREAVERTLVHMLTY YCKCKNIRYKQGMNEVLAPFLLLRGISSMSSSSSGWTDAVVYQCFYSFIDKFLTNVFS DREFRSLQCSMRLLRLLLQYHDPVLCAHLDQHDMTPELYVTSWFMTFFTRHESPDLVF ALWDTVLLADDPILLHFFALALLEDSRDRVMQADVAEMPQVLARLTFTSVAHVHKLTA VAQDRLANTPASFRKDVLLVCYRPLTDRSLPALRHMGAAPCLSLHAQEVAAHMVSKIQ TNKTSPNGFTLLVLDCRPFAAFQEFHFTLSYHIDPDVLASPEAFNVLLDGFGRMKDCH FCFLGDQASPQRGSPDDPPTSSNSSSPPPATPPATSSSNDRSTESDMHVTRFVLLFLQ HGFPHVSRVQGGVDALRAEVAAHADAELVDQLTVGEWSGPDDPYSLKSKAKKLLLGKL PTLTQRFRGAMKPFAKKAPSLLEGDTTQGPLVDEDEWVEVVVRSKEVLKRTSSSSSSP SIAPTKQVLFGSGKLGILFKGIDKSPITVDSVVPKGQADLTNQIERGDVLVAVAGQSV HGMKFHHVMDMLHAAARPMTLEFSHPSSRLLDVLDALSVSPHAPTMLRNGPYSLSLLW DTVPGATRYQLQFAMQSEHRFHPWATVAVKNRSGVGLLDHIAAPAVSAGTLVGLEPNE KYLVRLRCGTDSTWGVYSEASAVLSTLPLDNTPKLASPRATTTCVTSSVVFLAGECPD VVELGLFYYRVLIGLRARSGPSYEAPTVDVALDKGSLIKCEEKVTRGPFVFVRLQDTD LWAFETTVDNAAVLERLAFEDKQINNHVVPQSNKPASLPSVQPLSQQRMLMPPTGLAV QAPTTSSVVVSWEGLLDPLVVKYQVQYSKNSIFGAMWVSKDVSSQLNSCVLTQLSPGT PYVVRIRAGFETSWGPFSPKSAPVKTIEDDHPPAKSTTSGPPPTFFLNSFVERAAETA AVAARSVSARLTRTASQDLMDDTSNEMVMDDLPSLVVNVEEMKATASTEFRWFAAQKV SGDLEWTCDVVVSHGK H257_17833 MDDGRASWDDEKDFTWMKEMIHQVHVLGKHANSGFKREAWHAAT TKLNSDRCQLHERTSQVEKRRDEEAIRAGFEAATWPVHFLGWVVGARE H257_17834 MTSNTGETNANSTDAALTTVDNTLARRRYFREKQRNYRRKVNVD VAIVEAELAHLQSIRDILQASMPPSIAPREASDGLLSWYSIATVLKREVRRVLMDRQP LIRQTQRYQYLTKAMQRFVMMSIPSPMSRSNTWQNATLAAEPSARYLGKEWLMQQMYH NTRQALALLPAMTCDDEFFQFDLQVSDQHDDLFMERIQCIFPGTLASFRRFVKSNRMR DMLFKGPQDVIEERTSNTRLFHSITTRGAFANILQGHFFEADRFIMVMRQVDDDEMHA CDPMLRQWHHRSWIEVRQVSPTHILMREVSHFSNAFRAHDGFLSMAELAVLEGIDVTG IDDDDKDAYVRREFVRRGNDALVSWRQWFTGLLQAWLQ H257_17834 MTSNTGETNANSTDAALTTVDNTLARRRYFREKQRNYRRKVNVD VAIVEAELAHLQSIRDILQASMPPSIAPREASDGLLSWYSIATVLKREVRRVLMDRQP LIRQTQRYQYLTKAMQRFVMMSIPSPMSRSNTWQNATLAAEPSARYLGKEWLMQQMYH NTRQALALLPAMTCDDEFFQFDLQVSDQHDDLFMERIQCIFPGTLASFRRFVKSNRMR DMLFKGPQDVIEERTSNTRLFHSITTRGAFANILQGHFFEADRFIMVMRQVDDDEMHA CDPMLRQWHHRSW H257_17834 MTSNTGETNANSTDAALTTVDNTLARRRYFREKQRNYRRKVNVD VAIVEAELAHLQSIRDILQASMPPSIAPREASDGLLSWYSIATVLKREVRRVLMDRQP LIRQTQRYQYLTKAMQRFVMMSIPSPMSRSNTWQNATLAAEPSARYLGKEWLMQQMYH NTRQALALLPAMTCDDEFFQFDLQVSDQHDDLFMERIQCIFPGTLASFRRFVKSNRMR DMLFKGPQDASDTVYVSCRTDFLLGDRRAHIQHAIVSLNYDPRRVREHTPRALLRSRP VHNGHAASRR H257_17834 MTSNTGETNANSTDAALTTVDNTLARRRYFREKQRNYRRKVNVD VAIVEAELAHLQSIRDILQASMPPSIAPREASDGLLSWYSIATVLKREVRRVLMDRQP LIRQTQRYQYLTKAMQRFVMMSIPSPMSRSNTWQNATLAAEPSARYLGKEWLMQQMYH NTRQALALLPAMTCDDEFFQFDLQVSDQHDDLFMERIQCIFPGTLASFRRFVKSNRMR DMLFKGPQDASDTVYVSCRTDFLLGDRRAHIQHAIVSLNYDPRRVREHTPRALLRSRP VHNGHAASRR H257_17835 MLDKSEPPKLVPRFISPFVILQAINDNAMRLKLPRSMSRVHDVF NVDRLKHYHPNEAKFASRPIPKATPVILDESTGEQMYIVEKLLKKRQFNRKLEYLVKW HGQPESEATWELMKDIKHVVHFKQLVQDLELSATEAIDQGEWIEIVYKLSVYLRAQSN LILCMGVTCPKKTNRWLHLGMVVDFILKYNSRITSYIADRPNNAARPPMPTPTFWVIT AAVNPAIARMNRTFVELQERSLIISQQRGFLDSMLTDLVVLFSIKDARTNAAGMDQLR ADEFYKEEEWWVDSSSLIEFIEDLGMHANDNWGLLEDDGDGTAGSKAHVLRVLCEYAV KLIIRLQKMQAECDEINHAAVLEAPACMPVDLAKMRPKLLRDAILMPRLARVRLFFSN FHHRDLVKAYKDEPGIKWPSSMHTTTKRISTTAGILSAGRASHSCAASVRD H257_17836 MLFKGPQDASDTVIEERTSNTRLFHSITTGGAFVNSLQGHFFEA DRFIMVMRQVDDDEMHACGPMLRQRHYRSWIEVRPVSPTHILMCHVSHLSHEFRAHDG FLSMAELAVLVGIDVTGIDDDDKDAYVRREFVRRGNDDLVPWRQYLTGLLQASLQQDT TRI H257_17837 MEGRGRRSTTSPKDALFMALTVLKHFLTWEKHAADFGYKAPTFE KLIMRVLHAFQPVLYGELIRVPSMSDMSNSDRRFDHFPYALYTVDVKFQPAQRPTGRF AEQKHFFSGKHHLYDYKIEASVSPEVRCVPGSVHDQTILHTRTAIHATNLLKSAGEQD VPDYGELSTQYRGSWACLVDMGYIGIAHSLRGIHPKRRPVHGVLDAHDMDRNHDISSD RVVVENFFGRVCSL H257_17838 MAAALVEYIHEAPDRNEAPHLVRICCSTGCSLQEGQDAMAQILT QDKDVHLLQLQIHRHDKEHTVYSAVLKLSIIQGPDEEAIDAIFWWRRRQSRTRDQSTS SSTKNQVQASPAGEPPTDSKPVTITATTSRETTVVLLALREVDPEETALADDRKYALK FDSVDRTIPLEHFLAKFNIIQKKYELNDTQVVRIFDDRLTSCGVLSFQDWWARRCCDS EPVSWVEAREAFQKDFIQKTLSRKMVVITDNPHRKSTETVREYARRIADASWDAGLQA NRAVVMIINGCSDAEVAACLRGASVRPETIEISLDYLIERDVDIDRRTDGSRSAPQMT PVAPSTPTRSARNTSRTQSSSTPNGNLQELQSSISRLQREMTSLTTSNNDQFSSIQDV VAMISTDNPAGNNPGCPLNASKQSTMPAHVMPALQSCGRNHAAGCGVALAARMQMTSE DDNKQRLKHDGRYLPGVVRDAATIEPRTAPGLGDDTKNDRASQPPQTKGVPSSAYKDM QQEGGIQERSEDKLQTEATMLPPFDERHGPVRDRAPDLRDHGRILYTGPSAGDDSRRK TDGSEDKEEKGMCSEPCDKKKGMHVEPSNEESSCRQTKPNTRDASASPDGDSLAHQGA ASVQSRTQPRVRGTLATFPEETSDAREPGAPSRDSRTRASPTTKGRNHADIARVVTTA LKRSLTEADNILFDSPVDIDDPERWLDWFSTTLNTCEVARTANRNFEQERVAMVADTR PPGDRLGIPGGYRTPRPLCRRSDSPKEKGLNGGSDPTALTHLEGLDSGSAVIDPGIPH SCTKPRLRTGDTTRGSGRTLHGLDESGFTALLHSRTRDPYPLCGVMTESLAPPVLATI DGHSTQALVDTGASVTVISQEFWMLLGRTPSYRLVSAANAGISILGFRHCSVTLTGIS TTFSVWVLEASVTLCILGVNLLRKLHSLVDLGRDQVCSAVAHASPLEETPRIVKKASH HKRLRVCDDSDDDDEEAEFMPTSKPVQQPVTTSLETVSHLAPPAPVLSSQPNEVANKD KPIHRPPPQKQQKEVSTTRINKQGYMVTETTYEDMEDDDDLPPTSPQAGPSSGKLPAP PPKKKRVVKASGSAKQSNLMDFFEKK H257_17839 MELECDDRAKRGTSRAERLAMLEFLRIPHNFALMTGQASKGKSA KGGQRLTKAHGHALMAEYVNMIVRDSTRTWTTQDAKSRYDAYVSSYKKALRWCGPNNS GRGLTQKDYKRSRT H257_17840 MPLDPMALEVQEFLATVAAWKTQQEKRRRKRDLLTCNTSYDPPP LVPRVCFTNSTKSLPEEFDLLEGGEQLGFWRKSDLSDRARSDQAFLDAAIMNVPARIV ADTGANLSVIHRRMAERLNLKGDTSKRIGINGLGPNSVSTFGMVTIKLTIARSIVFIF SLAVCDIGPVEFEMILGMDFMSKAGFVIDTGNREIQLPDGEYVPLLTEGIKYETSFLS YVKLRYTMELGAGEFMTMDLPKLNDAPVSGVEYWVDRSARWVPSMCADSHGVPCAYKV TNISNKLLTLSAGTIIGAVAQVGVRPLNSRMVRTTSNRYKEWQVDVWEGSVSCKIQRM LNQMRHFNQAYEPPSPLPPLSCTLHIRDLRASYEGEIKKAQLRFHR H257_17841 MEGAAEDREIEITLKEELASEQAAKQARLKEEMEQARLSALSHG YNVVSNRRQTQILTESFKNREKLYAGMDFRTASWNPTVPRIDQVGIRFATSVLTQPEA KSSKGSTTSSSRPKDEKGWKPEFGTWSDGIKRASLDAIAPLPVDPYKEMTLDECRICL SWLENVFRMLNVKIREAEDDEVYTWYLESYLVVAGSREYLALNQKLVKMISLLHYVVQ YSQSCFRESRRQEAALWIHQNTDNTDPSAPPLEVRSMSSHSHATDTVNDSRSPSLPSY FKKPELEGSFTQRTSVSNPRVASRGMVYHDLAEQPADVAPSIKNESVTPSRRSSAQAS IGTRDTMQSADPVLPQTTVGMVTSMLEQKLDQKMEGIRATLEALMASLPTTALPRPST SQSQHRSRVSGNPRSPGDPGLAGGAGNIGPNLTVGNLDGSRVSSISHSNSANLSTASN VKTYTLEELRDIFNQFGLSTGQQHLPQKKASSWITAKPQSDHCHGSTHKRWKHYEPSD NFSGDPDPPGNPRNPGRHGEGGNPGYGGDDEDLWDNLPSMGHQGAMHVLSMDSLPVFS GSEDNREASVDGTRKDSLVLFVPEQDCASLFQQLQPHYKQSWKDIKRKFAKE H257_17842 MPLLPWQSRFHEKSSECLPLETSGSMASRTNTMLLPFPLN H257_17843 MQNQKKQRKMVAGMTADNASANGLLPRECMHIVASYLDHSALHR MELTCRSFYECAIDGAYWRKSALRDAVVLPSKNELGWKALACACARIDARESVGLLHA VVAVSSVDRPDAESPENTLRISRCFRRMRVLNDGVPRQALLNYTLQTLICGCSRGECY WSSGPSSSQMQDDYIDYAVLDQCIVRAMEQVPYKAFWQMGEPGYAPLKVSIALLAKSE TSEERCIVYSSPPFDVANRMEVCTYKRGRPYRRLTSSRRRFWRSLALSWTLGALHAHE YFIICQTKSNLIHVVGIYIYLLVI H257_17843 MQNQKKQRKMVAGMTADNASANGLLPRECMHIVASYLDHSALHR MELTCRSFYECAIDGAYWRKSALRDAVVLPSKNELGWKALACACARIDARESVGLLHA VVAVSSVDRPDAESPENTLRISRCFRRMRVLNDGVPRQALLNYTLQTYPVLIVSYLNA CS H257_17843 MYLTLVISTAYWRKSALRDAVVLPSKNELGWKALACACARIDAR ESVGLLHAVVAVSSVDRPDAESPENTLRISRCFRRMRVLNDGVPRQALLNYTLQTLIC GCSRGECYWSSGPSSSQMQDDYIDYAVLDQCIVRAMEQVPYKAFWQMGEPGYAPLKVS IALLAKSETSEERCIVYSSPPFDVANRMEVCTYKRGRPYRRLTSSRRRFWRSLALSWT LGALHAHEYFIICQTKSNLIHVVGIYIYLLVI H257_17844 MIMADNTVLGRLDHWATVQPKKNLFAFVDDNGQVTDSVTYDDVQ SRSSNLAKWMLTSPSVSSKGLGLSKGDIVLLVYPPGLDFIVAFLACLRAGVVAVPVYP PDPRKLRKDIAMFTTVCSNAGAKVALTNSTYNYAKKVVAIQQKVTFSEKFPWPDLRWV ESDGLVLTSPPPSPSSTPWLLVPPSLDDLAFLQYTSGSTSEPKGVMISHGNLGHNLSM IASALEATDDSVVVSWLPQYHDMGLIGAYLGVLFTGGHGVYMSPFSFIKNPLVWIRLI SQYRATHLQAPNFAYALCARKFATANPSQTSTSITTSNTTSSCKLDLSSVRHMINGAE PIDGDAIDAFYRAFEPYGLCRGVVRPTYGLAEHTVYVCDSSPQLLQVQVDKEALEHHD VFQPVADKARATKEMVGCGAPRSDVDVRIVHPDTHKELAAGSVGEIWIRSPSTTQGYF GLNDLTREMFHATIVSNEPQHYMRTGDLGVLYQGELFVCGRLKDLIIVRGRNHYPQDI EKTVEGFPDIRPGCSAAFSISTNGIHEGLGVVAEVRDANVPRAALEALAASVRQAVSV EHGVSVTALALVSTHSIPKTTSGKISRKRSKEAFVGQTLTELYRIFKPAANDDDNNEP EDKVPSTIQPAFASVPPSEVKAFLRREMAQMLDVPPDTITDTTPLQQIGMDSMGLTQL QGIVHNQYGVQVPDQVLYGEHTTLSHVYDALATASHPQPPNEGGDNQTSFLQEPRPAP PVTPKQSRICCGCIAIR H257_17844 MIMADNTVLGRLDHWATVQPKKNLFAFVDDNGQVTDSVTYDDVQ SRSSNLAKWMLTSPSVSSKGLGLSKGDIVLLVYPPGLDFIVAFLACLRAGVVAVPVYP PDPRKLRKDIAMFTTVCSNAGAKVALTNSTYNYAKKVVAIQQKVTFSEKFPWPDLRWV ESDGLVLTSPPPSPSSTPWLLVPPSLDDLAFLQYTSGSTSEPKGVMISHGNLGHNLSM IASALEATDDSVVVSWLPQYHDMGLIGAYLGVLFTGGHGVYMSPFSFIKNPLVWIRLI SQYRATHLQAPNFAYALCARKFATANPSQTSTSITTSNTTSSCKLDLSSVRHMINGAE PIDGDAIDAFYRAFEPYGLCRGVVRPTYGLAEHTVYVCDSSPQLLQVQVDKEALEHHD VFQPVADKARATKEMVGCGAPRSDVDVRIVHPDTHKELAAGSVGEIWIRSPSTTQGYF GLNDLTREMFHATIVSNEPQHYMRTGDLGVLYQGELFVCGRLKDLIIVRGRNHYPQDI EKTVEGFPDIRPGCSAAFSISTNGIHEGLGVVAEVRDANVPRAALEALAASVRQAVSV EHGVSVTALALVSTHSIPKTTSGKISRKRSKEAFVGQTLTELYRIFKPAANDDDNNEP EDKVPSTIQPAFASVPPSEVKAFLRREMAQMLDVPPDTITDTTPLQQVRQRYCPFTSQ VWTFALIFANSIDRYSLF H257_17845 MSTQFLKAAGSGDVDRAKELIQHIHFQNKKGESGLHVAIAEGEL GMVEFLCNAGANVNLQDKKGGNTTIMLTLAQQPPQYLAILEILLKHQPDLTLKDSTGQ TALHLAAQYECVDGVKLLLASKSNLDIPDAKNMTPLLVAVGKRNVGIVDLLLTRSHSI HAVDIKGNSALHWGVASLDMTSFLVSKGAKASVNVLGNSPLHAEALRSDATAAWPTDV AQVLLTSFPEIATLKNAKGKTADQLVGGVEETVTPAPSSAKAKTSAGGGRGRKQHVNN DDDGPSAIAIQNAKAAMMARVKERAQKRRSLIPEEDTDLVEPIKPQDALSPPVANTTS SWTMALIVAVVIAFFAAVYAMLEASAAK H257_17845 MSTQFLKAAGSGDVDRAKELIQHIHFQNKKGESGLHVAIAEGEL GMVEFLCNAGANVNLQDKKGGNTTIMLTLAQQPPQYLAILEILLKHQPDLTLKDSTGQ TALHLAAQYECVDGVKLLLASKSNLDIPDAKNMTPLLVAVGKRNVGIVDLLLTRSHSI HAVDIKGNSALHWGVASLDMTSFLVSKGAKASVNVLGNSPLHAEALRSDATAAWPTDV AQVLLTSFPEIATLKNAKGKTADQLVGGVEETVTPAPSSAKAKTSAGGGRGRKQHVNN DDDGPSAIAIQNAKAGVCCRSLLCGTDCDVGS H257_17846 MTKQVNARSGDEMRSTGDMAYLADGMDVANLPLDSVFLTPDKKT RILDILENIQQEYRVQAQKVEWQRQLLEAKQRLIDKYEAMGAQGTTVAAQHDTSLTLE IAKSYTSEDGITESHDSPTMTNVTELSSTHCMESSDAAATSTRAGLPSPSMTLVKHIQ QLLVRFPQDDAFRHHTEQLCVVVSKVPVLRRALRTLHDQAQWNAAVVDELRHEHDTNL VHLHHKRQFQRVLQADMVRLRTQCSDLHATMAAERRAASDLQVECSNLQEDYMELDQE NHLLRGIVAQLEKNHANLRRKCQQVAAINQQTNERLGMQHEDLANQRHRAQDTIRTLQ TSVESMAANPLWLEFLRDRHMYIDLQGEMEGHVETLQSQLQQLTRERSRLERQHADQQ LTIEELKRVLMAQETKWTDQSSRLMQLVDSQEEALAELRQSAAAMQKQHVDMERKSHD MEQLVASLQASNDSLVTMVADHVVQLKRAKKDLHRRFLECESLKVQRGALQVERCELS DRCEAHANSMQEQVERWHKTSVELEQRHISLATQLQLSQDEVHVLRQHALPDLSPLFT AQSTPSAPLTLDDSVLQKIQQVLDCRIQDYQRDLDKYPSNAALKHFLSVESVFLAALR GRLLLLA H257_17847 MADDLDDGTSPLSARPAHRLEPPLTAKNYLLHKLYLRQEYAECL KLIEDMLKEHQGLCEYPIYVKALIMQHSGRIQESLQLFQAATCLNPDNKDNLKQVGRS LYLLGKHKAAIEVYEETKKLAGPEDWEIHHNIGLCHMYLKSYDLAIESFQSANNIHRH DTTFLQLGKVHTLREDFKAAISVYLEALEFSPENPELLTTVGLLYLRIGENFRAFDYL GNSMTHDPRNAKTILAAGSIIQDHGDMDVALVKYRVAAAQTPNSAQLWNNIGMCFFGK QRFVAAVASLKRALYLDPFEWITSYNLGLVHLNTGQYASAFHYFSAAINLKPDFASSY MYLAITLGRLDDFENACSAYEKAIEMDADHLFHLNYAVTLLNNDEIERAKVQFDKFDA IFNTLDEATQSSDPEVMAQRQALLEALHEN H257_17848 MQNQKKQRKMVAGMTADNASANGLLPRECMHIVASYLDHSALHR MELTCRSFYECAIDGAYWRMSALRDAVVLPSKNELGWKALACACARIDARESVGLLHA VVAVSSVDRPDAESPENTLRISRCFRRMRVLNDGVPRQALLNYTLQTLICGCSRGECY WSSGPSSSQMQDDYIDYAVLDQCIVRAMELVPYKAFWQMGEPGYAPLKVSIALLAKSE TSEERCIVYSSPPFDVANRMEMQTFQLPRQIFVPADAHVRITFHGKHQFEYDSTENPR RYFCCISQMGVTGVGFHTK H257_17848 MYLTLVISTAYWRMSALRDAVVLPSKNELGWKALACACARIDAR ESVGLLHAVVAVSSVDRPDAESPENTLRISRCFRRMRVLNDGVPRQALLNYTLQTLIC GCSRGECYWSSGPSSSQMQDDYIDYAVLDQCIVRAMELVPYKAFWQMGEPGYAPLKVS IALLAKSETSEERCIVYSSPPFDVANRMEMQTFQLPRQIFVPADAHVRITFHGKHQFE YDSTENPRRYFCCISQMGVTGVGFHTK H257_17848 MQNQKKQRKMVAGMTADNASANGLLPRECMHIVASYLDHSALHR MELTCRSFYECAIDGAYWRMSALRDAVVLPSKNELGWKALACACARIDARESVGLLHA VVAVSSVDRPDAESPENTLRISRCFRRMRVLNDGVPRQALLNYTLQTLICGCSRGECY WSSGPSSSQMQDDYIDYAVLDQCIVRAMELVPYKAFWQMGEPGYAPLKVSIALLAKSE TSEERCIVYSSPPFDVANRMEVCTYK H257_17849 MDSEKSSDAAPAMAESIPREVFRVPAIGDVWVNGLSNEYDASTF PSQLEAYMTQADYDKALDTINQALHDLWPCVPCWSTSYGCCVCTLGLSLYCAWGQVSE AETCTARQIARVNRRACFKDRHITWRLEKSWLKHTSWLVISVVE H257_17850 MPPLRGTSAAPKTREGRNEDPDGCASETRLQDQECLMTRGDPNS VDDMTTKTGRDESPTNYDDQEADTRTPGLKAAVRYQKTATSQATTTATTPGIQIFKNR RSKKLRPPATPGPRSATSGAPANLATTPGYETGPSPGVTPVFTEAQIEAIMRGDLTGI PEARHVDIEERLYPVSPADLERQLVRLKEERKDVIQENIVEVVTMALRRPLTRDDAHL FESPSDIDDPDRWLDWFSSILVTCEEARTANRNFENARVAIISDTWWSRFQRKSLASN ELPGFGPIPETDCTPPEGDVLPIGGTEIPRLPARQLVKVHGAVQYHLIDDRASFALRL RDLELTRLPSAIWRIRRRTLSSRRHYLDLALRCHHIESALATPDGRPQTPESLSPGVV DSNNPLEPLDVETTYLGAPTVRIQTADTLALVDTGASVTVISEAFWNELGSPPLQKPA YGLVSASNTPIRTLGLRYFSITLAEKQTTFPVWVLVDSIIPCILGINLLRKLKALVNL STNCVGFGDSPITLPLHTDDAQATPRTVAHLKREDPREPPAQKAHEPAPSASLHIMPT NWPTVHPTPTVYVKSNSRRLVLCHISSDLPEGCHILVESTASLSPLHIARSINIVRKE GFWVQGPHGHTRFGHVDHPVAPERDFSAEGREEGTTGGGTLCALTTGAQETSTKPGKE EIQIDWKDSSLSLEQKELLRKLLLRFDLFVSTSKAPGRTDLTKCHINTGDASPIKQAT FRVTQREGEVKL H257_17851 MKSREEHEAILHKVSDAQTQLGLNTTLLREEEKTLLREREAFAQ EVNKSYSNLRRQQSSLQVDQQTLALQLQEGRPRSGSVGTPYATPASSRKAPPSKWSHS TFMTSSVLQAPYSMAGTPQRTMDPIPMFMQQTEIATETMSMPVPAGVYDRAVTSQKPF MPERPKQREPPEYIPQDSYGMRGHTTLPVLLRHTQLQGGPGGHNPFERTGGRGDPPGP PGGGGGDDHGVEAHPGFHTCPGTMTMGTVLPKFDVLQMDYGITDAQLVGIFDDRLNES SIPRFADWWARRVRDHAEQSWAESREAFRQEFIMKTKTERMATITADSYRRTGETVNK YACRIGDNIRNANFPPESAVFILLNECEDPIMASCLRGPRHSRQPSKRV H257_17852 MYLRENTLASREDILGTVTTFFLQLAAQAVQQVDGMRDSNGLTY APKVMIKCGIALDITGRWHEKQVTPDLSAIITKYPPHYDGGQVPSPSSSI H257_17853 MVKVLISLSVLAAAATAGSVTELPESVTKLIDYSINPCDDFYQY ACGTWHRDTEIPRGRHKIDTLFYAIKNQNDAVLTKIFSDNTTKLGEFYNSCLDTAKLS SLGLTPLEDSFKAIRSANTTLDLLVVAGELVKNGIPAFVDISSSIDDNDTTKNALFGF RAPLPLDQSYYTTRSKWEAVEADYKVYIASVLQLAGYTAEKAAAAVLVIIRFEQTLAG IVELVGAAGSPYTALTYSQLDHKYPLLVGSWLKANGYDVRDQWSSSNDWVGFYDLTYF DKAEVLLKNTSLDNLRTIVEYKLIHATSNHLTPEFRSTNWNFFGKKIEGKSEEPTREK YCKSETGKTVGDLLGQYFLDVVWSADTAKKADDLVKALRSSFSTGIATADWLDNSTRA NAQTKLSKLVHLLGGPEKPQLYPTLTFDSKSYLNNRWKVSQVNIDTNLKLKGQPVDRR NFGVLPHEANAYYGPHENLIVLPAGILQKPFFDAQFDAAQNFGSVGMFIGHEITHGFD DFGRDFDGDGNLNPWWSNATNAAFKTKAQCISDQYANLVVKSEFTGAVLGNVSNELSL GENIADNGGLKTSFRAYHEYLREFPSQYTEEAGDKLFYLSYAQAWCSKSTDIYLRAIL KTKYPPFRYRVTGALQNNAEFARVFQCPTDSYLNPSKKCLLWE H257_17854 MTPTTPNNSMPALWKHSNLTLERHPIRTTSGNKRGQGTWRIQRL SLLSPGTNTTIVQLENILRRESLENEAYIRDPGTPSPLNCLIDSLELTTWFHTSALDF LIIADCDLPAHKATQLWTPTPSGSLTPHLKSISTYCHDTPAAHRDETDKECTDKGISF QQWIQRIGLSSTFRYRYPNLQRHNYTRNNIAVALYDNYIIACFAHKLDLCPGIHKPIR VVNACTLTKEDISTFGAHASKLLLDGQLPRFRPPHTCRRDMDTTDRLEGAF H257_17855 MTSCTPVRNGRKTWKFLRSALGAPTPPITIQSNIVRTTDDPSRY SSDREEVAAGLRHLLDNWAPLPRKPLALDISAQPWNLTASAFRTFSANGSSELWIAAP AYIRERERTNINLILRTGLVPPTLGRKQMIYLAKSATAQGVVNLDPDLPPWHPITVQS AFSNRIFTVIRDYISPCIPNEEMQQGFQRELLTSLLIERAERRQEELFLFPTIALNAS IAS H257_17856 MEYIYRKLDVPSLPRQLMAHFLGASQIDFRTAFGWLDASSGVFG ADKSSLLYLSPRLTLPSPSMMGLDTPNASM H257_17857 MHHGIHQGTHNQWEETTLTVWLKLNTQADAISPRGFGKKELQYI INSVWIPSVLYRTAICDANSITPALDSLFCKTARLVLRLPHYYPNAWYNDPTDGLGLV HCERFSHIQRLYQFLRIANNLARRPRHPHGIP H257_17858 MKNANLDPVRIASQVRRLDLHSKSVSKIAEGSREAVKPWTWARR TCTCTTLRPFPKHPAFKRSTKVERRTFMAAYNLCISQTTALTVNGTQPFVMPVNACID PASKQRIADWEMGKDPYEVTEAEWVAWFRQGYDVDPRALDSLKKRIKAAIVFDMLDGL AAAIRCDRQEWVIKEESPAIVKIITDSVNPASLHRAVTEQMALTRNKPLKKDIYRFVR WLREYAIGHERFVGYEEDTKPDSPKTNQGGTHRLRTAPTQSTPRAPATATTPQASTTW ADVSERLSEVQIYEPSCTGVPGYHRRGSSEAPQDTRTSHWPWTERR H257_17859 MIANLGLPPKRSPLDRSYSSPEVQVTSLQPTQRCPRFSHRSSTL PPSSPVHDPPVDVFLGGSCNPTTWRRDVAIPRLDAAGISFYNPQVDEWYEDLIAVESR AKDTASVVLFVIDNCTRAIVSMNEAAEFMCCGRTVLLVVEDMPLHEQVLLEGTCLSSL EIADLNDARACLRHFSMEYPHTTLCSSVEAAVDILSAHLASSSRLDPRRSFSLRSARL RKRSSVVLSRMKKKTPPNAFQRSSSSSSVIEYDGGSSSDIDHTIELVYLGGNVTNTNW RATLAIPRLQMAGLPYYSPLGDCSKMDSLMKVKAGLVLMVIPNTCRSIAAMIDTIALV CSGRAVILVIEPMIEGLVVVEDGRAVTGREFKDLVRARMYLEETASRHDICTFESVAD AVDAIVAKAAAASRK H257_17860 MVSPLQVIAAASSVAATTASPLDGRIINGTVAPIGKHLFVTGLR ATEVGQSICGAALIAPKVLLTSASCLKSYFAFASVGSHYLQGNVDGERVKIVKKTAHP QFNATSFEYDFAIFELETAVKFAAPVKVAFDDDEYAPPNTIAWVRGWGLNATNSNQFS QVLLQADVPIWDQAACAAAVKPFRALRQSMLCAGGDSKDWCFGDNGGPLTVWKGADEF VVGLASWGPPCASKNIPGVYARVSAARSFIEPFLPAKPGC H257_17861 MEEESSTSLLDLRIEECPSSLLSRTKSHRPAADKPPPMSSTRGS TAATLKLPVKSSPSKTSTSRNVLDEKGRLMLQVLQDYGPYTPQKDYSCRSLPKPRQDD FILRHNAQNIHDFKKEYSKRFGTLNRRPPQFHAHQYRVAVATHHESLWKETDEKAVWT LTDRGHHTLVQGNSTSMPRATITLPALSQRKLVSPFKDKAAVGHHVDLFEDTSYAALV RQQLYSPRPVATHSFAKARIPKYAVAQQLTRRPSTSDGLTLLASPPHSPQSRPYSSDY KHTLHWPYSPSSTPKQPPKSPSVQNTFPTSVVEIEPSIVNFHMVTANHTYHFPVKVHN LGTKQERFRIRALRVNSGGVDCPYAVAQYDKEKAKVAPGLRATVTLVVTFTTPGAIQG VLEVETPSGKGGVALIGTVAAT H257_17862 MAATSDIVLRSFDLLGCVFDYQRGLHQDMLPFQHVMPALTAISD GQFSIQEQDFETLHAVLAPWYKTHDISRLAKLWVDLPLLQESIVIHACLYGHVDVLAF LFSRSLMLSDRWSTKMAMDVAARSGHVDVLAFLHAHLPTDAHCTVKAMNWAAKFNHLH VLKWLHVHTSAGATFMAMNYAAEGGHLEVVQWLHTHRNDGCTSDAMDDAALNGHLKVV QFLHEHRTEGCTSDAMDGAAAEGQLEVVEWLHANRSEGCTEDAMDEASEGGHLKIVQF LHQHRSEGCTEAALTEAAKEGHLEVVKFLLDHRDECDVDEAMEFAKKAGRRHVVAYLR EQMAT H257_17863 MSIKSSTDDTYGTDNTDFAVLGEASPPPEPKDPIKFPVLDEPAP PPEPKHPIKYRPDIDGLRTLAVVPVVLFHAYPHSIKGGFTGVDVFFVISGYLISGILF KENARSSFTYADFYSRRIRRIFPALLLVLTYTLVVGCLWLLDKALQSMAITLVAGTLF GANIQLLTVQQGYFDASVKENPLLHLWSLGVEEQFYIFWPLFVSVIHRLSFRKALACQ LAVMVASFACNILFLGYGGENKYSFYFPLSRFWQMAVGGLLAYINLPAIGDNSKLIRH LTDVPATHSAVFSVCGLAAIAVGYIVIDESMAFPGYWSLLPTLGTASLILAGPSTFIN KYILGNSAMTFIGQISYALYLWHWPLLVYAKLRHPVDPPFYMQPYSMVVLSVVLSIAT LYLVENNLRRRKAKWLVPVLFGLMVLVSAMAVVVYFLPSTFSVISAKIEANNNERGEL IVEVGITPNWSRGPRQKELTTIAAQAAPQDWHPWDGGYVFTDVSNAVEDDDGIKVLNG NATSAPLVVVLGDSHANMVAPRFAKLFQVAQETNQPFPQVMFRTFDGTPPLACIPWHA ANVAFVQANKPKVVLISSNWVQFLRAGAKEPTKLSNTLACCKMGYQDGCENQSEADRL ALVNHLENDIKAFVASGIKVFVATTNPEGEMFNPTKMLSGSTVLPTPPVSLAAFRQQH KVMVDLIEDATKAANATIIDFADNQCFQDVCEVVSMKEGEPVFKDSNHFRPYYARNYI TVLDQVVAAAIVEP H257_17864 MHSASYLGAVQSAKMFMTLVPGHLYLLPSSSSGMLKLSFKGKSA HVFRALFVFQDPSTHEVYYCTRCYNNGRYIVAKALFEGRMPFSSYREFESLCTDSDCY HVRQALDVADVVQYDSEWCSPPAVFEKEAHTIEDKDIIPTTPQAISLVKSTRGFDLLE FMPDNYCVPANAAVACPCGLPFALSGTWITSPIAVFGMTCQKVAKVQIVLCEGDGCTK QYCGSGAQQGIVRITPSTYFDIDLCSFIRLSLMDGPTSLRGVWRTLTSFYATISEVFC PWTTFLHGCWKVLSRVNLDLHEGMNCPHCGSIDTAPILIGDGTKLACRADLAGGAPSY PTSPDVGTEVTISDRLAIRSKPARDLLRHFVGYPKSVKSMSANQHSQLLALLHSDSAT IFLYGLVKQLIDLSGATGLCDPVWVDFLYDLSSTSVVPNGLIHEPETAMLILAQLANH HCLNIDDAVTLQGLMPTLFKALQTSQLLRNANHVMWRSLSPVLLQFVEMCDYMSRIPA HEKEANNVQFAEPTVGDDDLSSCFPGAPCVRPLRQYSRKPRDKICTKLALGSKYQLPG SCGYMAKGNFMLYTKHYMTNINKGRLVSIKNAVATRSSHEAAIG H257_17865 MDESYIHQNYVRHNDSLYYPDDVHNKAPKPKHKGQPLCFVDDIL DSGTDESKLLITQVWPYLKDNVGRQYTTDTAMEDVRAGSTWPSAS H257_17866 MWQYFILNFEESEQNISLANNVRYSLPFPLVSAFVEFVRHDLKK KSDRAKKWITEDRKVMPGALKAYDQQLQQRNLTDDEREAILREVLLRSNGSYMTRLPK GFSRSWRTSTKPSFDWS H257_17867 MDVWWISHSKVLHGVDQLNPQQSSQVLCRRRPGCDRSTHIAHNA DGDVVDQFLDCRYNDRMAAFEVEVCVRWRGLQAIEDSWEPAANLLEDISTEFKRYMRS NKADPQVKAMAAALGVTQSLGGIVANFPFVEPLNPSQEGIQVFD H257_17868 MNVQDLCNAIVKSLQNNVHVLPAAVVAADVARTLPPPVAAKSQR QMTLDSFVSHAVVPTARSANEAWTQWFTGDPAVGLYQPLRSFNKQMIRADRRKSH H257_17869 MNATTVLPKATIQGSFRSKSTLRTYQTYQNQFSKFCKDVLAINP AGATPVACIVFFHHLYSLGKTARTVDSAKTALVAYFQALKVDPNPARDVEAKQYVAHP LSVYELSLLENSLASSHMFVGALYGFLLCASYIGCFRISEMLN H257_17870 MVDLIEDATKAANATIIDFADNQCFQDVCEVVSMKEGEPVLKDS NHIRSYFARNYLTVLDQVVTAAMAKS H257_17871 MQRERLTVEFPENFRCHHYQGSDTTFGVVSALVVKNVSTAIADY HADTSNAKLLWDPQVPTEVCVKVAANTTQDEKRHRHGDKNVQPRTTSNNLVTAAARVA GYIEDQSQALGPLQTDYATVVTARLPPSAPVKIPTNATMQQLGHIDVMAARHEMNSQT TEKYRR H257_17872 MSWLKHVRSSKRRKCQHLKNELLDASEAIARKFRHDTDELLCSL YLRDQSLAYLERDFTKITAWAVPCRDAAPCVLRTLTRNAVWMPLWGAARDGDPLEEES RESEANVDLSTQTSARVYRRTLRKSMTKSTDPAWAALQTKRHFLEETTEGLIALHLWK LYYSDLNCRHDQE H257_17873 MQKYEKVKVPATTENAVASTPHTYHLFMANLSTWVDGLRQSGYS GGLEPFTMPQYTSPPERIDVVDETRQVAANAVRGVAPPKKSLEKSKSCANKLFLSYRT GHPLKRKRAVSAPSSATQEARPPFESSVSSRGRLQIAKQFDNFVM H257_17874 MKDGKRKRDYNKETRACGCDDFDEHLERLVALPASFHSGVIVQI VNNADCLFHQCLFNPRLLLMKNLNLNELLKLKIHRSQRSEKRPTKCPNC H257_17875 MSFRYKENDPLVLKDVNVHIQSGEKVGIVGRTGAGKSSLTMALF RINELAGGSIKIDGVDISRVGVKTLRSSIAIIPQTPVLFKGPLRNYLDPFGEFGDADL WGCLDKVRLADRIGGVEGKLDSPVEENGENFSVGERQMLCMARALLRQARIVVMDEAT AAIDHETDQNLQRVIRTEFASSTVLTIAHRLDTVLDADRIIVFDQGRLAQCDIPAALI DAGAGIFFELCHEGGYLDKVVSSQSVE H257_17876 MTATIMPANLTPKFMQDGQLQPDDLWPLGFVNQCQQVSTSFEPN YRSSSRSILWAIVLTYGWRFAFVGLLQLGAIGGTLLGPWVLRRILSAVESTSDKSSFD VASILQLITLLFVVKVVQAVVSARANLENQVIAVRITSALQHLLFQKAVALDARCRRD KSAGEIANLFSNDIQWIINFSVFANQLWLIPVQVLATTTMLYDIIGWATFLGTTRSVF MDRKDRRMKCVNQVFGAMQTIKFNAWEDKFGAKLTDTRDAELSTLWRIFTLASASTAV LYLGPVLVTIVSFATYTILMHQQLTATKMFTVLTLFNLLKFPLSGLPAIVASMMQALV AVRRFTEFLSLDEKNPSNLWTPTTTPSHQVAGYKAQNMDIVMENASIGWDAAKPLFKD VNLKVKRGEFVVVHGSMGEGKSSLCAALLGEMDKYEGTVFVGGRVAYFSQQAWIQNMS VRENILFGHPYDRVKYNNVLAACALSKDLTLFPAGDRTEIGQKGVNLSGGQKARISLA RACYSDADIFILDSPLSAVDAIVQNEIFTKCLLGLLRHKTIVLVTHSPEIIASKFIDR IVEVKDGKLVQKVVAKDANHPILVAPLAARKGYTATDEDVDLESSPPSSFTDNVSLIT PTSTTPFPVNFETQLFTPAVEFSGQTFDENPVASGRLVLDEVRSKGRVSAKVFEGYMQ AAGGWWVFASWMVYLSIWQSLTVAGDMWLSRWSGTAAVVSDATFLDQSGFYMAIYASL SVGAVAMTVFRTLSIYASGIRASRTLFNQMTTSLLRAPMSFFDTNPLGRVLNRYSNDM NTVDMGLPFGLSGLTATAFITLFSLGTMIYVIQYMGLLLLPLLYAYAAIGRFYVQPAR EMERVNKTTKSPLLNLISESIEGVLVIRAFGDKQLRRFQRQHYRNVDTNNEAAFASQV LSMWFSLRMQLTSAFVLLVVASSLVVLRDYLSAGLIGLALNYVFTVLGNFEWIVTQWS QMETAMVGPERVSEYCSIEPEPPRVISGAVAKDWPTNGDIAFTNMSFRYKENDPLVLK DVNVHIQSGEKVGIVGRTGAGKSSLTMALFRINELAGGSIKIDGVDISRVGVKTLRSS IAIIPQTPVLFKGPLRNYLDPFGEFGDADLWGCLDKVRLADRIGGVEGKLDSPVEENG ENFSVGERQMLCMARALLRQARIVVMDEATAAIDHETDQNLQRVIRTEFASSTVLTIA HRLDTVLDADRIIVFDQGRLAQCDIPAALIDAGAGIFFELCHEGGYLDKVVSSQSVE H257_17877 MTTKYQSVALEAKLAKTVEHPLDHASLVSKLFFSWATPLLSLGN ERQLDSSDIWALQDDYRCHVTSATFEPTFSKSRSILWTIFRTYGWRFAFIGVLQIATV GCTLYGPVVLRQILSAVETNTFDVRAILQLIASLFVVKLVAALLSAHSSLENQLISVK ITSALQHLLYQKTMQLDSKFRREKTAGEISNMFSNDIQWIINFSINANQLWLVPVQVV VTLMMLYDVIGWATFVGAGVIVLTLVANHFVSVLIRNGFDDVMQKKDTRMKTINEVFG AMQIIKLNAWEERFGDKITAERTDELAALWRIFVRTSMSTVVLYLGPVVVTIVSFATY TMVMHESLSAAKMFTALTLFNLLKWPLSGLPYVITTFMQALVALKRFMEFLDLAEKDT SAVWTPGTTSASDLASYAAKGYDISVEQASFGWDAAKPLFKDVNLKVKRGEFVVVHGS VGEGKSSLCAALLGEMDKYEGTVFVGGRVAYFSQQAWIQNMSVRENILFGHPYDRRVR CRKT H257_17878 MSTAQFSESHLVMIPYMYPAHGEQDIWRLIHTLVAPAQLHLFKI FARNDENARQDIAAQAQSLASKSDAARQEVADLNARRSTLEDNLHHATAQLEFLEYGE LASHQLLNWTQQPKSFGSPITHYDKTRDPHKVEVFAMISPATDRRCFNYNRPGHFSHE CRHHAVRRYTLVITDGAHKGLFGEDRQLRETGESRGSWEGREGRKHRGDLGRLGDVGN RGISGKSGLVRHGWSRG H257_17879 MSPTITHAKEEKVKSLVFVGVNFNIYKVCIQANLRSKGLWKVVS GEDTRDDADDEDDYDSKEDKAFDILVNSLDDDNLAYVSHVSTSKGSVYSPGSSMQKHV TDLRGLQHKLLLMGSRVDDEMLGRILLTSVKEVFPTTVEILRSREPSPTLSQITNRLL SKEDEVKNGAPMKRKAETEQLLYTGKPDKPRPFKKQAVKDKCHYCHKIGDHAFECAAA RGTLMTYRLPWFR H257_17880 MQEPILRQRVQKGYSLGTESSFCTWLTKKAKYLATAPNKKLTSL GGQGHPVHMMERTISAWESIYESSVKMSFEKAPPALQDGPGGPESLGLVNLTLAPSSL TKAALCQEAAGNDEHSHRW H257_17881 MELPNGSSQYSHLPSSSSRDILPTNSFQGGNRYSETKASLLNLY MGAPGTLLGAGLGLFLGYLVTLDSVYPALRAYDARNNKPIERYLLQPGRMYLRALTCV AIPFAFLSLVLVAAEITSSSRTGVAKLGVRVSLLSMLTSFMVALQGVYIGSLVAPTFD GTPYYFRSPNVAFQCPTPTRTSLFLDPKANNSMVCSRTNQTVFSFGDSRRLPYLIGPT VNRFVVYKSTIDEISSVLLNLTPTNFVEITNTNIMTVALGAVATGVAVGKYVTGFKSN PTLNHSIVPVLRELVAVFEIMTSWIAMTTPLALISLVAGSIYAGTHNVFDSDKATNGV VGLCWYILAFVGVSLVHAVVVLPVVTYIGSRGRLSPLRFMWQMRGALGYTMHSSSSRR SISVLLRTLERVVGQPSATTRFAFSAGATMNKNGAALYVTMSVIFLFSNGGLQDRFSA TKVALLVVLATFGSLVVTPVRNGGVVVVICACAMLTGLAPPYAINFMLIAECITDPVA TMLNAWGNVLIARLVSES H257_17882 MPSCEHAPEGITFDLDDTLWCGKETLQKATHAFHDHLERSYPLI TQSLFQSTWTNVLSSTDLRDFTALRQATLKQCAESVNYNADDVVSTSMRAFLAARSSP TLFDGVEALLQRLQARQLRLGVVTNGNCQLEYLPCVLQSAFQRAWVAPDSVHGHSKPH RALFDKALSLLELDASKVVHIGDDYVCDITGAKSVGMRTIWVTSEREVDVSAYPSADA IANNIVDISNVLQSWLNDEL H257_17882 MPSCEHAPEGITFDLDDTLWCGKETLQKATHAFHDHLERSYPLI TQSLFQSTWTNVLSSTDLRDFTALRQATLKQCAESVNYNADDVVSTSMRAFLAARSSP TLFDGVEALLQRLQARQLRLGVVTNGNCQLEYLPCVLQSAFQRAWVAPDSVHGHSKPH RALFDKALSLLELDASKVVHIGDDYVCDITGAKSVS H257_17882 MPSCEHAPEGITFDLDDTLWCGKETLQKATHAFHDHLERSYPLI TQSLFQSTWTNVLSSTDLRDFTALRQATLKQCAESVNYNADDVVSTSMRAFLAARSSP TLFDGVEALLQRLQARQLRLGVVTNGNCQLEYLPCVLQSAFQRAWVAPDSVHGHSKPH RGILEWRRTLLSVNCSLIRQSAVIARA H257_17882 MPSCEHAPEGITFDLDDTLWCGKETLQKATHAFHDHLERSYPLI TQSLFQSTWTNVLSSTDLRDFTALRQATLKQCAESVNYNADDVVSTSMRAFLAARSSP TLFDGVEALLQRLQARQLRLGVVTNGNCQLEYLPCVLQSAFQRAWVAPDSVHGHSKPH RGILEWRRTLLSVNCSLIRQSAVIARA H257_17883 MSREARKTAQIWASIEKMQKKEDELSLSDNSSDKTLKQQRKKKK HKQSPSDDDTPSTGPGKVAATNEPTSLGNNESTYHTSMLTLHKSSEFMRSSPFVPPRK KWVSRWETHHHQGPQGSHSEAEVARLGHDEEPLTIAPDNSSSVPTSTTSSATLVVGTD DAMGEVLTSTSPPASSTQHLVSPHHAPSSQLTHPPHLDHHATSAATTVPTIELPAPPL PQESQGILHPPSAVKGTIPNSRPTFQGILPHETEAEEGEYTANSPTNAAVAPQVVVDL GSTDLSPRGPIDSIVAAPSVASSRVQQEEHVPIEGSDGIVPKEISPSAPVVASPVMNA LGSRRKRKSLWDVGDPRVEESGEFPRKLPSNPHRRGSEGGGSGGSRSASADGRRRSWS RSYPSTTSSNTSGTTFTNASSNHHNYPPPSARRHTPPPQQPPSFLKPRDPPARSMSSS WLPSR H257_17884 MRRRVSAEHGSSHRSMPNDLVTVRAVAIPCVTVENGSVHVSVSF VHAAPGSAVRATLCWMTSVPDVLSSQSDDATDSVVSYCHVQWNPPNCHWILTSSASSM GFGGGGRSGAGGGPKSQVIPLEHPSLSLVAQVLHLRGIVLAAFPTHRWALKSDTTATL VLRYNWTDTLVYCPLSCWHLSNTAHTSSYL H257_17885 MAWFASSVAAVLAIVVPHSSAQVAEDFCTSLQMCVAYGKVPCDR NIQHCPPCIYIDTKGQTLCYDQITGTKLCPFLNTTADCSGGETSSVPPLRNKTPSIHG DSTTLTPPRPHITSNSQTTVVREEEDPSSWNGLSIGLMVVVVVLASALAVAVFKYTQY TKSSAAQHHHRTPSMPIHGVKNSCSSCSPPDYQDPTPFTLYPPSPSPQLHLSSTMTTT MMTTPLVVGYFDTLNNTSSIASSRSVSLCHIMESPREDDNQTLIRPSGAF H257_17886 MSNLNSSNVTLIHPICTSLASCLAFGKVSCDRASQECPPCIYTD AKGRSLCYARITGTVLCPFVNTTADCSTSNDTSSSLVLVNKTSNSFPKNKTASTYFVN TTASPDMDAAAAASHGSMSSLNVGLVVTVVVLAAAIVVMLVMWIKRSRNVSRRGIFSE PKRPHYHNNREIVHIGSNVFHSQNQNQRPHPGNNTNDYLTSINRLHTPVLGEPMTLGG GYSQDSSPVWRMSTSSNILR H257_17887 MDCKDENLAVRNGVRHQGIYEWNGDGHILGIVSSRHHSHQAGSK ARRNWRCGNCGARDHITIDCSGLHYAYSRSQV H257_17888 MFRRVIGATSTLGRRTYAAGPSDVAAPPLLPPVKLNLGNFDIMV AELRAVAQLPLRSSPSKKKTEMPDTQFLKAIGRHDLVHAIRKKHGGFVAVAERLGWHI ETTNARESHIKLATREKRRTQRLKELQKHKVF H257_17889 MQSPGTIPSVEALMAEDDQAQHTEGTVTENEHGDNGDGTWVSPV NELDSEFSSLILDPTASSSSTESPSSIGISPMTLHWLQHTLNATSGSGTFSALTEFDI ALLSPYVPPPMSSNAGMVKDEFRGEAIDWKSFNENNYDDHQADTSPDQWKDIPQFRPP VAAPGPPPPTEQPSPQSEGPVQKLLTSTVYNMWPQNSVPSSASQPQPPMIPPASAYDS NKRKAIISPEFVQYESTPVPHVQPTRRPSKKVPSSVVVVADTKPASGLLAPITESPDS KGTIRCKCTGKCRNARCACVKAGQLCGIECKCSHCANPFVPMHAWGIDISKIMTDKCL MQNFSRIKDMDEVLVSLVDLECPCGAPTVQVPVYTTLLHPTKTNNIHHDDSVYLFPIS CLKCPNIFHYSWCTGKLWWNKQPRKHCEICKRCGSHRNQHCPDCGHCYFAGVANSFAC PCKQTPASGGGLPAVLGDAPSDLRKPSQHEQQQHLSPPATSPLFHEESDKDKTEQCPV Q H257_17889 MQSPGTIPSVEALMAEDDQAQHTEGTVTENEHGDNGDGTWVSPV NELDSEFSSLILDPTASSSSTESPSSIGISPMTLHWLQHTLNATSGSGTFSALTEFDI ALLSPYVPPPMSSNAGMVKDEFRGEAIDWKSFNENNYDDHQADTSPDQWKDIPQFRPP VAAPGPPPPTEQPSPQSEGPVQKLLTSTVYNMWPQNSVPSSASQPQPPMIPPASAYDS NKRKAIISPEFVQYESTPVPHVQPTRRPSKKVPSSVVVVADTKPASGLLAPITESPDS KGTIRCKCTGKCRNARCACVKAGQLCGIECKCSHCANPFVPMHAWGIDISKIMTDKCL MQNFSRIKDMDEVLVSLVDLECPCGAPTVQVPVYTTLLHPTKTNNIHHDDSVYLFPIS CLKCPNIFHYSWCTGKLWWNKQPRKHCEICKRCGSHRNQHCPDCGHCYFAGVANSFAC PCKQTPASGGGLPAVLGDAPSDLRKPSQHEQQQHLSPPATSPLFHEESDKDKTEQCPV Q H257_17889 MQSPGTIPSVEALMAEDDQAQHTEGTVTENEHGDNGDGTWVSPV NELDSEFSSLILDPTASSSSTESPSSIGISPMTLHWLQHTLNATSGSGTFSALTEFDI ALLSPCMVKDEFRGEAIDWKSFNENNYDDHQADTSPDQWKDIPQFRPPVAAPGPPPPT EQPSPQSEGPVQKLLTSTVYNMWPQNSVPSSASQPQPPMIPPASAYDSNKRKAIISPE FVQYESTPVPHVQPTRRPSKKVPSSVVVVADTKPASGLLAPITESPDSKGTIRCKCTG KCRNARCACVKAGQLCGIECKCSHCANPFVPMHAWGIDISKIMTDKCLMQNFSRIKDM DEVLVSLVDLECPCGAPTVQVPVYTTLLHPTKTNNIHHDDSVYLFPISCLKCPNIFHY SWCTGKLWWNKQPRKHCEICKRCGSHRNQHCPDCGHCYFAGVANSFACPCKQTPASGG GLPAVLGDAPSDLRKPSQHEQQQHLSPPATSPLFHEESDKDKTEQCPVQ H257_17889 MQSPGTIPSVEALMAEDDQAQHTEGTVTENEHGDNGDGTWVSPV NELDSEFSSLILDPTASSSSTESPSSIGISPMTLHWLQHTLNATSGSGTFSALTEFDI ALLSPCMVKDEFRGEAIDWKSFNENNYDDHQADTSPDQWKDIPQFRPPVAAPGPPPPT EQPSPQSEGPVQKLLTSTVYNMWPQNSVPSSASQPQPPMIPPASAYDSNKRKAIISPE FVQYESTPVPHVQPTRRPSKKVPSSVVVVADTKPASGLLAPITESPDSKGTIRCKCTG KCRNARCACVKAGQLCGIECKCSHCANPFVPMHAWGIDISKIMTDKCLMQNFSRIKDM DEVLVSLVDLECPCGAPTVQVPVYTTLLHPTKTNNIHHDDSVYLFPISCLKCPNIFHY SWCTGKLWWNKQPRKHCEICKRCGSHRNQHCPDCGHCYFAGVANSFACPCKQTPASGG GLPAVLGDAPSDLRKPSQHEQQQHLSPPATSPLFHEESDKDKTEQCPVQ H257_17889 MQSPGTIPSVEALMAEDDQAQHTEGTVTENEHGDNGDGTWVSPV NELDSEFSSLILDPTASSSSTESPSSIGISPMTLHWLQHTLNATSGSGTFSALTEFDI ALLSPYVPPPMSSNAGMVKDEFRGEAIDWKSFNENNYDDHQADTSPDQWKDIPQFRPP VAAPGPPPPTEQPSPQSEGPVQKLLTSTVYNMWPQNSVPSSASQPQPPMIPPASAYDS NKRKAIISPEFVQYESTPVPHVQPTRRPSKKVPSSVVVVADTKPASGLLAPITESPDS KGTIRCKCTGKCRNARCACVKAGQLCGIECKCSHCANPFVPMHAWGIDISKIMTDKCL MQNFSRIKDMDEVLVSLVDLECPCGAPTVQVPVYTTLLHPTKTNNIHHDDSVYLFPIS CLKCPNIFHYSWCTGKLWWNKQPRKHCEICKRCGSHRNQHCPDCGHCYFAGVANSFAC PCKQTPASGGPGRRAVRLTQAIAARAAATPVSSRHQSIVPRRKRQR H257_17889 MHHYRQTRHVDVPPPMSSNAGMVKDEFRGEAIDWKSFNENNYDD HQADTSPDQWKDIPQFRPPVAAPGPPPPTEQPSPQSEGPVQKLLTSTVYNMWPQNSVP SSASQPQPPMIPPASAYDSNKRKAIISPEFVQYESTPVPHVQPTRRPSKKVPSSVVVV ADTKPASGLLAPITESPDSKGTIRCKCTGKCRNARCACVKAGQLCGIECKCSHCANPF VPMHAWGIDISKIMTDKCLMQNFSRIKDMDEVLVSLVDLECPCGAPTVQVPVYTTLLH PTKTNNIHHDDSVYLFPISCLKCPNIFHYSWCTGKLWWNKQPRKHCEICKRCGSHRNQ HCPDCGHCYFAGVANSFACPCKQTPASGGGLPAVLGDAPSDLRKPSQHEQQQHLSPPA TSPLFHEESDKDKTEQCPVQ H257_17889 MHHYRQTRHVDVPPPMSSNAGMVKDEFRGEAIDWKSFNENNYDD HQADTSPDQWKDIPQFRPPVAAPGPPPPTEQPSPQSEGPVQKLLTSTVYNMWPQNSVP SSASQPQPPMIPPASAYDSNKRKAIISPEFVQYESTPVPHVQPTRRPSKKVPSSVVVV ADTKPASGLLAPITESPDSKGTIRCKCTGKCRNARCACVKAGQLCGIECKCSHCANPF VPMHAWGIDISKIMTDKCLMQNFSRIKDMDEVLVSLVDLECPCGAPTVQVPVYTTLLH PTKTNNIHHDDSVYLFPISCLKCPNIFHYSWCTGKLWWNKQPRKHCEICKRCGSHRNQ HCPDCGHCYFAGVANSFACPCKQTPASGGPGRRAVRLTQAIAARAAATPVSSRHQSIV PRRKRQR H257_17890 MWIIMKSMMLLWMSTAQIAWCCRIFGSDTGECKEQSDFLQYMPF CGPILPYTTCVPRAQTLWYNHSVKSKDLFLAQMFKKIVVQRQLYEADVAMQSAGVDEW GGLGEIVPRYTENKDCQDAFRNYMCWLNFPRCDDAGRSLVLCRSVCENFFKACMQPKD LWRCGQPQYVDGYTAEISTSANMAGVLQYYRAPFPGSPFRDNAFTADKLEALAVCTPS LLNAASRVSCSSLVVCVLSWVLCQF H257_17891 MRNELATWTAQLAAAREALSIRGLSHAASFACELYASLPLSVRE NASSVQVDHSAAPSDGLLLLAKSYMDLQEYARASHVLGQKQGALTDVEFFVLHYARYL AGERRKEQLSMEMKTHSGASQKQTTSNPHLKDLLRDLADADASPNQLDAFGLYLYAVV LKQAGFEHTTVHALYVQLLQRSLALFPWNWSAWMELAATSNDGSSTNHQGEMNHNEKA CPWMSHLFQAHVLLLHCHIPQAIDILEQIQPTFPTSSYIVSQLARAHYELRDFDGAHR LFEQLSTSDPYRMDLMDLYSDVLYVKEEKTALSELAHHVHTVDKYRPESNCVIGNYYA LKGQHERAIAYFNRAITLDGTCVAAWTLIGHEYIQLKNTNAAIEVYRRALELAPTDYR AWYGLGQAYELLELFHYSVHYYQKATGIRPYDARMWVALGGSLEKLGQHSQAKSSYLR AVTNNDAEGIAVFRLAKLYELVEKDMDKAAEYYRLHWQRDRATGHNSSAEAVAGMLFL GNYCMLQGNLPEAMEWCYRLLERDGPEKEEAKAMLHAIRRMELFAPPSSDTTLSP H257_17891 MEMKTHSGASQKQTTSNPHLKDLLRDLADADASPNQLDAFGLYL YAVVLKQAGFEHTTVHALYVQLLQRSLALFPWNWSAWMELAATSNDGSSTNHQGEMNH NEKACPWMSHLFQAHVLLLHCHIPQAIDILEQIQPTFPTSSYIVSQLARAHYELRDFD GAHRLFEQLSTSDPYRMDLMDLYSDVLYVKEEKTALSELAHHVHTVDKYRPESNCVIG NYYALKGQHERAIAYFNRAITLDGTCVAAWTLIGHEYIQLKNTNAAIEVYRRALELAP TDYRAWYGLGQAYELLELFHYSVHYYQKATGIRPYDARMWVALGGSLEKLGQHSQAKS SYLRAVTNNDAEGIAVFRLAKLYELVEKDMDKAAEYYRLHWQRDRATGHNSSAEAVAG MLFLGNYCMLQGNLPEAMEWCYRLLERDGPEKEEAKAMLHAIRRMELFAPPSSDTTLS P H257_17892 MWPVDGATSPKIDRLAVGVAFDWLPKDVGVDAGVAPPLNGSYEA LDGGGLVSKFKLPLLVRDDGLLVKQLNGSAPFPLAPATISGFFCNRFLMLSYMIGGGT CCCCCCCGWFTYMPGMEGGATGAP H257_17893 MTKKHVSFATTKTVAEFHIAHNPTTVPHVGPSVGLEGPPICISS VPILDHDEVVATHGKKRRALCMEPVRCVVMLRRQVTAWRTSVAFASKQTTSNNRDI H257_17894 MSIPVRDEGGSSSAEHAVDKFVCDICAQTFRFKGNLKRHQITAH VGLKPFQCEHCPKSFARKADMQVHMRVHTGEKPYPCEECGKQFARISDLRSHERTHRG EKRFACPFPMCDKQYTRRVDLKKHEMTHCASHPTASKRPLQPREATFPCPGDLATHAA HGRGCGHLSIEHGNHLDFIVNNQLVCLQGVKSLSVAPTRRILPGSAPQPHGPGCGHLA VRHNDHVDYVVDNSIMCHHGGVLADVDSLKLLDDDFWDFFGAMDSLTTQDEMSSGTEL H257_17894 MSIPVRDEGGSSSAEHAVDKFVCDICAQTFRFKGNLKRHQITAH VGLKPFQCEHCPKSFARKADMQVHMRVHTGEKPYPCEECGKQFARISDLRSHERTHRG EKRFACPFPMCDKQYTRRVDLKKHEMTHCASHPTASKRPLQPREATFPCPGDLATHAA HGRGCGHLSIEHGNHLDFIVNNQLVCLQGVKSLSVAPTRRILPGYARPDTLTWR H257_17895 MAAAKVLTTIDLLDMILVFQDGLAADLLPFHAWNINHPRLHLVA PSFDIAAADLRQLDRLLGPWYRAYGLHRLHSHLLVRFPHWASGWICQHAAWSGNLAVL RSLSPCVLASTSDVLDIASAARQLHVLAALSHLSVSSRAFDWAAGHGYLDMIQWLAAA HPSPALSISAIVSASTLGHLHVVQFLLPFYAEPTQDAMNAAAMHGHLNIVTFLHTNEW HCSTLAMDMAAHNGHLNIVQFLHAHRTEGATTHAMDYAAKNGHLEVVKFLHRHRSEGC TTNALMSACQRHHDDVVRFLTARNARNNQSKADSIPNQCLRWILSV H257_17896 MDLLAAYASSDDEAAPRTSTSLTVVNSTPDVIVPLRKTELLAAN AKVLALNQPISMTSARVLGPLNPFQRHAPVQVGAGREIVTGVVETTEMEDFCFDEEYH QQQFRHSGPPQPKRPKVKATTYDKDVGTESEHGIWAPVAKENLFWVPSDKERGTMTDA QKELLAENERKKASRNREEEADIDFDRMIERKVAHLLPPRLAAGATAIEPKTAYLGEN EFDYQGRSWVDQYPRELKPGLDHKVFLPKKPIFQFEGHTKGVQAIELIPKYGHLCLSA SLDGTVRIWDMNNDHQCKRIYQGHKDAVRAINFAPDGATFLTASFDRYMRLWDTETGQ VKHTFTNRRVPYCVKFHPDESNQFVIGDSNHMIVQFDVRSGEIVQEYNHHLQTVNAVT FVDDNRRFVSTSDDKKILIWEWGIPVPIKYVSEPGMHSMPSTTLHPSGSYFAGQCLSN QIDVYTARDKFKLQRKKTFRGHSCSGYACQVNFSPNGQYVVSGDGEGHVAFWDWKTTK MYKKMKAHNGPTMGVAWHPIEPSRVVTCGWDGLIKCWD H257_17897 MTFRPLSKSTTSGHMKHIVEEKAGLTANLPDLEPQKAVYIEDKW SWSSYVYDPLARKRLILGSIGCFLLLLSFYFGYKAGTTAPGVQTLSTPQDLIPNILTN DTTLVRVKIPRKHKTPHN H257_17898 MLRRVVECFGWNRVHSSRASKKTSNAQPDVYIFYGSQTGTTEGY ARRLATQAQKRNVQATAMSLSQFNVHKSTQYSTVIFVVASYGTGGPTDDATSFYNWLK TSLKPDLSHMQYTVFGCGNSDYTDSYNGMAKFVDAKIGAFGATRFFDLSLGDAAGEID HLDNDYDAWEGRVLDVVAPMATADMTSSTTTTIPATAQPSKLGTFAELQHPRPPTMQQ KPTSTLQAVLYAPPTIQVISIVKLSSTALQVDFATDVPYTGTGILALYPQNAKEIVSA VAHRCGYNLDHWVDLVDDKAGSAPASIPLPCTVADVLTHYVDLCAVSRTIVSALARYA TEAADAARLGQLGATEDEYTQTIRKPRVSLLGVLHAFPSIQVSLPELLSIVAPIKPRK YTIASSRLVSPNVIQCCISVPEKPLAQLDQHHGTMGACMVSLLPAKLSNPGVRFRGLC QAPTMYFPKTHQFPVILIAAGSGIAPMRAIWQERNEVAKSGVAVGPTTLFFGCRDADS WLYRSEVESLRPLQVECHVGFSRQGQDKQYVQDVVEKHIAAIMTALDSSNAYIYICGK IDMAQAVQTILKRDRGVAWWDEIIATRRYNQEVFG H257_17899 MDLTLLLGVDGGGDDGNVQMKYERMQVVLEAINQPAFAFDDADV PTYMHIVTAHVIRTLPSPPSSYDPDEDRPTYNPHWDFLESVYTLLVHIVDAPIPPRLI KAHITPSFVSDLLGVIQSQDPRERVMVATVLHNIYAKFKSLRLHIHQQFVHVLMQYIE YGGMGYPYGIPDLLEVLSSIIRGFTTPLQPDHVTLLMKTLLPLAKHALVHYHQPLLLC ITDFVAKAPTLSSAVVEYLLTHWPHQSTAKQILYLNALEEVLEITPVDCLPQPTKAKI TALLAKCIECVHFQVAERTLFLWNSTQLINHSIFNPRHTRQVLPILFPSLMAAFKTHW HATVRMLAHDVLEMYTKRDNPTYQTCLYEYKQQRDHPATTSSYCSYTRINYF H257_17899 MDLTLLLGVDGGGDDGNVQMKYERMQVVLEAINQPAFAFDDADV PTYMHIVTAHVIRTLPSPPSSYDPDEDRPTYNPHWDFLESVYTLLVHIVDAPIPPRLI KAHITPSFVSDLLGVIQSQDPRERVMVATVLHNIYAKFKSLRLHIHQQFVHVLMQYIE YGGMGYPYGIPDLLEVLSSIIRGFTTPLQPDHVTLLMKTLLPLAKHALVHYHQPLLLC ITDFVAKAPTLSSAVVEYLLTHWPHQSTAKQILYLNALEEVLEITPVDCLPQPTKAKI TALLAKCIECVHFQVAERTLFLWNSTQLINHSIFNPRHTRQVLPILFPSLMAAFKTHW HATVRMLAHVSLKTAAAAVTW H257_17900 MAIRCQFENSNEIGVFSKLTNSYALVCVGGSENFYSTFEAELAD HIPVIHCSMASCRFIGRVSVGNKRGLLLPNSTTDQEMQHIRNALPDSVVVQRVEERLS ALGNVVACNDHVALVHTDLDRETEEIIADTLGVEVFRQTIAGNALVGSYSVLSNQGCL VHPRTTVDDQEELSSLLQVPVVAGTVNRGSDVLGAGMVVNDWTSFCGLDTTSTEISVV ESIFKLQNAQPNAIANSLRSALIDSMT H257_17901 MGQLHGKATFANAALPFVNISEKDVNKCWESFNDVAEGFGINRV EMIDICSPLQDTFEIKAKAEMERITGLLFDAMDTDENGLVDALEFLGALALLSAMTIP QKITFVYNCYDFNESGEITIDELTLAMKSTLTGLCKLSIGRSCPTELVLEEIALFAFR KAGKHPDKCITLPEYIKYCETTPEVNTWVYFFDAPQDLSDEYDLADSDLDVEAHAPIY SRAQSANMDADIPNPLVHEYAATDEPAFTQPWQNTVANAAPTSVPENAIPLPSLTLDW IYGMNSAGRQTVNYVSTNEIAYPAASVVVLYDHVEHKQRYCQYHTDLVLSLAVHPNQS VVASGEQGTRPRICVWEVQSLRLLCTLRHLHSVGIGHLAWMPDQRTLLSIGNDAFHTV AIYQWPTSGLMGMPQLTHTARTSPYRVLALQPITSSLFVTCGQHHISFWYQDSIESSP GLVFHPKPGVLGKKAKMQTLLSIAPISDKLMLSGTVRGEIWLWEGRNVIKVLFAHAAA VNVLHVFSGGVVSGGKDGKIRLWSKRMEPGASFDIVALGSFVGRVRSAVTNGDATKLL IATGGAEIYELSTSDGCNLHYGPLVMGHCSRKLGGLAAHPTNHEMCSVGDDRSIRVWD LLHHRNLRVVNLDAPARSCMYSPDGKVIAVGLGTDVDSDTPHLNGAFTILNEPNLAVK YQGKDSKKFISNVKFSADGTTLAVCSENVVYLYNTDDWASKGKCRSKDPSVAFSHFDL SSTGEWLQIATTKGELVYYDTNSSVENTRLGALKDVTWATCTSIYGWPVLGAWPVKKN SFDVVALNRNRAGTVLVTGDQFGHIRVYKYPCLPSANLSHQYHGHSGRISHVEFTMDD QYVMTSGQDDRCLFQWRMEMEVHEPTPPEFEYHATSDDEMELQTPLERSPFEEASNVG EFAIESLVHERGSEQAEPVKPWVGSCIPPTTAAPEPDTTMPPEQLEMDWIYGYRSHDS RNNLKYTKQGKIVYPVAKVVVVFDAKGWSQKHFKQHQDEVLCLAVHPTLDVVASGEGG KYPAIHVWQVQALDVLSTLRGTHKRGVVELAFNPAGNLLASAGSDADNRIVLYDWELG VVLSSVKSGAHKLLGLVFHPTASTFLAVLCLAVHPTLDVVASGEGGKYPAIHVWQVQA LDVLSTLRGTHKRGVVELAFNPAGNLLASAGSDADNRIVLYDWELGVVLSSVKSGAHK LLGLVFHPTASTFLALNTHTVLFYAQQGRNLVRKQAVMGKRGYLQPFLSVVFLHQDAI VGSTSGELYKFKGIELVTIVPAHTRNVAALVVINGTSLCSGGRDGLIKLWSGDLECLS EWNSVHEMPVRSLAWCNQSILVGTRGSAVLELSLSDGSVQWSVEMHWKGHVQALAIHP LKDKAITGGDDATLRLWDLHRHRCVLKFTLETASRAVAYSPEGAYVAVGLGGNPRKNR HKKDGTVLIYEEKVVDGAVQLEVLHETRDTKQPISVVAYSPDGVSLVVGSQDNSIYIY DVPNEYAKRATFTKHKSFITHFDITSDSQYLRSNCGGFELLFADLTTGSHVASASALK NQSWHTCHTIFNWFNQGAWPPSSSQTSITASAANSTGILVVGDSQGALKLVRFPCVRG GLPSKMYSGHVGPVQGLSFSQNGAFLISVGLYDHGIVQWKVSSSIPVDKDMANPAKLV EPDPDMETEGWFVPTPMAVAPFAGAKPYLSSLIPPSVVPDEPQSFPFNLTLEYAYGAR LQDVRGSLGYSKSKRLISITGNMGISYDRKHHTQVFYSGHTAPIISLALTGDGLLVAT GEEVFTSSNPSVRPRIHIWDPAACSPIAILAPFHSKAVVYLTFNDTSTRLVSIGKDPY QSMCIYYSPSKLWHDSRILATTRTTHLPVRFALTLPPMQSVYDVITGGADHVIFWRVD PPFCHATMGTFGAHGQIQMVTCGGALLDQSSVVTGTRTGHLYLWDAHTTTIEKSIPAH AGTINTIAVSVLGVVTGSADGHVKVWSRTLHPIWDCDMVQAKPACSNPIVRSVAWDVF ESRFLVGTKGGEVYELSQERGDTNLVLESHSEHGLFGLATHPNQANIVATGGEDCALR VWNAHTHELIGKVIMDTPIKCISYSGDGKLLAVGLGSASQTTQLKDGAFCILDATTLE IVHEGRDSKQSLADIKFSPDGTLLAIGSHDNCIYLHSVMENYALKSKCTKATGHITHL DFSKDSRYLRANSDAFELIYLNTLDGAWISSPSLLRDVDWASLTCVLSWASQGVWGDS TDFVHAMAVAMSKKVLVCGNDKGDLNCHSFPALSKNMAYATVRGHGGPVHGVAVSCDD TTLFTIGSSDRTLFQWKFVDSA H257_17902 MPLVVAAAINAHLVCILCQGYFRDAHTLPECLHSFCKSCIFRHF HLGCRTCPKCHADLGVSPKCIFDRTLHEIVDKLFPTLKDTDAALEAEFYASHGFQKRV LPVSPPPDKSAPPVEYPVVSFRLAPDTTVDAAYQLASLHQPQLALAGHNKVHDVVKVL ADQLRERDIEVLCDGTVLTYDMAIHSVKSSLWKTNAKMIWHYRKPHKDIA H257_17903 MTRRRNHSWTCSMSESKDHAARMEALRRQLNVTPSTGQPSNADT VFQLRAAMANQTISLPTVGHTQGYTASAPPLPPQQHPSAVSDSDLHIQLLQAQIAQLQ ANQAAAARAQALEAQLAQLRAAPPVVAQPPATPNLELILALLAGQPAPASVPAPALSL EAQLAQLLAPPPLAQPQVQPNNVELLRALLASQATPATAQPQALGLEAQLAQLLAAQS RNVSGHSQVAPKPAKSPTLPAHAPLCTFYQSARGCHYGSECKRRHDQPGGNNTPPKPQ PTKHPSKLCGGKPAKADICPFFNAPGGCTFSADKCKKLHIVDPKAQAIREQEVASFAA TQSDLRANVERVRQEMKAAYDQANRLDLVIVMDCTSSMGPWIKSAKDAVTSIITNIQV DHPNANVRAGFVGYRDYASYTHAPLRVESHALTTNIPDVHAFISTLQACGGRGNFADI PGGIAEALDMPWESDAKVMILVGDAPCHGQLYHDRYTGTMDPSTPCIQEQMRTIARRG IDFRFIEIQPENTAKMVAILQAEYMSTLASDGLARSFATVSLAASGDVVKFGDVVRQI STASLTASKSRSVISTARQVSTKGSTTATLVKIGEDDEADDDDSDNDDDANKSSPKNG GVKAEWAPPTTVEPLSWPLVEACPEIAAFRYCVMIRLECNNLSNPVTITTKQPTTIKL VSTPFAKGAMRTAHGMQDTKLGLNLVAKFYFGTAATMDHHVRQDVEMHAISKRLAKEF SKSKHVETGVDFITTCWYEVKGRPLFTAEPYIPGDYTKYNNNSGWVGGDDHGTAAATA NDSQVATAQAFSHFTYQHTKGQLMIVDLQGVGSIFTDPQIHSLDATKYGHGNLGQAGI TSFFATHKCNRVCRALHLTPFDNSPVDASQLQDDEAGVGATPAADKTMTCSCGLCGGI FTMFHSGFVAEINQYPDVHCPTCKLEYDKSKTSIACLECGKAKTYSLYWYKMKGMSTP KYCSKVCKAAKEPTKKPPRSRPWQKVVYLAIEAAKQPDGWSLLASVGNKFKQIDPTFS AKDHAANLMELLRSLPNVEIRENAVAPGVAANYSARLK H257_17904 MEALLQFAQDGNINEVMRLLESGANVNVSTEDGQSAVFLASKNG HGNIVKLLVKYDANVNEPNNLGWTPLIAAAVGRHVNVSQVLLEAKADPNGSIPLQGLT ALYIAASDGNEELVTLLLHYHADVALRTNADKSPLDAALQKGHTSIVHLLVAAGGGVR TSSIETSPASGDVATPLTYHDALLEAIEANSIPTIVRLLKANTDVNRCGPDGTSPLCH AALLSQEAIVGMLLIAHADGNQPNGCGGTALTIAASNGNGTIVDMLLQDGVNINATDR NRNTPLLLAVKHGHEQNVQKLLDARADATIRNADNETALIVAMKCFRRNEATILHAAV SQFPTAIDPVELQVGGKLGSGGQKCLVERATYRGIQVAVKSAINPHDTPALVAEFDAI STCNSPYMISLLGVTGDPDRPHFVVDYMDQGTLRDHLNKKLAGSAGLLSFSTPHIVWV LANALQDLHAKGFVHRDFKSDNILLSSIHHVKVAHLGDANNRVMDMAMADMLWTAPEV LLGETASAASDVYAFGVILTELDTLQRPYWDLSLDPWTLTDNLRKGVIRPSVTSSCPE WYKELADSCLKFNPVDRPAAKDIVEILQSQWRATPVHAMHFPNLLESKVVAATPSGGG GLYDVE H257_17905 MQSLDRAESWRCSACSADNMPATTSCAQCNQPQGIVATTTGSAK SQLEPRQNLTEISSVFLELVQAGDVPKLTKLVETVSARPLLNSFGKEGTALAVACRYN HLPMVWYLVQTIGMSLASKVNASDDTALHVAARHGHMDIVAQIAPFVHLGVKNLDGHT AMCVACVGDQVSIVNFLVETYPANYPELLPFLHLAVQSSARQVLATLLPRAKTTLRED KTLLQRAIQLKDQRMCMQLLKEGATTTGLDSNSVQYIHSILYPRAAAPLDQDSKPSST PHLDTKDVSKVSEMSRPLVPTTACTTDTSTRTISPSLPPLGVATPADKSERGKVKLAI GQHSDWGTVLKQHVPASDVAAAKNFQREFARLQRKQVGRTNALVRFVGTDDADAVMLY EPPGVALSRAGESPQPQPFADYASQKKSWSDVGFLHDIAEAVAFLHESGRAHGAIHSA HCFVDPLNGGGKLLVAYKGVASSTAFAAPETRQVGGGGFDAYAADVYSLGLVFLSVPG LNVTYAARELVQAMTSVQPAHRPKMAEVLSHPTWWSTKKQLLYIQSIATYAADHSRIA RIRSLTSPNWQAKLPPVVLAGTNLHRTYNHTVFALVRYIRNFKEHGRDHSSDMWLALH GAMGGDNRRVVDVTNLEFQEQCLASFVAQTYPTLVVDLWRVIGSLE H257_17906 MSDEAAGEAASRYCSEMAAFLPTIPEEMGLFRQEVEKMLNALVK SQESEQRLLRRTREIVGEIGTNQDKYAREKEEELEAYNYKKKVQVDIEVMWGQVANSH KLETEKQAKLNELRTNIAMLEEQLKNGSGWSEAQEEMMAKLKRQRSDSAREVETKANE LAQIRMEVASLQALVNEAEEEQFRLEEELANVSNEVQEKRSKADKESRRKVMLEKSLK TLKHEAETFNAEWKRKADAVKVGEALLKQKEVTLRESKTRMEKYLKQYDVLFRTTHQF TEAMENQWQKNVELQKENTQTEGTIRTKEEIVAKIEKDCVKIEQLVALTKEKIAEVDL VKEKIDEKKDACKHSLNELVNVTIEVERKQSESIKKTLDDLLRQRELVNKMLVRAADT AQGTHDLTKIKENTMKNLENEINGYRQSVKQQRDMVQQLVSERDRYDKEAEVANRKYR AAVEEAKLQDLQVTSLQDKITEGETRLKQQQNLYEAVRSDRNLYSKSLIESQEEIADM KRKFKIMNHQIEQLKEEITTKDHCLVKEHFDHHKVDKDKETLKAELTRIKKQIQSSEQ IIANQEQEISKLASIIQEADDEKQRQMKEYNAVINDRDNLRAQLILRNEELKALYEKI KIQKSTLSIGQVQYLERVKDVQHLQKRVQDLMDEHKKTQNQIACTGDLKAELLRLEGD LLQERTKIKALSEELDHPLNVHRWRKLEGSDPKRLDMIRKIHSLQKKLIKKCEETCMK DLLIVEKEKLYVELKNVLARQPGPEVAEQLLVYQDNLKKKQSQMKGMENELEMYKAQV REYKHDLQHIDRDMQALRDKWFNSLQVDHFPEQPQDDTQFPPTQAIEKPKPQLPAVPA PIDDSMFALM H257_17907 MAKQKNPSKKELIEENRVLRAGMQAAMFAPSGILNCNGALSRYR TEIISDPMTVAFQEVAL H257_17908 MLFGHSAVAILRGNEATFTSLRVNGSLNVDITKFQTNSDFTFDR IMQVMANDADCLHWRMSDMKLSADAQCVGPLNEV H257_17909 MPKTAAAIPTKPAKSRAPSPAKQTGTKSPVASAKQTTANKRPIT VLKPAAATAGYDASDDPSRLVLDHILEDSGRTSTINNNDTDSDDSLPSPKTTTPAANL PPPTDSGYTKMSAKSRRTTPQFYAHDPRYATHFPPHKQHRRATKPRPTNANNTAFQGS ERVGDETPEDIDIEGFYAFDALLDKQEDSDQPDETWYLVKWLHKVPGFASLEWVFESK LDSASCDSAKLLLDPYLALPEERRNTTSLLMWRLNQPEWLMMCANSDSSCLATICQAC HLLGMDFFFDAEDILDFKTSCDIAPTTAIPQAKLKDFILYLVQHYFLKIDLQIAAINQ GTHSKAGVIHDVVKASEEPGVYILITEENDTCHAWAFHRHEDTSVYLHVQRLIRFQPI MARRTEESDTCDAWAFHQHEDSSVYLYDGMDEVDIADTFTRPAGHRRIVFQRLIRFQS TIARQFPF H257_17911 MERFVDTRQASSLSCRMPTSWNVSHFKPFVMHRQPSKSPDLNAL DLGIFASIQSLQITKKLESIFLTFQVVMRLVLEHDGDNNFALPHLKKAALLRAGLLMS NVSCPISLIL H257_17912 MNEDPRNRPADVAAALLTDLCRQTHNTTGTPITPIDLHFADRII APPTYPPTATLAGGISLGRCHRFSHEMSPAAIDACADPVRSGVADLTHAMDTTRTLLT PLTTFTAVIGDGVTGLSTSTTSDSSVDQAAARTDHSASFHDAYVTDANQNLGADHCSL QIAIDVSSSEKPPPARQAPSKSVPT H257_17913 MIPISPASATVVYTFNPTTSGGVAGTITTLVSTAATVITADLDV AKANWTALNTADGNCTNVTDNPGKVSELTTGCLFAKTGNHSDTDYACGPNSDHIKEMT CAHKTYGCNTTSYAEAPGVCEKGDLSGKFGTMKAVSDKISETYVERPVIPGIQYNLNA MSDANTLLDFLFDVMGMKKLETRRR H257_17914 MSGAQGHCAVCKAPRLQCVETVKAIALHPYPFTVDNDLLTLTFK LNGHDVKKAFLPTIKACVTSGTTMPTSTACKL H257_17915 MSIVSPVSATVVYTFDPTTSGGVAGTITTLVSASSTVITADLDV AKANWTALNAADGNCTNVTVTEYTWHIHTKWDNPGKASELTAGCSLAKTANHFDPDFA CGPNSDNIKELKCANKTYGCNTTSYANVPGVCEKGDLSGKLGKMKAVSGKISATWTDK GNYPTVAEHKDTWNIVLHAVCGSATPRFVCANGKLLKDTVSSQPTTAATTQQPSSAIS IKASLLATAGVLFLSAFL H257_17917 MSIQPPPVPTTGTATYVFDPWMTGGVSGSIITDVGAVSTSIKAD LDLTDADWAALTAFDGNCTNVAVTDFAWHIHTQWNNNQNHSSGLTNDCAIANTANHFD PNFACGPNSDNIKSRQCANKTYGCNATLYANNPDVCEKGDLSGKLGKMKAVNGKIAAT WIDKGNYPTVAEHKITWNIVLHAVCGTATPRFVCAKIDTLSMDNNTSSITTVRPTPAT VPTTSSTTPATTEASSASAIKVSVKAMAAIAFALALI H257_17918 MQQQTVASLFCGHTRLVSDLRLTKLSSHVNAGDNCRVKSPWGCV HCVAITQLSWELPLESFLLVLPSDSILWFREIDNTMAQPVLEVFAEHGYQLFHSPEAV YADFRSSGIFRRSGVDATSASTSRLHQREALFDVALSHGLSSVIAVYVLAPQDDSNPT SSFLLQEPVAVQSWVRSHVDRARGAKSPEELQATLRQLRGLRHIVHALVERLQRGHEA TPSSFHASTESWNMIPSGDDGSIAYLSSLQDELTSVERLAEFTLWLHRHLDDSSTLNV STPSTMLRTIVASAGLVDLLPLPADVSHLFAHFHGRVDSLTFGAIMLFLTLQTHQPRA QLSWDALQSFALDAAAPALHLPRAHAAHVLALWAIDHASYDRSSSFLHSAVAILCRSP PEYMDPDILIAVLDVLLQMNQPDLAHSILSLTSNDEEADDLTHVLLVVDTYLRLDAWP LAWLAARRAPRHIAATMPRLVAFHQSRGNLRHVLLSMTWTPAEMAIWKHLHLPPADLA LLHLVRGEFDQASAVMPTTDSAAWLRQHHDLLLRTVARDAPEVHVPHAAGKDHHSKKE YHHSPLSIAAAAPTLWPKPSKDQYEVFFNRPTLPTNAAIMSSSGNEKLPSAFSLHVKK PVSTPHAGSVSPGQPPRPLAASAADSSEVVMPYQWLSTDLTRGPHSSSASKVKRANAY DEQGKSLEPAARDSKSPPRTPHHEAQSSLPARRNPVRDVRKKH H257_17918 MQQQTVASLFCGHTRLVSDLRLTKLSSHVNAGDNCRVKSPWGCV HCVAITQLSWELPLESFLLVLPSDSILWFREIDNTMAQPVLEVFAEHGYQLFHSPEAV YADFRSSGIFRRSGVDATSASTSRLHQREALFDVALSHGLSSVIAVYVLAPQDDSNPT SSFLLQEPVAVQSWVRSHVDRARGAKSPEELQATLRQLRGLRHIVHALVERLQRGHEA TPSSFHASTESWNMIPSGDDGSIAYLSSLQDELTSVERLAEFTLWLHRHLDDSSTLNV STPSTMLRTIVASAGLVDLLPLPADVSHLFAHFHGRVDSLTFGAIMLFLTLQTHQPRA QLSWDALQSFALDAAAPALHLPRAHAAHVLALWAIDHASYDRSSSFLHSAVAILCRSP PEYMDPDILIAVLDVLLQMNQPDLAHSILSLTSNDEEADDLTHVLLVVDTYLRLDAWP LAWLAARRAPRHIAATMPRLVAFHQSRGNLRHVLLSMTWTPAEMAIWKHLHLPPADLA LLHLVRGEFDQASAVMPTTDSAAWLRQHHDLLLRTVARDAPEVHVPHAAGKDHHSKKE YHHSPLSIAAAAPTLWPKPSKGNLVLLLLLYIYVC H257_17919 MFTRAVLAWRRPLGAALLRGRTIHSSSTATLQDETVLERLVCPI SKLPLEYLPAHGVLFCREIRVAYPIRHGIPILVPTEGRIVPDDEGL H257_17920 MSTSSRQCPRRGDLAHEPGHTRTAIAAQTLTGPWVSLASWQTRH HHARRSPPCAPDTTRLDLSFVLDGNKGRYAPWPKKALDKFRHVGCPRQAAMKMSYVCF CDDNSKTIPFARTCTKSLWKAQSDIVA H257_17921 MGPPNDVSSGKTSKCRKCTGIDHNRATCSKHSASEEAAQPALPA GSSYDGFHRVAVYAPMNMADILHENSSDHDQPEVTSNSTDEQSSLRAAQ H257_17922 MKKTSEWRLEQCRTNQRRYRKQAQEGLRSLEEQVATLNVETARL EGNLTILRSTTLLASAGAKLIAHYLDVFRHGLVAHNETTQVNLVRSIVADDAIVTGVQ GGADAVLDGWRQLSHAFPSLELVQSHMDVLHLDTSQLVHCYGHIKCRISQRTLESVYP HVLTNYDALAARLLGRTLTVPVARQYSIDNDTNQVTEVLVTANFMQGLMELLQSTEDA MHVMSGAKMDLWGKLLLHPDNDLS H257_17923 MAAVIERRKDVSRRSAAVSVSNETMAKLEDDVRVLTRTTARLEG QVETLQATLLLLPRRRYDSAALLCKEYWMLFHHGYAAHDRELAAKQARMCYAVMCEDA MIGNHSVGPAGMLRQWMRWGSSFHNFRKDLHNIDVIHCEPTCIVRMRGTLFVRIMRHT IEQLYPHVLANEPMVQLVIGVELAVPLQVDYIMDTRCSRIQHAVASVDFAQAFHVALG NLPITNAIIAHSLIQPSGEIPVTCGLENQDSR H257_17924 MRQRGIRLWLPIPSVLDNLGTIHGLNRMFGGVGKVDQRQELARQ HGRLEKPNALEQSVLAAIFCECFMFTCVFDQRLGASGLGEQRRHGGDFRVQRLLNFVG RTRPVQRKEPRELGFDMRAQAAHEIPANVAQFPPCGYVRCEHGQNLDGREDVERRGVV ADGNEDGLGQILIQHSQREWRGDALHRYRAERAERRPRQGQPCVQWGRQRAISHQEAF DTRLV H257_17925 MGREAKKAKAKQSRAKQAASSKKKAGRGSSGTGIDVHAVRAQLA TRGYQLVTIESDGNCLFRALSDQLHGDQSHFAAVRQRIVAHIKTHQDELEPFMEDEEK FDHYCKRMADDGVWGGNLELFVAAQVWQHHIVVHQVDGNCTTIDCGDAQAETFHVCYY NDEHYDSIRSIDDDLTGAPTQIRHDTAGSGKICVEDNASNTTSAKDTANRDIERVPHT PELQLVQLWAKLNCDENRVRRKLAKRIVKTNKRK H257_17926 MDVQLMAMQFRWLWVNCFVVKAVKIVLNYVSMSRFNGSNAFVGF CNFSSVSYLYLGAVVVMLRTPYIEYGNSDRATLSSTSTDLDHIRVDFYESWFVRSLPS MVVVMVLNLVVVLSIDRLINRHTWRRLSRNSLGRQVMFNSSSILCEMCYSFYELDNYP NNQAVVVKTRALCTVQWFLMCHIVCFGLPEDPKHVRAMLTKSMGSGGSTTWGDPARPT RPAVSRGSPSGQQTDRLWRPQTWPPCRPWPRTMTAAGTRSM H257_17927 MRLGVVSNKMQLIRGHTLAYVFTAPDTPPPPLANHTTLATLGFI PARIDVDMRLTTPVILPPVAGASATANISMYRFASRAFCSGCDPVVELGMDVCQATYH VNSTSQSLVITSSAAYLGEVHLLGLILSRSSASDIALYLRTIALLFAVAGFATSKKST RWTDVAAVDTLWKRIKHTVAPPLYRYPSLTFTFSNFCLNSDFFVVIYVLAVLMDEKNS MTYARVVYS H257_17928 MPSKKQIGLCMTRMSTHFQAVLSLASLFFVCVDVIVNNWELNNY VGNADYFLAPLYNMPTPDNLTQHFSFPTYANVATLSEIGQFMLRYTVDASYLRRPTDY LLTAGAHNIGTSANDICGTLV H257_17929 MEILSDLYLTNPDTDEINLQGANLRSLDVELPLLKQFRELRHLN VSHNQLTELPVELAELSRLVALDVSSNLLGSLHRVLPVLQQLPSLKSLGITLADPGTE EPLILAALPKLRILNAMPLLASSLSSSADVRRQSTTPTLQFTTPEDSPLPTDHVQNVA SILHVLKGIGIAVPPGSEEDQRVSRMFEQQVALVGMSLKHDIQQIPPEVHCPDLHTTA AVLLAKFKIVAACSSYATQKAGECAPELGLACQTLSLLQQDIVSALFALTRQLLIAPP APSPHPPSSSPSTQPAMDSTQIKQLLEVAEGLEADVQRGAAALANEQRNSARLEAEIR KVRKDLAAAKAAAVASTPQAPSGSPLKDGSCRPPHSTLRGGASSLTKAATSGGRAKPM GNISNSLPLPPTPNPPSVSGPSSTAVKNLSLKQLKDLIEAIYASKRKYDQMNADANTP KETMEQHMYTYLNQRFGLHALIVEYASAIMKGCARYGTLDCDVATFLHLVRNEVDEGY LRLKQKLEDTVVALLRAFLRGLHPRKSEGTITQLVHAKLTSATTLTRDEWQSIVTYMY DTHDTTTILQLIESRSKHTRDMGLDFQVFRKILLNYQLHGRLKLLAEFCRQFEGVDVD RVGILKRRDFIDLMLNLTPYKTQDEIALVIQQVDPHEHDCITFTDAVEALFKDIRTLH QKGLASSAGSGGRAHGQPPPPPPPLALSFDH H257_17929 MEILSDLYLTNPDTDEINLQGANLRSLDVELPLLKQFRELRHLN VSHNQLTELPVELAELSRLVALDVSSNLLGSLHRVLPVLQQLPSLKSLGITLADPGTE EPLILAALPKLRILNAMPLLASSLSSSADVRRQSTTPTLQFTTPEDSPLPTDHVQNVA SILHVLKGIGIAVPPGSEEDQRVSRMFEQQVALVGMSLKHDIQQIPPEVHCPDLHTTA AVLLAKFKIVAACSSYATQKAGECAPELGLACQTLSLLQQDIVSALFALTRQLLIAPP APSPHPPSSSPSTQPAMDSTQIKQLLEVAEGLEADVQRGAAALANEQRNSARLEAEIR KVRKDLAAAKAAAVASTPQAPSGSPLKDGSCRPPHSTLRGGASSLTKAATSGGRAKPM GNISNSLPLPPTPNPPSVSGPSSTAVKNLSLKQLKDLIEAIYASKRKYDQMNADANTP KETMEQHMYTYLNQRFGLHALIVEYASAIMKGCARYGTLDCDVATFLHLVRNEVDEGY LRLKQKLEDTVVALLRAFLRGLHPRKSEGTITQLVHAKLTSATTLTRDEWQSIVTYMY DTHDTTTILQLIESRSKHTRDMGLDFQVFRKILLNYQLHGRLKLLAEFCRQFEGVDVD RVGILKRRDFIDLMLNLTPYKCITFTRPKTRLPSSSSKWTPMNTTALRLQTRWKRCSR TSARCIKRVWQVALARGVGRTANPLRRPLR H257_17929 MEILSDLYLTNPDTDEINLQGANLRSLDVELPLLKQFRELRHLN VSHNQLTELPVELAELSRLVALDVSSNLLGSLHRVLPVLQQLPSLKSLGITLADPGTE EPLILAALPKLRILNAMPLLASSLSSSADVRRQSTTPTLQFTTPEDSPLPTDHVQNVA SILHVLKGIGIAVPPGSEEDQRVSRMFEQQVALVGMSLKHDIQQIPPEVHCPDLHTTA AVLLAKFKIVAACSSYATQKAGECAPELGLACQTLSLLQQDIVSALFALTRQLLIAPP APSPHPPSSSPSTQPAMDSTQIKQLLEVAEGLEADVQRGAAALANEQRNSARLEAEIR KVRKDLAAAKAAAVASTPQAPSGSPLKDGSCRPPHSTLRGGASSLTKAATSGGRAKPM GNISNSLPLPPTPNPPSVSGPSSTAVKNLSLKQLKDLIEAIYASKRKYDQMNADANTP KETMEQHMYTYLNQRFGLHALIVEYASAIMKGCARYGTLDCDVATFLHLVRNEVDEGY LRLKQKLEDTVVALLRAFLRGLHPRKSEGTITQLVHAKLTSATTLTRDEWQSIVTYMY DTHDTTTILQLIESRSKHTRDMGLDFQVFRKILLNYQLHGRLKLLAEFCRQFEGVDVD RVGILKRVHMCSCSD H257_17929 MEILSDLYLTNPDTDEINLQGANLRSLDVELPLLKQFRELRHLN VSHNQLTELPVELAELSRLVALDVSSNLLGSLHRVLPVLQQLPSLKSLGITLADPGTE EPLILAALPKLRILNAMPLLASSLSSSADVRRQSTTPTLQFTTPEDSPLPTDHVQNVA SILHVLKGIGIAVPPGSEEDQRVSRMFEQQVALVGMSLKHDIQQIPPEVHCPDLHTTA AVLLAKFKIVAACSSYATQKAGECAPELGLACQTLSLLQQDIVSALFALTRQLLIAPP APSPHPPSSSPSTQPAMDSTQIKQLLEVAEGLEADVQRGAAALANEQRNSARLEAEIR KVRKDLAAAKAAAVASTPQAPSGSPLKDGSCRPPHSTLRGGASSLTKAATSGGRAKPM GNISNSLPLPPTPNPPSVSGPSSTAVKNLSLKQLKDLIEAIYASKRKYDQMNADANTP KETMEQHMYTYLNQRFGLHALIVEYASAIMKGCARYGTLDCDVATFLHLVRNEVDEGY LRLKQKLEDTVVALLRAFLRGLHPRKSEGTITQLVHAKLTSATTLTRDEWQSIVTYMY DTHDTTTILQLIESRSKHTRDMGLDFQVFRKILLNYQLHGRLKLLAEFCRQFEGVDVD RVGILKRVHMCSCSD H257_17930 MKRSAATAMKRSYSCMDLAALETDPAMMGSVCPKKRLTIPPRYR SLSSGPTAREKNLSQWLQAYDCMTLSLPIVESSSIEDVLCSSSISSVLPFVPSTPTKD QPDAIQLELIDLWQQAWIEDDHPQDHTSRTL H257_17931 MLRRTLAVHRCIVARAFHSTPLLAAEHIVKVPSMGDSISEGTVV EIKKQIGEHIHVDEVVMVLETDKVSVDVMSPVAGTLVKTLATLDEDVQVGKDLFVVDG EVSATVVSATPVSAAAATPVPVAAVVPAKSTAASSSSHHRVPRIAFLGKRSLLHAAAT HDHSTAAPASTLVVPPSSSASVVSFFDIPARFARKPISKDEVEAINSGRAFA H257_17932 MADGTTTTTAAAAPMSKLQTSLSTSSDNLEALSPNAPSLTSRVI ARSSVGTEFYLYKHQVGGHKPLLRSAPGEVCKPALPLELQFYQGLASTYPQLIPFVPT YLGTIVVDLHPSSNGSDNVADRTNSDSVASTKSSSTSNATRRPSRSMDHRGVSLILWN KEIVRDQSSFLCEYLVLGDLTQGYTRPCVLDIKMGTRQHGADASPAKARSHSVKCAKT TSATLGFRLCGMQIYQPADGRYVLRDKHWGRLLQPGDIPPALHFFLSTGDALRIDAVE QLASRLRELQAVIERTTGIRFWGASLLLVYEGDLAVTSTTVRTDVRLIDFANCHHDPS LVTPDDGLLLGLDNLIAHLDQINADAA H257_17932 MADGTTTTTAAAAPMSKLQTSLSTSSDNLEALSPNAPSLTSRVI ARSSVGTEFYLYKHQVGGHKPLLRSAPGEVCKPALPLELQFYQGLASTYPQLIPFVPT YLGTIVVDLHPSSNGSDNVADRTNSDSVASTKSSSTSNATRRPSRSMDHRGVSLILWN KEIVRDQSSFLCEYLVLGDLTQGYTRPCVLDIKMGTRQHGADASPAKARSHSVKCAKT TSATLGFRLCGMQIYQPADGRYVLRDKHWGRLLQPGDIPPALHFFLSTGDALRIDAVE QLASRLRELQAVVDGRSSLPRGTSFPWSRLNAPPAFDSGERRSCSCTKGTSP H257_17932 MADGTTTTTAAAAPMSKLQTSLSTSSDNLEALSPNAPSLTSRVI ARSSVGTEFYLYKHQVGGHKPLLRSAPGEVCKPALPLELQFYQGLASTYPQLIPFVPT YLGTIVVDLHPSSNGSDNVADRTNSDSVASTKSSSTSNATRRPSRSMDHRGVSLILWN KEIVRDQSSFLCEYLVLGDLTQGYTRPCVLDIKMGTRQHGADASPAKARSHSVKCAKT TSATLGFRLCGMQIYQPADGRYVLRDKHWGRLLQPGDIPPALHFV H257_17932 MADGTTTTTAAAAPMSKLQTSLSTSSDNLEALSPNAPSLTSRVI ARSSVGTEFYLYKHQVGGHKPLLRSAPGEVCKPALPLELQFYQGLASTYPQLIPFVPT YLGTIVVDLHPSSNGSDNVADRTNSDSVASTKSSSTSNATRRPSRSMDHRGVSLILWN KEIVRDQSSFLCEYLVLGDLTQGYTRPCVLDIKMGTRQHGADASPAKARSHSVKCAKT TSATLGFRLCGMQIYQPADGRYVLRDKHWGRLLQPGDIPPALHFV H257_17933 MGWALPPTYSAFKRERLLHGSSIIPPFLCESCIIPSLLKTSTGN STDADHHKAITLDRMLRAEAVDAKREQYRQSKQAAMAIERNLQLRKHAARVLQKWLRM YMEPRECERRAACTRILRWWRRWKLLAAQLPRKELHMSYMQPKDSLSHSPRIHLQSTE RRSQIIPPTPSTIEAPRTPNINHTSQSLPPSANPHGLVLELLAVRGLVVASTWQSLSA EVCAFHKASPHTTIQTSHIPCNGGGFEWTGENVLAVGQHRLNLTEWHCSVKLYRHGGQ MGAKCLGQVHLPADLLESSLAKHRNLTQWFPLEKAVPGDVVRGEVRMSFHYQEEVAAI TTIETIPPPNTQRKQVASIDAYSSDIPFRKKERQSRPGLPSPQTHEPKARPDKRTPAA TVATTVSPEKKKMLMSNSPSKIPDPLASNQAIANNDDSSSSRDVDTPTPHPYLKRKPY RIRFEKLDWSSVASKTDSNVPKAVPQQPPTPAPPSEQVPEKTGASLEQLMSAHNQRNL ALVHLKQKAELHAIFHLPPPPSVLPTLTRPALGQLHRLPIGDTTRRLERHYDLLRQKW GL H257_17934 MSETVEEREGQLERHASAEIKSKSIAMQTPEEHSRRLQNLKAKR FMESPEQHRARLDSLQAKRQLELPEDRDVRLTALKAQYSAQTAEARSSRLGYLKAQRK ALHVFESVEERKSRLERRRQGLHRVQEAKINDVTASEAQCAALDDAGLLHECSKDMKS KILGELGFALGPSGIDQCVCCVCDRLAFTTDVHVYSNEDKDILQSMAARLRNPDATLR DELVAYYDCKDIYPAFVGLMLSRKGITHAGNVNNIENPKDVDFNVCLECEIVLLQPWL SELPRHYVFPGEPVDSERDIDHEFDDHNEHAIAYADQEACLYDDPIEDNDGSSIDALA EVEFPDFSEIPVESWPLPPKHAIANHFFVGELPDELFKATWAEMLMCSLVSVVAQTRI IRGGEHRMIRSHLILFDADNASIHSSHATKAFLEMSCLGRHYHRT H257_17935 MQAAIRSKESRKEHAIRLEKLKAKRAMESTEEHARRLQNLKTQR AAEDSQKHAMRLQRLKANRAMQTPEEHARRLQNLKAKRVMESPEQHRARLDSLKAQRS MESPDQRTSRLSKLQANRQLELPEDRDVRLTTLKVQYSAQTVEARSSRLGYLKAQRKA LHVSESVEVRKSRLERRRQGLHRVQEAKSNDVTASEAQCAALDDAGLLHECSKDMKSK ILGELGFALGPSGMDQCVCCVCDRLAFTTDVHVYSTEDKDILQSMAARLRNPDATLCD ELVAFYDCKDIHPAFVGLMLSRKGITHAGNVNNIENPKDVDFNVCLECEIRA H257_17936 MLRETKLKETEWDFLLPVVQANINQSLVATLDHTSPMECFTGLE STTALNTIKKLRQAVEELQEVHSTIVDKRCAAENKRMLEKVNQNEMNVTEGDFVLWSR VDKNTHNPKLLATWIRPFRVLKCLLYSCVIEQLITGVQREAHQSRLKFYEENHFQVTE VIIDHVSEQGTTLVVDPIEYARRNPGSNQWELLIRWKGLESLEASWEQLPAMRQEVSS LVQSFADQLQNGAKREGLVAALEWL H257_17937 MYVCQSAKCLEQQEAAQTFGIAVRGLHSLLSTTRLERERHFEQL NVVTIARIIRELRGSYQKRKSSSRSRCAQEGAIRNLPVEANADATNTDTGHHHRYKYV QGNYATLHSHREQSRARMYPECNHLAFPDPNFSCSHHTPRHPQPSTADQASPPEWLQP DASSGCQELRVCPSWQRNTPPMPVSGT H257_17938 MATAAQRESSTLEDILGAPVAERSKKAYASGIRQPFYIMPRRGK GWCPASTELMLDKIELFLPAGHNGWAKVADGAHSPENKTSRLSNASGGSDTNLMARSK SIETIQLSHFTYEEGAIGGTLFNSKTVQDGSKRRDPRHVYANVLQPHICVFLTLGL H257_17939 MSLPPPFSPRTALPITHRLLGAENYDDWFLELTSIILLREGWDS MATQCTEVEAVDVSRIRHHLDAAANVQPGTTLLHLLLLCTPTRSWGPRITEWLNINRS TNAVFANAIRADIESKLKAESAAVSRACTLVQLSLSDTIWRDIAASNLEKCAYCIITK LRGKYCSEDQKHATAISVYQRLHAFKFRPQASLDANVQAFDKLRTAVEKLEGYPLSDS HLPRSTAGS H257_17940 MPTLRSKVTKQIAASSSLLRCLEGLVKPSYAKQAEKFVHRSYCP HDIFVGIRVPQCREAVKTHLAATTLNDVVDLLHDARHEMRLTGFIALTEAFLRPTTTS WWSDTEDVVPVDDHIKQLDYSADDLRRHAIAKIYLANTSHCNNWDLVDASAHKILGEH LIRFHVADLASFVLAGPTAEAIDLLPAWYQRLLRSNDLWETRISIVLLLKLLPTEHYH VAYAVCLYHINKFHADPLLRCTIRGVPFESLDLIHKALGWVLREAGKHDPARLTQFLH EHASKAFKTTVRYATEHMTRPKAKRFLDVAQRGVGGSVVVVAPLLEE H257_17940 MRLTGFIALTEAFLRPTTTSWWSDTEDVVPVDDHIKQLGRYTRL SLVHFDIWVSSYLIDYSADDLRRHAIAKIYLANTSHCNNWDLVDASAHKILGEHLIRF HVADLASFVLAGPTAEAIDLLPAWYQRLLRSNDLWETRISIVLLLKLLPTEHYHVAYA VCLYHINKFHADPLLRCTIRGVPFESLDLIHKALGWVLREAGKHDPARLTQFLHEHAS KAFKTTVRYATEHMTRPKAKRFLDVAQRGVGGSVVVVAPLLEE H257_17940 MRLTGFIALTEAFLRPTTTSWWSDTEDVVPVDDHIKQLDYSADD LRRHAIAKIYLANTSHCNNWDLVDASAHKILGEHLIRFHVADLASFVLAGPTAEAIDL LPAWYQRLLRSNDLWETRISIVLLLKLLPTEHYHVAYAVCLYHINKFHADPLLRCTIR GVPFESLDLIHKALGWVLREAGKHDPARLTQFLHEHASKAFKTTVRYATEHMTRPKAK RFLDVAQRGVGGSVVVVAPLLEE H257_17941 MRSSSSQSKPEPDAAKPSPTSAEGFLANGSEQFHAMLATHLETS TGKAMPQVEIRFKDLSLAAKVTVANKDNELPTLFNHAKKSVMGFSKSSKRVEHKDILH TVSGVFKPATMTLLLGQPGSGKSSLMKMLAGRFPIEKNIQFGGDIQYNGSDRSEVLAQ LPQLVAYMSQRDHHYPTLTVQETMEFAHQACGGSVVPERVLESMKHGTPEENAEALET LKALYEVYPDVVVRQLGLSICKDTIVGSAMLRGVSGGERKRVTIGEMEFGMKQVSLMD EISTGLDSAATFDIIKSQRSLAKYLKKTIVIALLQPSPEVFDLFDDVLVMNQGHVMYH GPCNQARAYFESLGFVCPPKRDVADFLLDLGTPQQLQYVSPLAAGSSMALPRYPGEYS ALFQLSPMYRGMMDHVDGPVHPLLLHDADHHMKAVPEFQNEFAKSTMQLVSRQMKVML RNTAFVKTRAFMVVIMGLLYATTFYQVDPQLAQVMYGVIFQALLFLALGQVALLPAIL DAREIFYKQRGAHFFRTLSFVLAQTITQVPFSVAEALIFGTIIYWISGFVSDVGAFLV YELILLLTNILFASWFFFVAVASPDLHVAKPLSLVSVLLFVLFAGFIIVKTDIPDYFI WIYWINPISWGMRALSVNQYMASEFQVCEYNGVDYCKAYGGKTMGEVQLELFGMPTDT AWIGYCLAYMVAMYFAFVGMSFVALEYCRYEHGHGHGPSVASSPEDSSSSSLSHDHEP DKAAAYAQMPSTPVLGSHSDDSSVAVDIRGPTSVAAFIPVTLAFQNLHYFVPNPTKGE PDLELLKGVSGYALPGTVTALMGSSGAGKTTLMDVIAGRKTGGKIVGDILLNGYAASD LAIRRCTGYCEQMDIHCESATFREALTFSAMLRQSSDVPTPVKMAHVEECIRVLELHN VADAIVRGSSVEQMKRLTIGVELAAAPSVLFLDEPTSGLDARSAKIVMTGIRKIASTG RTVVCTIHQPSAEVFDMFDYLLLLKRGGETVYYGDLGANSEHLIEYFTRVEGTSLLQP GANPATWMLDVIGAGVEAKNAATDFVQVFHASPEAKQLQAGLAVHMLSHPDRPEMKFT SKRAASNATQCQYVVQRFMRMYWRTPSYNYTRLMLSIFLAVLFGLCFRSVDYTTFSGV NGGIGMVFITTLFVGIISFNSVLPLAAEERASYYRERASQTYNALWYFVGSTVAEIPY VVVTSFVFTIIYYPFVGFKGSVWDVVFYGINLSLFVLYNVYFGQFMAYVMPRVDVAAA MGVLVNSIFFLFMGYNPPASQIPAGYRWLTTICPPKYSLSVLVAQVFSKCQIPTDMGC HIMTQIPPIVLKEIGQPHVNVKQFTEHLFDMKYDDALVNTIVVVGCIVVFRVLGLLAL RYVNHQKR H257_17942 MLVVCSRGIIKPRVVAMFGVGVYMVGDDLVINMTALVLCLVTLM AGVGYSARPQLN H257_17943 MTDATPVSIWYAAKQGDLTTLKHLIEVTGHAFDQLDPELKTPFY YGCTYDRVYVLQYLEGLYRSRNVEMPEDQRAWCIVSCLTKDVRLYLEGKTTLNDVIAK REKAARDNELSARDAAVAGNTSRLRWFLKNDHDSVLKQGDASSVLVAAAEANQLATTA MLKDFVKSQVTPEEFERQLDTARAATTSDNVRKILDGQLSMKDVMLLEKAAAPTPRGS FD H257_17944 MATEGMHDVNWHKIFPKRIPVILRDLAPQNAFNPTNLWPEEAAA GGAAPATSPDAEKGKKEKKDKKAPVLKKADLIRLQLAKDLEEKKAKQDEEKLSNAKFV NLMDMKMATAAGRMKQLYEIKKEFLAKKNYIDAVDTLWEIQHTTNTPTEDEVVVHKKY KKYAKKAIDYLKDTPLIPFQLTEMSDRLPPLNLHHMNKFILDKWQKEVLTHIDQKHSV VVCAPTSSGKTVLSSYVSVIGGKVLFLAPTEPLVWQVAALFQAMVKGTVALVTKGTVF LPEGFRICVGTPAAVESALLDIGYDFQYCVFDEVHDLNGVEGDALERIVKSMSCPFLA LSATIGNATKVVEWWREFHPNPIHLLEYRGRFINLQRLVFQKTKVVPLHPCAAVTLEY LVDQGFAAGDLAFTPRDTYALWNAMWKVYPHELIQDIAPETYFESLVQSSSGHTVSAT GASASSGVDAKKSKKKDDKKSTSKKSTKKAKGDDDDDDDLSFLNPMCHRITLLESKQY EEVVKARLQALAATYPAETQTLLKELGLSTLESTELDVTALILDLVAKQLIPAICFQL DSVRCRQLFDELLAGIEAAQDAKYPGYRLKLEEDHAEWEKQQEVAKKAKAKSKSLQED QEREAQEFQESNAPDIHAPHPEFVLTPPGFRLSAAEFREIKWQLRRELSESSDDGHPL VRSLRRGIAIYNENLPAAYLRIVQALAQVGRLAVVFSDEALAYGVNMPFRTCCFCEDA PTLSSLMVQQMAGRAGRRGLDRQGNIVFSGIEWGRMQELMRGLLPNVDGNTHNLYPTL CLQSFLSPHVTPELVTRMASHSLPHFMRGQVVSDYLDQSRQFMTAVGLLSPSGQLNVD TSIARLIWECRKDVAESIGIFHLLELMLTEFGRVPGDNIGHQLALFNMLLRVVGREPY HAEYAHGSALSVVSGQEAVWDKVTVILQALHDKVAALGCPDLVLPVALDAPLDGYVWS TLVENVIPTGLKTAQLNAIKKRLWHVGDKLRLMHNLLMYSGRYGVLEEIVRKCFRRIK WILIDSEV H257_17945 METPLSPSPLPLTLQLDPICVEDIPVDDEFDPVEEALLSPTSKQ RYRSGALQHRCGFGFIKGATVRTKYFRLRDHGLLGYFSQDDHTASLEIMFTLFTTIEA MPSTNTFVLRSVDIVQTATWLKVEDPVTFKTNSADELETWVADIRTKLDLMKRKEDAK SYRKRSMIPVHNADDLVFSITDDPPYYRTFSHKFLLLNEIGEGSFSIVRKAVNRVTAE MCAVKCSKHTPALLEEVAILKQLHHPNIVNLHGVYKLDELFYIVMDYMADGDLCDKLI QVQKFPEPHVRHVITMVARGIQYIHSLNIVHRDIKPENILLHKTSIKLADFGLAKRIT DPSGHFQKGCGTPEYAAPELLYGRPYGTKSDLFSLGVVMYVLLFGNFPFTVASAAALQ RLDRFSDGEDIRDMSCLHPSNPIWNTVSAQAQEVLRGLLAVDPTDRYSASDLLAHRWL TQGNVTPSAGCEVEPTLTDNDDSVRIQNCIEMGFAELICRGFEVLKHNQNGKTSHAHR TTLRYDVATHSVSWTPASSLHQHPKKHFKVHPRTIFLKDVVAVASGCDASRAFAKMKH QTIKDDHCLSLVTESRTLDLETETKGQQTYLVEGLTRLVQMAKQPTLPNVQGNVISTK H257_17946 MANLFRGVREAATGAFNLLRGEVMAMAAEGSPPHVEEIVHSDDD DDDDVQFVEPPREKVQGTSVVPRTSSTSDFDRQQSRFKPPARNSIVGSSLEHASAGPS TARPKGQSARTSLTAGSVQCAYTAICERYVGATTLLFHHDRREMEIQFLTKNNNVNAI NKYCKPLDVIKRVYFPFNEVKALGHIKDESFKLVVFTVVWSCRLVTLQANGLHEPAPT PECAFAFDDDMELAKMQSLLAIHFDHVPCPSLLLETAAKYQRVMARKFKSSSRYFAPV DDAAHHPMTTRRAEKRKMSSLFYPLYTPSTFDEPMDKVSANAFASSRARLRDSMATSV QPSSTCSNDHHLTAMVVVDSPRKPRARDEDKAAAAARQHAKDRVVLHYPVKLAKNRIT LTEGDVDRLVEGEFLNDNLMDFFFKYCYQQLDPWQQQHMYFFSTHFYTTLAQQQQHHQ TDDLDPTSADRSFDKIRRWTKNVSIFTHRFLFVPINDNCHWSLAVICNPGSLAQPPFV EERGNAAPCILFFDSLNCHNKVKIVATLQAYLEKEFQSRHPEYSFDATRATLVEPEVP RQTNSCDCGVFVLLYALELIKRYPGGVMTEDVDVQCRTLLNQVMFGHQNVVEFRDYLQ TLLGLLSVWQQADIFDTKDKLDHAGMGLFTNSFTC H257_17946 MANLFRGVREAATGAFNLLRGEVMAMAAEGSPPHVEEIVHSDDD DDDDVQFVEPPREKVQGTSVVPRTSSTSDFDRQQSRFKPPARNSIVGSSLEHASAGPS TARPKGQSARTSLTAGSVQCAYTAICERYVGATTLLFHHDRREMEIQFLTKNNNVNAI NKYCKPLDVIKRVYFPFNEVKALGHIKDESFKLVVFTVVWSCRLVTLQANGLHEPAPT PECAFAFDDDMELAKMQSLLAIHFDHVPCPSLLLETAAKYQRVMARKFKSSSRYFAPV DDAAHHPMTTRRAEKRKMSSLFYPLYTPSTFDEPMDKVSANAFASSRARLRDSMATSV QPSSTCSNDHHLTAMVVVDSPRKPRARDEDKAAAAARQHAKDRVVLHYPVKLAKNRIT LTEGDVDRLVEGEFLNDNLMDFFFKYCYQQLDPWQQQHMYFFSTHFYTTLAQQQQHHQ TDDLDPTSADRSFDKIRRWTKNVSIFTHRFLFVPINDNCHWSLAVICNPGSLAQPPFV EVRSLDI H257_17947 MGEYDHLGAILEQSSATKVSLKTTRWTQGPIIATFWKRMRQTLA PPLHSHTFSFASYCLNNSDCSCEATPSTTSFFTAPNQAPPTNASHSDLAYLGFVSARL DVDMLLTTGVVFHPPRGKL H257_17948 MGEYDHLGAILEQSSATKVSLYPRGLVLKTTRWTQGPIIATFWK RMRQTLAPPLHSHTFSFASYCLNNSDWFVVVYVVAVILDENNSITYSRLVYQWNIEDD RQSVDRH H257_17949 MAAIHFRWLWVNCFVVKAAKVLVYFANMTRYNGSNAVVRTEYIK HGNSDVETLSSSTTDVDGIRVDFFKSWQLACPVHDTYGIILLYDAKKREIQAMGFEET VLTDAQLIVKTMRATSPTTPLPGLLG H257_17950 MSHNLRRHAKPKPVSGLGDASFQLHTTQQTPDRHPPSNHDDDQS GFDIKQFLMDQKATQPFHDSALFRSSASAGRGILNTNGQDGGTNQPYPLRSSGSTLLK KKQLPRMDQPPPAAASLGKGTSSMPTLNAAGSLSTNTPPSTKKLPPQEEVTSSSGYRL YAMENMADCSLHASRSHNHTWQTLRTSDLRSECPCKRSDVLDLVACFDAAMSYSGGKD NWEGDRKTALIDRDYMAVQANVVALYRPATDVTKRLLTSIVFEQKWSDIVLGELEGML LVSFFEQGNLLRNVRIQYATAFYRLETHYTACEAEKKRALDEATQCRAALTQQATAHV TGMASLRQEYETVIAALKDQMEHERAEADRKLFDAKEQIAKMSETMKTLNAIFKQMRE DSDKVRAVELKETNEKLERKCSALDDEAKLLRPLVAQNRNFQAALESQAAEMEAASTR LQELVASVEDKDRIIENLLHRQEQLLVKQDMMVDQQRTKGSGGNDAGGAEASHDDGAN PPDASHLCTRCQMSLLDDTSGNGGGGNNNYVGVATGGGSCSVGAQPIPLARRRDGKRV QCLAYRILLPNLQGRRPTKDVSWTLGCMRAILYAKQLDDAICFHMGLPIRLRMAEFVY AWFAPVDNPDLPSDQRDAVYAQADEARWSLYYGAKLLSRDSTEAKVFLSFLDEKYGDD ELVFGLFCMRVLDCLAGGELDWSPLRHSMSYPLFNDEWAAHFNFTGESIQVPKVVWIT LHHASLATAIVLAKATADERDAFDSNMKAMATLSLPPSDRPTRVVSFDGKNDGPMLDA FQWLNLMLQEYREEQAQRRAAIRLMFQTATTNSNSTTQTTSSSTDDLMASGSANAEMD MEQFRAMVVALNSDVTAGTIATFYRASYERGDGHVTYDAFMATAEALHFFTSCMRLPS PNVLATTHVDTSGGGGINAPHARLGSLVAKHFTLYEAECKLNLQTSPPLTQSLAKAAL EELRVVLREGRGSSIDGFRALAAYQRLLALQTHDRMVRTEHASSAITSMVAYRLDKEL YSAMDCVRIDHSKRSGAEVLLDSIRRKMSVHRLQRAFRARLLRDQGVPLNMRQLMHGG YGNGRTNYRDRRAIRPTKWLVVVIADLVRSKMQADAVPSANPSRIFVEHIYDHMTLHF GSRWEAEKTIHDIFVNTRSLVATHPRILLFSQLCGMGASGEDKIYGSPQAFAFVTMVL HCGHHRFPILHPNAGDSSDYCRHDDALAIIDVFFSSTGSEKKERMVSRLRELERQSPK PKTSDADMLLLLLLEEWRHYMLDRMNQIKVVCCSDSNLMAIEGYLSLDNVIAIFRRTG IDISELEVAQVFRQVVQSNYSSLSLMERLVKFVFPILCHEITATEVHDVATPHTNDQI YLLLSFWEPYEATCAQVVGDLRALGTKNDMDAAMSNVDVDRRRNSLSRAASVNSKLRA ANITAADAVKFEDKFKALSDKMRSLASHHAASINKNGTTMDISNSSSSSPERGGDADD DDSDDGGAAAAAAIWAEDVAETAKQFRKFLTECTRLRALAKIEVGPIPDKWHVDVVAA STS H257_17950 MSHNLRRHAKPKPVSGLGDASFQLHTTQQTPDRHPPSNHDDDQS GFDIKQFLMDQKATQPFHDSALFRSSASAGRGILNTNGQDGGTNQPYPLRSSGSTLLK KKQLPRMDQPPPAAASLGKGTSSMPTLNAAGSLSTNTPPSTKKLPPQEEVTSSSGYRL YAMENMADCSLHASRSHNHTWQTLRTSDLRSECPCKRSDVLDLVACFDAAMSYSGGKD NWEGDRKTALIDRDYMAVQANVVALYRPATDVTKRLLTSIVFEQKWSDIVLGELEGML LVSFFEQGNLLRNVRIQYATAFYRLETHYTACEAEKKRALDEATQCRAALTQQATAHV TGMASLRQEYETVIAALKDQMEHERAEADRKLFDAKEQIAKMSETMKTLNAIFKQMRE DSDKVRAVELKETNEKLERKCSALDDEAKLLRPLVAQNRNFQAALESQAAEMEAASTR LQELVASVEDKDRIIENLLHRQEQLLVKQDMMVDQQRTKGSGGNDAGGAEASHDDGAN PPDASHLCTRCQMSLLDDTSGNGGGGNNNYVGVATGGGSCSVGAQPIPLARRRDGKRV QCLAYRILLPNLQGRRPTKDVSWTLGCMRAILYAKQLDDAICFHMGLPIRLRMAEFVY AWFAPVDNPDLPSDQRDAVYAQADEARWSLYYGAKLLSRDSTEAKVFLSFLDEKYGDD ELVFGLFCMRVLDCLAGGELDWSPLRHSMSYPLFNDEWAAHFNFTGESIQVPKVVWIT LHHASLATAIVLAKATADERDAFDSNMKAMATLSLPPSDRPTRVVSFDGKNDGPMLDA FQWLNLMLQEYREEQAQRRAAIRLMFQTATTNSNSTTQTTSSSTDDLMASGSANAEMD MEQFRAMVVALNSDVTAGTIATFYRASYERGDGHVTYDAFMATAEALHFFTSCMRLPS PNVLATTHVDTSGGGGINAPHARLGSLVAKHFTLYEAECKLNLQTSPPLTQSLAKAAL EELRVVLREGRGSSIDGFRALAAYQRLLALQTHDRMVRTEHASSAITSMVAYRLDKEL YSAMDCVRIDHSKRSGAEVLLDSIRRKMSVHRLQRAFRARLLRDQGVPLNMRQLMHGG YGNGRTNYRDRRAIRPTKWLVVVIADLVRSKMQADAVPSANPSRIFVEHIYDHMTLHF GSRWEAEKTIHDIFVNTRSLVATHPRILLFSQLCGMGASGEDKIYGSPQAFAFVTMVL HCGHHRFPILHPNAGDSSDYCRHDDALAIIDVFFSSTGSEKKERMVSRLRELERQSPK PKTSDADMLLLLLLEEWRHYMLDRMNQIKVVCCSDSNLMAIEGYLSLDNVIAIFRRTG IDISELEVAQVFRQVVQSNYSSLSLMERLVKFVFPILCHGKRGVF H257_17951 MRAMPKSRLQRALRGLGVLCGSRPVAVITVAFVFSVVCTLGALR MTIQNDPQKLWVPPTSTSAKQQAYFDENFGPFFRIEQLIFHFPNGSDDNDLITAPLLA EVAALQHRIETTTVEVDGRNITLDDLCFRPIPDKGCLVESPMQYWRNNVSLLATDPDI KLTVVCQTTHPLLSTYAPCMDQNGIPVMRDVVFGGLATDTCHLNPDPCGDSTPRASAL IVTFLLNNPQNTTFLAHAKAWEAQVFLNTSFSSPSGLVVERMAQRSVEDALTVETQQN AFVVVLSYGVMFVYVALALGNARDPVRSRFGLGLWGILIVLFSMGIAFGVAVCVMRIE ITMITLEVVPFLILAIGVDNMFILTNELDRLTRCQSHVSREFHSLPQLVGEAMMHVGP SITVAAASESLAFLVGAYTKIPALESFCMVAALAVVADYVLQMTWFAAALALDARRMR ARRYDLCPWIKKPYVLSPDKARQIRAYSDDAAAVDSSVVQTFLDSKWIPLLFAKWTQR LVVVVWIGWLGWSGYSVTQIPMGLEQTLAVPSDFYLHSYFEAQNKYGDAGPPAYIVMR QVNYTDRQVQRSTMDLLDNLSLLDAYMDTPIFAWLNTFNQWRQLRAFLEEKREDDECD CPAQPMLPFAFELTEPGDTQPVTPTEFFYPLVYNFTRIPIDSQCCQSFGMCGAQYAMD IEFQFRRQDDDENQVVAHVDGISASRFRFQVSPLVNQSTFINSFYYLNHYTTLWSEPI LPLDGDTRNPVAFPYSLYFVYYDQYLSIQGIALQSVLLALGVVFMAMMLLLPTQVATC VLVALSVLSMSISLVGVVHLWNLCTSLHTSINAVSVVNMVACVGLGVEFCIHLASTFA SLASTTSREERAKVAVSLVGPSIVSGITLTKFFGIGVLAFAKSQLFQVYFFRMYAGIV VLGAVHALVLLPVLLAACGSEADGASTKKVMSPTLSKQYARGLLSDDSDTE H257_17951 MRAMPKSRLQRALRGLGVLCGSRPVAVITVAFVFSVVCTLGALR MTIQNDPQKLWVPPTSTSAKQQAYFDENFGPFFRIEQLIFHFPNGSDDNDLITAPLLA EVAALQHRIETTTVEVDGRNITLDDLCFRPIPDKGCLVESPMQYWRNNVSLLATDPDI KLTVVCQTTHPLLSTYAPCMDQNGIPVMRDVVFGGLATDTCHLNPDPCGDSTPRASAL IVTFLLNNPQNTTFLAHAKAWEAQVFLNTSFSSPSGLVVERMAQRSVEDALTVETQQN AFVVVLSYGVMFVYVALALGNARDPVRSRFGLGLWGILIVLFSMGIAFGVAVCVMRIE ITMITLEVVPFLILAIGVDNMFILTNELDRLTRCQSHVSREFHSLPQLVGEAMMHVGP SITVAAASESLAFLVGAYTKIPALESFCMVAALAVVADYVLQMTWFAAALALDARRMR ARRYDLCPWIKKPYVLSPDKARQIRAYSDDAAAVDSSVVQTFLDSKWIPLLFAKWTQR LVVVVWIGWLGWSGYSVTQIPMGLEQTLAVPSDFYLHSYFEAQNKYGDAGPPAYIVMR QVNYTDRQVQRSTMDLLDNLSLLDAYMDTPIFAWLNTFNQWRQLRAFLEEKREDDECD CPAQPMLPFAFELTEPGDTQPVTPTEFFYPLVYNFTRIPIDSQCCQSFGMCGAQYAMD IEFQFRRQDDDENQVVAHVDGISASRFRFQVSPLVNQSTFINSFYYLNHYTTLWSEPI LPLDGDTRNPVAFPYSLYFVYYDQYLSIQGIALQSVLLALGVVFMAMMLLLPTQVATC VLVALSVLSMSISLVGVVHLWNLCTSLHTSINAVKLLSQ H257_17951 MRAMPKSRLQRALRGLGVLCGSRPVAVITVAFVFSVVCTLGALR MTIQNDPQKLWVPPTSTSAKQQAYFDENFGPFFRIEQLIFHFPNGSDDNDLITAPLLA EVAALQHRIETTTVEVDGRNITLDDLCFRPIPDKGCLVESPMQYWRNNVSLLATDPDI KLTVVCQTTHPLLSTYAPCMDQNGIPVMRDVVFGGLATDTCHLNPDPCGDSTPRASAL IVTFLLNNPQNTTFLAHAKAWEAQVFLNTSFSSPSGLVVERMAQRSVEDALTVETQQN AFVVVLSYGVMFVYVALALGNARDPVRSRFGLGLWGILIVLFSMGIAFGVAVCVMRIE ITMITLEVVPFLILAIGVDNMFILTNELDRLTRCQSHVSREFHSLPQLVGEAMMHVGP SITVAAASESLAFLVGAYTKIPALESFCMVAALAVVADYVLQMTWFAAALALDARRMR ARRYDLCPWIKKPYVLSPDKARQIRAYSDDAAAVDSSVVQTFLDSKWIPLLFAKWTQR LVVVVWIGWLGWSGYSVTQIPMGLEQTLAVPSDFYLHSYFEAQNKYGDAGPPAYIVMR QVNYTDRQVQRSTMDLLDNLSLLDAYMDTPIFAWLNTFNQWRQLRAFLEEKREDDECD CPAQPMLPFAFELTEPGDTQPVTPTEFFYPLVYNFTRIPIDSQCCQSFGMCGAQYAMD IEFQFRRQDDDENQVVAHVDGISASRFRFQVSPLVNQSTFINSFYYLNHYTTLWSEPI LPLDGDTRNPVAFPYSLYFVYYDQYLSIQGIALQSVLLALGNGFDMR H257_17951 MRAMPKSRLQRALRGLGVLCGSRPVAVITVAFVFSVVCTLGALR MTIQNDPQKLWVPPTSTSAKQQAYFDENFGPFFRIEQLIFHFPNGSDDNDLITAPLLA EVAALQHRIETTTVEVDGRNITLDDLCFRPIPDKGCLVESPMQYWRNNVSLLATDPDI KLTVVCQTTHPLLSTYAPCMDQNGIPVMRDVVFGGLATDTCHLNPDPCGDSTPRASAL IVTFLLNNPQNTTFLAHAKAWEAQVFLNTSFSSPSGLVVERMAQRSVEDALTVETQQN AFVVVLSYGVMFVYVALALGNARDPVRSRFGLGLWGILIVLFSMGIAFGVAVCVMRIE ITMITLEVVPFLILAIGVDNMFILTNELDRLTRCQSHVSREFHSLPQLVGEAMMHVGP SITVAAASESLAFLVGAYTKIPALESFCMVAALAVVADYVLQMTWFAAALALDARRMR ARRYDLCPWIKKPYVLSPDKARQIRAYSDDAAAVDSSVVQTFLDSKWIPLLFAKWTQR LVVVVWIGWLGWSGYSVTQIPMGLEQTLAVPSDFYLHSYFEAQNKYGDAGPPAYIVMR QVNYTDRQVQRSTMDLLDNLSLLDAYMDTPIFAWLNTFNQWRQLRAFLEEKREDDECD CPAQPMLPFAFELTEPGDTQPVTPTEFFYPLVYNFTRIPIDSQCCQSFGMCGAQYAMD IEFQFRRQDDDENQVVAHVDGISASRFRFQVSPLVNQSTFINSFYYLNHYTTLWSEPI LPLDGDTRNPVAFPYSLYFVYYDQYLSIQGIALQSVLLALGNGFDMR H257_17952 MSDPHRKDRKWSSKPGAPPVTTIPSMASMADALTPKSSGASSVE NTPAMEKQLQYLRKLEERNRIKKKLDEQSKNDKDVHDKEKEVGFTTNFNGENAHRKNK HARMPEAKARAKSAGPMKLPLRTAPVAATLPPQLADDRADSKHPRSGSHSTGTLPLHH TQATSTSSGGRPRHKWTKPEGVAAERDGCIVFAIAPQLSGQRIEGNGDDNPEPEDVDE DYMDESFEEFEDNSTGDEHMWSKEGQHYHGRHDDDEVNDVTPPPTLQAVVLAEPSLPV AAALESQVANLPLGQTTLELNALIQGLTRDKQRELVSVLQTLTHSSKQTADDVPVLQQ QSAIDPTVWGQQLTPSMASSQLTSNQRAWEAEVTRKLEFERHESMRLVAEAEARRAER LKKLQDEEMEFETLMAQRRLDMQKKWMDTTTSSFTTNTASVTALTAPVSIPASLMATR STQEDVPIQPEGPERPVPPTPQAFMAMSIPTGSPPKPQPSTSSHIALPSQVSMSLGLP PAAPTIPTSSQVKQSTSSSLSVSKPPRWIEVRIKLLSSWGKSRVVGLTQICVYDMDGN ELDVPLESLRLFSGQAEGQPIPRSNSMVRDLTRLFNGVATTTSESDMWLGRQIDAHPL QIAFGVYTPPNKLCIWNYNHKLHVAACVRDIEVFVDNQCKWTGSLPETYGSEDENTCT WINVATVMRKKPKDSKKTPTPSAPAPPMAAPTDPPRSSGPIWLPSSTSALSALPPHNN LKPDASLTSLASQAKVEHHLAHHDIKALDDVLSTSVKPSTSRRRNAGEATTQHDLVQP ISLPPNSVVGSAMPSLQSSWDTLEHFKRTNRSRLEPPADIASTPRSFPAPDSVAPTFS TAPSNTNRTTAVLTRSTSQSSSMADLLRPATLPTYPPTTKIPILPTGRKLVMECLSTW GDPYYIGLNGLDVFDDRGQAVKVASPQDQVTAVPASINILPEYATAKARDPRVAANIV DGVNYTCDDLHMWLAPFTPHQVHSVTVEFNTMVTISLLRVWNYNKSRAHSFRGVKLVR VLLDGQEIFQGEIRQAPGILGAVDQCCEVILFTTDESLLSMIEQVDDDPTVDVTSAVV HDIQSIPRPSTAEERNNQRPNTAYAPVSASPPSMQPKDCDGVQQHPPPACDMVQQKQK QQPTKGFRGRTLQLVVLSTWGDRHYVGLTGISLWVLPQDGSSKEPTGLSLTPHQLDAT PRDLQSLGYTGDPRTLDKLVDGVNVTCDDTHMWLVPFEGGKAEVRVHLATETAVYGLD VWNYNKSAEDTYRGVKSAIVLIDNVVVATVALRKAPGHALFDFKQSIVLGEWALYRSL STSSGVSSTYKTHVLKQDYEPPLLPSGFLFKFVLWSTWGDPYYVGLNGIELYDTRGDK LPPPTLVAALPTGLADVQVKQDIRVVQNLFQGINNTWDAAEAWLAPLASSLGQCDGNV VFAMFDTPVTVSMIKIYNYSKTPDRGAREIEIYVDDLKVYMGSLRQAPPSPGVTRLGK VQQGVEFGQPILFTLNPAQVEHEKRKVVYCGSEDQDVLCINEGQVVIESKAMHRAPDP GAEGVVVDLDKRPTTAMCRT H257_17952 MSDPHRKDRKWSSKPGAPPVTTIPSMASMADALTPKSSGASSVE NTPAMEKQLQYLRKLEERNRIKKKLDEQSKNDKDVHDKEKEVGFTTNFNGENAHRKNK HARMPEAKARAKSAGPMKLPLRTAPVAATLPPQLADDRADSKHPRSGSHSTGTLPLHH TQATSTSSGGRPRHKWTKPEGVAAERDGCIVFAIAPQLSGQRIEGNGDDNPEPEDVDE DYMDESFEEFEDNSTGDEHMWSKEGQHYHGRHDDDEVNDVTPPPTLQAVVLAEPSLPV AAALESQVANLPLGQTTLELNALIQGLTRDKQRELVSVLQTLTHSSKQTADDVPVLQQ QSAIDPTVWGQQLTPSMASSQLTSNQRAWEAEVTRKLEFERHESMRLVAEAEARRAER LKKLQDEEMEFETLMAQRRLDMQKKWMDTTTSSFTTNTASVTALTAPVSIPASLMATR STQEDVPIQPEGPERPVPPTPQAFMAMSIPTGLPPAAPTIPTSSQVKQSTSSSLSVSK PPRWIEVRIKLLSSWGKSRVVGLTQICVYDMDGNELDVPLESLRLFSGQAEGQPIPRS NSMVRDLTRLFNGVATTTSESDMWLGRQIDAHPLQIAFGVYTPPNKLCIWNYNHKLHV AACVRDIEVFVDNQCKWTGSLPETYGSEDENTCTWINVATVMRKKPKDSKKTPTPSAP APPMAAPTDPPRSSGPIWLPSSTSALSALPPHNNLKPDASLTSLASQAKVEHHLAHHD IKALDDVLSTSVKPSTSRRRNAGEATTQHDLVQPISLPPNSVVGSAMPSLQSSWDTLE HFKRTNRSRLEPPADIASTPRSFPAPDSVAPTFSTAPSNTNRTTAVLTRSTSQSSSMA DLLRPATLPTYPPTTKIPILPTGRKLVMECLSTWGDPYYIGLNGLDVFDDRGQAVKVA SPQDQVTAVPASINILPEYATAKARDPRVAANIVDGVNYTCDDLHMWLAPFTPHQVHS VTVEFNTMVTISLLRVWNYNKSRAHSFRGVKLVRVLLDGQEIFQGEIRQAPGILGAVD QCCEVILFTTDESLLSMIEQVDDDPTVDVTSAVVHDIQSIPRPSTAEERNNQRPNTAY APVSASPPSMQPKDCDGVQQHPPPACDMVQQKQKQQPTKGFRGRTLQLVVLSTWGDRH YVGLTGISLWVLPQDGSSKEPTGLSLTPHQLDATPRDLQSLGYTGDPRTLDKLVDGVN VTCDDTHMWLVPFEGGKAEVRVHLATETAVYGLDVWNYNKSAEDTYRGVKSAIVLIDN VVVATVALRKAPGHALFDFKQSIVLGEWALYRSLSTSSGVSSTYKTHVLKQDYEPPLL PSGFLFKFVLWSTWGDPYYVGLNGIELYDTRGDKLPPPTLVAALPTGLADVQVKQDIR VVQNLFQGINNTWDAAEAWLAPLASSLGQCDGNVVFAMFDTPVTVSMIKIYNYSKTPD RGAREIEIYVDDLKVYMGSLRQAPPSPGVTRLGKVQQGVEFGQPILFTLNPAQVEHEK RKVVYCGSEDQDVLCINEGQVVIESKAMHRAPDPGAEGVVVDLDKRPTTAMCRT H257_17953 MAAELEKYAAFVESTLRPQLTDALARRDALNEQIAEYATLVEVV EAKAAKDSADKPWKLQADLGKQCFVKVKVPPQTNIVVHIGLQVYIDVPAKDVPAIVAK RVDVLTKQRDVHQTKARNVAGHIQQILDSIEQLAKLQQLES H257_17954 MSAVGSLHEERLSVSSATSSKGTKPRFCVIKPPAGIGVCQLYLY KSDDLGSGNLVSTVSLDHSPTKSTTTLFAVPSQATRGSDGSGGFSSREATAIASSIAA PEGSHHRPSASITTMTQGGLVDSSAFDKCLKTFLSASVQTEEESGESTSPVQRHFDQL LRSKWHQEAAVPRQNADDNSDGATSSWVNEHQVDDIPNRHTSVRNNTSSFHWKPTDTS PAASTTNWAMAECWSKKGLGNTTSKRNALDLYYDVRALVADLEAYVANAKFSTRNMCD LTPNQTNMHS H257_17955 MARAAWNIGVSMLTRALTPNKSKLDGIGLIKPHVWKARVGLLDM DLNVHLNNASYLYNMELARWHLSGLSGMLHFCFTKRWMLLVGSQSIRYRHSIAPFQAY EIHSDIVYWDDQWFYFSHRFVCPATGKLFAEGLTRGMVKDSNRKTIKFVDVAKQMGLG APAPKDVPDVVKTFLLWDAATKASTAAWNPTEHIDTNTPKLLQSVNLPKF H257_17956 MVARILWNIDVSVLQRATHPTKHSLNDARVGLLDLGLAPHINNA AVAANMELAQWHAACRLLQTGFTHKWSVLAGSNMIWYRREIPPPPLTAYSIHSQVVYW DDTWFFFCHRFECPVTDTFFAEGRSPPHGRLAQVLAQLGLDGTQKTLPMPDIVTGYLA WDAATKAAMESNEDDRHARAKLA H257_17957 MEEASSDAMELKLQQNLLKQHENYARQKELLQKLKMQSEATARN LSMPSMPKTTSSSPVSRDRSIYAAAVSPRPLSSSIMELAKDDQRIQDIYSQELVNDGL RKQLELIRRLESDNSRLRSESGALRTQVTLLEDRSKLKERELARQAGDLEDAGGQLRD LRQDHQYALQQGQQTQQALAKVTRVKDALEEELRAALDTQRRLHERIHLVDMEVDQAK AREAALVAHTKALEVTQAKHEATIHDLQLDIQHLQETLSQKSDQVTAVQSSATSCVEH LEASLKAVQDNLSREQEKRRTWETACHGLETELENFKTNEVATKQALDSVRDLRDRNA ALEEKQPYFHQLELDNKDMHAKLLLAQRDREEHERLVVQMKHGIWQTTTVLKKEFEAI RAYVVSMEEEEGGILLEDVDVQVTSWHECPTEIQILRSVLHHFKHDLVHLSQRMVEGR EKEAALQNEGNQLQTKLVALRHDMKELTRQLDQQKEAYAIAESAREMSMREKRDLLLW SRATCQKTERMASEVDQWEQFTIQQVHRMHRLPWQETVVPIAELKYTSYAELRSSWEQ ALQFVLHEAHQCHVKCNQETHRANKETKRKLDLQRRLDSGEADWQIKYTEKDKEMQAM QLRHTTSLQTLQDQHRCLLKENDSQIATLTHQVEDLTVKWNACERDRQMVRDQHAVLE AQAPLYAGIAHMFVVCVRPMVLQISELQTQKRILSHQLGQLTVQQKEMEQIAALFQST ELKKPLKVTFRAAALAVFAANRLRHASGRQYGRNEALGLVFPQQIGVVKLLSMSSVEY STEALLRLQSNAFVEKWTRLAQCPSASSSVAAAPGATKRHSGMYGHSGLGELVLSTLA AIDPSGECVLTNTLQGHASFLCDLHPVRSNDKQLTVDVHRIRRKVLEWMKKVENLQFQ RTALQKDNYALQSQIHEKDFELREMALLNANAQELQEKLTMYQTHDGHGITLREFETC AAACQEAEARCAEYAVEIADKKRLVKELQSQLDTTSGRNRQVEEQLDVTRQALLDEEE AVVSLKGVVARYEVEMRKLTHAAHSVQSQFHQRCNEIESDKLELTALSALLQETQRKN QTLEDELDHMHQEIKAKASHHKDSALSRPGGSVHSLHRPTDGYGCPRPLHASALSSTH GEGGGGAAIEYKDLPHSLKASHARSSYRDKMHVADLESNEEAVNMDIDKVNLAVHSYM DRIDKKLQTMYGIPGSDKWKDFKFGDSNS H257_17958 MTQQQRSAFSTILAIHDLAMLMLEFQCGIYEDVYPLMLRHDDIA TTCTNIIADPSNAKSLLMDFHTAFAPWYHLRSDTASSCLRRLLRCNPKLAPLLALYGV FTNTAPLLTVLLQVPQTPSLRWPSIWMDIAASAGHLRALQVLDVVTKTCPFSAMDHAA MNGHLHVVTYLHEHRREGCTESAMDYASANGHLAVVAYLHAHRYEGCTTFAMDWAAEH GHLDVVRFLHENRTEGASPNALADAAINGHLEVVRFLLTYRPSDGCREDAMDRVAGNG FLTIVQLLHEATTCRGWTTSAMDNAAAGGHLEVVQFLHRHRPEGVSDAALVRAAEGGH FDVVRFLHEQYTQGDRVAAIDRAVRQGHAQIVGYLQGKRKRESQSLYDTIKRVRLAHW PLFL H257_17959 MKVFAPLSALVFAAVQASHSATWMGATHHMHSTADVCGSAHLSQ CDFPMSGRRLEESSDSITVSSTSLAHLAKVDVTFHVATGNATDRVTAYCANNVADTAD SEYIDFQPASGNPKATLTFGPLLNMRCDYQFRYLKNLGNKTFQTLAVSPVVKMVRGNT EPLQVHIALTKNQDEMSISWTSDKVKCPTVRYYTETDSRESDEFTYVAATGASYTAAD MCSAPANITSAQLYIPSGVHYTGVIKNIPAGATVTYQVGSNASGWSKIKEFVMPDLAS KEASSFFVFGDVGTWVTATNGTGLPGRSAGTLQRVADDLDRGDHNYQAVLHVGDLSYA DSIGYEWEQFMSLIEPVASQLPYMVSVGNHEYCYLNSTKAIDISGEAKTFYAIKPKAS SGGECGVPTALRFNVPDNGNKVFWYSFNAGLAHHVVLSSEHDFNAGSRLRKWLEADLA AVDRTALPWVFVHFHRSMYVSMSGTFTYTDILRPALEPVLKKYAVDAFFSGHTHAYER TCPVLDQTCVTGPNGEAKGPVHIMIGSAGKALDPEPWESRAWSIKQLRTYGYGRLHVH NATHAQVEFVLNADGTVADNSWIVSDHKWNVVA H257_17960 MDEVWEAMKREHGVKPLRKPMPKVEAKKSPLKTKNHPDKSTPVD PEPTSIVRPTCVAASHVDFDNSETALTPLCASATLITTPGDLITKSQRDLNSLSDEQQ GIRKRAMESLQASLADVDTVTLHAVFPTFAKPLFKRFNDPVEKVRDSSVRLSIRFVAG YPDLLPILPYLMPAIANRIGSTWDYDEKLNIFVQDAVLLAAHNRGRLFVPEADVQRIK PREPSEEVRLLFVELLQTLLATTFDQNASSLLNAYVYDTIRVLVYGLHDSFPSVVIKT CETLVLLSLNLVSVVKHFAVALVRATKHLLEHRLAKVRVAAMLCIRTLVMVPNVDKCK GAGTEAIMDLVAHQDDSVIPVAAFYTAEIKVNLFAKLDQDGNVLVRQTFFDTITIWLL QLPDRYDYESRLMPYLLSAVADDCPSISSNAIDTIDILGKRHAAEHPDEVIERTQYAV DGGLFCNFTKPYPAPFSGRPSLGTRLYIRGRCRRFINTLLRELNHWQGPTRVHASRLL VCLLVYCEDTITVDLHLILPHLIQCWDDVVIRTSLGQVADCCGRFTQPASYISLFLPY IRGDTPSLKIVPAMQVLHAMLGGMSGVNRTNLLAQLPLLTEALTMRFLLNSTSVQTAL SELVHGIVGHLEGLSNLGCDPSFESHGRLVSKSLLFERLLRILLTLPATLPLVRATMT GLQTVAGVPNLYDTYFEATWDHLRAEYPVEAWVDWSPTCYEHKMLAAVLVRGVSARCA QQHALQINDLLLAIWTAPCDGDLVVKQEQRRDSIAIVILDVAAKAKDTDELASLRMNA TEWLNQRSSPSV H257_17960 MDEVWEAMKREHGVKPLRKPMPKVEAKKSPLKTKNHPDKSTPVD PEPTSIVRPTCVAASHVDFDNSETALTPLCASATLITTPGDLITKSQRDLNSLSDEQQ GIRKRAMESLQASLADVDTVTLHAVFPTFAKPLFKRFNDPVEKVRDSSVRLSIRFVAG YPDLLPILPYLMPAIANRIGSTWDYDEKLNIFVQDAVLLAAHNRGRLFVPEADVQRIK PREPSEEVRLLFVELLQTLLATTFDQNASSLLNAYVYDTIRVLVYGLHDSFPSVVIKT CETLVLLSLNLVSVVKHFAVALVRATKHLLEHRLAKVRVAAMLCIRTLVMVPNVDKCK GAGTEAIMDLVAHQDDSVIPVAAFYTAEIKVNLFAKLDQDGNVLVRQTFFDTITIWLL QLPDRYDYESRLMPYLLSAVADDCPSISSNAIDTIDILGKRHAAEHPDEVIERTQYAV DGGLFCNFTKPYPAPFSGRPSLGTRLYIRGRCRRFINTLLRELNHWQGPTRVHASRLL VCLLVYCEDTITVDLHLILPHLIQCWDDVVIRTSLGQVADCCGRFTQPASYISLFLPY IRGDTPSLKIVPAMQVLHAMLGGMSGVNRTNLLAQLPLLTEALTMRFLLNSTSVQTAL SELVHGIVGHLEGLSNLGCDPSFESHGRLVSKSLLFERLLRILLTLPATLPYNYHVCR VHVQLSKTRLRVGLFERR H257_17961 MHVNMSSSRVKLQKDETKNHGRVGAQPSAPPSWSNQTQHAQTSK AATTTEEETRAAKKRMEQLLAWRAKRDSSNQTSDDTMTSKVDKLRLLDRQPASFKQTV PSDIALSSLGDAKHTRRLCHEPKATSVNDPPRPSSRHLRNFQFGPIEEKYVRQRDGGD VDHDDDAGGGGVVPTEVPTPETPPNRRKLAIKLDFSSPGMDHDDHHTSTKQVIPATFN PNQRANSSRQHAPVDSTSSRHHHVPVYQAHTIASLNHQRAMAERAAKRSADQQLQVQS RSRQGRVEGVRGRAQRSKSQESSHGQEGKRQLHRSKSQTKSWRPDEDGAVPSRGNKVM ASATSKGDLDFEEGGIDAVDDYNNFDAPTDKCETWDRDQNGHIHPTEDDVADDKNQHV EFEKDVLAMDHSAFDGVVMSPEDLDDHEVLSDGNGNDVAQYKHIETTEPTLLASRDQG LDLSNQSACSSPQHEANMSSEVQVAGLWTTEDSHDNLFPNSPQDSPTGDSEKGRSTLQ PNDADEATNLDIRSNVEDCPSYQEKAPSDQGYDDRWHQSSPASDDNNMWIDQQSPSTD HHDPEKCLHELDELVAVESTYEASPVDNPVDSTRPYLALEPLSPMTITAMSPPTSTHQ HVSPKASPLLTSQTSGIPSSRPEVPYALDLDLIHPVSPRVHHPSTPPILHVYESPGLG QPPQTTPPSTPLTSVDAVSPRQQPLHELQEVATTELRQRPIRRNFLGLTPPQPISTSS PSSFRAPELNAVHTPKGYGGLRYPHQEEPYATTHQTDVGVPDQHNSTKSTPTTTPIYT TPTTPVQPTTPPPPSHHWAVSPLHPTARDNFVDMATSEPSQSADNIAPGTPLSQVSAP SSPITADPMAEWAWEPDYVSPPPSPQFISSGGSFDHPSSQLTSTHGETVAVPALFESP TATTTPNAPAQDQTVTHESLGEARDPTGLLLPHATSVTSSPPADIPPNAPAQNVFVSP AVKTAIHEGLGEARDPTGLLLPHATSVTSSLPGVPTIRSTAEPRTAPGPPSPSSSMSW VDILLRAIVGAGCVAVAAAALHVQASQTSLVQSKLHHLVADVESLTLQAAAYDQRLAS WGASLARDLDANARHLHQDTTLMQKELEDTFVLTKERNALAMADVQDTLASVLEQVQH STETSAQATLAQVGLASSNVAGSSHPSTSLPQFLHTIQQRVQQDQATLHLLRNSKADM EMELLRYLNASNDDNDVCATDGDEMCRLGVQLAADHDVALLEDDLEAWTVEHNRAVDT WTSEVGAAKTFLHSILLVELGIVVLMWMLWRLRHVHLSVDSDDIVFIPHMGRDDHSVL SSSSGDDEYSDDDDDVFIIARDVGPKTPDVTRHLKFQPTPRSVRRSPRLEEATGRGGY Y H257_17961 MHVNMSSSRVKLQKDETKNHGRVGAQPSAPPSWSNQTQHAQTSK AATTTEEETRAAKKRMEQLLAWRAKRDSSNQTSDDTMTSKVDKLRLLDRQPASFKQTV PSDIALSSLGDAKHTRRLCHEPKATSVNDPPRPSSRHLRNFQFGPIEEKYVRQRDGGD VDHDDDAGGGGVVPTEVPTPETPPNRRKLAIKLDFSSPGMDHDDHHTSTKQVIPATFN PNQRANSSRQHAPVDSTSSRHHHVPVYQAHTIASLNHQRAMAERAAKRSADQQLQVQS RSRQGRVEGVRGRAQRSKSQESSHGQEGKRQLHRSKSQTKSWRPDEDGAVPSRGNKVM ASATSKGDLDFEEGGIDAVDDYNNFDAPTDKCETWDRDQNGHIHPTEDDVADDKNQHV EFEKDVLAMDHSAFDGVVMSPEDLDDHEVLSDGNGNDVAQYKHIETTEPTLLASRDQG LDLSNQSACSSPQHEANMSSEVQDSPTGDSEKGRSTLQPNDADEATNLDIRSNVEDCP SYQEKAPSDQGYDDRWHQSSPASDDNNMWIDQQSPSTDHHDPEKCLHELDELVAVEST YEASPVDNPVDSTRPYLALEPLSPMTITAMSPPTSTHQHVSPKASPLLTSQTSGIPSS RPEVPYALDLDLIHPVSPRVHHPSTPPILHVYESPGLGQPPQTTPPSTPLTSVDAVSP RQQPLHELQEVATTELRQRPIRRNFLGLTPPQPISTSSPSSFRAPELNAVHTPKGYGG LRYPHQEEPYATTHQTDVGVPDQHNSTKSTPTTTPIYTTPTTPVQPTTPPPPSHHWAV SPLHPTARDNFVDMATSEPSQSADNIAPGTPLSQVSAPSSPITADPMAEWAWEPDYVS PPPSPQFISSGGSFDHPSSQLTSTHGETVAVPALFESPTATTTPNAPAQDQTVTHESL GEARDPTGLLLPHATSVTSSPPADIPPNAPAQNVFVSPAVKTAIHEGLGEARDPTGLL LPHATSVTSSLPGVPTIRSTAEPRTAPGPPSPSSSMSWVDILLRAIVGAGCVAVAAAA LHVQASQTSLVQSKLHHLVADVESLTLQAAAYDQRLASWGASLARDLDANARHLHQDT TLMQKELEDTFVLTKERNALAMADVQDTLASVLEQVQHSTETSAQATLAQVGLASSNV AGSSHPSTSLPQFLHTIQQRVQQDQATLHLLRNSKADMEMELLRYLNASNDDNDVCAT DGDEMCRLGVQLAADHDVALLEDDLEAWTVEHNRAVDTWTSEVGAAKTFLHSILLVEL GIVVLMWMLWRLRHVHLSVDSDDIVFIPHMGRDDHSVLSSSSGDDEYSDDDDDVFIIA RDVGPKTPDVTRHLKFQPTPRSVRRSPRLEEATGRGGYY H257_17962 MTPKVAPVVKKGLKRAATIPSDSGAKSAVNMDAPELALLELIYE FIAGGYEPAQNSLYRAMLELYDDDDDDDTADVDRKDILLRFLLKYIRLPSLNKFSVHY QKLALSIVQLLCENHNPVWQTMMAEVCDDTTVLAAIVRIMEVTKPSDPLFVKGMRCLS EACQGPCVENQAYVVTTPATALCCSVLLTDPTTSSQVEALDVTTYQTASEVLLSLMEG RSDNAVNAELARLFSPVEVVDRLVTCHRELCRMFGPELSFERDDIHRHPLYIRAMSLL VVAVRIMSLEATADVLFDDHLGLSYGSSDDDDGDEGRMLKPSGSLLVTAGDPTDKLAM RNRIQRAVRNLSKHSKADNVTLQTAIKKVVNINRAMKQFDLRSVQGFRTQWDGAIDDR NALGPIRFFHAQLISIELVVHGSLTTLYFVKPADAMYFDELLQNELLDAMDIGSDKAM EVLLSETAKSIEEELKVIKHLRRHAVYAFLARWQTDLRKRLLSLCFYINFVMLLLLRW DQPGSYLFLQVLGAVYLAGSLVLLGFYVTKQFNFNYCKQQLSLTKLHFRSPKAINDRV WDAWQGPIQCFEIIAWTVSLVVYVNGWDTYGSVTCCSVAAAALVLSTLRAVREVASVS RFSVNSESSYDEMKVKLGNRMLKTKFRFWYSVIYDTLFSESVLAFLAYSTCGFLGLIA TENRYLYYGFPLLDLVAINAGLRFVVKAMTTNTSKLTVTAVFGAVVIYVFALNGFYFF QDEMTTESGTQECHSLMQCFVTHVHNGLLSGGGIGDYMSHSPLNYTVKASYFGRVGYD LGFYVVVIVLLLNLIQGIIIDAFTAVREASENKMTLQRQQCLVCNRSRSVIEAEGMAN GVMNSFARHTDTKHNLFNYFFFVKYLKAKDDTDMNGMESFVFEKIKTKDMSWVPRV H257_17963 MVAIGDSLPALTLKELVTVEGTCALGPRDVDIRAESAGKTIALF AVPGAFTPTCSNSHVPSYVQLHDELKAAGVDEIWCVSVNDAFVMGAWGTAQNADGKVR MIADGDAELTKALDLVLDLVGKGLGVRSQRYSMLVKDGVVKALNIEDNKTQNSFAPLL LEQALASP H257_17964 MATRRHVDSILQPASGWVDGEAEIYDRHPSILQDERMTRWDAST TFPRQVRFITCAVLFAIGTASFMYRNMFLHETREHTILTQIRRSIVEMDAIAATATAM ERHVQRLASLTQTHLDQATKVADTSLNDGNAVRPDVLWSEVDAIHADTMKHMESMLHE VVQTTLQDVLDLSAAWTANHPPTPPPSSSDVVVVEPVAIVDTPDPNNPITAAPPQTEN NVTTTTNIDVAKKPTSPPIVVDHSPATSQPNTSPTRRPAGNPTHFVAFTMVGTVAIAG LFVYWMQTRGSFLQNRLAFQQGTRRLQSLVTSAHQWGLGVLGRCRASSASTWRGMLDS FMNLRDWWRRGRREVEVEDVSDDDDDISFYPQTPIPSNNPYANKPLYEDNGLPRKHIS FADVESDDEEYEDDEADAPPPTRRPSHLVRTNLYENLLTPEPATARVYHDESPRRRSS RRHATTSGGRAFG H257_17965 MCRLFMAATIPRFFTFASSWSSAGSIDACSCSMQTWLHHPRTPT ATATISTTTRMSTYCRFKVPWKVSLDGNNCLATDGRSICDTVLVGGGENDVDQSVSNE YIASGELVGDDMGDDIGVAGRDSGVNEYGRNRNTGWDGLKRL H257_17966 MARKQRQAAAGPPSNGYSGGFFLPAQRSMHCQGGFFSSDTKPFL AMPPPTKPKTPTTSLRMEKPAPIESNQARETNLRNTSSSLRRENPRQPSSHGKTQAKT GHPCSSTSSPQCDIESNVNAKFVLALKELRDIKGEYQRSRPLTSGIPSTPAPPAAAAL PPETEESSRTIPMGDHSPTKKLKIAETVIRKLYKKNLELEKALVQAKADNQNQAQPAP YEPTLDKPPTIESVQGIESQKDEYLKYLAAEQDKTIQELKRKIETLADAQDARNPGGK SANSTKAAAISRLEKRLQEAIGESSRQKQSYMKLKSDYKRLLLQRTRSISGSSEIDSH ARELLALMEKRLLKVEDEREQDMALYNMKLFETEQQNCDDYVAKKMLENEMAKVTTDV KERDNLDDQIEKCMLGVFERLHQVEQENIQLRDSIKGMHRQR H257_17967 MIVSTPQEVIVVDEGISDLDDILRQADVAALQHLNISFNRVGRL DGLQRATSLELLNVNHNLLQSVDGIETLTALCVLKASHNKLSSLEWVGALSHLSELWI SHNKIDLPQLKELKPLTKLHTLLIEANPCCKEPSYKMVVVDLVPSLHRLDTTVVSDDM RTRATAFRNSHEGKALAKDILQRPPPRVKDMEPLKAKPKSAAATLPTGLKRPASEKNQ HPNDAQNLQAVQPQTPRATTSSAPVSTKAKTSSLEDYLLSFPVQEYIPTAEVSTVSIA DAISGLPTFGDRHPSKEAPTASKAKSAEKTTKPTNRAAKKVGVPVNAAAMPSQLLPAT SPRTNIEDSPVTSNNNGDDDDDDVLDMEQYTRPHPPSRSACMNPPPLTATTPPEVPRH VKSNPSELVVKYTGSNVAAVTVRMDGSAQCRWPNNAIAITVDKEPKGGYRVFGTFKDG NVALSFDGHGVGFVNYASGKTMLSTTSAGDGLLMNAQNGGIERQWARGDPPWSNDIAA KLTEHLGVSVSLDANHEYAIRLYFASNGLRHVFVNGFNDAVVCDTVTCDGMFGKPLAP VKKKPPPPKLKHVDLVSAIQRCTSQL H257_17968 MKSILATCVIVATAVMAQGLLGGGGEVGGVPGGTTPADVEETKP WLYKALATSTSTFVCVSRIVSVDQQVVAGMHYTFHVLACPVSSKHDSSESCAVAHCAS DVATRYAIDVYSKPGKESVELKSVTKETVHEVLVGGWSEGSLVDATSDFYAAVQSESS YANAAIPRICAIDLLSVNQQVVSGMNYQFHVRGCPAPTASAAKYGCACGDSAVQGYTI AIFAQSWTDTYKVTDVTTDTPLLGGWTLRTIEDADKELFFQAMDADTSNAVHVCPLTF DSLQAQVVAGTNYRYHVNGCPIEDEDSSSRVHVADGCPGCTAALAKKFEVTIHQPLLD APPSVASVIDLARVSLGMQSHQSENQVANSLALLAVLVSGVVVVSLLLVYKLRRSQYE KLNNMQLAD H257_17969 MKCFALSTLACLVMATTDSQPGIAGGWSTANVDDVKKVYFDAVQ QSKASANTTRLCATEFTSAQSQVVAGINYKLNVNACTVSSANETTASCKCPPSSTKPY VVSLFASLDGVSTISSIDNPLTTTVPPNNNVATSMKSAGTKSSSAPAMGLGLVAACLA TAIVSIL H257_17970 MATTDSQPGIAGGWSTANVDDVKKVYFDAVQQSKASANTTRLCA TEFTSAQSQVVAGINYKLNVNACTVSSANETTASCKCPPSSTKPYVVSLFASLDGVST ISSIDNPLTTTAPPNISSIDNPLTTTAPPNNNVAASIKSAGTKSSSAPAMGLGFIAAS LATAIVSII H257_17971 MMSQNRQAKVYFDAVQQSKASANTTRLCATEFTSAQSQVVAGIN YKLNVNACTVSSANETTASCKCPPSSTKPYVVSLFASLDGVSTISSIDNPLTTTAPPN ISSIDNPLTTTAPPNNNVAASIKSAGTKSSSAPAMGLGFIAASLATAIVSII H257_17972 MDALLASELSAETLAALQAHLAATQIADESADDEVSEDFRLSQF WYDDVTGEALAREALEMSKGGPIAFLSTPAAYKALKKLEPERKNVYIFEYDHRFGQKY GDEFVFYDYNAPLDVDAKFHNFFDYVLVEPPYLTEQCMKGFGETMKLISREVVPTDHG KKVMITPNVFINSGALKEAMADELGLTPSGFVPTFESKLSNRLTTYVNYSSERFGKYE A H257_17973 MVDIANSTDGQCSPRKKLMQAQLRDRELREEDKESTSDLPGAKG SKDNDDMDKDEVVPVLNQKPSFGTTVLSTHEQIQLHISLPNHAGATPRLARKVSENYI RGVLGEAQAKATVRESQEACK H257_17974 MGSIMESAANFVEYVSKSTFRIRVLATAQVAVFVFLQVLLATNV YGPALDHNLATTAILPIPDARYGYDAQDLFELYAWMGPAVRQQYVYFELVDLFVFIPT YVHFLTLLLLLVHRRLGPHEPLIIYLPFLAAIFDTFENAAHIYTAQTFEPNKSMQKET WILAAHVGSISNILKWGGIGAVSMLLCWNFGKVTMKPLETDLDAAKKAQ H257_17975 MRPKMRIWAEGSSTPTTKRGSMTKYGVFRTNKNSTIHDTALGSP VNCSRCRRSLSQRATRAGYPTATHRKLHVNHESLASTTPATGSASVASTTSNPVTVAP LRSSTTNDTRNIIERTPITDMYATRHTRWLRSVVRGVKARRWHS H257_17976 MVVGPSGALFSIPDVMASIGQFQDGRTFEALAFRKLGRPYIHHK LCTFPHLTLRRNSDVLQAYLVQHSYKERVHRWVSYHPHTRDILALHAAYVGDLHLLEC LHQSEYLSPTLLLWDVAASTNQLPVLGFLVQINHTGCSSRSIGWAASQGSLDAIWFIH NHLTDRKFDADAWEFGVQRGDIATAECLIAIGAFHPNRIHLAAQYNHLELLVWLIDRQ LEAFNNPVLIDTAAAYGHLEIVKYLVHRGFPRTVRAMDQAMANNHMHVVEFLR H257_17977 MDLYYPGLDALPTLHEDIIDKDTPHLETERLKRDLAAMLAMDAT AARSIHSQSPQHTAILSDETTAGAIKLQSWWRQRAAQHQLATLMLEKFEADEARRRMR EQRLVEDTLQLLDRLALQTHLTNEAYLAKCRKNHQNRVAYSIQCLYRRHSAKKRPTTS AALATPTFDASAFFRPDSS H257_17978 MEVGVGIWVKSKDEWIQASIRHVKKDDAGDGAHVDITYEYARGG VESRQVDVAKVEDGTDDTVKLANSADMDLVDDLIRLPNLHEPGICHTLNERFKQNEIY TLTGEILLAVNPFQDLGIYSDKLIRTYIRHGMLQALGDEESTVPPHVFGVADTAFRSL TAPLTGRPQNQSILVSGESGAGKTETTKFIMKYLAAVSQSQSMCNININQSDVMSQVL SSSPILEAFGNARTIRNDNSSRFGKFIQMQFSSHRGLLIGAGIQTYLLETVRVTSQAP KERNYHVFYELLAGLDDDRKTKWGLTYPPAYFYYLNQSDCVVRKDGVSDKDQFECLRE AFDTMQFDDQDQHNVLYTLANVLHVGNLRFHAPPHNVHHEEGSMLASSDPTSAAAELH VTAFFGVDRHALEVALTTRKIQARDEWYTLGLLPEVAEQHRDALARYVYGKLFEYLVG RINSTIDHDGDGDLAGFIGVLDIFGFEDLSTNSFEQLCINYANETLQHHFNATVLRQE QVTYERERIQWSFINFPDNQPCLDLLEKKPMGLFHMLDEECIVPQGSDENFARKATKR HTTKTLDLACPSSFFRASHADRANHMFAIHHYAGWVSYHTFGFCDKNKDTLHAEIAAL VRHSSFGFLRTISSSKADSPSAARSTRGGILKPSPSSMAISKASASVGSTFRRQLKQL MDTVQHTQCAYVRCLKPNDKNKPTVFDFRRICDQLEAGGVLEAVRVNRAGFPVRITHA QFVKRYRPLTPGGMLKHIPDTCGDELASTPPERRAAAATLAALLVQTLRLDGHDGDPS AGLPHAKPSSTASSSSSSLQVGETKVFFRRTAIQYVEAQLAKRYGEFVVLIQSLWRRC LAQRQHRRALAAILCMQTHTRRYLAALEVHRRREAIRVAEQQRLEAEQRLEAERVRQQ QQQLQTAKGPTGSLQRMSSGSRLSGDFRPSLASSDGDEELVLRGTAGSGSKFRLMSRS GGDYSRDATGYTSTTTRQKPPRPVEKMPGDTALHVAANCSNELDVLNLLESGASVLAT NSAGRTPLHAAAMHHNLEVVALLIDWDSDLGATDLVGNTPLHLATDAHVCRMLLEAGT DPDAVNHAGRTALLDAADRGDLHVVKALCGAHCDLLVCEPKHQQTALHVAIRKGHYTV VNELCKSKRIYDLMTVQDRNGNSALHFAVAKDRKNGPRLVQFLLQYGGRAVVDVPNQR QQTPLVVHIMTTRQTDPTITDILLDAGAAPTAALVDGSTILHVAVDRELMDIACSLIR SGAQLNATDRDGVRVVELAQRDNVKLKKLLDAIRLPPLWISEKDKKNCMVCAKPFGFA HRRHHCKHCARICCSECAAFSVELHRFPSQFPGRTSSNGKPVTDAQRVCRTCHGVFKT RRAIKDPTNASSGLVVAKSNNPNNTRSSEWDEASTASLRNSTGSRSSTS H257_17979 MRHYFVVMMMAAAAAVGLASVEGSLVHDRNVGGFRQVDPDDDNK GDFFTATSDKAHYSSSNDPFVCVTSFDKVLQQVVSGINYQFHVHGCAVDAPSKAKADC TCGDRPIQKFVIEVYAQAWTQTYKVTKVSTVPQRHEL H257_17979 MRHYFVVMMMAAAAAVGLASVEGSLVHDRNVGGFRQVDPDDDNK GDFFTATSDKAHYSSSNDPFVCVTSFDKVLQQVVSGINYQFHVHGCAVDAPSKAKADC TCGDRPIQKFVIEVYAQAWTQTYKVTKVSTVPQRHEL H257_17979 MRHYFVVMMMAAAAAVGLASVEGSLVHDRNVGGFRQVDPDDDNK GDFFTATSDKAHYSSSNDPFVCVTSFDKVLQQVVSGINYQFHVHGCAVDAPSKAKADC TCGDRPIQKFVIEVYAQAWTQTYKVTKVSTVPQRHEL H257_17980 MLLRRAAATGSSKLLGRTALQSIVRSRYFSDDVFSAPREGMDYD VLLVGAGPASLSAAIRIKQLGLEKGTDLSVCVVEKGAEVGSHIVSGNVFEPRALNELI PDWKEKGAPLDTPVSDDRFLIISKDGSWKLPNFLLPPQQHNHGNYVISLGKLTRWLGA QAEELGVEIYPGFSASEVLYREDGGVRGIATRDVGINKDGTPKETFARGMELRARVTL FGEGCRGSCSEEVMGKFNLRQHVQPQTYGLGIKEVWRIPKDKHSPGLVQHTLGWPLQS SLLDKTFGGSFLYHMDDDLVQIGLVVGLDYENPYLNPYEEFQRFKTHPAVRSHLEGGE CIAYGARVLNEGGYHAIPKLTFPGGALIGCSAGFLNSIKIKGSHTVMKSGMLAAEAAY AALTSTGLEAVAVYETAIQDSWVYEELKKVRNTHQSFHWGALPGVLYSGLSAFILNGL EPWTLGNDKPDSAKTKPAKDFMPIDYPKPDGVLTFDLLSNLQRSGTNHADQPSHLRIK AGKEGVPSTESFPVFAGPEQRFCPAKVYEYTDGSEAGANGVPQLVINAQNCVHCKCCS IKMPQEYINWTVPEGGGGPAYEVL H257_17981 MTSVVEVLGVGAGVAAFLALGSYSFYKLCMKALSPSGYTDHLLN HDSVGALNGLSRGDIPDRESDASKWLCNVCAFANGRETLACALCETKRGTSVAANTSV TASDSDHGLAFVLHPSEFSPLQHSAVMRKEWRRVLDAATNSMTWVRTTIAPPELEAPA ERYFVVTSTLPFDMTDDALYPANFLNEFVQVVVEEGAVATHSEYNDLPETTTTTLNLD MAANNSVCIELGFHPIEDVEHASRTLMGTRVSPWLTQQLVDLADQPFTAKYVALLSLL KANIDHGHVKFRVYRHHLYDESMHMLQSLQPNVLCTRTRIELLGEAGIDAGGLQREWY TLFTQAVFEPSAGLFVATDSYGYTLNPSSTCRSDLEKFRAVGRLLARSILDEQVLPFH LTVPLFKMILGTPLSMTDMLYMDKQMYTSLKFVQSTLDVDGLCLDFSVTVEGGNVVEL VPHGQNIMVTAANQAEYVQRMLQYLLFDRIQLQLQSLLTGLFEILPQYYLIMFDHKEL ELVLCGVTEIDVADWKQFTVTSSVLRDFEYHSMKMYWFWDILENMASPDRAKILQFAT GSTRVPVQGFKGLTGSDGVLCPFSIKAIPYVRGGLPLAHSCFNRIDLPMYPSRELMEH ALKQIVAMGPIEFTLK H257_17981 MRKEWRRVLDAATNSMTWVRTTIAPPELEAPAERYFVVTSTLPF DMTDDALYPANFLNEFVQVVVEEGAVATHSEYNDLPETTTTTLNLDMAANNSVCIELG FHPIEDVEHASRTLMGTRVSPWLTQQLVDLADQPFTAKYVALLSLLKANIDHGHVKFR VYRHHLYDESMHMLQSLQPNVLCTRTRIELLGEAGIDAGGLQREWYTLFTQAVFEPSA GLFVATDSYGYTLNPSSTCRSDLEKFRAVGRLLARSILDEQVLPFHLTVPLFKMILGT PLSMTDMLYMDKQMYTSLKFVQSTLDVDGLCLDFSVTVEGGNVVELVPHGQNIMVTAA NQAEYVQRMLQYLLFDRIQLQLQSLLTGLFEILPQYYLIMFDHKELELVLCGVTEIDV ADWKQFTVTSSVLRDFEYHSMKMYWFWDILENMASPDRAKILQFATGSTRVPVQGFKG LTGSDGVLCPFSIKAIPYVRGGLPLAHSCFNRIDLPMYPSRELMEHALKQIVAMGPIE FTLK H257_17981 MTSVVEVLGVGAGVAAFLALGSYSFYKLCMKALSPSGYTDHLLN HDSVGALNGLSRGDIPDRESDASKWLCNVCAFANGRETLACALCETKRGTSVAANTSV TASDSDHGLAFVLHPSEFSPLQHSAVMRKEWRRVLDAATNSMTWVRTTIAPPELEAPA ERYFVVTSTLPFDMTDDALYPANFLNEFVQVVVEEGAVATHSEYNDLPETTTTTLNLD MAANNSVCIELGFHPIEDVEHASRTLMGTRVSPWLTQQLVDLADQPFTAKYVALLSLL KANIDHGHVKFRVYRHHLYDESMHMLQSLQPNVLCTRTRIELLGEAGIDAGGLQREWY TLFTQAVFEPSAGLFVATDSYGYTLNPSSTCRSDLEKFRAVGRLLARSILDEQVLPFH LTVPLFKMILGTPLSMTDMLYMDKQMYTSLKFVQSTLDVDGLCLDFSVTVEGGNVVEL VPHGQNIMVTAANQAEYVQRMLQYLLFDRIQLQLQSLLTGLFEILPQYYLIMFDHKEL ELVLCGVTEIDVADWKQFTVTSSVLRDFEYHSMKMYWFWDILENMASPDRAKILQFAT GSTRVPVQGILCICRFQRPHGQRRCTVPVFDQGDSVRTWRPPIGPFVLQPH H257_17981 MTSVVEVLGVGAGVAAFLALGSYSFYKLCMKALSPSGYTDHLLN HDSVGALNGLSRGDIPDRESDASKWLCNVCAFANGRETLACALCETKRGTSVAANTSV TASDSDHGLAFVLHPSEFSPLQHSAVMRKEWRRVLDAATNSMTWVRTTIAPPELEAPA ERYFVVTSTLPFDMTDDALYPANFLNEFVQVVVEEGAVATHSEYNDLPETTTTTLNLD MAANNSVCIELGFHPIEDVEHASRTLMGTRVSPWLTQQLVDLADQPFTAKYVALLSLL KANIDHGHVKFRVYRHHLYDESMHMLQSLQPNVLCTRTRIELLGEAGIDAGGLQREWY TLFTQAVFEPSAGLFVATDSYGYTLNPSSTCRSDLEKFRAVGRLLARSILDEQVLPFH LTVPLFKMILGTPLSMTDMLYMDKQMYTSLKFVQSTLDVDGLCLDFSVTVEGGNVVEL VPHGQNIMVTAANQAEYVQRMLQYLLFDRIQLQLQSLLTGLFELAISSIP H257_17981 MTSVVEVLGVGAGVAAFLALGSYSFYKLCMKALSPSGYTDHLLN HDSVGALNGLSRGDIPDRESDASKWLCNVCAFANGRETLACALCETKRGTSVAANTSV TASDSDHGLAFVLHPSEFSPLQHSAVMRKEWRRVLDAATNSMTWVRTTIAPPELEAPA ERYFVVTSTLPFDMTDDALYPANFLNEFVQVVVEEGAVATHSEYNDLPETTTTTLNLD MAANNSVCIELGFHPIEDVEHASRTLMGTRVSPWLTQQLVDLADQPFTAKYVALLSLL KANIDHGHVKFRVYRHHLYDESMHMLQSLQPNVLCTRTRIELLGEAGIDAGGLQREWY TLFTQAVFEPSAGLFVATDSYGYTLNPSSTCRSDLEKFRAVGRLLARSILDEQVLPFH LTVPLFKMILGTPLSMTDMLYMDKQMYTSLKFVQSTLDVDGLCLDFSVTVEGGNVVEL VPHGQNIMVTAANQAEYVQRMLQYLLFDRIQLQLQSLLTGLFELAISSIP H257_17982 MEVGVGIWVKSKDEWIQASIRHVKKDDAGDGAHVDITYEYARGG VESRQVDVAKVEDGTDDTVKLANSADMDLVDDLIRLPNLHEPGICHTLNERFKQNEIY TLTGEILLAVNPFQDLGIYSDKLIRTYIRHGMLQALGDEESTVPPHVFGVADTAFRSL TAPLTGRPQNQSILVSGESGAGKTETTKFIMKYLAAVSQSQSMCNININQSDVMSQVL SSSPILEAFGNARTIRNDNSSRFGKFIQMQFSSHRGLLIGAGIQTYLLETVRVTSQAP KERNYHVFYELLAGLDDDRKTKWGLTYPPAYFYYLNQSDCVVRKDGVSDKDQFECLRE AFDTMQFDDQDQHNVLYTLANVLHVGNLRFHAPPHNVHHEEGSMLASSDPTSAAAELH VTAFFGVDRHALEVALTTRKIQARDEWYTLGLLPEVAEQHRDALARYVYGKLFEYLVG RINSTIDHDGDGDLAGFIGVLDIFGFEDLSTNSFEQLCINYANETLQHHFNATVLRQE QVTYERERIQWSFINFPDNQPCLDLLEKKPMGLFHMLDEECIVPQGSDENFARKATKR HTTKTLDLACPSSFFRASHADRANHMFAIHHYAGWVSYHTFGFCDKNKDTLHAEIAAL VRHSSFGFLRTISSSKADSPSAARSTRGGILKPSPSSMAISKASASVGSTFRRQLKQL MDTVQHTQCAYVRCLKPNDKNKPTVFDFRRICDQLEAGGVLEAVRVNRAGFPVRITHA QFVKRYRPLTPGGMLKHIPDTCGDELASTPPERRAAAATLAALLVQTLRLDGHDGDPS AGLPHAKPSSTASSSSSSLQVGETKVFFRRTAIQYVEAQLAKRYGEFVVLIQSLWRRC LAQRQHRRALAAILCMQTSGL H257_17983 MRLYFVVMMMAAAAAVGLASVEGSLVHDRNVGGFRQVDPDDDNK GDFFTATSDKAHYSSSNDPFVCVTSFDKVLQQVVSGINYQFHVHGCAVDAPSKAKADC TCGDRPIQKFVIEVYAQAWTQTYKVTKVSTVPQRHEL H257_17983 MRLYFVVMMMAAAAAVGLASVEGSLVHDRNVGGFRQVDPDDDNK GDFFTATSDKAHYSSSNDPFVCVTSFDKVLQQVVSGINYQFHVHGCAVDAPSKAKADC TCGDRPIQKFVIEVYAQAWTQTYKVTKVSTVPQRHEL H257_17983 MRLYFVVMMMAAAAAVGLASVEGSLVHDRNVGGFRQVDPDDDNK GDFFTATSDKAHYSSSNDPFVCVTSFDKVLQQVVSGINYQFHVHGCAVDAPSKAKADC TCGDRPIQKFVIEVYAQAWTQTYKVTKVSTVPQRHEL H257_17984 MLLRRAAATGSSKLLGRTALQSIVRSRYFSDDVFSAPREGMDYD VLLVGAGPASLSAAIRIKQLGLEKGTDLSVCVVEKGAEVGSHIVSGNVFEPRALNELI PDWKEKGAPLDTPVSDDRFLIISKDGSWKLPNFLLPPQQHNHGNYVISLGKLTRWLGA QAEELGVEIYPGFSASEVLYREDGGVRGIATRDVGINKDGTPKETFARGMELRARVTL FGEGCRGSCSEEVMGKFNLRQHVQPQTYGLGIKEVWRIPKDKHSPGLVQHTLGWPLQS SLLDKTFGGSFLYHMDDDLVQIGLVVGLDYENPYLNPYEEFQRFKTHPAVRSHLEGGE CIAYGARVLNEGGYHAIPKLTFPGGALIGCSAGFLNSIKIKGSHTVMKSGMLAAEAAY AALTSTGLEAVAVSSVIDQAEPVVDISSYETAIQDSWVYEELKKVRNTHQSFHWGALP GVLYSGLSAFILNGLEPWTLGNDKPDSAKTKPAKDFMPIDYPKPDGVLTFDLLSNLQR SGTNHADQPSHLRIKAGKEGVPSTESFPVFAGPEQRFCPAKVYEYTDGSEAGANGVPQ LVINAQNCVHCKCCSIKMPQEYINWTVPEGGGGPAYEVL H257_17985 MFESSNAAIIGAAMLLLFMGIGYAFIRIIMAQNGSHVDPNFFGR VPLVQEDFLGGLYGLNRNDIEELMSQVHKWKCGVCSFANIAEAQACALCETKPGMVVS DEAVGAAYLHPNDLTPLQHSARMRKQWLRTVDDASGQIVWSQLTQPFGPNQSKSDDTY FIVTSTLPTVPEANIYADVGDQIIHVVVDDEPPPSTPSTKPTDGLEPLLASRMSLEAA AQVPSSSPDQQVRVELSFEPLTMTSAQRTLVATTLSPWLVRQLDDLTNESFSVKYLAL LSLLRANMDYGYAKLKVYRERIFQESMGLLMILGPQHLCARTRIELLGEAGIDAGGLQ REWYTLFTQAVFEPSAGLFVATDSYGYTLNPSSTCRSDLEKFRAVGRLLARSILDEQV LPFHLTVPLFKMILGTPLSMTDMLYMDKQMYTSLKFVQSTLDVDGLCLDFSVTVEGGN VVELVPHGQNIMVTAANQAEYVQRMLQYLLFDRIQLQLQSLLTGLFEILPQHYLIMFD HKELELVLCGVTEIDVADWKQFTATSSVLRDFEYHSMKMYWFWDILENMASPDRAKIL QFATGSTRVPVQGFKGLTGSDGVLCPFSIKAIPYVRGGLPLAHSCFNRIDLPMYPSRE LMEHALKQIVAMGPIEFTLK H257_17985 MFESSNAAIIGAAMLLLFMGIGYAFIRIIMAQNGSHVDPNFFGR VPLVQEDFLGGLYGLNRNDIEELMSQVHKWKCGVCSFANIAEAQACALCETKPGMVVS DEAVGAAYLHPNDLTPLQHSARMRKQWLRTVDDASGQIVWSQLTQPFGPNQSKSDDTY FIVTSTLPTVPEANIYADVGDQIIHVVVDDEPPPSTPSTKPTDGLEPLLASRMSLEAA AQVPSSSPDQQVRVELSFEPLTMTSAQRTLVATTLSPWLVRQLDDLTNESFSVKYLAL LSLLRANMDYGYAKLKVYRERIFQESMGLLMILGPQHLCARTRIELLGEAGIDAGGLQ REWYTLFTQAVFEPSAGLFVATDSYGYTLNPSSTCRSDLEKFRAVGRLLARSILDEQV LPFHLTVPLFKMILGTPLSMTDMLYMDKQMYTSLKFVQSTLDVDGLCLDFSVTVEGGN VVELVPHGQNIMVTAANQAEYVQRMLQYLLFDRIQLQLQSLLTGLFEILPQHYLIMFD HKELELVLCGVTEIDVADWKQFTATSSVLRDFEYHSMKMYWFWDILENMASPDRAKIL QFATGSTRVPVQGILCICRFQRPHGQRRCTVPVFDQGDSVRTWRPPIGPLVLQPH H257_17985 MFESSNAAIIGAAMLLLFMGIGYAFIRIIMAQNGSHVDPNFFGR VPLVQEDFLGGLYGLNRNDIEELMSQVHKWKCGVCSFANIAEAQACALCETKPGMVVS DEAVGAAYLHPNDLTPLQHSARMRKQWLRTVDDASGQIVWSQLTQPFGPNQSKSDDTY FIVTSTLPTVPEANIYADVGDQIIHVVVDDEPPPSTPSTKPTDGLEPLLASRMSLEAA AQVPSSSPDQQVRVELSFEPLTMTSAQRTLVATTLSPWLVRQLDDLTNESFSVKYLAL LSLLRANMDYGYAKLKVYRERIFQESMGLLMILGPQHLCARTRIELLGEAGIDAGGLQ REWYTLFTQAVFEPSAGLFVATDSYGYTLNPSSTCRSDLEKFRAVGRLLARSILDEQV LPFHLTVPLFKMILGTPLSMTDMLYMDKQMYTSLKFVQSTLDVDGLCLDFSVTVEGGN VVELVPHGQNIMVTAANQAEYVQRMLQYLLFDRIQLQLQSLLTGLFELAISSIP H257_17985 MFESSNAAIIGAAMLLLFMGIGYAFIRIIMAQNGSHVDPNFFGR VPLVQEDFLGGLYGLNRNDIEELMSQVHKWKCGVCSFANIAEAQACALCETKPGMVVS DEAVGAAYLHPNDLTPLQHSARMRKQWLRTVDDASGQIVWSQLTQPFGPNQSKSDDTY FIVTSTLPTVPEANIYADVGDQIIHVVVDDEPPPSTPSTKPTDGLEPLLASRMSLEAA AQVPSSSPDQQVRVELSFEPLTMTSAQRTLVATTLSPWLVRQLDDLTNESFSVKYLAL LSLLRANMDYGYAKLKVYRERIFQESMGLLMILGPQHLCARTRIELLGEAGIDAGGLQ REWYTLFTQAVFEPSAGLFVATDSYGYTLNPSSTCRSDLEKFRAVGRLLARSILDEQV LPFHLTVPLFKMILGTPLSMTDMLYMDKQMYTSLKFVQSTLDVDGLCLDFSVTVEGGN VVELVPHGQNIMVTAANQAEYVQRMLQYLLFDRIQLQLQSLLTGLFELAISSIP H257_17986 MTSVVEVLGVGAGVAAFLALGSYSFYKLCMKALSPSGYTDHLLN HDSVGALNGLSRGDIPDRESDASKWLCNVCAFANGRETLACALCETKRGTSVAANTSV TASDSDHGLAFVLHPSEFSPLQHSAVMRKEWRRVLDAATNSMTWVRTTIAPPELEAPA ERYFVVTSTLPFDMTDDALYPANFLNEFVQVVVEEGAVATHSEYNDLPETTTTTLNLD MAANNSVCIELGFHPIEDVEHASRTLMGTRVSPWLTQQLVDLADQPFTAKYVALLSLL KANIDHGYTILDHWQLCAEWSDLLDM H257_17987 MVTAANQAEYVQRMLQYLLFDRIQLQLQSLLTGLFEILPQHYLI MFDHKELELVLCGVTEIDVVDWKQFTATSTTLGPGGAHAMQMDWFWEVVAELTFRDRA KLLQFATGSTRVPVQGFKGLTSYDGLLCPFSVKAIPYRPGILPRAHACFNRIDLPLYP TKDLMEQGLLALVHLEMSDFTMV H257_17988 MFERFYVQSVSDQLYLDEKDNGIEGFRTLTNFTPDEFKTIWSVV VLALQARWNDSSGRKPTSTPKNAFFMSPHEPGSVSDLAMFRKRLDVHVTKPPTETAVN VNATGGLDRHDLERNAAVSADRVNVENFFSRMYDGIQRLTFALMNFHVGHMTLREDDR HQYCLILVRYACMGEEKKSQRTTIQCRYVLRRAERRTTVDCP H257_17989 MRLPSVLRNRDVVLLLTALLEQCHGDFDTFLDTLEVLIRVNVLR LRAPRPLINYNHNFDRHMDAATARTKFRFSIDQLAVLCVKLGLPEFTVTPWHDKDDTV EAVAIVCRRMAETCHLYTIASEFGRSLEACSRIINTVVNAIYRKWHDVMYFHECLTAL HADSYADAIETKSGLRGMKTCIAFIDGTKQFISHPTPRPTASEPENLQRVVYNGHLRR HCLNWQGVSVLDGIIVGMYGPVEGRHHDSTMLSMSCLLDRFKANPVLARFCLYGDPAY GCRQCLSCPFANAMPGTFETVFNSSMSSVRESVEWSFHLVKGLWSYVSYDKKMKPDGN QISMYFDVKPPSLDEYLSSALP H257_17990 MYPLPSQHGHVRESSTSLPNANNISTRTRFQLDVVLEHVLLSHK VALTDKQLRIIVQRDNKRIQSDASSWRNERADFQQVVGLQSTLTLLRTQQLAQPHQPL YEPKVYKFLIQALPSQSQVASFELDITHFVNQNASVKLTPITGLDANASLTLSVRCHP MNPKPEPLAASSTTNPGQRSSSIQMTSNNQTTPGTRSSSNASYTGGNSSSKRDHSRGG PSSVHTRSSGPVRSSNLTDTSSLFQGGQPLDSASEYAEDLVHVNQVLVKRLAELESQV KQRDDAVTATNNSMELLRRELDELRLREAGETTRGLQLKAWNLALLQEFEMLQLQQHQ IRAGAPPTLVDVDLLQRLQALTAEVSYPSENDEPEPEIGGGSDGIETSSDDEDSNFNY DPTAQSDDEIGGFISTDVVASAAATKALVEDLQQLLARNQRLVQDTKLLIYAASDAPL APDLAPPDLSANIDIHSQRQRTQELEAQNKLLQAMTAHHLNERTTFDARQRERIEMAE MATEEARMWQVRHDLVSRRPPLPATPPTVVSPVLVTPLAVQPATVVPPAVAAAEEAPP TPVSEISKRRSAGASNELAKHVQMLKQENLALKFKNTTLESYQEKYEECEKARKRLEV RLMAQTDGADRTREVFSRIPKVDDDDDISQITLQCAAELDMQLNVLKELSRTQTMRIE TMNDEHAKLRLDFAQHIHVMKTRVVQLEASMNRQHERIASN H257_17991 MRESLSKVQQMSLPRNGLPSCLQKSLLTSPLKPPRKKGKPIERV STLSTSLTSPECSSHKALPNASASALWGFNVYHSPELDQEEMDKLHRRRLLQEKLKVD RERKMQADASKAEQKRLAQRLDYTKYLYFASKYGPLHALLYCCTDFPGRVYDLLVHRT ATTLQHWAVHYMTVLKRHARFVVSREFVAVTLGAAVSKRVAVLTQHHHAHQLRRRIFY RVQYRVFIAWRAETDRGKLITSKFQRAMATTLAGRFVTWKDMMATRKMVRQGKLCQAS VKVFHRALFNRFWHWKKCVDKTKHIRSRFTNACTRRTLDCWQQWRQFSTFAITYKAQA ITMQRVWKGHCVRQYQRCQKLAAIKLQAWTRGRSARQYAKKLREQMHLVGVKLRFQLR KDMVTLHETFNSSVRAAIAAEHSRQVLEQQAMHRARELAKRDASEALVKILGNAYRAI LKDKIALFKSEFGMDSKRATIKATEEVIQEAQTKAADYERVVFRQTHSAPPSVCTKCQ AGLPLATCPHVCGESDGERAQRQAAWVQETLHTQAMALAALDTRPLPYAERYRYLLQL NNQNETVPLHYNQSTYRYL H257_17991 MRESLSKVQQMSLPRNGLPSCLQKSLLTSPLKPPRKKGKPIERV STLSTSLTSPECSSHKALPNASASALWGFNVYHSPELDQEEMDKLHRRRLLQEKLKVD RERKMQADASKAEQKRLAQRLDYTKYLYFASKYGPLHALLYCCTDFPGRVYDLLVHRT ATTLQHWAVHYMTVLKRHARFVVSREFVAVTLGAAVSKRVAVLTQHHHAHQLRRRIFY RVQYRVFIAWRAETDRGKLITSKFQRAMATTLAGRFVTWKDMMATRKMVRQGKLCQAS VKVFHRALFNRFWHWKKCVDKTKHIRSRFTNACTRRTLDCWQQWRQFSTFAITYKAQA ITMQRVWKGHCVRQYQRCQKLAAIKLQAWTRGRSARQYAKKLREQMHLVGVKLRFQLR KDMVTLHETFNSSVRAAIAAEHSRQVLEQQAMHRARELAKRDASEALVKILGNAYRAI LKDKIALFKSEFGMDSKRATIKATEEVIQEAQTKAADYERVVFRQTHSAPPSVCTKCQ AGLPLATCTHIMTPRFM H257_17992 MTKGQFAFDFLVVGAAMSFFGLTYLGSQDPFVGTVPLYAFEDAE LVAAVEAVANENGEMASIEAFLANVYHCPRGVPPPKDIINQVRAWFPQGDLPTDQFIT GILALKAHAEATETQNQTENWTKGCEFTSGLDLRAAKVKHTRMLRDPNQKYVAPLTDS QTFGWVKGPPVKTIPKKSCEETKFASAMIQSGVNYF H257_17994 MAKAVVTLYGEDAQVFGSLVLSQANEDAKTIIAGSLKGLSAGKH ALHINVFGDVSNGGASTGGVFNPFGKSHGAPDEDERRVGSLGNIQVDEEGNAKVHIED PLLKLIGPHSVIGRSLVIHENEDDLGKGGHELSLQNGNAGPIKAFGVVGISA H257_17995 MVATTTIEKSSLKAKVSSWFKTITKASKCTCPYVTHPKPSKAKK SPYVVSEPIAMPRKYANETKLTTVVGGHRVPYIPSQVSQLREGRRSYDPIEETHVLCS HCQAQARRHTVTSMDDDDDY H257_17996 MQVSTLLSTTWSIDNTPAPAPRRKRVLTPEQLHINRLRSKEYYE AHKNKVLEKLRSNYASNRDKERERQREKYRRSKAKKMQTQQTSDNKLSQNMGGAECLV MSTTTTTPSKADHRLAIQFLLNN H257_17997 MHDTQDLHDWLLRSLLVVHHGYEITTAGGSFQLAFHGIGDALAY CLDVQTQLLLLPLAPLSTESSIKGQRQRLKKAPYLFHGIRVRMGIHASEAIEDQVSGQ IYKKTHPVTHRISYVGLPELIGGEVSDLGHDGQIVITSPVAKWLELPRKPTQMMPAYL ES H257_17998 MPVNPTSIRALLAVNAGLIYASGLFVYMGSAALDSISRAVSAGT ILPDSAVFIVVVGVLGMFSGVYGAYTTNHRSETHLSRVAKAMALVSLLFLTSFALSLV MYLQSKRWLRVYPYNSYSSFMPESRVAYETAFSGLVCPITLGFACHGQPMAVQMESSE GYYSVQRILMPSTPSEKWTQYSYKPHGWYGVNESVASALTMVPRNSGRFIDLCSNVTG RMEALGPAKTLAAELKRACRVCASVAPVNELPSWMDTYPRDYNKRYMDIYAFVSPAWT KECMQRLDWGAMLGGGYSRYSTTYNLYGSSSVPLSSVFLSKWSSFALAICVSSGFLGV VSALLAYEAQRTVKELPPASRPN H257_17999 MTTQTTLNDKTTATSVTASTDSCSNCSDNPANVDYPNTFEGPEK NLEIDFTDAGHPDGLRALSRTQLDALCDAAKCTILSKVSNASLDAYVLSESSLFVYPR KLLMKTCGTTTLLKTLPLVMEYLHELGMSIDKVRYSRKNFLQPEEQHFPHTSFSAEVE FLNDFFPNGVAHVLGPITGDHWYSYNWDADTHGPNSPSDSSERKNEHTVHMLMQDMHA DVAAHFFKRDNGMDGVEMSAASGIRDLVPNAILDSFAFEPCGYSMNSIEDDVYSTIHI TPESHCSYASFETNDKVGAYAPMVERVLAVFRPSKMTLTMSILGELTMKDHVFQTCQF KGYKRRGGWTQVHMDGDLISLKANFVVVE H257_18000 MYTVLSLCWHVMAAPWLVLLCCVLPLLVHCQSIEDQLGDNMDAL RLVRVLPEPSSPQKILCWVNTFDENHNRARSIKATWGQRCDKLVFMSNVEDLSIPTAR VVAPATHLHLWQKHRAVVRLLWREYGDAYDWIFKCDDDTFVLVENLRAYVATQSTNMS RPLLLGHRMTLQWWEMQRAFEWFYGPNLQATMHPKHFAAFSATKTATMPHGGLYYTPG GGGYAFNMAYLKLLVEHLDEPFCLPDEIVPDDWAISFCMRFLGVVPVDTRDSAHRERF HQYSPARIYHEDHNPDAFDHDLYPSIEYENNWFSDHGGGIGWQNASQCAAPDTISFHY IKPPLMELVEAYYYPPNKSTSRLRRGG H257_18001 MNLRVVLVLLWSVLAVLAEGDRDDDRGSLEADSTRTCGAIKNLK VEGYNKSLHIAAIFIVFGVSIVGSLFPVFSAYVTCLRKARSVLTLLNSFGFGVVIATA FIHMIPPAIDTLNHPCLDVKYSGLAVAIVVGTVFLMQVLETELVLLLDEPQLDRDSAV AILKSHRGSTTLSMLAVQLTDDPPLHNQPHAHHHHHHTPSHQTHHSPEHDISTTSTHK SDMRKKINVLIFEIGVAVHSVIVGVNLGVATGPSFSALLVAISFHQFFEGIAVGSSAV TAFSSFRTSALTALGFSITTPLGIALGIAVSSSYSETSAASLWTRGVLDAVAGGILVY TGLVELLTYHYTINPEFHAKTSSARWLNYAFLWLGAMAMAIVGYWV H257_18002 MPRLSTLLLASAIMAAAVSAHGDHDHDHDHDLSECGIIEDKDDY DQGIHVAAIFIVFGVSILGSLLPVVSSYVSCLRNSQKLLSLLNSFGFGVVISTAFIHM IPSATYMLGNPCLELTYNGIAMVIVVATALAMQLLETELVLLLTKNQQDNNSADLAKL TTDIETSVAPIVPATDGHYHSHHGHSHGDLAKNNTHKKINVLIFEIGVAIHSVIIGLD LGVSTGDGFITLLVAICFHQFFEGVAVGSSAVTAFSNIRSSVFTAVAYSLTTPLGIAI GIAVNSSYSNTSVTSLWVRGVLDSVAGGILVYTGIVELLTYQYTINQEFHAKSGGIRS LNYLFLWLGAASMAIIGKWA H257_18003 MVSNAFVTTTLLLALAATTVHADDAVGTIPDDCGVVLGKDDIYD QKLHIAAIFIVFGVSIFGSFLPVVSSYVSCLRNSQKLLSLLNSFGFGVVIATAFIHMI PAAMETLGNPCLNMGYPGLAMVIVVGTVFAMQALETELVLMLTNTDKPGINSADTSIE TAAVVVSSPVAGDYHQHHHHHSHGGGEHDLKSSDTRKKINVLIFEIGVAIHSVIIGLN LGVATGDGFTTLLVAICFHQFFEGVAVGSSAVTAFSNVRTSIVTAVAYSLTTPLGIAI GIAVNTSYSETSLTSLWVRGVLDAVAGGILVYTGIVELLTYQYTISHEFHAKSGGLRS LHYLFLWLGAGAMAIIGKWA H257_18004 MSLLGVNSKPMSYSNPATAKRTGQSATGDGFTTLLVAICFHQFF EGVAVGSSAVTAFSNVRTSIVTAVAYSLTTPLGIAIGIAVNTSYSETSLTSLWVRGVL DAVAGGILVYTGIVELLTYQYTISHEFHAKSGGLRSLHYLFLWLGAGAMAIIGQWA H257_18005 MTCSFLLNALLTFLVLATAVHAQHNHDSHQESEPVAAVLSACGV VTHQPDYDRGVHIAAIFIVFVVSMLGSLLPVVSSYVSCLRNSRTVLSVLNSFGFGVVI ATSFIHMIPAAMETLGNPCLDVGYPVLAMVLVVGTVFAMQVLETELVLMLTNADDAKR DDAMVSITSVPGEYHQHHHSHGGGEHSRKKINVLIFEIGVAIHSVIIGLNLGVETGAD FTTLLVAICFHQFFEGVAVGASAVTAFSNVRTSVFTAVAYSLTTPLGIAIGIAVNSSY SDTSITSLWVRGVLDSVAGGILVYTGIVELLTYQYTISQEFRAKLGNVRSLHYLFLWL GAGAMAVVGAWT H257_18006 MRDLVLIPASAAKRHRLEPFKSKSCANEIVDQEVELLGCGYFHT RMAIILGLGNSADAVEILSMGYILGSFSEPIPAWESSLVTSAVFLGMLFGGIIGTVMG DKYGRRVLMIVCLAVNGVSGLCAAASPTLVWMSFFRFVAGVGIGGIAPMLFAVCLEHV PASARGKYITIISAFWMLGSIFTAVLAWVMLGCYWGTDIRIMNVSWRVFSLAASLPAF ISCALIYLYVPESPRFLVAKGKYQQASDTLTLIYAINGRSRLPDFSGGDDHQVPSRPS ESLQWTDRFLLMFDSSASVVNLKFTTLVLLSATFCLSFGSYGISTWVTRVFQSTNVHN PYANDILYAAAALPGNVIGLYLVDSWGRKRLFAWTLFLAALCGLLFAGNAGDNSTFTV GICCLFQCATTMAWIGYDVLSAEVYPLHIRVSALCFLSSMGRFGATLAQIVNGFLMGP PVHIQALLVITTVVMAIGGGSVMLLDDNVDVQGGLQPNQPTTESHRLLA H257_18007 MPSTTYPWVDAVRAAVDANPHAVHRKRMCGYEFRPGDMAWNCRQ CQKDETCVLCHACFSASHANLPHHDVTFYYTQQGTGCCDCGDDEAWDPDGFCAEHNPP TADGADMDILTSVPPELLATAQADVVRDVNVLLRYVLGRQRGFQRALTPEDSGLFDLW LHVTESAAARSAPEKLTLVQGSMSADSVSHWLSTLDRSNVSIFDSGDPAVYADVLAVF SRITQCALASDVLCRLVAHEMSSVHSTELLGELIRTEALLPQAESAALHTLIMALLPD PAFKEAFAIAFTHSYRAVFAKYLQGHGTPGQTILVLGVQFLNRASFVHKLVADHQLLD ELLGAFASLVVGGEKSSAADLCIFQGFRSKEEYGDDNIHPWDQMALRMLRTDVIHEMD DVALATTRRPPFMVDTPPFTSHRYNQVLVDLKYVFQIPHDRFVSRFGRHLRWLGQLLS HLQGMGATCRIPPAHNHVAVDSRSWIVYIELATLVQEMMSALILNVVTFDTSDSQSAI TALVGPVLESLCLWLATTHSYFPPDQLDRPVGDPDVAVGVHFPLHHTFVLILRAATCA PALMDAFQAAVNATLPHPASCDRVGRWHRLMLVRPLLRALVWDAQVHVNMWRRNGFGV INHSLNYGEPFYCFKLRDLDLLGVQYAVATTGMDTIVPLILEEFDISTLHVPEFEDSM LAECFKVLCQIATELPLGTSSLDSRLRRLLVLRLCCKPSTHSELFKCVTEFCAVHEVQ TALSSLSLDAAVKTLTKDYILPNQKVYTLDPRHLELYDATTIHLTRKQHEAARLNRLE HRVDTFKKDPMPSTYLPAVPPPLHVTEGDALPQFEEAYYMLLHPSICAKLAGVLRQLD EATTSLATMAIHLLTLQLYALRTALDHRVYAALAKSYLTWLASVLPSLDTLNPWASTT QVRSQADHERGRHIEWILHQVSAYPGFHMYARFPSDDVVASPPPPPLSARHVPQQLAL VRMEQQRAQFLASLATDLDDDEEEEEDVTMAWEPCAMCHLADNEATLCFIGFVHLSGL NVPPVDAIKKTPWEDMRKEVPLTMQCCGHCVHRGCWDSYFATQFQKVITGEAYLNAVD VKKGEFLCPQCKSISNVLVPVSLGQGNSSSVDVSADVDWATWLQPPSTSAHDPPAQAP LDEGLAKLCMSIHRVATGAIEKAHPRRYIATACHAVFTSMWTAAITDMPLDKIRPLAA AAAHLRHPELSVREMLATGEASNSKVDALVDTHTLTQVQRTWHSVVGAKPLLLHDLGS IAARGALLSENAMDAVRSVQLVALAFVVQSTLWLLSSALPPLDDVDEDATSWFLGEWC DGASKDVGVAVLRGLVQASDLSMVSDQHSLLDRVAVECRPFCDQAWAMLSTVTSSPAS SWLSLPRLPDALVKVVHTWVHTFETTYDTMADPQGVLAQWRLKQNCGPSWKSVLQQDL NAAHVPLSTLWYRQRTHFMRHLPTAFNALYLDLTKQVCPACRLFPARPAVCLICGGVL CAASSCKSISPMSVSGACTLHAHKCGRGVGMFLLVLEGKVLLVSGKLAAYYGPSLYVD AHGEGFGESHSTVTFRGRPLFLQSHTRDALLRLWATQGIPLAIVQAQNMATHVVPNSH Y H257_18008 YAADALRTSSMNLNPGGKHPHQSLRGLTKGVNSVLIERAAWDNA MLMTYGNVVSMPPFPELLRCCARHCLASHADFRLWLLVMCACSSPSATATLNSIESYW GAAKRQARSNSD H257_18009 MSLLPSSMQPFVGISPRQPPASGLHALEDGLLDYAPQVNGNDAL NLSKLYIELDQVEHCKPYVVDPTLSETDRDARFKEIKAHTTAIQREFINS H257_18010 MAGVLPLVQSALNQQPADRLDGVAPTTSFTGLPATPPLTGLVHP DEPREVTIDWIKSRAIRHVTELADALGIMRKHVAETAAAKRAKAWYRRDGQRSMKLAK FTLGDFVLVARALQHPGKLTLLWKGPFWVVKVVSDYLIEVQQLVPPGATSLHHACRLR LYCEGGCAVNEGLKAQIAFSDEGFYVEDLRDLRLRDGVWEVLIKWLGLDDLESSWEPA LSIYEDVPVVFPPTTSFTGLPATPPLTGLVHPDEPREVTIDWIKSRAIRHVTELADAL GIMRKHVAETAAAKRAKAWYRRDGQRSVKLAKFTLGDFVLVARALQHPGKLTLLWKGP FWVVKVVSDYLIEVQQLVPPGATSLHHACRLRLYCEGGCAVNEGLKAQIAFSDEGFYV EDLRDLRLRDGVWEVLIKWLGLDDLESSWEPALSIYEDVPVVFRR H257_18011 MVKVLISLSVLAASATAGSVTELPESVTKLIDYSINPCDDFYQN AVIPPDRHKIDTSFYEINIQNQAVLRKIFSDNTTKLGEFYNSCLDTATLSSLGLTPLE DSFKAIRSANTTLDLLIVADLTKNALFGFRAPLWLSRSYYTNPSKWKDVEAEFKVYIA TVLQLARYTAEQAAAAVPVIIRFEQTLAGIALTEEKDADVPRPLYTEFRYSQLHQKYP LLVGSWLKANGFDIYDQWGGSNDWVGFKDVNYIDKTEVLLKNTTLENIRTIVEYKIIH ASSKHLTPAFRTANWNLFGKKIDDEKVEPTREKFCLSETRSTMVKTADELVKALKSSF STGIATADWLDNSTRANAQTKLSKFRHLLGGPEKPQLYPTLTFDSTTYLKNRWKVSQV NIDTNLKLNGQPVDRYKFDEPPHVPDAYYNPLTNQMFLPAGDFQKPFFDAQFDAAQNF GSIGMLIGHEITHAFDNQGRRYDGDGKQKQWWSEASNAAFETKSECIKDQYNNFVSNS EVTGAVIGNIGSLFSSNEIIADNRGLKASFRAYHEYLKEFPSQYTEEAGDKLFYLSFA QTLCSKNTDAHLRATLWKLYPPTRFRVTGALQNDAQFARVFQCPTDSHWNPSVQ H257_18012 MTVIVSVNFLSMVSIIFKSLADNASSSWTALSPLTLIGKARVVF AVVPPPLAPYSSSSNCTPSSIVLTLPMCRSLAAAGSTPFVKQATNHASGTVIPSAIAY RTSAYSCHVSFARLALEIMSALIVSLVRIGCNPKAPTIRSFSMVYVRSSSFTPLSACE YHLAALPLRRSAISTCWRCSGQAIPFMRPSAAMYRSMLSSHSSTHPRVSGSKSPPPTS RYLRNRSFGS H257_18013 MLQRAIIVGGGIGGLTAARALLRHGIQVTLLEKAAAFLPTTGAA FGFSSNGQICLESLGLGDALEPILHPFRRYKVTTRHETLYDDNHFERFYDQTGFSISG VLRADLVSVLADSLGDNVIQYNSKVVSIHQTPSQVQVTLEDGATMYADFVVGADGINS TVARAIVENPPDAPVYCGDNVFYGVLDHIPRYAEPGTLLQHYDMGEYIQYPAGPSHFI WAQCYKADAPPSKDEWTGGADNTSVARAFASTLPVGHPLHASLAATAPHRLLHFGLHY RKPMAKWHLGRVVLLGDACHATLPYAGQGANLAIEDGVVLCQLIAQHRSDPAVAFEAF HEARFNRTKRVVDMAWYMGKLTHVDGVVTGPLRNAVLKALFASGLLMKAAMAEIVDHC PVPIPAKQRR H257_18014 MNINSSALISLTLDGQVTQIAWGDLVAQVTAHSAPTDSVDLFWL VFGGVLVFLMMLGLALLEIGCVGAKNTKHIMFRILGDLCITGLTYYSVGYGFAFMGGN GFIGSSGFFMSGNDFVQATTDKLFRGHHYADWFFQWAVASVAVNICSGAIAERVSIGA YFSYTFASSLFIFPVCAHWIWSETGWASAFNVHSPMFRVGAMDFAGTGALHMFAGTSA LVGCILLGPRRGRFGANAVALPKQSVLFQFMGVMLLWFGWYGFNCVSTLSLEGTKADA MAKVAVNLTLSACTGGIMTVLLMYWSHKVWDIEAGNNGILAGCVAVTGCCPVIEPAVA VALGAVGSLVYVGVAKLVAKLEIDDAVDAVPVHLGCGVLGTIAPGFVASPTGVKMFYG SESCGVFYGCDTGGNQLAAQVVYAVAVFAFVSATVATVFLAVRHFGTLRVSDEAERMG LDGYEHGGSAYDSQADDANSITKSGDDDGFLHVKSPVEV H257_18015 MGVTDKSVACVGLGLVVLSYWLWRSPWTVVGYIALALVVLVLGV MLWIVWVLVCIEAFFKRPTVVAAPLKSPPAETPKHSKASLGSLLGCIGGALKGIQSVE SWCHRRRKRLKQPRPPSMPPALSQLPPVVQCVVCLELVPIADCASCTGCPMACCGICM EKYLCLKVTSDHQMHLGCPSCSAALGAATLKRFMSPYLQQKLVELEQSHRSFKCPKCH SDKSIVIPSGYISRRSLTCTQCKSCWCIDCGLKYHYFATQACGSFRKWRAKHRVKACP NCTRFIEKVDGCNHMTCTQCKFQFCWVCSTKWTLLHKCTPRWFAG H257_18016 MDPLWLALAFVMYIADLLVVLPLVCLICMTARHIASLQPTPPTP FTRQPTATECSICLDMVCDTYCMACPACPQACCVSCLTTHVHNKVADKCRYLGCPSCG TALGAGVLGRVMTASDRVKHDQLAHQSVQRAFKCPRCHAKCVKTTEDYLACTGCRATW CSDCDGRSHYFTFMCKQRAFRRWRVKHDVRQCPQCRCYIEKVDGCHMTCTRCKLQFCW TCHEVYPCRKRCDVPLLVRIVLACLQ H257_18017 MIRLAAGCVVVVAVAVVGVSLASYIGYYCLHILLYAVQVLSATL TIVSIDEIHTTQPPLPPSPDPKHPVGASMQFLRWLMRLVAYVPSLTMSVLWRVLHMSP FVSSHQTTTTQTNSIPPTDAVHLLPPLKCMDCSTPTDQSIHCAKCQYVACVPCFVGHA VECISNGQVGFVCVRCYQEVDASVLDAHLPPPILAKMERFKLLETLTTCPSCRGRLRQ SGLSRRRMVCGRCGDAWCFECKRAFHLRWTCKPIN H257_18018 MQTTERRLSSSASVSSVRRRTGSISPKKVSLVVKPVAVYCWGWG GNGQLGTNDRESRITPYLVHALLEKTSISKVACGSRFTLALTASGSVFAWGKNDYGQL GIGHHLQAQLEPRLIDSLSDIAITTIATRGSHVLAISEDGAVFSWGRGDEGQLGHNSR ETLHLPKRIAKLHHIVDVACGRAHSAALGHNGSTRNTCTIIVILPLGAVYTWGSGEDG ALGLDDVESALIPTALLLPSSVQAIACGSRHTLAITSEFTVLSWGWNMYGQLGLGHLD TVRIPTEIPSFLGHKVVQIVCGFRHNFAVVPHSGMTVDVFGWGWNEHGQLGDIELGLD LAVVHRPQRLRSLMDVSLTSLAAGGRHSLCSVHPHGSFAWGRGTDGEVGTGVITSSQR TLTCILHHRVVFQVACGWAHSVGLVQEDVCASTLSTSVTSFKWMTSGDWDAFSGMFVQ TILQLMIVSSLLPTQVHLPLKDLHETILPAAVATTVVGNLFFALQGVRLSRHDRRHDV TALPHGINTVLVFAYALSIMEPEFQRTQSFTAAYEVGIFAAIATGVLQMLLLPLLSLL QAAIPKAALLASVSGIALTFLSMGFAFEIWENPLVALGPLLLFLVSYGAGVKLPLHVP TGLGALLLGTSLALGLYYTGTPTTFVPFSEPYAFTVQMVNVDVGLVYRALTSGAGWKY MSIIVPMVLVNVMGAIANLETAAAVGDKYDPLACVFGDSIVTILGACLGNPFPTGIYI GHPIYKAMGARVGYLVLNAVCISLLALVNAVPWLLGTIPIASGVGFLLWIGMVITSSS FERKAHDSNHGTAVVLGMIPALAAWAFQLVQTTLHAVNTNSNMTAALDSLAAAGLNPQ GMIALSQGYLLTAIVLASTMVHIIERDFIYAAAWMAVASMLSATGIIHAYRVVGNAIE PALGFFPTQVSHQFAIVYAGMALMLGAFHLGEEEYKYTWSHVLKMVTWSKQWLPRHAP AAASIDEHTPLLLRSQSTLLEMEK H257_18018 MQTTERRLSSSASVSSVRRRTGSISPKKVSLVVKPVAVYCWGWG GNGQLGTNDRESRITPYLVHALLEKTSISKVACGSRFTLALTASGSVFAWGKNDYGQL GIGHHLQAQLEPRLIDSLSDIAITTIATRGSHVLAISEDGAVFSWGRGDEGQLGHNSR ETLHLPKRIAKLHHIVDVACGRAHSAALGHNGAVYTWGSGEDGALGLDDVESALIPTA LLLPSSVQAIACGSRHTLAITSEFTVLSWGWNMYGQLGLGHLDTVRIPTEIPSFLGHK VVQIVCGFRHNFAVVPHSGMTVDVFGWGWNEHGQLGDIELGLDLAVVHRPQRLRSLMD VSLTSLAAGGRHSLCSVHPHGSFAWGRGTDGEVGTGVITSSQRTLTCILHHRVVFQVA CGWAHSVGLVQEDVCASTLSTSVTSFKWMTSGDWDAFSGMFVQTILQLMIVSSLLPTQ VHLPLKDLHETILPAAVATTVVGNLFFALQGVRLSRHDRRHDVTALPHGINTVLVFAY ALSIMEPEFQRTQSFTAAYEVGIFAAIATGVLQMLLLPLLSLLQAAIPKAALLASVSG IALTFLSMGFAFEIWENPLVALGPLLLFLVSYGAGVKLPLHVPTGLGALLLGTSLALG LYYTGTPTTFVPFSEPYAFTVQMVNVDVGLVYRALTSGAGWKYMSIIVPMVLVNVMGA IANLETAAAVGDKYDPLACVFGDSIVTILGACLGNPFPTGIYIGHPIYKAMGARVGYL VLNAVCISLLALVNAVPWLLGTIPIASGVGFLLWIGMVITSSSFERKAHDSNHGTAVV LGMIPALAAWAFQLVQTTLHAVNTNSNMTAALDSLAAAGLNPQGMIALSQGYLLTAIV LASTMVHIIERDFIYAAAWMAVASMLSATGIIHAYRVVGNAIEPALGFFPTQVSHQFA IVYAGMALMLGAFHLGEEEYKYTWSHVLKMVTWSKQWLPRHAPAAASIDEHTPLLLRS QSTLLEMEK H257_18018 MQTTERRLSSSASVSSVRRRTGSISPKKVSLVVKPVAVYCWGWG GNGQLGTNDRESRITPYLVHALLEKTSISKVACGSRFTLALTASGSVFAWGKNDYGQL GIGHHLQAQLEPRLIDSLSDIAITTIATRGSHVLAISEDGAVFSWGRGDEGQLGHNSR ETLHLPKRIAKLHHIVDVACGRAHSAALGHNGSTRNTCTIIVILPLGAVYTWGSGEDG ALGLDDVESALIPTALLLPSSVQAIACGSRHTLAITSEFTVLSWGWNMYGQLGLGHLD TVRIPTEIPSFLGHKVVQIVCGFRHNFAVVPHSGMTVDVFGWGWNEHGQLGDIELGLD LAVVHRPQRLRSLMDVSLTSLAAGGRHSLCSVHPHGSFAWGRGTDGEVGTGVITSSQR TLTCILHHRVVFQVACGWAHSVGLVQEDVCASTLSTSVTSFKWMTSGDWDAFSGMFVQ TILQLMIVSSLLPTQVHLPLKDLHETILPAAVATTVVGNLFFALQGVRLSRHDRRHDV TALPHGINTVLVFAYALSIMEPEFQRTQSFTAAYEVGIFAAIATGVLQMLLLPLLSLL QAAIPKAALLASVSGIALTFLSMGFAFEIWENPLVALGPLLLFLVSYGAGVKLPLHVP TGLGALLLGTSLALGLYYTGTPTTFVPFSEPYAFTVQMVNVDVGLVYRALTSGAGWKY MSIIVPMVLVNVMGAIANLETAAAVGDKYDPLACVFGDSIVTILGACLGNPFPTGIYI GHVLLLSMNSSTKLTLLRTTTYYLYSPSTKPWALAWGTWSLTPCAFRSSPLSTRCHGC LGQFPLHREWASSSGLGW H257_18018 MQTTERRLSSSASVSSVRRRTGSISPKKVSLVVKPVAVYCWGWG GNGQLGTNDRESRITPYLVHALLEKTSISKVACGSRFTLALTASGSVFAWGKNDYGQL GIGHHLQAQLEPRLIDSLSDIAITTIATRGSHVLAISEDGAVFSWGRGDEGQLGHNSR ETLHLPKRIAKLHHIVDVACGRAHSAALGHNGAVYTWGSGEDGALGLDDVESALIPTA LLLPSSVQAIACGSRHTLAITSEFTVLSWGWNMYGQLGLGHLDTVRIPTEIPSFLGHK VVQIVCGFRHNFAVVPHSGMTVDVFGWGWNEHGQLGDIELGLDLAVVHRPQRLRSLMD VSLTSLAAGGRHSLCSVHPHGSFAWGRGTDGEVGTGVITSSQRTLTCILHHRVVFQVA CGWAHSVGLVQEDVCASTLSTSVTSFKWMTSGDWDAFSGMFVQTILQLMIVSSLLPTQ VHLPLKDLHETILPAAVATTVVGNLFFALQGVRLSRHDRRHDVTALPHGINTVLVFAY ALSIMEPEFQRTQSFTAAYEVGIFAAIATGVLQMLLLPLLSLLQAAIPKAALLASVSG IALTFLSMGFAFEIWENPLVALGPLLLFLVSYGAGVKLPLHVPTGLGALLLGTSLALG LYYTGTPTTFVPFSEPYAFTVQMVNVDVGLVYRALTSGAGWKYMSIIVPMVLVNVMGA IANLETAAAVGDKYDPLACVFGDSIVTILGACLGNPFPTGIYIGHVLLLSMNSSTKLT LLRTTTYYLYSPSTKPWALAWGTWSLTPCAFRSSPLSTRCHGCLGQFPLHREWASSSG LGW H257_18018 MYGQLGLGHLDTVRIPTEIPSFLGHKVVQIVCGFRHNFAVVPHS GMTVDVFGWGWNEHGQLGDIELGLDLAVVHRPQRLRSLMDVSLTSLAAGGRHSLCSVH PHGSFAWGRGTDGEVGTGVITSSQRTLTCILHHRVVFQVACGWAHSVGLVQEDVCAST LSTSVTSFKWMTSGDWDAFSGMFVQTILQLMIVSSLLPTQVHLPLKDLHETILPAAVA TTVVGNLFFALQGVRLSRHDRRHDVTALPHGINTVLVFAYALSIMEPEFQRTQSFTAA YEVGIFAAIATGVLQMLLLPLLSLLQAAIPKAALLASVSGIALTFLSMGFAFEIWENP LVALGPLLLFLVSYGAGVKLPLHVPTGLGALLLGTSLALGLYYTGTPTTFVPFSEPYA FTVQMVNVDVGLVYRALTSGAGWKYMSIIVPMVLVNVMGAIANLETAAAVGDKYDPLA CVFGDSIVTILGACLGNPFPTGIYIGHPIYKAMGARVGYLVLNAVCISLLALVNAVPW LLGTIPIASGVGFLLWIGMVITSSSFERKAHDSNHGTAVVLGMIPALAAWAFQLVQTT LHAVNTNSNMTAALDSLAAAGLNPQGMIALSQGYLLTAIVLASTMVHIIERDFIYAAA WMAVASMLSATGIIHAYRVVGNAIEPALGFFPTQVSHQFAIVYAGMALMLGAFHLGEE EYKYTWSHVLKMVTWSKQWLPRHAPAAASIDEHTPLLLRSQSTLLEMEK H257_18018 MYGQLGLGHLDTVRIPTEIPSFLGHKVVQIVCGFRHNFAVVPHS GMTVDVFGWGWNEHGQLGDIELGLDLAVVHRPQRLRSLMDVSLTSLAAGGRHSLCSVH PHGSFAWGRGTDGEVGTGVITSSQRTLTCILHHRVVFQVACGWAHSVGLVQEDVCAST LSTSVTSFKWMTSGDWDAFSGMFVQTILQLMIVSSLLPTQVHLPLKDLHETILPAAVA TTVVGNLFFALQGVRLSRHDRRHDVTALPHGINTVLVFAYALSIMEPEFQRTQSFTAA YEVGIFAAIATGVLQMLLLPLLSLLQAAIPKAALLASVSGIALTFLSMGFAFEIWENP LVALGPLLLFLVSYGAGVKLPLHVPTGLGALLLGTSLALGLYYTGTPTTFVPFSEPYA FTVQMVNVDVGLVYRALTSGAGWKYMSIIVPMVLVNVMGAIANLETAAAVGDKYDPLA CVFGDSIVTILGACLGNPFPTGIYIGHPIYKAMGARVGYLVLNAVCISLLALVNAVPW LLGTIPIASGVGFLLWIGMVITSSSFERKAHDSNHGTAVVLGMIPALAAWAFQLVQTT LHAVNTNSNMTAALDSLAAAGLNPQGMIALSQGYLLTAIVLASTMVHIIERDFIYAAA WMAVASMLSATGIIHAYRVVGNAIEPALGFFPTQVSHQFAIVYAGMALMLGAFHLGEE EYKYTWSHVLKMVTWSKQWLPRHAPAAASIDEHTPLLLRSQSTLLEMEK H257_18018 MTVDVFGWGWNEHGQLGDIELGLDLAVVHRPQRLRSLMDVSLTS LAAGGRHSLCSVHPHGSFAWGRGTDGEVGTGVITSSQRTLTCILHHRVVFQVACGWAH SVGLVQEDVCASTLSTSVTSFKWMTSGDWDAFSGMFVQTILQLMIVSSLLPTQVHLPL KDLHETILPAAVATTVVGNLFFALQGVRLSRHDRRHDVTALPHGINTVLVFAYALSIM EPEFQRTQSFTAAYEVGIFAAIATGVLQMLLLPLLSLLQAAIPKAALLASVSGIALTF LSMGFAFEIWENPLVALGPLLLFLVSYGAGVKLPLHVPTGLGALLLGTSLALGLYYTG TPTTFVPFSEPYAFTVQMVNVDVGLVYRALTSGAGWKYMSIIVPMVLVNVMGAIANLE TAAAVGDKYDPLACVFGDSIVTILGACLGNPFPTGIYIGHPIYKAMGARVGYLVLNAV CISLLALVNAVPWLLGTIPIASGVGFLLWIGMVITSSSFERKAHDSNHGTAVVLGMIP ALAAWAFQLVQTTLHAVNTNSNMTAALDSLAAAGLNPQGMIALSQGYLLTAIVLASTM VHIIERDFIYAAAWMAVASMLSATGIIHAYRVVGNAIEPALGFFPTQVSHQFAIVYAG MALMLGAFHLGEEEYKYTWSHVLKMVTWSKQWLPRHAPAAASIDEHTPLLLRSQSTLL EMEK H257_18018 MQMDVSLTSLAAGGRHSLCSVHPHGSFAWGRGTDGEVGTGVITS SQRTLTCILHHRVVFQVACGWAHSVGLVQEDVCASTLSTSVTSFKWMTSGDWDAFSGM FVQTILQLMIVSSLLPTQVHLPLKDLHETILPAAVATTVVGNLFFALQGVRLSRHDRR HDVTALPHGINTVLVFAYALSIMEPEFQRTQSFTAAYEVGIFAAIATGVLQMLLLPLL SLLQAAIPKAALLASVSGIALTFLSMGFAFEIWENPLVALGPLLLFLVSYGAGVKLPL HVPTGLGALLLGTSLALGLYYTGTPTTFVPFSEPYAFTVQMVNVDVGLVYRALTSGAG WKYMSIIVPMVLVNVMGAIANLETAAAVGDKYDPLACVFGDSIVTILGACLGNPFPTG IYIGHPIYKAMGARVGYLVLNAVCISLLALVNAVPWLLGTIPIASGVGFLLWIGMVIT SSSFERKAHDSNHGTAVVLGMIPALAAWAFQLVQTTLHAVNTNSNMTAALDSLAAAGL NPQGMIALSQGYLLTAIVLASTMVHIIERDFIYAAAWMAVASMLSATGIIHAYRVVGN AIEPALGFFPTQVSHQFAIVYAGMALMLGAFHLGEEEYKYTWSHVLKMVTWSKQWLPR HAPAAASIDEHTPLLLRSQSTLLEMEK H257_18019 MAESVMVYASHGSSDARHTALEMHAIRMIIEREACLEALEQLAD EFASIQTKKARPWKLVQNLTRQYRKASVAAVEGIRKWQVGIGTPDAVFLWKQTNYLRK MCTDTAFFMTAREMWKDISVPLANNPLLAPISLTDPLLHEDDVALLEGLRRAEKKMDD EQGKGAGVEVERLCAAMQSLFAELQHVHVVDRPSSAAPLAATSVKKPVTRDESTLDTT SATMQRHLDHARQVLVTMEGRRKELVEQMQIVQNKMDNASTMAPSKARALQTKYGAWN NELKGLMGDMFKRRNELMRQEAAFKMHTAKMKPKAPALIDKDLQDAVEADRLARDKRL ASLQPSSKQQLSSMTEADVYDLIKALGLESAAEKLRSMGIDGGLLAVSTDDDLIEVGV AIRLHRVKILRHVQSLLQ H257_18020 MGNCSGRDLEAVEARVARPDTRPMRAAAPDQSHQPQRRRSKLAE SFQRRKSNSFFERSSSSMRQKAHRFSLNFMPRQESDIPIAEDSPHELDRRKGAPRTPD TEYYRSDTDEISIDIDLILGHDFDSPGIIHARWGEPSEDDDEVCMDYQANPFKVGFCI NCQKQHEFTEAGEVKAIKEYKRISTLNVWQLQLPTNPNISIAPEAMIGVGRTNASYSQ RNLRLSTLSDGGRESDVDLTQILKQRRDILLKLQQAKTEKAPAARSSNDDSWL H257_18021 MKRLSKAVQDRVGRKGPPLLQDEHVPTSNDKSAHSSVHDLEQGS PRRHLSITCGVLVELQLVQVLKQNGVGEICRTIKAEIDTIWAVQSAFKTLASVGHFYF SCGETVFSVNPADGFYDSDGLLLALFALKKFPHHREVLFPILDTISIYAKLDVERALT FCAIDGGVDLIMNCVRNHYDKPNLICMAHDALGSLILNDDIRQHIASTGLMHELVTLL DRFKSNVDVSRALLYPLSHLVVLREMAHTFVDLNGIPTALHCLKQHKHDQQAAWYALA LLNALTTSDSYHIQLIGTVFSHKGVPLIARAIAMHVQVEEIALEGFQLLERIATVSQF FAVINRNHVLELAYHALSLYKGPQYTHTRLEIKKRVLTFQTCAIQDGHAYAMQERLTK GDCILYSIFVALTAVAAALSPYDHCTHHMTQALTASLRLPDTFPDTAHVWMYLESAFV SSLFRPVTPDSMATPAMMDGSNVLLGNVLLHQRRLSVVDLTEDKTTSDIFGVYMEERG YQATLPPVQAAPGLCHSAKCVLAQLRHSKWLDLYTRSVVIEWNVYNAALDIHIAMTLS LDFAVGAAIQTDLRATPAYFNVYRGVFLTSALFYVDMGLVFFTLYSVWRAGGKLHRYR QYYFFVGAHVMDGLIVVLWLGIWCTRLHYVTTASYSLMVQLAGPTYVSLRDVTSLAQQ DRVLFAFVSLVMWLNWTRYLSSHHLRYLLCVLEATWGYIVGYILLIVVLVMGVTQYLM LAFPWSTQSTFFDHFTKAVNAMSTHGMPRPAESTWSGYVLFLGFNVLLPLYLFFALAP MLRLGFNLCHREPRPKRAPAKLPSSLKGHKKPHHPNMKIVFETAKLALKGMLEDRQST IRKHSFSPWEKSDASLLDDITEANYVSPSAPSILQELQRGVVHLAVEEQHLDMLATTL FNRLQQLHLLLCSNFSRSIKTPLPTPVSLDKSRRMSNLSYVQRRGQSSCTSDGNDGGL DDVPNVET H257_18021 MKRLSKAVQDRVGRKGPPLLQDEHVPTSNDKSAHSSVHDLEQVE LQLVQVLKQNGVGEICRTIKAEIDTIWAVQSAFKTLASVGHFYFSCGETVFSVNPADG FYDSDGLLLALFALKKFPHHREVLFPILDTISIYAKLDVERALTFCAIDGGVDLIMNC VRNHYDKPNLICMAHDALGSLILNDDIRQHIASTGLMHELVTLLDRFKSNVDVSRALL YPLSHLVVLREMAHTFVDLNGIPTALHCLKQHKHDQQAAWYALALLNALTTSDSYHIQ LIGTVFSHKGVPLIARAIAMHVQVEEIALEGFQLLERIATVSQFFAVINRNHVLELAY HALSLYKGPQYTHTRLEIKKRVLTFQTCAIQDGHAYAMQERLTKGDCILYSIFVALTA VAAALSPYDHCTHHMTQALTASLRLPDTFPDTAHVWMYLESAFVSSLFRPVTPDSMAT PAMMDGSNVLLGNVLLHQRRLSVVDLTEDKTTSDIFGVYMEERGYQATLPPVQAAPGL CHSAKCVLAQLRHSKWLDLYTRSVVIEWNVYNAALDIHIAMTLSLDFAVGAAIQTDLR ATPAYFNVYRGVFLTSALFYVDMGLVFFTLYSVWRAGGKLHRYRQYYFFVGAHVMDGL IVVLWLGIWCTRLHYVTTASYSLMVQLAGPTYVSLRDVTSLAQQDRVLFAFVSLVMWL NWTRYLSSHHLRYLLCVLEATWGYIVGYILLIVVLVMGVTQYLMLAFPWSTQSTFFDH FTKAVNAMSTHGMPRPAESTWSGYVLFLGFNVLLPLYLFFALAPMLRLGFNLCHREPR PKRAPAKLPSSLKGHKKPHHPNMKIVFETAKLALKGMLEDRQSTIRKHSFSPWEKSDA SLLDDITEANYVSPSAPSILQELQRGVVHLAVEEQHLDMLATTLFNRLQQLHLLLCSN FSRSIKTPLPTPVSLDKSRRMSNLSYVQRRGQSSCTSDGNDGGLDDVPNVET H257_18021 MKRLSKAVQDRVGRKGPPLLQDEHVPTSNDKSAHSSVHDLEQGS PRRHLSITCGVLVELQLVQVLKQNGVGEICRTIKAEIDTIWAVQSAFKTLASVGHFYF SCGETVFSVNPADGFYDSDGLLLALFALKKFPHHREVLFPILDTISIYAKLDVERALT FCAIDGGVDLIMNCVRNHYDKPNLICMAHDALGSLILNDDIRQHIASTGLMHELVTLL DRFKSNVDVSRALLYPLSHLVVLREMAHTFVDLNGIPTALHCLKQHKHDQQAAWYALA LLNALTTSDSYHIQLIGTVFSHKGVPLIARAIAMHVQVEEIALEGFQLLERIATVSQF FAVINRNHVLELAYHALSLYKGPQYTHTRLEIKKRVLTFQTCAIQDGHAYAMQERLTK GDCILYSIFVALTAVAAALSPYDHCTHHMTQALTASLRLPDTFPDTAHVWMYLESAFV SSLFRPVTPDSMATPAMMDGSNVLLGNVLLHQRRLSVVDLTEDKTTSDIFGVYMEERG YQATLPPVQAAPGLCHSAKCVLAQLRHSKWLDLYTRSVVIEWNVYNAALDIHIAMTLS LDFAVGAAIQTDLRATPAYFNVYRGVFLTSALFYVDMGLVFFTLYSVWRAGGKLHRYR QYYFFVGAHVMDGLIVVLWLGIWCTRLHYVTTASYSLMVQLAGPTYVSLRDVTSLAQQ DRVLFAFVSLVMWLNWTRYLSSHHLRYLLCVLEATWGYIVGYILLIVVLVMGVTQYLM LAFPWSTQSTFFDHFTKAVNAMSTHGMPRPAESTWSGYVLFLGFNVLLPLYLFVRVRR PSL H257_18021 MKRLSKAVQDRVGRKGPPLLQDEHVPTSNDKSAHSSVHDLEQVE LQLVQVLKQNGVGEICRTIKAEIDTIWAVQSAFKTLASVGHFYFSCGETVFSVNPADG FYDSDGLLLALFALKKFPHHREVLFPILDTISIYAKLDVERALTFCAIDGGVDLIMNC VRNHYDKPNLICMAHDALGSLILNDDIRQHIASTGLMHELVTLLDRFKSNVDVSRALL YPLSHLVVLREMAHTFVDLNGIPTALHCLKQHKHDQQAAWYALALLNALTTSDSYHIQ LIGTVFSHKGVPLIARAIAMHVQVEEIALEGFQLLERIATVSQFFAVINRNHVLELAY HALSLYKGPQYTHTRLEIKKRVLTFQTCAIQDGHAYAMQERLTKGDCILYSIFVALTA VAAALSPYDHCTHHMTQALTASLRLPDTFPDTAHVWMYLESAFVSSLFRPVTPDSMAT PAMMDGSNVLLGNVLLHQRRLSVVDLTEDKTTSDIFGVYMEERGYQATLPPVQAAPGL CHSAKCVLAQLRHSKWLDLYTRSVVIEWNVYNAALDIHIAMTLSLDFAVGAAIQTDLR ATPAYFNVYRGVFLTSALFYVDMGLVFFTLYSVWRAGGKLHRYRQYYFFVGAHVMDGL IVVLWLGIWCTRLHYVTTASYSLMVQLAGPTYVSLRDVTSLAQQDRVLFAFVSLVMWL NWTRYLSSHHLRYLLCVLEATWGYIVGYILLIVVLVMGVTQYLMLAFPWSTQSTFFDH FTKAVNAMSTHGMPRPAESTWSGYVLFLGFNVLLPLYLFVRVRRPSL H257_18021 MKRLSKAVQDRVGRKGPPLLQDEHVPTSNDKSAHSSVHDLEQGS PRRHLSITCGVLVELQLVQVLKQNGVGEICRTIKAEIDTIWAVQSAFKTLASVGHFYF SCGETVFSVNPADGFYDSDGLLLALFALKKFPHHREVLFPILDTISIYAKLDVERALT FCAIDGGVDLIMNCVRNHYDKPNLICMAHDALGSLILNDDIRQHIASTGLMHELVTLL DRFKSNVDVSRALLYPLSHLVVLREMAHTFVDLNGIPTALHCLKQHKHDQQAAWYALA LLNALTTSDSYHIQLIGTVFSHKGVPLIARAIAMHVQVEEIALEGFQLLERIATVSQF FAVINRNHVLELAYHALSLYKGPQYTHTRLEIKKRVLTFQTCAIQDGHAYAMQERLTK GDCILYSIFVALTAVAAALSPYDHCTHHMTQALTASLRLPDTFPDTAHVWMYLESAFV SSLFRPVTPDSMATPAMMDGSNVLLGNVLLHQRRLSVVDLTEDKTTSDIFGVYMEERG YQATLPPVQAAPGLCHSAKCVLAQLRHSKWLDLYTRSVVIEWNVYNAALDIHIAMTLS LDFAVGAAIQTDLRATPAYFNVYRGVFLTSALFYVDMGLVFFTLYSVWRAGGKLHRYR QYYFFVGAHVMDGLIVVLWLGIWCTRLHYVTTASYSLMVQLAGPTYVSLRDVTSLAQQ DRVLFAFVSLVMWLNWTRYLSSHHLRYLLCVLEVPTCQN H257_18021 MKRLSKAVQDRVGRKGPPLLQDEHVPTSNDKSAHSSVHDLEQGS PRRHLSITCGVLVELQLVQVLKQNGVGEICRTIKAEIDTIWAVQSAFKTLASVGHFYF SCGETVFSVNPADGFYDSDGLLLALFALKKFPHHREVLFPILDTISIYAKLDVERALT FCAIDGGVDLIMNCVRNHYDKPNLICMAHDALGSLILNDDIRQHIASTGLMHELVTLL DRFKSNVDVSRALLYPLSHLVVLREMAHTFVDLNGIPTALHCLKQHKHDQQAAWYALA LLNALTTSDSYHIQLIGTVFSHKGVPLIARAIAMHVQVEEIALEGFQLLERIATVSQF FAVINRNHVLELAYHALSLYKGPQYTHTRLEIKKRVLTFQTCAIQDGHAYAMQERLTK GDCILYSIFVALTAVAAALSPYDHCTHHMTQALTASLRLPDTFPDTAHVWMYLESAFV SSLFRPVTPDSMATPAMMDGSNVLLGNVLLHQRRLSVVDLTEDKTTSDIFGVYMEERG YQATLPPVQAAPGLCHSAKCVLAQLRHSKWLDLYTRSVVIEWNVYNAALDIHIAMTLS LDFAVGAAIQTDLRATPAYFNVYRGVFLTSALFYVDMGLVFFTLYSVWRAGGKLHRYR QYYFFVGAHVMDGLIVVLWLGIWCTRLHYVTTASYSLMVQLAGPTYVSLRDVTSLAQQ DRVLFAFVSLVMWLNWTRYLSSHHLRYLLCVLEVPTCQN H257_18021 MKRLSKAVQDRVGRKGPPLLQDEHVPTSNDKSAHSSVHDLEQVE LQLVQVLKQNGVGEICRTIKAEIDTIWAVQSAFKTLASVGHFYFSCGETVFSVNPADG FYDSDGLLLALFALKKFPHHREVLFPILDTISIYAKLDVERALTFCAIDGGVDLIMNC VRNHYDKPNLICMAHDALGSLILNDDIRQHIASTGLMHELVTLLDRFKSNVDVSRALL YPLSHLVVLREMAHTFVDLNGIPTALHCLKQHKHDQQAAWYALALLNALTTSDSYHIQ LIGTVFSHKGVPLIARAIAMHVQVEEIALEGFQLLERIATVSQFFAVINRNHVLELAY HALSLYKGPQYTHTRLEIKKRVLTFQTCAIQDGHAYAMQERLTKGDCILYSIFVALTA VAAALSPYDHCTHHMTQALTASLRLPDTFPDTAHVWMYLESAFVSSLFRPVTPDSMAT PAMMDGSNVLLGNVLLHQRRLSVVDLTEDKTTSDIFGVYMEERGYQATLPPVQAAPGL CHSAKCVLAQLRHSKWLDLYTRSVVIEWNVYNAALDIHIAMTLSLDFAVGAAIQTDLR ATPAYFNVYRGVFLTSALFYVDMGLVFFTLYSVWRAGGKLHRYRQYYFFVGAHVMDGL IVVLWLGIWCTRLHYVTTASYSLMVQLAGPTYVSLRDVTSLAQQDRVLFAFVSLVMWL NWTRYLSSHHLRYLLCVLEVPTCQN H257_18021 MKRLSKAVQDRVGRKGPPLLQDEHVPTSNDKSAHSSVHDLEQVE LQLVQVLKQNGVGEICRTIKAEIDTIWAVQSAFKTLASVGHFYFSCGETVFSVNPADG FYDSDGLLLALFALKKFPHHREVLFPILDTISIYAKLDVERALTFCAIDGGVDLIMNC VRNHYDKPNLICMAHDALGSLILNDDIRQHIASTGLMHELVTLLDRFKSNVDVSRALL YPLSHLVVLREMAHTFVDLNGIPTALHCLKQHKHDQQAAWYALALLNALTTSDSYHIQ LIGTVFSHKGVPLIARAIAMHVQVEEIALEGFQLLERIATVSQFFAVINRNHVLELAY HALSLYKGPQYTHTRLEIKKRVLTFQTCAIQDGHAYAMQERLTKGDCILYSIFVALTA VAAALSPYDHCTHHMTQALTASLRLPDTFPDTAHVWMYLESAFVSSLFRPVTPDSMAT PAMMDGSNVLLGNVLLHQRRLSVVDLTEDKTTSDIFGVYMEERGYQATLPPVQAAPGL CHSAKCVLAQLRHSKWLDLYTRSVVIEWNVYNAALDIHIAMTLSLDFAVGAAIQTDLR ATPAYFNVYRGVFLTSALFYVDMGLVFFTLYSVWRAGGKLHRYRQYYFFVGAHVMDGL IVVLWLGIWCTRLHYVTTASYSLMVQLAGPTYVSLRDVTSLAQQDRVLFAFVSLVMWL NWTRYLSSHHLRYLLCVLEVPTCQN H257_18021 MKRLSKAVQDRVGRKGPPLLQDEHVPTSNDKSAHSSVHDLEQGS PRRHLSITCGVLVELQLVQVLKQNGVGEICRTIKAEIDTIWAVQSAFKTLASVGHFYF SCGETVFSVNPADGFYDSDGLLLALFALKKFPHHREVLFPILDTISIYAKLDVERALT FCAIDGGVDLIMNCVRNHYDKPNLICMAHDALGSLILNDDIRQHIASTGLMHELVTLL DRFKSNVDVSRALLYPLSHLVVLREMAHTFVDLNGIPTALHCLKQHKHDQQAAWYALA LLNALTTSDSYHIQLIGTVFSHKGVPLIARAIAMHVQVEEIALEGFQLLERIATVSQF FAVINRNHVLELAYHALSLYKGPQYTHTRLEIKKRVLTFQTCAIQDGHAYAMQERLTK GDCILYSIFVALTAVAAALSPYDHCTHHMTQALTASLRLPDTFPDTAHVWMYLESAFV SSLFRPVTPDSMATPAMMDGSNVLLGNVLLHQRRLSVVDLTEDKTTSDIFGVYMEERG YQATLPPVQAAPGLCHSAKCVLAQLRHSKWLDLYTRSVVIEWNVYNAALDIHIAMTLS LDFAVGAAIQTDLRATPAYFNVYRGVFLTSALFYVDMGLVFFTLYSVWRAGGKLHRYR QYYFFVGAHVMDGLIVVLWLGIWCTRLHYVTTASYSLMVQLAGPTYVSLRGTTYYLVV LNPRKYTIQQYSIQQYSIQHYSIRKY H257_18021 MKRLSKAVQDRVGRKGPPLLQDEHVPTSNDKSAHSSVHDLEQVE LQLVQVLKQNGVGEICRTIKAEIDTIWAVQSAFKTLASVGHFYFSCGETVFSVNPADG FYDSDGLLLALFALKKFPHHREVLFPILDTISIYAKLDVERALTFCAIDGGVDLIMNC VRNHYDKPNLICMAHDALGSLILNDDIRQHIASTGLMHELVTLLDRFKSNVDVSRALL YPLSHLVVLREMAHTFVDLNGIPTALHCLKQHKHDQQAAWYALALLNALTTSDSYHIQ LIGTVFSHKGVPLIARAIAMHVQVEEIALEGFQLLERIATVSQFFAVINRNHVLELAY HALSLYKGPQYTHTRLEIKKRVLTFQTCAIQDGHAYAMQERLTKGDCILYSIFVALTA VAAALSPYDHCTHHMTQALTASLRLPDTFPDTAHVWMYLESAFVSSLFRPVTPDSMAT PAMMDGSNVLLGNVLLHQRRLSVVDLTEDKTTSDIFGVYMEERGYQATLPPVQAAPGL CHSAKCVLAQLRHSKWLDLYTRSVVIEWNVYNAALDIHIAMTLSLDFAVGAAIQTDLR ATPAYFNVYRGVFLTSALFYVDMGLVFFTLYSVWRAGGKLHRYRQYYFFVGAHVMDGL IVVLWLGIWCTRLHYVTTASYSLMVQLAGPTYVSLRGTTYYLVVLNPRKYTIQQYSIQ QYSIQHYSIRKY H257_18021 MCQLPTTRVRIVVCTISMELQLVQVLKQNGVGEICRTIKAEIDT IWAVQSAFKTLASVGHFYFSCGETVFSVNPADGFYDSDGLLLALFALKKFPHHREVLF PILDTISIYAKLDVERALTFCAIDGGVDLIMNCVRNHYDKPNLICMAHDALGSLILND DIRQHIASTGLMHELVTLLDRFKSNVDVSRALLYPLSHLVVLREMAHTFVDLNGIPTA LHCLKQHKHDQQAAWYALALLNALTTSDSYHIQLIGTVFSHKGVPLIARAIAMHVQVE EIALEGFQLLERIATVSQFFAVINRNHVLELAYHALSLYKGPQYTHTRLEIKKRVLTF QTCAIQDGHAYAMQERLTKGDCILYSIFVALTAVAAALSPYDHCTHHMTQALTASLRL PDTFPDTAHVWMYLESAFVSSLFRPVTPDSMATPAMMDGSNVLLGNVLLHQRRLSVVD LTEDKTTSDIFGVYMEERGYQATLPPVQAAPGLCHSAKCVLAQLRHSKWLDLYTRSVV IEWNVYNAALDIHIAMTLSLDFAVGAAIQTDLRATPAYFNVYRGVFLTSALFYVDMGL VFFTLYSVWRAGGKLHRYRQYYFFVGAHVMDGLIVVLWLGIWCTRLHYVTTASYSLMV QLAGPTYVSLRDVTSLAQQDRVLFAFVSLVMWLNWTRYLSSHHLRYLLCVLEATWGYI VGYILLIVVLVMGVTQYLMLAFPWSTQSTFFDHFTKAVNAMSTHGMPRPAESTWSGYV LFLGFNVLLPLYLFFALAPMLRLGFNLCHREPRPKRAPAKLPSSLKGHKKPHHPNMKI VFETAKLALKGMLEDRQSTIRKHSFSPWEKSDASLLDDITEANYVSPSAPSILQELQR GVVHLAVEEQHLDMLATTLFNRLQQLHLLLCSNFSRSIKTPLPTPVSLDKSRRMSNLS YVQRRGQSSCTSDGNDGGLDDVPNVET H257_18021 MCQLPTTRVRIVVCTISMELQLVQVLKQNGVGEICRTIKAEIDT IWAVQSAFKTLASVGHFYFSCGETVFSVNPADGFYDSDGLLLALFALKKFPHHREVLF PILDTISIYAKLDVERALTFCAIDGGVDLIMNCVRNHYDKPNLICMAHDALGSLILND DIRQHIASTGLMHELVTLLDRFKSNVDVSRALLYPLSHLVVLREMAHTFVDLNGIPTA LHCLKQHKHDQQAAWYALALLNALTTSDSYHIQLIGTVFSHKGVPLIARAIAMHVQVE EIALEGFQLLERIATVSQFFAVINRNHVLELAYHALSLYKGPQYTHTRLEIKKRVLTF QTCAIQDGHAYAMQERLTKGDCILYSIFVALTAVAAALSPYDHCTHHMTQALTASLRL PDTFPDTAHVWMYLESAFVSSLFRPVTPDSMATPAMMDGSNVLLGNVLLHQRRLSVVD LTEDKTTSDIFGVYMEERGYQATLPPVQAAPGLCHSAKCVLAQLRHSKWLDLYTRSVV IEWNVYNAALDIHIAMTLSLDFAVGAAIQTDLRATPAYFNVYRGVFLTSALFYVDMGL VFFTLYSVWRAGGKLHRYRQYYFFVGAHVMDGLIVVLWLGIWCTRLHYVTTASYSLMV QLAGPTYVSLRDVTSLAQQDRVLFAFVSLVMWLNWTRYLSSHHLRYLLCVLEATWGYI VGYILLIVVLVMGVTQYLMLAFPWSTQSTFFDHFTKAVNAMSTHGMPRPAESTWSGYV LFLGFNVLLPLYLFVRVRRPSL H257_18022 MASLPPLVPPPRKRHYTEMRQPPSSMHEIASVFMAAKLERSNVV VMPGDRASVESKLMAVLIAMKPNTPMHKRLQLPSLVKKLERMLLRVASSKEDYMDPAT MLPRLQAVHSFRQAKRQRTVY H257_18023 MATASKRRFTEEEDVMLLREVNARMPFRVRRGAVMDAWAEVAAA LLSHEDFDRPGFDAKRAHNRFTLLLEGHRGDNRESMRASGVDEEYSEKMQLLDELLSA YDDNKAAERGRLEEAQREADRIESLG H257_18024 MIQGERGFNTVRCGACQEGGHFVAVLALAFFAETVVGTPLDDLR PLAYTLWTTEFLSQATSHDLAEFYSTPDYVHHSNRIDALNISKMYLQLDQVEHSELHV VDPTLSETDRNSRLAEIKARTTAIQREVIAREAIKKLANQRSAAHTFLVSAISTNPRR LYQATTSPFEFFEDI H257_18025 MVEVFISLSVLAAAAMAGSVTELPESVTKLIDYSINPCDDFYQN AVIPPDRHKIDTSFYEINIQNQAVLRKIFSDNTTKLGEFYNSCLDTATLSSLGLTPLE DSFKAIRSANTTLDLLIVAGELAKNGIPAFVDIKSRPDYNDSTKNALFGFRAPLPLNR WSYIIYSNDLIPSEWYYIEADYKVYIATVLQLAGYTAEQAAAAVPVIIRFEQTLASIA LDELELVGAAGSPYTALSYSQLDQKYPLLVGSWLKANGFDVRDQSSGSNDWVGFYYLT YFDKAEVLLKNTTLDNLRTIVEYKLIHASSNHLTPAFRTANWNLFGKKVKFETVEPTR EKYCVSETGKTVGDLLGQYFLDAVWSADTAKTADELVKALRSSFSTGIATADWLDKST RANAQTKLSKFVHLLGGPEKPQLYPTLTFDSKSFLNNQWKVSQVNIDTNLKLKGQPVD RLIFDSAPQEVTANYNPYENLIVFPAGILQKPFFDGQFDAAQNFGAIGMVIGHEITHG FDNFGRDYDGDGNKNPWWSNATNDAFKAKAQCFSDQYSNFEVRSELTGVVLGNVSGEI ALGETIADNGGLKTSFRAYHEYLKEFPSQYTEDAGNKLFYLSYAQAQCSKNTDDLLLG SLTNTHPPDWFRVTGALQNNAEFSRVFQCPTDSSLNPSKKCLLWE H257_18026 MVKVLISLSVLAAAATAGSVTELPESVTKLIDYSINPCDDFTNM RINIQNEAALTKIYSDNTTKLGEFYNSCLDTATLSSLGLTPLEDSFKAIRSANTTLDL LIVAGELAKNGIPAFVDIKSSADDNDSTKNALFGFRTPLPLSRSYYTNHSKWETVEAD YMVYISTVLQLAGYTTEQAAAAVPVIIRFEQTLAGVALSSLEEMEVVLSRYTALTYSQ LDQKYPLLVGSWLKAHGFDIYDQWGGSNDWVGFYYLNYFDKTEELLKNTTLDNLRTIV EYKLIHASSTHLTPEFRTANWNLFGNKINGEQVEPTREKYCVSETGKTMGDLLGQYFL DEVWSADTAKTADKLVKALKSSFSTGIATADWLDNSTRANAQTKLSKFVHLLGGPEKP QLYPTLTFDSKSFLNNQWKVSQVNIDTNLKLKGQPVDKRRFAVPAHEVNAYFDPYENL IVFPAGILQKPFFDGQFDAAQNFGAIGMFIGHEITHRFDNTGRNYDGDGNWKQWWSNA TNDAFKAKAQCISDQYCNFEVRSELTGVVLGNVSGNISLGEIIADNGGLKTSFPAYHE YLKEFPSQYRERCRQLSL H257_18027 MRTKTEIKGKQIRPTATSTRPRRSRRLNRPTIPVPTLTLAQVKK KAPEFAAKHGIDPKNIYIRGLATTGFFTIKALNKGLDKLYDTMEEYLSNRVRDTAELK EFYKKIEYQPAYYNANSATIIEKNREYKLRQMARIPIVPLDA H257_18028 MFYPSTLGIKTDNQAYKFEFPMKAGKIGKISKIPSAGFLYGIYH VRVESNGDKRIEKRFAFSKHDHYTHTSLNFVMNVYNKHHGVQESVPEEQTHQASSELY LGNTIIKSEHKIDSMDFSRDDTDDDAKYYLRDRINKANGDTFYKLVDKSKPYFKHQFR INPFLLSLCRRIMANLVLKNADRVIRIATDSITYNGSIELDSGSQFMFIKEDDKSSRG ATIVGNSLKML H257_18029 MPAMVKIIVVALSAGVASAFGTISEFPTEITSLMDHAVDPCTDF YSYSCGTWHKNTTLHANQDTTDVAYTVIVAKAYKLVEKLYNANLPKLAEFYDACMDTA TLETLGLAPIEVHLKAIRSANSTVEAIFRGATISKATGVPMFVKLSVSPDAVEITRNV LILDFNAPFLSTLFVEAHSDFYDKVIKGVNEPRSRAMICRGQVETSIGELLGSYYLKE VWTRKTAAEANLLVFKLEAAFKTRLESAEWLDDATRANAMDKLSKFSHLLGGPKNPKT YPTLTFDPKAYIANLNKVSAFDTTFNLDRIDTAVDKHLWNKLAVVLNAFFQHSKNRIL IPATFLQPPYFDPKADPSANYGAIGFIIGHEISHGFDNRGSRYDGDGKTKQWWTETTW KQFSEKAECFVEQYGSMDVKSDVTGDFLGKLDGNTTLRENLADNGGVNTAYRAYRDYV HGEAETTKYTKEAGEKMFWIKFAQSWCTKDSDRYLQSRLNHIHPPGRHRVIGALQNSV DFAKVFNCPVDSPMNPTKKCVMW H257_18030 MIQAPALWANDIKGEGIVVANIDSGVHYSHESLESNWRSEYGTM MGTKGIGVAPKAKWIVCKGYAAPHVAGAIALYLSAIKGASYDQVIPGLTLPPPSKSTQ VLNPTNDLSTCGTLEVNTNYIGGDLALTNQATASCCAECKKTPGCKLFVWYTLEGGMC RLKGTQGQKVAVDGAKAGVLPAPALARPPLF H257_18031 MGVKMIQAPALWANDIKGEGIVVANIDSGQVNCVQRVQGHVQSR MVVECAQLLLCPHDKKNCDSSKAPHVINGSFGKHRRDFWLEDIITMWREAGIIPVFAN GNNGREGCAYSSYPAASPQVIAVGSTNSSDFLEIDSSLGPSVRKRSKPDISAPGVRIR SAASFCDDVFLPRSGSSYAAPHVAGAIALYLSANKGASYYQVNNALTENVDTDTLTPP NKTCGGIPNTKYPNNLFGYGRLNIFKAMAPGIPGLTLPPPTNSTQALNPTIELTTCGI LDDNTHYVGGDFASTNQVTAESCCAECKKTPGCKLFVWYTLEGGMCRLKGTQGQKVAV EGAKAGSATSPSLGTTAVVLDQLFPSSTNSPTIV H257_18032 MPPTTKRQRTPKNDRGRVTGSRTSENALLGAPLRTRSDLPDDDS HLLLSTSGNRHVVGFTPLPQPEEHQQAQRLSQLLLHQRLKQMAL H257_18033 MAIDTSALMDVVRANAPVLAGAISGVATATISNPLDVAKTRIQV QGGIMTEAKYTGIFRSVRTIYVEEGIRGMYRGYSAALCSFPVYWSLYFPTYEFVKVQL SNTSLDQYPVAVQGLSATITGTAVDVVTYPLWFLRTRMHTQHLHQITSASHARDGYST LRSTVGTIFQREGPGAFFKGLSASCFGILSYGIQFPVYEYLKHQVAIDPDTQKPSASG VVLAAIVSKAIAASFSYPGDVVRTRMQDQMGKSTYKHFLDAMVQIARKEGVGSLYAGF RVNIFRILPQCATTFFVYEHVKASIEPPRDDEPRLPFRRFSTQKPLLQ H257_18033 MTEAKYTGIFRSVRTIYVEEGIRGMYRGYSAALCSFPVYWSLYF PTYEFVKVQLSNTSLDQYPVAVQGLSATITGTAVDVVTYPLWFLRTRMHTQHLHQITS ASHARDGYSTLRSTVGTIFQREGPGAFFKGLSASCFGILSYGIQFPVYEYLKHQVAID PDTQKPSASGVVLAAIVSKAIAASFSYPGDVVRTRMQDQMGKSTYKHFLDAMVQIARK EGVGSLYAGFRVNIFRILPQCATTFFVYEHVKASIEPPRDDEPRLPFRRFSTQKPLLQ H257_18034 MASALEPIDVDGIIEKLLSVRGARPGKQVNLSESEIRALCVHSR EIFLSQPILVELEAPIKICGDIHGQYYDLLRLFEYGGFPPDANYLFLGDYVDRGKQSL ETICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCL PVAAIVDEKIFCMHGGLSPELSQMEQIKRFVRPTDVPDTGLLCDLLWSDPEKDILGWG ENDRGVSFTFGPDIVSQFLKRHDLDLICRAHQVVEDGYEFFAKRQLVTLFSAPNYCGE FDNAGAMMSVDESLMCSFQILKPAEKKQRFAYQGMPGNAAGGGAAAPPAAQRPATPPR K H257_18035 MDTVSVMLCCLGCRRQSRRTSVLHAHFDGIDAVYPGTVFVCLID SRDEIVAQKASIDSTSVHLLRPDELPNVISTLRRSVALFAGTLLQYDTTVQPRPNHVV HIKGERHLFSSYGLPDHHVLAFYTVMSSDALASWDCAAADVRLESILLDLSRLLSPT H257_18036 MSRVPPFVLTADVIAVPCTGSIPRNAPHFSTPPRIRSLRLPHFA FVVRKSRQDDAMDFLEERTRQKKRRAVRMLVKISWRGQRPRNQPQPLPFSVVCKLEIH SGVHHTHESLKSNWRSEYGWFDPYNKTNQLPSDTGGHGTHVMGIMVGTKGIGVAPKAK LIACKGCEDGCNQRKVVECGQFLLCPHNNDGNKCDPSKAPHVINLSLGLYSANLYLDE LIKKWRAAGIIPVLSNGNDGRKGCWHSGYPGISPQVISVGNTDANDFLSFDSSLGPSF VNDKLVKPDISAPGKRIRSVVHSSNDGITWKTGSSMATPHVSGAIALHLSANKGASYE QVYRALTESVDTDTLTPPNKTCSGIPNTQYPNNLFGHGRLNIFNAVAASIRGLTLAPP SESTQVLNPTDDLSTCGTLEDNTHYVGGDLASVNLATAESCCAECKKTPGCKVFVWYS LNGGLCRLKDTQGRKVAVDGAKASVLPAPASG H257_18037 MAWSDIRDVYRDLIVRKVLPALKSSWRWPSGVETGTVFLQQDNA RPHIAPEDPAFVSAASDGGWDIQMRNQPPQSPDLNVLDLGFFNSIQALQQSLECQTMG ELIVLL H257_18038 MVQYQFIALAALAATAVTSKISVQVHRNLEVAKQSNVVVKFHCD DALATHRRRLKVGASRTETIESLVDSLKEHTTTSQASVKSLLANQIESTAVEVGTTWI DCSMYIDNATDDLVQKIAALPEVKSIYEPVVMELSQTKSDDKPASPVNEAIEWGVKKI QAPALWAQGIKGDGIVVAIIDSGVRHTHESLKSNWRSEYGWFDPYNKTKLPSDTVGHG THVLGVMVGTKGIGVAPNAKWIACKGCGEKLCNPRMVKQCAQFLLCPHNNDGKKCDPS KAPHVINMSTGKHGKKLWLEEMIVRWRDAGIIPVFPNGNDGLEGCAYSDYPAGSPHVI AVGNTDDNDSLAIDSSLGPFGKHRKPDISAPGVRIRSAEKFKDDSFSLKSGTSMSAPH VAGAIALYLSANKGASYDQVYKTLTENADTDTLTPPDKTCGGIANTKYPNNLFGYGRL NIFNAVAADFPGLTLPPPSKSTQVLNPFNDLSTCGTLEVNTNYIGGDLTSVNLATAES CCAECKKTPGCKLFVWYTLNGGLCRLKDTQGPKVHVDGAKAGVLPAPALARRPFFRAS PPV H257_18039 MPAMVKIIVAGLSAGVASAFGTISEFPTEITSLMDQTNRGSTTL HSKAAINMFTVIAAAADKVIEKLFNAKLPKLAEFYDSCMDTDTIDTLGLAPIEAHLKA IRSANSTVEAIFRGAAISNATGVNLFVKLSIWPDDADVTRNILSAEHPGSPFGREYFH EPLWADVEKPYRKYLATIFTLAGHAEVEAAIDVVIDFERLFADA H257_18040 MQTDRIRERRKNPAQDEILKTLNLLPSDAHLLLAPADIEDEAKW YAWFAYTLNKCGEAKRANRDFNISDAVAHIQWVDPNPYDVLCEAEDEFDDSPCPGLYA VPDNSPSPTMPKASTPLTHPTNCDDLFNDCAPTSRLVARIAVSDTRTQTATNPFVKAY IDRVQATVLIDTGATSSFISASFWRRLGQPPLKQPRLGFVTADNSNLDILDCIVGLDL LRYLRAIINLKDNTLTLSD H257_18041 MPSPSSSSPTSTQRPPMKLSNASRSAIYETLLASSVNGQLSHGA LEHTARIFQCHAKTISRVWARGRLSLRDGRTAADVSSKFKGNSGQKRRRSDDVIEQAV KAVPHFARQTMRSLAAQSGVPKTTIIRHMKNDKTLKAKSNYSKAYLTDANAVHRMQYA MAFLSPSPNRFIFDSMYQTVHNDEKWFFLTTAKKRVYVYADEEVALRALKSKRFITKV MFLAAVARPRFDHNKGSMFSGKIGVWPFVEQVVAQRNSRNRPKGTVLLAPQAVTAEVY RTMILDKAGTKKNFTDTQRQHLLQHLLKKKNSGRKLKYKSLAEQLRKVPKARRTTLKS ISKAMGLPASTLHDYYKRGVFAKYSSHMKPLLTPTNQAARLKWDLDFVHKDNGSLSWT TSWTMFMYMRSGYLAPGEKPPHLSCKSKHFIQKVMFLSAVARPRWILQDSAGSMARSV PGTLPPRNPKTETAKNTSRNRPAGPPVMNPVTVTRDVYRRMLVDNVIPAIRAKWPSAP TTVKIQQDNAKPHVLVTGPVVEAACRQDGWSMSVVCQPPNSPDVNVLDLGFFREIQSL QAEHHSKTVEDIVVATLKAWDLVEPSTLGRNFSTLQSCCQEIIRVGGNNNYKIPHMHK SKLMVQGKLMVQGKLPNMLLCDRDVWSDECAKLGSVDFNSLMRTHRLRLMRAWK H257_18042 MNYAIDVCPASRLMQEDVPEVDEDDTVFAQQQPPAPVNQLLHQF DLLALLTSPRPAKTPLLAPVAVRARFSFIENRYGTRSTLPKKASKHTVDRLANPIHGF TSTLNALPPEVRKKRQQVELSNHPFRGHPTERKVVVVAKKQQLHAVDRLSKPTFVRPK LKLVRPNALLPPILRPKQKPSKAKPGRCYQAPDKAPRVPALAKTPPLPRPQPPQTKHI NKQGGGFFLTQMDEIPRPVRRAHQAAKGRANGPSTSQLRFKSNQIVPTTLPPVRIPRH TTKKPK H257_18043 MWRASVVRRTSALVLHPPRALSMSTKAPRILCTDPIHPSGVDFL RNRGYVVDTTSLSPAELVDAIPAYDGLIVRSGTQVNREVLDAAEKLRVIGRAGTGVDN IDVVAATKKGILVMNTPFGNNVSAAEFTMGLLTSFNHSVISGVHGKTVGIVGLGRVGS EVSKRCQAFGMNVLGYDPLVSDAAAKACDIEPVTLEDLCARSDFITLHCPFTPTTKHL LNADRLQRCKDGVHIVNTSRHQLMDKDALLEALESGKVSGVALDMKPSSHPLYAHAKV STTPHIGANTTEAQERISTKLASQLHHALTRSKFDNVLNAPNLDLLSQSARPPYYVLA EKLGSLHAQLLGPQQRIVKITIVAQGKDDKRQLLQAACRGLLRHLVESEVICDDVVSV LHARGINLVEHTQEDVDSSSSYSNLVQVTCHLDDGTSRALTGTVLMKSQLRLVQYDAL RLDALLSGCMVFFSNDDRPGVLAAVTQVLASHAINIGAFGLGRTKDKDALGVLNLDDV PSEPALAALANVSELSNVRLVNLLDMPLEPRSSSSHSKVDSNQPKTKPRSVNFGSGPC KKHPDYALHKLPSRALGRSHRSALGKSILLEAIEKTKQLLALPPGYVCGIVPASDTGA FEMAMWNLLGPKPVDVVHWDAFGKGWLHDATSQLNLADVRDISAPYGYLPALSQVNKD HDVCFTWNGTTSGVRVPNADWIADDRTGLVLNDATSAAFAMDMPWDKIDVCTFSWQKV LGGEGGHGMLILSPRALDRLATFHPENRPMPKIFRLKDKHNEIMMDIFQGSTINTPSM LCVEDYVNALDWAAAQGGVPGLVRKSAANFKVLDEFVAKNNHWVEFLANDPTTRSTTS VCLVLKDTTKNQLKRMTQLLEQHDVAVDIGSYKDAPAGLRIWCGATVETADLDALVPW LEWAHAQVHAE H257_18044 MLSQTKVLVASTAVLYAKFLVTTTIQGSKRFVTGTRCPEDQLLK GVPATKTAFGFNAGGASSAAATEADLRWQRIVQNDLENIPLGLLVAWSAVHSGGSELV NIAAIGTFTAARVYHTYAFAKGLQPHRSRAWVLGTAGVLALALNSLYGIVAIPEADVV VLVKAPPSHEVDRSCVTKT H257_18045 MATTTPTEHDLTNVIKSVTEQNPTFGSKRILAAVKVSHADWIVG EKRIAKLLKKLNLGQPHNDTPLSDNQSSDDDHTHSDSNSPVVTQKKEVEESPETQETN PAAPVSKVDAPSVEVASPVVEVSAPVVEVSAPVVEVAAPVVEVSAPVVEVSAPVVEVS APVVEVSAPVVEVSAPVVEVAAPVAEVSAPSVEVSAPVAEVASPVVEVAAPVAEVASP VVEVSAPIVEVAAPVAEVGAPIVEVAAPVVVEAVATVEVAAPVVEPAVEVAAPTVSAP AVVETPVEVKEAAEQLVTSVTTEAVASVVAKASVAEVVSDEVQAEPKPEVAAVVAEAP VVDEKKPVASPALDRAAEAQSGCKCVIM H257_18047 MDSFSYHHVSRRSMSMWEQPVSKRSLPSLASIDSTKSVYHPMEN NPAHSQPIPIPSYGTSGMSLPSLSNQQQHHSYSASTEPVPLALPKLRSMSLGEYMPQS RRALDDQLHPSEPEVTRFNNHLEFQIKNEIPLPHSWTPSHNHFLHQRQPPSSQYQSEF SLSHSWNSNGAAPHLMPRYSSSSSTAASSWSPSPTALQHMSLHNNSSSSDDEDQPDEE SDPLNRGSTRVCRTKSCKNVARSKGLCRSHGGGKRCTEPGCSKSAQANKKCIAHGGGT PCSFDNCDKTSQSRGLCKAHGGGARCKYPSCPKSSQSRGFCRGHGGGVRCKADGCEKW VQKNGFCIKHGREQP H257_18046 MAQSTTPPRARAHPNVGLPQTLHATHHVPRDARVIVVGDVHGCF DELKQLIHDVEYDASSDVLVFVGDLVNKGPNSVDVVQFARQSGALCVRGNHDDAALSA WYLRQRGTKDDARYEYTDGLSAADIAFLEQLPFTIDLPEINTMVVHAGVVPGVPLEEQ SLGMLYRMRFVKSSTAFEGQQAGASLWASTYHGPKLIVFGHDAKAGLQDTPFALGLDT GCCYGKRLTAVILPERRLVSVPAFKTYTSTPTPTQSWGGWFWSIFVAPAYHLFGGRND H257_18049 MPAKPSAKQTQKAAQQPAQQQKPTQPKSQPAKATPSPAKAAVQQ APVQQQKQQKNEPAKATHKQQPVQGSPKESQASKAAPATAPQQSAKAPLAKRASLTPS QQVEQQQILNILLDDAGKDWKPRDKTPKQPIQKHAAKQKGGKQAQQAPAQQKAQKPAA QKGPDQKAAPVQNAQKSAAAPVVAAKSAPALVKRASLTPVQQAEQQQILNILIDDAGK DWKPRDKTAPKQPYKKPASQKAKTSQKPKSAPAKAQPVAPMAAAVQGAKPALLTRRSS LTDAQKKEKREIMEILLDPYEFVPARITRRGSSTQVKADLHRVGNAVVVSNPPVDANE DFSGFETVKSRRTSIKEKKDLRTEQDAILSVLGDFKEPSKKHHKGNQQTPQNARPNPQ TPTKTQTAQQQKVAPQATKQQHIQAKANQGRANLAT H257_18050 MPAKPSAKQTQKAAQQPAQQQKPTQPKSQPAKATPSPAKAAVQQ APVQQQKQQKNEPAKATHKQQPVQGSPKESQASKAAPATAPQHSAKAPLAKRASLTSS QQVEQQQILNILLDDAGKDWKPRDKTPKQPIQKHAAKQKGGKQAQQAPVQQKAQKPAA QKGPDQKAAPVQNAQKSATAPVVAAKSAPALVKRASLTPVQQAEQQQILNILIDDAGK DWKPRNKTAPKQPYKKPASQKAKTSQKPKSAPAKAQPVAPMAAAVQGAKPALLTRRSS LTDAQKKEKREIMEILLDPYEFVPARITRRGSSTQVKADLHRVGNAVVVSNPPVDANE DFSGFETVKSRRTSIKEKKDLRTEQDAILSVLGDFKEPSKKHHKGNQQTPQNARPNPQ TPTKTQPTQQQKVAPQATKHIQAKANQGRAKLAT H257_18050 MPAKPSAKQTQKAAQQPAQQQKPTQPKSQPAKATPSPAKAAVQQ APVQQQKQQKNEPAKATHKQQPVQGSPKESQASKAAPATAPQHSAKAPLAKRASLTSS QQVEQQQILNILLDDAGKDWKPRDKTPKQPIQKHAAKQKGGKQAQQAPVQQKAQKPAA QKGPDQKAAPVQNAQKSATAPVVAAKSAPALVKRASLTPVQQAEQQQILNILIDDAGK DWKPRNKTAPKQPYKKPASQKAKTSQKPKSAPAKAQPVAPMAAAVQGAKPALLTRRSS LTDAQKKEKREIMEILLDPYEFVPARITRRGSSTQVKADLHRVGNAVVVSNPPVDANE DFSGFETVKSRRTSIKEKKDLRTEQDAILSVLGDFKEPSKKHHKGNQQTPQNARPNPQ TPTKTQPTQQQKVAPQATKHIQAKANQGRAKLAT H257_18051 MLARTGNPATKQQGGKQGHKTTPVQKTTSKGPTQKAVQPSVATK PASAPALVKRASLTPVQQLEQTQILNILLDDAGKDWKPRDKTPKQPVHKHAAKGGKQA RKAPVPQKTGAQKAAVVQNAQKSGAAPAVAAKPAPALVVKRASLTPVQQAEQQQILNI LIDDAGKDWKPRNTSTWPWSPKASAPSNFSTQKAQTPTKTQTAQEQKMALQTTKHIQS KANQSRAKLAT H257_18052 MSKRNTFVSKQMQWQLGLVTLINCILLVQVTVFRAYATASETDQ SNACNKFNTIRLAVRVFLWTRSSILILPTTGFRYFKMYHVQWATGSLPQDTSGPNIVR THYLRLCELLALVQIAALLVSTGTIVLRFVQVEWTFTCPTAMDKRIYQICMALSLLVA TYCYTISWEFLLSFHKLRTHLLFQHGVFDCKTKSWQEKPFNGSTKELLRFQMWMTVKQ RDIDGLRSAIAAAINEDAAFATQWYQSPSIWNQLVCVSRRNPLHMAIKTNQFDMVQLL IQVGFDPNALDKVQVAQFGLRDLYSKVFCFFSINHSEPTRIYGPFGWFKHTLLSPLHV AVARSDHHLVLHLLQAGADPNVSAESNVASAATPPLFWASHVDVTHALLMHGASQLYV PGNGFNVTVFEDAFLNGRHAIARLLESWGGDIALTPLHDAAGRGDVVKMKAYLGWGDV DTMGEHVRIGLFHRTPLHWAAIRGHVNAARMLLKAGAKVNAVDSWHQSPLTWACYLNR TELVREFLVHWQADAQLRDHHGLTIPCLCAPKDTGIDAGIFRLLRKHGLPEFGALENG DTPLHIAIKLCHQDTALALVRSGFSTTSTNAAGVRAVDCSQSTELQFIIKKEAGQRDV MISYSHAFSEFALQLRQSLEDNFLTTWMDLMDPTGIGGGAVWRDEIASGIKHAAVVLC VLSETYPVSQWCMKELAFAKAHNVPVVGVLGETTDMTDELQVVYLWSRQLVDFRQAIT STEPALVVNHDVYNTQLTALLDGLRNEVEKRRLVDRRDVLLSPLTVSVRQTEVEFAYH QRYVFICHGGCHTDFVKRLQGMLKLHGIASYADHQVGGTTHDAILNCSAFLPIFSDKS CRTDAFSDLFAFAVNKEKPIVPIVLSANFFPLAHLYSLSLHTSVVHFNEVLAQSESLT RLVAALPVTARITSPAIMSSIDRHSGSSACFHGHSAVIV H257_18052 MSKRNTFVSKQMQWQLGLVTLINCILLVQVTVFRAYATASETDQ SNACNKFNTIRLAVRVFLWTRSSILILPTTGFRYFKMYHVQWATGSLPQDTSGPNIVR THYLRLCELLALVQIAALLVSTGTIVLRFVQVEWTFTCPTAMDKRIYQICMALSLLVA TYCYTISWEFLLSFHKLRTHLLFQHGVFDCKTKSWQEKPFNGSTKELLRFQMWMTVKQ RDIDGLRSAIAAAINEDAAFATQWYQSPSIWNQLVCVSRRNPLHMAIKTNQFDMVQLL IQVGFDPNALDKVQVAQFGLRDLYSKVFCFFSINHSEPTRIYGPFGWFKHTLLSPLHV AVARSDHHLVLHLLQAGADPNVSAESNVASAATPPLFWASHVDVTHALLMHGASQLYV PGNGFNVTVFEDAFLNGRHAIARLLESWGGDIALTPLHDAAGRGDVVKMKAYLGWGDV DTMGEHVRIGLFHRTPLHWAAIRGHVNAARMLLKAGAKVNAVDSWHQSPLTWACYLNR TELVREFLVHWQADAQLRDHHGLTIPCLCAPKDTGIDAGIFRLLRKHGLPEFGALENG DTPLHIAIKLCHQDTALALVRSGFSTTSTNAAGVRAVDCSQSTELQFIIKKEAGQRDV MISYSHAFSEFALQLRQSLEDNFLTTWMDLMDPTGIGGGAVWRDEIASGIKHAAVVLC VLSETYPVSQWCMKELAFAKAHNVPVVGVLGETTDMTDELQVVYLWSRQLVDFRQAIT STEPALVVNHDVYNTQLTALLDGLRNEVEKRRLVDRRDVLLSPLTVSVRQTEVEFAYH QRYVFICHGGCHTDFVKRLQGMLKLHGIASYADHQVGGTTHDAILNCSAFLPIFSDKS CRTDAFSDLFAFAVNKEKPIVPIVLSANFFPLAHLYSLSLHTSVVHFNEVLAQSESLT RLVAALPVVCPPLW H257_18053 MITSLPCCREDLCAALARGDSFTYMYFYDHKPSRELTSACFSQW FEAPFSIDDISYHTAEHFMMAEKARLFHDKETLADILGATDPATAKAYGRSVNNFDEG VWCRHRFDIVVRANTAKFGQNEALKAYLLGTKKHILVEASPRDPIWGIGLSSKNEHAQ NPKHWRGLNLLGFALMTVRELLQADEYPAASSGLDGTFLSQAFPAPFQVNQVKYATAE HYMMARKAALFGDVEIRDRILETLDPDQAKALGRQAKDFDQELCVTHRDSIVQSGNLA KFSDPANLHLKQLLLATGDLVLVDATETDKLWGIGLPPTHKHATTPGEWPGLNLLGFA LMAVRCQLMT H257_18054 MMMCVQRVLQVVAWLFVIVAMAGGAYVVVPSQRNQDFACCGGCS SGVRADAALLPLGSRIVLWDATVKVAPADQCQITFPFEPTNGELPGSRRVRSGKLVGS TFPLDHDADALCCLNNGRCSLSEDDASGRCDCVVQYGFQGAFCELSVYDVAATNNSLL YPPTFEPPPFTIPLPDVRHALELFPIAQPLLKSLLVSTAATTDGSTGSEDPSLAQYRE RAFLPAALGVAAAMGLACVFLLVLLVKCCLTSRVYSMCEKIVTMLLMLAFALLSAASL GVGGNQWLQLHHQSTSLTTLVDVALPSALDHFYTTMSAPLLAALQGNNGSIPIPMFQL KTAALMQQYARLNQTDMPGLFLQALDPLKTVGALFPTATGCENVVILRSSISVMTVGA ATGCFQCPKCAAIVANVQQIKLDWFRGVQAIHFILYQSHENLVEFSTVPLEPTLQSFQ RSLNHTKSTVGLHVQRLSGMLASLTTSFAQLLDYGLYLWWPASFLTISTSIVAAIVGL KYKSGIIGKGASWLAQLSTLLGFGLTGVTWSLAYCARDGIELLQSFDMNATVLIPDAT PAIDVWNLLHDQSLVANHSLSQLLLFADVVKVPPLATPDDSFNTPQRYDFPALFQFQG LMDLQAHFDAANPTVLESLFSWDESIVTVNANLLTTLVEGNHTTPSPYAATSFNFTTN QSTVVNMFNSTWPTLTPVASAAQNQRIAAQWLVCATFEARRRELLLYITDVNHRIAGT RPVLDAIQTNSSLLEALEYQLKADMEFFTTQMQAFKLADCGFRGNCVWARTVWNDVVS ELLTLGNTADLTTACFGVAALAQLLCALFASCFATRIQKPQIKIYVFTTDDDKATKTK SGS H257_18054 MMMCVQRVLQVVAWLFVIVAMAGGAYVVVPSQRNQDFACCGGCS SGVRADAALLPLGSRIVLWDATVKVAPADQCQITFPFEPTNGELPGSRRVRSGKLVGS TFPLDHDADALCCLNNGRCSLSEDDASGRCDCVVQYGFQGAFCELSVYDVAATNNSLL YPPTFEPPPFTIPLPDVRHALELFPIAQPLLKSLLVSTAATTDGSTGSEDPSLAQYRE RAFLPAALGVAAAMGLACVFLLVLLVKCCLTSRVYSMCEKIVTMLLMLAFALLSAASL GVGGNQWLQLHHQSTSLTTLVDVALPSALDHFYTTMSAPLLAALQGNNGSIPIPMFQL KTAALMQQYARLNQTDMPGLFLQALDPLKTVGALFPTATGCENVVILRSSISVMTVGA ATGCFQCPKCAAIVANVQQIKLDWFRGVQAIHFILYQSHENLVEFSTVPLEPTLQSFQ RSLNHTKSTVGLHVQRLSGMLASLTTSFAQLLDYGLYLWWPASFLTISTSIVAAIVGL KYKSGIIGKGASWLAQLSTLLGFGLTGVTWSLAYCARDGIELLQSFDMNATVLIPDAT PAIDVWNLLHDQSLVANHSLSQVRDTINGVSGFWCFGVLLF H257_18055 MDAGHGLAGDIRQAVANEQFDLGGFTEPRTHDLIVAAFGTPLTA PTEMIRFTFIVGGGKLVRARYSEQLPKWMTAALREVGFVEDRSAACTLDCQGTYKQQK DTGANLLTIQVFPRLTLAVGTGGEDLEDKPATVDVDSPEYLCISSDLETFQRMIVPAK ARSWVQKKRFLKALQGSMTAFQHLEEKLMRGEALMPVEQLMYDGNIGLEVNTEKCVWL QGEIKRMVDEGHLTKAEKDEVIATLQQNLEHIAEDVDASSGKKKDKLLEKQATIQARL ALVESHKANVVYRLRKSAEIVKLRVELLGVLALQEKERSLSLTSEDMRKLEGRHALES AIADLEEQSREWFDDAFEAKCALDQKDADALYKKKKAKSSSGGKSTSGSSSSTSWATV GKKKPVSKAAKPSTAKGSGFAAAFGNDSDSD H257_18056 AMPAEDEPPRNVCHLHPMCCALPCDNLAMDSSSYCENHTMRSKC TGTNRHGKRCQALSVSRLIPYCNQHKPKAVEQNEKRTESAKQKVEIKVKPVEKVVESE PLDEEPEKEDCDPDEILVEADSGDDDDNFSDTFSDLEEEACDGGNKVHLDNEDEVEES EHLQHLRDVHDTTTIQYDDESKDDQTHDEDMVKMASSTLVDHIPSTQWTWAMSTDERW AQLTLLDESHRVLLMHWLAKCNQSIEQARVAFHDAEVKAKAKVYEGKAVIGGTIVGCI SRLEAIRATNPFAIVVEEASEVLEPLLFVCLGASTCKFEMIGDHLQLKPSIQSKYTFE RMNHIGVSMFERLIRSPPGHQVQSSVLSIQRRMRKNICDLTRDYYKDIVAIQDHDTCH NRCIQSTSLLKHLPTAGREVPGVSPHVYFWSHTGQQQRASVGLSKINADEADMVCALA KYLVNCGISPQSIAILTPYKGQLMLMRKQLLASNLIHHKDNSHHSDDMALSTVDRFQG DEADIVHISLVIDAKSRTPFVKLVNRMIVLLSRARVGMYIVGNAGYFTESSAVPHWQA TLNLLSQPAPSDSTTPTDSHTYPGVRFGPALPLCCPLHRNESVIEAKTPKQLALGFCR VVCQFRLSCSHVCGLACHWPKLTHNPKCSVRVPSPCARHPRELKCSYVLSQLSKSLQP HSTITMALDQLACDVPVDVQLPCSHSVRMTCAEEDKLSNGAASWPVCHQPSVSPFVHP ICKHEVQVTCDQFRTLTANPSLAKKCMETVEFVPSCGHSVQIKCYARAEFDSRVRAFV CAHQLDMTLPRCGHPIRVRCPVAQALAAWTGTCATDVVEEGTVYGPKDAICAQEVWFV KRCGHRVRVKCGVAFDMAPVNNTCTEVESFQHPSCGHVVQGPCHFKQTAYSDRWEGEA VQTVVEGQSSPFVAMTGALQRHKCTNLVVYQRLCQHETRVPCWQVQAHQVPKCRVSIV VPHVLCGHEVAMQCGDRAFGGYEPWTDPISNTWLQDHSVLDTTQPPVPLPSALKSMSW ATCKVSVWFKRQSTCGHSFETKCSEAFKLLGGTDLPKCTSETTVTLPCGHEVTVPCFK SNEPLPPCSEEVHRNCWNYATCGQTVLAICGKPSAQDCCSKMTTWSCSKGHEAQVAQC MEGIPEDCPWCSMDAVDAELATTRRLLATPGLTVADICTVPPALTSVLQGHELKKALL KPYLQSKLDLLFEYKAWVDNRSLWKQPLFQPRTEWYFTVEKKENPTHKKHKAAPHKPL ESFDPKVLVKTGTMQGVSLLEWTAKNMQHLLTRKNPPVVLAGVGFTVRVVAGVPTMNP KVQANWIQSKKRDGFDSVQMTSHSAESTVFWHPYAIMATHKTTLISAHMDQLMSLERT MRPVRLIERRRPGSSTSTSKASVGALLDSTALEGSQHLAGLRFPAQWDGESLVSTGTS ASIQLELAKKLRFVPGKHDEGSPFAGVNYARTLKKSATELVEVDLLLCLELLHLPGND EKAPKAALETYMDAIEEQATATAHPLLFVALFRTTNDPTYIKHFAKFYGHAVGKWLTP REKQFVPGHEGQSTVSPSIGKSNDPTILQQWQDFASKERVKSDAMDELLDLIGIRKVK KFAIQLFQSARAFKKLSSKARKANQMSFNYCFVGNAGTGKTTVARLIAKILLDSGVRT KDIFIETNAQELKDKGADEFRKQAAAAMGGVLFIDEAYDLDPKGDFKGKPIVSELLTL ADNKRDQLTIILAGYEDDMQEKLFSFNQGLKSRFEHVTFEDFDQVELQTIWESQIDAR GWTAPPDLGVIVSKRLALGANVKGFGNARSVRKEVELATKHAMSKESFDGDAMHLAMD DVMGEHPLFNPKLKRVLDEIEAKIGWQSIKTSVREFIQLCEKNYQRQLAGQSPLPVML NRLFLGNPGTGKTTCATLYGKLLKELRFLSNGEVLMKSASDFMGQYVGESQTKTVALL ELARGKVLVIDEAYNLDDKLWDKQVLDVIVEKVQNTGTDDIAVLLLGYEKPMLSMLRD QNPGLARRFPREHAFVFDDYSPIELLEIFHFTCKKHQVECSHAASEVAMELLTQQKAM SNFGNAGAVNTMITTAMAKASLRVQGADAVSLEPHDFVDATAVEDDPLSRLDKLYRME SIKASLTRLRNAFQVAQSEGSVLPTVGHFVFRGSPGTGKTTVARVMAKILFQLGLIAV DHVEETSGLDMTGQYVGHTKKRVEEKLQAAKGGVLFIDEAYELGVGHFGQEAMTSLVA AMTDPEYAGVVVIVAGYPVEMDTMLNGNVGLKSRFTRFFDFPDWLTENCMTWFTHMAQ EHNFEVDSAVFELLESQFDALRQLPGFGNGRDVKRIWDEMLTCRSDRVVQSPETIKTL TGSDVALACDAMLRARKRPTPGLQTNAPRNYDPFEGKMEDFQANSAPPPTTKMVYQQT QKQVQTPDEKKEEKADGRDEGVTDVQWAELEAAKEMHEQKLERMRRELEAEALRIELE KARALQEKIRQICPCPAGFQWHQVGGGWRCGGGSHYVSDAQLKSQFSI H257_18057 MYHEGGREVTEDLEAQITFGDSGFHVERLDEARCVDGQHQVLVK WLGLDDEESSWEPAANLLDDIPVVFRKWAASNNEDPAVAALIETLDFP H257_18058 MPAVTKYPCAAAKQYFGRGPIQLSWNYNYKDFGKAVNLDLVASP ELVATDFDMVVGLPGGFAKATHIVNGGLECGVNPPNRDSEKSRIASFKKFCELLGVAP GDNLACQTADFNIPPTSKRQRTPKNDRGRVTRSRSREDAPLSAPLRTRSDLPDDDSHL LSTSGNRHVGGFYTPTTTRGTRAVAAFVSAAAPPTTEADDASVPLPDDNNPLDVRDGT HIGSDDGYGRTAPQVWNAPALPQPPTYSGSTKAERRAFMREYQNYLAFEEGPQDLDVL KQRLQQAIRFDTKILDAESRVGRMLDELMRSLEQHHQDIEAGTLHEAASLIYQDVTAV AKNAARTMERRRKGRNDRAQECRPFARKGCLKCGDMSHRVARCPKTAAGEAETLLAAQ VKRWKDCIKVLVNQPQRQKTERGVLLENIVRVDDVLLDSGSDVTVVTRGVMDALDAAG VKVGTVSHSVQHMAYPYGSDAKPVVMTRSVDDASTATELVVSRPVMELLGFSVEDLLY GMANVKRLMAEKLNPSEHYPDDVMECATPETRGLAPAEATRLHDLLAEHIDVFREDLG DDPPVKVEPLKVRIKPGSTPVKCGMQRYPPLYVEYMRSHVVALEANGIVYKNNRATWA ATPRIVPKKEVGDLRMTIDSRPINACTEPMPNLDSAMVCLVGTNMYFNLDWTKGYSQL PLHANSQLRTLSRTASRLPTKCLRYPPLYVEYMRSHVVALEANGIVYKNNRATWAATP RIVPKKEVGDLRMTIDSRPINACTEPMPNLDSAMVCLVGTNMYFNLDWTKGYSQLPLH ANSQL H257_18059 MKSAAFLTPMAFIVAMMVQDASAHGRLLVPPHRGYIGKLPQFNG LVPVNFGDHSLSAGGIGQTRGGKHGICGDRYSGKRLHETGGEFAKFPQLREKVIGACY APGSTMDLQVQLTANHMGYFEFGLCKLNLLNDKETEDCFKTLVQPNGEKDWKVPAGNK VFNMQYMLPDGVSCDGDSHCVLRWHYVGWNNPDVGIDGQEQFWNCADIYVSNTCGSSP SPSSSQSTPSTSTPSTSKPTMTNAPKPTAPSSSTDTPVTTDTPPSTSGTPQPVDPQPV DPQPVDPQPVDPQPVDPQPQSVAIAFECSQSFDYIQFQAFDYLQPQAVDYLQPQAVDY LQPQAVDYLQPQALDYLQPQAFAYLQPQAYPI H257_18061 MCQQSPKYPCAAGKQYFGRGPIQLSWNYNYKDFGKAVNLDLVAS PELVATDFDLVWWSALWYWNDERWNGNIHKVVGLPGGFAKATHIINGGLECGVNPPNR DSEKSRIASFKKFCELLGVAPGDNLSCQTADFRPKAL H257_18062 MADEGKKRKASVIAEDVDDSVEETESPAPQVEVVDLTAESDDDN GVAPTEDAADWEAKGGLQRERLGQLEQQAAADLVKSAMPTVGAEGVQKLLEQHLAREE AAEKARAPEEAKAKAKRAVEAKAIAAAAATKAASGDVTGAPSGDGGHLDAAGVGRKEG NASVKGTVVKTEAKGFPAGWGGVDFGYVRDITRRLTVVGEFDETRDDWGYQTANAAVG AALEGMQLERVSFHRFDEFKGAMRELYERGRLERRTVDAGRIEICNDETLLQYVVAFV WRLQGSGAVGQLAVSFRRGAATEACAFGRSVCRADRRPRKYVLARLAQRIADPSLSIT IPRSR H257_18063 MPTRGQAGWARTAAFLPNRTLNVNTDGKTPYKLIHGAMPNSANL KSYATNHKAYKLYDLETKMVVIAVDVRFFENEFPNCHVPIMEASTDAVEADFDRDGLE THDTAARHAPRAPMKAVPETALYRNPASPPTTAPVAQP H257_18064 MNTETTEITTLKRVSINLLVTPVSTQTMTVQNHEARQPSWRLHL QTNRLRLYNCYVLLVLRYSCDT H257_18065 MTSTTQAEELTDKNLEAYITRLVSEKGDDVSLPVDALTNSFGEN LKLMLAGGEMREYFENACKLQGQDVDVVLKKLDSLLPEVNAMHKAIQQSQLEDEELSE PQGSTAVRLDIANWTPLLFATYDGAIDDVKAIVAQEGDAAIHHALKSNGVTPIFLASK YGHFELVTYLVDHGAKLDTAAADGFTSLHTSARNGHLEVVTYLVDHGAAVDAITNEGH TALHLSALNGHLEVVTLLAPLSSIDQATNDGSTAFVLAALGGHVDVLAFLVESGTNDL ATISDGQTALHVAAAKGHLSAVKFILGRHEYAAYESTCEVSPLVVASRNGHLEVVQFL VQDHGAKFSSDGSVTELGIAAYGGHVELVRFLIQAGASVEASTDDGTTPLFAAIMANN AHYLDVVEVLVSLGGANLQAQLTTTGETVLHYAAGAGRLDATRFLLGKGAAVDTTDAS GATPLFLASQHGKWAVVNALLTHGANVDVANAEGTTPLIVAAEKGDILIVKELLGKDA SISLQNKAGKTARDVALANKHAAVVTAIDESQLTGPKLYQAVYRNDIDAVRALLERGA DPTWKTVAGKMPIDIARGDPAILALLEERIAANNTAKPKGTKETKRPKAKENKCQIM H257_18066 MGRWNIAAAMKWVWRWAVERSCELPHSRLRSTVHHRHHTARFDA HGHYPPPPPPPHHSTISPPSLHSWVCVADMCQDSGQPQGSTRSKRRIANYSSCTRRKE RNICTAIEMHSSTTISNDAWDVLPSGYDNLRAFRVRLASVLANTTAVESDFSILKWEL EEFRSCMMHLSPECTFQAKQRRLLMSLLH H257_18067 MVTGFSVVNSPRGGHTKLLASPHPLDNANLLSKAIFGWANALLR DGNQRQLGPDDMWRLQDSNKVATLASNYISVYATHGKSLLRTFFTIYWVKLIVIAVLQ LFTTACDLYGPAYVLQKVVRAVQQPVFDPTATSLLVLSLYGIQVVSAFVKAHMKFMND VIGFQFGSSLRSMLFQKALKLSAKSKKEKTAGDIANLFSSDVDSVMEFAVSMNLLWIV PVQIGAVLYLLYVLVEWAVFLGLAVVFVILVINGVMAIMMGKEYDIIFEAKDNRMKVV NEVFGAIQIVKFNAWEEKFLDKLIELRLAEPPHCQHHFAIASLAIMDSDSMVTGFSVV NSPRGGHTKLLASPHPLDNANLLSKAIFGWANALLRDGNQRQLGPDDMWRLQDSNKVA TLASNYISVYATHGKSLLRTFFTIYWVKLIVIAVLQLFTTACDLYGPAYVLQKVVRAV QQPVFDPTATSLLVLSLYGIQVVSAFVKAHMKFMNDVIGFQFGSSLRSMLFQKALKLS AKSKKEKTAGDIANLFSSDVDSVMEFAVSMNLLWIVPVQIGAVLYLLYVLVEWAVFLG LAVVFVILVINGVMAIMMGKEYDIIFEAKDNRMKVVNEVFGAIQIVKFNAWEEKFLDK LIELRLAEVVSIWKYMRYYLVLMMFMFTTPVLVTITIFATFTLWMQLSLTVEIMFSTL ALFKSLQDAMFGLPLVIMTTAQCFVSVKRINAVLLMDEFDPSNVQTPACNAALKAKYG LDRTFGWHTPSDNTDSASKSNKNVAESSAGEDNAATVLFADVNLTIQQGQFVVLHGAV GQGKSSLCAALLGEMRKLAGTVFVGGDVAYFAQQSWIQNATVRDNILFGKPFDAAKYA SVVEACALTTDIAALPAGDRTEIGQIGINLSGGQKARMSLARACYSDADIFVLDSPLS AVDAIVQNEIFTKCFLGLLRYKTILLVTHSLEIIQSPHVHRTFLVQDGTVTESTPTHK RANSSKLISSVAPLRPPTAYWAVDSQDIVDDLVVTPPRYEDHKTLKSEEHETGTLVVE EGRAAGRVSKAVVIEYIGAIGGWWSMIVMVLLMLAVEAIKVGSDIWLSHWSNQSKTLS PADFRANTNHNILIYGILISSVLVYALRGAKKLFSEMLHSILEAPMLFFDANPIGRVL NRFGDDVLQVDMFIPFAFAPILIRIASIGSKIVTTIAITQWMGLLVLPLMAVYGFLGS YFLAPLREVNRIEKTMQSPLLCLVSEGVDGSTTIRAFGPKYLRRFNRIHDDLLEVFVG AGFVAAVSNQWFALRVELISCTIVFALLMGVVVMHDAISAGLIALVITYGLGIPANLA GLVNVWARMETALIAPDRLYEYIQLTKEGERHTPLDAASTSWPTHRQVQFDQVSYRYK PTAPLVLQDVSFTVKGGEKVGVVGRTGAGKSSLMMSLFRMNDLAAGHIRIDGLDIADM GLHNLRSHLAIIPQNPVLFKGTLRNYLDPFVEYDDDQLWIALTKVQLADRIGADPDML LGPVEENGENFSVGERQMLCIPRALLRQATIVILDEATAAIDHDTDQHLQQVVRSEFA TSTVLTIAHRLDTVLDCDRILVFDQGRLVQNDTPAALVNAGTGIFFDLVTEGGYSLEK QL H257_18068 MGQTVPEGLASTVLGEQKSEAAAFNSFRSLLLRFAERHRFRHRP PCISKVTQRVLDEVWLGYAASFWSKYAQYDRSQLLSIKPPCVKLPLLFILKGQPGGVI DKKELSTYPAGHFYAVQKNAWMDERVWSMYLDEVLAPCLDLPPNSTSRCQPLDVGVMG PLKAMLKTAWLLEEDSRSSVDDVFTAQEKRLAMVKRTILVPKKSDLQW H257_18069 MTPTVPRPRWMRYLEWVLPYLAVLCVIISFTSLDVSILDRPITP IGEAAQGSWPTIKRGFIVPMFDGMLPIGISLIQELRRLGNHDLVQVYHCLGELSALSL RLLHRADSYVEVVDVCADMVAQDKLTWHEAKRFRNFFIKPLALVHTRLDEVILLDADD ILFVDPATLWDVDAYHATGTLFFYDREIVENTFLRLKYSYVDPLLGHVTEENTLQQLF RLFEFHRFGLAKPAAPSVRTQSSLAFTNQSAHEQDSSIVVVDKRRHDRAMDVLWFLIT DWRFRFPMYSWGDKENFWLAYELSQSPYSFSPYAATAAGNVQPHDPTTVCGEIAHFFP SSSPNTTLLHINGNALINPYTKTNAFNGYDKSFRPSKLDMLLQMVPTHVAPPRDRSPT PIVQPNASCPQECLYQRGVQAMTSAQQRTLVRRIHDTFAVAADVDAETPALSRYSVVG VVAVAWTLVYMVVRYRAATR H257_18070 MADDIAAPDGALADLDPELATEFSRAEAVVTTLVSRLHCDPVSL DPALWPLHNWQSLLWALSQQARHGSCDDCRGQANYEVFAAASRMHPSRPWFASCCVGR MARDSYMKKWELLGAMPSMDAATKYIDIVNDVLPGWDATPGPDVALLAEWTADASSFC CSNCGEGFTLLNRRHHCRRCSKLVCAPCSSTRLGLRLFPGLPQKMLRVCNGCVSAMSP QVRSALAKGLPLPPTTSEAGQATTETRTATVISIDEEEQERGNSPPPQQIASPPKQEG KKVAPKQGLIKRGYVERMVGSRFQKRWEKFFFVLLVRKGSVGIYTHEDDMAPVEVYKL AGYTIRVKSEKRRPHQFKVEHATLTPIRLCVSSLREMNEWITAFSQAIDACNASDTIR GDSNHVV H257_18071 MVGSSPRPRRTRRSDCPMSRGRAQSVFGHTRTMSVPQASGQSAR SCTRALRGRSRPRPNGSGGVTTCSATSCCTACTGEVAASSCTQGTSAAAGGSRLASSM GCMLACRGRSVNTC H257_18072 MAGRGAPAPQTQRIPLVVDETAEVVKMRFLNHLRSEVSYEQQAE LMRQTETSSLFVDYTKLFAADVDLAQALAAAYLRWEIPLRQAAAEFCATGRETFVCFY NFTMVRKIRQLRMQEIGKMNSFSGTATRTSEVRPELLFGAFTCIECGGVVEGVEQQFR YMEPKKCANPFCGNTSQWELHPEKSVFVDWQKVRVQENSDEIPAGSMPRSIDVILRHE NVEQAKAGDRVVFTGALIVVPDVSRFGKNGGENVVAARRGGENSSHGMEGEGVRGLKA TGVKELTYKTAFLACSVQTMAQRFDRVSIRSEMGEETENDFSEDELESIRLMKADADR YMKMAKSLCPSVYGHDEIRKGILLMLFGGVHKTTNEGIKLRGDINICIVGDPSTAKSQ FLKYIVQFLPRAIYTSGKVSTAAGLTASVSRDPDTGEYCVEAGALMLSDNGICCIDEF DKMDPADQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPQNGRYDKTKTLKYNV NISAPIMSRFDLFFVILDECNEVADEKIAHHIVNLHKPPELQVHAQVEQAYPEEALQK YIKFARTLNPVISPDAKRMMVACYRKLRENDVSSNGQSNIAYRITVRQLESMIRLSEA LARIDLDETVQVVHVQEAYRLLNKSIIHVDTQNIDLDVVPQLATDEEATDGSDAVDSQ AQVDIGTSGSITFERFAWIQKALSRFIRDKEDEMEAFKQTQEAGDDMATCGVLQGEVV TFYLAQQDINSEHQLAMERKMIVSVVDKLVKDGFLQVVEEDDEIQDRYLTVHPNYAFE H257_18073 MTDAPCGHNVDFRCAVKLHELCACPKFVVFGCALAVKFQEFVDF RCAVKLHELCACPKFVVFGCALAVKFQEFVDFRCAVKLHELCACPKFVVFGCALAVKF QEF H257_18074 MLYHVVLPVFGLFVFVTSVIAEGFGVCYDSYDSANMPGHFRTIK QRFESVRTYQTAMGSQNAITVAANAGLKIAAGVWLLEDRYQVDLDAAIAGAKANPNAV QVIFIGNEELHQGWSAARLTSVVQDAKAKLAAAGVRVPVGIVQTDGDLLANPGLADLV DIVGANIHPFFSGGPDSTTDPIKDLKRRYEAVVAKFGSKVRVTEVGWPSHGGAFQGHI ASTALSEKFYYDVKGWQQSTGTAAYYFMYHDNLGKGGFESYFGIAHPNGQWKFGGTPV YPPTDAPTTKPPAPTTATPEQTTKPPATYFPTSPPTTASPTITLARPTTTPPPTTLAP LTTATSTTTSRPVTPIVTNNSTNNSTNTSDITFPPQAYNLTSSTNSTNNSTNSSDITF PPQAYNSTSSTNASNSITFNRVNVQATNSANGVDVGAISGVAIGGFAVAAIAVIGVVR HRGAQAI H257_18075 MPLRVKLLDPTVTLAGHGVVSDSAFPVSGNVHFHRIKHHLDFLD KFVADTNKKSHYTNVHFTKNPSIAPYRPSMFADMCDDNHDEYTRRTGIVLHSSPHFER PTQSSVFDLMREHNISNDVAIILMLDSVVKKRGGDVRQLFVHHALRCATEQEAMESQN EEVAPPVTTKSLTSKQKAAKRNVAAAAKRSSKK H257_18076 MQYLEKSPAIFSTTLAIAGVRYVQNVVLVLSQLLKSMSGVQYTF DLTHSAECVMDSTHKTNASGFELYALLVPFRGQGAEVKVAYLQRWLALLRDDFGLHPA FVHMDKDSAQIAAATAVWTGVKLYLCLWHMDRAIQARFMQGKVFPDIRRFAPTNTLLH VEGYTPAFPAVWVHGTHVLEESFCDKAVLGPLLSLMKSHYKAHPFMSMHDDAMPPHDK ARVFYIRAVEEIYNFCNECSASYLFVYLFNNWYSENEFKRWARSQCPTALATLRTSMM AEAHFNCLKTFNDHDSTFFALSS H257_18077 METMDSCANRLQTPPTAPVASVRATFAIPISKAMDNSSFCSYDG QKLDRRHEFPGSCGKSCIQ H257_18078 MASQSGTRHLTPAERRTAYELLLQSSSNGRLKYGALKDVAAHLK CHWKTISRTSIVRHMQETKRLKSRASYIKPMLTEDNTRARLDFAKSFDRLMPSGNHAF VNMNEYVHVEVALRAAKSKQFITQVMFLAAVARPRFDHTKKAYFDGKVGVWPFVVVQP AKRNSKNRAKGTPAVVPQVVDGEVYKKAIIDKTTRALTAV H257_18079 MLNVSALITRLQDQTTSDQVFLGQCLEDYSEVVDNCDDVIDSLF PIFDKVLPDPGEDGVRVLTNFTRREFVVLWEIVKLPLKARRHNGRGSKCKTSPRYLLF MTLALLMHYKSWEKHAMDFGFRAPIYQKLYVRVANNDCIVNYPYALYATDVSVQHSER RLPDMAKRSHTSARSTICMVWRSTHLCPQGLLVDMSKTHHGAVAD H257_18080 MEDTYLLLGGTGLGDNRAPDCSGAGQRYEEWHGELIPANEGSPG LFVQGHATRGRDTGYRQKPGLQDATSLRQEARAGCATATRTAPGRQHREHKRRRKDWS SGSESDLDKTIGETSGTMGVYTGPSAGDDSRRQTDGSEDKEEKGIYTEPYNEEKSIKT IGETSGTTGEYIGPSTGDDSRRQTGGSEDKEEKGMYAKPSKEESSCRQTEPNTRDASA SPDGDSQTPRGAASVLSRTLPRVRGQLTNLPGRDE H257_18081 MTLLVLDLRRLRKTAPGFHLTLHSLGRQAVDSLWKAPLWNDCRC LAKTSLSRVPLETVVVCKRPPCDVTR H257_18082 MSVLIKRNTAIPVKKTRVYTTEADYQTQVNVVIYEGERACVDHN NKLGEFTISGIERAKRGEPQVEVTFEIDANGILNVSAKDKKTHAKAETTISSNGGRLS QEDIDRMVADAEKYKKDDAEVLRKIEARNNLEGFIYRALEIAREKGDSQAENTIREAR EWLEDHEEATLRELEDKKRLLERLIKY H257_18083 MAQSTGSVWDILDGSKDIVGRPATASPNASHDQRRAESTNSATP EHTAAQLWQHSQDLTMLRRTPPSYFVVQRGNQVTLPLLRWTFRGFSMALWMRLPLSQE GKKIRLFKFYSTSKSQGIECWITPHTDDRMALTVSSITDDKGWRHVSSQFQWTPDTWH FVVVCHRQHYLKKSHVVSYVDGMRVINEDLSFPTPLGPMVQCLIGGADVNTTMGTSHM SGMTMYEDELHIDAIAALYRHGPTETCLRRIPTGVSPFLHSVVASDALCPLTSVDKRS LGQLSSNAKVVFSFNAQDALLVVPDESPTKQPPPPSPISPSYLCVQWTSEGDVDSDAG WTRFKMDAAFLPQEQIHLDGAVSVVAQPNRSDAWFRHGGILAIPVLLDQLLTSNSITA TLLSDALHVMQELLRSSVAHQEDMLHGYGFHVLSHVLRMHATHDMADLLTPSIATTVV ELVLNLWPESLDKPIAEHAMLPPLLFAAGVHGLLLDFHLWTLAPYKTQSLLIQQLVAF VTQHPRCFEGVVQAQHILDILRTFYAADVNVLESDKTKQQEQRQWIDECVASLVLLLH RSLAAADDKDVLHVAAVRLPFRVVTDLRAIGRFLLTATTDNAVVTRAVLAHLCDTVEK SEPSKTMACVAANVVEAAVHLMGPKHGWGVRTSAMRLFVLLFEWLETDSGRSLVQSVE RTYQAESVADPKLWNDLAHDNVFNMGLQHSMVLNDLTSNRHSMDILPLSDQSTLPPPP PPPPLSSSSSPPPPPTRKESKDASKQQPPSWWKQLVASSNRLVLTYADRLTVLRSIAL RQMSLHQAKSCHDAESLHFAVGASMQWLLALPLRGLLPFVSSLFLRCSTTIESQCDRE KMLMEISVGVKTDAQVQLDLLAQPSWVVWLGDLLASCESDDPTGQDLVLDTIVTLLCR ALYNSNGCLCVDQLFARFPSLPWRRRVLALVFLRTARNKSMLSRAVSENLYRLLMLAT FLLAQEAPDHVEYLLTACVDVTEMLLESTHSKHRAGIIPGLRLCRMYLSQLPTSPLPR RMLQLLASGLQQEVSQRLPIDESIQTHDMLLRVLTSLAVAHPSVDCSELVLRIATCGL FDKQEELSVDRLSSMDAASAVQHVFEILVVGARESLHEDEVVDWSLWVPKWFPQSSVS SSPIASVVAAASQVEEKRMGETIKDIAKKELDRMTSLDAGVHRRQQWTARSWDQCRYK VLSEQIRWEDVSLDGCCSIYPPTSSPPTHEYQLTRHETPFPSRRRHRMDVYFKPTLLC APTTPPPLVMSTDELEKVGRAIATQGGAVLNNETIPDDNTSDFDAMPPPSPRRTDADE ADDKDDEDEVMSMPSHGFAFLHPSSGRGLDPNDRVYLQPLVRKVVPEGLVLGSLSICD HAAVFQPTQSSESDDVEVASGLQGTHRWAWKDVVAVYLRRFRLRESAVELFVQDGTAH FLDFHVPDLSSVAVRDESVRLILAMCPRATIKQWPMMSPSRLIGNLTKEWQARLVSNY DYLMALNTLSGRSFNDLTQYPVFPWVLSNYSSEHLDLSDPANYRPLNQPMGALNPDRL AEFWERYHSFDDPVIPKFLYGSHYSTAAGSVLYYLMRLQPYASLHKLTQGGSFDLPDR VFNSVRDVWNMCNSSMSEVKELTPEWFSTPAFLRNVHQYDFGTRQDGIKVGDVELPPW AQNDPDQFIRLHRAALESDHVSAHLHEWIDLIFGFQQRGPDALAANNVFYYLTYSGLV DLDSIDDLHLRNAMEQQIAHFGQCPQQLFRTPHPARGPSSTRPLQEYPPTITKALTSS LGMCAVKVLGDRVVGVNSLGVIELHHWKLQKSKDGGDKWAFKTERDASPFDVVPRVPV YATTAVPTVAISSQGRVIVSGGAPTGTVHIRLVDVENGHVMARASVDGHAGVVTCLAM DVLGDDECFVSGSTDCSVLLWQLSHMNSPFRPPRVSSCPLMAFRGHRTTVSTCALSVP LGLVVSASDSMCLVHNLHSGVVSFGFKSPPLSSFQSVAIASSGYVVACAKTTNDTSVV QVYNLMGTCIRQHAMELCTALQLSRDGSLLTASLPQCLRSYRVDDFSVAGEFAHPKEH AMVSCSDIGPHEADMLAVTGHADGSLVWHVLPDADGHLSVLGSVGRFLNLNSKLKVVK GTVQQAQKLAISTIDNAHAVSNTAKDIADEAKSMMQTIFGLFK H257_18084 MSASSRSREKEALLPVGAVNGDATATPKKAYKSIILSVCSFILV TEFCERFAYYGFTGSLPIFFRKHLGMSSVLATELNSVFTCFTYIMPLFGAYIADRHMG RYKSILSFSLWYLAGLVVCTIAAHPAVMSMPLFMAGLFGGVAMGVGGIKPNVVVLGAD QFDVTDPSQRKQRDDFFNWFYWSINFGSTFSYIFLTNLAVQGLPPLIPESFGFFASFL IPSISFFLAVCIFYAGRDRYVKKPPQGSALSRFFDILLCAGAKSFHGKVVLSGGLVFI PAIVTTTASYFIQDPTLHLAVALAGAGMIVYGTLVLVTSGQDTSWVLQAADAGQYSLG EVKEVAQVVRLAPYFSFLIVFWAVYAQQSMNFVMQGCQMDLRVGDAQISSAMLSMFDA IVILVFVPVFNSVLYPVVESCGVKLTLLRKMGIGFVVATACMLVAGLTESARKDAGVL PGLNSNCAQPGEHLAMSQLSVWWQSPQYLLVGIAEILVSIPSYDLFYSEVPESMRSVC QALNLLTTTLGSLVAGGTNSIFSFWIPSDLNTGHLEYVFYVFAALVLVNLAGFMVVSQ GFEYHQPAKTTLDLVSGFSPALPRANHHRRQSRQT H257_18085 MKVVLDTDGGLDDALAILTAVNLLPPGTVVGITTVFGNVDLHQA THNVKKVLEVSKDPSIPVYSGARAPLVSSVEATEKWDGHGPDGMGGVAGIAEDRGVQP NDAVPALIKYARMYPGELVVIPIGPATNIALAIAADPSFVSNVAQVLYMGCTIKGEGN VTPHAEFNTACDPEACQAMLTAFAGKLTVVGWEFTLEHGLPWSIYHDLCAIDTPEARF LRGICATYETHQHEMPFVLCDVYTAVLLVDPAYVLHSKLATCSVNLSDGPLRGACTWT DATDAAAAIKVIWKVDNDRFVSVLRRLVQGDVHLYLDKP H257_18085 MGGVAGIAEDRGVQPNDAVPALIKYARMYPGELVVIPIGPATNI ALAIAADPSFVSNVAQVLYMGCTIKGEGNVTPHAEFNTACDPEACQAMLTAFAGKLTV VGWEFTLEHGLPWSIYHDLCAIDTPEARFLRGICATYETHQHEMPFVLCDVYTAVLLV DPAYVLHSKLATCSVNLSDGPLRGACTWTDATDAAAAIKVIWKVDNDRFVSVLRRLVQ GDVHLYLDKP H257_18086 MRPAVLFALLALLSILTATTATPPSVLLRRRLRAQEVDEMTLTT LLPVVTASVAGPPDNVTPFPLPVAIILGLLAVLGVVGAAVYVVRTARSDAMRKSDTEC TTVLHMDGFLGM H257_18087 MALFSARGLSNFISEIRSCTNADDEQKRIDKELNKLRQKFTQTG QLNSYDKKKYAWKLIYIYMLGYDIDFGHMQVINLVSGTKYSEKCLGYLGVSILLKSSD ELMTLVINSILNDLCSPDASFQCLALCCVANLGGMELCETLAPHVVKLLMSSSSICHV RKKAALCLRRIIPAIPDVVLPEELEPRLQTLLEDRHLGVVTSAAGLLQVALTQHPTGY KSLVEIVLDRLAQLVHNKGCPRDYMYYATPSPWLQIKLLRILQQFGIPDDVRLADKLN DTLRKILGRPSPGKGAKNNAIYAVLFETVNLVISHGKRADPKLHEQAIQLLARFISVS EPNIRYIGLDSMNRLVRLEGATEAIRQHKATVIFSLKDADNSVRRRALDLLFAMCDPS NAVEIVGELVTYLAVADATIREEIVLKAAILAERYAKDLRWYVDTVLQLITVAGADVP DDVWHRVVQIVTNNEHLQQYTAAVMFRALEPKHVDETTAKFGAYVVGEFGFLNVDEPD MSSSRQFEVLLQHYTAGSSIATKCVILTAFIKMDNLFEDIRPRVLDVFKRGTSHVDLE AQQRACEYFTLHHSGDDVMKTVLEPMPVFPDTRESNLVVRLRAQQHAAEGGDEGQEVS SSGGGHDDDESAGDLLGLSSPTNQKQQLNGGNGGGLVDVFGGPTGSSSVGNDSVDPAK IAAWFNKVVGSNQGVLFENDVIQIGVKQEFRGSQGRMGLFYGNKGSVAVTNLSLMVKQ VPSLRTQVEQVATELQPKQQLKQQIMVECMQPFVQPVEVVVAYTVAGLSTSIDLKLPC VATSFLEPVKLSVEDFHKRWGALEGQGREQQDVFTAKLDVQASAKLLVDVMKFGLVEG EVSGGVSLAATFRTGTASPSGEKISVGILLKLESQGNSFRLTVRAVHPDVSVAVKNNV KLALA H257_18088 MKVALVVAAIAVATIAQDDNYIEIVGGHEATTGQHRYVAGLKRS ATTRSLCGGSLIASNVILTAAHCTGNGLSHAVVGSHFLTGSSDGVPVKITKEIPHPQY VASTHSNDVAILLLDRNVTTITPVTVSFEAVPANVLTWVRGWGTISSSGPQSQVLKEV SVKTWDNAKAAAALKPSKVDNTMVAAGGLAGEDSCQSDSGGPLTIEQNGVARLVGVVS WGNGCGDLNNPGVYGRLNTARSFIEPYLVK H257_18089 MKVALVVAAIAVATIAQDDNYIEIVGGHEATTGQHRYVAGLKRS ATTRSLCGGSLIASNVILTAAHCTGNGLSHAVVGSHFLTGSSDGVPVKITKEIPHPQY VASTHSNDVAILLLDRNVTTITPVTVSFEAVPANVLTWVRGWGTISSSGPQSQVLKES TTRWWLLGG H257_18090 MRRALELARLGSSTGGLRVPNVRQALPGFAMGSRPAPSGAPTKR TAAESTQESKRRAGLERRSPWYVDPRGARMAAGPPDAGYCGAPQAPSVVVGTPSVPVA APVKREWKQESASSAEDGQVAEESEEDFGDEPPRSPSDRLPSLHRW H257_18091 MAPGATGTETEEEVSVTEPPLVPVVGGTTDVLTAAMIELAKASK ATSSARVASMNWDESRLRYGGQTMGPNWEQLPRPSIECSYINEDYPHPRSPRPKCERT KRWKPEPSYVLNDKIERTEEQRKQAERRRIIKSNRPSKEKGDDRAKVAHAAHDRRMLD TTLPSSSGWGSLSSGPPTLSSGRWGPSINPGGPSLVVTDVQETCKHTVGNLEEITHIH RVDTSSRNEVRRCGPRRPRNQGTHGGPTNQKVQPANKPAPPRHDDRPAQVVRDEACPR FAPARDDRGMLCFVCQQPGHMAPECPNKKDGDSGDTSWKKGKNAVKQFKAKERKANMQ AKRMKELPPPNMEDDGRWVRLNCVLSSLLPGHRRRPDIMPQAMMHELQALQPHFKVAR LQVDEDGDDLEEIGGDCIELPQRSAVRAASMKAVVPVAKNEVEEALQGMIDGAVGNTF PMEHVKYLWDVLSKHDIWRVKFDGSDPPAQVKPLKVTPKDGCVPYRCKGRKHNLLEER FFNSVNQVLKPDGRKSLKSDDDDVLKNYRLTNDCRVVSSLTEPKVGTMPFQATILHNL RGKKAMGVFDLPKRFWQFPPHPDSWDMPCGQCSLRAIYERGVLQGPALQGILIWIDGI FVYADTVKEYVNALESFFDRVAQFGFKQSPAKTKLLTDQVTWCGDIISGDGVKQDPER IEHLCAIPYPTNAGELQQFVCAMNWLRDSMTEYVQTVGPLQQCLTKALEGKGTQKRIA SGVHLELTDSEKQEYEWHPKKAWSVIEKEAYLNCACVREAQLYAYATYGSKYQHGKDK PKRATVVDGLWQVDERLWILSAANDLIQRIMGVAHCGSAGHRGHAALVATIRRLFYVD H H257_18092 MLKGEGWSIDLFSGAKYVLVVKDDLTHYCELIACDGLTSQRTHF KNVAMKALAHKFKRMNRDILQVMQVILRECQLAEQGGPSQPQPNTGRVTGEQVAYGAV YGFKPGECRRGGHEQGTLRKRLDIPKNLDKLRASLQVMHKERCNVSEGDFVLWSRVDE RYHPKLLVTWTGLYRIKEVGEFSVVLEHLVTLELREAHTSRVKLYIEYSFEVTEEILE HVSEQGIMLKEPLQKLMHERLAMVRNYVEGVKKAREREELAMPSVSDLGVITIPAGRF NFNSQTKADCRLKFRFGKTDIPELARLLAPPDPFITKARYHATAVEAICIMLNRLAWP HRLGSMVQIFVRSREALFALSNAAKLYAYERFGHLLDWDYQRLDSHWMQRYGDAIYMQ GAPLKTCIGLIDGIVRGFVVQANQRKSANMPSSTKL H257_18093 MISNKKKATKEDKCKILDSASQTVTPCESANAIMSFTSIMETTN KFNVDELAFQKESNAIAMRTLELDEKCYLLDKAEGEASFALR H257_18094 MTEQHDVQAEGKKEPVHRFTTPQDVDLLKESQRYGLQKALRRPT GSLPEGHLGIIARITDEEYDER H257_18095 MGASQALHFIQLLAVLDRLVGSLVQTFLAGLSLLVLCMQLLDED FLLAALLLMLIHQPIVHFHMFLRMQLLLMVQVHHLLLGMSRLGADSILHVV H257_18096 MRKFMDQYEAYAREVNIVKAQRTGGAQRIAFWGIGKASHELTEE DWKVFFLGAKDCHPVDTFKLDAAIVKLKMDTTVQSAESRVSNLVSDFEAVLVRLSMEG FAEAEPKRSVDYLVAANRGLKEDAPDFKHWLADYMRRYDEVDPLMAAAGIAAAKADKL PSSIKTPNAGKAPKVVVIVNVDKVPKSNFTKRACFKCRSLTQTRGIQIASSNPSMLEE DSFVAVFRLPSRDIRSMDHPSPFHLRVQQAATEEFTVPVDQVLDVEQASDRRHQRCMS AMSGAAAVADDRDPLDQVICRTPNQ H257_18097 MLLDNFECHVSDESYKITYEELGAHLCPLPPNSTSVCQPLDIVG DDEDPFSPTACQKRMAMVKRAIAAWDMVSDDVIRRRFEKNS H257_18098 MSSGVDALSGVMASSKTLYALNISVRSPTKRMRANCNSFVRREL AAGFDVQVRTDRRPTATVSDEGPRGQGLEKKIKARKVPPPAQPKLRPLDKDFVWPCVT DIRQAQDQHAKFRGFGDRPEGM H257_18099 MKFALHLAFTVTVAALAQDQVVRGDEAPGFEIVGGQEAQFGQHR YVVGLKRSSNDTTECGGSLIAPNVVLTAAHCLTGKLRAVVVGTHYSTGFADGELASVT QEIKHPNGADVGIVILNRNIAGIQPVAVSFEFVPANVLTWVRGWGFVTSRGPHSLVLK ELNVTTWNNTGASAALFHQLTDTTLGAGGVEGEDACRGDSGGPLTIEENGTVRLVGVV SWGFGCGVHGEPGIYERASAARAFIEPHLPN H257_18100 MYKERGNVETGLVDYQVSELLGIPRRTIRTWIDQKWDILAYDGN KKRKKIVPGGRPETFPDPDGLVLFMNEMREQERALTTTHIVNWIKRHQADWLRSYVAQ KKPGAGYQSLLRLLQRFCHRHGFSHQRPGKNKQSQAALVEVRDKFAEDFHREYRGFGS H257_18101 MKFALLAFTVVVTALAQDQVVPAKVTWGDEASEDGFEILGGQEA QFGQHRYVVGLKRSSNDTTECGGVEGEDACRGDSGGPLTIKENGTVRLVGVVSWGFGC GVHVEPGIYERASAARAFIEPHLPN H257_18102 MNHSRTRYNYAIDCRISHANSLRPCPMKRRCKPDAVDRHAMNPS NRPMLEVDTITLAVDKVCPVSTTAACTYRGMSSENTATFHQPLEQKKFSIMNATTVPP KQPSKFAKFCKDVLAIDPAGATPGACTDFFHHLYSLGKTARTVDSAKTALVAYFQALK VDPNPARDVESKQYVAHPLSVYELSLLENSLASSHMFVGALYRFLLCASYIGCFRISE MLNLTWDDVALQHDGDSQYVSLRLRWHKMASVQSDSQIYHLVDEKSFTCLRVCGLFSD YVGLVNRASPNLASKSFVFPAYTLESSGTPRLNCNSTVAEMNVQDLCNAIVKSLQNNV HVLPAAVVAADVARTLPPPVAAKSQRQITLDSFVSHAVVPTARSANEAWTQWFTGDPA VGLYQPLRSFNKQMIRADRRKYSERLTLSLAFSNNTLSEVRKRKRKGRLQ H257_18103 MSTDEQPTSTIRQSRRLAGVAPEYGLLDTRRLTRQSSTPEPVQL DDHVDLPTSSPERKREAEGSPHVSPSTNSPDSRPPPKKPALAPGADLAPEPLSPHARI ATGNTPMVQGVPGSMVPRTLTPTWDDPGVPRNVVVTTISVAGGGARDSHNAYADFTRD IIKKQELEALRFFQKAHEDRSRLLDDRETRLVEMAESAAQAAEAHETYRQEQFKEALG CYQRELDLARCVVGNEANETLSQEIGRQQAMWEARMLRFQENLELTWDKERSLLLQDK EVSLLALKNQHTDELLRVSTEAEHTIEVRRLEDGGTAKHNHTYEIQALVEKNCRSREA QETILDKLRSQQNQELTSLRTELRLRNGSVRIAPSLEREKDTLTERLRVLEEQLRETD RQRERFEQLWEKGRRQLQESEQRTLVSHEALNEAKARFQESETRGSQLQEKLLQVHTR YEQELQEEQTLREEMERGVQEQLLALTLDRDSEEWRVVTQEREELMKSREEPEAILQM VSDAQTQLGLNTTLLREEEKTLLREREAFAQEVNKSYSNLRRQQSSLQVDQQTVALQL QEGRLRSGSVGTPYATPASSRKGSPLRRGVIRLS H257_18104 MTPDTDKKKLTLTKTVSSSPGNSKPRVTPTATTKTMMPPLHGTS AAPRAMEGSYEDPDEGASETRLQGQECLMTRGDPNSVDDMTTKTGRDESPTNDDDQAL GIRRIEYLTDEAHNTPGLPLDATLLTSITQQPNETYTNTKSPRSQAVDPASFKGATIP SPLTNAKADTRPAGLKAAVRSQKTATSRATTTTTTPGIQSFKNRRSKKLRPPATPGPH SATSGTPTNLATTPGYETGPSPGVTPVFTEGQIEAIMRGDLTGIPEARHVDIEERLYP VSPADLERQLVRLKQERKDATQEDIVKVVTMALRRPLTRDDAHLFESPSNIDDPDRWL DWFSSTLETCDEARTANRNFENARVAIISDTQWSRFQRKNLASNELPGFGPIPEADCT PPEGDVLPIGGTKIPRLPARQLVKVHRVVQDLELTRLPSAIWRIRRRTLSSRRHYLDL ALGGHHIESTLATPDGRSQTPESLSPGAPMVELPFLGLNDDELLSMVAPFPRPDQRVC QVGSNPNAPLVQELP H257_18105 MTPPPTARPRGPADTSGAPDDDATTVSSTRDHAALAEQAATQGP DDHASPYSFLRMRTVLSHAIADLRNSIRRNERSLRVLQEVRAAMASTLGSGPHDMSQA RHALVDEVEFLRATFSEVNAEVLDLRTALDSSRPVLDTAQAEQEGAHDLLQEAQESLR SLEQGQHDLREQPQTEKATELGRRVQEADQALEVMTAEVNDARNYTAHSARLVAGKEG VVKLALQNKSLVDRDRALTTAGELQEQLRVAQDRIAQLEAQPPRFSASNPAWDILLAE NQDLREAAKTQKARAKDSRLKNKILRSQIEAFQADALRKLGALESRVQGYASQGQHHR EDLAQLEEKLLREKQASHDLEEKLQAFQESERTSARALLHANEHFQDAVPSFWDWVAQ HLQVSGAAGVAPLIEAWTSSDPDRFKSCIESVGIFPAKAARGLTEPLLGRVRGPTFSA WVNTALPVMRDALKESSTPSSGVLEADVVEAPPGSGAKGSTLPGQAGAKAPVPPSPSR PAKRSATGGRPGKRKVSRYKAQESFIHSSWRRLPLWAELQEQLEDFWENHALAHWNRR FMRGSAEVNAEVEAAMGPLVGIIGCLYRIIRRHGTDLLRFLCYPHSYWPDFLRDGVSL KMMASVQGARDVQEYLSSQGSEFRPEVPSTSRTEPFDPPFMVTLAFLKGRSLREFWLK KFDPLNPANCSQERVSRVLTWLYAQPEECRRAGVYQGRFPFVVGDRSHPP H257_18106 MTMLFQNCHNIFRYDSCSGPTSLADEFYNETQHCFCLWNSRLYF NQQATEVSDQFGYSLPVLYTAWTYEVCTPRRTHQEAYSNERTLSGTVKCRLTGCLWKT FLAVSACCGSVVCDLWLIQMRRAEVQRQSSSRRVERFAVRTADTAAIQYVA H257_18108 MQGERLNVEFPDSFRCQVATKVGVPLGKSRTSVGKPTELTISTG TSFGVLHASVMDAVTTAVVEHHAVPTNVKLSWDPATQTTPSGIFVKVAANTTQDKYVQ LTLQNYSDVLQQVWDNASKIRNAQASFKLLLFVYIENAASTAIRRATSSNIATSAVRV EDYICDQNIVLGPLQTDYTGVVAARLPVTAPVEIPSNATMGQLGHIDGMLAQHAAARH RESISQSNDTYRRVRMRLGTMASFPVDIFLSVEDLRGILGIPPFDLTPIFRAPVVGEI PVPSVNVEDSDHINE H257_18110 MAVQDTAVVTPQQPTTSRTRAPLSGLQHAAIGSASGIFEVCCQQ PLLSIKNCIQQNQPISFRPSVLYRGVGIMCASIAPVSGVQFAVDGMLSSYLQRHHASP PASSDTSRIATASFAGVCSSLLSSPAELVMTLQQRTGHTMVQTTREVVHSFGITRLYK ALPLTMARETVWCASFLALGPEFGKKLHAQFPVVFGSLHDASLSQLTAASLAGSVGAG LVAVLATQPVDTIKTILQGKALDKTPSHTLTELQALWRQGGLLHLYKGTVPRGLRLIG AVFILSETKKALENQVYKFQEDYRPATFL H257_18111 MDVFELELLTGADVMGAADVTTGDAVTVVAGLGVVVVTTGAFVV VMVEVVVGDFVEVGVGVVVGVGVVVGVGVVVNGNGNGGSSPLDGHHVPRHASCVTFAV HDTVLSMASKARHSPHSYVKRSHENDVVDVAYTKQNGTVLQRSI H257_18112 MLSGIRHRWGWCTHSGTRPPMVSVRQLGQFAGSDERSHFVPWDN KHSFVATNQSASFFAVAAATTTATDGYNNSRRVRHGSVKSEVLSERQRSVLSDVLSDD LILHEPRHVARLLLALDVRT H257_18113 MAATDARQHRMLTRDCPEEGDLEPYGFATEDTSAAYAYSEAFVK TLDSAIRANFGTDLWHDILASQNVPKHATAWSTHGWGDALNTGGYFTGDPSFLTSIVR SNQDETPARHSAHSTADSSIEGEPCIHHEDLEGLSPSTESSEPDAESAELDVSNAPED FDKDSATHNVMPLDAMLKVMRLAQTIQRAARRFKSLLLQSNRPHPLYIHLLHGDNLRA ADWNGSSDPYVIVSVLESTKPPHLDNIAAVQTTKSDIAYKTLHPQWDQRLLLPAVAPT STLCLTVVDYDFGSSPDFLGQVMVPLQSVTSVTKINLGPLMYTPRNPDGSRMSLGDKA KPGQGSITFALERCAHVVLVTDLDVMMPPPPPPCSASKKWATLFQASNNPATFHSMCV TLLAESVVLYTPHDKAMCKPTTTIPLATISQMLDDGDTWTLQVQDGTVWKFRVGLSER RRDDWHDRWLKALCRTTKRPVLVQTSPNQFQIKLQPVLVA H257_18114 MKGLFSVLVLIATTASAELEIIGGNEAPVGQSLYVASLRRSAGG AGVCGGTLIAPNVILTAAHCTGKSPPLTHASIGSHFLSGSNDGEQIRIVKEIKHPKFN PTTLSYDFAVLILERDSTFGKVKISYDAVPAAATSTIVRGWGFTNQLGSPSQTLQQVS VDTVSNEVCAASLKKKVDVSMLCAGGKAGKDSCQGDSGGPLTTVINNTESLVGVVSWG VECGEVGKPGVYSRISQARDFIEPYTKSSATVPNPTTTKKATPTTKTPTPKPAPTPSQ GPCDSCDGCWYPASSHCYPAEYGIESCEMFADLGMVWCGKQP H257_18116 MKTSFVALFAVLATAAAASLTLTNEEINDGKIRTPEQLQAIQDD GDDNRKCHTSNDGYLPVLKPGNYQASKFHGCFRTSSQINEFLDVLVKQNPSVITKFQI GESVRKQPIYGYKVISKAGAQPKSLYFEALIHAREWTTGASTVWTISRILDDLSNGKN YALDLYNLYFVPVLNVDGYDISWTAGKRYQRKNANEVDLNRNFISKYVNPNPPKPSDQ TYPGPFPFSEPETKAVDTFVKAHKDELFGYVDIHSNAASVLVPYGDTYAPIGGGEDEK FAKLGANVRDALNNVTGNPKEYKWEKSAALYPAYGCFDDYHYRTYNKPTVTLEMTGDD FVVPFSAIPIRGDEIYYGLWQFAKEVNVFNGTATTTPAPTTSSAPTTTKPAC H257_18117 MKLALLVAIVAGVVSSVQALGRIPDDRQRTWEEEDVIFGDADDN RRCHTQNDGYLPVLQPGKYADSAFHNCFRTDTQIYEYIDALVVQNPTILTKFGVAHTV QNRTIWGYKLSTGNRPKSLYFQAQLHAREWIAGASAVFTLSSFLDDIATNKPTPAADF NLYFVPIVNRDGYQLTWGTLRYQRKNANEVDLNRNWPSKYPNPNPPSQSSEVYPGPFP FSEPETNGIDKWIASKKDEIDGYVDIHSYAGLILYAFGDTKLPIGGGVDEKFAALGNA MSAKMVPVGSYIQQPSWKLYLSYGVFPDYIFRTYNKPAITIEVVGNDFVAPVNTIRTR GKEIYNGLVEYAKQVVIFNGGTTTAVPPTTTTPTTTKPSVTPAPTTTKPQC H257_18118 MVAVSAFVSFLSLGASAVSAFQCQSAPVIGADELVLLTSNVNTP FSYHLNRPDAAYLSLHFASVNIPVGGLLTISSPDGSQFHEYTNVTQTNFYAEFIDGDS ASITYTPPRTTSSSIDSLLANDAPNAFLIDKFAHGFPKADLDGQVKAICGKDDSQSAV CLKSSDAPKYQKAQAVARLRINGGSVCTGWLFGSEGHLITNNHCIGNANDASNTQFEF GAECTTCETAPGRTCKGVTVATSSELVYTNPANDFTLVKLKLANGASLASYGYLQARA SGPVLGEPIYIAQHPRGKPKRIAMIGDSGAVGTIESISIPSCVADEVGYTLDTQGGSS GSPVLSAKDNAVVALHNCGGCQNGGVKISKVIQDLTAKNLVPKDALAGGSTSAPTTTA VTPGTTSVTPVTTSVTPVTSVKPVTTKAPKPPTTKAPVMPTPSAPVGGDCTGCKGCYS KLLGVCFPNGFSEAQCVSFTVIQATWCGN H257_18119 RVLTDKEIEHAVKLVPLHARQTMRTLAAQSSVKKTTIIRHMQRA KTLMSKTSHSKPYLTDANALGRVQHALSFIKPTSKGTIFDSMHAHVHVDEIWFFLTTV KKRYYAYEDEDLPTRQLKSKRYITKVMFLAAVARPRYDYHNKCIFDGKIGVWPFVENV LAKRNSRNRPKGTPLLVPQTVTAEVYLKMISKSSCRRFRQKCLDKIRVK H257_18120 MTVEKAAIVAPVLDTMASPKPRRSAAKNPNNYPAKTLGIFTLQN RFRRVCMLVIDSQGFGLLIMLTVLINTFAMSFANYNDPAAGTSDADTDAYTIDMIESA TLVVFIVEVCMRVVAQGITGRNSYFQDNWNILDFIVILSGIVIWGYHETSSFSGIRCL RILRPLRTLRSFPGLRTLVNSLLSALPALANVAILLCFCYTVFAILGMGIWSGLLHGR CRLTEFPVRLNFSAADAPWNHAYPDDNWVQTVLTNPERFKCHDSWDVDSVWSSPQSCF WPLDPTDTVGQLCGARSCPIGTTCGSNYDRKGNPRFQDITVNGKVVFSITTEADFTAN LNFGLTSFDDVGSSLVIVLQTVTASGWMALAGNTQDSFSWFGAGVYFHLVLFIGMCFL LQINMAIMVSAFEVTKVTTAADITQPPLEASFSTKRRMISTLPLGLRIKATQFQADLC NSPVGQAYHAFRRRLLKILSTKGFHRVSFCFTLVNIGTLALHQHPMPPGLSGAYLEII QFVCLVYFVAELVMQMSAHGPRKFLQSGLNVFDFVSIVIGVVDIVSNPPAFIDGTVAN SNPFIALRALRAVKLAQSWRPLKRLMLAIGRTMSEFLNFLFFLVVFVYIFALLGMELF ANKFYFDSDNRPMANNGTLSPIFRHRSHFDTIDAALFTVFQIITYDNWPSVMYDGWLV VGVASPVYFISIIVLGVWIVMNMFSAITVNSVMDAVESDPATEMKRSLSKMRYLERSV SRLEIGVASKKILPAKLKDDYAMRTQHPIRFACLQLTKSKAWTTFVIFVIATASILTA FETPLMDLHVGIGQLMDDFNRMFAVLFSIEMAVELTANGLVKYVKDPWKILDALITGV SLMSWTGGPQGQGPGGLNFGVIRSFRCLRALRPLRVINQLPQLKVVVNTLFRCVPDIG KALLFLLFSLFMFGIVSVLFYQGGLSSCSISPYKYLENPTYSPLPPWFPPTYAGNFSM ADLEQWDVMTFPKPWKDMADATRDVMQTVWTSSCPFSAAEAADATFQPTSKQMCLCFS LQWERVVPQSFDNVIVAMSSLYELTTMEGWANVAFAAADCTGIDSQPLPNYSPVMLVF WVAFMVFCAFFMTNLFLGILCDSFIREKYGGFLTDDQIKWVNFQRKLIAIEPLEKIPR PTAPLRQWAFDLVHCEPFDIGITVAILLNMVALAISYFGQHPTMDLALNAINYAFSMV FLFEAALKLFAVGPRQYFSRGWDRFDFFILMITIVSTVLPFVGSDKLNLGGGSMVVRV FRVGRALRLINKAKLMRSLFDTIIIALPAVGNVTGLLMLMYYIFAAVGVQLFAKVGYG PNLLNRHQNFRTFWLALQTLIGFSTGENWDNFMWELYSVTPESNPSCVDPAFNSSMCG FNDDLELCEPLDGCGSWMILPFMYLFELVVGYIGLNLFSGILVDAVADADTATSAVVM DLQDFALLWSNYDPNASCRIELDQLTLILKQMPPPLGYKGLPGYSFHRVRKELGTTGL MVYDGNFVHFREVPRALALRTVSRGNQHTFAELNLMLDDLGITKDFHRAWNRRYRKGA DTLVRMEPTAPIHLHVAGLVIAGWHRRRKRFQSRQESVQALDAVDQVREVLHDMCNTI ATAPTTTTSYKAT H257_18121 MLEADVIDALTQLFVVDLALVKDNVACVFDEFAVGERPPQRKRD GGGVLQVVVAKEGHDRLGGFGGVVERHLRKDVVRHVGVGDVVDSTVDPRPKRAVHGAQ RSAQPVPFVAAEVRHVRVRVLEIRNEHQVRVAHHVRREVKVPHVADAVRVDGRHEQVQ CDQKAEVAPPDAQSFLGQDECRVRVEVRLSLTFVLAGAGRVEEEVAWHPTHTQHEGDL VQVVQWRVFQERMV H257_18122 MGSVLGKLRRPRRLQSVPHTRVEAATVTPVSILGPSSHEHEGSM MATGVDVVKPLPPRQAKTAKQILKSVISASTQNLLADGTTAEDLLTGNKTSQAFLNYI RHTEGGMSRQSSVIENALVFWMTVRDLDFVPDGMFRSFVIAGAYESYIQPHAKREVPV VSNVERAQLKLYVDEVEMDEATALLQQISLDALELVRESFAAFLKDRGPYGFRETRLN KGTLPNFPYETQGEQREILEDLLEHPSSCRAFREYFQRIGGCPEYMFLVDVLDYKDTV QALHHETMTAEKHQLHVGYTMRKLRKIYNKYLKHGSKAMIHIQERLRESILLDIAKNP IPSVEVFDIAMNSCSYVVILDHLNLFLQSPEYEASKSRRVEPEIHGEKDYFVPTNGLP TLPVPSLPVMVAGSGSKYFRSYLRDQGVESTMDFYLEIEQFKLLPHNKKGYIAAIAGK IFKKYICRGAKLEVYLPSHIRRNILQDIADPQDSTFNEACEYVLGLWERKYLKQFRAT PWFNDMHLHFSREQKSLDGSERQRALLPLERDEPISQNAFRDLLAHENSVQMTQFHKF LVKESCASYLLFYSEIEEFKRLPKSDYLTRQAKKIFYRFLHASAKESVCLSTATITEF NVHMENPSPAMFRTAQEEVLQFLWKVLYPKFMKSPFFCDLATIKEIDKASIKADGRAS KGASMLRKGTVVPSMMSKRGSAENLLAPQEVTITAILANQDTRAMLLAFCEEIYCAES LYFWLECNEYKSIPHVDYLRVRAQKIYRKYITDSAKLQVNLVHAIVRDIEKSLAAPSR TLFIKAQEAIVFMMGKDTLPKFKASKFYDPCVRILADAVK H257_18123 MELEFGTAYGVSGDHHASLRLFHRLAMNLATMGESVLILSPILA PTATHLASMQDGRSPHILNRIHLAFVSDIAHVASTLASIETWSATTRCLFVDTTSLCP PVPDVYEVAHIRSVCLALKRIATVYRAIVVIAFPSTMTQWYTSHHVLLTACHPSTSTT NPPDAVTVTIARTGATCSCSLSTFLST H257_18124 MAPTGHDDASGPSITKVQVRPSMPTISSPTIAATKNASSPKLAT LSRSNSMKEMMAKVAKSKAMNSKLESRLGGTRRHVKYNDLQSKSLGCITLRNPVRRFC IDLIKSPWFDRLIVFMVLANTLILSLVDYSDPWANGPNPTKRGNIIIAHANDVALIIF SAEALVKIIALGITGPFSYTLDNWNKLDALVVITGLASKVLESLDRDSVVGIAQLRML RILRPLRTLHSVPGLKVLVNSVLSSLPALFNVTILLGFSYLVFAILGMDVWSGTYHGR CRLTEFPVQLNFNAVSPPQNYSYPNQSWINMVVTNPALYRCVNLTNDSPEWTPQNCFW PLDPADTVGLYCGSRDCSPGTFCGSNYDVNGQPRFLDIMINATTAFSIMTEPDFTPNL NFGLTSFDDVGSAMIIIFQVVTASGWMVLTQNTQDSLSSVLGGIYFNLALFIGMCFLL QLNMAVVYFEYQKAKEMQELVRLSAIPPAFRHQATMMVRKESIDNFFKTQQFTEAKKL RRGDSKSALGRIAISVRQNMLKVALSKWFQTVATCVTTVNVLLLCSDHYPSEPAFDDW SEVVNAGCLVYFILEMTVKLIAGGVRSYLGDKFNQFDLLTIVAGIIEFFIDPPRFVDG TPGNTSPIATFRLIRALKLVRSWKSLNRLLVAIMDAMGEILNFLFFLIMFIYIYALLG MELFATKFQFDSANRPLPFNNTNPTSMLHRTNFDTIHQAFMAVFQIITYDNWPAVMYD GWLSSGAIAPIYFVSIVIFGVWVIMSMFCAIMVDSVMEGVDDDADDSFDRIQGVSVSH LKRVMRMVMAMVRFRRNVVAPIATGTGVHATVRKLYTSRSLCLFSRANPVRRACVWGI HHPMWNKVMFGCIGLSCVLTAIDSPLQDTTRGLGLVVDVANNVFAVIFAFEMVVTIVA LGFVVGRRTYLRDPWRVLDFFIVGVSLLAWSIGDDAGGALSGLRSLRSLRALRPLRVI NKLPQLKVVVNTLFQCLPDIGRSLVFFFFMLLLFAVPGVLFFKGALNTCSISPYQYLN HPTYSPPPPWFPANYAGNYSMAELESVDIMTFPTSWTVMDATMQQLLTATCNMTQESL AAQEFVPTSKQICLCFSPQTSWLPLVPQRFDNIVESMTAFYELTTFEGWADVANACID ATGPASQPLANHQPWFMVYWILFMIVGAFFVTNMFIAVLCDAFMREKYGVLVTDEQIS WIKDQRKVLSLSMTVHYDPPNAPWRRRCFRLVRHKRFEYGIITCILLNMAAMTVTYSS QPMWMTTALALLSRVCLVVFVAEATLKLAAYGRPYFREGSNRFDFAIVVLTTVSELLP QNSSFSAGATAFRVFRVGRALRLINKAKLMKSLFNTVVVSLPSVGNVMGLLMLLYYIF AALGVQLFAKLNYGPVMLNTHQNFQTFWTAFQMLIGFSTGENWDTFMWEAYAAGCPNN SCASWLIVPYFYSFTLVVGYIGLNLFSAIIVDAIGDDGKSPITPDALEAFAVKWAEFD RFASGFIRMRELVVLLGQLPPPFGFKYVPGFTPRRILKAIGDLKIPVYDKIWVHFKDV PRALVQRTLSQGDKRRFSEISDLVVRLGIDQEFDLIWKRTHRKAEVVALSVRHKTPVK HVVASHVIQNFLKSASYHHERRRRRSSIVETITNMES H257_18125 MATMRLPAAAMPVDRDKLKYVQLHYEGNEVICTEDEITPDEREL LQYTATPPPRSKVLLHSCCAPCSGAMIEEMRYLMDLDVTIFFYNPNIHPRKEYEIRKD ENIKYALKHGIPFVDCDYDSDSWYKRMTGFELDPERGIRCSACFDMRMEVTAAYAVQH NFAYFTTTNATSRWKDETQVNLAGVRAAKLYREAAPTTPLQFWVYNWKTDAMTRRKYQ VSVDEKFYKQEYCGCAYSLRDSNIWRAQQGIPKVKIGGDVAGLGTRYFEDVEADEAEE SQEVVDAFFTDASQHFGDSKRVAKYKVAFQSKPPNVVDFQGRLKSANDVTVNNW H257_18126 MFIFQFTAFPASGQYCSMADDDPTADIASAKGIPTLEELLGPEI LTTEGVVPTEEALAGNKVIGIYFAAYWCPPCRSFTPIVSRLYEDLADAHTDIEIVFVS CDRTQEQFDEYWGDYMTFPALPYEPRSTKTDLGKRFGVKFIPTLIFLDAETKEIITRS GVDIVEGGVDEQDYVASVRDALGLEAAVP H257_18128 MDVNRIFSAEQIEVPPDLPLVLKEWTKDVIRASPEDLAIFSLQW FKARADERSGGSLSADELENIRKLFEQYDVDGNGKMEARELKSFIVQDLGLDISDNDL DAVVALLDTDNSGFLDFHEIMKWYGGQI H257_18127 MAATAASVDTSNNQRRQIPIVCPGHSRPLAEVQYCPSPSVNPNA SPTEPADHYLLISACHDKLPMLRCGRSGNWIGTFEGHKGATWSAKLSADGLLAATASA DFSVKIWNAVTGDVVRTFDHKHVVKSVEFLRNSAWIATGGHEKLLRVFDLGSEATAPL YQLQTDDVIRKIVEIPSPKGAVTVATGDTAGNVVVWDVETQARVATLHVSSSGVMDME ASRGGTILTVAAGSKVHFFDTTSWTETSHVDMPIAFTEEGGASLHPSLDRFIAGGSDT WVRVFGLDGALLECHKGHHGPVRCLRYAPNGDSFATGSEDGTIRIWQTETAADSSVSY ATLE H257_18129 MRTVRSLVVLSTIALSTADEATTCEKHDLMAVLGVAMLPAWPNC SQAMGASDATAPALDLAVDSAMSLTTFCNTPACVQNLQALYMNLPNCVGPNGLNIQKL NLYTKDILCDTLPPALLTQLPSERCTEVDLAVLEFLWMFPPWKNCTSKLLGPPLAQLM TGNASQTAAFCAAPACVEYANSIFQRMPSCTNNSTLQVGWANLGANSKSDLLAKLSAT CTPTIKSTAMGTTGSAASLRALAFVAMGVAFVHF H257_18130 MRNLQATVSCVACHGLFFPASLPIHEKTCFRKNAFVNVSCPVCK TLVRSGHFHNHVASCNLAAPLPPVVRPAVPKPKSAAGPIGLPEADGRIKCRKCKRSFA PDRVEKHQSVCQERERTTHNQFHHTEPAPLARHPTTTAWRPPTRDNLQKKRPSVKSTG QVLRPMTMPPVDMKPRKIQAAPYDMILRDRSNQRGFASGGIGLSNATSAGNPLSRRPY H257_18131 MADVDDQQPFVRSKNNESAAPLASDPRVEYIKRILESAYRSSNL DTLRAFFASEATTQKVTEFLLSSETRVLLVSETSVNRYCVYNAIAPSMFVKESLNVLY IVKVMKGPVSMDKYTSELLTGTLTRNLLETMHRMMVDVFVPLSTHPTNQLAWPEMVAT SITDNIQTFMSSLQITLGQTKGETCLPLPPETKASPEEVKLKDQVHVLEGCLIIWTKQ IKNILKLDPEMLLSHHAKDKTPRNPGPSEENRFWTAKARNLNSIFDQLQSDSIRKVLQ YLDVSKSTYNIPFAKLCKEVFHARAEANDNVLFLAPLLPWFDKLEHEADFTALVGIFR PILHSILLVWKWSRFYNSPPRLVVLMRQICNELIRKAFSFMNGKQLFDLIDADDTLKA LDRLKTTLRVCAAFKATYFDYKAKANVECPQNQWRIQNNALFVRLDAFLERCHDVLEL TQTILQFGKLSKIEVGGTKGKTLTTSVHQIFADFQETVAVVKHVQYDLLNIDAKGFDD DFYEFRSKNKELERRLASVVNQAFDDSKSITGRFKLLDSFDDLLERPIIKNELEKKHA LLIASYSEDLHVVQQVFIDKRDVPPIGYNFPPFAGAVTWCRGLLDRVAYPMEKLKRLN RSVLEREDSKEVIKMYTNLVASLMEYETATVKTWAHSIEISSKSKLKLPLLRKDAETG LVFVNFDPALVQLLREVKYFIVLNLEIPEEAMTIYRKAEVFRRQTGNLDLIVDMYNRV HTTLLPVERPLVKGHLDKIDQILSRGIRSLNWKSHGIDMFLKDSMTDVNEATALLDAM KAHIARVQELLHNWNTGLLFERRSKPLSVTEFTESQQPLRAAKYVVIKEGGNEIHRLL KDTLKKLKVSQGSPDWRSYVDYINGIVEDGLAKVVLTSLRYIQSQLNPANIDANDLTP ILEIELDLYGKDIVFVPSVGSLPSKNGVTDVVHTWVEATFQVASLFKRLDTSEGTYLK EMRENPEIQRCVAEIHSACLANEAACYTYKKELMAYEYLWSTDLNAMFSSFLDTAWVP VDPGVAIVGSSDSKNAVDMSGPLLHLAKFDDKIQTCLTLQNEISEAKHSRDMCFVRVN SLPIKQALSTWVTKWVYMFTQFLHDRVVNQLTWVDSFISNVNTGLDVPVTDTATLMTC MGHVQDVRRLMATKQVNFGPIRDTVALLKRHGIHLDVSYVGKETALQCLEQAPLRWDS MVNKTFKKKDVIAPMQNQAVETIKIDLLGFAKRVHAFRDEFLSKAPFTYEGVAVMLGP TAPLEQVVAKAMEVLASVDARLNEIEGDANRFGQLEDMFELADTQFDGLNVCRVEWGL LHSVWTMFHRTTSTFESWHGLSWQDANMETLMDSVKDMTAVMLKCPAKVRDWAIYKKM ETELSQMAATLPLIELLHSPAMRERHWKNLLVVTKKSTAAMATWTVHMENVHMEDLFR LDLYRFDIEVQDIVEVATRELKIETDLWTISNVWSTWSFVVVPHHDTTMILQAMQIDS IVEMLEEQQLALQAMAGQGKFVEYFRDKVLWWQQTLGNVETVLKLWFTVQQTWLSLER IFMSSEDIRLQLPHDTKRFEGIDGQLKELYTEIQGRLGILDTCGNANREPMLKDLFAE LEVCQKALNQYLDGKKDMFPRFYFVSNAALLDILSHGNDPLKIQPHLTDCFDGIRCLQ FEEVTQWPLTAVSAMSKEGEMIVFPTPFLITAGTAVELWLHDLLLVMQSTLRRIVQDA LEASAAWGLEVARHAWVMDYPAQLSLLGSQIIWTEESEKALEELEGGQEDALKKYYEV CNARLDDLIKLVQGELTKLDRVKIITVITVDVHARDVIQTLVAKKVNSVLDFTWQSQL RYYYLDPQTTKQVAIRICDFRALYSYEYIGNCGRLVITPLTDRCYVTMTTALRLYLGG APAGPAGTGKTETTKDLARGMGLMCYVFNCSDQMNYQTMADIFRGLAQTGAWGCFDEF NRINVEVLSVVATQVKSVLDAISWLAVPGNRELEYQGVPAGTPPLKVGLFYFQGKKIT LVPTVGFFITMNPGYAGRTELPENLKALFRSCAMIRPDVQPISENMLMSEGFLHARSL AKKFVTLYQLSSELLSKQVHYDWGLRAVKSVLMVAGSLKRADPTAQEETILMRALRDF NVPKLPLRDVPVFLGLLKDLFPSAVVEASPESPLKAQCTSVCLALGLQTEDTFVKKMV DYDQLLKVRHSVMLLGPAGCGKTTIWRTLAHVLNVSQPKPVVVYETINPKAVSSDELY GYMTLSRDWKDGVLSMLMRSMSKESTPYTANQRGKWVVLDGDIDAIWIESMNTVMDDN KVLTLVSNERIPLTDSMRMVFEIHSLHNATPATVSRAGILYINDTDIGYLPYVESWAQ TRKEGGMWSALFRKHADAFLQVLAEHKKELVYMVPMPPLAMVTTLCRLLEGFVATLTD AQKSPDVLENVFLFCAFWAFGGALDAEPKDSRRAFSHLIKPLLARTSPKKDNMKPPST DGDATSTPGGGSSLSVFDMRLHIDSNEFLPWSDVVPECAIALGDVPFYSVVVPTVESV RLQYLLSLVLPTRGAVMLVGGGGTGKTTVVRDCFKHKEDTINVASIPLHYYTDAATLQ RQLEAHVEKRSGRMYGPPHQSSLVYFLDDVNMPMVEQYGTQTAVALLRQFMDYGGWYD RVEVGYKKTIQDVQFVACMNHKAGSFTINPRLQRHFATFGHLFPSKSDCVHLFGTLWH HHLQPFSDVVKRMANGLLTATMDLHAEVRESFLPTALKFHYNFNLRDLSTLFQSLVST RPEVFTTPLKMGRFWLHECTRVFCDRLVSASECSRFQDLLLEHAKKHIEEDVAELNAQ PILFTRLPRELDFVTTTAPVPMKDRAELHTALQACLRAYNDSFPVMHLVLFDAAMDHV ARIVRILAIPRSHAMLIGVGGSGKQSLTKLAAFIVKYPLVQLCVKASYGVPELKEDIK DLARRAAVKPGQPLVLLLTDSQLVDDTFLIYLSMLLSHGSVADLFTVEECDTILSSLR AEAKANSIPDSRDQMVNFFLDRVRANLHIVLAFSPVGVAFRLRARKFPALVQCVSIDW FHPWPKEALVSVSASFLSEIEWPSAALADNVSHHMAEVHLSVISASVQFKASQGRFNY VTPTSFLELIVFYKKLLKNKRQSLQGLIKRLDVGLRTLKKTSDDVEALQKELKFTMRK VDDRKKGTDALLEQMGKQRNDAQIKQARADEERQKAAMAAEAATKIERQATAELEIAQ PALKAAQEAVNCLNKASLTELKSMQKPPAGVDRVTTAVLMMIKEETKNFTWDNAKKMM AKVDAFKIQLEQYDKEHIPIEVVQRVEPILEDPNFNYEKMKSKSVAAANLCTWVVNIM TYNKVYVKVKPLMDALEESRAAKANADAALESVMAMVREVEGQLNALQASFREATNEK AKVEAEAKNCQDRLGLAERLVLGLASENERWKREIDVLKLGEVSLVGDVLLAAAFVSY IGAFDATFRHQLWNQVWLPDLVSREIPISLRPDDKANSMDPVAMLSDDSSIAQWMNEG LPADRMSIENGCIISCCERWPLLIDPQLQGINWLRSKELLRQRTLPPMQPTDKSKLDH EPSEFSLEKNKNSDSGKTLSLAKMNRKKSINPQNGLEVEPPPSRKDVLRVNESAKAPE RVALSFVVLNATQKTWRKQLLHAITDGATVLLENLGESIDVTLEPILMRQVYKKGKNW FVRMSGEEVEYDTKFRLFLHTKLSNPHYRPEIVAHCTLINFIVTEKGLEEQLLKQVVN REQPVLEMDKTNLQQAFNKYKIQLLELENQLLERLANAPDDILSDVPLIESLEATKAT ANEVNSAILRGKETERVINEAREIYRPIAAEGAMIFFIVVLLTKINHMYQYSLDAFLV FFYKAMDSVAGATSDAQERVSLLRRSVLLTLFTMVSRGLFEEHKFLFLTQLTFSLLKR GSIGQFSGYSDDYMKFLLRGPKVVSEENVIEWLSDSQWQMLQALIQLDGFEKFSSDLV ESEARFREWYNSPTPETEKLPLDWRELDKAPFLKLLVLRCLRSDRLGVALHQFIGGIL PFGGQYLNCDSQFNAFQILQDAFGQSSPSTPLYFILSPGTDVVANVDKLAQVYGKRKG VDYHNISLGQGQETVAMAALHEGWSNGNWVLLNNVHLMPKWLLELDKWFNSMHDDAAK VHPDCRVFITSDPSPLIPIGVLDKSIKLTNEPPTGLKANVKRALSCFPKAYVDDMEPR TRCILFGMCYFHALMLERKRFGAQGFNMSYPFSAGDLTSSATVLGNYMENAPARVPWQ DLRYLFGEIMYGGHIVNDFDRLVCNTYLQYFLRDELLDELSMFPYLDDDADVKLFSAP KLNSSFDRMLEHVEMSLVGDSTLAFGLHPNTEILFSTELSEKLIQGAVLLGAFPSTSS AAAVAEPVDSSQTVAEGILQDVLENYRDLRLDVSDLLATDNPHPFQTVLVQEMERMNT LLEHMTRTLIELDLGFRGDLTMSDTMEKLQDSLFLDKVPSSWECVAYPSNRSLSPWLS DLEHRITQLQEWSSSSGELPLVIWISGLFNPQSFLTAILQSMAKKNSVELDKLQIVTD ITKRMLDSLDAPSRDGQFIYGLSLEGARWDLSSGIIDSSLPKEMSCPMPIINCRAVMA TQNTAANIFECPVYRTQQRGPTYIFTAQLRSKSPPTKWVLAGAILVMEVV H257_18132 MSAVAAPTTARKGLLTRLVDNGRVQPLALYVAWIGVLLGIAWVL AHPVATVTTGELKSRGTYFSENALLVDSTMSDITDLQASWAKKLHKEYINLEWTDENG CELHSTCTHVMHWIESKLHAIPGVEVYRQQYTQHLYDAEYVNRTNLYAVLRGAPMVDG KESLVLVAQYPNIPATNKNGFSALTVGLAMLRYLNDKKWLAKDVVLLLTDDGPNDGRD GYSPGVEAWLQAYHSDPFSTSSPLPMHAGVIRGAINLETSSDRHKYNAVGIFTAGMNG QLPNLDLVNSAVDTLENERFAIALDRCADSSIVCDDVLELLHPWVRSMVSPDLDGYLT RLFTMLRFMKTLATGPSGPHANFIHYNIDAITVAALHSKASSSFQLSNWMRAIATVVR GVSNLEEKFHQSFYFYLLPSTRTFVSIGEYYYPMVLLMLPLFAHTLYIATNTGGLRLA FALAAFATAAGLGVLLLILTTHLSVLDPIVPLLDLTKTHPETLSRSYCWTLVGIAAVF QLVAVRWLVPALATHALLDGCVDESQWLRQIHDHRKEFQATRPENDRNKDLLVEHVPY TSDNGWLAVKGLASIFVIVVHCAVGILNYPFALLCTLPIVPLIALSKPSVESTTIRSA FNALLLLLLSPVGSLVLLDVAHPGAMDGLAYGINGYARHGLLTVPYLCMVYFPVHTLA MWVYFFQPKDSATDYDN H257_18132 MSAVAAPTTARKGLLTRLVDNGRVQPLALYVAWIGVLLGIAWVL AHPVATVTTGELKSRGTYFSENALLVDSTMSDITDLQASWAKKLHKEYINLEWTDENG CELHSTCTHVMHWIESKLHAIPGVEVYRQQYTQHLYDAEYVNRTNLYAVLRGAPMVDG KESLVLVAQYPNIPATNKNGFSALTVGLAMLRYLNDKKWLAKDVVLLLTDDGPNDGRD GYSPGVEAWLQAYHSDPFSTSSPLPMHAGVIRGAINLETSSDRHKYNAVGIFTAGMNG QLPNLDLVNSAVDTLENERFAIALDRCADSSIVCDDVLELLHPWVRSMVSPDLDGYLT RLFTMLRFMKTLATGPSGPHANFIHYNIDAITVAALHSKASSSFQLSNWMRAIATVVR GVSNLEEKFHQSFYFYLLPSTRTFVSIGEYYYPMVLLMLPLFAHTLYIATNTGGLRLA FALAAFATAAGLGVLLLILTTHLSVLDPIVPLLDLTKTHPETLSRSYCWTLVGIAAVF QLVAVRWLVPALATHALLDGCVDESQWLRQIHDHRKEFQATRPENDRNKDLLVEHVPY TSDNGWLAVKGLASIFVIVVHCAVGILNYPFALLCTLPIVPLIALSKPSVESTTIRSA FNALLLLLLRYVCFPTYMFCNHRLLCT H257_18133 MTERAMPTRGPSRLSHASFFGVMRSSQDIRSRINTRKLSTSVIM VVQQRQNRWTIDAESTWLSVWNLVLMVAIFHAVFCVSFLLSFQLHDDVAATHVRLDVL ECLFVIDIFVNMHTTFYKNGNVVMELWRTRTKYVCSTAFILDLVPLLPLRRCFFLDPV DPMAKFVDLVKLLRLVRLPKCILHVDRLFAKYFAMVKLVKIVAACVAVSHVLACMRGW FYPNQHHNQHRRRLSGSSTAADPWLPVPSTSPGLEYLASAFWSFGLLSGLFEGEVPHT INACLLTLVVMFSGVFLFPYICGTFFMISKCSSQHTEAFDAKRNQLKYILAYHRVPAD VQQRAIEYIEHEHNTGDALDRQYLNQLTPSVVRDIKVSVYEKMLSHVPLFRPCGPHFI HALVALMETTSVPANYVLCREGDDADAMYFVQTGVLQLTSAQAAVEPKELRKDMYFAE LCLVVPKQRIHTVTTATFCVLHALTRTSTAVVLAAYPHWAERIREAAAKMLALDTMRR TLHSPDDAAAAAAIAAAAVVVKRSKWPRLLRHHTIHRHGRRRFMWLICLQAIQLHHAV SIPLHSCFPAIYFSATLTIVNTIADLCLWLDMYANLHLSYDHDAEHIVDVQQCARHYL HTKAPLHVISTLPWWVFAPSYHTVLRLPRLLRLWDFTSQLDEISHHVTLDGPKRTVLL CLLLLITYHVTSCLYFCMTQVDGYSSRPGQDWLPPLAFKLSPWNDTHMVDGLNQMHEI GSPSYVEIIWSQYSHSFYYAASVITSLGRGIEPDSVHQYMFHYLFMVFGFVFMAYIID EVQKGITASAVEQVAFLSRRSNILWFLQQQHVPPRIHRRVNSFLEFWWSAHRGADVNA LVAPLPLQTKRDILSHVCHAVLGRFRLFHDDDSALFKTMSTEFVDAIHIRLYGQGETI FSAGDFANGVYFLVEGRVAVHTTPPQRVAKGMAFGLAAFSEGTTVFYEHTTVALSGCI VAFLPHGGLRTLLHLVPTFLDDLRRPPADTSHRGMASQMSVNHTNSRWPLLTQPPEST AIDPDAPVVLVWEVCMFVAMSYQCIGVPFRMTFGPAHELSDSADSVVMALEILFLLDM VLRLHLGYYEYGNKVMDRRGIRRRYVRSVACLLDVVAIVPLQVVNWAASSDLQSESWN ANKLVRMYKLSSMLSRFEQRFVTLNIQVRLFQLLFYTFFLSHWVGCLWYSFASNTTDL AGNNNATTTTTFGLNPWLPPAALENNTITFQYYAALFWGFGTMSGCSPRNLPTTTVER FFNVFVILVGVFLFSYVLGNLADIEKVVDGNNRDFYAKLSTLRLFLTKYKFPLEVEKR IKHYFFYQTFHSIHQEPILSACLPPSLVTDTRMFLLQPMIDQVNFLRGTDAPSRQAVR MVVSLLVQQLIPRGKIICKQGDVGLEMYFVFAGCLDVLVTETAYGPPPPPSSSAITPL KTAKLPKAQSFQTQLMESIVQRFQRSPVNPAASTRPTFRRSVSMRFSDASSSLRSTSG TVHVKVNEILPGSYFGEASLFSDKPRNANIQARTFCTVYTLSRQHLHAVFNLHPTWKQ TVLNIVNGCHNKRQNQQIVAKVGHTLTASVKAKTRVALVVPKVARNVSTSILANINVL YTKTTATVVTQRWPLRALLQYVERIEVQSPLYIRWLQLLSACIAYQALYIPYTICFDV VVVSGAVTQTTTILNVATDLAFLFDMWFRLHLVANDTSVEFYEDPIVGPHSYRWPAKC VDLLSVLPLDYLGRAALDNSRDDGRYHHVLYFLRMNRLAKLLRLPHVLSEITRLSLAN DLNFLRKLVAVYVCIIYWIGCLYFCMTYVDGYGDTWHSWLPVDEFEATNTTANDLHRL VRAMYFSTGIFTNTGVTLLPTTVYQYVFVIAVCLFCLFATSYFIGQVSTMFVCLTQHE VEFRINEMYTEAYLTRTPLKDTLRLRLYKYLNYWWHAHRGVRHDDILQELPPEIKGQA IVCLAHSALTTFVTTFFAPLRGESTELDMLRFRIACCVRLEIYPHNEYVVVEGDIART MYFVVSGSLLTTHHNNDSTIHRYREGHYFGETNFLTAPSAPVSVKTLRACELLALTAT GLVNAMEGVPHFKAAYHMVLKMYGDGHKDAVAMEAIGTTLRHLNPTFLSGNAPTTDRD YWDAFRRFLHLVHTSHAKEMEMDVSASYKFLDCSCCHGATATVLCPICRANFCTGCNF LVHLNTEREAHLDETKPIHVARRLFTMYYFAKRWKLRAQRALAIRRGDTNGGGAIPAL HGNTQNSTGASMALLLQEGIGLDATPDVTVKVRKADRKKTQHGQNLCSKRPRRSRLGP SPSVSTVLMSSTSSLAPGIMSIPSTSDIPSNAAAVRPLPSKLADT H257_18134 MARRGVLALTTLFRLPHEGMEAFEVLSRGSAMLVHRTRAKRNPS LLHVLTCAHVACPWRYPAYYPHEWLQHVDEGFVKHTLALREIGSGATMWEVDLEPHTA VHPSRDLALLELKSSVVRDDKSVDPFDLDTAFSVAESEPLVFDGHVQPDETTTCVQPE SVAGAYFWLNPTTKQAFASSATVLTQGMCGGAAFTTHGRKVVGLVEGIVPVVENASPA YKTLENHVAIIPRDDLTEFVLDFEAGGTNAELLFTGTGLQG H257_18135 MDGGNWKSYITAAAIGAVALPAAGLAAGALVPAAMAYFGTVVAG VGTMHAAGGVAATLQYAVVTLVSTTAATAGAVTGLIGAAAAETIQHALRTCSHVRPLW DIVSAPWLQFGLSFEWTYILDITKLQPAQDWSHVATELILIALWTMLAGGVLRRLWIY RNTVKYESANNLHTPSVLEIVLLNWSAQVRRHIQLPSTLDDERNHFQAILNRLGQDPS YRGFWTKYPLHLSFNPLTRRLPLK H257_18136 MAFHGHMDHLDDTPCEAYGCYKHAKRPALGGQGAKGHDPFQTYL KAWMDTYVDGNVDPYKSLLRLCQSFATRHRFSKLIPYYTKLPELDMALILNDFAATF H257_18137 MSISLRDGWEEAVDPSTNRAFYFNRASNETSWVRPAANEDALWK ARARVVLTKEAAVVLIQKMWRARTSRQYMQSLMQSLYKRIYDPSTQEYFYYNAQTGQS SWMTPRGLKTKPQTSPNVGDESDMLPPGWQATFDNVTQRVYYVNESTGESSWTMPLMP SHMSDELDEFSHTFQDHASAILIQAAWRGVLARNHFRGMLEAVDGSPIFIHKETQKRT RALLPFDPLHRRRVDSSGGISSERSLNLSKRRLPRSKAQIIVDAAEDSELDDIPVLEV NLSRLNAVVISGRVWNLEHLETLNLSGNQLGRIPSAIQDLTALVHLDVSHNHLTSLPV GLQTTATLRHLDASHNYIRSFSPKLWKLRGLTSLDLSYNVMTDLPFIEGDLRLLKETS AWQVSIGLLTALTALNLSHNQLTKCPALIDNCTALATVDLSYNSMPALESEFGNLRAL EVCLLQHNALSELPDSLGRLSSLRTLDISYNQLQCLPPSIGSCAALIRLDGSYNQLTE IPSGIKSLTSLISFDLSHNPSLEFPNVLPFLERLETVALDHCGLPDVPTNAFAYSADM PLHTVRLSSNGITQVPLDVELLRNSLKLLHLGRNAISSIPTRLYECTQLVELHLSHNL VVTLPPGLANLNRLEVLDVSYNQLTVVPDDLVRLYHLRILNLAYNKLHQLPGRLGFLQ RLEHLDLSHNALRWLPTTCHHLVRVKFVSAAFNQLERRPPFFYDGSVYVDWSNNPFKA SEVTSRPLLDLVAKAKHDLAQRNYTTAAALFSNLLEQIASFPGVPKEVEPIRAQATFY RGICRYQQICQALAAIESLSDESSLLEKTIHEQRLVEPWGVKILSNDQVFVAQTRLNE LVQQKITWRTAVTEWQVEATDDLNQSIRFKVEATTAAFTLGSLFVKTFQLPEAIEMLT NALTYFTNGLTPGAVPILLQRGDAWEQLGQPQLAKDDYKVVLGVIPFHEAATERLAAL ETHQAKYHVGFDTDSYKRAFAIEPSGICRRRNDPMISLALLNEIDSPAEFGEACDSLR DARQRAVYLEMMKKKEAKVARQERVAQVKQRMREIQECRAMEKEEEDQLEREAEIEFA RRQKALELQREENERQWMQYEEAAQRWVESERERIRLEELEALEEARRKEEAKAEYKK RLARRGGLRQGGRTRGGKR H257_18137 MSISLRDGWEEAVDPSTNRAFYFNRASNETSWVRPAANEDALWK ARARVVLTKEAAVVLIQKMWRARTSRQYMQSLMQSLYKRIYDPSTQEYFYYNAQTGQS SWMTPRGLKTKPQTSPNVGDESDMLPPGWQATFDNVTQRVYYVNESTGESSWTMPLMP SHMSDELDEFSHTFQDHASAILIQAAWRGVLARNHFRGMLEAVDGSPIFIHKETQKRT RALLPFDPLHRRRVDSSGGISSERSLNLSKRRLPRSKAQIIVDAAEDSELDDIPVLEV NLSRLNAVVISGRVWNLEHLETLNLSGNQLGRIPSAIQDLTALVHLDVSHNHLTSLPV GLQTTATLRHLDASHNYIRSFSPKLWKLRGLTSLDLSYNVMTDLPFIEGDLRLLKETS AWQVSIGLLTALTALNLSHNQLTKCPALIDNCTALATVDLSYNSMPALESEFGNLRAL EVCLLQHNALSELPDSLGRLSSLRTLDISYNQLQCLPPSIGSCAALIRLDGSYNQLTE IPSGIKSLTSLISFDLSHNPSLEFPNVLPFLERLETVALDHCGLPDVPTNAFAYSADM PLHTVRLSSNGITQVPLDVELLRNSLKLLHLGRNAISSIPTRLYECTQLVELHLSHNL VVTLPPGLANLNHVSYNQLTVVPDDLVRLYHLRILNLAYNKLHQLPGRLGFLQRLEHL DLSHNALRWLPTTCHHLVRVKFVSAAFNQLERRPPFFYDGSVYVDWSNNPFKASEVTS RPLLDLVAKAKHDLAQRNYTTAAALFSNLLEQIASFPGVPKEVEPIRAQATFYRGICR YQQICQALAAIESLSDESSLLEKTIHEQRLVEPWGVKILSNDQVFVAQTRLNELVQQK ITWRTAVTEWQVEATDDLNQSIRFKVEATTAAFTLGSLFVKTFQLPEAIEMLTNALTY FTNGLTPGAVPILLQRGDAWEQLGQPQLAKDDYKVVLGVIPFHEAATERLAALETHQA KYHVGFDTDSYKRAFAIEPSGICRRRNDPMISLALLNEIDSPAEFGEACDSLRDARQR AVYLEMMKKKEAKVARQERVAQVKQRMREIQECRAMEKEEEDQLEREAEIEFARRQKA LELQREENERQWMQYEEAAQRWVESERERIRLEELEALEEARRKEEAKAEYKKRLARR GGLRQGGRTRGGKR H257_18137 MSISLRDGWEEAVDPSTNRAFYFNRASNETSWVRPAANEDALWK ARARVVLTKEAAVVLIQKMWRARTSRQYMQSLMQSLYKRIYDPSTQEYFYYNAQTGQS SWMTPRGLKTKPQTSPNVGDESDMLPPGWQATFDNVTQRVYYVNESTGESSWTMPLMP SHMSDELDEFSHTFQDHASAILIQAAWRGVLARNHFRGMLEAVDGSPIFIHKETQKRT RALLPFDPLHRRRVDSSGGISSERSLNLSKRRLPRSKAQIIVDAAEDSELDDIPVLEV NLSRLNAVVISGRVWNLEHLETLNLSGNQLGRIPSAIQDLTALVHLDVSHNHLTSLPV GLQTTATLRHLDASHNYIRSFSPKLWKLRGLTSLDLSYNVMTDLPFIEGDLRLLKETS AWQVSIGLLTALTALNLSHNQLTKCPALIDNCTALATVDLSYNSMPALESEFGNLRAL EVCLLQHNALSELPDSLGRLSSLRTLDISYNQLQCLPPSIGSCAALIRLDGSYNQLTE IPSGIKSLTSLISFDLSHNPSLEFPNVLPFLERLETVALDHCGLPDVPTNAFAYSADM PLHTVRLSSNGITQVPLDVELLRNSLKLLHLGRNAISSIPTRLYECTQLVELHLSHNL VVTLPPGLANLNRLEVLDVSYNQLTVVPDDLVRLYHLRILNLAYNKLHQLPGRLGFLQ RLEHLDLSHNALRWLPTTCHHLVRVKFVSAAFNQLERRPPFFYDGSVYVDWSNNPFKA SEVTSRPLLDLVAKAKHDLAQRNYTTAAALFSNLLEQIASFPGVPKEVEPIRAQATFY RGICRYQQICQALAAIESLSDESSLLEKTIHEQRLVEPWGVKILSNDQVFVAQTRLNE LVQQKITWRTAVTEWQVEATDDLNQSIRFKVEATTAAFTLGSLFVKTFQVLLLITLFS CDLIMGKVAARGHRNAH H257_18138 MGEAAAFARFLPGLRNSNAVIRTKTARSLCIYLESEARDLPMAD YTTVVSAISSCITEMVLGADITDRTGGIVAMDHLVDLFIADNNDSKIVEFAHALVKVF EKTSSNELPTLRAAGRALGHLVSSGGTSLIEFVEEYHMKPALQWLGNENFQVRRQAAV VIMRELAINAPAILFRHVDTFFDVIWNAFGDSKQQTRESAADALQACFSLLQARDSNR KTQWYTRTYDEWRRGLERGSPESMHGAFLILNELLRNSGDFMFPNFDRVGHLVLGYQT HKSSVVRRAVINLLPRLAKFNSSTFLEKFYRPSMQYLLEVASQTNPPASTRGDALLSI GKLSLAIGSPLSRDEKTLENITHCIKLGLIRKKGEKKDVETQREALSCLRMLAEATSL GRINLDSTIQCVLKCDLDRSMIETLATLLKRLPAEKPRIQSALFERLMELLEVNTSKK DMMAISASPSRHRSKTFNNLTGSGGNKVLHLLSNAISGGSKLEYSSSMPILHSESSQT IIAMQILALDTLSTFDFQGNPHIPILQRVHSHVVKYLDHEISNVRKSAAITCCKLVLP QEAPPDDLFAVAAVLEKLLTVGIADTEAEIRSKVLASLDARFDGLLGQPDNLRGLFIA LNDEVLDIRESAMTILGRLSLHNPSAVLPSLRQTLVQLLAELECANDIRVKEDGAVVL GHFLKSAGSLTKPYVFPILKVLMQNLRDDDRSLNKAVAGTLGELAVVGQDLLLPHLPQ LIPELVAEMKEMKSSTANVAKMVVVVRTLGLLVGSTGYVTRPYHEYPEILEGLSAALQ RSGDANAKLRIEAGRTLGILGALDPFSFKLFQLERQGRSLGSGQVATKQLDLQLTKAF KGHDLLFGPTVKREPSKKKTTAAVTTTTELAEKFVIQCIAPEELLPSLVAGDQYFPAV AINALIRILSEPRNSIHYQGTILAIMYICSGLGKKVEPQLHRIVPAFLVALDAVNTDL KVFVLDQLSALVRLMEDKIQPYLDHAAVAYLLRQYGKKHLANVLNLVQEVASCLGDGF RVYLSDVVPELLAVIRAERDNAHQRPHTGLVLKTIVTLGRLLDGYLHLILPVLVKLIQ SNADVQPRKQALGTLGSLVRRLNVSMFASKIIHMLARIISSNAQNDLVYLAMDCLVAM MYTLGDDYAIFVPVVNQVLNRSAHSGEMFERYDLLVSKLLKYQALPATSWATDPSKQM QQQREITVLDENESSTKALPINQLHLVKAWETTQKSTKDDWHEWMRAVSVELLRESPS PALRACKELASVYQPLAKELFYASFFSVWPHLTSHTQENLLRTLETVLQAANLPSEIL QTLLNLAEFMEHCDQTVDRSSLPLDIRLLGTMAEKCHSFAKALHYKEQEFHATPSAAG IDALISINNKLNQFEAAVGIVTYAQIHLPKVPVQASWHEKLRRWDDGLAAHEHVLARD PTNIEAMFGKMRCLFAIGQWQALQGHIEATWAKVYPVDDDIGANLLDVPPAFKKELCS SAARVACTLQQWDLLPKYINSDMDETEAFLFKSLTCIRARELDDAKRAIEDCRAVLDP TIQTFISESYARAYMPCLVQLQNLAELEEVISHLDGGGDFARLQQIWTTRLLGVDRDI KVWQHLMLVRSLVLNPKDDVHVWLKYARLCRQNGQLHLAFNALANVGADVLALQLAAH PNVPLLTNLEQHNPRVAFAYLKHLWADNKEEIALKQLQSFIHVLEQDHTNDYVSLRVQ AYTQLGKWQMTLMEPKLHHEMQRYDQVLECLKTATVLDPTNYKAWHEWALMNFRAAEA SKDDSYVTNALDGFFNSITFGHSRYDVTKDVLRLLTLWFNYGGRLVEVHDAVSDGLAK VSIDTWLEFIPQLIARLHSSQTNHLLNHLLTRIGHHHPQALIYPITVASTAVGAKRKV AAEGILAAVKRHSPQLVQEAELVSRELIRVAILWNELWHGALEEASRLYFAVHDVQAM LNELAPLHAQLDNLGVGDDVPTLREIAFHQAFARDLQQAKAWTDLYQLTNQTDDLNQA WDIYYNVFNRIKKQIANLSTLELANVGPKLLSVSSLSLAVPGTYKAGVPIIRIQSFGP QLTVLTSKQRPRKVVVNGSNGKAYTFLLKGHEDLRQDERVMQLFGLINTLLANDSDTR KRNLAIERFSVLPLSHTSGLIGWVENTDTLHQLIRDYRESRKIPLNIEYRLMVQMAPD YEKLPIAQKLEAYENALNETTGQDLYKVLWLKSFNAEMWLDRRRNFTRSLAVMSMAGY ILGLGDRHPGNLMLDRISGKMVHIDFGDCFDVAIEREKYPETVPFRLTRMLTQAMEVS GLEGTFRHTCEASMRVLRENRDSLMAILEAFVHDPLITWRLLAPHAAPSHAYAEEDKA DKEDEVKGRRSSVDSLLIMDDLTFEEDEFDLNAAALKEDDDGGMQPEQLNAKAVKVIE CVKKKLRGRDFDQDNKPLSVPAQVDRLIQQATAHENLCQLYYGWCPFW H257_18139 MPDQQVFFDIEIGGKKSGRIVFRLYGDTPKTSENFRALCTGEKG VGKSTRKPLTYKGSIFHRIIDGFMCQGGDFSNRNGTGGESIYGAKFKDENFIHKHSKA GLLSMANAGRHTNGSQFFITLAATKHLNNKHVVFGEVVSGMQVVRAMAAVPKGRNDKP TKDVVIVDCGEYVAEAKPAADKPKDKKRKQTADEAAKPDAKKAEGITKKGGDDKKAKG EVDVNKAADDDEPAAKKQKA H257_18140 MSSWKGEEELKGALSSLATAKGVSANRIKGATVACMKWSKEYKR VVHAVENVMWKADVEHRLAYMYLIDALIRASQTKFGDDKDHFAKRFGLHMHHTLSACR KVPDDHKSNVKKVVVEWKKRGVYTAQEIEEAGGADFLGDGPLDSGDRPPPSKEKISSL LDSLQKLKQQHQQDAPPATDRDQPPRKESPPRHQYDIMSASAYQQRPSPSQPKPRYAD PSSASSQSSSRFEAAPNRYPDDMPTPSSRFDQPSTSRFNQPPPPNSRFDQPPQQGTSR FDQPTQQANSRFDQPPQQGSSRFDQPPQQSSSRFDQNQANSRFDQPQGVNSRRFDQPP SNTRFDAPPNPSFARFDQPPSGPFNALPPKHQEFGYPPPRSDGSLLGRNPPGQETLKR ARSRSRSRSPNKRRGPCRDFQMGRCSRGNQCRFAHDGDVPSNHGPPPPMASILPSPQS TMPPPFLGKPPQLKTRLCNTFPNCRFGDRCNFAHGERELGTIGGGSTDGFLNKSLPPQ FLRQPSPSPFLQGHHPPHPMHMMMMAGMPMMNPINNTIPSTCTPMAPPHHTPMAHHTP TEPNQPPRQRRSRWEDKKPSAPTTSSHQDQAKRHDDPPQRKLDVDDEPAEDTAPAPEF TLEYDDDN H257_18141 MGDDGMTASELRSRYSRGGSVRDCDLSAAQLRSRYAIEKNTFKD HPTDNTALIAGAVVALLLIAGAAFYFTQG H257_18142 MSSPKSSSTTMAVLSCVAYSTVSNAMVLVNRYLVGQKYFNYQEK SFVILAQMILGVVLLELAKLQGVIKYENFSLDTAKRWAPVTFFFVAMLYTGTLATAGL PIHIVTVFKNVAIILTVIGEWRFFGEGVGPIVLVSLGIMLLGAVLTSYSEVDGKATQS TLSGYFWMCMNCMFTASYVLYMRYATSKSSLKLSRFGMAFYNNLVALPLLFPPMLVNG DAITMWSNPLTYDASFLVLLVVSGVVGIALNLSSFWCVSSTSATTYATVGGLNKVPTT FIGVLLLGEELTAKTAIFVSFGVIGGMLYGYGKFKDAEASKLAKARKDEAIEKQKMLD NSV H257_18143 MMLSPRWSTLTSATPSSWAVTVWTPEKDEHLAAYVSSSIRASLE ASTEEVDQDLERAVYATRFPLGVNWKALSLELDCSPMDCVRRYAELKTPHVPSEEEVR VVHSPRLDELLSSSHRSSSPMLSPPPFALRSEHRNSPFIWEDVSSSNDNISLDDSEDL HAIEIEELSRDLNSALTHDDDDALPLDDSSLTQSALEEAFLDLTSNSMPSMLLGSHAT FRH H257_18144 MSEYWSDDDDCVSWRSLCLSEYRPREYADDMESVISLQDLASDA HSPHNPMPATNHLDLTSDTNSTREASYLPVELELQSSSSWVFPVTLPPQRRAVPEPLT SMPTTTSSPPPHPTIRAVLRVPMDSVMTPQHAVLHRQPLSTFPPDAHRIPSISLLDMF KEDIPPAKAVDPIGPVETVRTVTTAPNDTPAMFLALQSKIQELESREMEHQSKCSTLE MKLKAMTVRLHDMSSNHAVELRSVEDDQSRLKAQLHTTLAQVATLEQTQYTLRNQVQE AQNALTEQLQVKAAQVRALRDEDIDQKKIISILEAKVDNLVAKLAQKERASQVDGDAL TAKSHELRTLHEQFHDLSVAHDTLKDKLRQNALEMERMRKDYAKVQFELGRRSTNSSS SPLEVRPPVPPSPPPALLPTALSPEKTSRSTGLPVDMQLAGKERPKSLADLGFGQLTS RKADELNSSTNVRNLLSYDRPSVTSTSADLFPNRVQFNAPFATEKQSFQDDVVARREL EGQLLHWHIQRETLHAEYSKLDQMGFRTMHSRRRKAEIESSLHTVDKTINQLKLRLR H257_18145 MANLKDLRLRVASSAGSLSAQIFHIAGHLHRHGVITGIGKALLK EIVFQKDVRGPKLVDSLLTSSDEHWLKFLHRLIDVETLKLFDSLYLDCGLEHGKAISR QEREENDMLNQKSLIYGEVDYLAFLSTLRKIPIQPGWTFVDLGSGTGRAVFIARLNFD FSRCTGIEILRGLHDAAADVCSNYNEFVRSVISTTRSPLDASFFHSSLLDLDWAHADV CFANSTCFDSTLIAEMADKAANMRSGSYLITFTKPLPPDTPFDIIDKERRKMSWGPAT VYIHQRR H257_18145 MANLKDLRLRVASSAGSLSAQIFHIAGHLHRHGVITGIGKALLK EIVFQKDVRGPKLVDSLLTSSDEHWLKFLHRLIDVETLKLFDSLYLDCGLEHGKAISR QEREENDMLNQKSLIYGEVDYLAFLSTLRKIPIQPGWTFVDLGSGTGRAVFIARLNFD FSRYCACPSYVHKHDSRCTGIEILRGLHDAAADVCSNYNEFVRSVISTTRSPLDASFF HSSLLDLDWAHADVCFANSTCFDSTLIAEMADKAANMRSGSYLITFTKPLPPDTPFDI IDKERRKMSWGPATVYIHQRR H257_18147 MRSAAHKTAPTSHIEREHLEYLKYDAAAPISEQNLFMPMSHAVY GWPTWITSSLLPFNFCENDMARRYSNLGPISNKTLMKWMHQMCRWLEAKLTKTLPESF ACVFDAWTSGSTHYVAVFASFPSDSLREYKNVLLELLPINDEDSLESGSAQICVLRLS TSVKFIPSFSVADGSDANRAPPAPALGPYKSTTPTYPPAVCPGLCGTSSCTGHKIQSQ PCARYNARLDFRFDVAGIKRLGYLLGLPDVVITTQRYRVNRDEAMCIVLGRLVFPTRL HTMSRTLGFGNKSPNLHDFAILCFERSLRVYLPKQHDIFLFRVQAALVGCLHDGSNRI LLLPPLKPALQPSWSFFDFVLDVYGKQHRNMVTLIGDNCTTNRAFARLAGIPMVGCAS HRFNLFVGDVLADYEDLLCAAHAITKKL H257_18148 MTRSLVSAKQPKDYHGIFNHEYCLTWFQRLLDELAARRQYQDDT TFQEVGQRLDAACTNLTSHSIFGCIRKAEYDLLDPHRHVSIVDDDNYQEDNEVAGDAS DGTESSSTSQDSANDAGF H257_18150 MVLTHNDLALVFTLAKTKRQRRFVAALALSLVVERPLIPDIRFN IDLMSDANAVLEFRFDVGGVQRVAFLLGLPAVVITPNRNRVVRDEAMCIVLSRLAFPT RFFDMSKTRWNKLLYFNYKLVSRNIDDYCAAIARKGSPSQNVFGFIDGTKVQVCRISA TMDGNNMQKEVYSGHKRVHCLNYQAVTAPDGICVHFFGPAEGRRHDTTMLRYSGLLDY LEAHSGLFWRKCIYGDPAYGVFKFLLSGYKGNGLSNAQRDFNKWMSRVRQSVEWNFKV MKTLWAFITFKGLSKIRLSPVAKVVCVAMLLTNCHCCYFGGNQISKFFKLDPPSLESY LDTLDIIDV H257_18151 MRASGVAEDYSEKSQLLDELSSVYDDWKKRDKLRLEDVMREAER VETMGATIREEAMQSLGKRKKIDQVDGDAGGGNNGGTLAKMMKMMHDDNNAELEFRKY QYEKDQEEREAVRSREYEERRNSITHAS H257_18152 MSTPVKNRFSEYEDVLILREVNARLPFMAKRGLVMVGWAAVAEA VASQEEFSRPGFDAKRAQNRFTLLLEGHRVRDDESMRASGVAEDYNEKSQLLDELSSV YDDWKKRDKLRLEDVMREAERVETMGATIREEAMQSLGKRKKIDQVDGDAGGGNNGGT LAKMMKMMHDDNNAELEFRKYQYEKDQEEREAVRSREYEERRNSITHAS H257_18153 MSSAKRKAPAAPTTEDTRSIDPTTGDQVMLLRYGSKTRYQYEKS LLRLKSWLQREHPGSLSGGEVVPPLDPAICKGFLAYECVKRGPDGAELDPQQFKSYSA VNGCKSAIKFMYKQANLRVSEELDALLADFACCYKRKLLN H257_18154 MSTYGVLVKDIGTHSFRKGVASELSNTPGGPEAVNVWLRAGWTL GTVQGRAQR H257_18155 MSRVGAPRTPCSSATSPSARISGSLGDRNVLHLTPTDDQDDEID ALLLELDELESVTLALQEEATSMLDVRNLFDECMLLHSSASKRLASNSAVVRHADFEV AITKILDQSVARMSDGQVTSVDRLKMPPKVLDASQDKPLTLAQRAKKHLKVSADADSY VDCRFIRPTSNMCERFFSWAKYALNDRRRATTPHNFEQQMFLKKRLAKQLETRREEDG PACHGHAMDDALSSRDRCCEQGPGVEGGAMERSHPQGGPQLLVLWGSLLMGQVSLEVP VLCNNVVTYFCCQAMAKSDVGWDAG H257_18156 LHQLWVISVAVTVHTIWTRRNAAKFDRRRLPPPQVLTETTYVLW LATIRRQLRLLEDDSAEHRHLLGATQLLLRQRGYRALSAKHPLGLQLRPTLA H257_18157 MALIMVMIVHDASAERVVDPECGECTNCYFAGDNKCMLWWTKAE CDSVVESKWCGAGSNDLLPLPPNDLLQPPQLLPPHLKDPLPCPPNEQLPQNEQLPPNE QLPLNEQLPPNEYLPPNEPFWSTPNE H257_18158 MLLPSEWTPSVTSVPTATSQATMRAFCGGTKRNVTPWMCTSGAV LAATTCCRPFRTSCYVPLRTTCCLRTRCCLRTSRCRLPRTSCCRPLRTSCCLRTTRCC PLRTTRCHPLLTSCYHPLRMLPSRSLGRSVACNNR H257_18159 MGRQDDPLGSADTKSGNQAFQNTSGSWLVKEKQNPQSPPPEQPK LRPLDKDFHGTDKPKRATVVDGLRQVRERVPSAANDLIQRIMVVAHCGSAGHRGHAAL RLQYSVIHALREVHTSRVKLYAEERFEFTEQILEHFSKQGIMLKVKSIAGHKFVPDVK DFMLEVLWDGFEDIESSWEPLQKLMHECPAVVKNYVDGVKKANEGDEANDPEELESVL RDMAWGVCCGGQLHPSPTMTQVVLNQVL H257_18160 MQTAAGPVEVPGKRRCYVVNDGDEILVSYDTLKTIGIDIDRLLE LVARLQRDDDGDNLDEVGGDCMEFRSAAGATSLKAVLPVAKMKSRKPYKA H257_18161 MVQSVAVKKTTKDAALLSMQRKPTKLYETSGNSEEITHAHRVDT SSRNEVQRCGPRRPRSPSDEALDSHLAELTAACCALFASSQHTWLGRQEHLLQAVQGE GTQSEDASEANEEAPTAHQGRWRALDAANSVLEVQYCPDTGADQNIMPQAMVDKLQVL QPHFK H257_18162 MAKNSKNYTQKPKGATKAPAAAGSEAEEEVGGVTTDALSAVEES KSEEVRKTIEELTKAEDMAVKPWDRTGNSYHDPRSEAPILTNNIRRQRIPTAKELSPM PRSERTKRQKSEPSYVLNDDIDRTLSGDGSTRPIVLQRKSVSTTPNWGARLMIVGCWT RPYQAAQDGDLFRADHRRQAAEDEGPNIPGELGEEAGNVLDKLRVNAQRMGGEWRRSA VRWIPSTDRSLLKATCTYVWRVPVEQFSEDDYRDRIMEIVGQPGLKTTPTKSDMQTYC RALSVDPHGDVGSRLVSLWSSG H257_18163 MRVSRWTGDIEAQKVLQGLVDQGKIDGGTEPQEPRALAPEVFKH LNNQVCSLHIRNTKRANNLPVTTKRARSTRCIVTADDTEADIPQLGHVLQALCSVQVN EPPRETSKTKEWFVSVAVTLMWGFKAGTLVITSEDPSTLVKVKSVYFVSVQHVHFCDL LCHCISFEP H257_18164 MNLSASSPSGASLATTTVGMVAAVKHFLSADKRGRQLSDVWGFF TDDPNAHKHVSAVFRATMTKLEFEEHPDWFEGVKRSKLEVKVCKQGSTPTMRQTSEKE FLMPAMISVDVEAIFMDVAMHYYVTGSAFQRAKESHLARAFRRAHPNAKIPNRR H257_18165 MAALAGPTNYSHAAFGIICSNWAASKHDVHKTMRMFMFQLLAKT RLPRISSTSSNDPLPPPPDHLVPPHPNDVVSPPLDHLVPPHPNDVVPHPPDHLVPTHP NDVVPPPPDHLVPPHPYDVVPRPPDHLVPPHPDEVLGCV H257_18167 MRLNVSSMMERLQDQTASDILDLQQRPVASPSRPSDHLVPPHPN DVVPHPPDHLVPTHPNDVVPPPPDHLVPPHPNDVVPRPPDHLVPPHPDEVLGCV H257_18169 MKLVHCQTTHHDDLAKSEYFVGNQPTTTAAVGPVSYIKRALATH LKFLVTLLDHPVFHVDDRMYLS H257_18170 MSTHIQSLGGAISIQEAAMLAFSSLALQFGYRIPHDPGFVLSTT SLRLRYFDEFIMALGLTWTIHLGMELGSVAIRMQYRNRWFLALNTQVKAALTVVVYVL LVVTRNTTSYNAAIGSLIGIWLFALASGFGSVAVSKWFDRPPSTPAYPQSFSKDDVSV EFSKANIPRNRVGYLSQQYGRWSLLGIVLEGWRGVPTGPKSFLMACHSVLVHLSAGGT VVPLICKDITPDSFNKLVLATVCMKKRPGSIAPTMSAARQSEQDILALEDTFLK H257_18171 MASKVGAKMATKRSAPHWGDDDVDIMLDCVQSLLPLGQHGWAKV AQLFNANQSVVFARDWEACKRKFTFLKAHKKPTGDPNYPPLVARAKRLQRELDCRGDH G H257_18172 MTAPDAIAHHGPYMPTSAVSSVEQTAMDDDDVSNVFANDEEGCD GDEEPPVTKRPRRLNPIQAEPPNRSGLSHDTLRLLEKSLTVEKFPNPPPSISSSASSM SFVARRRRSLDTLIEQAHNEQPPGNDIPSLLVMLEERAQNLQEERDAREQQREDERQR LRDEREERDYQRQIVREEREERLRREEHERDQKQRREDNENRVRQEQFQMAMMMKLFG DNSKRQE H257_18173 MRVVTSVLLLYCPPEATKRRRIIRLLLLCRIRSLLRERNLLHSL ALLDDQLGSPWNKMYYSRHTTSFITAVSIPPDTFDNLLAVFIPPATYARVLAKAEKAL SLALKAMPDAAICWPTFDQQVVWAQATEAREPLVTGVFAFVDGKNLPVREPSSSDLQN AQYNGCLMGNGICGQSVPPIRSKNALRSTIARSPFTEHFPFVQLSGAPDRNKPD H257_18174 MEEATPVDPPPAIAASEPKPLPKGKLSRKQPPRPTLWCDQSVEA MFKLRYKSALASRFDSKKNYGNRVAYVMLATELSVVMQREFTAKQVQDKLAKMKTEWS LSKPTLPAPTGNSPQAFLPLHYDVMLDYWGEKAGFRRESLMSTDDANNNLDNAPLGDD ERYVGEEAQQPEQMKKTSGKSKKTKSPSESLEAGFTAIKEGLMFLGSAMAQQTPPHQQ TPSHQVMAAAPTPATQTSSVATLDDVLSAIKAQSDTMSQLLSHLIAKKD H257_18175 MSNIYVTEPNTEGKVLMRTSFGELDVEFWPQQAPRACRNFFQLA MEKGVHACLGFSRLLGYR H257_18176 MNLFVAIAAVVASVCAQDFNFTDVSESQEQGDGHRVVGGKEAPV GQYTWTVNLRSRSAGEYSECGGTLIAPDYVLTAAHCVENGKPSFVAVGTHYKGGTSDG EQISVVSSTSHPSYRGILTGFDVAVLKLSRASKFAPLPLAKDAVAAQTSVKLLGWGQT SGPSGNPSSVLKENTFVVKSNAECQAKLRTSNNFRGWTATATHLCAGGDAGQAACFGD SGGPLIKSTSAGFALVGDVSFGVPCGKGFPDVYGRVAAFRAFIDQASRNHTWV H257_18177 MTTTANLATSSESEESVDVAPVARLAFLGPRLPFRFAARFLRKA SLSLVASAQLEFKAHSPPNSRHAASDKQSLCLITSSSNARLNVHAIPRTYVTKHWNVG SGRKSKVSARDLLLMLLASMKHCGSWDVVVVTFKQHSPTFQKRTVSLAKALHHFLLRK YVTTDGEKYSMTLLTTSGHQFAYLPFVRYATDVTFQQTNVPSGIEVSFLPNGFAINCT AHYKDRVSDKAIFDYNLEFHVSDLSKEATEDCIADHGEEATNQWAVIADKGYQGIQRV VRALLPKKKPAGGILTLEDVRSNDSIASDRVIVENVFGRMKKLWAVCGEAYRWSRDKY DVLFQTCMALINVHIRLHPLRADDGVVYSQYINRMSSIGSKKDKGKKTSSRTYRTKRK ARLTLMLAAESSLVARSAVGASEFDLDLGSNSDAEYGSNLLF H257_18178 MKSPSSSSDEECKSQPEPAQGTPVQKQLNRDINYRIQHHSNSPE QRDLEKRSKGRSLGRGRRYYLDLRRELASLLYDERDLSMEPRISSDENKSDMSMLAGS NDNEMLTQSVVPLTSTSPIARLTSNDSYSFLVKFYAWNVVATDL H257_18179 MGCTRNPVECVCTTLDDVAEEVDGMVSPFRDRKRDPDGSPDVSP DKTEGTEELPIRSPRKKKTVQGREMVAFTWDYSSPRPLSPKSNNRDELLPEKGAVDDE EGTGRLGGIIGPVVSVAVAPRVEGAGEANPATPGVVGVRMKAEGRLGFVNAMREAQVK AFNDFLLQACTERMSLLEHKESHLAEWASRFEDIMRRQEQARQEAFQLALINMESSFQ QQAALVQRGYAIRLEAERERMGKEARQEVLNSGLVEDTVQGQTTDIDGQLARASQAQK DRTITLLERADAEKQTAALVACPRCEGLKLEVLKLTTRVVSQIEEERRLNAVVDARDL QI H257_18180 MFTDMNGELQSDLLSREELRSERKVLEGTAAELVSAQAALAQER VRLDEAKRGFAAKVADERALFDEERRSCEFGEMNIAMQAERSRLLSERLELLRVPPPT PDQPNVVPALEYETAHPVQQPGYLHDSTVQVRRAPSGGSSVSSTVMGSDFTIDRTPVL GMHPSKGSVTDKLDAIFDTLKTMQTPFLPTSHTILVYMAGTSTSVMEPALASTMGTHA PLRPEPYRSAPAPTSGRGDGGDGNDPRRFGEGAYGSGGLSGGGGPGGNGGDGGGDPAP YPRFGADPYSRRPADRHSLVGNARKQSLKLESVDKLQLDHFLGHLDDLQIEFELTDIE LIRIFQYSVAESKIRTVQDWWARRHREGSNRTWRSTRSAFYKEFVQKSMSAKMAEITQ NSIRKAKETVREYAWRINDAAQDLELRHSQAVQIFIDGCKDPGVASCIRGSETRPGTI QELNDANGHDVPRFTSSATRVNRTNSTEATSKSTEEAMAALRADVASMMQNQLVSLTN VVANIAPRRPSGNQPVVPNIHMDPDETTTQSGRVRGIADATTTMSRTIFVWSAYGVSL EQAPLESQDQYEDQYEKSVVDSVASSEARAVRVDIPVQGAASTAGGYACLPHSTLVES QATGREKLTLPSVNDPSVRWVFTPHQIEAIVRCDFPGIVEGLHSDMEDRMLPLTKADV ADQVRKIRDRRKSPTHRDIAEVIVQAIQRKLTDEDMRLLETPADVDDPARWLRWFASA LETCEEARRADRNFNDNRSALVATTWWARIYRKYVRSTEIHGVGPVGESESGRLHLAT SEMFEKSVVAAVGCDVRSRKRVNFYGCAEYCFDLLGLTASIPLVDLESTRRPDEVWRL NWHCFAPRRAFLELAVGHIVLQAEGTPLPPRELARTPRLPVGSVAADSVQLIGKAEVF HSDPFCDTEPVYEPSPEDEVARSHRNAWRNDSTYQRDLYTVTSVARAHPSRLICHLSN DNAVPLTVVSVNGAKMSALIDTGALVSLLSERCWLSLGAPALWPRKSGLVSVENRSLA TLGLRRFAIGIAGRTEVFPCWVMPDTVVDCVLGIYFLRRCKAVIDLETNELRIPHTSY VIKLTPIDEHPKSQATMAMATSDSPFLSDLTTLALTSPLRIRPRQRRMVKCSFSTPIP CGTHVLSESLPGFQIHLARSLGVASSNMIWVQVQNPSEDTIRIGCGTLVATLPHGYAE AGAPKPPEPPPEPPPDRDLPTHDPPRSSDSVGEGRTVWTQQAHPRVICPVLMKTPAPT TVLKEIPINWERSDLSLDQREVLLKVLLQFDIFVTASKAPGRRIWLSVM H257_18181 MLDVYEEVRATKLWERYRRVGSILPDRDGPEGQHVRRFNTSVAT FWDRYGQQLWERTYAPFGNANHLEPLFHQVFNLHVELQLLINNTDYDDTLVHFLCFPH PAWPVLSLNPPTPKKILAGDPGWSAIVMDYWVNRSVRFWPEVPPIPHSGKTGNEVWYR LGRLYRGTSSTRGAHGVTNHDLLSREWTNEVIAPRTTYSWNGQIANVAFWKDSPLRRA PMMDILDLDNSDSDSKEKDDAPNYSASEGDDGYNDED H257_18182 MTIPNRDLHLTNIGNLAQALLTTAANLNMEVDHLTRQPPTGDGQ TGSEDWQQQRTEMETELARLRETNAHQRTQLADYHRVYNENKASHELLESRVQELLKR WSNDVANRDQQLRIRSVHRFWNWLGKTCAMVNPKSVTVLCEAYSVLLREGAPTAPQTN DIVKIPPFTAKASAPVYVLIKYQALPSTNEIEGRAIGAGAVTALDTAAITPEREKCGR TGSVFKRRRRRRTPLEESKIHPRISET H257_18183 MKATFLIAPLATATAAIEVRQHRYSCVKNNCVLQPLTSDNVAGT TSLNVCELTCGQGSLWPQPTSVSIGQDTVAISTWSANHRLVFEGKPAYDVTPLVSSFK SAFSDALKFKAKEGNGKDIKSTSEVLDLNTDESYDLSVDGIKVTINAATLFGYRHALT TLSQLVEFDEFSNTVRLVSKVTIKDSPAYKNRGITVDTARNFYSVEAVKRIIRTMALN KLNTFHWHISDSNAFPVESKTYPNLTAYGSYSASKVYTQDDIRGVVKYAKTYGVRVVP ELDAPAHAGSGWEWGPAAGLGELTLCYGAKPWFESCVQPPYGQLNPLNDNVYKVLEMH VGCWNQSVAVYPFVKDRHDPKSFFHLWGEFQVRSAKVIEKAQKKYLPVNNTIVQIWSD YASGDTKRLKDAGYEVVSTFWDAHYLDCGFGGWVANNNGWCNPYKTWQVIYDTDVAPN VTAANKKLVLGAQVALWAEMADTVSADFKIWPRASALAERLWSNPTTTWKDAMSRYRT HRDRLVAEGVNIAPIHPEWCRQNPTECTLV H257_18184 MSAPARPGGNEQVPFLQEDFHAFPFQSQRMLVELQTNTFRDTSA VEFWAKLSHDATENATHLPHALAYAVETIRQLEEITQCVPRIQQHPPSRSLLSLLKAH FRAILFHDARQTQVFCDALEALCTSIFVECTTTDPDAATAKQEAAVTLRRHLHYLEWM HIAKPCILAVFLRQIDHRVATVCRANFVDSFFDDIEAWVDSTLLQDAHTMFATNLHGH DSSQHNVEYVITLKQHAVRAFGTLRGRELFDIVRDFPDSLPAIQDLTKCLQVTHQQAE IVSTFQRAIQSRVLQPGASTTSILGVYTRTIKTFRHLDPRGVLVQSIRDLFSHYLRKR KDTIRCIVTSLTDQESGELYEELSRDRRVEPVIDSDDDDDEIEATDEWQPQPLDVAPT GRHVDDLLSSLVGIYGNPSAFVNEYRMMLAERLLMSTDFNTDRDVHTLELLKLRFGDV RLQPCDIMLKDMEESKRVHANLGLAVDATVVSEHFWPPFQGDEFTLHPRLEAMVQTYK DAYAVLKNPRQLDWVSYLGVVELEIIDLNGHTIEFTVSPMQATVLSYFEDQDSWFVAA LAKAMDVEVEVVTKQSQFWCHQGVLRYTQNDHDQLVLNTAYTRPALTADKDSSNEHLL EATSVSSKRQAEAEIKTLELYIKGMLRQFETLSSPQIAAKLALIARSEQMHSGVSSMA SLTPILRNLVDQRVLEYIGGMYQLHKNH H257_18184 MSAPARPGGNEQVPFLQEDFHAFPFQSQRMLVELQTNTFRDTSA VEFWAKLSHDATENATHLPHALAYAVETIRQLEEITQCVPRIQQHPPSRSLLSLLKAH FRAILFHDARQTQVFCDALEALCTSIFVECTTTDPDAATAKQEAAVTLRRHLHYLEWM HIAKPCILAVFLRQIDHRVATVCRANFVDSFFDDIEAWVDSTLLQDAHTMFATNLHGH DSSQHNVEYVITLKQHAVRAFGTLRGRELFDIVRDFPDSLPAIQDLTKCLQVTHQQAE IVSTFQRAIQSRVLQPGASTTSILGVYTRTIKTFRHLDPRGVLVQSIRDLFSHYLRKR KDTIRCIVTSLTDQESGELYEELSRDRRVEPVIDSDDDDDEIEATDEWQPQPLDVAPT GRHVDDLLSSLVGIYGNPSAFVNEYRMMLAERLLMSTDFNTDRDVHTLELLKLRFGDV RLQPCDIMLKDMEESKRVHANLGLAVDATVVSEHFWPPFQGDEFTLHPRLEAMVQTYK DAYAVLKNPRQLDWVSYLGVVELEIIDLNGHTIEFTVSPMQATVLSYFEDQGRGK H257_18185 MYDRVDCVFNHQSFYANCQDRIEFALVDWTVENPQLWKALDPAL IAQVGPRQPSVALRPPVTMTDDAATDRALRHWLQATRAAHGLHTTRWHPDLSHYIRMA LTSYEVERVFGSANVDNVYFQNSVQGAVPQGHTFKGFPVSGTSLDDVQRKLVADVVGR EVVLFPKATHAQFGVAVKSVPYPEGICVIWAMVAVVYKTS H257_18186 MSDDEEYEFEYSDNDDDVDMGDDESSPEVAMENKYYLAKAVRDE KHHDENDAVEAAFQQVLDLDELGESIWGFRALKQLIKWEIRHSELEKAMKHYEQLLHR IATSAVITRNMGEKGVNGVLDFVSAHPVVPTNASGTSSASSDDSAWAILQQFYETTLA TLQQNESRNERLWFKTNLKLGNLLFDRRMDSTKQSMQLLRIVKELLASCEANAAAVDD DDAATTGLKHDSQLLEVYALQIQLYTVQKDNKKLVELYEKALRVKPGVAHPRIVGVIR ECGGKMHMMQGDWEQARNAFFEGFKNFDEAGEARRLQCLKYLVLANMLGESKINVFDS QEAKPYEQAKEIVAMTQLTDAFMNDDIKQFETVLNRHGHSIMSDGFIKHYVDNLLRTI RSKVVLKVIKPYQVVRLAYIASELNGIDRQEVEHILAALVLDGKVQGRIDQVNGLLVL RPHKSDEKLVGALNQWTHSLEKLRRQLHDKLLPEAA H257_18186 MSDDEEYEFEYSDNDDDVDMGDDESSPEVAMENKYYLAKAVRDE KHHDENDAVEAAFQQVLDLDELGESIWGFRALKQLIKWEIRHSELEKAMKHYEQLLHR IATSAVITRNMGEKGVNGVLDFVSAHPVVPTNASGTSSASSDDSAWAILQQFYETTLA TLQQNESRNERLWFKTNLKLGNLLFDRRMDSTKQSMQLLRIVKELLASCEANAAAVDD DDAATTGLKHDSQLLEVYALQIQLYTVQKDNKKLVELYEKALRVKPGVAHPRIVGVIR ECGGKMHMMQGDWEQARNAFFEGFKNFDEAGEARRLQCLKYLVLANMLGESKINVFDS QEAKPYEQAKEIVAMTQLTDAFMNDDIKQFETVLNRHGHSIMSDGFIKHYVDNLLRTI RSKVVLKVIKPYQVVRLAYIASELNGIDRQEVEHILAALVLDGKVQGRIDQVNGLLVL RPYV H257_18186 MSDDEEYEFEYSDNDDDVDMGDDESSPEVAMENKYYLAKAVRDE KHHDENDAVEAAFQQVLDLDELGESIWGFRALKQLIKWEIRHSELEKAMKHYEQLLHR IATSAVITRNMGEKGVNGVLDFVSAHPVVPTNASGTSSASSDDSAWAILQQFYETTLA TLQQNESRNERLWFKTNLKLGNLLFDRRMDSTKQSMQLLRIVKELLASCEANAAAVDD DDAATTGLKHDSQLLEVYALQIQLYTVQKDNKKLVELYEKALRVKPGVAHPRIVGVIR ECGGKMHMMQGDWEQARNAFFEGFKNFDEAGEARRLQCLKYLVLANMLGESKINVFDS QEAKPYEQAKEIVAMTQLTDAFMNDDIKQFETVLNRHGHSIMSDGFIKHYVDNLLRTI RSKVVLKVIKPYQVVRLAYIASVS H257_18187 MTMNKSEVGTSSSHRVLLPPSSAEAAASMTASNDVRRRPLSKTA LRKEASYVFKYLLFAQVTVYLETGTIPCLLDSFAVVFNMNAREQGWLGSVVYISLCAA SPLSAIAYKHVDAKYVLGISLVLNNLCVLGFAMTPTGYDNSSIVLITMRALIGFTQSF LCVYTPLWVDAFSPRGSVAGWMSYLQGSVPLGVMFGYLFGSVSNWLSTDMCFFQCWRW PFLLQFVLVVPLMIGVFFVPTEHLNIIMSTRKKQPLPIPDKALPRPPSFNTTTHDVAE RQHTHPVMLEEMVLPRRSTSYSNLSFRNAELNPAQFTAIRASYTTPRFRMDSYDIDFV DDPELVSSSSHHHNPPHHVPNAPMSLPTYGTTSNTLMEPVTTPSSSLPHSAPPEIPPP PPPFKSAPSLHETTLPSSLTQAGVVRPPTTVPVLRTTSSRRSFRGYRACSSRVIMDEF DEDEQEEDDIDVDMGFFHSLRVLLLRPLFTLIVLGLSAIYFVVTGVQFWSTIYLQRNF NASVVIVNGLFVVVAGTGPIWGVFFGGALIDRAGGYMGLHQRAKALGICVCLGFVAFS LGIVTTFLPNLYLTAASLWLVLFFGGSILPSCTGIFISATPVHLRSLASSVSVMVFNL LGYALAPALTGSFMELIHNNQDDPHSYWYECDEACMYRVGFRCCLAWSVWSLLAMLAA YIVAKRQAAAAIRTGEPPQHHIQRPVKAAMIMH H257_18187 MTMNKSEVGTSSSHRVLLPPSSAEAAASMTASNDVRRRPLSKTA LRKEASYVFKYLLFAQVTVYLETGTIPCLLDSFAVVFNMNAREQGWLGSVVYISLCAA SPLSAIAYKHVDAKYVLGISLVLNNLCVLGFAMTPTGYDNSSIVLITMRALIGFTQSF LCVYTPLWVDAFSPRGSVAGWMSYLQGSVPLGVMFGYLFGSVSNWLSTDMCFFQCWRW PFLLQFVLVVPLMIGVFFVPTEHLNIIMSTRKKQPLPIPDKALPRPPSFNTTTHDVAE RQHTHPVMLEEMVLPRRSTSYSNLSFRSNAELNPAQFTAIRASYTTPRFRMDSYDIDF VDDPELVSSSSHHHNPPHHVPNAPMSLPTYGTTSNTLMEPVTTPSSSLPHSAPPEIPP PPPPFKSAPSLHETTLPSSLTQAGVVRPPTTVPVLRTTSSRRSFRGYRACSSRVIMDE FDEDEQEEDDIDVDMGFFHSLRVLLLRPLFTLIVLGLSAIYFVVTGVQFWSTIYLQRN FNASVVIVNGLFVVVAGTGPIWGVFFGGALIDRAGGYMGLHQRAKALGICVCLGFVAF SLGIVTTFLPNLYLTAASLWLVLFFGGSILPSCTGIFISATPVHLRSLASSVSVMVFN LLGYALAPALTGSFMELIHNNQDDPHSYWYECDEACMYRVGFRCCLAWSVWSLLAMLA AYIVAKRQAAAAIRTGEPPQHHIQRPVKAAMIMH H257_18187 MTMNKSEVGTSSSHRVLLPPSSAEAAASMTASNDVRRRPLSKTA LRKEASYVFKYLLFAQVTVYLETGTIPCLLDSFAVVFNMNAREQGWLGSVVYISLCAA SPLSAIAYKHVDAKYVLGISLVLNNLCVLGFAMTPTGYDNSSIVLITMRALIGFTQSF LCVYTPLWVDAFSPRGSVAGWMSYLQGSVPLGVMFGYLFGSVSNWLSTDMCFFQCWRW PFLLQFVLVVPLMIGVFFVPTEHLNIIMSTRKKQPLPIPDKALPRPPSFNTTTHDVAE RQHTHPVMLEEMVLPRRSTSYSNLSFRNAELNPAQFTAIRASYTTPRFRMDSYDIDFV DDPELVSSSSHHHNPPHHVPNAPMSLPTYGTTSNTLMEPVTTPSSSLPHSAPPEIPPP PPPFKSAPSLHETTLPSSLTQAGVVRPPTTVPVLRTTSSRRSFRGYRACSSRVIMDEF DEDEQEEDDIDVDMGFFHSLRVLLLRPLFTLIVLGLSAIYFVVTGVQFWSTIYLQRNF NASVVIVNGLFVVVAGTGPIWGVFFGGALIDRAGKYIYIIYIRIYIRRYVTKK H257_18188 MSTEDVPLYTGRMGSYCCVCSDAATSPSSLALSTAATTTVAADP ATNRIHLVHSSHDFDESLPTSFEFTSVLPSGFHPKDVFEQVSAPIEDIVCDGFNASMV CYGSSTLKRATTVGLSSSSLLAASPHAALSTTCLADVLAAFGQIGGILWRLFQHNHGL EIGLSCWVVHHNTVRDMLHPSPPPPSDQPLQFKTIRVTSLRQALALLQRPLHHLEAAA HLFVRIAVYAHEADQQLSLLHFVELGVGTSEEDAEFVGLFDALGGCDEASTPSTDPAS CAPLTQFLAPLVAGNSHTFLLGFVDARVPAAMLDVLWIMSGVRLASCACVKLTGIDRT LLDFEPFPDTIDQPIGGLQSPSSLTTVTQKLFGASKDVAMRRRSSAPSNESMSVPLPA AIATTSTPKEAHHPIVPLLRLPGVVEDAQQQQHSPSHPVTISPSTCISTSPKWNHMVP PAPSADLSASSWIELLQEAHVPAMAPPAPIVSGLDAESAAVVTATEAQLLRTHYDRLL TVLKDQHAHTTSLQHQLDEFHVAQEEAEAIHHVQLQDLKLVNVDLRSKLRVLETQSGM QAVLEKYDVEIHTVTSELEKLRAVNLGLELKLAANASVDLRNRYRDIVKENVRLHEQV LALRKKERHFLSSKKMVDESAKKIDALSKLVTTKDDMLMEARLGEARLSAQVDHQQQK SVALQQQQSVLAAEHDKAAEELIAVKMYLASIQTEQRKAEMLDRFVKKHGTSLLSTDK QKSNNPNLQDHGTKVLGAIKRTVPALVPSVHKLLQRLHDQEASLVEYSSREMDLINLL VELATDQQQLTLAQVTSTGGRKGL H257_18188 MSTEDVPLYTGRMGSYCCVCSDAATSPSSLALSTAATTTVAADP ATNRIHLVHSSHDFDESLPTSFEFTSVLPSGFHPKDVFEQVSAPIEDIVCDGFNASMV CYGSSTLKRATTVGLSSSSLLAASPHAALSTTCLADVLAAFGQIGGILWRLFQHNHGL EIGLSCWVVHHNTVRDMLHPSPPPPSDQPLQFKTIRVTSLRQALALLQRPLHHLEAAA HLFVRIAVYAHEADQQLSLLHFVELGVGTSEEDAEFVGLFDALGGCDEASTPSTDPAS CAPLTQFLAPLVAGNSHTFLLGFVDARVPAAMLDVLWIMSGVRLASCACVKLTGIDRT LLDFEPFPDTIDQPIGGLQSPSSLTTVTQKLFGASKDVAMRRRSSAPSNESMSVPLPA AIATTSTPKEAHHPIVPLLRLPGVVEDAQQQQHSPSHPVTISPSTCISTSPKWNHMVP PAPSADLSASSWIELLQEAHVPAMAPPAPIVSGLDAESAAVVTATEAQLLRTHYDRLL TVLKDQHAHTTSLQHQLDEFHVAQEEAEAIHHVQLQDLKLVNVDLRSKLRVLETQSGM QAVLEKYDVEIHTVTSELEKLRAVNLGLELKLAANASVDLRNRYRDIVKENVRLHEQV LALRKKERHFLSSKKMVDESAKKIDALSKLVTTKDDMLMEARLGEARLSAQVDHQQQK SVALQQQQSVLAAEHDKAAEELIAVKMYLASIQTEQRKAEMLDRFVKKHGTSLLSTDK QKSNNPNLQDHGTKVLGAIKRTVPALVPSVHKLLQRLHDQEASLVEYSSREMDLINLL VELATDQQQLTLAQVTSGGRKGL H257_18188 MSTEDVPLYTGRMGSYCCVCSDAATSPSSLALSTAATTTVAADP ATNRIHLVHSSHDFDESLPTSFEFTSVLPSGFHPKDVFEQVSAPIEDIVCDGFNASMV CYGSSTLKRATTVGLSSSSLLAASPHAALSTTCLADVLAAFGQIGGILWRLFQHNHGL EIGLSCWVVHHNTVRDMLHPSPPPPSDQPLQFKTIRVTSLRQALALLQRPLHHLEAAA HLFVRIAVYAHEADQQLSLLHFVELGVGTSEEDAEFVGLFDALGGCDEASTPSTDPAS CAPLTQFLAPLVAGNSHTFLLGFVDARVPAAMLDVLWIMSGVRLASCACVKLTGIDRT LLDFEPFPDTIDQPIGGLQSPSSLTTVTQKLFGASKDVAMRRRSSAPSNESMSVPLPA AIATTSTPKEAHHPIVPLLRLPGVVEDAQQQQHSPSHPVTISPSTCISTSPKWNHMVP PAPSADLSASSWIELLQEAHVPAMAPPAPIVSGLDAESAAVVTATEAQLLRTHYDRLL TVLKDQHAHTTSLQHQLDEFHVAQEEAEAIHHVQLQDLKLVNVDLRSKLRVLETQSGM QAVLEKYDVEIHTVTSELEKLRAVNLGLELKLAANASVDLRNRYRDIVKENVRLHEQV LALRKKERHFLSSKKMVDESAKKIDALSKLVTTKDDMLMEARLGEARLSAQVDHQQQK SVALQQQQSVLAAEHDKAAEELIAVKMYLASIQTEQRKAEMLDRFVKKHGTSLLSTDK QKSNNPNLQDHGTKVLGAIKRTVPALVPSVHKLLQRLHVRNLKMLVLFLIYSKT H257_18189 MTGIGILYGVTSFTLFGVMPVYYKFLSTVPAFQIALQRFTWTLP WTFMLLLALRQHKIFAREAFTKANLALYSITAGLVAASTLLVIWAVNSGYLLEVSLGA FLNPITIVTFGVVVLKEKLRLWQVVAVACAAIGVGIFAIAYGKFPWVAMLLTTIDGSY SYVKKKAPLTPLHGMVLESLLMFPVGVVGVVVLEAQGASVLGHVSTQTDVLLIGTGIF ANLPLVFLVAATQLAPLYVIGLIANLAPTIQFFFGVFVYNEPFTTTTLVGFCFLWLSM GVFAVDSFRDYKKSLLPSSASQDGAVVVVVVASPHKDTDDNSFTGVDYEAAKHP H257_18190 MKFFVYAIAATVAIATGQTLKGQSPIDLPASAKPVANSGNFSVE FNTASAVVSHEDHTVKATWSGGPDSHLTLNGKVYQSLQLHPHAPSEHTLGGKQYPFEV HFVHADKDKNLAVVGIFFDLDPQDKANPFLTQFFSQFDQLTKPGDNFTLAALDPSSLR VSKSNVFRYSGSLTTEPFTEGVEWNVLQEVQTLSKAQLKQWSNVIHHPNSREIQALNG RVVTLLTKAHSAC H257_18191 MYQSLQLHGHAPSEHTIGGKQYPFEVHVLHSDKDNNLAVVGIFF DLDPHDKPNPFLTQFFSHFHHLTKPGDNFTLTALDPSSLHVSDSNIFQYHGSLTTEPF TEGVEWNVLQKVQTLSKAQLKQWSNVIHHPDPREIQALNGRVVTLLTKAQSVC H257_18192 MAHFLGASQIDIRTAFGWLDGGIREFGLGQGSILAVMHIGYYMD VLLRQQHSGLDPVRIVHSQHPQGAHTRTISSLLFVDDALDIATTYAGIQDRARISNTF TGQSASGGVFGADKSFLLYLSPHAHPAIALNDGLGVPQPIRVVAPSEGFRHLGIHQGT DNQWEETTRVVWQRLNTQANAVAPRGLRKKELVYIINSVWIPSVLYRTAISDAISIAP ALDTLFRKTARRVLKLPHDHPTEWFYDPTDGLGLVHCERFSHSQRLYQFLRIANDRGS PTHDLLMESLEAYQLDSGLTDHPLAFRIHPPAADGTLLGTMLRDLATFQPALSITTQW HQPAASRPLRPNDRPIWAHLTPALSTTLISINRLHAKKIRWVGDITNDKGTMLLSLPS LRTKFGWTNLTLQRFAPIWDAIPKAEPPAPPVLRQQTLQWGPYPTSQHLPPPQQNPYR TSLPYLTHPLGRTFFVPTQGYETLHIPVHAMLVIPHHLTHPDNRPPTLSYRIARRTSL QTRQTQEGTEIAVTFWHELRKDSDIWYSPTPREARGRHRLVPITGCAVLTGSLLPTSR AQRLKFIPWTDTSWTNPRTHITHKGENNRTVIASTTGQTTHPEPHHTGHQPPPQATPA CTACHRLADTTICRDCGGWHHPACIPHCRVVPHPSAPTYGLHTLPRRDVRTHAVGDGS VTHQGTPAAHGTWSYLGRDGTTLAGSIQVHANHITPTRCELHSLLVGLQHSGDAALQI CDNTKAIGLVVLARSLKRRGGLPRYSNIYRVELRSLMALFTANGTFAGDWTRAHQDPD DTTDPTVRTKRLLLAEADSLAALAHQLLPLTNYAHLIIPDSWELRDEHDRPVTGATAP WLGAVYGRRDWPKIQAHKPDTRQTVQPLRLPTGDISTLWPGPKRPQWKTRGGHPSPNT GMCMIPLTAHRCVHALHMHRLLGPPRPESHMLATSQLSWEANQCPKPGPLLPRWLYHT ALRSTPLLAPRDFWFGQWMGGPNGATFQGPLGLSPHCIIPRPPTNHHWWIDATHNPYT PTWWRLLNTAIQSAISHQTPATYWLVVHDGSCGHKMADQLHTTWLLTIPPGQIKLRHV SSVYHTPTPTWGKLASGNLTAIHIGFITTGNTVALLASAQQQWTSALTLIDALHWLRR PPPTTQLRPEETTTTAIPRLLSAPTHCLHWVQSSIPTYDQYALDTRAFLTTQFPAIYQ ATSGWKTFPTMTHTTRAWAAALTHLHSPRFHTNWFKAHWAALRLHWQSTCTTNVDHIR TAGELPLLTEVNNNIRLKRRHHDAAPMGHDRRIRARRAHLAAKTLQWHTRRISSLPPG LPPHVGYADPPLRPPRQPPDPRIPPPVA H257_18193 MKFFVYAIAATVAIVTGQTLMSQSPIDLPVCAKPVANTGNFSIA FSTASAVVSHEGTTVRATWSAGPHSHLTVNGNVYQSLQLHGHAPSEHTIGGKQYPFEV HVLHSDKDNNLAVVHTLSKAQLKQWSNVIHLPNAREIQDLNGRVVTLTRAHSVC H257_18194 MRRDLFLQLVHAVDLHDSYFVQSYDAVGRKDPLFLADSIYPTST LFVKTISEAQGRKATACRVAKTAVTLKSTQRPSTKTILGLIDSFV H257_18195 MKFTLLSAIALFAAATAEANNTVTGIDGRARSLEQEAAFQTDAE TNRACHEKNANYIASLKAGQYTSSAFHNCFRTIDQIYKFADALVEQNTTLLSAFVISK TYNGATIYGYKLTKGNAQSLYFQALQHAREWSAGLSILFSFVSILDDIANEKPTAADA YDLYFVPIVNIDGFASTWNGNGTRLQRKNANHVDLNRNWPTPFENPNTLHKTMKRTPG RSPSVNRKPRASMHG H257_18196 MLPVNSRFAYKQLTDPEAITCVYGCGNVETEHHAFHTCNEVFPT WQFHARAWRWFGVHFDWATISNIDSFNVSPTCAANKPALFKLWTLLTASVLHTIWTQH NAIKYDGKTPWPQRVWEETTFIGWMAAVRRWLRLQDPTDALRINVLAQLAKLKRQYPY NTLWLKYPNCLILEFSAPTP H257_18197 MVLFGQNKNTPATNKVFADVSLQTEHTTTTMATHCTAWDVVHRK ELETLSQFLHNSGLAHWRAAKRVLRYLRGTSLHGFMLGGRDYVSKPFLSAYVDANYAM CPDTRRCVGGFLILFFARQNRYELPPEFPKSSQVTRHGRRVNSICNNTIVAPYPAEFA AFFKHFEAKLLGMSNGVVSSTAP H257_18198 MPTYSFPYRTLWDTRGILSGLRSLNTPFSSTAPSLDYCVAKVPR WDLSKFENVLTDVLQPREPELLDRRQVMAASRVYCRNATDGGGVRLMTISTGFDGSST LWLVLV H257_18199 MLPRWTAPEYFEQCEPGYDGPTLKPDLQLIDQDKKTAIICDLAI AHEDDQLHDGDTVFEKTAKGKMDKYSPLSRHLVRQGFEVYSCALVYVPLGSVAPANHN ILTAVIGLSRPAASKLQYGLSADIIKSSRTIWNTHCSEPKPGCRSARADSRMA H257_18201 MARTVTGRELADNQKTALYHRLLQLKKNGHVGSGDMKEIMRTFN VSQQTISRIWLGGCQTAADTGCARVASRKAAVVRLATASSEIHKTSLWNLFQANMLHP RTSRIGLWPIVETKEAARRTKNCDRGTPVTVPMTVTKPIYRRLLVDRVIPAILAKWPG RRGGTIYLQQGNARPHIAVDDVEVLAAGRKID H257_18202 MSPDFNVLDLGFFNAIQSQVLDKTFMTLQKVMEEAFKLEGDNVY KLPHLKKDMQLKSETVENSGIETSMR H257_18203 MASEISTSYSSSARSVMGGLYRKKGSSGSNSPSDHHHISVNSSK PSISSVGGFYVSLMENAKTSSQAPSSTRPKQQHERIKASSIGPCPKDSLATLDATGMA LQSAQALSTFGVDRGDVDGIVAVMRANPQDDPTQVVCVQELLYVLRHDPSDFTLHKLM THDIITFLLKLVREFRFHAVLLTDIMHVMMLLAKLAVEHAEVLVRESAGALIAKTMAL HPTEDRLQQYTTSLLQTLRTTAVPTGRVAGKPAGFEIAFFNNEYAASNRPATTTLWTP VTGEGSCHKHRASPLEPFQLVSAKSQALAERSRTPELSHSKLRRVLSSPKVPTLTAHN TTLLTNASSGTQQHTMENEENELVAATPLLLRPESCPTFDQLTIDLATTGATPKPWSS QSSLRRKPATSVKRLAPMLVTTPTLGAYRSSPLFVEPLDTAKRVDALHGRMMSTRGPC RPSITTTNSTSPLYPNPIEPTTPPQPSLSSPNNNNHTIGETKPKDFITKAKVNPESLR DHRAAKCLQRYFRRILKTSVHHQAAAALVILTTPDDDELLHDQLGQRDQDEYVLRTIQ ASFCRGLHVHSQHNYDAAREAYESALESKTCVSFASVVVNVGATYLSQGEYLKALEAF EIAQKMHPHHPKAMYNAGLAHWHLGHPQLAATKPCWQPIRLMPRRSTPCMSFTHSSTL VYRKTV H257_18203 MASEISTSYSSSARSVMGGLYRKKGSSGSNSPSDHHHISVNSSK PSISSVGGFYVSLMENAKTSSQAPSSTRPKQQHERIKASSIGPCPKDSLATLDATGMA LQSAQALSTFGVDRGDVDGIVAVMRANPQDDPTQVVCVQELLYVLRHDPSDFTLHKLM THDIITFLLKLVREFRFHAVLLTDIMHVMMLLAKLAVEHAEVLVRESAGALIAKTMAL HPTEDRLQQYTTSLLQTLRTTAVPTGRVAGKPAGFEIAFFNNEYAASNRPATTTLWTP VTGEGSCHKHRASPLEPFQLVSAKSQALAERSRTPELSHSKLRRVLSSPKVPTLTAHN TTLLTNASSGTQQHTMENEENELVAATPLLLRPESCPTFDQLTIDLATTGATPKPWSS QSSLRRKPATSVKRLAPMLVTTPTLGAYRSSPLFVEPLDTAKRVDALHGRMMSTRGPC RPSITTTNSTSPLYPNPIEPTTPPQPSLSSPNNNNHTIGETKPKDFITKAKVNPESLR DHRAAKCLQRYFRRILKTSVHHQAAAALVILTTPDDDELLHDQLGQRDQDEYVLRTIQ ASFCRGLHVHSQHNYDAAREAYESALESKTCVSFASVVVNVGATYLSQGEYLKALEAF EIAQKMHPHHPKAMYNAGLAHWHLGHPQLAATKFTAVLAADPSHAKAIYALHVLHTQF HVSVS H257_18203 MASEISTSYSSSARSVMGGLYRKKGSSGSNSPSDHHHISVNSSK PSISSVGGFYVSLMENAKTSSQAPSSTRPKQQHERIKASSIGPCPKDSLATLDATGMA LQSAQALSTFGVDRGDVDGIVAVMRANPQDDPTQVVCVQELLYVLRHDPSDFTLHKLM THDIITFLLKLVREFRFHAVLLTDIMHVMMLLAKLAVEHAEVLVRESAGALIAKTMAL HPTEDRLQQYTTSLLQTLRTTAVPTGRVAGKPAGFEIAFFNNEYAASNRPATTTLWTP VTGEGSCHKHRASPLEPFQLVSAKSQALAERSRTPELSHSKLRRVLSSPKVPTLTAHN TTLLTNASSGTQQHTMENEENELVAATPLLLRPESCPTFDQLTIDLATTGATPKPWSS QSSLRRKPATSVKRLAPMLVTTPTLGAYRSSPLFVEPLDTAKRVDALHGRMMSTRGPC RPSITTTNSTSPLYPNPIEPTTPPQPSLSSPNNNNHTIGETKPKDFITKAKVNPESLR DHRAAKCLQRYFRRILKTSVHHQAAAALASATKTSTFCGRFRHRFAVDCMSTASTIMT PHGKPTKAHWNRKRACRLRRWW H257_18203 MASEISTSYSSSARSVMGGLYRKKGSSGSNSPSDHHHISVNSSK PSISSVGGFYVSLMENAKTSSQAPSSTRPKQQHERIKASSIGPCPKDSLATLDATGMA LQSAQALSTFGVDRGDVDGIVAVMRANPQDDPTQVVCVQELLYVLRHDPSDFTLHKLM THDIITFLLKLVREFRFHAVLLTDIMHVMMLLAKLAVEHAEVLVRESAGALIAKTMAL HPTEDRLQQYTTSLLQTLRTTAVPTGRVAGKPAGFEIAFFNNEYAASNRPATTTLWTP VTGEGSCHKHRASPLEPFQLVSAKSQALAERSRTPELSHSKLRRVLSSPKVPTLTAHN TTLLTNASSGTQQHTMENEENELVAATPLLLRPESCPTFDQLTIDLATTGATPKPWSS QSSLRRKPATSVKRLAPMLVTTPTLGAYRSSPLFVEPLDTAKRVDALHGRMMSTRGPC RPSITTTNSTSPLYPNPIEPTTPPQPSLSSPNNNNHTIGETKPKDFITKAKVNPESLR DHRAAKCLQRYFRRILKTSVHHQAAAALASATKTSTFCGRFRHRFAVDCMSTASTIMT PHGKPTKAHWNRKRACRLRRWW H257_18203 MRANPQDDPTQVVCVQELLYVLRHDPSDFTLHKLMTHDIITFLL KLVREFRFHAVLLTDIMHVMMLLAKLAVEHAEVLVRESAGALIAKTMALHPTEDRLQQ YTTSLLQTLRTTAVPTGRVAGKPAGFEIAFFNNEYAASNRPATTTLWTPVTGEGSCHK HRASPLEPFQLVSAKSQALAERSRTPELSHSKLRRVLSSPKVPTLTAHNTTLLTNASS GTQQHTMENEENELVAATPLLLRPESCPTFDQLTIDLATTGATPKPWSSQSSLRRKPA TSVKRLAPMLVTTPTLGAYRSSPLFVEPLDTAKRVDALHGRMMSTRGPCRPSITTTNS TSPLYPNPIEPTTPPQPSLSSPNNNNHTIGETKPKDFITKAKVNPESLRDHRAAKCLQ RYFRRILKTSVHHQAAAALVILTTPDDDELLHDQLGQRDQDEYVLRTIQASFCRGLHV HSQHNYDAAREAYESALESKTCVSFASVVVNVGATYLSQGEYLKALEAFEIAQKMHPH HPKAMYNAGLAHWHLGHPQLAATKPCWQPIRLMPRRSTPCMSFTHSSTLVYRKTV H257_18203 MRANPQDDPTQVVCVQELLYVLRHDPSDFTLHKLMTHDIITFLL KLVREFRFHAVLLTDIMHVMMLLAKLAVEHAEVLVRESAGALIAKTMALHPTEDRLQQ YTTSLLQTLRTTAVPTGRVAGKPAGFEIAFFNNEYAASNRPATTTLWTPVTGEGSCHK HRASPLEPFQLVSAKSQALAERSRTPELSHSKLRRVLSSPKVPTLTAHNTTLLTNASS GTQQHTMENEENELVAATPLLLRPESCPTFDQLTIDLATTGATPKPWSSQSSLRRKPA TSVKRLAPMLVTTPTLGAYRSSPLFVEPLDTAKRVDALHGRMMSTRGPCRPSITTTNS TSPLYPNPIEPTTPPQPSLSSPNNNNHTIGETKPKDFITKAKVNPESLRDHRAAKCLQ RYFRRILKTSVHHQAAAALVILTTPDDDELLHDQLGQRDQDEYVLRTIQASFCRGLHV HSQHNYDAAREAYESALESKTCVSFASVVVNVGATYLSQGEYLKALEAFEIAQKMHPH HPKAMYNAGLAHWHLGHPQLAATKFTAVLAADPSHAKAIYALHVLHTQFHVSVS H257_18204 MSSNTPSEDLRDAVLWVGFFAVGCEAHQVEALELLLRHGANVIV GDSSGNTALHKAAYLGYGDCVSLLLQYGAGANNPNNMQQTPLDLASLFDKPDMVALLS SVVS H257_18205 MNSAVTRSLPVTCGTLLVGTILSSVRQQQAGGGGGDGILEVLLQ TYWDHRCLPAPVEHLLALGTWDDDASRVRLVHAEWLPPSTVRTLDYQINIPVAHLDRF RRSIHTNELTRCATMHPDRSCRQFAITGTLNLLCRSTQVFVPLQCLSLAASVFVARKQ TSVQWPALANNVGWSVVFLTWANMAPLLLSCSLPQTRHKLTTLVASMLPYLMLQVEPR KRRASVLKAIACWTLVSLQLQATSSRVWRRYVTKLSLAKRMPWTWVATAVYGACMTHI LNRPHLQSHLAMQYLFGKSMHKPLQAGGGGGDGILEVLLQTYWDHRCLPAPVEHLLAL GTWDDDASRVRLVHAEWLPPSTVRTLDYQINIPVAHLDRFRRSIHTNELTRCATMHPD RSCRQFAITGTLNLLCRSTQVFVPLQCLSLAASVFVARKQTSVQWPALANNVGWSVVF LTWANMAPLLLSCSLPQTRHKLTTLVASMLPYLMLQVEPRKRRASVLKAIACWTLVSL QLQATSSRVWRRYVTKLSLAKRMPWTWVATAVYGACMTHILNRPHLQSHLAMHIMSRH HQFMHTFLLDAIRHAGPTSCLTLLVGALISSAQSSQLKLPPAIVVAGGVGCGVFRFVY RLEHRRLAAIMGSMAFFRLCSYNHKHIVLSYSAVEAISTYYLTHFTHQYWLEHATGIL VTSRLMYTFLFHSDWLFPSQLRMLDFQSGLSPARRASHHTFIKHGKSRIFFMGGASLL H257_18206 MEDEPRNDVSTRQQSITVQDLRDNRLAEGSKKGYLSGVRQVVAW LRESGRSGTINPDGSINLDVFSYEDFTEFVLYKYKSAGVSLSTLSGYRSAIKDYYSRQ NVALSAGFVTDATAIYQGIRRLCASETQTGAIKPGGKQPLRYHQYQELCRASLTKLDA GFTHLFLTLSWNLMCRSRSTETVRVDHLSDEGDSIGVTFFKSKTDQGGTKRRDPKHLT TRATFFRVPRSVTDLAAASISLLAQRFLHQPVPSELTLSERELQRTQLVGVLRGPRLS TCASGAGGPSVVLLNATYIMTAPVTNTWAALWLVFHLTAVSLLHYLLTLILAVTKQFP LPGH H257_18207 MTTQLAARVTIASTLMQPTGIPPHVSIHTQLETNLAAVRELPGE IRNNIEAILDAKGITSGNITHALLEELLNNAVSRIASTNDRSESPPVVDDSAPLRPVH YWGGRWHMLPEDFELPSVDVATAWHYWWCGSSAREIPPLHKLSTCDMANKQGKIYCEW NFAVNVLLEVYSSSTGATLSPPYTSASVIAAFTTITVSLSTSWGLTEKGRRRRLSQVK LVTFARLARKRSRRV H257_18208 MKSTALLTPMALIMAMMVQDASAHGRLLVPPHRGYIGKLPQFSD FVPVNFGDHSLSAGGISQTRGGKHGICGDRYSGKRLHETGGEFAKFPQHREKVIGACY APGSAMDLQVQLTANHKGYFEFGLCKLNSLNDKETEDCFKTLVQPNGEKDWQLPAGSK TFNMQYMLPDGLSCDGDSHCVLRWHYVGWNNPDVGIDGQEQFWNCADIYVSNSCGSSP SPSSSQSTPSTSTPSTSKPAMTNDPKPTAPSSSADTPVTTDTPVTTDTPVTTDTPDEY KWCGAGDSNPSPSPSNAPNPSTTSSPNPSTTSSPNHSTTSSPKPSHTCSPKRTPSSNP STTKQPTLPPSPGTPGVHKKVSWNWFTSSTTDCDASLSKDTLNRGLYIGGENIPADCG KTASFTYDGRTVKATYAWRTTGGQGYNELSPQAFAQLLGSNANAANFNSATDFQAAIN DPGHVFSTCSGTC H257_18209 MKSTALLTPMALIMAMMVQDASAHGRLLVPPHRGYIGKLPQFSD FSGLANILPEELFLQIFPQALPIYKYENLVAIADKYPEFANTGNADVDRREVAAFLGQ ISLESGDLRYVEEINKSTMCQQSAEYPCAAGKQYFGRGPIQLSWNYNYKNFGKAVNLD LVASPELVATDYDLVWWSALWYWNDERWNGNIHKVVGHPGGFAKATNIINGGLECGVN PRNRDSEKSRIASFKKFCDLLGVAPGDNLSCQTADFPPKAL H257_18210 MAAFSRLLLSIGNGTQMAFQVDVGWFLHTQALAVGKAEYTLHQR FALVCFGGPMSLP H257_18211 MFLAAVARPQYDDAGNLIFDGKIGMWPFVTSTPAIRTSRNRPAG TMVTTLVNVNAEEYQNFVLNRVLPAIKAKMPSVSKRVVIQHDNASPHASVSDGVLDAI QGHFADGWEFRVRRQPPNSPDLNVLDLGFFASIQALQYKSVSRTVDDVIRSTLAAFDE LSEEKLDNVFLTLQAVMRIVLEHNGDNHFRLPHLHKEAMRRAGTLVANVACPVSLL H257_18212 MASNHIVGGHSVYDVAHLQDHLVWIQNLIENLPRTIDITVGDKV DALRRDTNAGMDSVHQRLLNIEAQLTSSGVQQQQMQHQQQQYHQQQLQQVQQQLQQHQ QQLQQLHQQQQQPQQCATCRSHDLNFHANNSNPPTNSINQVVNSRNTTNKVASRGVST TKPGRSVSGRGGGRGKGAAITSATSSGVVSSPEPANVDGGHAASRPKSSAAAASIARR VLPRGAFAQFEWTCGDQHPVPEDWKFPQSTCLAMWELWFHGDLSSQLCPFRHLLGADL TDPNSKRSMYVARRVIKVLIDLAISKGVAANEDALADHSDLRSVYHQCFETMSQHPTL LSKPLDVDKWSTCSYMTVYDALQKGRRTNLHELTFTWADGTLHLTPEGYRLPATNCSA MWQMWFRGDAAAGIGPFRYLKESDVDNRQDLYRARKAMNMLVEVAIEQGVVTSQDDLM ALSDEELETAFELAFDDYTLQTHGDDKGPTPQDMSVRRLYESLQKRKRLVDDGGGSSV FL H257_18213 LGGTRPFIIVEGCSLTNFVARSTTPRHITMSSTTLPSKCCFNGC SSPVLSHGKCKLHRYRHHCSVQHCMNQAYARRLCVAHGGRRECLSPGCLGNARSRGYC CKHSDDHHSKVITTGELSPLLARDRCSSSSFPMERRGAPSSPAVAVPPNDDNVKADGD DATTCLKLIEVEAMKFVQCWDDFEIDVIDGFLSDLPMSSMMWSMQ H257_18214 MNDKKPLNLAHRNQANKRKSTAYSECFYCHGIANVDGKGHLKAD FALLKSDLSKGITRKNFKEVPAKRIKVKARLSSMAIPDMDELPDSGPDKWSCLTSIAH SLADQTTRMEEEVSRLAPYLLKRSYTIDTSDWVLDSGCGMHMTPLS H257_18215 MLTGGAVQRLLIYRNKVKYEGAPAPHVPATVGLTLLQWSTQIRG HLALPSTMDEERERLKTILRRLGEHATAVFDEISLSLFGQSGPHLESFA H257_18216 MAKFIALAAVGASAAAQHEIVNGTEVPVGKYTYVTGLRKTDISS SSCGASLIAPKVVLTAAHCAGSWATYASIGSHYLSGNKDGERIKIVKQTTHPKFNADT MDYDFAIFELETASAIAPVKLNWVEDAASAPGIVSWVRGFGTTKSGGSQSPVLLEADV KIWSNADCQKALGSYGDILPSQLCAGGADKDTCQGDSGGPLTITRNGVEYVAGLTSWG IGCADPGLPGVYSRVSLARKFIESFLPTDPVTPTITKPGC H257_18217 MVKFLALAVVAAFAAADIEIVNGTVVPIGKYTYVTGLRRTENGT AICGASLVAPKILVTAAHCSLTAWATFASVGSHYANGTTDGERIKIVKRTQHPKYNKA TSHDYDVAVFELETASTFPPVKLNWDEDQFSAPGAVSWVRGFGHTQAYGVGSPVLLET DVIIWENSKCHDALKKYKYNITSSMLCAGGGSKDACQGDSGGPLTITRNGVEHLAGVA SWGIGCARPDHPGVYARISEVRDFIEPFLPKPAC H257_18218 MVKFLALAAVAAFAAADIEIVNGTEAPIGKYTYVTGLRPNETAD SLCGASLVAPKILVTAAHCVTRPWSTYASIGSHYLNGTKDGERIKIVKRTLHPKYNKA TRHDYDVAVIELETESKFPPVKLNWDEDQFTAPGAVSWVRGFGDTSFGGVSSPVLLET DVVIWENSKCHAALNKYHNLNVTSSMICAGGGFKDTCQGDSGGPLTVTRNGEEYLAGV TSWGIGCARPGHPGVYARISEARDFIEPFLPKTAC H257_18219 MDPVVRSMRKLERAERDGMGPHVRATEEGCYAGDSLLFGNSMEV WKRPSVLATWPLQVRALKMGRGSSDLARRGASSPEAEDELLKLNCPVGGGRDVGGKFG LNNGAEDERPGRFMVGLSTGILHAGDG H257_18220 MVKFLALAAVAAFAAADIEIVNGTEAPIGKYTYVTGLRPNETAD SLCGASLVAPKILVTAAHCVTRPWSTYASIGSHYLNGTKDGERIKIVKRTLHPKYNKA TRHDYDVAVIELETESKFPPVKLNWDEDQFTAPGAVSWVRGFGDTSFGGVSSPVLLET DVVIWENSKCHAALNKYHNLNVTSSMICAGGGFKDTCQGDSGGPLTVTRNGEEYLAGV TSWGIGCARPGHPGVYARISEVRDFIEPFLPKAAC H257_18221 MAKCSTSEGATLVMPDVLLIENMPSTLLSITAMMRANRNFSFTY DAMKCSIKHNGTSIATTTLDTKNNVYVLGQADTHERMEKPYEYAGWICRNGFAAINLQ ATVDGRGRFMEYSLRPGSCGDKNVWHMSSLGRRVQAILPAYMHLIDAGDDLRITDRID PYLGLHVHEETWSVQPSNRETALHK H257_18222 MTELGQHEVAAEYGPTAKKPRTSKPTIKSSPVDKKRATWTSEMI ADLMAIRFSETAKRKFNACKTTKQKAAWWAFECSGMNSSPIMDSIQDNFDETLGDSDD GTISPPSTAAKIRPAAHSPMIPRAVGAKKSLGDSLEVGLSRMADALVTMANSKTSPPL APVVDLSPLVDKIDIMLQLQAAAQKEQAALNKVLFESLAKLISK H257_18223 MGSTLDRYYMKRNSGRFVKKTPACIKDHLRTLMAPVQAETMSSI PLIDLLNQPLGAIIGVQPVDAAATMKRHMSQIETVVKQVGVDIEPFVVWGTLLRNSKQ IDMIKVLTEQIKPLMDRVQDIEATLAASARIAGQ H257_18224 MSLWMNDMENELLSKEWVAASDDPIKEGRPQRMVRALESKFADI KHAVNKFTGCYTQVQDLQASGTNIDDVEDAARALYFKTTTSKTGSGRNFKYMGCWRVL RDKPKWEAYRENGFGIKKSTKHSKLTGEYNNLDGSKFLSSTVNLESSEGRPQGAKAAK RKRFEYSGHASSKC H257_18225 MTPASTKDACRRELPSGNDGMAADVAGASRTFRLGTLATKRTSS NEQSPGASRGFTTTSAWTPVFV H257_18226 MVQYQYIALAATAVTAVTAATDKISVQVYRNLEIAKQSNVVVKF HCDEALATHRRRLKSGASRTETIESLVDSLKEHTTKSQASVKSLLANQVESTAVEVAT TWIDCAMHVNNASTNLIQKIAALPEVKSIYEPVTMTLDETQSDDKPVSAVNHDIDWGM KKIQAPALWANGIEGEGIVVANIDSGVRYTHESLKSNWRREYGWFDPYNKTNTLPDDK WGHGTSVMGIMVGTKGIGVAPKAKWIACKACKYDCSTLMLVECAQFLLCPHNNDGKKC DPSKAPHVINGSFGHITKDFYLEDMITKWREAGIIPVFAIGNHGLQGCTYSGYPGISP QVIAVGNTDAYDTLAFDSSLGPSIHGVVKPDISAPGFDIRTPGHSSDDSFIWSSGTSM AAPHVSGAIALYLSAKNGASYDQVYRALTENADTNTLNPPNKTCGGIPNTQYPNNLFG HGRLNIFNAVAASISGLTLPPPSESTQVLNPTDDLSDDLSTCGTLEDNTHYIGGDLAS FNLTTVESCCAECKRTPRCKLFVWYTLNGGLCRLKDTQGRKVHVDGAKAGVLLAPASG RRP H257_18227 ASLVRLDEVPTPGCRRQLRALLAGVLASRISLDAGTIKVQLEGR RLLTLGLQLVLQRRDECPKGSLRRVDSLETLGPPHDFVANNRQLATQLTNGLRRATSL GVQLVPEGGHVRLCRNTGVALDDQVGLALHQVALHSGQEFLGGLFGRDKFLDKPKKIQ HLYLSESGTWTATAARALSRLANNKAHSEADRVNDAHNDSTAGAHWHQKEQEGWKPER WTAGLPRPTTILTAPEMSGMRLMLERRTRPVEQELVAWTWRMRKCNEDGLGPDPPAAL SLVEENPGQRCSQGYLLCPITSDLGTGATQGAPGLAHPIDQLHRLYAP H257_18228 MLMTLLLPFSTPSSTVKDLVSFTNLPTSLQQSSTHSGPSSKAKF IDGLTDKWRMHALDKAGLCFKNYPLALYAVDVTFQQTNASAGSFAEKKRFFRKKHSLY GMKVEASVLPNGYAINVSNAVPGSLADITICYDNNAFHQYMLTKVGYATTMPDNGNLG NEYPNSGALLADKGYQGLYRHMRAITPAKRHAGGLLSVPEMTANDRIASDRVVVEHFF RAIEDTLDHHERVVLLEA H257_18229 MSNQTHGASPAASVDVAQPPNGHKHLSPTERQAVYEMLLGAAIG EVLPRGVIVKAAMQFGCHERTVSRLWVQAQLSLRHGCISADDPNDIHYSPQEEDPKIQ DQVELHQTIPHASQHRSSSERWLHAPSSPSTNKVMFLAVVVRPRYHHHSKTFWDGKVG LWPFVQVSPALRGSKNRPKGTLVTVPQAVDSTVYFDAVLNKVVPATMAKFPRGVRRGN VVLQQDNASPHRCVTTKLLHAKGVRGIVVANQPPNSPDFNVLD H257_18230 MRTKPRLGTYTQRSLHGLCATFKLLGSIAEEYSTVKITTFTSEV SPSEKLVRRSRRLITYNLLKVVSPNPDLEHVPGTVRIVGIVPRHALSQARESDAVGTT AAMEAGRPTETSPEHLEVANAYYFYENTNNIRHESICAGNAQPSYGWQSSRCKYKDEM SMAHHCLQQHLSVTKPIEPRQRRVSEVNADVVQ H257_18231 MAHAQSKPKCRKTTQTGPRSSPQPIRTTPHSSAAKPAPNDPPRS RVLGHMTPYATLTGPYLSPTSIHAAPESTVTKPTTNPLPNTDNDSHQEDTPRPAENAA DVEIIALCDSDDSEVQAFEAFKALRCSKQFPCTIVRLCDNLLTQTEYAPGRCSANEHC QNQTISKKLFPPTTVVADSKLTHALRVDEGVPPGTKIIEYVGEHIGKEENWYIARVGT NGDLYVDASKFDTKPRLMVVVNTALEHGTILTLSYMNAA H257_18232 MRPPPRSKKSPQKKPRRRYNHAKKREMILEMESASTRQLEAETG IPNSNLARWKQQADAILNFEGNMKHLHGAGRPNCIPDSDGLEIFMHKRRDAEKALTCT HLVNFLKRNNKDWLERYLANKTSGYKSLLKLLQRFCSDHGFSRQKPSKAKRTQS H257_18233 MFLVAVARPRYDHHAKKMWDGKVGVRPFIRAALALRSSKNRPKG TPVTVPVTIDPKVYFDAVVNKVVSAILSKFPGCRDRGYVSIQQDNASPHKCVTTELLH AQGCDASRYESALTHLHERLGEEAHMEAMVNSLDRLLEPVRGKYRD H257_18234 MTVIAKSALAALVVAMTSSVEAAKLKNVVYYMEWAIYQRNFGIF DLDWDKITHINYAFGKPNPDGTVGIYDGWAAVQKRWPEHGDSWNDQGNSLYGNFGQGF KQKQKARGTKFGLSIGGWTLSDQFSSIASTETGRRTFAKSSVNSMLERLHVQSVSDEL YQDESHDDYGEVAAEENDTLTYSNPVIDMVIEDSGVEGFRSPTNFTADEFETIWSVDE LSP H257_18235 MKSIIISAAILSSVAANSRGFDHHESSPVVLHGNETAVNGGRAL PTNNLVGFWHNFKNPSGNTYPLSQISNEWDVINVAFADHLGQGKLAFNLDVNAGTEAQ FIADIKALKAKGKIVALSLGGQDGTVSLNDATELANFVTTLYDIITKFGFDGVDIDLE HGVYIGAPIINNLISAMKQLRQKVGPTFYLSMAPEHPNVQGGYASFGGIWGAYLPLVD GLREELTQLHPQYYNNGGFMYVDNKILNEGTVDGLVGGSLMLIEGFKTNYGNGWEFRG LRPDQVSFGVPSGPKSAGRGQATPEVIRRALTCLAQGVGCDTVKPKQPYPTFRGVMTW SINWDRFDNFAFSKPVRAALDSLGGAVPPPPPATSTVAPSAAPTTTRAQTAAPTPSTS SATSVAPTSAPTPSPSASAPTTAPSSAAPVTTASSKVCGKCTNCYYQPTDACFAGWNA QQCASVASFTWCGN H257_18236 MESNSEVSDERNGDTQLNLERGMTFHSGSAFVVSPLRSSGTSLL LSIRRNSSADPVKVRHRLMGDMEKRPPTHSTFIVDITVAVNHHRRTSLSAAAATLQRP RSSTFSTLHRPPRSPQIAAGQCSDDNGVLYNTPPSTIFAALHHPPPHNRRGKAVECSE NGEVMWRGAMEKEVQ H257_18237 MVPIVMTALFPERSDTHHSRDHHTVYRNIWFSQSRLYRRTPIRP REPTFVLVKQSHCRLDEDFDCLVPPDVVSLLSSTAPPPASSDAKAWTSA H257_18238 MSFQLTLPHALDGFYMDIPGGLQGLFEERLLFETLQRLEPRFLW GMYTSVSATTGLAGSRYRIHFLGSELPSTMLLDGRMVEEFIFRGRCLRVYGRGWFFRD KRLARLDLDAIAASTDHAKPSTHPPHPTTAPQATPAKRQKTATKDPNAWTDVRRKTPS VAPAPYPVHLHGRPWASPNAFAALHERWTVGHTVHRADHDGVSFETITPEATASDSDP VHHTAGEYVTCPKPHKGKISHVEVPLDDLIAELQSLEAKSTVAIHHHASHVEAAVRGS EFNLAVLVNTGRVDSTCTFMERHPEDFGIQLHHLFASDRPTFELFLRQRLLHRWLRVT WGGSASFDQLYTKSFGTNMSRDSVAELFRALQRSDTLEPITCETDDGDELTLSRFDLE LVLALAEAPTMLNVLMTTCMGEELWRIMETMFSGDDDMHRVMVYLADIHASGYIDISC IGTTRWNQDSGRFGVDNGQNNESATQFDDSTATPGVGLQY H257_18239 MINNATEGPAKQALLKPKAIAITFGSQYHLGEKLGEGAFSVVRK CVHIGSGTAYAAKCLDLRNISLQDLHNIEREVKILQQLHHPNVISCKDYFLESTTGYI VTDLMEGGDLFDRIVEKSVYTEREAKDVVHAVVDAVAYCHAKRIVHRDLKPENILLSS HDDCTAVIKVADFGLAKDESYLTTMCGSPAYVAPEVLSGDRGLYNKAVDVWSVGVITF ALLSGYLPFFDDNPSQMFKKIKHGVFSFESPYWDDISPCAKAFVKAALVVDPSKRATA EDLLRHSWMQTANDATVPLSSALSGLRTLSKRTSLKTAAKAVLQLRRLSPT H257_18240 MTSVLRVTASQLAKAKKPLSDLNQIRQAIKTLQKDAAEQLTLEM ESQSTFAYFSTQLGALKQAFETLSDVLMAEVDSVRKDSNRRLHALEVEMERQREQSTA ASRELDSVKRTWDVWNLKERDWAKDNEILKASHSHNVEWMQALQRDVMETKDRVHELR HDHMSRSKAMADEAAALRGQWQKQVESITDQLHGFEVSTALHQRDLKAFAQQRLDDLH MMEQALSTVQTHQLRLTTALEEGFHHAQAEVSSVGRRIDQVDGKCTAVHTHVDVLRTK LFALEREHSQRMESVSSMFTVFADAMNVKLVE H257_18240 MTSVLRVTASQLAKAKKPLSDLNQIRQAIKTLQKDAAEQLTLEM ESQSTFAYFSTQLGALKQAFETLSDVLMAEVDSVRKDSNRRLHALEVEMERQREQSTA ASRELDSVKRTWDVWNLKERDWAKDNEILKASHSHNVEWMQALQRDVMETKDRVHELR HDHMSRSKAMADEAAALRGQWQKQVESITDQLHGFEVSTALHQRDLKAFAQQRLDDLH MMEQALSTVQTHQLRLTTALEEGFHHAQVRSNITL H257_18240 MERQREQSTAASRELDSVKRTWDVWNLKERDWAKDNEILKASHS HNVEWMQALQRDVMETKDRVHELRHDHMSRSKAMADEAAALRGQWQKQVESITDQLHG FEVSTALHQRDLKAFAQQRLDDLHMMEQALSTVQTHQLRLTTALEEGFHHAQAEVSSV GRRIDQVDGKCTAVHTHVDVLRTKLFALEREHSQRMESVSSMFTVFADAMNVKLVE H257_18241 MARVFVPLAALAISSVVIAVLRRRAKKERPFPSVRTPLKRVPLD ELPKLKNDLLIRAYLGQPTERVPVWCMRQAGRHLPEFRALRQAGYDFFTMCGVPELAA EVTLQPVRRYNVDAAIIFSDILVVPQAMGMEVIMVPGVGPVLPQPLRTPDDLDRLIEH PDIEATLGYNLDALNLTRQLLKGQVPLIGFCGGPFTLLTYMVEGGPSKTKSKVKAWLY NHPEAAHRALHAITDVCVRFLLEQHAAGAQALQVFESVGAEVLTQAHFYEFAFPYMAQ IAERVKDKLPTVPLVGFSKGTPYALEALAQTKYDCLGLDWTVDPAVVRKQVGDRVSLQ GNLDSAAIYAEPDTIRGEVKKMLDAFGTQKYVANFGHGCNPDFDPERVDAFVKAVQQL SLQAST H257_18242 MFRNQYDTDVTVWSPQGHLHQIDYAMEAVKQGAACLGLRSNTTV VLAAIKRVQTEQLADPTKKLFEIDTHMGIAIAGLTADARSLARFMRTESLNHKFVYGS ALPVARLVSDVADKKQECTQSYVRRPYGVGLLVAGVDKNGPHLFQTCPSGNYYEYNGI AIGARSQSARTYLEKHYLTFPPLGKDDLIKQALQAIRGCLEGNQELSATNISIGVVGV NQAFTIIEGSALQPYIDAVEVADVTDDVSMT H257_18243 MGLDPVARDEDADGAMMTMMQNEEGSDVWRKRLRVHDSVDARNP FGTWCSAQVIEATPQSVVIRYHVMNPTWDERLPRDSTRLATTSTHAKNNDLPIAVGTP VHIFDTTTWREAHVTCTRIDHLRVLPADSPTDMWVPFTPQFVAPSEKQHARRILLSNS CFDQYVHALHRMNLRLHAVEGDGNCLFRAVSHQLYGDDQHHGIVRRFCMDYMELQRHF FEPFIVGDASAFDRYVRHKRLDAVWGDDPELQALCELYDRPAQVFAYDAAAGAKQLRV FHDTVTRPPICLSFYGGGHYDSVVGPSHASNFVRDVPGAFEARKVAMIQQKQWSSEEA TVLELSRKEFGKSALSLDAALCASVECYEAEVARSVDVAEVLTVQAESELHHLQNEML RSAAQQSEDDLLQAALDASMDPYQHEFEAALALSADGLHQGMYMEGALDEEDEAMQQA IQMSMMQ H257_18243 MVLGPSDRGDAAVRCDPISRHEPYLGRAIASRFHASCDNFDPCT VSCDHCRVLMFNKAKNNDLPIAVGTPVHIFDTTTWREAHVTCTRIDHLRVLPADSPTD MWVPFTPQFVAPSEKQHARRILLSNSCFDQYVHALHRMNLRLHAVEGDGNCLFRAVSH QLYGDDQHHGIVRRFCMDYMELQRHFFEPFIVGDASAFDRYVRHKRLDAVWGDDPELQ ALCELYDRPAQVFAYDAAAGAKQLRVFHDTVTRPPICLSFYGGGHYDSVVGPSHASNF VRDVPGAFEARKVAMIQQKQWSSEEATVLELSRKEFGKSALSLDAALCASVECYEAEV ARSVDVAEVLTVQAESELHHLQNEMLRSAAQQSEDDLLQAALDASMDPYQHEFEAALA LSADGLHQGMYMEGALDEEDEAMQQAIQMSMMQ H257_18243 MGLDPVARDEDADGAMMTMMQNEEGSDVWRKRLRVHDSVDARNP FGTWCSAQVIEATPQSVVIRYHVMNPTWDERLPRDSTRLATTSTHAKNNDLPIAVGTP VHIFDTTTWREAHVTCTRIDHLRVLPADSPTDMWVPFTPQFVAPSEKQHARRILLSNS CFDQYVHALHRMNLRLHAVEGDGNCLFRAVSHQLYGDDQHHGIVRRFCMDYMELQRHF FEPFIVGDASAFDRYVRHKRLDAVWGDDPELQALCELYDRPAQVFAYDAAAGAKQLRV FHDTVTRPPICLSFYGGGHYDSVVGPSHASNFVRDVPGAFEARKVAMIQQKQWSSEEA TVLEVRQKVHQDL H257_18244 MLPLCGRTNNESTWAHMDMTQPFASGSFKTVYRGKYADGDRQGK PCVLKLFRSGCVFQERYFAHEAQVVGRALAIVDEFNTADINQGRGQVWVNVPSLWTVQ AGFGRPPGELALVEPYIANIAKFNSNTGWTNNSRNHCKWLQSLSHFSYHMSHRCVLLC DLQGGVYSRGVVLTDPVIMSPSRKYGPTDLGDLGISTFFAHHTCTSYCDPNWLTPRDK NKYFTPVPGSTMQLLP H257_18244 MLPLCGRTNNESTWAHMDMTQPFASGSFKTVYRGKYADGDRQER YFAHEAQVVGRALAIVDEFNTADINQGRGQVWVNVPSLWTVQAGFGRPPGELALVEPY IANIAKFNSNTGWTNNSRNHCKWLQSLSHFSYHMSHRCVLLCDLQGGVYSRGVVLTDP VIMSPSRKYGPTDLGDLGISTFFAHHTCTSYCDPNWLTPRDKNKYFTPVPGSTMQLLP H257_18244 MLPLCGRTNNESTWAHMDMTQPFASGSFKTVYRGKYADGDRQAQ VVGRALAIVDEFNTADINQGRGQVWVNVPSLWTVQAGFGRPPGELALVEPYIANIAKF NSNTGWTNNSRNHCKWLQSLSHFSYHMSHRCVLLCDLQGGVYSRGVVLTDPVIMSPSR KYGPTDLGDLGISTFFAHHTCTSYCDPNWLTPRDKNKYFTPVPGSTMQLLP H257_18244 MLPLCGRTNNESTWAHMDMTQPFASGSFKTVYRGKYADGDRQAQ VVGRALAIVDEFNTADINQGRGQVWVNVPSLWTVQAGFGRPPGELALVEPYIANIAKF NSNTGWTNNSRNHCKWLQSLSHFSYHMSHRCVLLCDLQGGVYSRGVVLTDPVIMSPSR KYGPTDLGDLGISTFFAHHTCTSYCDPNWLTPRDKNKYFTPVPGSTMQLLP H257_18245 MFLAAVARPRYDPHKKQMFDCKIVIWPFVEEEAAVRSSKNRPKG TLELTFQSVNADVYQDMVMDEVVPAIQVKMPRGVVVKLQQDNASPHRCMTTELIARHG VDSIEVANQPPNSPDFNVLDLGFFNSIQSLQQQKVARSIGELIAAVEEAKYLQSWHFP SQNDRLVH H257_18246 MSRPAETSATMNELLPTRFCWNDPSEVCFLMVHLSLLLSSLAAI GASWTRGRSSIADGAALANVDSRRKGNCGAKKVRSVIDIKMAIKAVPHEGRQTLCSTA VLSGMPKIAILRHMKEAHGLAARTSHLKPLLTDNMLERLWFAVSFVQPGLRRNHSFIN MYKNVHVDEKWFFITKVKRRFYLYDDEEMTE H257_18247 MNTLSSACFVSAITATDASTMCLIKADRMDYRVLAFDIFRGGKS QPKEPEDYHGMFNHEYFIKSFESLLNKLATLGIQNAYIVMGNAKYHKGRPQGTPSSRQ CKKTLQKM H257_18248 MLRQYTQDTKFKDVHVRLMEAFEDLTPRSIKGCIHKADIRLYKL AEYMKGLQEVEASDNESVEGSSDGGSVTSSNDSSGK H257_18249 MVSRSMDDVIEATLSAFEGLSSDKLSSIFLTLQAVMRLLLKHHG ENNFKLTHLKKDTLRRAGTLVMNVT H257_18250 MDEDTCVAWCMKVGHLPNAATCPKCDLAMSFAFKSKPWRCRRAA CTGGGSVERGMRFASWFKGSKIPMAKLVRLIFAWASRKPVGIVIAEEEIARESGVDWY QYCHDLCSAEMLCAPMLVGGEGVTVEIDETSMKKKRKYNRGRYYPEHWVFGGVDRTTK KWFGVITGADRTKPTLSRLIKKHIAPGTNIILDKFGSYVSANERHNLTNNPLLVDQSY GHQWVNHSANFVNPANGAHTQ H257_18251 MEVVLEADGPALDQVDLDGDLPQGFVPYDMSDVGEFSWHSILKA TMDEDTCVAWCMKVGHLPNAATCPKCDLAMSFAFKSKPWRCRRAACTGGGSVERGMRF ASWFKGSKIPMAKLVRLIFAWASRKPVGIVIAEEEIARESGVDWYQYCHDLCSAEMLC APMLTY H257_18252 MRKWTCNITDDVACDKAFRHSNDGFKAHVQTVHVLEWPRHGRTL YGLKIDAPPLAPVVAPEPLRPSDVPLGEAIGRLQVLVEHNCVAQASFLILLRVARRLG EDIPELETLISSLKEPSTETVVEPADIPANLRSTRPSSKKGPAAKKAKK H257_18253 MKHCGSWDVVSVVFKEKSPTFSKPVNTFLAAIHPTLRAKYIDTV LDKYSMQHLHTSGHRFNNFPSALYAVDVTFQRTNAPAGSFNEKKRFYSKKHGQYGLKV EASVLPNGLAINVTTAVPGSVADIAICESNLDFHQDKLKKIGEEDDMLDDGPMQEEFP RSWALLADKGYQGFHRQLRAITPMKRPAGGLLSAADMAVNDKIASDRVIVENFFGRLK TLWSIVGDSYTWKRENYDLYFQTCVAFTNLHIRFLPLREVDGDDLHRHVNGLLTSGQK KKAKRAGSVTKSREKRKRRLSSLFSTGESAQFTSEVDFYDSADESSIFD H257_18254 MVGVVSVRQDDWGGAEMALTEANVLDRTYADVWGVPCAPTPPRL REAEQALEQALRCDLANTTLLRELSNGFVAVDKLEVAESLLREQHGRPRNVAGSQQGA DKEQHHHRVDGP H257_18255 MLASALKRGFSTAAPSVGRITQIIGAVVDVQFTNNLPPILNALE VQNTNDNIRIVLEVAQHLGENTVRTIAMEGTDGLVRGQECVDTGNPIMVPVGPETLGR IMNVIGEPVDERGPINSVHKAPIHCAAPLYTEQGSGAEVLVTGLKVVDLLAPYAKGGK IGLFGGAGVGKTVVIMELINNVANNHGGVSVFAGVGERTREGNDLYHEMIESGVIKLN ADGTTTGSKAALVYGQMNEPPGARARVGLTGLTVAEYFRDVEGQDVLLFVDNIFRFTQ ACSEVSALLGRIPSAVGYQPTLATDLGALQERITSTLKGSITSVQAIYVPADDLTDPA PATTFSHLDATTVLSRQISELGIYPAVDPLDSKSRMLDPRVIGDEHYEVARATQKLLQ DYKGLQDIIAILGMDELSEDDKLTVARARKVQKFMSQPLHVAEVFTGKPGKFVRLEET VSSFKAILAGEYDDLPEAAFFMVGGIEEVKEKAKALAAELEE H257_18256 MLASALKRGFSTAAPSVGRITQIIGAVVDVQFTNNLPPILNALE VQNTNDNIRIVLEVAQHLGENTVRTIAMEGTDGLVRGQECVDTGNPIMVPVGPETLGR IMNVIGEPVDERGPINSVHKAPIHCAAPLYTEQGSGAEVLVTGLKVVDLLAPYAKGGK IGLFGGAGVGKTVVIMELINNVANNHGGVSVFAGVGERTREGNDLYHEMIESGVIKLN ADGTTTGSKAALVYGQMNEPPGARARVGLTGLTVAEYFRDVEGQDVLLFVDNIFRFTQ ACSEVSALLGRIPSAVGYQPTLATDLGALQERITSTLKGSITSVQAIYVPADDLTDPA PATTFSHLDATTVLSRQISELGIYPAVDPLDSKSRMLDPRVIGDEHYEVARATQKLLQ DYKGLQDIIAILGMDELSEDDKLTVARARKVQKFMSQPLHVAEVFTGKPGKFVRLEET VSSFKAILAGEYDDLPEAAFFMVGGIEEVKEKAKALAAELEE H257_18257 MGNSGSSREKKDAKAITKKSVQAQKIERAHATGILSLKGCKLKQ IPADVFSIPTLHTLDLSQNAIKELPSHITQLVALKTLKVDGNLLTRVPDLSSLVKLNN FVADNNAIVTIDGLPTSLSKLSIRNNQLTSLPSCMSSLVGLETLDVAQNLIAELPPGI AECVQLKEVNVDHNRLQYVPSALVKCKKLTSLFARHNCLGPTQSFAPEFLVNSTVNVM QLEGNPLTKHDFEAMEGVSAFLERRKNLKDKEIHGGLSTDVSLCGLD H257_18258 MEEWTKAASVAVGTLMMFSLGSAYAISAWNSQMKDTLQMSQGEI ASVAASFTFGQYNSIWAGFFYDRFGMRWTSLASATLLATFYWLAALLSASPSAPHSAM SACFVFIGLGHAFPAVASMAANEGVYGQAHRGKIMGLLVSSYSGGGAAFAFIYHAWFD QHVGDFFTFMGWTLSSLSVLGAVFLQGSPHHSSVLQDEDEETPLEQKGKLEHNITLWP LLQTAQFWHLFVVVLVGVGSPLFVMNNLSFLVESYGGDAAHVPTLVQLFSLFNLVGRF AMGAISDAWLPTVPRTHFLTASVVLVGLVQLSFVVCPVEYLVVPVVLTGVGEGCVFGL FPVLTRELFGPRHFGKNYGLVSLANTVGFPLVLGPLSSALYRWQLAPGTEKCFGSSCF TSMFLFTAGLSLVATFSSTRLH H257_18259 MQTVKASLRDVIREAVEVLEYRDFVYRDPHAKLWRTSLDTDDVV LADFGEIEFKRLRSTDGVVVGRHECGQAFLQGGYIATNDKGHLCVMRSATVPPAVGDK DECETNNMENPTDYTPRPTPRGGKRDAIDLRGCFLQTQDMPFVTTPLGSNYFVRSINL SGNALDDASALLLATALTSNGALEELVLSYNVVSIDGSLALAASLATNRHLRRLDLSH NRIGSSGLHGWLTLKQNTALQDLVLSHNRDISDEGGVNVLRAVAAEPLSLHDQVKLDL LRKSQTHTYLPNLLDAPANATLRSLCLSEVGLSVQSALRLALVLEVNTVLTHLDVSMN GFADTSNVAVTTALGRNRSLTLLNYAANPLSDAAAAIMAASLATHPALVTVHFSGCFA GPHAGTYVATSLTANHTITSMDLSGGNLEPPGVVALCKAIGDNQTLRRLELTSSGLKS DAVVAVLAQALERNTSLTCLHLGYNKITLRGCKLLKDAIAANKTCQLTDETLLLEGNS GVKSKSGNVVIAGGHLLGPLNSIGSGNRT H257_18259 MRSATVPPAVGDKDECETNNMENPTDYTPRPTPRGGKRDAIDLR GCFLQTQDMPFVTTPLGSNYFVRSINLSGNALDDASALLLATALTSNGALEELVLSYN VVSIDGSLALAASLATNRHLRRLDLSHNRIGSSGLHGWLTLKQNTALQDLVLSHNRDI SDEGGVNVLRAVAAEPLSLHDQVKLDLLRKSQTHTYLPNLLDAPANATLRSLCLSEVG LSVQSALRLALVLEVNTVLTHLDVSMNGFADTSNVAVTTALGRNRSLTLLNYAANPLS DAAAAIMAASLATHPALVTVHFSGCFAGPHAGTYVATSLTANHTITSMDLSGGNLEPP GVVALCKAIGDNQTLRRLELTSSGLKSDAVVAVLAQALERNTSLTCLHLGYNKITLRG CKLLKDAIAANKTCQLTDETLLLEGNSGVKSKSGNVVIAGGHLLGPLNSIGSGNRT H257_18260 MTLTIAVGTRVDGLYDGGDVWFPGVVDGMTDDSSFFAIQYDDGE REEAVPRSLLRLHEPGTFSVGSRVLARYNGGDDYYGGVIADINLDGQTYAISYDDGEY QDAVPFALIVDEGAPIETEIVSSAPIEPEIAPQRQLDEQQRAPNDVQQRMDERSPTPP SVVAPYESPSTGQSEVSLPSQPDKYDASGASIVDDGIGYRMKLSRNIITDEGVVDPGK PVNELPSINTVLADQIAEGCDDNASPSEPVDNVDLPVVKIVSRPSSRRAQYTDEEGAQ VDFIQRAPSIGRETLQKQPSSSQTLSSEYQPLRLVSPPESQLTVADAPIAHELNKLPT PDAAPSPSIIIIAPPPRERNPSPMPQPPSNPPRVVRPVSGGADSASGMADEAFSSVLT HQQEIQTILNTIREPVVDSDSMVVVKNALAQLLQQLRIAPHVTVDCCREHHGESVLIM CVDANAGYSILVCFIFVIIRRMCSVSEASFLKFIDLAVLDAVAAVMTRFPHDAVLQAS ACGVLATFAQSSGIEPMLDNQVATLIVHVLHEHQSLNHYSRQVHFYACEVLAKLCDGG DPRVLRLMTAEHPEYHSPVHLFVSLLRQGHQYEDQKVACAACTLVLCLAARDRKSANI LRSIGALADVSTIMAKFPHDNGIASYSKSATREIALSSMKHGTTTKVQQTAKGILRKD DLRLRGELFDDHQRNPAKPPPKPKPRTSRKPSKATTTHHSLSTDGGDDFTPTKSRLFS RNTMAPAPQLTHNVADSLTKIQQYSINKPSTAFEFSSLAMPTSVKKPPKTPDNSALPV TKHTFTPFEEKLERRMIQATLCKLIPAPSPTVSTKDREDILLRTYGVPKLRHIDRIKG LPSVAAATSVTARPSAYESAAAPSSRGSATGRGVSSAKSVVAVRPPTIPRKAAKQQQP QGVVPRPPSKPGSNTPKKPGPATSIKAPPPRATSTIKVVKQNLSKTSTLAIPAMQIPA MQHPSNLSQLATQLFHSVDGDELLTDKPVVEARLSFSDKLHEMIKKAEVALCRPPSAQ SHANGDRRNDIPLMAKVDACQPPSVRPLENDDGSEEKVTKCDNDHYTPSRSQAPDTDG GSDETIQKAPQVEADQPPTLEATHSDGILKEAEVGVYQPCSARSLESGEGSEGISKQA EDDSSQMSSGRALDIGDGSDHTITKIDGEVCCPPSVPNIGGGDDVVNDTTNKSDEELK THLSGRSLESGDGSDGICQPLSDPDIDIGYGSDEDFESDDDSDQVIKNDDVQLPAMGD LHCDEPIIKADHALSPPPSVRDDVNCKTTAKSDELSRVPSGREVDGDNATTNADEFSR PPSSRALGSEETTTNVDEISHPPTDPDIDGGDITINADEVSRPPTERVLDSGEAIIMA DELTSPPSVRGVDGDNATTKADELSCPPSSRTLDSEETMTYVGEISHLSTNLNPFDRG ISTTNGVEVIRPPAVREGDSDEQITRAKELSRPSSSRFLDSVETTSKTEDELRRPSTG RGVGDDRTTTADELSRPPTPRETESDDTTTKAPAGELRIRAVQDIECDETITKADEIS RLLSSRDVYCGDTTAKDEVELSRPPSRQLELIRFPSSQALDSDDTTIQPDEFSRPLSE RLDGNDITTEAVDRSHPPSVQDLDSDDISTKTTNTAENELSPLAGALTHAFGSGGDES FESNGGSEEMIEKARDESCSPPSALDLDGDDTTAKAYTLSRPLSDREVDTGENIINVD DFSGLPSVRQFDSVETTSKTENELSRPPSSQYLDGNDTTTQADNLSCPPIVRDVNGDD ATTKATTRELISPLPVRDLGSDETNTKADEPKHLPSSRDLDGDDCTTKPDELSRPSSG RAMDSCEATAKSAGCDRDLGGDGITIETNELSRPPSVQGLDCDVTSIKVDELHPPSRV LASCEGSVGSAATTDNTEDELNRPVSGRAPNSEIGRDEILKKFDEEVVQPYSARSLVS GEGSEGNFHEVESESSQRPSGHILAIGDGSDLTITKADDERNSPLSDRSLKSSDGSSD GMSRKAEVERYPPPGDIGYGSNEAFQRDDESGEVILKDNDELPAVGDFERGEPINKSD HHFSPPFVREINRDNTTIKSTLDGDDKTTKADDLSCPLSGQEVDSDGMTTKADELSRP PSSRALNSGEITTTTDEISRPHTNPDLDSDHVTINADEISRPESERALDNGETITMAD EPNSPPSAGQIDGDNATTKAGEICRRPSKELHSDEANTKTDEFSRPSSSRYLDSEEAT TKVDEISRPLTDLDINDDNSTIKANELSHRASEREVDGDDTTSKADETFRPATVRDVD SEATTTKTDELSCPPFVQALDSCDGSVGIGEMTIMTEDELYRPHAVSTLDKTPKKPDT EHYAPPSAGSAYGSDGDFESDDGSDETTNEVEDKLYRPSSVRNLVKEDTTTEAVDASH PPTAPDLDNDDTTTKSNQLSLALTESYLDRDSSTTQADEIRRPPSVRVVDGDDTSTNA DEISRRPPSSRTINVHDTTTKAEQLSRPPSDRELASDDTITEASDPPSSPVLDISETA TTTADEISHRSTVRALDGDDMTTKAEQPSRPPSDRELASDDTITEASDPPSSPVLDIS ETATTTADEISHRSTVRALDGDDMTTKAEQPSRPPSDRELAGDDAITEASDPPSSPAL DISETTTTTADDIYHPST H257_18261 MEFQASIEDVLSLPKVLLDSGSDETLVSEGLLMALERLRASLSR DNQAIHVTRQAQFKAVTLEKSIGPLVLRGLRAWVEEKKMEIDALIGRPVMERLGFSVD GMLVDALK H257_18262 MPLKTVGQNGIGVAPGATWIACRGCLTPAYCAEEVLIACAQWMM CPTDATGKNPKCELAPDVINNSWGDEVNSNAYQAVVDAWRAADIIPVFCNGSTGSKCS TTWTPAGYKNVIGVGNLGFDDKLSTKSSRGPMADGRIKPDVSAPGTEIRSASNTGNSE YKIKSGTSMATPHVVGAIALYLSANKGAKYDEVYKAFTTTADTATLTPNNENCGGVSD SKYPNNNYGFGRINVASAIGGGVAPPSNITSAPSPSKPRNTTSAPSPSKPRTSGPSTS DPATPFAVETQHIKSFDHRHEYPPSIP H257_18263 MIKPTFIAAFAALTTAKIAPSVHRHLESNEDVDVVIEFKGGNQR ALEDARRERANFNDRGSSIAHVRSLLESNMETSQRSAIELLSSQPKALTTRVESYYIN GNMHVYGVTPDVVDELAKLDNVARIRRPVAAQVSSVTSEDDESDVEIPQGWADNNTTS GRAANEWGIDLIGAPAVWANGNRGEGIVVGIIDTGVLHTHDDLKGNWRSTYGWFDAIE KSPTPIDIDGHGTHIAGSAVGQNGIGVAPGATWIACRGCLTPAYCAEEVLIACAQWMM CPTDATGKNPKCELAPDVINNSWGDEVNSNAYQAVVDAWRAADIIPVFCNGNTGSKCS TTWTPAGYKNVIGVGNLGFDDKLSTKSSRGPMADGRIKPDVSAPGTEILSASNTGNSE YKIKSGTSMATPHVVGAIALYLSANKGAKYDSSVQSVHDHCRHGHVDSKQRELWGGVG FQVPQQQLRVWTHQRR H257_18264 MATPHVVGAIALYLSANKGAKYDQVYKAFTTTADTATLTPDNQN CGGVSDSKYPNNNYGFGRINVASAIGGAVAPPSNTTSAPSPSKPRNTTSAPSPSKPRN TTSAPSPSKPRNTTSAPSPSMPRTSGPSTSDPATPCVEEAQHIKSFELRNQYPPSVP H257_18265 MLRILSTTYVTRPPQMTRITPNNLTTTSRTTSTTPTPRRRRRRR SGGSSVSPPSDMNTARIQRDNVLRRETLEGEAYIGVAGSTPTLDIQVDSLRIAATNIN KNTYGKLSVELATWFRANALDFLIIAVSDLPAHKATQLWTHAHGGTHAPSLMAVSNHR VSLLYDIQRWHSASTPAVPHTPPPADNPAAHKEATDSEWQWLAQAATRATDPHHFVVM GGDFNTYGPNPLNRSAPTPRSGPSNDISIAFQQWTQSIGLSPPSVTATPASNGTHTPV ALDDIYISARTVHKVGASGIWLHTIHSSDHAGTLYMALDLCSGDHTPSRLTGVKPIRV VNTRNLAKADIASFGVHTSNLLREGQLPQLTPAPPPNAVTAWSPQEIGDWLRNPQPLR QLLRFNEAAPCTGTEYTRLAHLVEWPKWIHSGLETDRQNVPHFVRDWLLQDMDRPDEV AQAFQSPAGTTWDTYHYDEDIQARCDRSLRTRVSPGYGGVSQELWIAACIRDRERVII NLILRTGLVPPILGRKQIYLAKADTAHGVVNLDPGLPPWRPITVQSAFSGRIFTVIRD YITPCIPNHEMQHGFQRDRTVQDAAVLTSLLIERAERREEELFLISKDCLKCFDRIPG WVMEYIYRKLGVPPSRANLWPISLGPVKSISARLSAGSMAASGNSAWAKVLS H257_18266 MRVGLAIMSVFLAGVVQAGPSNDGVTENVLIGAANADFNAWFTN LWANDGCNVKNSDFSIATFNFDTDKNEFSKSTSSNVDANAVTITASQLNGYKLNALAC KWKYDGATETTSTTPVWTKPNGIPKVTFAKAFALKLRNEEVTSVTATCDLWFHHDIRE KRPALFAGISRVFALTNCDVPRLNSNEMSDQGRFIKDACANTWPTPGNTQNPAPFQAC GGAMVFPSNATTPTTNYLDQATDLACCAKKTAYNCAVVPGSTIKRCTEQGPAPAMAFA QAFSSPLVMVGLCGMAALVVVVAKKHQTATADDGYVSLLH H257_18267 MEDIGLSTAVDIEDVSMLPEKQEQEDDMFSKFKTLERHLEFLDI QEGYIKDEMKNLKRELIRAKEEVKRIQSVPLVIGQFLEMVDANYGIVGSTAGSNYYVR ILSTLDRERLKPNSSVALHRHSHAVVAILPPESDSSIQMMQMEERPDVSYSDIGGMDI QKQEVREAVELPLTHFDLYKQIGIDPPRGVLMYGPPGTGKTMLAKAVANATTASFIRV VGSEFVQKYLGEGPRMVRDVFRLAKENSPCIVFIDEVDAIATKRFDAQTGADREVQRI LLELLNQMDGFDQATNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLIYQ ACTAKMNLGDEVDLEDYVNRPEKISCADIASICQEAGLQAVRKNRYVILPKDFDKGYK NAIKRADTTFEFYH H257_18268 MSSDAPSPADTRLSKAMTWVLRHGAVELKLPISSDGFIPLTSMM ALRQVHGATLDDIQRVVRNCKKQRFALDTSDPDTSKWRIRANQGHTIKAVQDSDLLVP ITLDEAQQGLICIHGTYFRHWESIVHHGLCRMARNHIHFAAGESTDVISGMRTSAQLK IYVDVAAAIADGIPFFRSDNNVLLCAGIGDKGVLPPTYFVKVVRTKDGAQIYPN H257_18269 MKGQRRLIPQYVKVVVGTTLVAVGGLVATHIDTVPQTGRKRVMF LNREMERELGDQTFKAILAEHAGRILPPTHPKAKMVKYVGQRIANAAKQNDFKWEFVT IDSPEANAFCIPGGKVCVFTGIFKTLRNEDGLASVMGHEIAHAIARAYIVVYDDMYLA ILNELGHSAEQMSISAALFPILLLLPPETFHLARLAFNLGVNLPFSRKHELEADAIGL DLMARACFDPRASPQMFEDWEKQHIGSSLTYFSTHPPNAERYTLLREKMKGPLTYYKQ HCLDLQHHFRRHV H257_18269 MKGQRRLIPQYVKVVVGTTLVAVGGLVATHIDTVPQTGRKRVMF LNREMERELGDQTFKAILAEHAGRILPPTHPKAKMVKYVGQRIANAAKQNDFKWEFVT IDSPEANAFCIPGGKVCVFTGIFKTLRNEDGLASVMGHEIAHAIARHSAEQMSISAAL FPILLLLPPETFHLARLAFNLGVNLPFSRKHELEADAIGLDLMARACFDPRASPQMFE DWEKQHIGSSLTYFSTHPPNAERYTLLREKMKGPLTYYKQHCLDLQHHFRRHV H257_18269 MVKYVGQRIANAAKQNDFKWEFVTIDSPEANAFCIPGGKVCVFT GIFKTLRNEDGLASVMGHEIAHAIARHSAEQMSISAALFPILLLLPPETFHLARLAFN LGVNLPFSRKHELEADAIGLDLMARACFDPRASPQMFEDWEKQHIGSSLTYFSTHPPN AERYTLLREKMKGPLTYYKQHCLDLQHHFRRHV H257_18270 MVSFASPLFWQIHYLITNLSKKNFKTNVAELNQLVGLYGEDARV FLLSCLVQEIDFRDTKSHKDSLKVQLLTHELGQASTRPNFTTFLCQAIDGAATSTPIT EEFLTQFCKVIKLNLAQQITIGLSFAQSEVRSVALEAIAFLKLKLPELSMAGSKLPPD VLHSLVFLLRNEDAFQSDLAQTDSFLAGIAAVHPNEMSSLEMKPLTLGNLDHVDCMLT SAPLLDSLIDDVVAGCSFYELMEDVGYGCTSSPKVFRSLLAEAGLDHSPSIAPGQVAG MLSMLTRTYKGHQANVELGAVLLSNLPSYEGSVENLQPKLDTWNMDVIADVFQKDYPS IKWLKVQEKLDREDLVVDSPARFRVLLSSFQRISHSKFKLQTLFRPWKYKSVQLAVVK AAIQSPPEVLSFADSVNKLSPFEGADASGVPKNGVWFSLDIVETLISLSETDGYAAVK DLLDAAKTQCPDVLIANVAHATSRWNGLKEEVFCDLFGLYVAGSRANAALIVRHLYTI APKLVLFACVKVWIAAASNGPGGCLARLFSLLKSTGDAYAAVLHSNYYSLAINMAVVG ANHDVLALETWLLERLKTSLLPFATSVIAYLHRHASRAIPKTQLTPATSHMFTLESLG VIAKCLLHVVALPPYLVAEVKAIVVKTTTAQTDDKSVPPPPLPPPTPPPSSVSVEMIE EQANAYFQQIYTSEQNINDVVAMLKRFQSSKDDTERQIFVCMIHNLFDEYRFFPRYPE TELRITGVLFGKLIEHQVLPSTFLQTALRLVLESLREPTASKFFFFGACALQQFVPRL RELPAYCMNLGQIAHLQHALPEIMRQVAQVTRNLDTSTSSTTSSTSPPDGAVVATATS TTSPKKQPPPSPKIVVAPPPAAPAIMDVGHIFGAEYATLDETIDKEGDDIVEPEPSIV DRLHFIVNNMSISTLEGKLAEVRHLLSPEYFGWFAQYLVGKRIATQPNYHAVYLIFME KIHQPKLETAIYKTALRHVHRLLVSGTITTNSQQRSLLKNLGSWMGLMTLGRNKALLQ RDLDLKELLYVGYETGHLIAVTPLVAKILEGCKKSKVFKPPNPWIMGLIHAMSELYNV PDLKLNLKFEIEVLFKSFKLNVEDQVKANLLHTRGPPPRHGNPDFNIKVDKTTSAPKP STPKQPPPIASSISSTPPKTPSGPVSESTVIPNLASYVSVNPDLPLHHVNLRRLVPLA VDRAIREVITPVVERSVTIACITTREIILKDFATEMDDVKMRKAAHVMVASLSGSLAL ITAKEPLRNSIAAHLRALLPTSEPHQVDHVVNVCASENTDLGCMLIEKASSEKAMRDI DEALAPAYAARRRGARDVHTPPHVFEGSVSPPPSLPPMFLPSSTGILPLQWMVYEAFQ RIPRPTTLNTTESSSLVSGGLTVQLALERFAGLMEKFEGFVQHIVRQATAAQRDLPPL LSLPHDSDVFAILRDVRSVGQAVKPGLREEACLKIANRIVKCMYELGKGRGDELFLDI LVSSLDMLAASCDSLKKEVVRWILSMPVEDKLKLHCEILIALVRLKVVEVSEFDEYLT RNMERTGLAIEFAVYVVRQCLFLEHVTLFHQLPLTLEALERIVERHGGGTPGNKNIAI LSNLLDQAKKQPQLKKQPVPPPTAIASAPTTKGASIDQAAAFRHTVSNAMEHWVALVS DPSTNHTKMHLQFVSMLKQFGLLKDEDTMGLLFQCAVDICVDVCVKSSSTSTSSTSSK ANPLNYTVIDALTQLMVVLIKFLDPPASSPKVMTAAIAAIVHVLVHLHDHPTKPFDQR VFFRLFVNLLKELTVQEPLLDAMQLGILNTFAGALNTLQPAYVPGFVFAWTELVSHRC FMPLLLRARHQRGWQILHRLLVSLLSFLEPFLRVSSIDNPSIAALYKGTMRVVLVLLH DYPDFLSEFYPSFCDTLPPTCVQLRNVILSAFSRTMRLPDPLTPGLQVSQLPEVSVSP RLMPSWGTALAHNNLKEYLDEFLRAPSNRASVFPHDLIAKLHYQSPKEDGHSKYAVPA LNAVVLYLGKEAIADMANEPTHKFEQSASMDVFRFLAEEFDMEGRYLYLSAMANHLRY PNSDTHYFSCVLLYLFSHSTSPLVKEQITRVLLERLIANRPHPWGLLVTFIELIRNPT YKFWEQDYLNCSAQIRDVFDDVARTCMGNVPFPQRPAATQIDQSSS H257_18272 MHLLRASVVEDIMKELPDKFGVVLDGWTQMREHFIALFAVYVNA GDRKEVLLSMTPLIKDTSLTHVQGVDELQPSPETDEIVSHTAEKNVHWIRKQLAKFKR STTCITFIVADNCSVNKKMTTDMKVPLLGCASHRFNLAVQDLMKGEFADLLAKVQKVM LSCKAPNNAAELKKLTSLKPRFLQATRWSSAFEMLRRFQKLLPSLERMPKRAKLKMVV FAVICRCYDAVTSHDLAYDEARGNGNVCHHTLCLLAKIE H257_18273 MGNNAASAAKVAPQECKMDVMTTPSRQWTRSPLGQHTIDVDATL AAIAIPWHCIRLGTKLPDTHAPWVCINKSWCIGPNYMSRVIVKQIDDSRLDAMALAKE VRVMKQLSHPNVVQFVGMTCHGPYSYVVTECLENGDLRQCLQRVNLESHVLGILRDVA CGMSYLHSRSPPILHQALHSGNIHITHDFRAKIANFECSRFKPPHIHASQPWIAPEVL DASSVSGEMADVYSFALVMAEVLSQRNESLGVELVQLYTACLAKNPTDRPTFPRILDQ LTVLLI H257_18273 MGNNAASAAKVAPQECKMDVMTTPSRQWTRSPLGQHTIDVDATL AAIAIPWHCIRLGTKLPDTHAPWVCINKSWCIGPNYMSRVIVKQIDDSRLDAMALAKE VRVMKQLSHPNVVQFVGMTCHGPYSYVVTECLENGDLRQCLQRVNLESHVLGILRDVA CGMSYLHSRSPPILHQALHSGNIHITYVHASYSSHPCFQA H257_18274 MSLYLVSEGLTCFGLFSGAYQSLKVLHRQERHSQCRHVLKFWAV LVSIMLFGQYMEGFVSWVPFYYWVKCIVVGGLLLPKAKLHVVAFESAVVPAVESLDAF FAHKIKPELLRLAGLHGQWLHEAVMHLALPSLSDAALDKLEKDLSQRLADIQAAKESR SI H257_18275 MDKEQRKTTKAASRAAAAASSTAPSSNIRPEMYQWLVHESQKHQ ANLKKHTPATGTQPPNLAGLQMLGMPHLHSHAPPNVLQMQQLNQMLPLMSTYPGFLGF GGLNQPNAATTVPATAANTSTTNNPLNLFSMTAPPSKSTDTTSASSDDAVALHLLHHP MSAKTKPRTNSDVKSDLDGAASYENNDISMSSTNPLRKRRLERNRESARECRRRKRDH ILGVEERCKLLEKENMELRSQLKAGKEAMKQEEDEKYQICHELEHMITEGASEQDLAA KIDNFKEQYSDYGQARRSALSYHLHQLERLLMPTQVTKMCIWALKQDDAFWEDGEDET SLLSILTHDLGLTEEQRKNIQSHRGAIVKICDNLRLALKLLNELKHDVEEKNITLDTE MDQLQNILTPTQRAKFIVWVTNNPACMHLLNKLWTNVL H257_18276 MAWPRASAATVGLLNLVIAVLQVLAGVNGFLSIPDLVTLQLAPI FISAYAILFALPLFLYECKFKRFHRILRRQMGFIFHFYGRCAYLVFIAFMDVGIPGGL GMIIAVLIGVNLVFMLSLRCCGVPLDETRPLIAGQPHDTYNATVMSPQNVVKVAKFLS H257_18277 MSKRSANILGSTLNAVGKKTKSMDEARKSDLAVSAMLGENQGPQ SRGPKLPTHTSQAPDSAIAAFLLDMHDAIESQGFGATVEVELRLGKITSSATGQRFSA STPGDACVILHEQDMKSHGAKFVPGVKKEDYHGFHRKAMKLTMSDAYAKHDEHQNVST FPGSKRVVEEVDLNTGQTLAPYQQVKQRLGTIDLFLPHCDYDCRVAISLEFASTPVSL ESLPAPEHRRGKKRVSAVGRDVRLDMTEVHEADVVMSYEVELELQPTMVKDWLNLPED QSWKGAIGSAGVLWSTMSRHFMVQASQAYKQSWDVVDPDNTLRHAYQRHFDNPQKFPG TMPVGFARWHIPTVRDRDYFCSEKTDGVRYFLVAANNKVVLVDRSNLPFTAPGLDALS WLVPDGTVLDGEYVLHQKQQRFIFMAFDIVAVGPSHADSCVKKTFRDRLNVLFHFLSE EGPYLQGIRSHAFHTDAVLPLLRKRWTPVKEIRHLFENIKSMKLANTAKTRVRVYSDD KREHFTDGVVFCPGQSPYVSFSHQEYLKWKWSDLITIDFLAELRDGSVRYSCSGPQNK SIELDQVVVVDPKDGPKVLGLLQRSPSGHAILEFAFNADVGLWQFKHERPDKDTPNYI RTVLGSLINMAESISEEELQARLLTPGNEEGWNKRMKVKREDALKELVGHHQRK H257_18278 MLHRSARGLRTGSAVAWTRGMSSVQVNNDVRSLGAIERAVSEAT AVLRKQLHDAEVENAQLRLALTKATRMLPSEQPPPSLAQGKPLVIPAIHVPSPPLHDV KKQQPPLVHAAPTTTADDVEKAAPTEAKMKSDLYGGSTPVQFYFRLIECTRKYMLSPK LRKTLATMPLLQMSWTAKEAPAILTALILMDRHHDAKTFASRYASDAALQHRFMAQAS RLRCPDVAISILANVAEHHSNEAIDAYLYTGAISACSNGPVEFVPTAFDLFEDMAHSA HVDPTPLTYSAVLTACSRLDKWDYATRVLRTIETLPDRADVMASVIQSVGLANHHEFA FRFFKFALDAKLPLPERAIRVALSSCAKVSNRDSAVHRLDAFLHSLPTDESYSPKLYN ALISAYANLEPATSFQVYAAMHKRGLDPDIFTYNSVLLACVRARDIPRGLALFRAMPV AFDLVTICTMLQLCRQVSPHTNDMAQLATELYYDGLNAFGPSNTLYEEYLETLVEHGN MDAAVQLYAKNRRLPGFTRTSKLLNLLLRATKHDVAAAQRIFNEFANRNNVVSSVSWN HLLAAYVAAQDLGVAEQVLAKMEQLQVTTVYSYQVLMAAYFDSNEFAHCGRIFDQFQG LRFQRLHSKPHKVPQTGLLILASKSRYALKDFDGVVAMAPAFNAPRLSQLTDGCKKEL VRLAILASEQLDDWQTCVQLYGEMARAGVSDVRSYEATVRAVAKAGEFEAALDVNGGD WYRNDRHDKHSNGWFSSQDD H257_18278 MPLLQMSWTAKEAPAILTALILMDRHHDAKTFASRYASDAALQH RFMAQASRLRCPDVAISILANVAEHHSNEAIDAYLYTGAISACSNGPVEFVPTAFDLF EDMAHSAHVDPTPLTYSAVLTACSRLDKWDYATRVLRTIETLPDRADVMASVIQSVGL ANHHEFAFRFFKFALDAKLPLPERAIRVALSSCAKVSNRDSAVHRLDAFLHSLPTDES YSPKLYNALISAYANLEPATSFQVYAAMHKRGLDPDIFTYNSVLLACVRARDIPRGLA LFRAMPVAFDLVTICTMLQLCRQVSPHTNDMAQLATELYYDGLNAFGPSNTLYEEYLE TLVEHGNMDAAVQLYAKNRRLPGFTRTSKLLNLLLRATKHDVAAAQRIFNEFANRNNV VSSVSWNHLLAAYVAAQDLGVAEQVLAKMEQLQVTTVYSYQVLMAAYFDSNEFAHCGR IFDQFQGLRFQRLHSKPHKVPQTGLLILASKSRYALKDFDGVVAMAPAFNAPRLSQLT DGCKKELVRLAILASEQLDDWQTCVQLYGEMARAGVSDVRSYEATVRAVAKAGEFEAA LDVNGGDWYRNDRHDKHSNGWFSSQDD H257_18279 MSYMTSASMPRPTPLAVLPTLRVSIPIMKANDGTSLFALKTKQQ GATVPACPPPRSNPHIDSLYSMLPPSQHQRYLLKKSGASRAKDKDTRSKARPGFRKGK WTDEESKFAEQMAHYFKEGLLPLEKGTMLRMYLAEKLNCEPMRITKKFTGDECIGKQI FRPMPWSPAVEQRIQDAITDLERLEKAYLARIEEMPMHCMSTKIVRIHDDKPTKRSAK KAIQPSSPADDAVLHDHHHTHDAASLLLGFFHQTQVKDQSPSFSDDSPSSMVLSPIEE DKAVYRSKRKFSISHCVAEYEMYMQRRPRIDSFSLVSSN H257_18280 MIEQEQTTNDACAVDELMSEWFKNCEEAAIEVDEDDAMVVDEIT DMFDMLEGNEDLVLDAETEDDAAGLVDEIAELFDSLKDAATKADADVVDDVSSMFDAL VQDAKDAEDSTVVDELSRLFDAEVEKTTTTAPVHDCRVPLAATGVKIDSRIPQLGQLP AYTSYRLPLVGNFVCGPPIAVKELTREDRVGRWKEKKRNRTNMAAPKVVFESRQQVAA KRRRINGRFAGLETQFVSVSAFHSTE H257_18282 MTTAADSALPAWRMPSSQDFLKMNIIYESFDQDASNVDDVSSLF SALEQPHHHAAQDSSQQQSRKRSRSSKSSRDDDADSRHVDDISAMFSKLEAERNDSTE NNNTATAGNCLLPPPSTTYKKTSV H257_18281 MRTHDDALRQCAADMQTPTKVLSSVSLVLGIAATFFRMWKYRQS SIPTTSSIMTLTCAFASVTSLAMLLNSWGNDSSGGDPSSYCRVKGLLFHATATCMLWQ WVFHAAALHMVLVRKMSQEHLSELLSSYVVVLVVPSLFVSVALSKNDFGLDAAMSFCW IPHGMHRLAYFYSQLVVGILIFVLVMPSVLVRIIIQVEARPLLMDAAGVLYLGLSFLV LSWGALNAVSPIRTTVYSSCALHHLLWSSSGTVVSLLALLPSLLHDNSSILTKVERHD AIHPPLPSSSSAPTSRVPSSTTTPHVYLVSSGGIPLHKSSPTIIKLVSSSSGRAPSSR FPDIHHHHYTTAAAALPPSSFPSRLPSHPYHHDLCQSACNSVCGTALSVPPDTLARRD HAAAMDAYTHMLLGYVREQLQDEGYDVSSRHMSTFLSTLERSILPTSTDIHHHQSMVV TDSKVKLNQMLEEYRRDNHASFVHGDGDENGDGDRKMGIDMDVDQRLNQAMERLHREL PSTRGMAAVDVDVKVAKSVFDQHGSSSHQGSMPPVCTNGSFAGSVDLDMLLAAAKAKY AATSSHLDDDEYDDESDVDDEEEDEDDLDAQMEAARARWRAAEERAQ H257_18283 MNLTALDLRSFVPAADFGKSKAFYTALGFDCTWSSDNLAVFRYG PSLSFYLQAFNEQAFIDNYMMFLSVENVADWFVVTKTVTDKFQTRLGEVRDQPWGQRD FTFQDPCGVCWRVAQTMEGS H257_18284 MGQCSSKQPPSVDDAAQQQHHHPPQLPPATPLRPTITVGAHATM RPSSSSDVLNDIDSDDDPAVSTTSTPDAADNDVAAEPDKELSPTALIKPNAPPPQRLR REPSMNRPVRSSNVRRMFGQLKNFQREVHDVFDTSPDKFVTFHSFEYDDLRAYENDHW IDAADITVEALLPSSSMIAERGRLDGGQTVFLRRLNKNASSYKLSRSRRLLVAEIQLT SRLRHPNIVQFLGFSITLAAGLVCVSEYISGYSLQRMLSLPNDGRMTWADLNLAYALQ LSSALVYMHALSPQVLHGNLKSDHLYIDSSSNELKVSGFGFSMQSPSTHKSQNVWNAP EVLQGLPMTQKVDVYSLGIVLMELDTRRVPFFQEQATMNFHDLLLRITTGTIRPHLSP TSPAAIGRIIHECIQYPPSKRPSADWVLSQLHQVHTEIHNVPCTVDDDVVH H257_18285 MAASSRFKDTLPSYYSQSARRKSLDAPSTTPPPSLVNSAADQTA PFLVIGTNSPPPPTHSIVIKSNPVTNQPALPKRPRRPTRPSLSDQLATIGLQADSLVK SLTKSNSNPPSSTSFTLPTLSLVVGRVDCKFPSPATFSKSECQFQFLLGTRDIAMHMY YHDMADVVFDKRALTLRFKIGHPLAEFGADYDFRNRNHAIVIGLASVSDWTKAKAIVL ANSSTSSR H257_18286 MTNFALMISAIVAATVVALEANPAALKSEFPPSPAMGSSSGSLT GPSPKSPFSDTSSNHQPKGQSCDQVSVLNDAVYCIKGPACSGRGAMPTAVRCPQAGAT AVDGCTPSLRSFDGNWGLCVAPVSSVCRKLPKSDTWGCVWP H257_18287 MVDKVAVYVQMLKYGQVVHIFATHAQAWAHKAAMICRAKHIQLL AAWIHPEELPPDEAVLVAGDVNIDQFGKRSAEFDWMAATLQVETPSTVPEAPHFSFDP VTNVLASSGMSSGGKVERLEIMLWHPRDIVSPRPAGRQCCL H257_18288 MHRFVLSAGLAGVVHARIEWMTKYNLSPDTTPRDLLPASSEDTT PSLVREDTYEVCKWRASGDTNQFKYDLTVETSLAPADPMQPTGDKFVETADKCFPNFF ADKASVYYPYPRSSFNYDLNTPWTPSAADKPRVDVDVSYDVGDTNTFVKVSPDPVDYK TFETDGPSILYKAIPNKRGTYNIVVNAFDFGAKVSKECATCVAVNDLYRPKGDKAKCP VKTAPVDNDTSQVFSKDTVNAYNEAVDKLLLYQSSATNNGCSDLRCDTVYLTEKTGTT DGTPSKVEDKSFDAVATVNAAKTALQAQWKSCMSKPFSDAEWTALKINPLGGDATKPA FQTCQRSCALGAELKEWFTEYTCDAPPPTPLAASRKTCVGDATEKCEYDQTLTFPSGD SLVKSVSVKLKVGPDSKSSFGGLIQDPATVLSAPYKSPYESTYNELHFDSQCVLPVNP TADQTAKYNAFCNFNVKLVDLFTFSATIADDEAVAGLFTLPPTTPPTSPPAKRNVDEI VYWTVKTGEDGTPQLVAPDTVLILTQFQTKWTFEAFTACGKVQAAVTWTAYVHRQEQL YVTDWLNSLFASRDKGCNVQDADFGVVQFSYDPTKTPFKVNENPPTPAVMYLDFDQEG TTITTPLSDGLKDYTPHGQDQTTEVLGENPFIEASEVAAGSPPVDQDNVSSPVVVVGD QPTMLLSSTTDVEVLIKWKLLGLTCSWQYTDTTGASDPWISTATSTVAQEATSTDFAI QLENIDITRFKALCELKFQSVASTLTNTLSPVATDELKVQNCDVPRFNVGQPSDQGRF IKDTCDKTTWKSATWQPAPFQACGGSLVFADDSAKKTVLSTPPIDLTCCNAASLEPQF TCGAVDGTHTKWCNAVVATLYIQVEYGSQPDQPPQNVGYGKTAVRVGLQGQVSAFTIA KGYELVGIDKDGKTSTWIGDVKSLLQNDWDDRIVAVVLQKTSPTNAVQLFQQPNYDYP GPVYGATAGAQLVDAAVFLDGFVGSFHLQQGFQLEIVDTNGGYAKFTADNPTLNTNVK SFTVSKATPPTASLEYLGKGKSSVALGTVTMMTVVVVVAVVVMGKRRQQASTVDVEEG YVALMH H257_18289 MATTAASPYHVQTTTAAAAPPGVGVKFILAGCSNLSAAFITNPI DVLKTRMQLEGELPPHAPRRYGGFVAGGHTILRSEGWRGFYKGLTASLMRDGFYSGIR LGAYEPVKELLGATDPSTTPLYTKITAGAITGAFGSALANPTDLVKVRMQGEGTRYAS TRQAFVDIWTHEGTRGLWKGVGPTVKRAALLTATQIPSYDHSKHLLINHDVLEEGVLL HFICSMFAGFMAATVTSPVDVIKTRIMHQSTQVYSGSVDAFQKIVRSEGIAGLYKGWF PNWMRLGPHTMITLMIFEELRKIAGLPPI H257_18289 MATTAASPYHVQTTTAAAAPPGVGVKFILAGCSNLSAAFITNPI DVLKTRMQLEGELPPHAPRRYGGFVAGGHTILRSEGWRGFYKGLTASLMRDGFYSGIR LGAYEPVKELLGATDPSTTPLYTKITAGAITGAFGSALANPTDLVKVRMQGEGTRYAS TRQAFVDIWTHEGTRGLWKGVGPTVKRAALLTATQIPSYDHSKHLLINHDVLEEGVLL HFICSMFAGFMAATVTSPGT H257_18290 MTTSSHVYELFGGRTLHVAYYTDVKNSASLLHKILSNELNVALI NADTVVSLFQIHAAASRALLSVQNHSMTTNSLHSELVFNLSGTRNVTDSLRRFGISNQ ATQVLVCVFDDATALDTVGIDGVLKPVTSIENHAHLTPEHIQVLKKHYKIQDLELQVT TLSDAIVSRIATKNVNK H257_18291 MESLAVQRQIRENASYLQDYFSDMSAWEKSMAKKEQQLQGSKRS AAPVRRAVAMSVRGSDGSVSIQHPLNATIDTPSKPTKAPSQHVYDKGYKKWDSMLL H257_18292 MMHCLYGYFFLGIKKSHLAVIYRKDEKIIANWVQRYNDTETYSR KNAPQTRTFKQYQKDWLIAYYQGLSFLDEAKKAFLRKSIVHQHFPCFDDPPRVQNDME SDGATSNAKPISKTYAGS H257_18294 MTSVEEALATLRSMFEVYDEDTLLAVLEANEGHMERTVDVLLAM QDDNVAAASDTAAASGTQPPSLPPAAPFETGRVASAQNLIRSRGSLPDDFLRVPTGAD QEAQDRMLAEMLQNEIFRDEIQADSDITTYIGADGRRHQAQPPEKSAYDVANETMVAV GERLSDISIAAKNKISQMYARFQASRHASDPTHRPLMALSDDEEDDRRPHLATSGDLS RRRPSHASASSPRPATASQTSSHSKHD H257_18294 MTSVEEALATLRSMFEVYDEDTLLAVLEANEGHMERTVDVLLAM QDDNVAAASDTAAASGTQPPSLPPAAPFETGRVASAQNLIRSRGSLPDDFLRVPTGAD QEAQDRMLAEMLQNEIFRDEIQADSDITTYIGADGRRHQAQPPEKSAYDVANETMVAV GERLSDISIAAKNKISQMYARFQASRHASDPT H257_18294 MTSVEEALATLRSMFEVYDEDTLLAVLEANEGHMERTVDVLLAM QDDNVAAASDTAAASGTQPPSLPPAAPFETGRVASAQNLIRSRGSLPDDFLRVPTGAD QEAQDRMLAEMLQNEIFRDEIQADSDITTYIGADGRRHQAQPPEKSAYDVANETMVAV GERLSDISIGMSFHRSPFHTYAYL H257_18294 MTSVEEALATLRSMFEVYDEDTLLAVLEANEGHMERTVDVLLAM QDDNVAAASDTAAASGTQPPSLPPAAPFETGRVASAQNLIRSRGSLPDDFLRVPTGAD QEAQDRMLAEMLQNEIFRDEIQADSDITTYIGADGRRHQAQPPEKSAYDVANETMVAV GERLSDISIGMSFHRSPFHTYAYL H257_18295 MQVKVASDAQQEHADKLTHSEWGAPYLTMEQYFEREKDLYATEF AEAAMTAYVLVPTTAPNTLDFLAYLEVFKRPCLYTGTRTYGYSIDAVFTPVHHRRKGY AAMLLQRIVELFHDHDGVVISNLYSDIGPRFYSDKGWKVHSADELVLPSTHVIPPNEP PAVHLLPVESALDRVCRDDLMYMEQATKTGPPSVYFLLTPSLVHWFQVRSHFYARTKT AFPSPPRSLGVYLLDHKGVATEYMVWTHDFEYSELTILRCRVSEAHGRAFVRAAVAQA AEWSLASVCLWNPERWLAAAFSEFVTTRTDDLPSLLVREGVDDKHHVTWFGNEKYCWV H257_18296 MAKYDALFMGVAQQEGSIAGVLNAFFDFLHRNTDFYVVSDNPQR KMGFAPGQAQALLLKSFNQFPVKPLEGVAPSSSSHQRPVTAPPAPTAAPAVSTSVTAK PIPKNTQPATTPEGKQIPVGNGGSTATYTWTQSLRDVTVHVDVPVGTKSKDVTVTFTH TSVSAGLKGQPPLLHGSFPYKIKLEDTVWSLDSSKVLLLSIEKTTETWWKSVVEGDAE IDTSQVDSTQRIDDYDPETQGAIRKIMHEQRHGPRQPITSGDNQPTMSFPTSLDLE H257_18297 MALAIKGIAYDYDAMDILARSNERDDYHIVNMSLVYLDETRPTP SLLLFNVADRALKVSDDDAVWGCRRCTLPPQVLFSQQYCTTSTPPS H257_18298 MHASVIDSAQPLFDIPPDENPGDDVYDVEFDDSDQLSTPIKQQQ VTMTTTPHTSCVSGIEAQFMARGLALDDLSFLEPDICSLDDWHAEVDAAMVAPSKSAP TRELDKELVSLLVEMKPKGHFMAAAMYYMTHPKQWKSFVRDPIVLESCRCLGIAMETL QPRSLESFRRDHAEVVPDMVANVRHQAHQVERQECIALILQTQPLLQAKHATVMPSPT PLNSTPKARKPKQTHIRPLPIQHEVPTKVRAENDRMRVIREAKQLENAQRWKDTKDSI RKAATRRSDALQRTELGFKKKEFEAQVKRKGRDAKPEHDQRRKLIIQSVIKHKTPVEL KPRSDQEMRLVHEMHRIELEEHIHRADRLKHAQEYQKAYYLASHGH H257_18299 MENSRISSEIDPHVHEEGKKEAIWSSFKLRCNACWEVLCGSNGP QTCYRTSCSHIFCEKDAYKHFGDGDLTCPACQEDLSQRPGSICEMTVKSATDPRKLEV IWEEMLADPTSCLGQIQGAFAFLLFQHAQENFRQERLRNALVEEHNGYQQQHGERYLQ MKSYTEKLEEELQEFKNKVTTLTTSNDDLREAYKDKSRKCRNWEKMVKALKTQNQGPG QRVMSAQPSGRLPLGPSSPKFANTMASVASSKPMPRPPYASNNGTQRPGLQALKAPDF EAAAAAFTCQLPCHTLGQTLARARRDTL H257_18300 MSCAHEHGDHDHSHDHAHSHEVEDAEGDSLFQYIDTSKVRVLNA LDEDHRTHPFKSAALKKSKDTFLESNEDDPELILYIPFTEAVSIKSICISGGEDGLHP KSVKLFSNREDIDFSNATELPPLQKLDLVEDSDAHINYPLHLRKFQGVSSITLFFDAS FGGDQTRVYYIGLKGESKKWRHGVVECVYESRPQFSDHKIPGAAMFDSTSKDAA H257_18301 MAKDKKVRKFAAVKRMISPKDTRIKSVQAVAAKKDLKKKEKEAP RQIDQIPSNLFFKYNAELGPPYNILVDTNFINFSIKNKLEVVSAMMDCLLAKCIPCIT DCVMAELEKLGHKYRVALRLAKDPRFERLPCTHKGTYADDCLLHRIQSSRCYIVATCD RELKRRIRKVPGVPIMYIAQRKYAIERMPEANAAKIK H257_18302 MKRQHPASNGKGKWTDNKKKKTVQFSESKHDDHHGDGSADDDDD DDEVNGALDSDDETKSDDGDDSKKRQIPRQHKRQEGEEEDRPDMPQYADEGVRMMAFN LKEDREEGHFDESGNFVWAKEEQPMQEDAWLENVSAQEMEGAERARILRQEARTDDEE TWTERRATSVFMEVLEEGETVLKALKRLGKKKKGSKGPEQTADMKREFDELTEATDFL MRQGKADVYHTPKEQLVPQAPPPPPVLWEYKSQDGLIQGPFPSSNFVEWQAQGYFRGD SAVQMRRYEATDVVAKESSAAKDLEDDFDDDDEKEEDGDGRWVSSDTIDFRRYNR H257_18303 MVKQRIGRPYIQRRRQMGCWSATTQALAESGRQAPDDGKKWRHH QTWCRADDVTADTTLEVLAPYFDDRSSISTDRSSCLSWEDESEDDSTTIVLDGDVEIH CERDHYPPQRRQRDAAMFETSVLGLHTCSNSVLLIFNTLRHLHHRIYDDRCLADVRPC FVQLELARAASSVEVMKRTMLDLPSTLLDLLLDMIDDTWQDVLGLHHYNKRGEVHYAL L H257_18304 MRAIGTKDEMDVAVCARIIATQFFTLHHMLFQHIWNCTCLKCPC SRLYRPTVPLCLACEIALTELPMFFVHRVSVPGTSTLLQPRRHATTVDEPPSFIATTP PSSFVASTPIPSPPPVVVVQTDTSTPSVQASPPVVTKLSRRSSAASLSKPTSHTVTRR ASASLDVSPYLHEDDEPNVDTDTDERMSAFTTCSSFSSWDSATNDLMGDMEIFCARDS YSAESRLSDLVKLEQILVSPPRIRARTLSWSPHATLAETTSSVASNILRRRRSDLSGS EQKLVGVLDEFLECMKRVYDDRCLKVMPPRRVEVDLESMLHTIETARRQSRADAATME AADEMVRMIHHVMEDVRGLHHFGHKGEVEYVTL H257_18305 MPQDELKAESLRHGSLRYVLEVAPSMLRESDVVSDILIERIRSQ EDSEEAVNAILRLMSLHLQSNAHITEQLVELLFTSDYRLCIIHHLPKLTYQSKECTAL VLHAYRDLLESDSALVVPIMGSLADMPLTPDQQNSVVDMTHTLMPSIDETDIPVVVRG MLAMLTSSNGDALIGSIRAQCHQLSTHTLHLVVEVMGPFLRQGSISLKFILRAIRSAD TLTAIDGIWLVLLHAQDPTTAWTTLTRISRKCTAVWLTATADLALQASHTLVHPFMQL CMLVVQCGFDKSTAAGLRARLVQSGVRAIAYVMQHTRSSLQHDVLVCLLTLTSHSHKL AASSKQQALRWHVPRAAAICIADGVTSLPPSSGHVILDTIYTLSATTDVAGLSCLHVI DTLCFALVQLVARDPTALYALVLLTIQKHILATSSASMFQVTAMLLASHLSYAKQLQP LDDRAITTWMHRLLHTAPLQVVPFICAYLSVLHHREQLDDDENAHVVTSGVRRPSGLS LVVPALVRRGVVVVVGSSSFIQIDRFAGLHASDSGDVPMDALHALHDMVHCLVVHVLH ANKDDGVAALQSLLVSNDDDNKSVGTRQCHVIMAIGVCNGVHHHMMTISSSQALGEVV AAQFQAAYDLAMSMDTMEMLVMLRRDLVLELTHALPAPALHVLYLMLHPPPSPPSSPS SHWQLYGTHTSLDDMTVYTPHVSYWTELVIEYGHHARQPANAETLVQLYAILRLLVEY PCHTFVTPAGCNDASPTRTGLFDLLYDQATEQLQDAQAIVGLLDLTAAVAGDSAVLRH RTARLAQSVACHVFPDAALELATWKPYIGRPIAATQVSTNHNSSRRRRHCGALELLQP AVVPLTSHTYYVHHALVTAYVLHPSPSVYLKEILDALTDMTTTTDGGCHVTYRSLTRH TFRLWFAAFWQCLLHHIPHNVVVAIGSSSSNKQHPFAEVVVGFTLVADSFAMVPVALD VDASLGSKTMALMLKACQVLCEQIVTCVDRCLKWRQQTAVEDARGDVQRLSPVLLSME MALSGMETVVEDMQEMALVQWKAAARPELTKLQTLAKDKGGGRALVLPPGQVKFIPQV LRWIQRARLSLAKTQEQYSIPVGSDFELAELVQWPRGGFDWVGHASGRDGEEEDADRW RRMDDQYEEEEEKEQDERDGGHLAEDERDDGHLAEVERDDGHLDDDTFYASLARTTTV QALQTPQKQGPTDMQDNDTWGFPSIVVDFKATKRQRRQPY H257_18305 MPQDELKAESLRHGSLRYVLEVAPSMLRESDVVSDILIERIRSQ EDSEEAVNAILRLMSLHLQSNAHITEQLVELLFTSDYRLCIIHHLPKLTYQSKECTAL VLHAYRDLLESDSALVVPIMGSLADMPLTPDQQNSVVDMTHTLMPSIDETDIPVVVRG MLAMLTSSNGDALIGSIRAQCHQLSTHTLHLVVEVMGPFLRQGSISLKFILRAIRSAD TLTAIDGIWLVLLHAQDPTTAWTTLTRISRKCTAVWLTATADLALQASHTLVHPFMQL CMLVVQCGFDKSTAAGLRARLVQSGVRAIAYVMQHTRSSLQHDVLVCLLTLTSHSHKL AASSKQQALRWHVPRAAAICIADGVTSLPPSSGHVILDTIYTLSATTDVAGLSCLHVI DTLCFALVQLVARDPTALYALVLLTIQKHILATSSASMFQVTAMLLASHLSYAKQLQP LDDRAITTWMHRLLHTAPLQVVPFICAYLSVLHHREQLDDDENAHVVTSGVRRPSGLS LVVPALVRRGVVVVVGSSSFIQIDRFAGLHASDSGDVPMDALHALHDMVHCLVVHVLH ANKDDGVAALQSLLVSNDDDNKSVGTRQCHVIMAIGVCNGVHHHMMTISSSQALGEVV AAQFQAAYDLAMSMDTMEMLVMLRRDLVLELTHALPAPALHVLYLMLHPPPSPPSSPS SHWQLYGTHTSLDDMTVYTPHVSYWTELVIEYGHHARQPANAETLVQLYAILRLLVEY PCHTFVTPAGCNDASPTRTGLFDLLYDQATEQLQDAQAIVGLLDLTAAVAGDSAVLRH RTARLAQSVACHVFPDAALELATWKPYIGRPIAATQVSTNHNSSRRRRHCGALELLQP AVVPLTSHTYYVHHALVTAYVLHPSPSVYLKEILDALTDMTTTTDGGCHVTYRSLTRH TFRLWFAAFWQCLLHHIPHNVVVAIGSSSSNKQHPFAEVVVGFTLVADSFAMVPVALD VDASLGSKTNIVGRMALMLKACQVLCEQIVTCVDRCLKWRQQTAVEDARGDVQRLSPV LLSMEMALSGMETVVEDMQEMALVQWKAAARPELTKLQTLAKDKGGGRALVLPPGQVK FIPQVLRWIQRARLSLAKTQEQYSIPVGSDFELAELVQWPRGGFDWVGHASGRDGEEE DADRWRRMDDQYEEEEEKEQDERDGGHLAEDERDDGHLAEVERDDGHLDDDTFYASLA RTTTVQALQTPQKQGPTDMQDNDTWGFPSIVVDFKATKRQRRQPY H257_18306 MFKALADSWKATSAHKEKGPIVSEPKSLPPSTAKTLANLSNAPQ SVWNSLKRVVPDRSVLANATSQLGKTRAAAVARRGIDVAQKTVVDATSNGAKFLQDKS QRGAQAVQKAAVDAAAKGVQALQSTTASAAATAKDSVSYVHRRTTKKAVDAGAALVDS SSAAFQQTKNILHASTENLRDPGKAARRLRNRVVLLVLSGVFVYGFASALPSALAKYA VERSKQQPPPRAHSSSTIDAR H257_18307 MSATTEALTRKLGELHEEVASLKARVVEAVRIFHGSSANASSSM SIDEAMQFAAKWCRQGEGPSEVQIRMRELEAVLTDTVAHLQPDTPHHDNQLSIATKAR LQTQIQQSHEMLQLLHVLSQLDVLFQHFDAQVHANAFEVAAADVSAMDRLVRDSPSSP VMDMMRVQARMRQNQLRCLLDAEVDAVCVTSPNKLELFPPQNLWASLQAANRLAFHLD ALAAAMFRHILHPLVADPTLVPQIHANTLTLLSKPPSTSSSSAPPLVPATAPSSSLTA GSFAKVLQHVLSVFQFIHAHWTDQSLLGNVLWNTHLSTPLLVLFVHNLPSSVSDLAAF KTTVQPLLHGFDASMHTIGWTTVSASTFLDHLDTRYASEKRRRVLVDVRQSMHKDYMD SVVASLPASHHRQSSSKKSGQSHAPNDNDNNNLRVSLCASKLWSQMEALLDEAAHEDV GQGVGMALVHTARDAVSLFRMGMASLVKEALEHDPRVVMLVHNDCLFLTHHMLPAVYR RKAGLPPGVSLIDLVPPLREFGEHVVMHFAKTHTHKMLQSLETSPPWTDVHEDGAFNQ AETCLKVVLFQLQRIAQQWKDGGLHAYAAVVGRMLAPLLNHLLTSLLSTPPTLKAVHS VHHLFQLYLDAASDLFETAALAELHVHNWTKFALVTHMMEDTVVGVQDKWVTGVLKPV GAADVATFLKLVFPESPQRHHALSIVLRK H257_18307 MSATTEALTRKLGELHEEVASLKARVVEAVRIFHGSSANASSSM SIDEAMQFAAKWCRQGEGPSEVQIRMRELEAVLTDTVAHLQPDTPHHDNQLQLSIATK ARLQTQIQQSHEMLQLLHVLSQLDVLFQHFDAQVHANAFEVAAADVSAMDRLVRDSPS SPVMDMMRVQARMRQNQLRCLLDAEVDAVCVTSPNKLELFPPQNLWASLQAANRLAFH LDALAAAMFRHILHPLVADPTLVPQIHANTLTLLSKPPSTSSSSAPPLVPATAPSSSL TAGSFAKVLQHVLSVFQFIHAHWTDQSLLGNVLWNTHLSTPLLVLFVHNLPSSVSDLA AFKTTVQPLLHGFDASMHTIGWTTVSASTFLDHLDTRYASEKRRRVLVDVRQSMHKDY MDSVVASLPASHHRQSSSKKSGQSHAPNDNDNNNLRVSLCASKLWSQMEALLDEAAHE DVGQGVGMALVHTARDAVSLFRMGMASLVKEALEHDPRVVMLVHNDCLFLTHHMLPAV YRRKAGLPPGVSLIDLVPPLREFGEHVVMHFAKTHTHKMLQSLETSPPWTDVHEDGAF NQAETCLKVVLFQLQRIAQQWKDGGLHAYAAVVGRMLAPLLNHLLTSLLSTPPTLKAV HSVHHLFQLYLDAASDLFETAALAELHVHNWTKFALVTHMMEDTVVGVQDKWVTGVLK PVGAADVATFLKLVFPESPQRHHALSIVLRK H257_18307 MSATTEALTRKLGELHEEVASLKARVVEAVRIFHGSSANASSSM SIDEAMQFAAKWCRQGEGPSEVQIRMRELEAVLTDTVAHLQPDTPHHDNQLQLSIATK ARLQTQIQQSHEMLQLLHVLSQLDVLFQHFDAQVHANAFEVAAADVSAMDRLVRDSPS SPVMDMMRVQARMRQNQLRCLLDAEVDAVCVTSPNKLELFPPQNLWASLQAANRLAFH LDALAAAMFRHILHPLVADPTLVPQIHANTLTLLSKPPSTSSSSAPPLVPATAPSSSL TAGSFAKVLQHVLSVFQFIHAHWTDQSLLGNVLWNTHLSTPLLVLFVHNLPSSVSDLA AFKTTVQPLLHGFDASMHTIGWTTVSASTFLDHLDTRYASEKRRRVLVDVRQSMHKDY MDSVVASLPASHHRQSSSKKSGQSHAPNDNDNNNLRVSLCASKLWSQMEALLDEAAHE DVGQGVGMALVHTARDAVSLFRMGMASLVKEALEHDPRVVMLVHNDCLFLTHHMLPAV YRRKAGLPPGVSLIDLVPPLREFGEHVVMHFAKTHTHKMLQSLETSPVYVNIWTTFVL NRQSYRLIR H257_18308 MMASDDDGMNNWWLCMGISLLVYVDVQVNAVLRPYPALFIVTPI TCIVLMVMGHLLARFPRRRACFAMSVMCMMAVVLVVHTVVHASFRYSLMQSCCNRRWS TKQQPHQATFNPMSCAMTMLDCSYSILAGVLHLGSLFLCLHGMVRASVFHVEMSIHHF HLQAAFDTYMDRLCYVHYICVIVPHRSTTLDEATL H257_18309 MSAPSGRSNMSGFSSRMDGPLKHSDLVPPTKKRRLSPDHTVMRR QSIHDLVAMTVVPQIEHIKDPRSRGLLFLVRNSGETRRDFTLRNLEQSNAGHLVDMFM VFLSLFWVAVYIYVNKDVANPKLPTEVQLLSHTLGVVFLLDYMVRLYASPLRMSHFWS FFPLVDFISLVPMVIEIVVGNDMLTHISKQTDSLRQIASMLQAAKTIRILRAYRALKF IKSTVNRQMTATALTVVCIIIAMAGILQILDQCSPACDSFMFCSYNFTAYDCPRNDMC FHADVAHNCCKCQELSFFDWTYFVVVSISTLGYGDISPRGRLARLATSTMMLMTFVLV PIQVNRLVATISTHSGYTSSYKEHRTHTHGIITAGGDISAGTLANFLRQFFHPDNPNW NEKIVILHPTAPSNDVQRVIHLYEPRVQYIVGSAMNDLDLDRAMISKAAVCYVLVNKD TTRPSNEDQSCTLLTAAFRGMNANVPVYSQVFMSQNISHCVLSGATGVICVEKLKLGV LGLNCTVWGLSTLLSNLLDTVSPSVTQLYPKDSWETSYLKGYLHEIHRVDIPRSFSGL TYRELILFLFGTIQVVPIAMLTDTGVTFAPMDFKLGATADPTICCTLYILASNVSVAD QIAEYPLEQIRMFRQTLRKQERYVAEKIQEAFEIAAVTAAAAVAPSPTTRMLDAAGPA ITPNALKSSSNLPVLQDDDDAKQPTDAAVLSALVKGLHGAKHVFGQASPFKEFLAKVL PDDLNDHVVIVGLPASLGDIIVPLRQFNARNQTGRLQVIVFIAPFVMSEHHFHSLGDS TAVFFVQGSPLSSFDLHRIHIDTAAAIIILAGSGSKRKYLDENMVDADAITTVRYINE ACSASKPPNLIVELVKATNVKFMSSIVKRRTNQNRRRHSDGRHTRMFGPVVSLKGIGG ADVSKPGRNSEEVGISLSNKDDSDGKIDVEHICELSYASGRVYVSGMIDSLMSECYQK PNIIPAVNLLMFGSPADTDTQRLFQVKTPKSLHGKTFGECFRKVLALNLICIGCLHSG TDKTPPYVHTNPPADMVIVHTDYIYVIGKPCAELPI H257_18310 MVNQLPQSCRFVVYAHLDARDLFRLRGVCKTTRDTIEHPYVWKR MFAADLFTLVTESNQGQPTAYQSIVTSIRQACGFSFADEMKWSLSPMRWTTWPLMYRA CAKKLHYLRRDVTRLVAELDEIQSLRRERGHLKDMTQVRGKRTGTEMRRNQLSCVKYI NKSTRRLWVADHSVPTLVTSKSDLLQRLQTVDTALKDSTATAFTLRTQLRKEHRKVLG LIAAARAQVRTVLVHECQDSVAPVAV H257_18311 MAVTIMSSRLFSSVTRAIFARRPPALDHVCIVCKDVRKSVQFYE ALLGAEHLYKDDKDFGFDPAFLRVGSAQVALLPLEPTQAPIQDHNGAHFAITCTDEAD FLAIKASLSDDLKRAGGPIAAVDFFDYGRQWSLFFHDLDRNVVEVTHWRPS H257_18312 MDGCIFCDPMTADGILFEDDVVMAFRNVHPRAAIHVLVVPKQHI NNTSELGETHMSLVQYMVKVGKMVLAQQCQVLFTDQVLRHSHVFGFHQFPFNSVNHLH LHCIVPPYTRWWHRLWYTDSCVVGHFISADSLLEHLRLR H257_18313 MLGPRCVWMVFLVAFVHVTAGIDTANKTLSCIAQCAQTCDCPIS SNGDVCSNRGACIGGTCRCTAGFGSTNTSVGVCDVEYASLNSSTYLFVLITSCVCGLL AYNASLLYLYYVNDALFQKSRPAFAHVMNVGTVAIAISIGVAASIVADPLSCSVLWCV ADLSFVLVFGTIMLRLYRNMCVLLSSSKGPPIKFPDKWVFALLGGLLAVEVGLLVGIW AWHGFDVDDTPPWLNADGVTFTKFQGCYLRSSTSGIVLIVPKGANLMAILALALQLRR NKTEERDMTKLASAIGLTLGLWAIGLSVYVTAQQAYGDMFYLCLVFLLLLPSAMVVGV TTYPKWVEIHRQTSAASAAVRPSTKADLNLFLHHIDWHDVSQVEDAERQLNEYLAHRD PLHGLDMGTVLMLLSDQVRHRGIRRLAVGQLHHADLNSVALYLPQLVQALKYDLDGHD VATSPFVAVLLRCAMLSVEIAHAFHWSVVVELQNQVAVVVDDMEVQYQDRPTKPFFLA LHAHFVDTLAGTEHGDLVRHACTMVTFLSDVYAQMTQQNHRVDATSMTSQLREALAYP DAKFASLHPLYPSIWVQGFDPAQSFVFKSSARPMKVQLVVDHTAQLEHITVDMTEQTR RQPATDASHVLPSLYTVEVTFAGVRGLVVPTFDQCCFRVDVQGHVQSCDVDANGCGQV QLEVGTYLPDVVEVTLVDTTSITSPSKSSRNLTRRPTGVLEIPLPTMEATPVERHIPG SDVYVTVGVEVVDTSSNTSHVAEDAKREGLKRAVTQKLQCTVASVSPGIIFKRGDDLR QDALVLQLLAVIDNICTIHGPLRLHFTLYRVLATSVNEGITEFVPDSCPLSQILRENH HSILSFLQRHQFDAGAVNHVNPVAMDIFVKSVAGYCVATYVLGVGDRHLDNLMLKPSG HFFHIDFGFLFGNDPKPLPPPFRLTPEMVFAMGGLSGEPFQRCIQYACECFNLLRKHA HVLMAVLQLTKDCGLPHMQTSMSDAKDAAIRDVEKRLVLHVSSANATQFMTQLMVDSQ NTPTTARLGLLERIHQLAVALK H257_18314 MTDVSAAATTISMDPQGAVAVTPLPQDASGPLGADVTSLKDAAA GHDTPIPTELVSPAVLSTLEGSSDDIPVEKMSHMVEEIKDILTLSPSKIPVRKAAASP SKFELDMEHTPPPAPMGTEVSPAKRKSMSPSQKDDMANRLYSKAMELKEKRDNLYRQP KEECTFKPTINRTPSKREDATEKDRFLALHEQAEDMARRKEELKQNLEAQFTYKPEIS NLSRRLSARHDTDVSKSTSRVEELYKNHQEIEAKREEKKKELEKKDAVECTFQPKINK KTKSPPKQQPLYDADLQKQKRLEKERKKAELEMAECSFKPHTTATAKGKAAGGDKSFF DRLHEADKKKNERLDALRKAKEDKLVQESTFRPAINEPKHHPNAAKAANKPDKVPFHE RLFNKELQQTQAVEREQKKLDLESQVCTFKPEILATPTTAGLERRGSIFDRLYDETKK KQEMLDLAEQEKLKKEMEECTFKPQVLVDPAIILKDLPTEPVWERLSNDKKQILEDRE KRKEQLEQKECTFKPNIQGSAAESNRRMSLRRPSSPTLQRSPSKCVDKPVDAAEVQGV EGQVEPMTSVTGADNSESKAILNNYDNWAASLEEKMLQLQ H257_18315 MQHKAAAVERQPSGTNVLLPSATTPPDCIICRACKQPVHLDDIG DHDCKTSTSAPPPSRPGASKEPNASTLASRPSLNGLIPSLQPPAVVVSAPEQPAKPSG VMKPPLLTRKSSASSNQTADIQAHVTQVTVSQQGFATYRISSSLWPNGPQFTVDRRYR DFYAFATLLQLMLSPSSTSPHAMWVKLPPKTYCSRNTLTDGFLLRRKAGLEGFLSTAI DMLLNPKTTAGPAHKRTLTQMHVLREFLGIPAARDVHGAVRDLKRLGLTSDGWTRVQT LGVQDHVFEQVVDGFNTIKRVATLPFPARAIFDLLVLPPHQVTAFNPNVVGGSILRKE STSMWVEHVQLKTLWLHSGVDCVNVKSWRLEPNGSIVVVSIPAASADCPPQVTATAQG VLTGWILAPVTTPEDDSTVVTMVTQMDLRRHLGPNSTWNKLALRNYAMDITYIHKHLE KSFEKAYYDAVGPLVSADELHSLTLHPQDMQKPVVAGNKDPKVFLLCQQIEPQFCLLI HKNTNRNALILKLHQVSTDGGDDQVHAKDPLVGEWVMFEKTKNPRQPMSTLERNTTYQ WTAKHLGQGVHAISFGMLKGRTFQLRNQQGYSLYGTVNGKPNVVLKRFYLTFAPSMVG LGQLDKVELVGDTETEVVFVR H257_18316 MAESDTAYVLVPASEAQQLEADKYTYSSWGAPLLTLDEYLDREH ALCASAFAKESLTGYVLVPATTPSTVDILCYVEVFKRPVWYNGARLYGYSVGSVYTPE QHRKKGYASIMLRLVLAYMRADQQQHQPDNASSVNLVISNLYSDIGPSFYASKGWAVH ASEQLVLPSTHAMLSTSRPPSVTAVDSLDVLAQVCQADVTRMQQLTCSSAVYFELTPS VVLWFHARAAYYARTKTSFPSPPTSHGVWLQTRPYGTCSADDAFILWTHDFKSNVLSI LRCHAATAATFAVLMDAALVEARQWHLASVVTWNPHPAWLDDQLLSSVETRTESLPSL LVSNGHDTVHHPVLWLANDKYAWV H257_18317 MRLQAPVVITGTAPPGFPPCDCQPLVEPVPSSALIDLSEDLSDE HHALVAQTMTSFHHIATNLYKGDQRILELWRGDFPVPNPNLVPLPRTPMARQCSCWMQ NNRGKAATCNTQDCINFAEYIFCPENCKSRRLCGNQAFGDHPLAVPHEVFVTKSTGLG VRAMASIARGCSVMEYIGEVIKKAEFESRYASMVEAGEVDFYFLSLRDECFIDARNYS NNSRFINHSCEPNCAIEVWKDSGVKRAVIVALDDIGRLEELTFDYNWWDTFDAANFQC RCGKYAMYWHQAFLSTTICEFQIDVMSSMARVWGAMARGGVGPSSLRRRKATSLLMHR ATGFQPRPSLSRPWHLHVAGLSTDNIKTTKHNEPPSTSSKEILTSLASYLWPHGTSKE ALAVKSRVAVSVGLLVAGKLINIQVPFLFKELVDLMGTSATSPEAALVAVPVSLVLGY GLARFSANAFQELRAAVFAKVAQGTIRQVGRRVFEHLHTLDLRFHLNRQTGALARTID RGSRSIDFVLRSLLFSVVPTALEIGLVSGIMAHQFGWEYAAITMGTLTAYTGFTIVVT QWRTDIRRRMNKLENEASGHVIDSLMNYETVKYFNNEAHEATKYDATIQQYQDASLTT QTSLSFLNAGQNAIFSAGLTAVMYLATQGIVDGHLTVGDLVLVNGLLFQLSIPLNFIG SVYRDVRQSVVDMEAMFALQAVPSSIPPPSFATSSSSSSLTRSPKSITFENVSFGYRP DQPILNGTSFTVPAGRTVAVVGSSGSGKSTILRLLYRFYDADGGRVLVDGADVKDLPI DDLRRLIAVVPQDTVLFNDSIAYNIGYGNLSASRDDIVHAAKVAQIHDSIVQFRDGYD TKVGERGLKLSGGEKQRVAIARAMLKDAPVLLFDEATSALDSETEHEIVKQFKAIGLH KTTVIIAHRLSTIQDADEIVVLDKGRVVERGTHVELVDRQGGKYAEMWHRQQHSKASR HGDKEKE H257_18318 MVLPIAGWDLKVADMITILRWANVSANRGVSKTDLQQRMTTQLF DGGPPDMTRLNVADFPSIVPESWAIWLQQHLAWQASTLPTSTLPPWNAPQPVPLGPPI AASENAMPTFWVFERKAVRTKPHTALGTVQKICSASDISGPFLDDYPLTKAAAWEFAS QPCAKVMLWAMWDNLKHSVPDTMMLLEWYRPGDKAYNLARTLADECKEKGIHPSLVNL HART H257_18319 MKVAFAFSVLVTSVTAQLRIVGGKEAAVGKHLYVTGLRSSASGI TTCGGSLIAPNVVLTAAHCTKGPVNYVAIGSHYNSGTMDGEQIKVKQAIKHPKYNGVT YSNDFAALILERDSKFPAVEVSFDTVAAGTPTVVRGWGTTSTGGSMSKVLKEVGVDMV NQEQCNKWMSNRVETNMLCCGGKEGEDSCQGDSGGPLTVKIGGSEKLVGVVSWGLKCA EKNKPGVYSRISMARDFIEPYLKNSPTSAPGPTKPTTMPSVTTKKPTTAYPGTTLAPY PTRPTTMPNATTKKPTTAYPKPSCAKPTTMPNITTKKPTTASPYTTSAPCPTKPTTMP NMTTMRPTTAYPKPGCATCGVCYYAGADHCLNDFSKDDCEYYIAEYGTLWCGN H257_18320 MKVAFAFSVLVTSVTAQLRIVGGKEAAVGKHLYVTGLRSSASGI TTCGGSLIAPNVVLTAAHCMKVRVNYVTIGSHYNSGTMDGEQIKVKQTIKHPKYNETT YSYDIGVLILELDSKFPAVEVSFDTVAADTTTVVRGWGTTSTGGSTSKVLKEVGMDTI NQEQCNKWMSNRVETNMLCCGGKEGEDACQGDSGGPLTVEIGGSEKLVGVVSWGLKCA EKNKPGVYSRISMSRDFIEPYLKNSPTSTPGTTSAPGPTKPTTIPNITTKKPTTASPG TTSAPGPTKPTTIPNITTKKPTTASPA H257_18321 MEQGSLPFTSLLARVTRTRSMETVVIVPLPPPNQSEMDELRMQS VERAASSSSQFNQMTYEQLTQFHAQQDAMSKRLEEESNRQLVMSAAVEKNRLEQETVQ VALLMQQEDLVRQQDELKRAMLNQAKATAEHQEMLRQASDAMRQ H257_18322 GSTPQDWRVPRRSYLHPPRVPRNARPQEGVAGNGKSLLSTLLSP YRPRTRIYPETSMRPIWPWRHRSRGSSTRRRVVYTLVVEPTSAVGRSSRNNSRTSGKI MPSRT H257_18323 MGPLVGIIGCLYRIVRLHRTDLLPPFILAGLPSRWDLFEDDGLG PGSRPLLAPSRSIPPFMATLAFLKGRSLREFWLKKFDPLNPANCSRERVSKVLAWLYA QAKECRLAGVYHGRFPFASPRRVPAGTSPTGYTPPLPNTTGVPKTTTTSGAPTTSSAS GVQSTFPAPEVVDLLSDSATVTDEETVAVDL H257_18324 MEAVTRSQARASEQPYESPEAKAMKEVNPKDPEKFPRTPNRCPD GGTPFPGSLESSQVGGGPAPVAEADRETPLKLHPYRDDSTFCLTEYYDKTLPLEEWLM KVVTRTSEDYEVKDHVTSEENGPWKGYDELGTGTNGVRTSGNTASVVSDVVPLRARDE TLETRTTAADACGQGLVERFNSTLATMQKMYVNAAHTDWDAYLPRLLWAYVPLRLTSN PRILYGSTNTSIRRRIMTTSESESSPTTGTDPTESTVGKGITHRLKAFRGYWTRPFNN EVPEGYQETDGSWEEACDVLLDDELLPDSSFIDRLEFADGDVVYTNTPTPIVKVLDKR RTAPREPEYLVRHADGETHWTPRSQRMDFESFISEYENLVRSWYAPTKACLPYVAVPD H257_18325 MERFLKQSIARSNPLGIRRLEYLTDEAHTTPGLPLDATLQISLT QQPNETYTNTESPRLQAVDQASFKDTGTKPGGQQRLTPVPTGPRKTLISLRPFLTRRL TYGLPVQGPPCAPRKQPRHRPQPLQLPLGFKSSKTGGQSGKDLPQPRAELDNVRGARE PGNNPWLRNWTPLGVTFVFTEA H257_18326 MQYLFQYRSPQPTCIFCGSNETYQHFLFACRYGLSVWHHFKRIQ RALQCPFPRNAFELFFELPKPQDGYYVRGLLKIWPIVRACVYYQIWLQRADRTFRPDL TPKTPVDTAIHAANLIKMHLRLLLRDLPLKKGYSKVFNVLRALSADPWLKLHVIPDSV HA H257_18327 MLIRTPTLTLSTGPELGEHLRERRPQGLVRPPTSNTPSGGCLKR CPCRPSAIRPSWTGPCGSRRHPESSLARLLPNGPHTMPAALQRVNEEVESLGDALKQA REERVAEMALREKVEQERDQANTERDNWKSVSGDSGQNSQALAEKERVSDDLGFTKQR LAATMSEKRRVWKLQTAVIQGEGEEVGTRRNARTTSSLGPGREGAAPLAS H257_18328 MDPQRRLQPLERKPHSLRRSWLCLGKVGGLQTRLREDQDEAKKY KELSTRVENAIQAQLSSMADQQPTSRALATVTLRFPDVVPAFWDWVSAHFRVTSGPVF DLLLEACVRDDPARFEGNCENISGIRLPDTTTPVHTHTGPNLREPGCPNPFDPDLAIR SGAIPGVSRANQQDDFGHGCVVRGRRCFGLKKIGLVYDPRDYRYGIRGRGFEPRLNVG ASERASGAVQTTRTSGKTSPGPGGPRAVSQQIFQVPRCRLRLRNVPPRYVDAVDEKGP TFRP H257_18329 MSKCQWGRDRVGHTITPGGILPNPEKVKAVLRIKPLRNVAQVRS FLGLAGYFRRFIKGYATISRPLEQLKLTSPLILAYPDFDLPFTILVDACPIALGAVLM QEQRGRHRVIAYTSQALDATQQKWISKKDEVSEIECYGLTGSKSGNGQLARWAVHLQS LDFTVIHRPGAFMGCADGLSRLPLETQEDMKGGPGAVALRWEPEAEEFQTLPATAAEN PAPYPPGKEPPKEGRPEERETSPGPSGPHPARDPFGTAMKAYLEENALPLDPWLMRLV SRTSEHYSVKEGVLYRRVVLKSPTTKPAHEPGSVWGGRQHVPDLPAVPPESESEPINV DRLKAFHGYWTQPFNDEVPERYTGPAESGTASDDTVLEDDLLPRSSFVERVDFPEGYV AYANSHSPVLRILDKRHDDAREVEYLVQHADDTTHWTRRSRLVDYNSFITEYENESRT QQGLPGALAKWESLVEPSERKVADRESQSQESGPGEASDRVPTKTDWGMRMRAHDERI HPADISCVPSRDGLLDHPSDGRRDDLASTDPPNTRQVPGRKTIQEFSAQCPAMQTGER MVSNDLRKARLAITQDLESGRNSYRLTAVQGD H257_18330 MICCLLSNPVPDGHLVLVEQLQRAFPLQVARSLNRSRANGVWIQ LRNPTDSVVEIRPADVMAMGTPVPTTIQNLETVDGTDQHSPRSASRHVK H257_18331 MDHLSWGCPLNASKQSTMPAHVVAALQSCPGISSPSPEDKEGSQ DSDKMDDTSPGVVRYSATIEPRTAPGLGGNTKNGRASQPSQRNRVPGSACMLQEGGIQ AEDKLQTEAMMLPPFDERHGPGAQPRPVPLPEDSTEEAAMRRLEETRQLKRSPGPRAY TQDTAPGVTRNPQNKKVRVDKLNRTPATLVRCLTEILWHLSRTQPRVRGTLATFPEET SDAREPGAPSRDSMNPVLGSPGPVMACSGAGTISAVTVGSTRTGYSKLEDLRPDSDIT PAISRGDMTGLPDSRHVDIKERLYPLSPADLELQLKALAHNERTNEWPWWLTLSRLGC SGTVLERQSLLVLALLQTSRGPPQESWRLSDSSPPLQTSGSPKEKGLDGGSYPTALTH LEGLDSGSVVIDPGIPPHLHETEAPDRRHDPRVREDSTCLEVADLEVRETVRSMDWPF QGLDESGFTALLHSRTRDPYPLCGVMTGSLAPLVLATIDGHSTQALVDTGASVTVISQ EFWMLLGRPSLRTPSYGLVSAANAGISTLGFRHCSITLAGISTTFPVWVLEDSVTPCI LGVNLLRKLHAR H257_18332 MSLNAVTQEEPKKSKRYAANMHDTLQHRHELLCSPGISIRAFCY DTVRHHILTYSNCDLPPSPSKNPLIPNPILTEHTLRLFSLKREIKSRRLFDDDTAAVQ YAPNAVTMHLLYASTPDVFVCVYAMPRDDVCGVEILEPASLDKLYRFRGGASNMIQCS AMEDEKCDLLVCSEFPVLNNKQSSFAYVIEVWGVIRTHDKNDTLSLVQIQPRLSLAPS KNQVVMLAACVTRVFGIIVPHTSGDDDLDHGKQRTSLTAWDRSTRQIIKVQNGFDNDR RLTTIQLSKCAQWLFSGHANGTLNIWNVGYSLSRLYQIGPGASPYKCVNGDMVHVGGV TSIFPQPKVDNDNSTEMEMDLFSVGNDARVQHYRFRTVLNGEGDINVQFDKLGQFEPV VRGASDTTKKKHKRVFCVPVAVDMGHFTEHLLFVAARDSVHVLKIQSLAESVYELPQH SHYAPLTILHTAINQPISPSMAATLNSNNTIAILSFSPSATLCQTMTVPSVWSEAITC LIVHDHTIVLGWSTGNVQIFDNQTMLGQLSDPVLTTSVGCMTVVAIPVSSKARKTPSP TTKSTTSSSTWGGTLLRSSSKTLEPLPRTKHPDDAGSTCVLAASGDGFVCMWRVASLS SAENVDHAMVTWRCHSTAVHSLLPFFVGPLRRQALVSITSDGHAKVWDVTTLSSNAPP VLMCQLSAVCPSRSAVTATCVIEQDDCVTFLLCGFESGHVAIYQLDARHAATKVCEMT WTVFSKSDAHQRRVSRLRSVPHPGQLNPPSNIIMHCSFVSASFDGHVIVWALDGLKMV SLERRYFEFHGPVLDAFVYGDSIVVTLPHEICRVKFISNLRPAIIPTKPNHIQIHTQD EPTRTSPPTSSRWQQLECHVAPNEAAVDNATMAEMFPDSLSVVRPPTQSPTEHELMAE AIRQFLASTHSEHQFEAESEIFIPASDVRRVYKIWRALAHSEPSAVSYSGKRHALFLK THRLLPSSQLSWAQVLAALSWCNTTITSSKHENAPRHRYDAMGKTKAVVSFNSVGEKS VEYVTVSIPPPAAGQPRLRPVASVPPHLVASSRISVWTQNIYLPRDLQPFWRTEWCWC TGKLVFQNPPDNPRPLHVKCDTCHKKQHVVHSDAIFPARSVLSIVHQIYKRLQLDNEA QLQPTSTLGAIAYSLFKTKFGMQSVVELKLTWFWISVAEHSVNYAAINAFAHCCDLFH ESPAVPLWLVQLYIKGYYWLQSHGLVSLGEGLPGAQSTYGVDVAHGDKHSCWEMITTN ACRLCCQDLLVYPHVPPKFVSNVLAKATSETCSGTIEIHAFLSLWLAEWRDTCVAYET SATALFNPRNQPAIADLADDFHKLHVLLDCFVYYDRRRDGCVDAVTFTSILLGMITLW PPLDTSFNAVDSIDRLICRYQDHERDGAVCYLDMFSLLYIVALKTQKYLAFSDIHEFS YGYKLELDDKYRANIVAYMECSMFRTPPLGVTELEGGNLGATNQIHHHSTGNFHWENT LVKDKEEDGMSSLRMEHLALQVMHPAFREREEYNNTHSTHHELPSGPYIPESALQLGV APKSTVSSSLLRELIDKTHDPTIQEISPTKPLVTQSPDRIIPVKAKPTTVRSVAKTYT SLYVQFPHTRPYKQQSTDNTEPYAASTPLLPTGDSVSSFQSIEDHAALAANIAHALLQ VTEPHEQNTIIDHICEQQPPATTAYISDCKPTNEASSDGAASVQAQERMTLDLSEGDV IEERNPSALRQFILSADVSPFDESNMLLALPELEFRHRNSWQREGSDEAMVADQSNQA AFDDVSDEVSHQLDTPLRNDDSDSSSNGAPHGICDGQNDEGDIDTRNERLFPPEELLS LTMEPLEDDPSQQPAMANDHEHLGHLLEAAGTSLLQAPNTTRSRQTSISSSPDHSLSD DEDFEEEETSYPLVEVVPVPPACVHDYVLAPLDSSLALFKQLHPAQFIRISSDIEEIS TTISGALSPDLHPLELIATKDLEAPITLEEDTPDHLSETHEDSSSGAESSDLDDDDGD AGDDGDDQAPNDRVPEDSSNTPPAMESTPARKFSLPQDTQAPEPLLDDLTKKAKGAPR RKSRVAKTRKRSVVLAAAVAAAQTSTPPSKTSIQPVKRREFLFSHPVHLNVQALYKTR SKPQTPQWTPLDASDDDDDDEDGKGVTHTTTYDDSAFCDSGSALVAGDICLSPRLDQS ITNRWATLFESEEIDIHFQLTDALRSIHVDSDSPSPTTIAIVSPGTATSSHDTVKADI RSKTKRRMSLFRADRATRESICQPMQLQLGESVRDVLHSNQMLYFAYHNSSVDGIVTI KLDCHCDAVELYVSSTTTAPCPTDCDWRSSCLDTHDKRVVIYPDDKAIKSGMFYVCVG GLKGTEELAPFALCAMSSGQALATSASIDHVDELITQFHALANMVTKDMEANTNQDDK SEYLSLTQHYAMITTKSSSRRGTIGMLQDNVQLEEEVVEDDDDEGDDNEQDGMAHSNI LPTDETHAFEVLLDRLTNYKDATTAIATEEIDTCRDTSSVTSDNSNSEVDEKAALKAM VKAMVATRLADTSPRKGGVQSKLMSRRLRTPQVVAYSIAGGVSSPN H257_18332 MGHFTEHLLFVAARDSVHVLKIQSLAESVYELPQHSHYAPLTIL HTAINQPISPSMAATLNSNNTIAILSFSPSATLCQTMTVPSVWSEAITCLIVHDHTIV LGWSTGNVQIFDNQTMLGQLSDPVLTTSVGCMTVVAIPVSSKARKTPSPTTKSTTSSS TWGGTLLRSSSKTLEPLPRTKHPDDAGSTCVLAASGDGFVCMWRVASLSSAENVDHAM VTWRCHSTAVHSLLPFFVGPLRRQALVSITSDGHAKVWDVTTLSSNAPPVLMCQLSAV CPSRSAVTATCVIEQDDCVTFLLCGFESGHVAIYQLDARHAATKVCEMTWTVFSKSDA HQRRVSRLRSVPHPGQLNPPSNIIMHCSFVSASFDGHVIVWALDGLKMVSLERRYFEF HGPVLDAFVYGDSIVVTLPHEICRVKFISNLRPAIIPTKPNHIQIHTQDEPTRTSPPT SSRWQQLECHVAPNEAAVDNATMAEMFPDSLSVVRPPTQSPTEHELMAEAIRQFLAST HSEHQFEAESEIFIPASDVRRVYKIWRALAHSEPSAVSYSGKRHALFLKTHRLLPSSQ LSWAQVLAALSWCNTTITSSKHENAPRHRYDAMGKTKAVVSFNSVGEKSVEYVTVSIP PPAAGQPRLRPVASVPPHLVASSRISVWTQNIYLPRDLQPFWRTEWCWCTGKLVFQNP PDNPRPLHVKCDTCHKKQHVVHSDAIFPARSVLSIVHQIYKRLQLDNEAQLQPTSTLG AIAYSLFKTKFGMQSVVELKLTWFWISVAEHSVNYAAINAFAHCCDLFHESPAVPLWL VQLYIKGYYWLQSHGLVSLGEGLPGAQSTYGVDVAHGDKHSCWEMITTNACRLCCQDL LVYPHVPPKFVSNVLAKATSETCSGTIEIHAFLSLWLAEWRDTCVAYETSATALFNPR NQPAIADLADDFHKLHVLLDCFVYYDRRRDGCVDAVTFTSILLGMITLWPPLDTSFNA VDSIDRLICRYQDHERDGAVCYLDMFSLLYIVALKTQKYLAFSDIHEFSYGYKLELDD KYRANIVAYMECSMFRTPPLGVTELEGGNLGATNQIHHHSTGNFHWENTLVKDKEEDG MSSLRMEHLALQVMHPAFREREEYNNTHSTHHELPSGPYIPESALQLGVAPKSTVSSS LLRELIDKTHDPTIQEISPTKPLVTQSPDRIIPVKAKPTTVRSVAKTYTSLYVQFPHT RPYKQQSTDNTEPYAASTPLLPTGDSVSSFQSIEDHAALAANIAHALLQVTEPHEQNT IIDHICEQQPPATTAYISDCKPTNEASSDGAASVQAQERMTLDLSEGDVIEERNPSAL RQFILSADVSPFDESNMLLALPELEFRHRNSWQREGSDEAMVADQSNQAAFDDVSDEV SHQLDTPLRNDDSDSSSNGAPHGICDGQNDEGDIDTRNERLFPPEELLSLTMEPLEDD PSQQPAMANDHEHLGHLLEAAGTSLLQAPNTTRSRQTSISSSPDHSLSDDEDFEEEET SYPLVEVVPVPPACVHDYVLAPLDSSLALFKQLHPAQFIRISSDIEEISTTISGALSP DLHPLELIATKDLEAPITLEEDTPDHLSETHEDSSSGAESSDLDDDDGDAGDDGDDQA PNDRVPEDSSNTPPAMESTPARKFSLPQDTQAPEPLLDDLTKKAKGAPRRKSRVAKTR KRSVVLAAAVAAAQTSTPPSKTSIQPVKRREFLFSHPVHLNVQALYKTRSKPQTPQWT PLDASDDDDDDEDGKGVTHTTTYDDSAFCDSGSALVAGDICLSPRLDQSITNRWATLF ESEEIDIHFQLTDALRSIHVDSDSPSPTTIAIVSPGTATSSHDTVKADIRSKTKRRMS LFRADRATRESICQPMQLQLGESVRDVLHSNQMLYFAYHNSSVDGIVTIKLDCHCDAV ELYVSSTTTAPCPTDCDWRSSCLDTHDKRVVIYPDDKAIKSGMFYVCVGGLKGTEELA PFALCAMSSGQALATSASIDHVDELITQFHALANMVTKDMEANTNQDDKSEYLSLTQH YAMITTKSSSRRGTIGMLQDNVQLEEEVVEDDDDEGDDNEQDGMAHSNILPTDETHAF EVLLDRLTNYKDATTAIATEEIDTCRDTSSVTSDNSNSEVDEKAALKAMVKAMVATRL ADTSPRKGGVQSKLMSRRLRTPQVVAYSIAGGVSSPN H257_18333 MATQNALPPLSQDWIRKKSAGSVRQLQSTASSSVRKLNMPHSAS FTRTNASPIGSPSCAIEFLNAQQLIRPTSSSTSQPNGSEHTTPSLTSREYDAENAMPR NPHHPQSDNANENQLADVMYDDDEGVKSTVHNHLQGGGGVTDRDSTSAVHVELQRMNQ ANALLKLEISRLQESLMQRLRGNAKFVGGGQFRSMAQSVAPASPKTSTCSNCFNARAA LKKAKLDLKTHKPALDALQAKISESLAARYHLTEANARANDTIASLQAELHTVKESAV EQGNLVEKLRRDLDQQSNTGTAASSAESPPPPLLDDTLKQKYHIQVQISLEKQAQIDQ LILDATASSANVRHMQRDMDTLRHDQDQVKASLSMCESQLRQELDRANAVSIKHHADM TSLRQTLDTGHLTAARDADAWHAREKSLLDQVLALTQERDALIDRLERAEASHKQHLQ DMQRESQHLQLLRRKSCGDVVDLTNKYDAALTTVATLQKERDMWHHEQRAADDTIERM ASELKTNHMQLEALEQAVLAQKCEKSGLELKLTKLETTYTTLCQSHSQTVADLKDHMD KLTVAQTQVIKQRSQVHALQVELKATNADKDAVHDKLMEQQATHTKALEKAMQSLVRL CVVAPTVNVHLSGQILPCKTVLPKDAIRTIVQRDILPVFSSIFLQDQEGTSPTGSSLD SWLQSLLKEMQTSIETHLKNVFQV H257_18334 MDERSEGVRDVPDVHAWLLVENFTISRKLLTHCLNTSDVIFPAS HAHVKFYANADPVLVALKNVYSTNTDDDTSLGDPVSSYSRLQDVGRAVVDLLTEAWTL EPTNVLKWLLGELVEVYSDVLMGKVTFDALNDIHDCTRQFDMLMASNPLKHDIRKLKK CKTKLNSFVENVVQDHANALPARWTVDVKTPLDTAQLNADIQAYYDGHHISTTEEQRR MGIVQALKRSIRRSSEWRAADLQLYGSSLSTMGTQGCDMDLCLTWNAADHPFVSLSSL LGKARLAHDNALQKRSNHVMGLALYDDIRTTHTKQVATLDKLLTSQSKASGDKPSKLK AKALAIVHAQYFVDACERFVLALDALKDTPAPPDSNATELAAAAQELKHLMSQDGQRK KKLHRLTYVLQKAGCKVNNVIAHARVPIIKFLHVDSNLECDICMENTLATKNTLLLRT YALFDSRVSPLVLAVKRWAKMRGVNDASQNTLSSYSYAILVIHFLQILRILPNLQHPS LLLNSPRQTLNGHDVTFCTDFIACRAFFPVANVDLSVGDLIVRFFDYVATFPWLTKVV SIRINDMTKSERWGGAAKTWRMSIEDPFESTRDLGIVLQPLGQDKVLAEFRRAKQVLA ATQSFSALIMAKENEKRANKSPDKPPRASPRSKSDKTAPHVPTGQMNQIGKDNDETPA MKSPRKHADGGEHQVTGDEERSGKSNAKHQPFQKPNRMPNKKKKNKPNGVPIIPGLPT TLPLPPAAPVQLAVDPPRPPPPQQQKKQPAEGAKKRNMGEQNRAHPVQETDQGPSDDR QTRGRDRKAKKSSYRLKQTTPPST H257_18334 MDERSEGVRDVPDVHAWLLVENFTISRKLLTHCLNTSDVIFPAS HAHVKFYANADPVLVALKNVYSTNTDDDTSLGDPVSSYSRLQDVGRAVVDLLTEAWTL EPTNVLKWLLGELVEVYSDVLMGKVTFDALNDIHDCTRQFDMLMASNPLKHDIRKLKK CKTKLNSFVENVVQDHANALPARWTVDVKTPLDTAQLNADIQAYYDGHHISTTEEQRR MGIVQALKRSIRRSSEWRAADLQLYGSSLSTMGTQGCDMDLCLTWNAADHPFVSLSSL LGKARLAHDNALQKRSNHVMGLALYDDIRTTHTKQVATLDKLLTSQSKASGDKPSKLK DSYRAKALAIVHAQYFVDACERFVLALDALKDTPAPPDSNATELAAAAQELKHLMSQD GQRKKKLHRLTYVLQKAGCKVNNVIAHARVPIIKFLHVDSNLECDICMENTLATKNTL LLRTYALFDSRVSPLVLAVKRWAKMRGVNDASQNTLSSYSYAILVIHFLQILRILPNL QHPSLLLNSPRQTLNGHDVTFCTDFIACRAFFPVANVDLSVGDLIVRFFDYVATFPWL TKVVSIRINDMTKSERWGGAAKTWRMSIEDPFESTRDLGIVLQPLGQDKVLAEFRRAK QVLAATQSFSALIMAKENEKRANKSPDKPPRASPRSKSDKTAPHVPTGQMNQIGKDND ETPAMKSPRKHADGGEHQVTGDEERSGKSNAKHQPFQKPNRMPNKKKKNKPNGVPIIP GLPTTLPLPPAAPVQLAVDPPRPPPPQQQKKQPAEGAKKRNMGEQNRAHPVQETDQGP SDDRQTRGRDRKAKKSSYRLKQTTPPST H257_18335 MVQPTFIAMLLGAGLLAHVHAAGFFVCPGQCEAMFLPRDMDDVD DCSCSTKATIPSRALLSQGFGDDSSSYRCPKDSSAKALHPRSFDDCLCSETAPHRDDK AGQCVTEITCSGKYGLKAGVTTARSLADCDCLDPYTKDESTGECRLTHCPRAANYVIK AGVVHVHSLVDCDCLAPYTKNAQSGECYVRFECPPHASPPVQGVAKSVGDCTCDWGFV RPQVKPDNSSSNNDPYCVAENPTFACPPHARPVSATVQNFMDCECANGFHRLDKLERC VEDVDGHSPSLRGNDVASPRATDIIFTCPDFSVPLAPHPYSMTQCRCLPGFEPNLNMQ TCDWTPDYFVCPPHSFNPYPALPPLDFMDCHCAKGFHRHDATGTCVAKTRIGANGCPA GALAETWPVHDPNWDCFCPHGEEYNIEEEGGAYRRTLGKEDEVPFEKSDWTLTCKHAG DDEGGVDGCPLNAVMNHWPVLGVEDCSCKAGYDSVVAPDHKLGITCNRTDGDDSMIPK CVADGMTRSPADNVCRYPAEDVGTTSATGHIVVNGNELAFVLVEEDIMVTQGDIAVGT SFGYLGEGDDDLLFYMLHGYYNKEQESRWKEATMCFTINQAVRHRRDDIWSAMSHISA TTGFHFLECQEDYCDDHLTDCDDVVDVIQTSSGCWSSIGRVGGRQALGVSDGCARGNL IHVLLHAVGLHHPTVRPDRDAHVQIAWECVESAKRSYLSVEERVYDVVDNVVNVPYDY FSLMHPRADVFVNMSMAGGGCMTLFPLIEDPFERQAVMTGMGQRDQLSLTDIHYVWAL YPELKQAAKESLLTPEGTNHYHEARLHTKQDATPFRPVSTSGAVGAAVCVVAFVAIVG FATFEMRRVARKTKAEDERDDYSDPLLTDPIYD H257_18336 MKLRGLQMLPASAAMVIALLGLLEHGSGVEAGAVTTGVPQNPTV PGGECVRMFHSKGDVGCFSLDKDGSRARLVSVTSADAFHHDKLKENSIVLLPDSLFST ENVAKLNGAFIKGLLVYPTSSSSTSFNYESTHPQGKGTVDGGLNPVFGEYAWNPSGRG VMSASLPYPVVEVASEAKAAEFLELARKNERAPVESTFGVVYRGAMAYYFGPAKMDSI SCLSFKNIYGNRSPKCLPVGGQSSWGVKGDLSVSKPVVIAMASMDTNAFSHVYAPGAN AGASGLVALLAAADALKTIPSSALKKHIVFAAFQGESYGFVGSRRFLSDIQQAKQTPK GCAAPILASTPFGTSHCASPIRSSLAFADLSLDAIDIAIQVDQVAVADKGFFIHPNNP KTSSSESLVDALVNAPSAKGRVQPSTAASAVPPGPLVSFLNDREFGNASLVSAVLSGY DASFPDNYHSRWDTNTSDTAAAANIAQAAQVLAEALFASSAAVPGSELLASIAVNATL VSSLWTCIRTQWNCPLLQAYSKPAVATMNEYLSFTPASAPSFVEPVTLYTSVYSDNRM PTIRLNKSYVVADLADTKWDDAFKVNLYPNAYETFTRAFLASALRDVDPQAKPCVSNK DCTDNECVYPGVCTARRAYFHDALSPGLKREPTVGLYEVLDKSMPLWTEPNWVTLGTF VYPDPGTTIGYVTIGVGVVSAAVGYVLASRFVAHFRKQKLL H257_18337 MFASTLRRVISSKVTTSVTSKAAFSSSSASLYEVLKEQIPRRQE ALKKLKAEHGNKSLGNVTVDQCIGGARDVKCMLWETSLLDSEEGIRFRGHTIPDLQKK LPTYIEGGEPMPEGLIWLLLTGEIPTREQVDGLTKELHSRSKIPAHVKQMLCDLPKGT HPMTQFSIAVMAMNTESVFQKKYAEGIHKSTYWEHTYEDMLNLIARIPEVAATIYRST YFDECLDIKYDSSLDYSANFARMLGHDSQDFDELMRLYLVIHSDHEGGNASAHTTHLV GSTLSDPYLSVAAGLNALAGPLHGLANQEVLGWIMDLHDEFKSKNLPVNKETITKFAW DTLNAGKVIPGYGHAVLRKTDPRYSCQREFALKHMPNDELFQIVETIYQVMPGILTEH GKTKNPYPNVDSHSGVLLYHYGLTEKNYYTVLFGVSRAMGVLSQLFWDRALGLPLERP KSVTSEWINEHFAKQK H257_18338 MEDTRSSRPAASSQFILPYTHNPFVFPFKPANDVGKEAPTFLPL YPGETCVSKVILSSAIGYTLGVAMGALLSSYEAIAPPIPVPGQRVMPKVPFRESLQAS RRLISEKSFSWGRNFLLFSAMTSGLECLVSKGRGRHDTTSVALAGCATGATLAMGQGP MMQCGSCVLLAAFSAGMEQFMHND H257_18339 MAKMSDMKISPSTRSSMPTHIDRDVRNCILPLVTSRAYHHGQGS ADRCPSHRPSASANWQTNRHFCMGRLYLKLYLYHHRQCSTWRNTRRQVQTLRAASGPW PSRAGNECNHHTRCLSTTKPALATHDAATASATPPTVPSTSFLGEMSGASLLRRLNLY LRLVSNLPVTYAPVSQPHTIKR H257_18340 MSFATAAQNTAFARPFNESHCAVSAVTRCVFSHTSSSNATTKVA CRERIVAGTLSILFAASAMGVVCALMLAQSVHEPIFLVHVGASALIAGVCAGLTPTSF DAAVLLVQVVAFSMAVLNSCFCSSRPNTNCFLLLDSATAMSIVFVPMAVLRMHQHAHV VSAAICLDLVGLLYIPITFRMLMSKLG H257_18341 MDSRDAAAVDSADVVMAAPATPPPATSSFSCTTLFSSNFVQRVL SASVLAPSVIYFILTGSNTAVLTLGAAVVSIF H257_18342 MALAAESTLGSALEAVDVTLKDDDVLYAKHILDAEKHRERAQAM ARAEHQARSVENAYKRSVHDAMVQYERECAQLKKTMVDDILAELKLLRDNRDGVSLVR KGLARSARSSRANVTYTEEPDIDGVQTLASSSAAEFTSTTPTSSAFHVTLSSTRQKPP PKSFRLTGALDPFLVPPVSAAIAHDDIQSILRATYSSAAETRNALQQPPRILLPTSL H257_18343 MTATSHGFSRNSQILVYVMPVGNIPRHMYMEGIRMLQASAVIPM SSLTRPGGYSAELSPFRALSWDSTTSIVYRFEDTLSQASSSSTLSSASCDEVHAWNRP LGVIGLCHCPSTADLRDAYADFKRAALKYPSAIIQKVYAFEHAFGAGTLEDVSALDDL VMFPLAAPLSDGHTTVSLHLQVVLDAMTVTILMSLESTVRGVLRQHQQQAGAAIVPSA DLSESGFGLLSTQVDPPATTSPYPAAAAAPIHSPAFLGTSSGMSTPAALRTGSGNSTL LPRPSGVTTTASAMDLSERNVCTTHTLVSRKQMPRSNRSRQEKLVADYALVVGCVPDA VDYYTTAIDGLRDDEKKSAPKSGTNPADGLWLGAALEGYVTALYLTMQRQKGGNGVKL NVEMIEKASEAIAWYAKVGCVALEANLVTAMGWYYTELVDDDLVGGRGGNRRHPLQRA DEAEWIRRLCIETHHRLLLLGVRNAEPFPSSHTSSQVSIKHVLAVARQCRRIGYTRKE LLYVLDASALLCLRSRSRMISSSSSPTMDLHAAYVLVQQVLHGLSTPIHNPQSSGNAS DKERGPVVAVVGWSRLRFYVLRHVIGLARKLHRPHEVATHTLALLDLLVHDPTAITAS GDAAAGSAAWNEPVFSATLHIHVVDTTGALKTPLTRGGLHTAPTVYATPPPSMEKEVK KAAVMLLQHSNSSSLLSSSYFKHLPLLTSTTGTNPSPPPSSGSTTPRQLLLSTPRQLM SAVLSNTSTSSSAFFDASDVAAGQSSPQPTTHIPVDSTATTTPSIKQHPSSDTTTQWT NPVQEWQHACWRLLHDDTSSTKSRIDLPLTKRNGLVRIERFQVGRRAVPTSNAHATTT SGLRRLDAVLRAVQRKSTAPPPNPSTFFYNPFQAKGDAINNNTTTDDENDTMYPVHAS IDLEMVVHNPTDIDVLFPHVAAWVAQGDPDDSSTIKAQCPPVVLQLTPRQSNRTTRLT VRPTHIGPMVVLGCLLRLKHQTLRYKLERPVVLEIVPALPTLTFRASPATASSMFQHQ LHRVSVDVINPSGLCASHLFMDMTIVVHMPPSHAKASTATVVLVNSLLLDTADSSSSS AGVHKVTVAGGVDVECSLPPGFDHLDGQSSVSMDVTVHCAHACTIEIQLRALYGHSLT HEKLYRETAATVSITCEPAVCITALAPLFLAGSDGQDGYISSMWVAELWNPSPSVLFH VHVDGELAANVPVPPLCVRRMLLPSSLVHTPFKWTTPASGASGAIPTPDTTTTTIRAC PRHELPTDVPWTLHVALGQHASPLMLTSMPLHEFFQVVVHVMPRDRGNQPTCRLPLLL SVQIHVLEQVTSVGGGLQLRPTTNMVVAGQLAYQPSVEHPHVVQVMAMTHGVYCIRCV GMWAQGGTDVVESAMLKIQTI H257_18344 MEKWAIGTVRVLTAAICVKVYVGDVFLGMGPSMEPTLPDRVIFL VEKLSLRWRPATVGDVVVVSSPTRANGSMCKRIIAMEGQFVKRRPRFEADAEEIIEVP KGHVWLEGDNATASVDSRHYGPIPAALILGRASYKVPSIATTKSPNRSVLALAIVGIR PTR H257_18345 MADWDAETLDTVYASMRDDISSTKLRFPASKVAAIIGLHEYGDP VEDFLEFLYQDLDDLLALDASVLHMEVTTKDAELDALIRKSGADSALNTLLQWTTDTR TTAKVNHALGLSDNAKSVIDKACKKNKLTTAEAKTLHQGLASKVWQSVGKRNESLAIQ LYENQHGVRVHSTNDKLYYLYFPHPIQAKALTTGDLPSCGCRQAIALEHFIERVEVDK MTSSASVGEGGSQHRTGQHFSICGMIDGVADVLSINDVDDTWSTELILVEVKNRMRQF RHPVPLYDVIQMAVYMKMLGVRQGDMVQCIHQGPTTSIHVTRISFDKYPLTSTAVPCS CTPTDLWVSLVVPRMYTYASVIYAFRSHDSRRRAFVQASPRDQRTLLREALPFL H257_18345 MADWDAETLDTVYASMRDDISSTKLRFPASKVAAIIGLHEYGDP VEDFLEFLYQDLDDLLALDASVLHMEVTTKDAELDALIRKSGADSALNTLLQWTTDTR TTAKVNHALGLSDNAKSVIDKACKKNKLTTAEAKTLHQGLASKVWQSVGKRNESLAIQ LYENQHGVRVHSTNDKLYYLYFPHPIQAKALTTGDLPSCGCRQAIALEHFIERVEVDK MTSSASVGEGGSQHRTGQHFSICGMIDGVADVLSINDVDDTWSTELILVEVKNRMRQF RHPVPLYDVIQMAVYMKMLGVRQGDMVQCIHQVILFEVI H257_18346 MKLSKVALIGLLFVVARVQAQDPLPASNDTPVVQINDINSFETT LDNIDKPTQGEVAAAAAVDAGATEYQAAEIADAIDNEGASAASASIDAGLTNSQAAEV ITEVVTASEDIPSQGEVAADAAADVGATDAQVEEIKDAIDSGASAASAALDAGLSDAQ TAEVIDQVTTASDEIADPADVAAAAAQAAEIADAIDNEGASAASASIDAGLTNSQAAE VITEVVTAVAASVDAGASPSDAAADAGLSSSAVAAVDDIVDKSSVLPCTTTPTPTARL RGACTSSSFAA H257_18347 MQSLSFSSPRTHLSMTFVSSLCAPAPLAGFSPLNKARRVPLATS AATGKTWDARFSHAVPHDASYYAKCMIAGVLSCGATHTFITPLDVVKCNMQVTPGKYS GLVQGLKLVAAEEGTAALFKGWAPTAIGYSAQGFCKFGFYEIFKDFYSTLAGEENAYT YRGAIYLAGSASAEFIADVALCPMEMVKVKVQTSPAGSFPTALGPAIAAMKANAAETR YPFGSVVPLWSRQIPYTMAKFFFFEKVVEAFYTNVFTAPKESYPKSTQLGITFASGYI AGVICAIVSHPADSLVSLMGKAENKGKAMGQIASETGIVNLATKGLGTRIIMIGTLTG LQWWIYDTFKSVMGMGTSGGAPPKK H257_18349 MSGAYISHGFGGCELNAWCGVGYRKYSERNGRTFQVDTATDDVS YTKPLYRDEMRLMPRNIDDEKLCTIVDKSNV H257_18348 MRGNLFLWITSSLCAYVAHATSTSGFCNDRNATTPVVKGDQTYI CININDQYRAVFAPVVDQYVQLRLYESYTDTRIRPTNSSAAAYMTISSMSSRSVYKQY TTAKGKAYPILTAVVSVKQGEVQGISWDDGCYLCDSTTCEANLYSTPAIPLYNSAFGD GSTCYKESPECLNTTGLCDLAVYVGWTGTDANGNYLSSAGMRISQFQKYSVNSYFTDF KTKLSSLLPRL H257_18350 MAQDMRELRNYITAKDGYEYTEVADGLVCLHITHSNLRATIVDI RLDMHMTLAEVKEKVYRHCGTKPDYMTLVLKSGSTVIGIMDDERRMLGYYPVQHGMTI HVVDNDPFSLAKGGGLEDVSLIKKYEISEEDYDKRANTVRNYKREQIAKDPNWKPPVL MGAGLRGIKKDYGPETVEGIDVGMRCEVTPGGRRGRVAYVGVVPELASSEVEGYWVGV VFDEPVGKGNGCVKGTRYYDCLDKFGGFIRPPNVQVGDFPPQDELLSDEDDEF H257_18351 MKPIFGKIVSDKMQKSVLVAVTRIVKDPTYGKYYKKTKKFMAHD EENTCNIGDMVRISQTRPLSKRKRWNVEEILKKAEI H257_18352 MAEEFLLTAEKSIDLAFKTRTCLPGDDITQHITNVTQKLRLGAG LVATTDNRVVCTNAGVLRYRPPNRYWLDFNHKRYTPALDDGVVGMVVDRNAEFYRVDI GGSSFATLGMLAFDGASKRNRPNMQPGSLVYCRVVRAAKDLDACVTCEAPTHLAKKDW MTGLAIYGELTGGYVFKASIGLAKSLVQDECAVLESLGRAIPFELAVGVNGVVWLNSK SSQDTILVANAILNSEGLTPAQCEAMVAKLVQEHGSSSSQN H257_18353 MTTAFEYYVLRHELDCQARRDRESVEDSNGGGVEVKMSRLPNAG LGLFATTTFNAGDVVCVYRGQVLATADALKVADKSYLMRLGGGVYIDARTCIGVKARY INDCRSRGVHNVEFEKLPLLQKANVRATRYIHIGDEIYVDYGKWYWLAYNLSHPHDLI K H257_18354 MEGKRKFSQYHQNDNAEFTKSGEVHGSLLLRSGPRQGPLRKSNP PLGKCVVSSLLSTFCCVYRTTLHMPSWLRVGRSQKEVGTVDISSDQNVSVTL H257_18355 MAEETEGTTPSSLDVEKINSGVRFLGHPDVQATPLSERLAFLEK KGLSRAEINAAIEKHQQAMPLAVAEPAQSLTSLAWSILFPAISAATLMGVLWRFLRND DDTATGSGSSRDLDHQGVPAKSPLQVALDTQTEELRKVVHLLQEETKSRRMQSVEQLE HAREIARLHAEIAALKLKLATGDDDSINPKAPETSTGHPAPPDGKLAEVAHGKSTEEA FQAQVHTFLEALRLVEADNSTEVIQHAAAVLVMYTKNLVEHPGVPRYRRIAIGNANFK LKIEPLVHYDALLSSIGFDKAGTNYLEWKWHAAPVYNTHVAILKAAIHAFEHAATSCS LVDSARRHLQSSREAPPTASSDQEVQTVVPAEHNNLASFLDKLKPKVDVEPTTEGEAT TAPTFPPTFSEVAKMVQNGDTVPGIREIEDKLSSDTDKILSAATAAASQQAVVAKPWN SPS H257_18356 MVRTWSLFCWCSMREIFDTFLTDPVLLSEDVFALWLEGQNTSSA LDIRFRSYAHPPPMSSTLDFSDGTNEVDQLRDLIWRDTVDQYRLFEKLETYLMQPLLL RTQLLFQIPPWQQQDMTEKYYMLDGAVVRRLLGKKLTSRAQKDLDEVSEHSLRTLKNC RRQFENLRRIYTFLEEKNNFQGPLTRLIMDQFLISEKLAAKYACVVFLIHGRFEVHPS HKVVGFLTWNDLQFFAALLMGHWVAPSIKRHHSKLQAKAAASVLTESSSLLCLRRRPD AAASATNDLDPWPSVAVLEARVTPLSARACVGVDLNQRMTNSLRDLKAHFLNDVETLT EYRNMVMSVLQVSLQGDQLEHLGLKMLVIVRGLLSIGAGLSQPKELKDLIEDLVDAAG IVFKDSKLRLTDIDVIFSALIDSLGAVDVWYLNADDTRQLLLASWELFLSVCRAILIT IYDRI H257_18357 MKMAPPSRVVQVFECPSVPFGRRESAPRTKKNQTEELTRNQKVD EQFKKAVDDVRGYNMKNATGKDKKKKELERVVRLGGKDAKQEKMPYNIMMAIKKKGAQ RLESRVVEEKASGVVHGKKLRGKVSRRPKSDAGTQATKGRLKNGVLFVAKKDR H257_18358 MSNNESTTTRADEAKAKRDADNVSVCSHSSSRSSASSRSASSST SSSMVSASCKSSASASRRGGASIVSSRSRSSLSTATSIQELEVRKLEIEAQLREVESA LEQKQSIGATQVRRPFALLPRSR H257_18358 MSNNESTTTRADEAKAKRDADNVSVCSHSSSRSSASSRSASSST SSSMVSASCKSSASASRRGGASIVSSRSRSSLSTGVGRMSNVMSTRLI H257_18359 MAALLLLRRVTATSSKSAFILRHYGAANRRFLSNDVAEDAPVRQ KVRADFPTFTLDAFALRQFDDPAYAGTIVNYDKDVFEAKINEFFEAGECDLVDGYAPF CKHLFVPNFINARIPTVPITNKSVHLLQSGYISRVPEELPVLTRWFPSHSVTPETAKY LDIVLYSREQVRLENAAMGKPIDSTDSPWRIVGIKAQVVDYELPMEPMAVLRNALGTD AGGSGVALDKDKYLKSVEYWSQHAHIKYH H257_18360 MPAKVFFDIEIGGKRNGRIVFRLYGDTPKTSDNFRALCTGEKGL GKTTYKPLSFKGTIFHRIINDFMCQGGDFSNRNGTGGESIYGGKFRDENFLHAHSKAG LLSMANSGPNTNGSQFFITLAPTKHLNGKHVVFGEVVEGMDVVRAMERVPKGRNDKPE QDVVIADCGEVSDKTSEVGSKKDDKRAAKEEKRALKRAKKDKKKAKKEAKKKRKHERS SDSPDGRTPPKSQNQRPSRSPLSTPPRNANKKSPSSSPSPDRRGRSRSPRRGDKRRSS SPRHSRSRSPRRGDKRRSPSPRHSRSRSPRRGDKRRSPSPRHSRSRSPRRGDKRRASR SRSPRRQARRRSPSPYRPRSRDDKRRSPSPSRKH H257_18361 MATPPTATQPTLKVMRLYKPRLSIEKSYRREFALSNMLLLPDSF GNIYLGETFSSYISVINQFACDLNQVGLTAKLQTPTTRSDLTDKRELRGGTTPPVNPA AVLSAGSNLDMAVEFELSEVGVHTLRVGVSYLDPLTNEPKSLRKFYRFQVLNPLTITF KHVLIQDISFVEAKIQNITQIPLHADTIVFVPSPPFEAQQLTAPDNDNANNLIFPDDS IQCIFKVTAEHLDLSLGTLNLGRLEVNWKSAMGESGRLQTQPVMRKVGSVKEATVTVL LPTPVVAQVGQPFVASVLIQNNGTRAMNLQLQLRRDLMLGILCSSVSHLNVGIVQGKS SVHVSVELLPLIAGLQQIRGVLAVDMDSQVEFAMEKPVYVLVK H257_18361 MATPPTATQPTLKVMRLYKPRLSIEKSYRREFALSNMLLLPDSF GNIYLGETFSSYISVINQFACDLNQVGLTAKLQTPTTRSDLTDKRELRGGTTPPVNPA AVLSAGSNLDMAVEFELSEVGVHTLRVGVSYLDPLTNEPKSLRKFYRFQVLNPLTITF KHVLIQDISFVEAKIQNITQIPLHADTIVFVPSPPFEAQQLTAPDNDNANNLIFPDDS IQCIFKVTAEHLDLSLGTLNLGRLEVNWKSAMGESGRLQTQPVMRKNVGIVQGKSSVH VSVELLPLIAGLQQIRGVLAVDMDSQVEFAMEKPVYVLVK H257_18361 MAVEFELSEVGVHTLRVGVSYLDPLTNEPKSLRKFYRFQVLNPL TITFKHVLIQDISFVEAKIQNITQIPLHADTIVFVPSPPFEAQQLTAPDNDNANNLIF PDDSIQCIFKVTAEHLDLSLGTLNLGRLEVNWKSAMGESGRLQTQPVMRKVGSVKEAT VTVLLPTPVVAQVGQPFVASVLIQNNGTRAMNLQLQLRRDLMLGILCSSVSHLNVGIV QGKSSVHVSVELLPLIAGLQQIRGVLAVDMDSQVEFAMEKPVYVLVK H257_18362 MPSDEDESRPPENAGRRISVRPRLFHRKVAMLPNTTYDFHVMQN SSLLTLMSKFSNRNLLRRRPLCATDGTQTWLLTSGTIGSLHGGDTVIHVDGLTQRHAQ ITCRGDSCFVQSSPNCATYLKLPFDTGRRGYPISVGDIIEMGRGFVVRVVEIDVKTTS SVDKVEKLPPLKETTFGQAVDIRTQVCIRNLTQQTKLSKTTTVLEPAKLVLQLHHKEP TDVVRVVTSPKNTLWFGSLHSSDIICPTLERLHAKIEFDGSRYVLSDFSNATRVVVGE APVHIVPEDVLVVGDRHFKIVELKDDAVATPGLEIQTLRISARKRSHTKKHMPIKLDL PPNKLLHVGRAPQCNITLSNYSIKLVQFSILYENDRVWVMPLNGSLNQGLYRLLSRQE RQREETVHDTTVPVVSSVSPLLQLHKDTVFKVGCSEVEVVYIKHQPKSVSATTQDQMN DRYSILQELPWFLLSQNLPGFFSETGHLASHCKVQTVAAGEYIYSQGDDATRAYVVMR GSVLLFRSKDAVGDKFYIESVDRGGSFGEIALLQPNAKHTTNALARSNVVCMVLVAKD WTDYCAPYRDLYLLPLKHGLHETTLLEALAALPYMDMISLALQQRVALKMTRKSFPPQ SSLLQDPCCIFFVSEGTIEIQFDDDMVEVHSKTFLFDRSSHAMPSLVDIMAVDNVECL VLSADDFANTIGITLQHRRVEHPLAFITAFDTTPQNESAPPRKLSMRTESMAKPKRKS SLIRAKELWTGVATEDEDHEPARSEPPVPLPPADSWRQKKRNAAMLQSRIEYLNLEAS IESALVLYVLSGPNRGDVHIVRNTLTIGNAHGTSALKLRDHALSPQHALIFHRDGKYW LQDCNSHTGTFVRLTDDEAVHRPRHPRAYPPVA H257_18364 MEIQQLMPPYKITLCHACHLKMYHEGGREVTEDLEAQIAFGDGR FHVERLDEAGCVDGQHQVLVKLLGLDDKESSWEPVANLLDDIPVVFRKWCV H257_18365 REDTSLSAPWRTRSGLPDGDSYMLSKSGNRHALPQCLCGLLLHQ GLKQMALVPFPANNDPSGVRDDMDMGRYGGYDRTAPQVWNAPAIPQPPTFSGSIKAER RAFMLEYLKYLRQINDMQCNGSRAFAMSVSACMNPFSKRRIALLDCNRDHNSITNYEW VAWFKSAGDSFRTKILDVESRVGRMSDEMMRSLA H257_18366 MKHKYIDNVASKWTVELLKTSGHRFKNFPAAMYAVDITFQQSKA PGGSIVEKKLYFSKKHGHYGFKEEVSVLPNGAINITTAPPRSIVICESYIDFHVEKLE KTSNEESMLDAVPLVTDYPTAWAILADKGYQGLHRRARAITPAKKPAGGMLSHAELVQ NDNIASDRIIVANIFGRLNTLWSIGGDKYAWKRETYGMFFQACTAPNEPQRLTCLADL QTLRPTAANKIPVLVVDRAERCRNIPQHSDV H257_18367 MEMQSGSRRPETHHPHPTDDHDPSSARPKTTTAIVLTPTTTDPH GYLFITRNGIATPTLVPATGKIRTPLQTSSSEPESDSDTTHPMAAIPLTARQLRTKLA AGKFLARRDRIRSHQAAPRPKDQHPCPSRLVSDTTNTILPPLTYSHLYTNPSALDPLE SNPNGLPHLVTRPYGPPNVPQQLQPSQPHLPPTSFHKAPPRRARSPRHGDEHRTPITQ QEPHTKRANLSTPYHHSHSLPHTLPYHCLSTSSDHCQVATNQLHHSSHKSYHSNTHMH HPMAHELPSHLILSMSHHGYVLPPGHTIAQMSHSQQTHHQNHLAPFSQKFRKPSPCAF TTMSTLHNQAHLTCTHALTHPPLAHHHPRTPGHYHIHNPPDKRHPRPANGNNGPGRVR DHFLHQLKYPPISEVEPDLNLAHPSFQLPSSKRHHAMLYPPTPSYQPGALDPT H257_18368 MTMYWEREAHLFEGLSPAEIADIEQHYSETMVRIQFSMNPSFQQ PDNPLSNVNFRKEIETICEERFGLTFHGGISEHGQQPLRTPIQRTALAWAAPRRGYLF LGDIFVVMLYQYAGVLSNGLSYHQLEYRNRSKLAPADILCALRALGDTDAIVQNYSRM SGAHGPRDHWAAIGCVNWPTEGNYRFRLVILSQIMAETVYANYRLHAAGTNRLDHVPP SMKLHPLRDLSWDNPSSTFFHPLAVSATKLVATKVRIGPLPTITTPEDILAALHGSQL LSPDVDIIDNYATLTFDSPSPAAFLWYASGPHGTTRLYVRNIAIQLHVLMGRSRTTAV AKQCPDCGRLDHQGKPCDRFTYLDPRDRARSKSRHKPTTRGAMSPGARSRSKSVHTRA GRSVSQHSTTVSHTPQSWQYPPQQLPLYAPAPTADLVPHLRRELSTYVDHRIVQATAP LQQEVDTLRVDKEALTALVSATSAAFTSLDARLLEVCEAAEHQQSEDQRTLTEAQNRL ETTITQQGTHQAAMAERLPIIESSLRTLMQAMQSVSTQLTGLAALGTPVAQLPAAYPT QPTLKLSAPTPDGSVPPNQPAGSDDSMGTDPNDTMGSN H257_18369 MDESYIHHNYVRHNDSLYYPEDELDQAPKPKHKGQRLCFIAGIL DDGPDGSKLLATRVFRGGSRQTKDYHGIFNHAYFVNWMKELMDELDVLGTSGAVIVMD NASYHKGVPHDTPKGTWKKQDLLVACQRFGIEASSNEYRSAIWSKVQAHSAKLEVVDT PPYHSDLQPIEYVWAYMKGGVGQQYTTATTMEDVRQRLDLAFSELPSDVIYRCISHTK KKVVHLNTYLLELEAADEAANEPALGEDESSDDKESDDNQSILTDIVDGSPVMT H257_18372 MAVATLAQDQIVPPKVAGGDEAPDEGFEILGGQEAQFGQHRYVA GLKKAPNNKTECGGSLIVVLTAAHCLRLTSAVVVGTHYFTGFADGELVTVTQEIKDPD GLVDVGILILDRNITTIQPVKVYVSCCQMKAPCSVGLVGIAWA H257_18373 MDAPSSLGRSGMLLTSRGGGGSMPASTRTYAMEDRHLSRILWGD DEDVDPPPEVHPWRMVTPRLKTMDVALVLCLNIGTDPPDIVKPTPCARKECWVEPFSM PAQKALETIGKTLQSQYERWQPRARYRQSLDPTADEIKQLCVSLRRHAKHDRVLFHYN GHGVPRPTANGEVWVFNKSYTQYIPLLVYELQVWVGTPSIYVFDCSAAGILLQHFRAT DAILLAACGATEVLPMHPSLCADVFTSCLTTPITVALRWFLSQNEKSMGHLEPSVIDR IPGKLTDRKTPLGELNWIFTAITDTIAWNLFPPALFQRLFRQDLLVASLFRNFLLAER ILKAHGCTPVSIPELPPTSHHPLWRSWDLAAETCLSQLHSPTSTTTSTTTLPSSPITF HHSRFFAEQLTAFEVWLEFASPAKPPPPQLPIILQMLLNQALRLRALRLLTAFFELGP YAINLTLNVGIFPYVEKLLQSPAPELRHVLVSIWCKILLLDKSCQVDLVKVHGHAYFL HHLVGTSPLSTSQKIQSLAVLARLCDGYVPAQELLHPHIGAIVPILTDVDPNVRLWAC LVLGKSWELYLNMEVSAASAALVHVAASDPSPSTRAAAVFALGTLCKSQSNGVLLAAQ LSGSLVEDASPLVRREGILAYAGVVLRPGNISPLEEEEEGDLKFLLHVLTQVHQKDPF IPIRRLVKMILARVPTVALASSSVVPRENSLPTSTNLDMTVGKNSLPARDKADSVVLP PQLQSKLYAWAAAELLTPSGKIPREDDHDPTGFDPLSSEGQLTMQRSRNYACIRATAT QLGLGKDDDIGHYGLHLRQSAVFNSKSEMTSLMVFHPYDSVLVVADDKDQVAVWNVDT NDRVLQFGNQNPVGSRVTSLGWINQETESILYCGSDDGVVKLYENASSNMVLAFAAVP DLAPGTRGSGLITTWQQQSGLFFAGGNSTTLRGWQLDQERCTIALPTQTEACVTSLHS DESIGCMAAGFGDGTIRLFDVRSNKAPMVLKEHTSWVVGAHLYQSKYELLSGSVSGEL KFWDLRYNKSSVKTFEAHRSPMTALAVHAFAPVYATGSHNQFIKVFRQDGDQLALIRY HEGFLGERIGPVSCLAFHPHRLLLAAGATDSLIAIYSSDK H257_18373 MDAPSSLGRSGMLLTSRGGGGSMPASTRTYAMEDRHLSRILWGD DEDVDPPPEVHPWRMVTPRLKTMDVALVLCLNIGTDPPDIVKPTPCARKECWVEPFSM PAQKALETIGKTLQSQYERWQPRARYRQSLDPTADEIKQLCVSLRRHAKHDRVLFHYN GHGVPRPTANGEVWVFNKSYTQYIPLLVYELQVWVGTPSIYVFDCSAAGILLQHFRAT DAILLAACGATEVLPMHPSLCADVFTSCLTTPITVALRWFLSQNEKSMGHLEPSVIDR IPGKLTDRKTPLGELNWIFTAITDTIAWNLFPPALFQRLFRQDLLVASLFRNFLLAER ILKAHGCTPVSIPELPPTSHHPLWRSWDLAAETCLSQLHSPTSTTTSTTTLPSSPITF HHSRFFAEQLTAFEVWLEFASPAKPPPPQLPIILQMLLNQALRLRALRLLTAFFELGP YAINLTLNVGIFPYVEKLLQSPAPELRHVLVSIWCKILLLDKSCQVDLVKVHGHAYFL HHLVGTSPLSTSQKIQSLAVLARLCDGYVPAQELLHPHIGAIVPILTDVDPNVRLWAC LVLGKSWELYLNMEVSAASAALVHVAASDPSPSTRAAAVFALGTLCKSQSNGVLLAAQ LSGSLVEDASPLVRREGILAYAGVVLRPGNISPLEEEEEGDLKFLLHVLTQVHQKDPF IPIRRLVKMILARVPTVALASSSVVPRENSLPTSTNLDMTVGKNSLPARDKADSVVLP PQLQSKLYAWAAAELLTPSGKIPREDDHDPTGFDPLSSEGQLTMQRSRNYACIRATAT QLGLGKDDDIGHYGLHLRQSAVFNSKSEMTSLMVFHPYDSVLVVADDKDQVAVWNVDT NDRVLQFGNQNPVGSRVTSLGWINQETESILYCGSDDGVVKLYENASSNMVLAFAAVP DLAPGTRGSGLITTWQQQSGLFFAGGNSTTLRGWQLDQERCTIALPTQTEACVTSLHS DESIGCMAAGFGDGTIRLFDVRSNKAPMVLKEHTSWVVGK H257_18373 MDAPSSLGRSGMLLTSRGGGGSMPASTRTYAMEDRHLSRILWGD DEDVDPPPEVHPWRMVTPRLKTMDVALVLCLNIGTDPPDIVKPTPCARKECWVEPFSM PAQKALETIGKTLQSQYERWQPRARYRQSLDPTADEIKQLCVSLRRHAKHDRVLFHYN GHGVPRPTANGEVWVFNKSYTQYIPLLVYELQVWVGTPSIYVFDCSAAGILLQHFRAT DAILLAACGATEVLPMHPSLCADVFTSCLTTPITVALRWFLSQNEKSMGHLEPSVIDR IPGKLTDRKTPLGELNWIFTAITDTIAWNLFPPALFQRLFRQDLLVASLFRNFLLAER ILKAHGCTPVSIPELPPTSHHPLWRSWDLAAETCLSQLHSPTSTTTSTTTLPSSPITF HHSRFFAEQLTAFEVWLEFASPAKPPPPQLPIILQMLLNQALRLRALRLLTAFFELGP YAINLTLNVGIFPYVEKLLQSPAPELRHVLVSIWCKILLLDKSCQVDLVKVHGHAYFL HHLVGTSPLSTSQKIQSLAVLARLCDGYVPAQELLHPHIGAIVPILTDVDPNVRLWAC LVLGKSWELYLNMEVSAASAALVHVAASDPSPSTRAAAVFALGTLCKSQSNGVLLAAQ LSGSLVEDASPLVRREGILAYAGVVLRPGNISPLEEEEEGDLKFLLHVLTQVHQKDPF IPIRRLVKMILARVPTVALASSSVVPRENSLPTSTNLDMTVGKNSLPARDKADSVVLP PQLQSKLYAWAAAELLTPSGKIPREDDHDPTGFDPLSSEGQLTMQRSRNYACIRATAT QLGLGKDDDIGHYGLHLRQSAVFNSKSEMTSLMVFHPYDSVLVVADDKDQVAVWNVDT NDRVLQFGNQNPVGSRVTSLGWINQETESILYCGSDDGVVKLYENASSNMVLAFAAVP DLAPGTRGSGLITTWQQQSGLFFAGGNSTTLRGWQLDQERCTIAVRGWF H257_18373 MDAPSSLGRSGMLLTSRGGGGSMPASTRTYAMEDRHLSRILWGD DEDVDPPPEVHPWRMVTPRLKTMDVALVLCLNIGTDPPDIVKPTPCARKECWVEPFSM PAQKALETIGKTLQSQYERWQPRARYRQSLDPTADEIKQLCVSLRRHAKHDRVLFHYN GHGVPRPTANGEVWVFNKSYTQYIPLLVYELQVWVGTPSIYVFDCSAAGILLQHFRAT DAILLAACGATEVLPMHPSLCADVFTSCLTTPITVALRWFLSQNEKSMGHLEPSVIDR IPGKLTDRKTPLGELNWIFTAITDTIAWNLFPPALFQRLFRQDLLVASLFRNFLLAER ILKAHGCTPVSIPELPPTSHHPLWRSWDLAAETCLSQLHSPTSTTTSTTTLPSSPITF HHSRFFAEQLTAFEVWLEFASPAKPPPPQLPIILQMLLNQALRLRALRLLTAFFELGP YAINLTLNVGIFPYVEKLLQSPAPELRHVLVSIWCKILLLDKSCQVDLVKVHGHAYFL HHLVGTSPLSTSQKIQSLAVLARLCDGYVPAQELLHPHIGAIVPILTDVDPNVRLWAC LVLGKSWELYLNMEVSAASAALVHVAASDPSPSTRAAAVFALGTLCKSQSNGVLLAAQ LSGSLVEDASPLVRREGILAYAGVVLRPGNISPLEEEEEGDLKFLLHVLTQVHQKDPF IPIRRLVKMILARVPTVALASSSVVPRENSLPTSTNLDMTVGKNSLPARDKADSVVLP PQLQSKLYAWAAAELLTPSGKIPREDDHDPTGFDPLSSEGQLTMQRSRNYACIRATAT QLGLGKDDDIGHYGLHLRQSAVFNSKSEMTSLMVFHPYDSRRQGSSGRLERRHE H257_18374 MRAIHRLPLPPPPSAFPPYAAKDFFRFELVHQSTKSRARVGRIH TPHGVIDTPGFVPVGTNAALKGVTQAQSDASGAQLMFANSYHLLLQPGPDVIRDAGGL HSFMGRSRPIITDSGGFQVFSLAYGSVHEELKGNSSKQNKYRTTANPTSSVVKITEDG VIFKSYRDGRRISLTPESSVQIQKAYGADIIIPFDELPPYHIAPEKLEASVMRTHRWE ARSLHEHLKQVNHQAMYGVVHGGTDQRLRQMSAEYISSLPFDGHAIGGSMGKDRAEMM DLLTFLRPLLPDAKPIHLLGIADERSLHECVPLGIDTFDSCYPTRAGRHGSLFSSSRG GTLHITRGKFANDKGPIDADCSCPACTQHSVGYIHHLFKAKEPTAMMLATLHNLHYMV RLMAKFRQGIFDGRI H257_18375 MFHDDGPLFDDSPCPGLYAVPDNSPSTTMPKASTPRARTSPNWT TQISRPTISSKPSIASWNELLDYPSDKSSQSSVARQRIHLHGTRNYHRRSSKLDPTYC DDLFNDCPPSSRLVARISVSDRRTKTATNPFIKAYIDRVQATVLIDTGATSSFITASF WHRLGQPPLKQPRLGFVTADNSNLDILGRAKFSFHLAGANVSFPFCVMSTSLTDCIVG LDLLPYLGAIINLKDNTLTLSDRQRILSLEPLDGFVPTSHPVGLTTVAETITVPPRSV RHVPCLAGLDLPSSTTFLVEPRTNTQAQIAAALYCKTSSNTT H257_18376 MRPRSSRRNHTASPINKRLQPATLTFGQPYQQPYAPNTITLPGK RAGRTRVSHTPVVTSSKPDEMTASGQNVCGRCDRRGHGASECYRRTMRCNSCGQMGHY PGEHVKLCHFCGQPGHTIAKCPSKPANQA H257_18377 MPMLQLPTPPRLREAEQALEQALRYDLVNTTLLRELSNEFVAVD KLEAAESLLRGSLLAGDSSLTRKTLQDVLVTHNSTSTGLEQYKKVVEGCSSMGDRATL LARCANLLSMLGRLEEAKEYLDTTSATRSDKEAFIGRQVQQYHHRVDGP H257_18378 METELLSKTWVEASEDRIKDKNQSKAKATAKPANVNVNVMEATP MEPNDTGESGATMERNKISTKEMASKKAPPMAKSVATVSTYGKAKFNSTPKITRTVWQ LPNTATDGKQSLPDAWSEARRDCNAFNWPIQAIRRRNQG H257_18379 MGKPNQAQLKWTGDLDLALLREVLRVKPYDGEHGTLIQRWKEVA ASLSLYSNQGIPHRSARDHYEGLVQAFKATDKSQRQWGTGSDEDVPEQVQLLQDLVDR RDAADTLKTAVKSKDKKRKESLESTGSQLCVEAEQRVSKRQRPMSAKRFEWSV H257_18380 MSGSKVTRTYETHCSTHGRLFHTVPTPNKFPTKSQSHVFCKVWF TDYAKHVASVHSTMQSPRLRLLRHSTASLCLRLLLIRRQAALERLTIPDVTFSLDKYS DADSKEKFWFVKEDLKRLRRCLNIPVRMVTAERTACTGIEALCIVLRQFAVPDRWYDL MAMFGRSCSCLCNIYLQTLDLIYNKFRDTIYLDFNRIRTKLASISKAIVDKGGEVHNV WAFIDGTVRECCRPAGDERQRSVFNGHKRRQAIKFQTLVTPDGIISHAFGPIEGRRHD QLSISKKNASWR H257_18381 MKFALLLALTVAVAAWAQDQIVPPKVAWGNEAPEDGFEILGGQE AQFGQHRYVAGLKKSPNNVTECGGSLIAPNVVLTAAHCLNIGLTSVVVGTHYLTGFAD GELANVTQEIKHPNGTDVGIVILDRNITTIQPVPVSFEFVPAGVDTWVRGWGHVKRGG PKSQVLKELNVTTWDNTRASAALLPYLVNDTMLGAGGVEGEDACQHDSGGPLTIEENG TVRLVGLVSWGFGCGLRGLPGIYERASAARAFIEPYLPK H257_18382 MPTSAISTPKKLTTITVKWECVEALGVKIMSVDDVMGRTGRSAS AVYKWMHEKRTLEQHVASGFGQQVRVVVRKPNRRKKRRSFFRGPRTPKGNLAHVVQWI KVHPPGSLDISSIDEKCHEVPGFAQKSAQPKKSFVRELVRRQGLRDFVNFGVSTAPVE AKI H257_18383 MAESFQRHEQLLEMLTRTQAAQVEASERMASQNIERATRQTEVR VEGLKMPKYYGRMDESISLYIHQVTTFFKAKNVDYQENDGTQQRCIAMMVANFRGLAA AWYQERLSRGESPNTLIELEEELRAEFEPDDLQDRLRDQLYELKQAQCASLTEYVAKF RRICTQVCDMTERDKVSWFQRGLRTRTREELQYRRCETVTLAIQISLDYERSHNSTLN GGHARDLDRFHRHDRRHQFNNRHQGSRPPHVYPPRQRQDDDMKVDNAQAQHRGQRQVG PCYNCGRMGHRISDCRSQPQNNQGRSQAQSQRNNISRHANRPQRPQRNTPSRQHNAQV SEVNSDTEDSEDDVEEVILGNNMGLAQQDSAEEESLNINTAQQAVPAQENKLMIVHGA LDSTSVRILIDSGASNLLCRPAQWYYWNTLVEDVKLYVATCETCSRYKTSSLRANGKM IPIPAPEECWQTVSADWITGLPVSKGYDAILVVVDKLSKRPKYIPTRSDVDAPQTAKE FFEYVVRHHGLPAMIISDRDPKFTSTFWKSLTEIMDIRQAMTTAGRAQADGATERQNP VAVLLMLQDPFHRLQLDRTRAIDCCITKVNSASPIELADAYYVCNHRTRLLSEHYADI GVSIYWNAVEMLSVVASQVELSPHWKKVTSIAILHAIGWL H257_18384 MVKAKAGRGKSWCPSSVDLLLDITVVSRTNRKRLPNISAPEAIA QCLPHRDVEALKRNFLLLKNVQKPTGHPYCPPTSCVQNVCKAKSRALWRSCRWMQPFR WMINLKTATTVASATLPSHLPTQLDHQHVEDGRTVFQPSEIQALSDKLKHKQPDTGCL LSYTAKKRRSIGKYIERASESDAKALFDTMTFLMVMSERDAKREERR H257_18385 MGPVVLSGFCVHCHAAGSELCVEELRGWFVSGSKSGSEEDEGSG ARIVPVAGTRVGVGIPFRVTSTLTMGVVVVSGVARAGGRPGSGGGGVMVVSVGCVSCF LGRRDPLVGKSFIGSPAAGGCKGECIACPRHHTRWSGAVEDEAKPWGLDLADVATADN RQARFRQYAMALARAVYNM H257_18386 MLRILSTTYVTLPPQTTPITPNNSTTASRTTYTSPPRPPPHSHA PATPPPLLADPASPPPLPGMHTARIQRDNVLRRETLEGEAYIGDAGSTPTLDLRVDSL RIAATNINKNTYGKLSAELATWPHNYGHLHMGEHTHPA H257_18387 MTTLHQMHTSSNESVNFLCGEFIQSVRAVFVMLTLTPTACGIAW RGDKEA H257_18388 MTNILAFLTVFATVASATANQNDNHELDAATEACLRARRSLDGE EPQLCDADQDYLGSSCYDKCPSGTTRVGYGCVSNCPPEFTDKGRTCLKKGEYSRGVGY PWKFGDTFFDSTGMFQRCENDYGEGNCEWYGAVVYPKCSPGFTAVECCDCHPPLPDCK SFGLLPVEGLLCRKKVIPLKSYSPKCRPYEDLVRGRCFPKCRPWYTPGLPV H257_18389 MPSHEAEVTAPSKRHKAAATSLTDLWFEWYARDPPMWQVGADRK KKSEAKLVVGFMKLLLHDGLELDPNAPSYRDDVLRFGSLADQRVLSFVHDIAPNVRSS GSVLRVLREQHRIGALNTIIGLFNAKVAKGGIKDRLQFNI H257_18391 MPPNPPLSNVFVGLAGSAAAGSAIRWGGIVVTHDACPVVHEFTL NGVRSSLVAGRKKWAVERSFLPACTSNLRRRASVNRPAAVAFSRM H257_18392 MSRPLIELLRKPGVLAPGVCVAADTAFPVKGGNRSIVTPLKSGD IDKTSPVLRAAVERVSNAITSLRQAAEWGMGSAPIVYRTLGLPLPYSPTVRARRLSTI YRLYNYRSFMLLLTFASFSLPIFTIQ H257_18393 MSDFLCPCHGRLFILGDSEPLFVTEELHVASGVSQGSPKASSAY DTPQPASAGSHVTPAPPRCEEKHVVLACLLHVYTAPVEHKTICELFGMPPTTLSRVLL NAECALLRALKSIPEASIRFPDHATQID H257_18394 METNAWGDEAPDDGFEIVGGQEAQFGRHRYVVGIKKSPVGETFC GGSLIAPNVVLTAAHCLDGVVPSVVVGTHYLTGFADGELANVTEKIKHPNGTDVGIII LDHNISITQPVAVSFEFVPADVLTWVRGWGNIWHNGPPSRVLKEVSVTTWNNTRTSAA LNRYRRVTDTMLGAGVEGENSCHGDSGGPLTIEQTVPCNWWGWSAGTLNVVCSARQVS MNASALLVPSLNRTCRIKSSLRVP H257_18395 MQRQQRRLRALEVGEFSVVLEHLVTHELREAHASRVKLYAEDSF EVTEEILEHVSEQGIMLKVKSIAGHKFVPDVKDFMLEVLWEGFEDIESSWEPLQKLMH ECPAVVKNYVEGVKAASEGDALRKAMKRAKAKN H257_18397 MALLSSAYELVQRLNAGGNTNIFLYRHLPSNDIVVIKKIPVTAS SMEVLPLDCAAYKEMHINHIIANHAYDGIVSMRDSFLVDDALHLVFEYCVGGDMLTQL VTSFNSTWTESDAVAVFKQVAGAVNHLHGLEIAHGDISLENILVHDGVHSVKLCDFGL AMVRAVTRYAGVGKLYYMSPEMHLRRPYNALASDRWALGILLFMLVTGRPLFEKAAMT DPTFHLFFHAQAADPATGIQRVLEAHPSSRSVSENAVDLLTKLLRPSASERLSMAQVL AHPLFQPEPPVVTRQRRAASSPLLSHISRASALPLSYFKSITTTM H257_18396 MWPSLEEHNLKKSGSALVLCAALLVRWLVSLHSYSGMSTPPMYG DYEAQRHWMELTYHLPVHDWYFYDLQYWGLDYPPLTAYVSYFFGYIAQFVEPALVALD TSRGYETPSSKVFMRLSVLVCDIVLFIPSLLLLAKGLYKQQWALRMEFLMLVLLQPAF VLIDHGHFQYNNVSLGFTTLAVASILQDHEVLGSIFFCCALNFKQMALYFAPAITFYL VAKCLYRPHAILHFVKLGVTVIASFAVLWLPLCASTGHATCGPTLAQMLHRVFPIARG LFEDKVANVWCCLDLFLKLRHHISPPHLVYLCTGATLVGFLPSVVDLVRRPPSRVRFF LALFNSSMSFFLFSFQVHEKTILLPLLPVTFLLSEATLLASWFGLVATFSMYFLLVKD GLVVPYVVAVVGYTAFGIVPYYWTKSRLSPISSAPGTPPQWQRHYVLVSVVGIFVLQV LAWVVPPPTKLPHIHEYLFALYSCGHFVLALVYTTYWQWTAPDATPKHKLE H257_18396 MWPSLEEHNLKKSGSALVLCAALLVRWLVSLHSYSGMSTPPMYG DYEAQRHWMELTYHLPVHDWYFYDLQYWGLDYPPLTAYVSYFFGYIAQFVEPALVALD TSRGYETPSSKVFMRLSVLVCDIVLFIPSLLLLAKGLYKQQWALRMEFLMLVLLQPAF VLIDHGHFQYNNVSLGFTTLAVASILQDHEVLGSIFFCCALNFKQMALYFAPAITFYL VAKCLYRPHAILHFVKLGVTVIASFAVLWLPLCASTGHATCGPTLAQMLHRVFPIARG LFEDKVANVWCCLDLFLKLRHHISPPHLVYLCTGATLVGFLPSVVDLVRRPPSRVRFF LALFNSSMSFFLFSFQVHEKTILLPLLPVTFLLSEATLLASWFGLVATFRFAP H257_18396 MWPSLEEHNLKKSGSALVLCAALLVRWLVSLHSYSGMSTPPMYG DYEAQRHWMELTYHLPVHDWYFYDLQYWGLDYPPLTAYVSYFFGYIAQFVEPALVALD TSRGYETPSSKVFMRLSVLVCDIVLFIPSLLLLAKGLYKQQWALRMEFLMLVLLQPAF VLIDHGHFQYNNVSLGFTTLAVASILQDHEVLGSIFFCCALNFKQMALYFAPAITFYL VAKCLYRPHAILHFVKLGVTVIASFAVLWLPLCASTGHATCGPTLAQMLHRVFPIARG LFEDKVANVWCCLDLFLKLRHHISPPHLVYLCTGATLVGFLPSVVDLVRRPPSRVRFF LALFNSSMSFFLFSFQVHEKTILLPLLPVTFLLSEATLLASWFGLVATFRFAP H257_18398 MERYTVQRVLAPALYGDVVLCRDNLTGDQVAIKRMNITAARSQT MVNGHRRISEDVMFEKHVNQVLSSEGGHQHILRMRTDFVQNGFEHFVFDFCRGGELFD VINASEKLSNDASLRYFRQILSGVRYMHAQGLAHRDLSLENVLLDEHNNAKVCDFGLA ASVPSLRHEGVGKAFYMAPEVVSRLSYDPIKADVWSLGIMLFIMLAGIPLVEMASDAD SRFRILKVKGLKKLVHMWNMTSVFEPEALDLLELMLHPNPEFRLTMDQVMNHEYVRNP VDEVAVHVASTKVEGMSSKCLSRAVAIKGKGIASSVMNCLRNMNRRPSSRKILAAPTQ SIADSVVTA H257_18399 MEHYAVESKLSDAIFGPVMLCKDLRHGNKLVAIKLINLAASARH VTASSHEDEARTVQEDNEMELAVYYAFAAHGGHAHVLNLHETFDDDLGYRHMVLDYCR GGELYDAVVAATALSLPLAQRYFRQVGQGLYFMHLCGFAHRDVSLENVLLDANNHCKL IDFGLATPIHSATPPTSRVGKLFYMAPEVYQGIPYDPVAADMWSLGVLLFIMVVGSPP MEKPHAEDERYALIHTHGIRGLLAAWKVHDRFTTDAVDVLNSLLCIEPRRRMSMPALL RHPFMQKEQDALNPSYSKVLDSPVSWTTLLQLFTRTSLIF H257_18400 MTQAPATTSSPAIVRCGGGISPEKPLVTRRSKPSLASIHHETHH RSDSCPHVVGGSYFLPPPAEVVTSVRTVVRIRPLPTARSTSSNKRPWLRCRHTLGDKA VEVVGAKPTDNKLYLADQVLSEDATQEDVFTTVGAPAVDALVAGYNGSVIAYGQVGSG KTYTMHGEGTQEDGWHRGLIPRILQSLFDTTAGLQQVECVVSFVEIHNEKIWDLLDVR AVDPKNIREDTRLNQVFVQDLVQVPVSSAADAIDWLEKGMKARKVTAPTARSAASSRG HGVFSIKLRQQLSDSSCRKTVLHCVDLAGSDKNLTLYPSTAAKDLKEASHINKSLSCL VGVLSALVDVSSGVKRHVPYRDSKLTFLLREALGGNAKTTFVATVSSEDKWMADTLST LQLVDRTTHVTSRVKVNDSDGVERMVQSLQREVATLKHSLAHERHRPDDVVVIPFVSP EDHTFKEVDEAGSAKERSASMPAQTLHEEKEALDPMNQVTRVVQVCSAPTHSDAEVNT DPEITSYMPSSSWERLPSMEASVVLFVVGYCVGRYFRP H257_18401 MQHCADMPSVIPRPKVSRRTSSSPDSHVLKLRASEVSPPSPSSP LKLHRSNSSPPKFSQTARLVNATADNCAATSSRVRTFLRVRPPTAGATTTTWLKCRPL KSGKVFIDVDHQKLSERKQYVVDGVLRSTSTQEDVFEAVGVASVANFLAGYNGSIFAY GTSGSGKTHTMHGELNPASDDRGLTLRILDHLFDQLQHSEFTCTCAFVDIYHEKLYDL LDGRDSGPKSLRENTACHQVYVQNLVEQPIRSATDAFHWLDIGCKARKAASNRDSSRS HTVFSIRLTQTKQSKTGTTKGMSSVLHCVDLAGSERPNHAAMNSGVKDATQINKSLSS LANVILALGDVSRGSRLRHVPYRDCKLTFLLREALGGNSTTTVVATVLADDKKGVSDT LATLQFVDRVKHVTTMVSRNEFLDDQVENQSSDGRMATDESVWASAALAVHPIPVSHL ITVLGGDGDPAEPTISTDKGITSDTLKRDSWTQNSPEKTIPLDLHHPSTFAMHRRSPN SPLLGKGTTSVERCPASAATALVSDAEPSDVISDDEVADVVQLDSVCPDKWELSTRSA DTTLTKRDSWAQDVATDSSSNTPPSSPSNQDSITRWQCAVSVPVQERDGIEDVEGGGV VKVTMSQPPDTIQLQPSATHDSAVATTATDVAVPSSSAPPPPPRQVLSVCACVSALEA SHYDTTPLPSSKPDKTLTSIAAAEATPVTQDSSTQAGDGLVQEEVEKPSVKDDAAISG MALFVVGLCLGGCTVGLIVYFKQGR H257_18402 MKGVVSVHGKLNFVTVTMKGRLLVGASTAWLVWATMGPVVAHHR LLQESTVDYIPMDDPRPTLSPWEDDIPTLIPTPASTTKP H257_18403 MADECTVPHLGSCPTTCPSTRTNNACIKFPNRNDCKQGEACKLI AVSENCGVQYACQPTVVSDPGTDFTFTIGINDQPNRAIISNIYGYTLPSSVTGTVTLQ GVKDISRKKVIVDSSFLSLAKPTSFVVDNVELLQLTSLPASVTSVTIKNSGVVELAKV PLGPGVTSLSLEGNNSPVPTFPDPSSQDYAKFAGLTRLDLTNCQLPQIAWIFPPKLEH LVLTKNALTRIPPTVFGLNLTTLSLGENPLGNSAISSAEFEALKRIKQLTLPSGAVPP CEAGATLQPISTSGSLCVTVTPPSSSPSTGIIVGLTVGGAVLLLIVGVIFYRRRKAKA TTTYHPYDNRRPSNPHRRSDCSFPRPQHPTGHKSDPHRGSSAEPLPRYTSTATGGSSS NPNNNPFGPFTNDKDAPATLGKSYFHTGTPNRTSTVSISDALNPAIPMLEADDMVYTR VLGRGAKGVVWLATYAGQACAVKKLADLAADADDALRNLIVESNLLFRLQHPRIIGLL GVVVPTSDDIALVMEFMDKGDLFECLQRTKQPTNAVGWAGDKGTYALHVAEGLASLHT RSPPIIHRDIKARNVLVDSAKGAKICDFGESRTRTFEETMTSNVGTARWIAPEVLINE DYSEKADIYSFGVLLSELDTHAIPYSDSTLEERQIMQLVAVNRLRPTFSPDCPEQIQH LARACMQADPMLRPDATRLVRTIMTILGSFVTL H257_18403 MADECTVPHLGSCPTTCPSTRTNNACIKFPNRNDCKQGEACKLI AVSENCGVQYACQPTVVSDPGTDFTFTIGINDQPNRAIISNIYGYTLPSSVTGTVTLQ GVKDISRKKVIVDSSFLSLAKPTSFVVDNVELLQLTSLPASVTSVTIKNSGVVELAKV PLGPGVTSLSLEGNNSPVPTFPDPSSQDYAKFAGLTRLDLTNCQLPQIAWIFPPKLEH LVLTKNALTRIPPTVFGLNLTTLSLGENPLGNSAISSAEFEALKRIKQLTLPSGAVPP CEAGATLQPISTSGSLCVTVTPPSSSPSTGIIVGLTVGGAVLLLIVGVIFYRRRKAKA TTTYHPYDNRRPSNPHRRSDCSFPRPQHPTGHKSDPHRGSSAEPLPRYTSTATGGSSS NPNNNPFGPFTNDKDAPATLGKSYFHTGTPNRTSTVSISDALNPAIPMLEADDMVYTR VLGRGAKGVVWLATYAGQACAVKKLADLAADADDALRNLIVESNLLFRLQHPRIIGLL GVVVPTSDDIALVMEFMDKGDLFECLQRTKQPTNAVGWAGDKGTYALHVAEGLASLHT RSPPIIHRDIKARNVLVDSAKGAKICDFGESRTRTFEETMTSNVGTARWIAPEVQYGT DRIRC H257_18403 MVTRSVVDNVELLQLTSLPASVTSVTIKNSGVVELAKVPLGPGV TSLSLEGNNSPVPTFPDPSSQDYAKFAGLTRLDLTNCQLPQIAWIFPPKLEHLVLTKN ALTRIPPTVFGLNLTTLSLGENPLGNSAISSAEFEALKRIKQLTLPSGAVPPCEAGAT LQPISTSGSLCVTVTPPSSSPSTGIIVGLTVGGAVLLLIVGVIFYRRRKAKATTTYHP YDNRRPSNPHRRSDCSFPRPQHPTGHKSDPHRGSSAEPLPRYTSTATGGSSSNPNNNP FGPFTNDKDAPATLGKSYFHTGTPNRTSTVSISDALNPAIPMLEADDMVYTRVLGRGA KGVVWLATYAGQACAVKKLADLAADADDALRNLIVESNLLFRLQHPRIIGLLGVVVPT SDDIALVMEFMDKGDLFECLQRTKQPTNAVGWAGDKGTYALHVAEGLASLHTRSPPII HRDIKARNVLVDSAKGAKICDFGESRTRTFEETMTSNVGTARWIAPEVLINEDYSEKA DIYSFGVLLSELDTHAIPYSDSTLEERQIMQLVAVNRLRPTFSPDCPEQIQHLARACM QADPMLRPDATRLVRTIMTILGSFVTL H257_18403 MVTRSVVDNVELLQLTSLPASVTSVTIKNSGVVELAKVPLGPGV TSLSLEGNNSPVPTFPDPSSQDYAKFAGLTRLDLTNCQLPQIAWIFPPKLEHLVLTKN ALTRIPPTVFGLNLTTLSLGENPLGNSAISSAEFEALKRIKQLTLPSGAVPPCEAGAT LQPISTSGSLCVTVTPPSSSPSTGIIVGLTVGGAVLLLIVGVIFYRRRKAKATTTYHP YDNRRPSNPHRRSDCSFPRPQHPTGHKSDPHRGSSAEPLPRYTSTATGGSSSNPNNNP FGPFTNDKDAPATLGKSYFHTGTPNRTSTVSISDALNPAIPMLEADDMVYTRVLGRGA KGVVWLATYAGQACAVKKLADLAADADDALRNLIVESNLLFRLQHPRIIGLLGVVVPT SDDIALVMEFMDKGDLFECLQRTKQPTNAVGWAGDKGTYALHVAEGLASLHTRSPPII HRDIKARNVLVDSAKGAKICDFGESRTRTFEETMTSNVGTARWIAPEVQYGTDRIRC H257_18404 MYRVEGPIATSASGGGVWLCRNAKGRQSAIKRCGHSLTSSVGTD DDLDVGGQSQHDIERRVNVTLKRHRHRHILQMEDYFEVDGFHHLVFEYCPNGDLLSHM KTLPHGRFPQAQAVRYMRQVVSAVDHLHTHGIAHRDLSLENVLLDNNRDCKVCDFGLA VAVPSVHDDAVGKLNYMAPEVYAEAEYDPRVADVWSLGMMLFIMITGVPLVNVPDEAS DKRFFMLTHFGVLALVNRWKLEGLFSEPVLELIERMLDLDPARRIDVRGVKKALGKLK RGHVHPAEFRPTTRFAAFLGPLRRWLL H257_18405 MLRTFLRCSGSGRPFATSSHAAKVNGADVPSFRRPSPSTTNFPK RSAGYQSTRAMNESAHRSEHQPKSHKLKNGRPVITVIGVGGAGSNAVNSMITSQLEGV DFVVANTDCQALARSLTPRQITLGKELTKGLGAGSKPSLGKSAAELSHDGIVAQLEGS NMLFVTGGMGGGTCTGAAPIIANIARDMGILTVAVVSTPFRSEGPNRTRLAIQGLAAL AQSVDTLIVVPNQNLLALSNASTTLVEAFRYADAVLLEGVKGVTDLIVKPGLINLDFA DINTILSKAGRAMMGSGQAAGANRAEEAAYAAMSTPLLGDLPTEHATGLLVTIRGGED MTLYEVDTIMGVIRDKVAESANVIFGTCYDPSIEGSIHVSVIVSGIKMDQFTPPAGKV RKTAADSDVPTTTTDEPAKESTGLFGFFKL H257_18406 MNFQAIPKANLVCPTTSIKHSRWLSSPNLSILPPSSLHDNYPLQ LDTPATDDDNDDNDSVLSDPPTTADNIRALVRIKPSSVGSTERTCLSVDGASVSLTPP IASRAVFDKKTFSLDGILLESTSQEEVFQAVAVPLVANVLEGYNGTIFAYGQTGSGKT YTMQGDLAGEQHRGVIPRTMDHLFRHLTDQKTIFTCTCSYLEIYNEKIFDLLDESMTS EAKLLREDAVSGIFVQDLLDIQVHTPSDALDLLTRGTNNRTVGATAMNRESSRSHSVF MVKLVQKLKDDSGLDIVRKSTLHLVDLAGSEKQSATGATGTRLKEASQINKSLSALSN VITGLVDVSKGLKRHIHYRDSKLTFLLRDALGGNSKTTVVATVSAHDKWFHETMSTLQ FVQRVKCIKNNAKKYEDDSAVIARLEMQVRELQRRVDDSAVPRVEDMQAMQQKADELS AMKVNNEKLELVVTLLNGKVTTTQEELHATQQKLNDVELALSQSKAECESLLVKQTLL ECKLTGQGRNDDVIAPMETVEGTTEAQTIKSLQDIVAELHSQLIAAENARGLAEKRLK DATNQKKDFSLFKGILRRRSSGGGRKWWQRWKKPLSDASNP H257_18407 MEATPVEAKPTPFSPTAKLRKLLSPSSARHLRSPSSPSMERVSG ASSRPCSPGGLERTSSIPRLNSSSKVHTLARKFELKIETNAAAAAASSSTTSAGIVHN NQTTHKDIVTSPVPATDEPQTVSYPVNPNEESIRALVRIRPSAPLRDEIDPSVVIIPD KSVRRCLEPDATSINIVNFKQGADKRQFSVDGLLLDTATQDDVFKAVGMRVVDNAVDG YNGSIFAYGQTGSGKTHTMQGDMTEGSAERGVIPRILYYMFERLTASQTSFDMTCSYL EIYNEKIYDLLDVISDEPKYIREDATLGLFVQDLVEMPVASPRAALQVLDDGGQHRTV GCTAMNRESSRSHSVFTIKLTQRLDEAGMEITRRSTLHLVDLAGSEKQSHTAAVGTRF KEATQINKSLSVLGNVMTALVDVSNGIKRHVPYRDSKLTFLLRDALGGNSKTTLIATV SSEDKFSNETLSTLQFMQRAKHIKTIVNKNEDTRTVIKQLQGELAGLRGQLDAATATI DADKCKRAALHQLVERLQLQTQDKAYVGRLETKVEALEGQLTVQTAVSEALRDAIKTH AQDAAHAHDEVDECQRMLKQIRLEFERARQTHTSDACLVATLREELVKRDNDHATQSD AWSQKLAALQADVSSAATHLAAKCLEADGLKQLVSELKLQLDDYRRVSMEVATATTVD VTPSHVTPCMSMASSLNAMSSTPPISEDGQVLLSPRMMSTTRRSGHRRSESDLSDEFR QAIGSFSIPASMDEAHRDLQLQHDQLLHVMQKLDGLRNSKKFLQHALQTTLTDNAEMV QSLKKLQVERNTALDALQQTLAGEKKARKQQQQSFKLHSLEGDDESDVATLPTMLKRA NTTFHGFSTIPKEDELKQLAADKVALTSRLKATQAKVGALEDQVKKLRGNLPATDNTS AWAIEYGRVTQALLAAVITLHEDVEGRHKTWRALQQEPVELSHTPFYEGLVENMVDKV KQLTSTVDNQKLAIQILEKELHWHKLTKDIQTK H257_18408 MAFSSLRGKELVDAELTSWSQRILPQAGPSLLQNGYAVMDNAFH PSLAQALKAEIQSLRGDLYANSTHVFVAGKNEPLLLEKDHIHEMELLTVPPSHAHLNQ WFHNPILRESLNSAIPSLEAARHMIKVQFNEGHGGCFPMHFDTYGDDGKCLTAILYLN EEWVHGHGGELELFPFPHAPVTIAPLFNRLVLFSSTQMLHRVMPATQPRYCLTTWLYR SPQVTSSPPLLPPPPLKGEEAYHRMLRKLMISPFRRHLAKLRYADAWKQSLVASHKPT PSFHAYLDTFHNDIAAIDAATLKMLKTFAASKDTDKTTFPTTPAAFLRRLHLEFQGTP KKMSTPSVPWWH H257_18409 MRWVATFTVLWTVLTSVAGGCCNNDCSGRGKCTIVGSGCICQCF AGFAGADCSRRACPVGKSWSSFAAATDVAHLPDVCSNRGVCDESSGVCQCDPGFTGAA CDRLACPLGCSNRGECRSIKFQATQKDKGMPPPVVYTANWDSDMVYGCLCHDGFYGAD CSQRRCPTGDDPLTGFTGDPIFGQQFNEKQSVSCSSTGGSFTLSFRGQTTVPINSNDP VDAMTSKLQAISTITQVLVLFSSTATTACPPGGNVIVVEFVQDFGPLPLLVGNPNNLV YTNVGGSVALTVARLQVGNKENLPCSNRGTCDVTSGICACYDGYTTSDGKGGWGIRGD CGGVLGSITACPGVVTCSGHGYCTGSPQYACVCFGGWTSGDCSVRTCPQGPAWFDMAV QSNDAHRYAICSNAGVCDSATGVCNCAPGFEGSACQRMRCPGVGDPPCSGRGQCLTQE RLALLTTANGDPTPLVYGSIPNNPATWDFNKIQGCVCDKGYGGHDCSQRVCPTGDNPR TTGQSNEVQTITCTAITASTFQLRFRGATTVPISTAATTAQMASALLPVTGFVRVTYA SGVVACKASGPANVITVAFVTELGDLPAMQVTGVDATKISSFVINTDGVAGSVQGTTE NIECAGSGLCDRAKGVCLCFSEYSSSDSANAPGIREDCGSIRQQPLYS H257_18409 MRWVATFTVLWTVLTSVAGGCCNNDCSGRGKCTIVGSGCICQCF AGFAGADCSRRACPVGKSWSSFAAATDVAHLPDVCSNRGVCDESSGVCQCDPGFTGAA CDRLACPLGCSNRGECRSIKFQATQKDKGMPPPVVYTANWDSDMVYGCLCHDGFYGAD CSQRRCPTGDDPLTGFTGDPIFGQQFNEKQSVSCSSTGGSFTLSFRGQTTVPINSNDP VDAMTSKLQAISTITQVLVLFSSTATTACPPGGNVIVVEFVQDFGPLPLLVGNPNNLV YTNVGGSVALTVARLQVGNKENLPCSNRGTCDVTSGICACYDGYTTSDGKGGWGIRGD CGGVLGSITACPGVVTCSGHGYCTGSPQYACVCFGGWTSGDCSVRTCPQGPAWFDMAV QSNDAHRYAICSNAGVCDSATGVCNCAPGFEGSACQRMRCPGVGDPPCSGRGQCLTQE RLALLTTANGDPTPLVYGSIPNNPATWDFNKIQGCVCDKGYGGHDCSQRVCPTGDNPR TTGQSNEVQTITCTAITASTFQLRFRGATTVPISTAATTAQMASALLPVTGFVRVTYA SGVVACKASGPANVITVAFVTELGDLPAMQVTGVDATKISSFVINTDGVAGSVQGTTE NIECAGRYS H257_18410 MNNNQEQDTANSVEEERETMRKQSTATSPSFHSASCVLDGSLME SNELKCVAVRYFHGRFTQALSPATLVAPSSLPFSRQWFSKSDKPKDRLDYAAFLRLFP ELQVRVGTPAAQACWRLFQPGDIHACLRVLKAIYTDPHDFVFDWFAAASLSFGFTKPK DSLSKKEYKALTDVLGPHTTTAAVSMESMSRDAFKRHVPRATLCSLLSIFNIFPSRES EQAQIHAVIPAETLKPSDAYADSFGYMVSMTWWLQWVAYTTPISVDNQLDATATTQRP PSVYNFDLVDPTTGNLKPHLERHRDFEVVTRASWLALMELYGGGPALPHSVGSSYHQR INVYLAECDGRPSQRHRHVHVLHPSRPDESGGGGLLQSLVSLFGVRIPVDKLRLWHRS SASKDEVVAEWVLWDRSSVLLAEVLIEVMYSSEWPRSKLKSSRDFRPLRIGDPVDAYD CERVWRSGIVQRLSTLDTNRVCVHFTGFSSVYDEWIHEESGKLQPRNSRSSSGLAGGL NPALPRGGGVGLLNLGNTCYLNCAVQCLAATSAWRSYFVDKVYAKHVNRHNKMGTKGK VAASFASLLSNMWKPGASTTSITSPSEFRKVFGRVKPQFDGCDQHDGHEFVAALLDAL HEDLNCPTTPNGSSNEYNNGHLARTSTTRSSNDDDGHLARTAWQHHVERNQSIVVDLF HGLLRSQTTCGQCGHRRVQFEPTLFLSLPIAQLHKSTILRVWMHPLDPAVLPYGMEIP LRSSEGNAKMVLDEIARQASLRPDRLRLIQVQNHRFARLIAVDTLTCDLESAVLCCFE RGVSTPTTAVPSNLSIVDIQVVHRVATASSPPYTLLGLPFVFSIDADATCHQAHVYLR QSLRRYVEFPDGAYTLRALSQVDVTFKEGGIVPPSSSDRLLSVFMPPQLLVVDWTSAE YIDRHQGTRWMLTRPPHPSMTLERCLQNFMTHEDIVDCHWTCESCHATDGSRQMDMWK SPDVLMLHLKRFHYSMVQHHKVQELVTFPLEGLQLRPFTAPDNDHDDDPNADVYDLYA VANHTGGLSEGHYTAYCRYDLHTTTAIANNVVPPTHIWLNFDDEVVSELPRSKVVSNA AYVLFYRKRQLSTTSVLRVL H257_18411 MKSIDDSQWNPVVLGCMRVCRDLLEHRNVPQAFGMVGFNAPGGT PGDPSTSSPTASTPSTSRWMRPLETLPDRPRHHHTHASRSTCTRTTLCLRYSAEARHR AAVDQGPVRDVGGRGVPRGDTVLVVTRPNVPLAPSSDQRSAVDVLMQHIREHDTKEAL CCFKLD H257_18412 MPVHETSPYPTVATYPKLVHGVTAKIQITDLKQSLESFVNKYAN RLFNSTEGGQSWHWIYDQAVELASTEVNNTNVKVTVRPVTHEWGQYSVIARVEPTTIV KDDIVILSAHLDTINDVDWNDTVKRYIAPGADDDGSGTVTILKTLKYLLTAPIRPVEF HWYSAEEFGLQGTKAVAEEYANASTAVYALMQLDMTGLVATYVGLRVNHSACGYNCSD HYSWNISGYPSSFPFETELIDLNPNYHSQNDTVDTIIFQHGRFHQARDCVRCRADSGQ RHVMLITSLFHRSTVHMGYR H257_18414 MLSMPALKSTLPFGAPFTWSTISDLDLFTVNSRGDRHKDAVKTL WILLTASTLNLIWTQHNKVQYEDANPLPLPQWFELSFLGWMTSVRRWLRLQDHDCAIR TSALHVLHTLRGQANYRRLWEQHPNSLLLAPTAATN H257_18415 MLIQMGVNYEYLPTKTLNARGDNTVWINVWRQVEGPRNGHGYGG LDRQEVPLVHGVEDACFQDHVQENLTLRQGFGKQPWKDVETL H257_18416 MEKARPFVQFPNVVFGHSDRPAVGIVNHVSSSSGTRTMWLNENV TPPARPPGRPRPKEGPKKPPKEFRNVHVSFKKKQAVIDSFDEIAMAATFMKHFPHLRG PPLDTTRLAQATCSH H257_18417 MNDDEVTLELDFDHDALAKTPKHTPLWDDDGVAALFRLRYKSQL SARIYSKNNADKKTA H257_18418 MISQVLSRSRPHKMTAAVRYVKSFIHGKHVRGRGVARTLLDSST STPATEFHDVDEGQVSDAVESAASAQPAWAALSPAARGTILRRAAAILNEETNDIQVL ESIDTGRVISEMEGDVLSATDCLEYFGGLAPTLGGQMLDFDAPNWAYTRREPLGVTAG IGAWNYPLQSAIWKSAPALAFGNAMVFKPSEETPLTALRLAQVYAKAGLPPGLFNVVL GGGSVGASLVEHAAVRKVSFTGSVSTGKKVYASCASQLKPATMELGGKSALIVFEDTD VDDAVAGAMLANWYSNGQVCSNGTRVFVHASLHDAFVSKLVARTQQLRVGPPLNPSSQ VGPMVHASHLAKVREYIRIGVVEDKATLVYGGTFPSTTSSRIDPRGSYILPAIFTDCR DDMRIVQEEIFGMVLCVLPFESEAEVVARANATSFGLSAGVFTNDLKRAHRVIQQLDV GTAWINTYNLAPVELPWGGSKQSGMGRENGVAAAQSWTQLKSVYVAMEDKLANPFD H257_18419 MTSDTTTERMVTKTPLLANERANFALVNHKVKQLGQVPVLLPLF DSEHLPEEKCILTFLAYLHSRLLGASREIHAAFCLQRWWVQRSRLKQQDALETQQNDA AMAIQRWTRRGLQMWRCRQTWTQLAFQLTLALREESAENVQDEGIPLRCHLKQALAIL ETSPWVHQVLQAVKTLEICVRVSKECRVECLAHRVPRLLYKTIRKYNRSRRHSELLHQ LLQVCLYLTPSRGEATTTMSTLVAADGQDVDGVAESLELWMDMLHMHRESTDLFTLSA RLCKRTLATLNANKWSIDKALWRLRHLHAMMAKTAKTQWNVDRVAPMTHYTKDHLHPQ KAASILHALVDVVCMIP H257_18420 MEADSTETSARAQDTTSCAPVSYQFHLFGAFNAVCSKGKNGVQT CQAISSYLQDRAAHEQFYSKQLAKIQQNVKTEDWAKHVANTWNTFHHTIAAISLEYAE FSNMHTSSIVSGMKACTSQQESQIQRLITEGSKLRTQYVECMNKMSKAKERYDKKCAE AIDTIQSIRRPPAADGTSDKVDIFSKVWDNTAKGLGLGSLERQKQRMASCLEDVVASE DAYVRSVDAMNIQYTSYERQVQENLIAFEVTEEQRLEYIKDLLVRSEKSRNAMMGRVE RLISGMREALKSIDVLDDIDDGFTKLLGLKHKEGNDVELQVDISPASITALVLKMQTM TDQGVVFTHTMLNTLVECISAEETLVGALERIHTSYSPLSNEAATLFSSAAPVVFAEG TTLDRGWGAVLMHMQRVAYLHKEFGSLLAEPVSLSLDTMKTEYIETKLKMDDELHHLL ASVATDMASHTKLEQKLDAKSKELAVTKASLASNIEKDAMLQRFMESPVDRERKLVVK SDLLQDEVADLKGQVDASGAAMTAKLELRRQDICQIVSLCMKNEKYRLDVKKSSLRSL VKAHEHLVAGLLHASTAVLREVETISPVSDIREFIRQAQVPWQAHEPMIPTLHGNALL ATELKTFEKTPQPKLSRALSSLSIRTATIAPTDDSNNKTAALQDKVLAAEAEKEDSFG HSDLQKNFNLPPSEQVVTSFSCALYLNNFPHHGRLFLSQSYLCFAGWRESYVVVPIIE IAIVEKKNTALVVPNGMELTTTTQGKHFFASFIFRDECVQAILQLQQIKKQTQDILAP ELTTALSETPSDDPTIAFVPAATTTLDLVEELAILSQDYDTVVDQLVLPFSVDVAFTQ FWQPTTFLTTLLESCGETLVNVGSWHSNETSYAAFDRLEQFQAVRTVAYTHNKKYMVG PSSIPTVQKQRLKYDKANGCLVLTVTTTVTDAPYHDYFRAESRWVFSTAATHSPNECR LDTGVRLHWIKNTWLKKQIESATASESKETMKYWTVQAIEAYQAKPAQDVPAASPESS PEVEQPKTTRNTQVLPRPRSIAESFPSRSRDILVLVGLAIVLWYVHQLTATLHHVVRV QADQQKLLDAILAQLSSRPR H257_18420 MEADSTETSARAQDTTSCAPVSYQFHLFGAFNAVCSKGKNGVQT CQAISSYLQDRAAHEQFYSKQLAKIQQNVKTEDWAKHVANTWNTFHHTIAAISLEYAE FSNMHTSSIVSGMKACTSQQESQIQRLITEGSKLRTQYVECMNKMSKAKERYDKKCAE AIDTIQSIRRPPAADGTSDKVDIFSKVWDNTAKGLGLGSLERQKQRMASCLEDVVASE DAYVRSVDAMNIQYTSYERQVQENLIAFEVTEEQRLEYIKDLLVRSEKSRNAMMGRVE RLISGMREALKSIDVLDDIDDGFTKLLGLKHKEGNDVELQVDISPASITALVLKMQTM TDQGVVFTHTMLNTLVECISAEETLVGALERIHTSYSPLSNEAATLFSSAAPVVFAEG TTLDRGWGAVLMHMQRVAYLHKEFGSLLAEPVSLSLDTMKTEYIETKLKMDDELHHLL ASVATDMASHTKLEQKLDAKSKELAVTKASLASNIEKDAMLQRFMESPVDRERKLVVK SDLLQDEVADLKGQVDASGAAMTAKLELRRQDICQIVSLCMKNEKYRLDVKKSSLRSL VKAHEHLVAGLLHASTAVLREVETISPVSDIREFIRQAQVPWQAHEPMIPTLHGNALL ATELKTFEKTPQPKLSRALSSLSIRTATIAPTDDSNNKTAALQDKVLAAEAEKEDSFG HSDLQKNFNLPPSEQVVTSFSCALYLNNFPHHGRLFLSQSYLCFAGWRESYVVVPIIE IAIVEKKNTALVVPNGMELTTTTQGKHFFASFIFRDECVQAILQLQQIKKQTQDILAP ELTTALSETPSDDPTIAFVPAATTTLDLVEELAILSQDYDTVVDQLVLPFSVDVAFTQ FWQPTTFLTTLLESCGETLVNVGRCR H257_18421 MAAVANKLDDSWDDLSTVLITELSPPQSGDQLDWSVSMVEVEAH DDGADDNWREPSVAAVANKLDNSCDHLSTVLIGDSSPPRSGNQLDWSVSTIEDEDNSE NWREPAMAAVAHKLDDSWDDLSSVLIGDSSPPRSGDQLDWSVSMIEVEAHDDGADDDW REPTVVVVATQLDNSWDDLPLSSTSSVIDVSTLDSTWGCSILYSKDALSCILDNVMAT ETRRPSKIHPAMVQPLSAALAITGPPAHSLPLPKFCVPSSPNRTTVPMDNERKAVVSP DQSVATNNATAPAGHIDGARPSVQVGRRRSYFSLVWETMWRILWVGYVMFAVIGENDA VPASSLQDAPTKVQVGAHCDQSAGKSGQQLEAMTKTVVEWTVAMNVPKHQVHLPFEES HKVSWDTAMEDLRRRLGHELEPMARHGAGVAAVPRAKSRREQGVRTDQMEVEVVDNVT VTAAARNSTLHKLDRGALLSFYMSTLFAQGNELLCPQVCRLLSGLKFGSSLSSILAPT LAKQSVEDNAVVLVMAFVVCLKANANGSGGEKKRTPDVLKTHLVASFVKVLVTPQLEA AYSTLVYEGMRIATACSWIVATQLVAETNLAGLLQLLRESSLRKVVASYHAELVDVIA GIRTTHAEDKRLLVDDLSLTENAKV H257_18422 MIPAVVDVAFVTLWDDGQHARFSCDLFRLSTCCSQEGLWVLGHG QLQQIRLSFDDKITIYGCLGSPKVDWTRRLASMKQLEAAAGDLSRDAVVPAKAVLKIT SMTDAFRVELADHRPAVVKQTCGLLGALAWACGASFTCVVEALLVPILLMAIKKKQTK VIATAARHCLDCMAKASRFAIVILEKTYHHAKQDDALCMMCLSLAELVLRHGDVDNVM SREVYIPLRRLILKTLRDHNVAVQTHGRMALCLLCEYGQECIVELRHVVDTDLLELAM AEYPESLLATTGRQPEEVATEASMLSVIPEGDEGDVDVSWDDLSTVLIGDPASPRSGD ELDWSVSRIGDEAQDHGADDDWREPAAPFVANQLDVSRDDLSTVLIGDPAPPRSGDQL DCSMSTIEVEAHHDSSSLQDAPTKVQVGAHCDQSAGKSGQQLEAMTKTVVEWTVAMNV PKHQVHLPFEESHKVSWDTAMEDLRRRLGHELEPMARHGS H257_18423 MAPKTQHRTFNADRTSMPTVWPLRSSSVVVATATKPIHPSTRII RARPTTRQRRCGHTSHRDIHLRSHVILGAAFIIALDRRFRGIVAAEDMASKISLVSIA FVCAMTSVAVSTFNGDATPLQDPTVLRGYVWAVMVKDLVLRLASLGTKALVGLVLVND TASTHRRQQRMYAVIEAVAHLVRSYAASFSWWTSFYEYNYPYFNIECDVESTVHVLVT NRLEFGSHVSPSDVGNAECCICCAVARVTGVQPHEMPRMRGPEVSSRTVVSDL H257_18424 MLRNLSKSLNALSKTLVAVRPRSHVGLTHAPLRAALVSGAVKSD MGGRTYSTTTPPSPKLDDIKAHIAMMEQLTCNGCGIELQFDDIAKVGYCTEKALESLE SVREISTSLICQRCFQIRNYGKVTDSRMPYDEYEKRVKALKPRDMLVVQLVDILDITG SLLGNARHVVGNKSVMLVVNKGDLIPVKSGSRRLLRRIKQAAADFGIENVIGIRLISS VKGAGIPEVVSDIKRYRQGRDICVIGAANAGKSTFLNALLKHSTKNKRFLSKAIKSGI PSIEDVDVSEVVPEAPTADADVAEEDIPEGDLISLKQRKQLAKKKTDVYTMTTSSLPG TTLAVSPIPITLGDESCNIFDTPGLIVNRKRQKLIEFLSKSSVDELNSILPGKKLPLT IFKMTPGRSLFLGAMLRLDYENDMVKESKAATNSLLFSWYGVLPGHLSKTANAEETFM KHAGGLLSPPRGLDALSFTGPLVHRDQVIVKDYVGQDVMTRSSNPKKPKRTTVLELVV PGFGWLGVTGIDMDGTQTLEKTLKSARIQISTCEGVEVHQRSALYPFEMTDTNKNLWK QN H257_18424 MLRNLSKSLNALSKTLVAVRPRSHVGLTHAPLRAALVSGAVKSD MGGRTYSTTTPPSPKLDDIKAHIAMMEQLTCNGCGIELQFDDIAKVGYCTEKALESLE SVREISTSLICQRCFQIRNYGKVTDSRMPYDEYEKRVKALKPRDMLVVQLVDILDITG SLLGNARHVVGNKSVMLVVNKGDLIPVKSGSRRLLRRIKQAAADFGIENVIGIRLISS VKGAGIPEVVSDIKRYRQGRDICVIGAANAGKSTFLNALLKHSTKNKRFLSKAIKSGI PSIEDVDVSEVVPEAPTADADVAEEDIPEGDLISLKQRKQLAKKKTDVYTMTTSSLPG TTLAVSPIPITLGDESCNIFDTPGLIVNRKRQKLIEFLSKSSVDELNSILPGKKLPLT IFKMTPGRSLFLGAMLRLDYENDMVKESKAATNSLLFSWYGVLPGHLSKTATHRVDAE ETFMKHAGGLLSPPRGLDALSFTGPLVHRDQVIVKDYVGQDVMTRSSNPKKPKRTTVL ELVVPGFGWLGVTGIDMDGTQTLEKTLKSARIQISTCEGVEVHQRSALYPFEMTDTNK NLWKQN H257_18424 MLRNLSKSLNALSKTLVAVRPRSHVGLTHAPLRAALVSGAVKSD MGGRTYSTTTPPSPKLDDIKAHIAMMEQLTCNGCGIELQFDDIAKVGYCTEKALESLE SVREISTSLICQRCFQIRNYGKVTDSRMPYDEYEKRVKALKPRDMLVVQLVDILDITG SLLGNARHVVGNKSVMLVVNKGDLIPVKSGSRRLLRRIKQAAADFGIENVIGIRLISS VKGAGIPEVVSDIKRYRQGRDICVIGAANAGKSTFLNALLKHSTKNKRFLSKAIKSGI PSIEDVDVSEVVPEAPTADADVAEEDIPEGDLISLKQRKQLAKKKTDVYTMTTSSLPG TTLAVSPIPITLGDESCNIFDTPGLIVNRKRQKLIEFLSKSSVDELNSILPGKKLPLT IFKMTPGRSLFLGAMLRLDYENDMVKESKAATNSLLFSWYGVLPGHLSKTASTNNYEL PTYNLTTKLVQLKHIE H257_18424 MLRNLSKSLNALSKTLVAVRPRSHVGLTHAPLRAALVSGAVKSD MGGRTYSTTTPPSPKLDDIKAHIAMMEQLTCNGCGIELQFDDIAKVGYCTEKALESLE SVREISTSLICQRCFQIRNYGKVTDSRMPYDEYEKRVKALKPRDMLVVQLVDILDITG SLLGNARHVVGNKSVMLVVNKGDLIPVKSGSRRLLRRIKQAAADFGIENVIGIRLISS VKGAGIPEVVSDIKRYRQGRDICVIGAANAGKSTFLNALLKHSTKNKRFLSKAIKSGI PSIEDVDVSEVVPEAPTADADVAEEDIPEGDLISLKQRKQLAKKKTDVYTMTTSSLPG TTLAVSPIPITLGDESCNIFDTPGLIVNRKRQKLIEFLSKSSVDELNSILPGKKLPVW RVYGTYWSN H257_18424 MLRNLSKSLNALSKTLVAVRPRSHVGLTHAPLRAALVSGAVKSD MGGRTYSTTTPPSPKLDDIKAHIAMMEQLTCNGCGIELQFDDIAKVGYCTEKALESLE SVREISTSLICQRCFQIRNYGKVTDSRMPYDEYEKRVKALKPRDMLVVQLVDILDITG SLLGNARHVVGNKSVMLVVNKGDLIPVKSGSRRLLRRIKQAAADFGIENVIGIRLISS VKGAGIPEVVSDIKRYRQGRDICVIGAANAGKSTFLNALLKHSTKNKRFLSKAIKSGI PSIEDVDVSEVVPEAPTADADVAEEDIPEGDLISLKQRKQLAKKKTDVYTMTTSSLPG TTLAVSPIPITLGDESCNIFDTPGLIVNRKRQKLIEFLSKSSVDELNSILPGKKLPVW RVYGTYWSN H257_18424 MLRNLSKSLNALSKTLVAVRPRSHVGLTHAPLRAALVSGAVKSD MGGRTYSTTTPPSPKLDDIKAHIAMMEQLTCNGCGIELQFDDIAKVGYCTEKALESLE SVREISTSLICQRCFQIRNYGKVTDSRMPYDEYEKRVKALKPRDMLVVQLVDILDITG SLLGNARHVVGNKSVMLVVNKGDLIPVKSGSRRLLRRIKQAAADFGIENVIGIRLISS VKGAGIPEVVSDIKRYRQGRDICVIGAANAGKSTFLNALLKHSTKNKRFLSKAIKSGI PSIEDVDVSEVVPEAPTADADVAEEDIPEGDLISLKQRKQLAKKKTDVYTMTTSSLPG TTLAVSPIPITLGDESCNIFDTPGLIVNRKRQKLIEFLSKSSVDELNSILPGKKLPVW RVYGTYWSN H257_18425 MESAGQMKQRHKLELRDLQNQSKILVKKLKKDNLSKKEIDQKVA ELEQSVAARHASEVQAIMQKLDVSDDDAEAAPATAAAVADVGEKANAKLEKAQRKRDK KRQEERERLERIEFESQNVVSQRQIESDAIAAQLTSLGLIVKEIPSDGHCMYHAVADQ LKLTRRLPFPSSDAYIPLRQKTAAYLRSHADDFVPFVELDYASDIAIQDQFESYCARV EATADWGGQVELRALAQALAVPIEVYSASSSVLVMGDEYHREDQPPLRLSYHLHYYTL GEHFNSIVYASSGGDEHDAL H257_18425 MQKLDVSDDDAEAAPATAAAVADVGEKANAKLEKAQRKRDKKRQ EERERLERIEFESQNVVSQRQIESDAIAAQLTSLGLIVKEIPSDGHCMYHAVADQLKL TRRLPFPSSDAYIPLRQKTAAYLRSHADDFVPFVELDYASDIAIQDQFESYCARVEAT ADWGGQVELRALAQALAVPIEVYSASSSVLVMGDEYHREDQPPLRLSYHLHYYTLGEH FNSIVYASSGGDEHDAL H257_18425 MESAGQMKQRHKLELRDLQNQSKILVKKLKKDNLSKKEIDQKVA ELEQSVAARHASEVQAIMQKLDVSDDDAEAAPATAAAVADVGEKANAKLEKAQRKRDK KRQEERERLERIEFESQNVVSQRQIESDAIAAQLTSLGLIVKEIPSDGHCMYHAVADQ LKLTRRLPFPSSDAYIPLRQKTAAYLRSHADDFVPFVELDYASDIAIQGIIYIFNTLL YEILEIKHTHSRPIRKLLRTSGSNSRLGRTSGAPSVGASVGGAD H257_18426 MTPRRQSVQDRTLRLDLQKALGEGDVEEIPLSRRIFEYLDQSND GIVRPKALLDHFNKCGLRTDDPRISTLCDTLQDNPSLDITIDVFEELTKDSCGIIEKG FSGQLCIPTFDDFRANVDRLIDETCRHAGVDSKHNVTSANAFVVSMCTVDGQRHVTGN QSELEVEICMEGCCKPILYGMAMETNPGIDNYISHEPSGLDSHAMSLQLKDNKRRPHN PLMNTGALLSCALLGQPGQSVDERYRLYESRLHNLFSRTSKKQQDDGHLPTPLTTPVS VEESKYASRNTSLSYMLDDAKCFPPHTDVADVVQLFFRACAATCSVDELSLFAATLAN GGLHPITNERIMQPQSVRQCLSVMFSCGLGTYSGEWSFHVGIPALSSLGGAMLVVVPG LMGFCSYSPTLDRSNNSIHGVTFFKALSRTFTFHNFDSLPMINFQRKDPRRDSRTIQV EMTTKLLIAAAEGDVCEVRRLRSRGANLDAIDYDSRTALHLAASEGKIKVVNYLVKHH VLLSPVDRWGGTPMSDAITFGHLHVAQLLTKAGVISNSIKHKTSSQPTKQPPVLLQDL SVFYAAYSGDLQSLKASYTSGVNFSSLRDYDGRTPLHVACAEGRFDVVKFLITTAGVP ILVKDRNQKTPISEAKRGGHAAVLEFIRKQLQTPATVSKRHFPVLTVPQTPRRHIDDD DSDDSDDDLEDYEVSSHSAFQFLSMATDNEPCDEAKVNVSTLITTLRENGLLETDPRL KKLVESVKFLGADATLSVEQYRYLTESGAILLERALAKSLIIPEFNDFCDELIDIFEA AKLNRGGHIATYIPQLANVDPEKFGMALCTIDGQRFSLGDSKDHFCVQSCSKAISYCL AVEELGADKVHFHMGCEPSGLRFNDLSLLDRGGYRIPHNPMINSGAIMSSSLIRKDLP LHQRFEYIMQTWKALCGDSAVGFDNSVCLSERSSADRNWCLGFMMREAECLPDDTNLA EALEFYFEQCSLTTSCESFSVLAASLASGGVCPLTNHRVFEAETVKNCLSLMFSCGMY DASGTWGYSVGIPAKSGVSGVLLLVIPNVMGLAVWSPRLDEIGNSVRGVQFCQELVTR FPFHNFDAFVEHMKQPSPVHRTATDAKTAVDPKVRRKLAYQLLYAAYEGNVEEIRRLK LHGASVHSCDYDGRTAMHIAASMGHVAVVQELLRQGADIRALDRWGGTPLSDAERYAP SNACDVMKLLQQAMTVYP H257_18427 MASSMATKRLRKEYMALRKNPEANIEAIPLESNILEWHYVIRGI GVYEGGYYHGKLKFPAEYPMKPPAVYMLTTNGRFQVNKRLCLSMSDYHPETWNPMWSV SSILAGLFSFMNENTPTLGSIVTPDAEKRKLAALSLDENCRNATFVTCFPDLVALHDE LERTKLERGDQPADTTATSSDVKRELGVMILDNVSTVTGAVVVIAIFGAMLLFQL H257_18428 MANLKGGASKGGQKKKPGDFKRPKRKVGRKVVQSNVTNASIQSR RINMTEQSMLQDKSGAAVTHRNQTLQDILSKASHYNAHVRRDAMSSLKELIRLHPMTL VANIGLVLERLLQVMVDDEAIVREACVATWKDCFASLASSHSEQVVVPFAQLILVYFC SGLTHLKPSVREDVLRHINAVLDIPAFALLLAGALSPEQCGRLLENFKDSISTKASTV HVKNSYSLFAEKAKVKQSQLQSSVLKARFFAVEVVHKLLQALDKVTTIAATGVHKDEL NLASNTSLLLVSRSQVVGWSSHREPVKGTSEQDQSWPAKALALLPPLLGLWMECHGDD NTALPPTVLTHLILIVEASTIIIRASTLQADDPALKVYAQTYFTDFPRAPMEIMSNAD SSTLHFWSALNLSIAQCGCECFVGSNAADLDDVLTQFVQSEFDNLVQSDSSVRQVAQG PTLLKGRLQLLTSLLERGDHPELLEAFTTLYMSSVPNSGTFRACSAFALQHLTNVFTT KSRAKVASLSWSVVSKWMGRFGLYMLAIVDSTQQDTFKAIFRVSIGVLTRLPPAELES EHMASWLTSVVAFFTSTTNPVWFGQLPWSTQLEAVALLHHLPTYPPAFLRTLAACCKA DIVSVDAKSFVLDIVSDQLHKLDRGALLSFYMSTLFAQGNELLCPQVCRLLSGLNFGS SLSSILAPTLAKQSVEDNAVALVMAFVVCLKSNAKGSGGEKQRTPDVLKTHLVASFVK VLVTPQLEAAYSTLVYEGMRYCNGVFLDVATQLVTETNLAGLLQLLRESSLRKVVASY HAELVDVIAGIPTTHADDKRLLVNELKLVVVNA H257_18429 MEDKVPAFPALDLVAVADLRAIMLPLDAIVAELPSLWLKSICHH EPFSPKWSPFAADMQAGKVAVTDEAGRAAGNASAKTPNPDEMHRAATAHDHVHGLDRR RREHQDIQVTDKAPSPWLQEFLDIKVEMRHLTLGQAELDVPENKQSSPQPERAISRLH DSIAPCSDEVVVDNGLPY H257_18430 MGQVVPNRVVGLANLTLAPSSLTKAVQRPADLVLRKSATRGIVR ELLPGQTVVLDTARTFETQQHDCMLDPMLCIPADSSHSVLVSRSQVVGWSSHREPVKG TSEQDQSWPAKALALLPPLLGLWMECHGDDNTALPPTVLTHLILIVEASTIIIRASTL QADDPALKVYAQTYFTDFPRAPIEIMSNADSSTLRFWSALNLSIAQCGCECFVGSNAA YLDDVLTQFVQSEFDNLVQSDSSVRKWHRGCCSREGSSCQVNMDHVCWCCTGCYRL H257_18431 MQFVQTDGVVELLRHNLIDPMKGAERTRSRVVMWRQGDSLKAIP RVYPTHFNRASWKSQRTSHRIQVHDERHARHDRQPAAVHVFEQRGGRRRQLFAISREA GVVWVGGLQSFLRSVVAVALLSPATLKRGLLGAFTTLKTPSPPRASTCSFRCSQRGFW RWERP H257_18432 MIADWIGGAREAVRFEDDNVSAIAIPFRPDPSCPLAVLTATIVT AGESLRQTRAHCDVLIMFTMYHTRHVVTLPSALV H257_18433 MNNDGMDESVLVSNFRNEMAEILSIIRELKCRKYGEIDKDDDSG QDCEIGCFGLSSHRLQRLNRQLREQLSFSSAVELLVLFGDALIHTQEYTASKSYYHDA LESFEGPTTTKRSLQDQEMYVRAAFGHALSRVRLLKATDPTVLYPSTLGQLVSILHSM EATLRLATTLALDPKVQNDGALHSKCVAHVLNGTVHLMGVCTPMKALGFEANVLPFLK FAILVLDNIIQLCTVKYCLWRTQIYVAIAECYDALARKHVLKRDRLWKSALLAIDMGT SRVAQIRKEEELDPPVAEGTLRVVAQADDSLQRTRFLVQKFMKAAAAVTHPPAAGTAG TPPQSQLLISLSRDDVQTAFPNSVSRIRTILVSLKTLWKPQWTLNLLHDFEDKAPFLV SATDIDGIITYMSSIVAADPTSVDGLHNCVLKLLFRLRKWTPFVAMYKSRLETTQDSI IDAIEVELLYALYELIEDPADRMRLFKVASGLRLACESREMCALRYDVLVEVMLYLWH VYASPMLESMNKALFGQEKSDAGDAIRLLLALQVSSHALNFDDIVWRANLALHLVNLL NQQHRLRLAIQILRVTQDVLSTVRDAIVNIDLHAVLADSTNGLQQLHHVATKAVPFIA NSKDVLPSPGSLRGTTFQQTSLNLTLAAIQTEVGFLLYDMELQCAASVPDGTTMTAVA KRLAVECNQNGYMRGLLSVQLAQRKSKRGEQESLLREALQCFDTIQQQEIDVQGETDV LSPNSLRPLAPLLVSRASTFVTIEILPHNPPRGVQVAYYCAYAKGTGAGTDVSLNNME YPGTGSLIQPNPRRTLATISGLLPNESYVFAVAAYDKNDQVIEGIGATSCPIITLNPL VLSMCYGILATVAVQMKHTIIATKAASTVYTELVSTAGGDRDKWRVSPLFAHALNIQR INKQPASGVITQYPMQVLHVFFGAISILIDAEVEKQQASAADAGRHTLLSAVTKAQMT DAIGKCMIALEVACLTGQHEYICTITHKMYQLMVPLLSQVKPGRLLLQPLCMMIQSLQ IIPAEKWDDGIYEVYMCASYEILKITADKKEAKVAQQTLKLGQAASTPYSDYVIAPFH APCKEAAALRDAIFLSRPWSTALDMTNEQRHDGDVDKKKQTPRDDKAPSNQSRIEEAL QSSNVSTGKAISELKAHFSSHKSFMAYSCRVVKLGIVHGERNAGDWLKDLRWHSTLAF TPEASQVLKQLGAAHLHVDTPTVDSTTSEEKHGADEPTPFDVSNREVYLWTGEYFYLM GLAQYTGIAPVNSGSPLEGPDHDISWRYFATHPQPLTELEPPTEEERDPKVDSVLTNV AVASQLFYHARAWANLQACAQTVWNLLWAKWMSPASFKRLYDWRSLYIVSMRLMDMLD VVRNDIAFDDSDVLSRDTNVDGMERFQPTTGTTSSHAEDDDIDIPWVVKFATYTIQVL CTAGEWEHLVLLGKRVYDLTGSDQSEPVLPWVVYAQSQRCNQHDVVVATATDDLAQFI KTFDELQSKKKKKKSRLVVHEVVTEDEQVFRDECVLKEKALTGLTEAQATLKSRLALI KTWLDHATRSKNMCLQALQRTQKAVTKQYLCHEKAADVLASFKSTISLCRQKRATLML VQALQEQGDFLFAENDIAGATKSWNDGIDAVFGTLATTANWRSIVPQSNLRVDGENLW AILMCCNMLGKLTWICLQDQLNQRLEYALMGSAVFCKLFTCSLQHPNVDNLHTFSSFS IYPAFTSMAHKPLRQVQPASLLLMSTTMIETLLTNGKHAQALPLACAMEYFASRHFHD AQGVMQAKRMKFDACVGIGHMGQAVVILSDLINHSMSLDESKRIGDPANELLHKWLLE FSVSKIVGDVKLGARFAHLLVLSVLRWMVDLGFNEGNASPQGIALKHIANQVASQLQL SATGLPLAPQHGEEVHLPQKDKSTPREPESARNAVPPGEKLHPLDQMKISVECALLQS VLALHEGLADTARTLVQNAMKVYRDGSKVPVDDMHFDCVSSDLGALFWLRCRVQWIKC DLMQGHVKDAITLCEVASLEAVNANEAKFQREIAYLRFQALVLEGCRGDAEAIGLEWL ANDDAHSPTTTRVEVLLLLSHISKTKALSTPNQAVHLNASATYLMDAMAVMNAITHEH GWIGLHSASSQQALVNVYQPQIALYITVKAHTVSRLLELYDFHFESTESLGILQAHVE DGLRALDHVPLPDPKLKASLLFFNGCVLRRKNKVSQDCVPPLLEAMQLWLKDGGHPRK LMHRACMELVQVYGETNNMNEDDKARQAQAAFHYLGMACKLQEQLHVLWHTTQLHVVS ATGLDKLSAPLQHEILSAAKGLNQPSSTTLDHLGFLVLPYFLSMQRELDIVYDQGLAS AMQHTAASVHLFLSQNHMLYSKHCFQSLTSPPKEDPEIPGGLICVQWVKCSSGEVAMY YALGTATNTSDPRFTESPILSRKLNLQSNGVQKLKSEVTRIRLLLQDDKVGPVQTQFD EMLVALHSYFQAKDSAPALQIPCALAEIALIERLLDTTHGLNATHNALCYFLRNALNS H257_18434 MGDAIASSGDGIDRGSSSRRRRGIGVPSKWGVFGPLSEMHAGVL KSSMRPSSRTTPASGATASRRTTTTNRTINLPARSFSFRQRRGKLDTRAIAQIDLNRV VRETDIDTIQNQLENLAFSDITLQDFNQYSDEYFLKLFQIAQLTVEYLLNVQESLVMH TEELESQCEQVQHDCAALADENESVDAELRLLKQEIKQKQNTISTYELMLLTRQHQAP PVPPPSQGHLPPSTLPPVECILCNKKFLSTEYLIKHQRHKHVGGATQSQVTSPAPTTV VQVVAAEPSPPPPVATPPATPDLTVVNALISANTAILTQQIEAIHVQLAHDKSERATE TQLWTQQHQSFENKMMEHMARMQEALKDMHVQAQAQRNEWTHFTHDVLQKAADKVVVA TTSNVSPHIGAMVHDGADDQWRHDMLHQLKAQHDMDSQHRQDQLDQERQRWNDREAQL LAQLNDQKNQQLPTLTQLVALEAHKYGIDYGFASAPTRDHHVTCLSQIIQTDPNVCDS QQQTDEVVQGPSRAVAQELLPPPTVKVEESPPLPLPPPATLPAAGRPVDEERRPLKPA KELAPIETSPLQPTLSQGAPTTVPTRQHQEAAAATTVQKVAAGFLTRKHLSTPENWLL RHGHIEVPITPHMTANDLRRVLAQKLGDVDPHRIILHHRPSGSELVGDVLVFYTHGLV DVEVIPDHPLLLDKLVDTFNARTSHIQTLRKTLPRDDGSSSISTTMRDVGGGVVQLQA LVRGMLSRRRVTELRIDRLVDLRLKQLHDHSSTDEDAVAHHLRYIPRRESSEIQGEAV RVQARLVQAMATFHPSSSSSQTTMSQAAFDMAMLKINEARKLQPAPVQGRMASLLDAI HTAAMEHYDPAQAKADEIEADAAVSIQAMVRMGLAKKLVAQLAKTAAQKKEEKNHQLD PFIQLDANPVAAESKQVGHEQTDNVDPQKTGEGVALDDEVDAKEVEEEEQDGAITIDD FNKLEDRPMSAQLTAALARTHYEEEKASLHALHAVASSRLISPHSNTQLKSMQRRSSR GTMLHNAR H257_18435 MTPTTPATAPTLDFIGLDIGSKQCVVARPSGDIVLNELGGMTTA TLVSFKDKERLLGEAAVLASSTNPKNTVDYLNLLLGKTLDQVVAQLSAFPCQRSNFTV NAAGLPVASVDYNGATTEFSPVQLMAMLLAKIGRNVTADVATLKLGVAIPPRWTDAEK SALLQAIKIAGFGAATLVPRDHALARCYHRKHPIKDPDVTKTLVIVDVGHVSTTAVLV QFSAAGEQILATAYDPALGSSNYDRHLYAHFAAKLNASHGLQLEPDTRQSRRLLHACE QLKKLLSTIPEAIVTVENLVPDADIALSISKSEFEHLGRGETAGLRTLLEGLFADVAV SPSDISTVEVVGGGTRMPVVQDAISAAIGTHVTLGRMLDSATAVAIGAAFSIDSNGNM LQEVTVDAAAIAAELAMQAQDDTMALLADKRNEIETFVYEIRAKQSQKHGHLIESAVV GPFLDAAEDWFYSDQALTATLDQATATVHALKSDIVQACQAYFAAVAKDDEELERQLE LESEKAALEHKDDDDHDFRKLKTPDRMRLVVKNKDEGNELFRGGNVQHAAARYVKALT HATKFFDLRPDEAADVNAVKLSLYLNVAQCYLKMESWHKAVVQCKDALDIDPTNTKAL YRRALGYERLKDYARAFDDSQKAFALAPDDKAVVALNERLKAHMKKQQDKEKKMWTKA FA H257_18436 MRVVVVQIRRPVDKPDKPTTKSSNKKQVPPASAQKLQVPSTSAT EKVPPTSMFEFTEVTSPRTNNYFAKILWPKAQFFEFQFKGMDLSQPEDKLKFCNFLTN MQDTPVPFVRYRLKFLTYSQSHIADNNRACFAASKQSVYNVHSASNLNRLPLSKQAKY SKLLFTQYDEPIRKLANVVVEVVADVTRNNFTFTDGCGTISLDLMVELMESHLSGGDY TNVCAVQCRLPGIKGVLVVDATSPARTLRLRPSMVKLDVLSLLQHSKLRETSSATLFP LLPIVVLKTNESTADHCGYLNDQIIALLLQRGVPASEFETRTQNYIDSVKYMGLDCRY ALQCYQFRGLDDSLLRTKCTQLAASSPTQQIKAVHRDVLQVQASAMASLRVPSSSTKK KERLKIPVAKSRLLLGVCDYTNTLGPGECFVQLNESGGDLVLLVGNVAVTRNPCYHPG DIRVLRAVGQCAALDHLVNVVVFSVQGSRPAADEMAGGDLDGDIFFVLWDGHLLPSPG SHPSVAFDYTEASVKGLIQQWATKAMLGPPPFKPAKQPKKNTYVTMQHVVDYLVLGAS TGRLVGRIDALLLQLQALPPSSSRTEWTNLLNAMFVCGIDQLSDVVDVDSMLRSIERN ISRHGAASQSAPSAPHGVGPLLAYIRSLETMEKPPPALALTMFTSSVAKIDLAVDARD FQNLTQLYLWAVLDVLSDVERQVLKESTIPDVFAAQFQPKLVRKVVALLDREKQTLRR THDVLCKREDTRALTRIRTLRSDLDVKTLKARQFKDASWSPKNDERRACARRLQEMRA HVARLVNAADIANTQQTGFFTGFISWLWGSPPPRMPTEAEKNQAQAHIQAMTNQVVQL QLNLKQIEADVETLHRGATALALEAQHTGALLMQEMANFTPAKEEDLLEFMRAFQDQM AMRDQFVHVCTTLSAAAASTNLALLNECLVAEVHMFQTKLPVYNHRASLVSHLQRPSS MLLLVVSETGSGKSTCLPQFYLTEYIARGMVTAAKRFCVVVPRRAAAKNLAKFMASMR NAAVGGGTVGYHVGTHNAAKSDRNVHRTRTLLECVSGGIILGKSISDPWFGQFSVIFV DEVHEESADLYLLLGKLKAARTHHPALKIVFMSAKVDEKRLVEFFGANDMPVETIQGR QHPVTSVHYPSDVDYMSQVIRTVVNLHMTKPVHEFPDVLVFLPRITDIEEAVARIDQE VKAGRIKNLVPLPLHGRVDDAAKDIVLKRNVHHDIAEAPEAPSGTATPPCRRRVIFAT NIAEASLTIPGVGYVVDSGLEVVVSRNVFCGATVHSVGFIARTSVVQRMGRCGREGPG ECTHLYSEDQQALFAQTKKASYSDLEHIVLRLVASDTNPFEFDWIEHPGKPQLRFCVD QLVRFRMIDTTSSPWRVTSVGHHAFKLLRLGLDLNAVQLLLVARDSDHRFCDRAGNAQ DDGSDKAAVVIACVEYSSLFRQCAFADMDDIDWDRPSICDNFVNLFEEYRTSGSKKEW AKRCSLPLHAFASIDRRQKEISKQVHSLGNADMVGSSSCRWSWAQCVAAAYPHTHLKL AGFNYIGPEFVMEQSPGEGMSDKSIRLSRDELSRQRLQWSAGKTYVALQVSCHAHNPS TLFASFVEEIDDKSGAF H257_18437 MDNNDPVDEYVEAALRSALTGVANHPIVDYMVALVMEEVVDAQS AAATNNTKSKKVKKVKSTFDLASRAIENALLASEADLDLKTHDISAVIEAVLVHLHGS FHPPPSDHVTDNIGFDVGATCMAILAEDDSWHEAVVVSFDASECTVEVCFVEFGNVQV AGLSSVVLADNVLTDDAADMCAMCERCVPLTEHHLIPRQVHTRYLKRGFTREYLSRCI DICRACHSNIHSHIDNRTLADDFNTLEKLLAHDAISKYVAYARKQKARIKPMKKK H257_18438 MMFSRLSKALQATRSLSTKSWLEAVPMGPADPILGLTDKFNKDT DPRKVSLGVGAYRDDNGKPYVLPSVWEAEDRLLKAKKNKEYSGIGGTKDFVDLSLKFA YGDDSKALRDGRVVGVQTISGTGGCRLAGEFFARFLGKNTAIYLPNPTWGNHIPIMKD AGLDVKRYSYFEPASRGLNFSGLISDLQGAPSKSVFLLHACAHNPTGVDPTLDQWKEI SAVMKAKEHIVFFDCAYQGFASGDADRDAAALRLFVEDGHNVVLSQSYAKNFGLYGER VGALSIVCKDADEAARAESQLKILIRPTYSNPPIHGALLVSTILGDDTLKKQWYSECK GMADRIISMRRLLKENIAKVDGSTTEWNHITDQIGMFCYTGLTEPQVDRMISTHHIYL TKDGRISMAGVTSKNVEYIAQSIAEVVQHA H257_18439 MSLQPSNASLVVGLVVAGLALAWSGTLVYLLVPHLQQDQEVRQA AAQHRHDAKTKDKKARERETSITNGEDVKMNDKQALVDVRISEIRPLLPPACLLEEIP RTVNIARTVNKGRQGVSNILRRVDDRLVVIVGPCSIHDVKAAIEYAEKLKPVADELEN DLLIIMRVYFEKPRTTVGWKGLINDPDIDGTFNINKGLRIARELLSEINQMGLPAGCE FLDTISPQFFSDLVSWGAIGARTTECQLHRELTSGLSMPVGFKNGTGGSLQLAVDAVV SAAHPHCFLSVSNQGLAAIVKTSGNEACHVILRGGTTGTNYKKEHVDKVSALLKKANH AENVMVDCSHGNSNKNHNNQPLVAQDLANQIAAGDTRIVGLMLESNLEPGAQKLNPGV PLVYGKSITDACIGWDETVTVLKRLADAVQQRRSAKSSEL H257_18440 MSYPRQALLDALLRWRMRSKNSAVVWRGVSTLTEPPAVSSYAQL VIRVSHSGEDLESNICGLGEWLSLLGLLTRPGVDALAFHRLVSPRDAAQCPLGDTWCS FCDGVDRCVDLELQTKRDISSSTEAETFLTVLRHAIFEWNDATASSEFHAVCDAVRCV LQAQETNSTSRRRAIQGDICLASRTKVSWTGRFRYELQTLAVEVGDLTQVPL H257_18441 MPKWRVRPMIDGCWTPPYQAVRDGDLFRVDHRRQAAEDGAQLQL QWTFIVSWVKKRETYEDKLRANAQRMSGKWRRSAVGLIPSTNRSLLKATCTYVWRVPV EQLSEDDYRDRILEIVGQPATKWTPTKSDMQTYCRALSVDPHGDVTSRLVSFMERVDD VIDENGLPTRVTPSYLRDRVEKQMKTVPANDLVAFADILCEQLDRTHDADMVNQQRNS YGSKRGREEDDQGRRTTKHAKKANQAVRDQRELRGNHPRPPGGYIKPERSAAVWSPST QKRAGDSPATIYGPQANSRPRHDDRHVQAVRDEARPQFAPGRDDRGMLCFVCQQPGHM ARECPNKKDGDSGDTGWKKGKNAVKRFKARERKANMQAKRMKKPPPPSKEDDGRWVQL NSVLEVPYCPDTGADQNIVPQAMVDELQALQPQLQVVKLAAPFVGTACNQMPFEASSY VDLTLTMQTAAGPVKVPDKRRCYVVNDGDEFLVSDDTLKTIGIDVDRLLEQVARLQVD EDGDDLDKVGGDCVELPQRSAVRAATMKAVLPAAKNEVEEALQGMIDGAVDNGFPMEH VKYLWDVLSKHDIWRIKFDGSDPPAKVKLLKVTPKDGKEAQPPGGEVPQVVCDKNYSM RA H257_18442 MDLTFSMIPCSETCLQAQLCPNLEELTVRNAEDGFLEQLLDAYT TSTTHPYRLTRLSLMAGHADVGYDAVVAALSSPDHPVSRVLRSLYIDVRGWDDEDVES LSTRLQHLLMTNSHLRDLTLCASPTWPPDDDVDPLHAGGWTVLLLPLRQRLAALSVLR RINLPIALMASILSMAGRHVKRFLMERKDDDD H257_18443 MYPELRSDCSCLRLGILDWYVSTKILLYNYKRSMIPALGECTHE EILADIHNSDDDNETTSHKRKQV H257_18444 MGDRKGDGKGRGGSRKGLNPLLPHNNRMSSSSALKMTGIWTQTI GYDPYAQEGDRQQEEEAAAATRERAKGIMALATLSNQGNEARGACKKCGMMGHLTFQC RNFQVAAPKEDESSDDSDSSTDDDASRRSREPKKKRKRSRSPKKKSKKDKKVKKKGSS SKKKKHKSE H257_18444 MGDRKGDGKGRGGSRKGLNPLLPHNNRMSSSSALKMTGIWTQTI GYDPYAQEGDRQQEEEAAAATRERAKGIMALATLSNQGNEARGACKKCGMMGHLTFQC RNFQVAAPKEDEVRYDPSLFQSYRLLHDT H257_18445 MTQGINLKASVQYTVPAGATVADVLQVFRSPHWVSYMMRYIVPQ LKASTPAVKTALDTCPRVQCLATTDSHTDKDNDCVVCMNPNNSDESLVLPCGHIFHEA CIGSWLRLRSTCPTCRYQFEKEISGRYAIRCINSALILDNVEPTKEEMLARRIGGQTL MVAVHVTLVQAAQYAANEKYPCVLNAAVMRSPVQQSSSLSSAVTGGSSAPHVRRKSSR LATSPPSSATTKRVKQ H257_18446 MSERTIWKDARHGKVLEVQRRLAQGEFVDQVDEQGDTPLVHAAS NGKANVIQVLLKHHASIDGQRNDRLSALLRAVQKGSIESVQLLLEHGANVDSTFPDGK TPLIFAAGHGVAPMVSLLIQFHANPDAQDETGNTALLLASANGYPRVVQLLMDATANC NLPNYELKSPLSAAASEGRADVLHLLLAKESSTTDVDGCNEDGWTALIHSADRGHLEC AKVLLDHHANLDAMTTNGATALWVATKRGYNEIVRLLLHHSTSVVEAAVGGMTPLMVA AAFGYLSIVQLLLDASAKFDAKNADGKTPVDLAVEHNHANCKVLLLLRQQHPLLYYAK AGDLAKLMVQVAHCGAPDERDESKKTALMYAAVSGHTHIVRYLLTLNVDMHAADMNGA TALSLASGECRMVLEREMLHLMVREGKILALRELLEENPDLDIEQPDEHGTTLLMTAV DSGEADIVKLLLDQNADLNAQRGDGKTPLEIAAEGNHVGSRAFLEKEALFRDKFPLLY QARSDNVDATRQLLEAGAPVDERDDDGWSALMYAASMNNVGVLKVLLQFGSSIGGSDK GGKTAFMVAPDKEAFVKLILEQSSSELRFSELMFKGAIECDPKLGKAILDEFVIERGR YNFEFRELDRIYGKGEVHESALYSIMHLDTAEPEAKESVKQYCLQHPMIRRVLQLKWE FFAQRLYIEQFLMYALLLMSSIISGSFYQLDEAPRNVLKELEDFFQPDWTSPPIPVVT GVDGTITEQVDSSGFRLALSVWLVTFVYVVVATIIAHYGLKPKRICALSKWCRDGTYL GLVWFIVWGDYTGLDWSQQIPDMKKWKNHALNVLFLQSIFWTGVFGIPLFAYVATRTD AQINASKDLIQIFQNIVLWLAAGYFFRWEVKEMMGYGVRNYFTSVVNSMQIITFCVIL FFYVPCQLQIIPETVVSRQTQLVCSGFTTLSLWVLFLQFLEIIPSAGYLLPMMKGLMR DLTRFSILYGVFQGGLTCCYYILFQSKPGHETLLKSFITVFLVLFGQLDQVVDVIESE KDKQPLLYVVGYILLMFHCAAAIVLLLNVLIAMMNVTMQMGLENARIEALVSYAHCIL RLELSLQPQERLEMIYIIKPKFLKDLDPATLVYGTDTSSLTLRSVQLKNVAHQRPDES QPLVCSDSEQEESAIKPNAVGILNPAFYDKTPKSEYAPLVEIPDNSTVQFQNDVKAAL ATIKKEHAQQLHQLKVQIAELTKSIADFQATTAPSGMVSM H257_18447 MAKGGKKKGGKRKRTQWDDETDDMPKKQRGSSEEAGGRKKRGES MFTQWKKQKQVLTQKRRVVESSIAQRKHGQYIRLKGVKDVDDTDEEQHDYEGKDEGSD VDTGSSDDDDAKPSAFNSFVSRFQRQTPSFQEHDQSEDEVEDVESDQGDIVEEGSDED GQENEDVQPTTEDAESDVESEEDDEVPVEHSAGDDDDSDPYRQRYLNQQLTVADVDVL SQKPHPFQVVELWKDLEMSVRPVLTPVTSIGGARPLSHIRRRLMDTWTQKNVADITPL QQLLHGAYHQYQDVLFCNQSAETLAEIRQVTMMHVVNHILKSRDTIARHNERLSKKGA SDEAEYRDQGFSRPTVLVLAPLRSSAHALVHQLLDLLPSTVTSVHNKDRFDEEFGDDQ TDDTADKEDGTEWQQIFQAGNNDDAFQIGISFARKSVKLYTDYSRADLIIASPLALRQ KVGDILVDIVPGDKSTLKLPVDFLSSIEVCVLDSASVFLMQNMDHVRAVMNAINVTPK EAPHADFSRIREWNLNHQAHYFRQTIVLAHAADAQLNNLLTKSCHNFRGVTRLAPVYD LHHVVPSVSHVIPSIKQIFQRLDTPSQPATCPLVNEPNARFEYFERQILAPLLDHPSK HTMIFVPSYLDFVRVRNVFATQKRLISCAAISEYSTDAQISRARSRFYHGQIHVLLIT ERFHFYKQYKIRGVRQVFWYAPPSLGPFYAEVLNAMDPEEDSKSVVVCSRFDALRMQQ IVGTKKAQRMCGSTPDTKSVYMFC H257_18447 MAKGGKKKGGKRKRTQWDDETDDMPKKQRGSSEEAGGRKKRGES MFTQWKKQKQVLTQKRRVVESSIAQRKHGQYIRLKGVKDVDDTDEEQHDYEGKDEGSD VDTGSSDDDDAKPSAFNSFVSRFQRQTPSFQEHDQSEDEVEDVESDQGDIVEEGSDED GQENEDVQPTTEDAESDVESEEDDEVPVEHSAGDDDDSDPYRQRYLNQQLTVADVDVL SQKPHPFQVVELWKDLEMSVRPVLTPVTSIGGARPLSHIRRRLMDTWTQKNVADITPL QQLLHGAYHQYQDVLFCNQSAETLAEIRQVTMMHVVNHILKSRDTIARHNERLSKKGA SDEAEYRDQGFSRPTVLVLAPLRSSAHALVHQLLDLLPSTVTSVHNKDRFDEEFGDDQ TDDTADKEDGTEWQQIFQAGNNDDAFQIGISFARKSVKLYTDYSRADLIIASPLALRQ KVGDILVDIVPGDKSTLKLPVDFLSSIEVCVLDSASVFLMQNMDHVRAVMNAINVTPK EAPHADFSRIREWNLNHQAHYFRQTIVLAHAADAQLNNLLTKSCHNFRGVTRLAPVYD LHHVVPSVSHVIPSIKQIFQRLDTPSQPATCPLVNEPNARFEYFERQILAPLLDHPSK HTMIFVPSYLDFVRVRNVFATQKRLISCAAISEYSTDAQISRARSRFYHGQIHVLLIT ERFHFYKQYKVLDR H257_18448 MRAKKDLTDNNRTTILHQLLARRVDHKTLPRGALADVAVSFGVD RSTVRRIWLSAPSCSRPVRQASPVPACLLKEKGRSGRNLKHDSVAARLKLVPKTRRSI AAAMSMPKSTLQDYYRRGIFVKYSSTVKPTLTDSNKAVRLKWAADTDGFQQGRSTQVG N H257_18449 MDCVHVDEKWFFVTRVHKSYYLAPDEVPPHRTVKSKTFITKVMF LSAVARPRWDHDKGEWFDGQIGTWDLRSEFRSCVEAAIDRLEPWSSPLSKRNWSQGET QGSDNLAGQRQASRSPSDPRIVAACTGGGWAMQVRFQPPNSPDLNVLDLGFFRALQTL EERNYSRNIDDIIAATDEAWQDVDMMTLNANFLTLQCCMQEVMCVEGDNGYKIPHMKK AKLAAVGMLSEVICVDRDLFDDGCRLLSATDIDKKIDELALEVAQAMDMSEFSSQMEK LSVDGELEDDIDLDLALLLGIKHLL H257_18450 MQVRFQPPNSPDLNVLDLGFFRALQTLEERNYSRNIDDIIAATD EAWQDVDMMTLNANFLTLQCCMQEVMCVEGDNGYKIPHMKKAKLAAVGMLSEVICVDR DLFDDGCRLLSATDIDKKIDELALEVAQAMDMSEFSSQMEKLSVDGELEDDIDLDLAL LLGIKHLL H257_18451 MVLANSARTKHPLFLIIRTTKSKGKAVVQENLVERQGLGKRLWE SVEPMEAKFNYRIYGKPTE H257_18452 MHGPHPHRSKGVATFFHSSIAGFASLKLLSHCAFPTDTSLFERS GIPPLSTSTTYMYHQRDFEPASRHFVGSDFNLAVDAALDTTAFHPNHHVGKDE H257_18453 MSSPHVMDGFYLDFVHDRVSERFLWEVLAAIGAPPTAGIYTQVS AAYGWYNHRKGFQRVDLDIAAKEKAIAQPFTLSPSPLQARPAGVNKRQCIPPPTLPRW TRVPRGDGAVSRSGASAPSQRPWQSPNMFNALREHIVVTPTQLVVSSTNSMLILPSIL PVPDSPRTLPSNTYIDGTKPDGQSVTRATVSLDLMLEKFALLDSHVAAAKWAFTTMSD RIQSLLSKYPMEFGLQVRSLASLTLVMLLHLTRLRMLSRWMRTTWGPSTPFAILYHNV FNHAYSIHALGLDFTSVVRSSSLDEYHSDDVSDATVLLNHESECILALAEILLVSLAP CYYAHDRGSLSRIINPLQRPPNSTLGTPIRKALCDLLQRARTALADRVSHDVIMEAAD SEDSAAFALTITPSCQVMFDSSTMSLTHGSLEDLWTDTVTSTTG H257_18455 MLERDFGESSAAGVIGLLTKFVGTLTSDYRQVGDHFKVMSALRN RINAQSVKCFGEPIVSEQLVGALLLSLLPQQYFGSSVKFTKDSFTMDKVFQLVVNTFG SKSKRDILSMSTGFGKSLSKCQGAHEEGLSKAQGRFAKGYYRTSIFKAAKSGPAKVAA VRSTRSEVATPSGGERTATDMEEAAASLDDLTMQLEYAILDAE H257_18456 MSLSGDDSDVERDTKRRRLRRGDDASDDEDNASIASGIEGDVGV TADERVYFSADDEEDDEGEDLIENAQDDYKRMDTLDQYDMGQLDDRRYDAIDDDTRRR VEEELDRRDAREGRLAAVLQEDQEMERDDAHRRRFVRRQDEGEGDDLVQDEELINLEH FDVPLREFIAAERPRNEIKRRFKQFLNTYTHDNKVVYHEKIVKMAQRNEQSLEIEIGD IIQCMSIFAAWIVEAPKDMLSLLDEVARDVVLSLFPYYDTIHKEVFVRILDLPGTEKI RDLRTAHLNFLIKVSGVVTRRTSVFPQLQLVKFNCVACGAILGPFTQHKNAELSLNAC PECQSKGPFSLNTSQTIYRNYQKLTLQESPSSVPPGRVPRSKEVILLADLIDKARPGE EVAITGVYINTPDPTLNMKDGFPVFKTVIEANHIERTAEGHTTVLTAEDKKAILKWSK QPNIGQLLIQSIAPSIYGHTSVKTALCLSLFGGKPKHIKNSRVRGDLNVLMVGDPGTA KSQFLKFVQATAPRAVYTTGKGASAVGLTAGVTRDPMTKEWVLQGGALVLADKGVCLI DEFDKMNEQDRTSIHEAMEQQTISVSKAGIVTSLQARCAVIAAANPIGGRYNATRTFA ENVELTDPILQRFDVLCVLQDKVDPVQDEQLADFVISSHINSSLDTPTVSTASTQTHL PPELLKKYIVYARTYVNPTVSSTLDTRKIETFYAQLRKASQHTGAVPIAVRHIESLFR MAEAYARMHLRDAVCNDDLDMAIQVMTTALCDAQKFTFKRQWKKLFAQYLSFRQDNTI VLMHIVQELFQAAYTYHQLRQEKKKISAPLSELTVACTDVLSKAKSLGILDLSPLYES TAFEQNGLRYDPDHQMILKTF H257_18457 MVATSHHYHRDYDYCPLDHVHCPPITTSRQRLRNDQGTLFVISN LMPPKKKANTSFQDVTRMVATAENTVLRIWSAPHQIGLVVKQAAECVADGT H257_18458 MHPPPTTLRLDRLKQPTGQRLSLVARLGPVFAAHLYGVRTHRRY TTTRCSLSSAGVSPTVTTAPVTTFLAKRPAMCFKQLHGFLIRDSRTLTDLMAPVPLSV APALMLA H257_18459 MPLSACIEPATKQRVAEWDMGKFPEEVTDAEWAAWFTLGFQVDP RTLDSLKKQVKASVVFDMSIPDADSRIGRMLDGMAAALRRYRQEWVIREESAAIVKIF TEAFKPVSLHRAVTEQMALT H257_18460 MPTETHPASDIDRAIAYVKKARSRYVSKNEILDIIMANAVLRQD GTPAASRTAARLRRRKEQLVQQVWKEFIQRGTTTTKPQASRDMSHRTRLPVTSDLAKI IQEFVRHRRQDRQRTVAKDVVTFCGLKTAWILIRNRNPPRKPRKPRTPLDTKGACETG LQKGKEKEGPRPPHVR H257_18461 MVWAIVKGQVGHQYTQDTKSKDVHVRLTQAFAELAACSIKGCIH KADRQLNKLAEYIMEQQEVDASDSDDDNSDDGNDSNSDSSSSESDSSESEK H257_18462 MVMNIASMLERFLVLNVSDQVFLKDSLYDFGDLADEQNDALAYD SPVIEKVIEDGGVDAFRTLTNFTPTEFDTIWGLVVATLFSRWHKGRERKSTTTPRDAL VMTFVILKYYQTWEKHAMDFDVKAPTLDKIVLRVVKVVQPSSTSHSSRCQR H257_18463 MSSSSQRTVRPAALGNESTTSAESTGSTVSISKRPSHRKDDMNM HEPGSVSDLTVICSRIEVHVAALKTNAADRKIYYNGERFQAYPDQWAILVDKSYIGLS SPLRAIHPQKKPINGTLDCHDLDRNAAVSSDRVIVENFFFRVCLLWKISYGTFVWGTK IYDAILQLTFALKNFHVSSCRFVKMTTASTVLYLLGTRAWLKSRSPSVQPSSAYIEHR PNAWRLHQYIPQFT H257_18464 MGAADEVAQLFDCTPSTFRRIWRRASVSLSGSKTICRNVSQRKK STCGRKRLHKDLPKRIQAIPQSPRYWFCSLANSLGMPKSTLHDYFKRGVFAKYSIVLK PALTEPNKVCRLRWALDHVCDRDGAKFFDDMYDTLHVDEKWFFITRLQKKVYGAIGEK IQQRSCKSKHHLLKVMFLTADVHPRWDETCGEWFDGKLGTWHSTEIVSAQRRSSRRDA GTPVMKTLYLQSAPSGLAARPRQSRSNKTMHGPTFLQVMLMSSACKADGWGMEVMFQS PNSPDLNVLDLGFLRAIQALQAEKHSSSLEEIVAATDAAWDVVSTKTLNKNFLTLQIC LQELV H257_18465 MSSESKTKPTQPNLRDLATPLQVTSATDLNADAMTELLARKQEI NDLRTQPTEPAKLNGLRAVESRANDLLRKVKFNENDLSAFKVKIPNVRQLRPHL H257_18466 MPRSTTKHDLPDNERLSMCHELLENKQNGRLASGEAKEILLKTS RRGIEEEGSSGASVIATFALLTSSSGMRACSSRRSCFRPLHCSQVATSSDAVAPNARR IDIDEKWFYFTLINHRCYLSSTTLPWLLPGGRMVVPTCGTTSNEPDFNVLDLGFLNSI QAIQHRQVVTGIDDLVAAVHGGFNELDWRILDKTLVRVMEESMKMAGDNSYKLSHQSK DKMASWTHRPFSVRS H257_18467 MKTIAILALASSTAAFAAGDTAASVQGFAPTPSIRTGGTEILEV LGRFPELVKEFDVKSKDTQGDKDVNTDTQGDKDVSTDTQSGTKILESIPTEMESAKAD RGSNFTTDEDCQLARSWINISQDASKGSGQKSDQFWSRIETNFNQYSDGAIQRSGRSL SSRWATILDQCNVGCFATVRSKLTSGESDGPEDAELVRRAKELFANKADARGKQSRFL FLHAWEILRTVPKWQDFRSQQPGNDRAKKRMKLDENDDIVSDDDVRQRSLFDSFSDHK WAIKSSRSLKYAVWF H257_18468 MDQAWEILGFHQSPARPKGSTANRQPNLPRDSEAAHARIYRDYF GPNPIYGEAKFRRRFRMARPLFERIMDGVAMRDEYFLQRDDATGKHGLSPLQKCVAAL RMLCYGLAADAVDEYVKIGESTALMSFKLSSTNLGQRTNASLLQWTCNVAITLNAERG FPGMFGSLDCTHLQWAKCPVAFQGQYQDRRGDKSIIMEAVAGPDLWVWHSYIGLPGSN NDINVLDRSPLIEKIIGGVAPHCSYVVNGHAYTMSYLLVDGIYPNWPTFMKTIAQPQG EKR H257_18469 MKTIAILALASSAATFVTGDTAASVQGIAPTPSIRSGGTEILEV LGRFPELVKEFDVKTTATQGDKDVNTDTQGDKDVSTDTQSGTKILEVLGRFPDLVKEF DVKTTATQGDKDVNTDTQGDKDVNSTDAHVLCGV H257_18470 MTKLLTPTAAAFAAEDTAALVQGPDRKLRTDAQVQNDADVNREC HTANDGYIQTLKAGEYTASKFYNCFRTSEQIFEYVDELAKQNPKLLTKEAISTMIQGK TIYAYKLTSGTFKPTSLYFKSLIHAHEWNAGSSNLFTLSSILDAIVNGNETAADSHNL YFVPIVNIDGYDISWNGNRLQRKNANEVDLNRNWPTPFKNPNPPAREAEDYPGPSPFS EPETKGIDEWLHAKNSELAGWVNFQSNSGAIWYPYGDTKERIGNGEDEKFKLLGYYVS MATGAGYRSRPKGVFGDLEFGRFEDYVYRTYKKPVFTIEVSGGSNAPASTIRTTGTEI FNASSRFAEVVGDFDVNSTAC H257_18471 MRGHVHRPLALVAAALVGFQGNSGGTPRRPFGSTSISQCQNKPP PPTTLQHRRLFAGGVPDTPLTNDRLVKTIPLNQYTPTLHIAASSGSVQVATDLIANGA NVNASDVAGTTPLMVAASLGDQTMCQLLLDANADLDATAVDGSTALHVAAYHGQLDIV TLLLQAGADMYATRPDGIDLLHIAIREGHRQLVAALLLAKDPYILTEFHLEIASKWGH DQLVADVVSAQPHLVTFSVVNAAVESGRLATTRILLAGITRPSDLRQAIVNGALLHAA ATGQLAVLQLLLEEGAEITATDDIGNSVVHWAVRHNHLDVLLCVLHHFPDQLIPLLST PNHAGEFPVHLAARGGHWTMLQRLEGLGMSLHERCLTNGFTPLHVAVMHGHFKAVTFL HARGCNFHQPTEYLTGKSASDLAAQHGHDEIAQFIAQHQREPSQHANAA H257_18471 MRGHVHRPLALVAAALVGFQGNSGGTPRRPFGSTSISQCQNKPP PPTTLQHRRLFAGGVPDTPLTNDRLVKTIPLNQYTPTLHIAASSGSVQVATDLIANGA NVNASDVAGTTPLMVAASLGDQTMCQLLLDANADLDATAVDGSTALHVAAYHGQLDIV TLLLQAGADMYATRPDGIDLLHIAIREGHRQLVAALLLAKDPYILTEFHLEIASKWGH DQLVADVVSAQPHLVTFSVVNAAVESGRLATTRILLAGITRPSDLRQAIVNGALLHAA ATGQLAVLQLLLEEGAEITATDDIGNSVVHWAVRHNHLDVLLCVLHHFPDQLIPLLST PNHAGEFPVHLAARGGHWTMLQRLEGLGMSLHERCLTNGFTPLHVAVMHGHFKAVTFL HARGCNFHQPTEVVVTHNLPSLSRGLTCVCTFDQYLTGKSASDLAAQHGHDEIAQFIA QHQREPSQHANAA H257_18471 MVAASLGDQTMCQLLLDANADLDATAVDGSTALHVAAYHGQLDI VTLLLQAGADMYATRPDGIDLLHIAIREGHRQLVAALLLAKDPYILTEFHLEIASKWG HDQLVADVVSAQPHLVTFSVVNAAVESGRLATTRILLAGITRPSDLRQAIVNGALLHA AATGQLAVLQLLLEEGAEITATDDIGNSVVHWAVRHNHLDVLLCVLHHFPDQLIPLLS TPNHAGEFPVHLAARGGHWTMLQRLEGLGMSLHERCLTNGFTPLHVAVMHGHFKAVTF LHARGCNFHQPTEYLTGKSASDLAAQHGHDEIAQFIAQHQREPSQHANAA H257_18471 MRGHVHRPLALVAAALVGFQGNSGGTPRRPFGSTSISQCQNKPP PPTTLQHRRLFAGGVPDTPLTNDRLVKTIPLNQYTPTLHIAASSGSVQVATDLIANGA NVNASDVAGTTPLMVAASLGDQTMCQLLLDANADLDATAVDGSTALHVAAYHGQLDIV TLLLQAGADMYATRPDGIDLLHIAIREGHRQLVAALLLAKDPYILTEFHLEIASKWGH DQLVADVVSAQPHLVTFSVVNAAVESGRLATTRILLAGITRPSDLRQAIVNGALLHAA ATGQLAVLQLLLEEGAEITATDDIGNSVVHWAVRHNHLDVLLCVLHHFPDQLIPLLST PNHAGEFPVHLAARGGHWTMLQRLEERIYTVACGGNARTFQSCDIPTRPGMQFPPAHR VSYWKIRK H257_18471 MRGHVHRPLALVAAALVGFQGNSGGTPRRPFGSTSISQCQNKPP PPTTLQHRRLFAGGVPDTPLTNDRLVKTIPLNQYTPTLHIAASSGSVQVATDLIANGA NVNASDVAGTTPLMVAASLGDQTMCQLLLDANADLDATAVDGSTALHVAAYHGQLDIV TLLLQAGADMYATRPDGIDLLHIAIREGHRQLVAALLLAKDPYILTEFHLEIASKWGH DQLVADVVSAQPHLVTFSVVNAAVESGRLATTRILLAGITRPSDLRQAIVNGALLHAA ATGQLAVLQLLLEEGAEITATDDIGNSVVHWAVRHNHLDVLLCVLHHFPDQLIPLLST PNHAGEFPVHLAARGGHWTMLQRLEERIYTVACGGNARTFQSCDIPTRPGMQFPPAHR GSCYTQSSLIEPWIDLCLYF H257_18471 MRGHVHRPLALVAAALVGFQGNSGGTPRRPFGSTSISQCQNKPP PPTTLQHRRLFAGGVPDTPLTNDRLVKTIPLNQYTPTLHIAASSGSVQVATDLIANGA NVNASDVAGTTPLMVAASLGDQTMCQLLLDANADLDATAVDGSTALHVAAYHGQLDIV TLLLQAGADMYATRPDGIDLLHIAIREGHRQLVAALLLAKDPYILTEFHLEIASKWGH DQLVADVVSAQPHLVTFSVVNAAVESGRLATTRILLAGITRPSDLRQAIVNGALLHAA ATGQLAVLQLLLEEGAEITATDDIGNSVVHWAVRHNHLDVLLCVLHHFPDQLIPLLST PNHAGEFPVHLAARGGHWTMLQRLEGLGMSLHERCLTVGYWVEDSNFINYGWSSL H257_18472 MEGHLMLLGGSNESSHKADGCWLGNGQVFYVVVAEGYLQLYLRA DRHELQEKVALTRKRVCVRRAQKSLFQVDISHRFGGTKVPSAAPRKLTFLASSVRSAE MWVQFLCRWNRVGFAAPVLVDQAPEHIETLHLLLKHAYMADEDKFVSHQPQRRW H257_18473 MLFGWLRALPWKRCSTAAVAATASRRLNAITTTNVAATISSTLA TAAGCNNDATSTRDLFESISRLELRSMLNRLEHNWSVYHQQKNLPMQPQRLSATYSTD SECASYVHEEFDSLDQEQSVASEWV H257_18474 MHNAIKLQKLINPQKSSLGYFYRNIKRRLGLLSARYCAAVFAPV SLDLLQTFAGVDIPIYQWFGSVETTGVACMSGPHCWSLGASGKPLPSTQILVSDPQLT SILGESQIRIQGPHLSPSVEVDADGFWHSPFDGATRRAKDVVSPNLDKYLTLNDAIQA AERLYSTAMTRPTSSPRNRKHKPDEEAMISPNKAARGLDLDKNDDPFALFALERVRQQ QQHQPISAKSPERFPQGPPPPAAVAAPDLISWDEDIGAVVAKTNPFDEANDPLTKPTT NPFDLM H257_18475 MHLTEAIVKNCGKAIRARIAHPKFMNTMDLLYKEHRGK H257_18476 MSTLRARLAKAIAAAHAPPHNTILAIQKAAAECHSQHVQLTVQL RVFDMADARKKNGEVNGKRVYLIDEESTPADWDDMQSLSEYDRKEFCLSVMVFSSQEA KISNWKRGDIVKLVVKDLKLYDGKQCQALFLDVQSHRTQITMTIEHSDGQEHPDANTT TKQSYEQDCTDNSQDTASNSSQVNTTNDKDIEHGIQVKVEFPKVLGCEQGVKTPKKRL SSTDAKTYPATKKQTQAK H257_18477 MTIEHSDGQEHPDANTTTKQSYEQDCTDNSQDTASNSSQVNTTN DKDIEHGIQVKVEFPKVLGCEQGVKTPKKRLSSTDAKTYPATKKQTQAK H257_18478 MSKACDTLTTLLKLRDDFGLNPKFVHMDKDSAQMSAATLVWADA FPVVWAHGGIPTDELFCNTDVLESLRQLMKSHYKSHPFIAMNEDAISPDDKARILYIK AVEEMYTFCHASGESYLFVCIAVSDRFGNATNEYDG H257_18479 MHIFQPHDNGYNRTTNGGLLHIMETNGLTDHETMSRPLKSLIGQ RHAEQRLRSTTYMIRETANIDNNNLIAQKFYPYVEETENKEIPFAESPMADPSKKRVV CPVVDCEDRFVAETNKKSHYNSVHFSKNPILEPYRPSLFAEMGADDHDEYTRRTGIIL HASPNFEHNVLNDVAIIHMLNVLLKKRGVDVDLVFLGHAMRNPTNEQQAAGANEHESS EEEVVQPPPPKKRLTAKQANV H257_18480 MNRQSASVWELKLNRPAGMVMARVEFMYSTSSATSGNTDLERVF SLPKGNIFHGAMGLDQLFWLRPRGGYTDYRTPVRGLYLCSAGTHPGGGVMGASGRNAA LVALNESV H257_18481 MLPKGSNEGWIAKLYSQYLDEAATTLMIADDKQPPLSRRQSCPE QRKVPAAGKLPLFASSSQRAECQFVIGHFAGRVVYDKDMYVQKNQDALPVEALELCRS SSNAIVQGLLLPPSQSQLKQGGRTRKSPPTRQASSLRSASVSSQFKGQLDDLLQVVGR TQARYIRCIKPNDVSTPRTVNKARVVQQLRSGGVLEAVRIARAGYAVRMEHSSFMDAF GLFMRTSSSTKKKNKKPEELKGMCEQTVAAIMLQLYASSDDGKGIVDIATAVVKGRQS TKMGRRAFQDACGAVRFQLGNSKVFFRKDVYNDVRRFRRHIRTKYATLLQQYGRGFVA RRHAKARREAVAVLQTKVRAWLAYRSAVRTLQTWTRRCLAVTRYRRLRAATDVLQKWG RHVLWTARLYRRVQTRMSHHKHVLIANSNSSSPPPSTPQPHHKAEPLITKDEMADVAA LTRQNQLLQQELDLLRIQQSNAAIASATPMQVHGQVPVPPSPAAAFAQASHNRQTWEL PQGVATSFYQQQQHPSCPPVVVVPAGLVTELEFAHHEIVTLSQQLLVTQIKYSNMLMD YNEHLGGYDADKPMDDAFAMVEALDIPCPTSLECAQEQLRALLRKLHVAKEKLKHLET NMGHHHRQTSHHGDAGMLVGSAMMFSASSKPHRGDSIDAVEFVPRRSSEIWRPYFDDN YNNVPGTTSGRLPPIHDLNDCCMMPNHSVEYMQKVDELQRQLDMLRGVMHKAMVPARV PQTASTVSSSSRSSIGSLADELRHRGAAPGGGYNATRLTYYVKDVTTWARDYKCFECK AEFGLFTRRHHCRLCSQSFCHEHSNRRARLVGVGSEDEDEPVLVCDVCFVDICNETRR QEQNMRQRYMGGGGGGYPLD H257_18482 MHLFVTLANPPVAARKGSRIPLESSTVERLPMSSQDLTQPGAPR DGGRVQTSPVRRDAVVTSEVTEPMRHEAESSFIGEHLLDPTVTRGVSDGDGSIPGVNL ADLRVQLQVPHENAGRALVERPFGSTATACLAPTSLVNNEAGHTTTNRAPVCDEPPRT GDESTPTQENADPASGVVGAEESAFDRDSSNKSHHDRVDHGQSSHGRDSNHSSSNTQD QTVDHNDDAKSKHATSAVDKSGKHTSKPQTLSLGA H257_18483 MVRSATGRELTDDERTALYHRLLQLKKNGRVSSGDIKELMRTFH VSQQTISHILLRGCQTAAETGCAKVASRKKGRCVSTMVTAYETSSQVCRRTDDQTSVH WLLQPGDTQDFAVESHAGQQASPPYEPCEAHVVRQAKLRSLQIR H257_18484 MTRLLALGNFALIAEMTLFMTKIRDGCVSFALRVLAPRRVISRF FRRSQSRAQYPIEDMQRRKEHDFWEKLFGLATATPRYFAFGEVHDVPHIGKLKVIEFA GLSRGLSEQGRRITLDMRATEPNALQLIGICQQWF H257_18484 MTRLLALGNFALIAEMTLFMTKIRDGCVSFALRVLAPRRVISRF FRRSQSRAQYPIEDMQRRKEHDFWEKLFGLATATPRYFAFGEVHDVPHIGKLKVIEFA GLSRGLSEQGRRITLDMRATEPNALQLIGICQQWF H257_18484 MTRLLALGNFALIAEMTLFMTKIRDGCVSFALRVLAPRRVISRF FRRSQSRAQYPIEDMQRRKEHDFWEKLFGLATATPRYFAFGEVHDVPHIGKLKVIEFA GLSRGLSEQGRRITLDMRATEPNALQLIGICQQWF H257_18484 MTRLLALGNFALIAEMTLFMTKIRDGCVSFALRVLAPRRVISRF FRRSQSRAQYPIEDMQRRKEHDFWEKLFGLATATPRYFAFGEVHDVPHIGKLKVIEFA GLSRGLSEQGRRITLDMRATEPNALQLIGICQQWF H257_18484 MTLFMTKIRDGCVSFALRVLAPRRVISRFFRRSQSRAQYPIEDM QRRKEHDFWEKLFGLATATPRYFAFGEVHDVPHIGKLKVIEFAGLSRGLSEQGRRITL DMRATEPNALQLIGICQQWF H257_18484 MTLFMTKIRDGCVSFALRVLAPRRVISRFFRRSQSRAQYPIEDM QRRKEHDFWEKLFGLATATPRYFAFGEVHDVPHIGKLKVIEFAGLSRGLSEQGRRITL DMRATEPNALQLIGICQQWF H257_18485 MLHRARRPGFQAIKRERCIQWACSGQRSFSEGHQASIPMSLVQG GERILRESSPTNKVLQSLNVQALWQTGKLPLIHTSTWSPNPPDFPSRPPQPLLYDPKD MPSEKGFPIPVAILHALAHIELGAMDNYWDTIVRFGPSQSDGLCELPRAFYDDFLNVA CDEARHFELVTGRLHELGSFYGQLPAHQALLAHAHNTQNCLAARLAVIPLVQEARGLD AGPRLVHKLKSLGDRESADIVAQIVFEERGHVSCGIKWFKHLCASTKRDPVGYFHELV KGTFPEGLPGPFDLEARLAANMDPTWYQPLEMPKKPTIILDKPVNPVIPLRKKNGKAI LIAGSVWPERTSSAAGVRTCDMIDILLDEGWDVVCISPSRLNAHTRALAATGVHCEQV DPNKEEALEAFMCSRETPVHVALFDRYIAEEMYGWQVTKLAPHALRVLDLQDVHFLRK AREFHVCTDKKDYRTTLQPSIDVEPVASVVLRELASVYRSHVTLYVSEFEKTMLTTRF DVPPRRLRKLDYIVPDDKLNQFPLNFKDRRHVAVVGSFKHAPNVDGLHWLKDTLWPTM RQSLPPHTELHMYGSYASAKAIKSLHNPHMNVHVMGFCDDVHETLASYRVSLAPLRFG AGIKGKIIDSWAAGTPVVSTSVGAEGMHYHPNQPWGGAVADSPAEFADRVAALYGNAD EWTAAYEGGKVLCRTHYDLDSQRRTLLDVVGSPTDVDNWVGRVLESSQFRATEYMSRF IQEKNKNLTRTPQL H257_18485 MPSEKGFPIPVAILHALAHIELGAMDNYWDTIVRFGPSQSDGLC ELPRAFYDDFLNVACDEARHFELVTGRLHELGSFYGQLPAHQALLAHAHNTQNCLAAR LAVIPLVQEARGLDAGPRLVHKLKSLGDRESADIVAQIVFEERGHVSCGIKWFKHLCA STKRDPVGYFHELVKGTFPEGLPGPFDLEARLAANMDPTWYQPLEMPKKPTIILDKPV NPVIPLRKKNGKAILIAGSVWPERTSSAAGVRTCDMIDILLDEGWDVVCISPSRLNAH TRALAATGVHCEQVDPNKEEALEAFMCSRETPVHVALFDRYIAEEMYGWQVTKLAPHA LRVLDLQDVHFLRKAREFHVCTDKKDYRTTLQPSIDVEPVASVVLRELASVYRSHVTL YVSEFEKTMLTTRFDVPPRRLRKLDYIVPDDKLNQFPLNFKDRRHVAVVGSFKHAPNV DGLHWLKDTLWPTMRQSLPPHTELHMYGSYASAKAIKSLHNPHMNVHVMGFCDDVHET LASYRVSLAPLRFGAGIKGKIIDSWAAGTPVVSTSVGAEGMHYHPNQPWGGAVADSPA EFADRVAALYGNADEWTAAYEGGKVLCRTHYDLDSQRRTLLDVVGSPTDVDNWVGRVL ESSQFRATEYMSRFIQEKNKNLTRTPQL H257_18486 MGVTKEILTEGNGQKPAKGAQVTVHCTGYGKDRDLSKKFWSTKD AGQQPFAFQVGLGQVIKGWDEGVLSMSLGESAKLTCSPDYAYGARGFPAWGIQPDSVL IFEIEVLKIE H257_18487 MSKGQALRELQPNDMLNMAQYYNVDLAASPFLLPIIKQAVETPL PPNWVEEVTNNAAPTMYLNEQTNEVQSSHPADAYFTSQIRKAMEAHAETTVPPGHDQA AWMEFRRNNVKYYYNFATNEEQGDVPASGEVFCSQRHRRHDDVSKDVFAKAVDLHRQP KTKSLETLDILCFHSSWNETRLSVTEKRHADIYFSISTKHFQFVLGNLDNVYTISHIN GRHERPLEAWDLYVGATITILGRSTTLTKASMLTLQWLGFHAKQLDDIKTKLTTQLCK YETVHVDTRPSKCGVSLRRLKNDIEQLREKLSKYRPDLARKIVDALYD H257_18488 MRREESTEATTPLTRDGDVMRRTFGEKKKPTRFIVTDAPDDPEV LFSPRNVGRHGASSIIGEHLDPSVPSRAQQGTQHVRIRQGKMEQKGRFTIIDLLPSSP KSDCDSHFDVAQFNYALSGNEGIPPGFDGSTVVSYSRCQAKYSSESVMVDMSVQYDPR SNVPLDRGHFHSSRHFTRDTCPTQHDEWVTALDGTPVTQFPSRHSRFFHPVEPTYYAD ALSGHWDAPSSKDTLSEGLLSQLIGQASENQRLLEDMVLQNDSILREFEKMNRPLQEP PSTGSLDHHPSERNSQDDDEAAASVPVFRFDARSAPGDSHGRSPSPKNAYVVTSPRQD MRPEFHFSSQHSSVASSTAPSSDIECDSDASPSIQASRPLPHVSLSKPNNNNSTICIS TAQVVHGPSDPLTADDEPPARFLTPGSEALEDMMISQALHLMSSFSVEANQTAMHSTA ATPPPPPPPTFAGGGVIQQYNSFRPMYHTTANPPVMTGAASNWNTHVNTTKTTTLFPG AATYKQPNSSGSIQSHLTAPHQYLHISQSITTTTNGLGNVYTTKENNSLDVFQCLDPL CQPSSAAPSLGHAGSFTNVPPSNQHTTPFLRPSAPSKAPMEESPLFPFL H257_18489 MAGVKRVRVEFVDDAAAEMMVEWGFSRVVVPIDKSMKHVSDLLH GIVRRFGLSNGGYDLMLGDYAILPSDKLELVLQPEDNLTFRKAAAAPSKLVTAKREKQ RRVKVPRVKLPVVSAKAKAKTIDDHPESVQTKRAAVAPKAKAASNKERIATRVLASEP IPPKNRPAETTTGRERKKKRARHVDVVRASPSEPIAPTAIVPPKETSFKAAEPRRGHL RFDPVGTANTTTTCTTTPVELARSVPVVRGTADRRTIPHDLQKYGPRQSTKRQNGGPP LPPPIAVEPSKPSPPEPIKSVPAISNEVSEPRPPKLVLHADSSNEVWKRKYTVIASVN RKHDVDPTNNDMINMERFPEGDISTVGENDVIVFKTVTLCEVHFEPRVSEWKVGRVTG RSTDPHVVSVQLCRHFDAANEATWLDCEYDVTAFNVADFFQVRQVKTANPNIVVAVPA ETPSMEDPNSVDETHDLLEALRRRKEEILMSSASA H257_18489 MAGVKRVRVEFVDDAAAEMMVEWGFSRVVVPIDKSMKHVSDLLH GIVRRFGLSNGGYDLMLGDYAILPSDKLELVLQPEDNLTFRKAAAAPSKLVTAKREKQ RRVKVPRVKLPVVSAKAKAKTIDDHPESVQTKRAAVAPKAKAASNKERIATRVLASEP IPPKNRPAETTTGRERKKKRARHVDVVRASPSEPIAPTAIVPPKETSFKAAEPRRGHL RFDPVGTANTTTTCTTTPVELARSVPVVRGTADRRTIPHDLQKYGPRQSTKRQNGGPP LPPPIAVEPSKPSPPEPIKSVPAISNEVSEPRPPKLVLHADSSNEVWKRKYTVIASVN RKHDVDPTNNDMINMERFPEGDISTVGENDVIVFKTVTLCEVHFEPRVSEWKVGRVTG RSTDPHVVSVQLCRHFDAANEYILCPPCLIFGLTF H257_18489 MAGVKRVRVEFVDDAAAEMMVEWGFSRVVVPIDKSMKHVSDLLH GIVRRFGLSNGGYDLMLGDYAILPSDKLELVLQPEDNLTFRKAAAAPSKLVTAKREKQ RRVKVPRVKLPVVSAKAKAKTIDDHPESVQTKRAAVAPKAKAASNKERIATRVLASEP IPPKNRPAETTTGRERKKKRARHVDVVRASPSEPIAPTAIVPPKETSFKAAEPRRGHL RFDPVGTANTTTTCTTTPVELARSVPVVRGTADRRTIPHDLQKYGPRQSTKRQNGGPP LPPPIAVEPSKPSPPEPIKSVPAISNEVSEPRPPKLVLHADSSNEVWKRKYTVIASVN RKHDVDPTNNVRHHNVAATTSTTTCVLGHDQHGAVS H257_18490 MSRRERIVGNHYQLGLEIGKGGFGTVFSALDLRNGKSVAIKQVS LIDMAKEELAAIESEIKLLKKLHHENIVKYYNTIKEEDYLYIVLEYMENGSLAQFMKK FGTLSETLVAMYITQVLRGLAYLHAQGVLHRDVKGANILTTKDGLVKIADFGVAIKLN EAQKSNSVVGSPYWMAPEVIEMAGWSSASDIWSVGCTIIELLTQKPPYFDLAPMAALF RIVQDDHPPLPKSISPALHDFIMKCFMKEPRLRLSADDLLLHPWISQIPRTKVEQSTQ EVQDNVTSTDDRAAVLNTIRMYDTITRDSTSSCLEAMHEDDDESWDVDLQTNAPLKLV KLAATTSHSTLPTFQLTHDDAHDPFWADEDVPPASSTLSTSTTTTTKTRHDTVGTWGD TSVGHKEGSKLHHFREADNDDGFGDGFEDEDASKGATSWRCRLSSSLQDDNGLDGFAE PSFGDPFFDSSQRETANKATARGMELLSLLGPSMDDRVVLDACHQLHDLCGHNAALRQ ELVAQPGVIPILVEAFELKQLHVLHAVMNVVNMLIMDNQKFLENLALVGLLPIVVALV VTPPDNATVALPELASLVRLEAAKFVEQACVSSSWTLQMFIACGGLPLLTNFLSTDDD SLHRIALTGISCTFSIQTIPKNDTCRLFVKLGVLPKLIAVYNRLVLAIFKQHTMQLVD ELHKICDIFLLFSQGDVVVKEHMCDLVVLEGLLYSLNPGTAIAKHDAYSMAMVKILKC IRNMSMEPNTLENLDRAGTIPTLVQVLTDESSPQIKDIQNIVLHAMFYLCRINRNRQT HAAQAGLIPYLQRVTQTRSPLKQFVLPIMCDLASASATAREHLWACDGVTFFLTLLSD KFWQIDAMKAIAAWLVHDTVKIENVLLAPEHIQQIVLCFRTAEHQFENLLESLLEILS RSMRMNQALGRSSLFVMEILYRLSYPKPFVRKNLLKMLKCIFESHTAPVQFLVEFNIH PTILALAQETNQILIQEIASQLLQAILVSASVF H257_18490 MAPEVIEMAGWSSASDIWSVGCTIIELLTQKPPYFDLAPMAALF RIVQDDHPPLPKSISPALHDFIMKCFMKEPRLRLSADDLLLHPWISQIPRTKVEQSTQ EVQDNVTSTDDRAAVLNTIRMYDTITRDSTSSCLEAMHEDDDESWDVDLQTNAPLKLV KLAATTSHSTLPTFQLTHDDAHDPFWADEDVPPASSTLSTSTTTTTKTRHDTVGTWGD TSVGHKEGSKLHHFREADNDDGFGDGFEDEDASKGATSWRCRLSSSLQDDNGLDGFAE PSFGDPFFDSSQRETANKATARGMELLSLLGPSMDDRVVLDACHQLHDLCGHNAALRQ ELVAQPGVIPILVEAFELKQLHVLHAVMNVVNMLIMDNQKFLENLALVGLLPIVVALV VTPPDNATVALPELASLVRLEAAKFVEQACVSSSWTLQMFIACGGLPLLTNFLSTDDD SLHRIALTGISCTFSIQTIPKNDTCRLFVKLGVLPKLIAVYNRLVLAIFKQHTMQLVD ELHKICDIFLLFSQGDVVVKEHMCDLVVLEGLLYSLNPGTAIAKHDAYSMAMVKILKC IRNMSMEPNTLENLDRAGTIPTLVQVLTDESSPQIKDIQNIVLHAMFYLCRINRNRQT HAAQAGLIPYLQRVTQTRSPLKQFVLPIMCDLASASATAREHLWACDGVTFFLTLLSD KFWQIDAMKAIAAWLVHDTVKIENVLLAPEHIQQIVLCFRTAEHQFENLLESLLEILS RSMRMNQALGRSSLFVMEILYRLSYPKPFVRKNLLKMLKCIFESHTAPVQFLVEFNIH PTILALAQETNQILIQEIASQLLQAILVSASVF H257_18490 MSRRERIVGNHYQLGLEIGKGGFGTVFSALDLRNGKSVAIKQVS LIDMAKEELAAIESEIKLLKKLHHENIVKYYNTIKEEDYLYIVLEYMENGSLAQFMKK FGTLSETLVAMYITQVLRGLAYLHAQGVLHRDVKGANILTTKDGLVKIADFGVAIKLN EAQKSNSVVGSPYWMAPEVIEMAGWSSASDIWSVGCTIIELLTQKPPYFDLAPMAALF RIVQDDHPPLPKSISPALHDFIMKCFMKEPRLRLSADDLLLHPWISQIPRTKVEQSTQ EVQDNVTSTDDRAAVLNTIRMYDTITRDSTSSCLEAMHEDDDESWDVDLQTNAPLKLV KLAATTSHSTLPTFQLTHDDAHDPFWADEDVPPASSTLSTSTTTTTKTRHDTVGTWGD TSVGHKEGSKLHHFREADNDDGFGDGFEDEDASKGATSWRCRLSSSLQDDNGLDGFAE PSFGDPFFDSSQRETANKATARGMELLSLLGPSMDDRVVLDACHQLHDLCGHNAALRQ ELVAQPGVIPILVEAFELKQLHVLHAVMNVVNMLIMDNQKFLENLALVGLLPIVVALV VTPPDNATVALPELASLVRLEAAKFVEQACVSSSWTLQMFIACGGLPLLTNFLSTDDD SLHRIALTGISCTFSIQTIPKNDTCRLFVKLGVLPKLIAVYNRLVLAIFKQHTMQLVD ELHKICDIFLLFSQGDVVVKEHMCDLVVLEGLLYSLNPGTAIAKHDAYSMAMVKILKC IRNMSMEPNTLENLDRAGTIPTLVQVLTDESSPQIKDIQNIVLHAMFYLCRINRNRQT HAAQAGLIPYLQRVTQTRSPLKQFVLPIMCDLASASATAREHLWACDGVTFFLTLLSD KFWQIDAMKAIAAWLVHDTPRSTFNKSSCAFERRNISLKTCSSRCWKFCRGPCE H257_18490 MAPEVIEMAGWSSASDIWSVGCTIIELLTQKPPYFDLAPMAALF RIVQDDHPPLPKSISPALHDFIMKCFMKEPRLRLSADDLLLHPWISQIPRTKVEQSTQ EVQDNVTSTDDRAAVLNTIRMYDTITRDSTSSCLEAMHEDDDESWDVDLQTNAPLKLV KLAATTSHSTLPTFQLTHDDAHDPFWADEDVPPASSTLSTSTTTTTKTRHDTVGTWGD TSVGHKEGSKLHHFREADNDDGFGDGFEDEDASKGATSWRCRLSSSLQDDNGLDGFAE PSFGDPFFDSSQRETANKATARGMELLSLLGPSMDDRVVLDACHQLHDLCGHNAALRQ ELVAQPGVIPILVEAFELKQLHVLHAVMNVVNMLIMDNQKFLENLALVGLLPIVVALV VTPPDNATVALPELASLVRLEAAKFVEQACVSSSWTLQMFIACGGLPLLTNFLSTDDD SLHRIALTGISCTFSIQTIPKNDTCRLFVKLGVLPKLIAVYNRLVLAIFKQHTMQLVD ELHKICDIFLLFSQGDVVVKEHMCDLVVLEGLLYSLNPGTAIAKHDAYSMAMVKILKC IRNMSMEPNTLENLDRAGTIPTLVQVLTDESSPQIKDIQNIVLHAMFYLCRINRNRQT HAAQAGLIPYLQRVTQTRSPLKQFVLPIMCDLASASATAREHLWACDGVTFFLTLLSD KFWQIDAMKAIAAWLVHDTPRSTFNKSSCAFERRNISLKTCSSRCWKFCRGPCE H257_18491 MVALHPEIEKSEVDALCELFLALGGTRWTNSTGWTKFDNAEALR SGYGMAWYGTKWSKGCVISIDLRGNNLRGTLPDVFSKMNKLQVLNLGNNPLVTGRVPH SLMKAKHLQYCYLDGTQVFDIVASHHAYDFHITKYISSSKASVRVRIRNSTWIADVTE QEMYLVSTSLQAARAPPRPNVIQRTAHNATGPERVHAAMTLQRIYRESRARRKILKLS AMVSEVVQDVEDIKSTQAMNRISMLGATTSLIHAELKRRYGSESSTHVCYRGLFDEYD QEHTGFVHSKDLLLLCGDLGIPMSPQRFEIAMKEMNTPSHGKLSFEELCHWLDKMEK H257_18492 MMLREDNWNFWRTALKGRMLSKKFKAVYDGGVALANERGLAASN AGNITTPARTSTQDTTYSDDNDVYALGILLTCCSESQQQYVAEGDTYGDAWCALTKHH EQKTRVDRLVTLSEYFNMKWNTKQESLPQFLERYEIVLRKLKASGDAIHEFTPCRRRR GNSGKKDQNKEDSGNLALDEVVWIFAAIEDEEVEDADSNAFVFYATDSRGRVLVDSGA STHMTGDATRLTDLKPCDRMVIVANGGRTRATKVPCG H257_18493 MWVIAASDTAKGHTDDILSVVYIPPATLATSGLDNKIPLEHLSG EILRAGSFDGRVGYVVWQLLANVGLILMRSGQPALLYLVPWGVMVVAKW H257_18494 MTPEMSEEDVVTTPSARPTNNPTSRVSLFSVPPLLSPTRTRTSD TVTAHPPGPPDDVVTPSVGRPGRRDKCILDMGEFIC H257_18495 MDVLVLVSSGNGQMSLLDIMCSWVCYKYWHLGKPVTYSASAPQA NAHAGQHHKHFVPSRSIAAALSRTLHMESSTSRRTRCPSSQARCGSAGPHTTTTRWTC CHKWPLLRPWARSSRHRSLMKHGRRSQLGDPEDVNKHGVRAMSDIADVVISSKGEILA WDGFAQQVRQKTLKLGEFVARQHEDHPREHPEVANAGVSRHDELQAHKSGADSHFCIE PFGTVRAQDEQLCGHKHLDKNIHGIAEEVAVGDLDGTLPPAINKTTAASSANKAGTGL QLQRPRQTPSGCTVIRRRLTPRGHGFAGTGWDAQTDRKHALGNERAGFVASKHSKQPQ PQRQPDRQYVRHRNVDWTQVCVVGGAGWPRKADMRRSSGDKRIVPTTLLEPKQKSTVI SFTHNSSKRKPDLNTRTAAASPALGSPSAPGAARLRRGVVALGLFNVAPVWSRNGGRP ERGSIRYERTTGMDGHLVGIHGVPQVVGDVPHAAAFSVNVQLPPSTTRTKREMVSEHY YGKQDDSEAPSSYYSPTTMEPSASRRRYPILLRLPKLTSWLGGEAMLGLGYIVLPELL VVILRSGSFDGRVGYVVWLLLANVGLILMRSGQPALLYLPTAEKAVDRITNLQRWSAP GDCYAVPYAIPMTPEMSEEDVVTTPSARPTNNPTSRVSLFSVPPLLSPTRTRTSDTVT AHPPGPPDDVVTPSVGRPGRRDKCILDMGEKIYIWLDSNDDNDEPLPMWVIAASDTAK GHTDDILSVVYIPPATLATSGLDNKIPLEHLSGEILRAGSFDGRVGYVVWQLLANVGL ILMRSGQPALLYLVPWGVMVVAK H257_18496 MAVGGGSADGSTEARETKPRFLADPDEATYWQRVKKTWEMLDFR TAFATAADVQAAKSVVWSAKNGAPIADETQYQAALHLTRAVLHPDTGCCNTSIHPIIG LMSNASGDTVLFPLRVSMIVPMNLGLDCGMILASTTRSTIFWQWLNQTYNALHYYANR NATNEDTSNQRIAAYVGATASSVAASLSVRRWAKTHSNPMMLRLAPFAAVAAADILNL VVMRQSEFRRGVNIYDEQGEWVGLSKLCGVYAVSSCIGGRILAAAPILMGPPLIMQLL EARTTLFHGHRRRFRVPATLFLVGLLIQTSVPLTFGLFRQSAQVDTQYIETEFQGRVH ANGHLMRTVTYNKGI H257_18496 MAVGGGSADGSTEARETKPRFLADPDEATYWQRVKKTWEMLDFR TAFATAADVQAAKSVVWSAKNGAPIADETQYQAALHLTRAVLHPDTGDTVLFPLRVSM IVPMNLGLDCGMILASTTRSTIFWQWLNQTYNALHYYANRNATNEDTSNQRIAAYVGA TASSVAASLSVRRWAKTHSNPMMLRLAPFAAVAAADILNLVVMRQSEFRRGVNIYDEQ GEWVGLSKLCGVYAVSSCIGGRILAAAPILMGPPLIMQLLEARTTLFHGHRRRFRVPA TLFLVGLLIQTSVPLTFGLFRQSAQVDTQYIETEFQGRVHANGHLMRTVTYNKGI H257_18497 MTTSIESAGQVATAIRSALAHVDVETKSLEAKMAEWAELEARVQ ANINNHPNIVSLNVGGTTFETSKQTLLRGQDTYFHALLGSGQWTPDGDAAYFLDLDPH LFRRVLTFLRTGKEVSMEGLTNDERDELASMLEYLKLEKRPQPLPVPIVKPVEWDCQT HSPGMELTNQGKSIQGCASVSKWQCAAATNPLTGTFRVRVDSTRGEFRIALSPPGIDV SCTTSTIKCYMYASNGVVFNRNNQVAIVSALKAGDVVTMQRGPSRVNFSLNDGNIIAV MFVDSPSGMLFPVVFMRGQSKFTILD H257_18498 MLSGLRERFQFSTNMNVVQVCIDDGSAATASPASVNTNAIKLRL QSEMSLHNDGESRWKTYWTSLQQYVQSEMPHSTFQSTIRTLLHPSLLALHNELILAIL HNAHLPHTTPPPSPTLHAPPTIDVALLTSPEPNRAHLKRPLTDEEAVHTLLQLIASDD TASDNAVDDVDDAAAFLKSFSKPPLHTPSKQSKPATATPWPYSSGMPLLRPKLDPSMW SRQPDHLHLLSTHPLHHFSNNQSERSAPQTMSHHPTTVNVETSAAKDYTGCQSMVENT RLSWQLRLKKAKSSSKATGWSYL H257_18498 MLSGLRERFQFSTNMNVVQVCIDDGSAATASPASVNTNAIKLRL QSEMSLHNDGESRWKTYWTSLQQYVQSEMPHSTFQSTIRTLLHPSLLALHNELILAIL HNAHLPHTTPPPSPTLHAPPTIDVALLTSPEPNRAHLKRPLTDEEAVHTLLQLIASDD TASDNAVDDVDDAAAFLKSFSKPPLHTPSKQSKPATATPWPYSSGMPLLRRNAPSHHA VPLRFRFVAKLDPSMWSRQPDHLHLLSTHPLHHFSNNQSERSAPQTMSHHPTTVNVET SAAKDYTGCQSMVENTRLSWQLRLKKAKSSSKATGWSYL H257_18498 MLSGLRERFQFSTNMNVVQVCIDDGSAATASPASVNTNAIKLRL QSEMSLHNDGESRWKTYWTSLQQYVQSEMPHSTFQSTIRTLLHPSLLALHNELILAIL HNAHLPHTTPPPSPTLHAPPTIDVALLTSPEPNRAHLKRPLTDEEAVHTLLQLIASDD TASDNAVDDVDDAAAFLKSFSKPPLHTPSKQSKPATATPWPYSSGMPLLRPKLDPSMW SRQPDHLHLLSTHPLHHFSNNQSERSAPQTMSHHPTTVNVETSAAKVSKAHQSNGFC H257_18498 MLSGLRERFQFSTNMNVVQVCIDDGSAATASPASVNTNAIKLRL QSEMSLHNDGESRWKTYWTSLQQYVQSEMPHSTFQSTIRTLLHPSLLALHNELILAIL HNAHLPHTTPPPSPTLHAPPTIDVALLTSPEPNRAHLKRPLTDEEAVHTLLQLIASDD TASDNAVDDVDDAAAFLKSFSKPPLHTPSKQSKPATATPWPYSSGMPLLRRNAPSHHA VPLRFRFVAKLDPSMWSRQPDHLHLLSTHPLHHFSNNQSERSAPQTMSHHPTTVNVET SAAKVSKAHQSNGFC H257_18499 MASLLSEFVLDNFINNYEKANETMWRKEDLDHRLQERQWRVDDL QREQEWRAHDIRTDRIHKKLSNEQRQADTRAEHLSAISEISAELSGFALVSIINVNLP DDIDLTLLWVYGVTSALTICCMVLSLLVCTFLMMAVTRYCAHDLEFVVKRLDDEDIDR IHPFERWWVSRCEVDWQLSYLLFRTGVTLFLLELAIVSWVQYSHYRVASISITFVSFV GLLVWHSRIWSKWRYLMSRPEVDVIPNEKTPLMRKTYSRAKSALRVPKTNVD H257_18500 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGV KRISGLIYEETRGVLKVFLENVIRDSVTYTEHARRKTVTAMDVVYALKRQGRTLYGFG G H257_18501 MLLRWRRHVQRRALPAGPATRTRASTTIATDGLPGLCHRSQTSS TKNNVVSMQDLLASNPQRNWELSFNWERALQWWPQAAWKDALGPSFKAEHSRLAALLQ QNGPSNHYYLLRRHPELAKDVLQLVVDSTKAFPYVNPLHRDMDIIKVLRQMALAVGED NMVHAVQVVIHQRCLTKESARCTPCLYRSLSAASDTTSATTLRDILRHLIHHHLVPEI LALLEYATVHRIPIDVWRRVFNVFIHPSFPVSNDVSNAVANVSNVSVVHDVAQQFMAH VDARPMRVSEGIAASVLRAAVHHSDAATAMTCYAWFRRHQVPLSAISIGHMMQFLRPG QPHANMETFQQVYADAVDAGGVASLSAPCLAMAINAATHDRSFLRQIVRDIVHSSDKL DKLDVGMCNVALRGLVTGPSTDPLMDYPLAQSLGQTMLQSGVIPNRGSLVTLLRVNPD KLSILKHRDVITTVGHTVPRIAITSPSTTDENDDVIHVAYIAIVDLIKSGDVTTAAAV RDAVLSQYPAVVAFPHAMWQTIVLSLMHDRDTFDHTVDWLRCLPAIPDHYQEAQSTEE VIDEVVFATWFTLARKYRKPVSRDVPWVLALWRQLHPPSASSSTKDDDLVKRFDLAIS TCCHFDDVATATDVWHDMMADVGAPSAAAATAMLHAMDRTNHDFASFFYSELYPKEAR KAKRISHRPPPMAVLQSALFVASQRKKHGMVRDVLELLMTLPPSEWNTAVYRNALYCC AQASMGELSDTGLELIEWFMTDRLPFDPDEFSLFDSMRIAKHSSIDDQYALLHAYSER NRWPGLMAYTVMMEAHLQANAPSSYGHMVVEMMDAQNIRMDLKFATVYALLLVQNHDI PKLLHLIRSMVVDEPHIEPDLYFFSALLTMVAHQAGMDGCFELVASVTSIRHFEALYL ALIQVGLTKGVLERVCNVVMQMECEGFAMSSAGLVQVIQAVSSNREMDKVVSIVAQMV AGPRVMPFDETVFSALWTQLDKYSCANKVSLKFIQQQATSQGFERTTSTL H257_18502 MAAQQETLQNDRFSTFHDVVAQIQSEQPGVNIKCGTVVTKGHHP RNARYLSTNELEGRTSLTTLQTVAAIQAVDQAAGRGVYLIEPNEITSDDEDTQLTESE SDPGSNELGGQITQSSQFTTEFHPL H257_18503 MSEKDPEVCVQPLRTKIGRREGTYDEVGASQDKDNVANSSPEES HTNRIAGRATAFEEAPETEAVPPDRSKENEIHAKKSARAEDVSKVPAQLPPYRDNTYS LPDLILRKEQSRDDFIVVMKAWKRRHSHWIPG H257_18504 MTPTRQPTVPSKKTTQESFHGASTRRAYTTYQKQFEMFLQTQKG GIDPTTSGTEECTDFFHYLYSQGKKARTIDLAKSALVAYFNARRVAPNPAQDTTARHY IVGLQKYNKQNNVDEEKKAHPLTVQELSTLVNALANLHPFLGSMLRFLLAVSFLGCFR MSEVLALRWNDVQIVSDQIGRYLSVRLRWHKKAGVEEDCQVYHLVDETSFPCLLVCGF HDDYTAKLRAYCVNLTSTAFVFPNVVMQHNGVPRVEWYRGLEQANLRKWLAFAVGGSF YRVFESRERRFNFRELMAWCRWTDAKTCCEYLVTQSISNDIDPRNLFRTPKFQHSTQW PELGAVGLPFTVDAVGQALAKALQEHTVGRHAPTK H257_18505 MGGQGPKSLFPFKDDLLEYLRGRRAKKKYLRYIEAKKSYESFGH LLRHVCKRHRFSHRIPCANKVHRFVLDNV H257_18506 MLLMLFIIKGQSGGPLEKKELPTYDPANVYAVQANTWMDDPVWD IYPGLTQDTQLHVE H257_18507 MQVLFAVATIAAVAVAQTTTTKPAVTTVAATTVRPANATGNSTN PSAVISATVKPATTVAGATTKAATTAAPTTAKPSSASAASVVASVAIVAAWAAVQ H257_18508 MQVLFAVATIAAVAVAQTTTTKPAVTTVAATTVRPANATGNSTN PSAVISATVKPATTVAGATTKAATTAAPTTAKPSSASAASVVASVAIVAAWAAVQ H257_18509 MTLPNRWETFPIHISTPHILVTMQVLFAAIAAVAVAQTTTDHHG NMTSNVTTSGPTTIVKPATTSTTFTATTTKAVTTAAPTTTKSSSASAASVVASVAIVA AWAAVQ H257_18510 MKPRQTRQKSSMENSNSATPQATEYATMQESSRPAVVASSAPQN APPGRGKSARLGCLQFIDSRQWHYFFMLLLFLDFFGNCIAVSFTSSENFYKYGPKTRV ASIGFGGVYVVDMFLRLVSLRTGLFRNAASVGDLLALVLLLVALAGRIWKADDLTNVK ITMGGWTNNYMIAHEYNSNQIEMYIDAVYCFFVAIRIVLKPRARTFSKKLHKYANHDH LRISMASLRTSIRRIPGITAAAVDMMETDLAIICGRNQGDMTREELMQFLQKALLYRP KDLSANAFLAHLRDIDAMASYAVYGAYDVVKSTFRHWSNQRLDLTLTTFVVIVFACIT PLLAFFLKIVTDQAFPKYVYSVENLEMQPDFTIKLVGIYVRPTYKNETANENNTLVTL PFVPENSLLLGIAGLIGISIPFILCDYAMGYFQSKMIANATQRLQKNLLRILLHKPTK FFQERTDGDLNNLFQSDISRVNAMWQAVFWNLMQPIVSIVIGFGYLMYFEPIIGIMSF SFSAIIATSGPQGLAGDKSQDFGKKSAYVSAEFQNAIACQKVVRAYEIQTPLLAKFGA SIRTLKFAQFAKDFWSGIVQIYIESAMFIFVSVMTACLAIKVYFGNITAGDFFASVTM LSRISTPVTVLGGFMRVAIGNASSLQRLDAIVMQTNDDEFHDQAKKDLEKPTLPRMSK SLTLSHVSFSYATSGDKLNLNDITAVIKQGEYVCVVGPSGCGKSTLLSCLMQFQTISS GAICVDGLDTQQYSKASLADQTAVVFQDGGILNGTILENIQYGHPRATEQECMEASKA AECDGFIRLLKDGYQTIIGQHGTANLSGGQVQRICLARALVRKPSLLLLDEATSALDP ETEANIVATLERLARKMHVTVISVTHRLSTTRNADTIIVLDDGKIVETGTYKHLMNRP GSAFAEMVHKTGDTPVDHRDTSRNSSFVGFVVEDLGNVLDTHEALHEFQRKLSNRSGE NGAILSAWQVRKLSSDRRHLSRGASQSGSGLANLSAARDSHDERDSYLVL H257_18510 MKPRQTRQKSSMENSNSATPQATEYATMQESSRPAVVASSAPQN APPGRGKSARLGCLQFIDSRQWHYFFMLLLFLDFFGNCIAVSFTSSENFYKYGPKTRV ASIGFGGVYVVDMFLRLVSLRTGLFRNAASVGDLLALVLLLVALAGRIWKADDLTNVK ITMGGWTNNYMIAHEYNSNQIEMYIDAVYCFFVAIRIVLKPRARTFSKKLHKYANHDH LRISMASLRTSIRRIPGITAAAVDMMETDLAIICGRNQGDMTREELMQFLQKALLYRP KDLSANAFLAHLRDIDAMASYAVYGAYDVVKSTFRHWSNQRLDLTLTTFVVIVFACIT PLLAFFLKIVTDQAFPKYVYSVENLEMQPDFTIKLVGIYVRPTYKNETANENNTLVTL PFVPENSLLLGIAGLIGISIPFILCDYAMGYFQSKMIANATQRLQKNLLRILLHKPTK FFQERTDGDLNNLFQSDISRVNAMWQAVFWNLMQPIVSIVIGFGYLMYFEPIIGIMSF SFSAIIATSGPQGLAGDKSQDFGKKSAYVSAEFQNAIACQKVVRAYEIQTPLLAKFGA SIRTLKFAQFAKDFWSGIVQIYIESAMFIFVSVMTACLAIKVYFGNITAGDFFASVTM LSRISTPVTVLGGFMRVAIGNASSLQRLDAIVMQTNDDEFHDQAKKDLEKPTLPRMSK SLTLSHVSFSYATSGDKLNLNDITAVIKQGEYVCVVGPSGCGKSTLLSCLMQFQTISS GAICVDGLDTQQYSKASLADQTAVVFQDGGILNGTILENIQYGHPRATEQECMEASKA AECDGFIRLLKDGYQTIIGQHGTANLSGGQVQRICLARALVRKPSLLLLDEATSALDP ETEANIVATLERLARKMHVTVISVTHRLSTTRNADTIIVLDDGKIVETGTYKHLMNRP GSAFAEMVHKTGDTPVDHRDTSRNSSFVGFVVEDLGNVLDTHEALHEFQRKLSNRSGE NGAILSAWQVRKLSSDRRHLSRGASQSGSGLANLSAARDSHDERDSYLVL H257_18510 MKPRQTRQKSSMENSNSATPQATEYATMQESSRPAVVASSAPQN APPGRGKSARLGCLQFIDSRQWHYFFMLLLFLDFFGNCIAVSFTSSENFYKYGPKTRV ASIGFGGVYVVDMFLRLVSLRTGLFRNAASVGDLLALVLLLVALAGRIWKADDLTNVK ITMGGWTNNYMIAHEYNSNQIEMYIDAVYCFFVAIRIVLKPRARTFSKKLHKYANHDH LRISMASLRTSIRRIPGITAAAVDMMETDLAIICGRNQGDMTREELMQFLQKALLYRP KDLSANAFLAHLRDIDAMASYAVYGAYDVVKSTFRHWSNQRLDLTLTTFVVIVFACIT PLLAFFLKIVTDQAFPKYVYSVENLEMQPDFTIKLVGIYVRPTYKNETANENNTLVTL PFVPENSLLLGIAGLIGISIPFILCDYAMGYFQSKMIANATQRLQKNLLRILLHKPTK FFQERTDGDLNNLFQSDISRVNAMWQAVFWNLMQPIVSIVIGFGYLMYFEPIIGIMSF SFSAIIATSGPQGLAGDKSQDFGKKSAYVSAEFQNAIACQKVVRAYEIQTPLLAKFGA SIRTLKFAQFAKDFWSGIVQIYIESAMFIFVSVMTACLAIKVYFGNITAGDFFASVTM LSRISTPVTVLGGFMRVAIGNASSLQRLDAIVMQTNDDEFHDQAKKDLEKPTLPRMSK SLTLSHVSFSYATSGDKLNLNDITAVIKQGEYVCVVGPSGCGKSTLLSCLMQFQTISS GAICVDGLDTQQYSKASLADQTAVVFQDGGILNGTILENIQYGHPRATEQECMEASKA AECDGFIRLLKDGYQTIIGQHGTANLSGGQVQRICLARALVRKPSLLLLDEATSALDP ETEANIVATLERLARKMHVTVISVTHRLSTTRNADTIIVLDDGKIVETGTYKHLMNRP GSAFAEMVHKTGDTPVDHRDTSRNSSFVGFVVEDLGNVLDTHEALHEFQRKLSNRSVY IYIFK H257_18510 MKPRQTRQKSSMENSNSATPQATEYATMQESSRPAVVASSAPQN APPGRGKSARLGCLQFIDSRQWHYFFMLLLFLDFFGNCIAVSFTSSENFYKYGPKTRV ASIGFGGVYVVDMFLRLVSLRTGLFRNAASVGDLLALVLLLVALAGRIWKADDLTNVK ITMGGWTNNYMIAHEYNSNQIEMYIDAVYCFFVAIRIVLKPRARTFSKKLHKYANHDH LRISMASLRTSIRRIPGITAAAVDMMETDLAIICGRNQGDMTREELMQFLQKALLYRP KDLSANAFLAHLRDIDAMASYAVYGAYDVVKSTFRHWSNQRLDLTLTTFVVIVFACIT PLLAFFLKIVTDQAFPKYVYSVENLEMQPDFTIKLVGIYVRPTYKNETANENNTLVTL PFVPENSLLLGIAGLIGISIPFILCDYAMGYFQSKMIANATQRLQKNLLRILLHKPTK FFQERTDGDLNNLFQSDISRVNAMWQAVFWNLMQPIVSIVIGFGYLMYFEPIIGIMSF SFSAIIATSGPQGLAGDKSQDFGKKSAYVSAEFQNAIACQKVVRAYEIQTPLLAKFGA SIRTLKFAQFAKDFWSGIVQIYIESAMFIFVSVMTACLAIKVYFGNITAGDFFASVTM LSRISTPVTVLGGFMRVAIGNASSLQRLDAIVMQTNDDEFHDQAKKDLEKPTLPRMSK SLTLSHVSFSYATSGDKLNLNDITAVIKQGEYVCVVGPSGCGKSTLLSCLMQFQTISS GAICVDGLDTQQYSKASLADQTAVVFQDGGILNGTILENIQYGHPRATEQECMEASKA AECDGFIRLLKDGYQTIIGQHGTANLSGGQVQRICLARALVRKPSLLLLDEATSALDP ETEANIVATLERLARKMHVTVISVTHRLSTTRNADTIIVLDDGKIVETGTYKHLMNRP GSAFAEMVHKTGDTPVDHRDTSRNSSFVGFVVEDLGNVLDTHEALHEFQRKLSNRSVY IYIFK H257_18511 MPPTPSTNKVPAAKMVRGGRAKSISMHDDAKDFTPTQTGFTSIL PAPSSKADPAPLLEESYKSFRLNCLQLIDSRRFHYLVMLVLFVDFFGNCVAVSFTSTD NFVKYGGTARLFSSVFTGLYAMDMLLRVMSLRGGILRNAASTGDVVALVCATVALGGR FWKADNVKNVVITEGDWVDKYKLEHKYISNQIEQYVTAVYCFFVAIRIVLKPPARTFS KKLHKYANHDNLRISMASLRTSIRRIPGITAVAVETMEFDLTIVCGRDEGDMSREELM QFLQKALLYRPKDLSANAFLAHLRDIDAQSAHTVYGAYDVVKSTFRHWSNQKLDLALT MVVVVVFACVTPALAYFLSVITDQAFPRSVYSTAIPDYVESNGMLKMFTRITYKNETK DENQKIQYLPFVPEKSLWTGIAAVVGVSVPFIVCDYAMGYFQSKMISKATQRLQQSLL RVILHKPTKFFQERNDGDLNNLFQSDIARVNAMWQAVFWNLMQPVVSIVIGFGYLVYF EPIIGIMSFSYAAILITSGPQGLASEKSQQFGKKNAFVGAEFQNTIACQKVVRAYEMQ LPLQTKFAASIRVLRVAQFAKDFWSGIVQIYIESAMFIFVSVMTACLAIKVYHGNITA GDFFSSVTLLGRVSTPVTVLGGFMRVAIGNASSLQRLDELVVGAEHIIDVEESEDAKM PTLPRMRKALTLSHVSFSYSNNDDNIPVLNDISAVFKQGEYVCVVGPSGCGKSTLLSC LMQFQDINAGTITMDGMDVKMYSKASYMHQTAVVFQNGGILNGTILDNIRYGRVSSSD EDCIEAANAAECDGFIRLLKDGYNTILGQHGTVHLSGGQVQRVCLARALVRKPSLLLL DEATSALDFETEAHIVTTLEKLARTCHVTVVSVTHRLATTRGADLILVMHRGAIVESG TFSQLMHEPKSLFADMMQHCVDSTSSDDTSEEIPLLENVHSMHMVDRANFIDDTHRAL TDFQQALDLRVDDHGEVSSAWKTRLRSASRGPTNNTLLQTTLSARHSEDDGMNDQDSF FVM H257_18511 MPPTPSTNKVPAAKMVRGGRAKSISMHDDAKDFTPTQTGFTSIL PAPSSKADPAPLLEESYKSFRLNCLQLIDSRRFHYLVMLVLFVDFFGNCVAVSFTSTD NFVKYGGTARLFSSVFTGLYAMDMLLRVMSLRGGILRNAASTGDVVALVCATVALGGR FWKADNVKNVVITEGDWVDKYKLEHKYISNQIEQYVTAVYCFFVAIRIVLKPPARTFS KKLHKYANHDNLRISMASLRTSIRRIPGITAVAVETMEFDLTIVCGRDEGDMSREELM QFLQKALLYRPKDLSANAFLAHLRDIDAQSAHTVYGAYDVVKSTFRHWSNQKLDLALT MVVVVVFACVTPALAYFLSVITDQAFPRSVYSTAIPDYVESNGMLKMFTRITYKNETK DENQKIQYLPFVPEKSLWTGIAAVVGVSVPFIVCDYAMGYFQSKMISKATQRLQQSLL RVILHKPTKFFQERNDGDLNNLFQSDIARVNAMWQAVFWNLMQPVVSIVIGFGYLVYF EPIIGIMSFSYAAILITSGPQGLASEKSQQFGKKNAFVGAEFQNTIACQKVVRAYEMQ LPLQTKFAASIRVLRVAQFAKDFWSGIVQIYIESAMFIFVSVMTACLAIKVYHGNITA GDFFSSVTLLGRVSTPVTVLGGFMRVAIGNASSLQRLDELVVGAEHIIDVEESEDAKM PTLPRMRKALTLSHVSFSYSNNDDNIPVLNDISAVFKQGEYVCVVGPSGCGKSTLLSC LMQFQDINAGTITMDGMDVKMYSKASYMHQTAVVFQNGGILNGTILDNIRYGRVSSSD EDCIEAANAAECDGFIRLLKDGYNTILGQHGTVHLSGGQVQRVCLARALVRKPSLLLL DEATSALDFETEAHIVTTLEKLARTCHVTVVSVTHRLATTRGADLILVMHRGAIVESG TFSQVFPRWLEIYIFIYLSSK H257_18511 MPPTPSTNKVPAAKMVRGGRAKSISMHDDAKDFTPTQTGFTSIL PAPSSKADPAPLLEESYKSFRLNCLQLIDSRRFHYLVMLVLFVDFFGNCVAVSFTSTD NFVKYGGTARLFSSVFTGLYAMDMLLRVMSLRGGILRNAASTGDVVALVCATVALGGR FWKADNVKNVVITEGDWVDKYKLEHKYISNQIEQYVTAVYCFFVAIRIVLKPPARTFS KKLHKYANHDNLRISMASLRTSIRRIPGITAVAVETMEFDLTIVCGRDEGDMSREELM QFLQKALLYRPKDLSANAFLAHLRDIDAQSAHTVYGAYDVVKSTFRHWSNQKLDLALT MVVVVVFACVTPALAYFLSVITDQAFPRSVYSTAIPDYVESNGMLKMFTRITYKNETK DENQKIQYLPFVPEKSLWTGIAAVVGVSVPFIVCDYAMGYFQSKMISKATQRLQQSLL RVILHKPTKFFQERNDGDLNNLFQSDIARVNAMWQAVFWNLMQPVVSIVIGFGYLVYF EPIIGIMSFSYAAILITSGPQGLASEKSQQFGKKNAFVGAEFQNTIACQKVVRAYEMQ LPLQTKFAASIRVLRVAQFAKDFWSGIVQIYIESAMFIFVSVMTACLAIKVYHGNITA GDFFSSVTLLGRVSTPVTVLGGFMRVAIGNASSLQRLDELVVGAEHIIDVEESEDAKM PTLPRMRKALTLSHVSFSYSNNDDNIPVLNDISAVFKQGEYVCVVGPSGCGKSTLLSC LMQFQDINAGTITMDGMDVKMYSKASYMHQTAVVFQNGGILNGTILDNIRYGRVSSSD EDCIEAANAAECDGFIRLLKDGYNTILGQHGTVHLSGGQVQRVCLARALVRKPSLLLL DEATSALDFETEAHIVTTLEKLARTCHVTVVSVTHRLATTRGADLILVMHRGAIVESG TFSQVFPRWLEIYIFIYLSSK H257_18511 MPPTPSTNKVPAAKMVRGGRAKSISMHDDAKDFTPTQTGFTSIL PAPSSKADPAPLLEESYKSFRLNCLQLIDSRRFHYLVMLVLFVDFFGNCVAVSFTSTD NFVKYGGTARLFSSVFTGLYAMDMLLRVMSLRGGILRNAASTGDVVALVCATVALGGR FWKADNVKNVVITEGDWVDKYKLEHKYISNQIEQYVTAVYCFFVAIRIVLKPPARTFS KKLHKYANHDNLRISMASLRTSIRRIPGITAVAVETMEFDLTIVCGRDEGDMSREELM QFLQKALLYRPKDLSANAFLAHLRDIDAQSAHTVYGAYDVVKSTFRHWSNQKLDLALT MVVVVVFACVTPALAYFLSVITDQAFPRSVYSTAIPDYVESNGMLKMFTRITYKNETK DENQKIQYLPFVPEKSLWTGIAAVVGVSVPFIVCDYAMGYFQSKMISKATQRLQQSLL RVILHKPTKFFQERNDGDLNNLFQSDIARVNAMWQAVFWNLMQPVVSIVIGFGYLVYF EPIIGIMSFSYAAILITSGPQGLASEKSQQFGKKNAFVGAEFQNTIACQKVVRAYEMQ LPLQTKFAASIRVLRVAQFAKDFWSGIVQIYIESAMFIFVSVMTACLAIKVYHGNITA GDFFSSVTLLGRVSTPVTVLGGFMRVAIGNASSLQRLDELVVGAEHIIDVEESEDAKM PTLPRMRKALTLSHVSFSYSNNDDNIPVLNDISAVFKQGEYVCVVGPSGCGKSTLLSC LMQFQDINAGTITMDGMDVKMYSKASYMHQTAVVFQNGGILNGTILDNIRYGRVSSSD EDCIEAANAAECDGFIRLLKDGYNTILGQHGTVHLSGGQVQRVCLARALVRKPRY H257_18512 MASAATSTALNYPYRPIHKQQHLSPPTASPPRVSVGRNAALQRA TSYESIVHKVPARPPVTQPFHALTREEMGWQTLKDPRTLRPTALKSKAPKPRFKLNKT QKREFGWNTSFPAEYGLVSLASDFKDTLSYTQHKLKELHRHKQLLQASASSSQVTDAD VWRNHHDSTLNHLQEAFNCHQPQPLSDPQDEIRIMKAILIREGLVSKLKGTMHQIRLG DHSVLSCDGNSVLTLLLQTRDSSLAVIEAMVRWFQSLSVPRPYIWNGHSYLHRMLDDL NFLGDVHEMAEALGVASHSMKRNPFMMPTSISDRDLEPFRYMIAPPVVNIPSTSDSTS SEATTAVVAVAEADAFLIWSCIHLEEFPSTTTTSSSTNQVTSTTMAGTTDHSTRHHHV LEWQARAEMQLKLLSMPMESSAGVQLMDSSPLMKRKGHLPSLSLAAPETLNELIHHVR DPNTHTRVQPPAGPRLPVASATNAKYANVKPRVTAEPKAHHMKPKPAAATQPTKRHLS KLLNKKPKKIPPTVLTVQGMSVSNYELEALGSIDTTPHQVVALIAATILILVTPGDLV PKDVSWTTSRTILTNGRELLRSLHTMSTGPPVAKFKLRALKPFLSNDKFRPHSLLSIS KPAAVLCAWVLDMVSPGKTSCSLHDPSMVEMRDQLDLMSYLDDESHPDVLVVHESDSA DEGRVVYRGTWSYHGLTYFVTFALGSSETPTMTLHLKLFEPQSSTETQATMTAHEIHD LFGDPASQCIQARAWIELCQLILNQLDHVMAPSNTSSCTTTTSGPTSTTPDDETELWD ALATPRSPPPRPLRRSNDEINRAVVRIQCATRQKQSRDRVQKLRSTRQTTNNIVNHVS VLQPLKQRKKSAQGASVASLHHHRSLVEMQANYVVDVESDWKTIEAHALHGIKSASRR RLSNAQVHDLEALLSNVQSDHPKKSSEKLQREHDAAVLIQCLTRQKLARNRVCQLQHN RRLQKADTAAVRIQCLARQRRGSHVIQQRREQLKTQQESVFSVWQENDELPTSFNNVV SNSKHNRRAKLQSVFSVWQEKEGLPTSFNNVVSNSKHNRRAKLQSVFSVWQENDGLPT SFNNVVSNSKHNRRARLQSVFSVWQENDELPTSFNNVPNQLAAVKIQSLARRRMATKE VQTRREKFSKQVAQQRQEEKENYQKKDMAAVRIQCMTRQSLARHKVKLRRQQLSPERQ SDDDVASQVELAYGSDGFDISRAKPGGGDGVADVVASPESKEVDQLPDNPSSQQRRTI SAHTFDESSTPHKYDDTDDGSRSSNYQPNKMDSDQFNADQARLTSPETAPNIVESSPD KTKASGSAQDDQVAPHNQLEAPPSTIHNLLSPLLPPFTTEETRAGSLLPAYDNEFEDG IVLGSGRSSEPDPTAAALSGIPLDDVRDASSSTQSIVDVARPETSAMMYLDDEFEDE H257_18513 MVAGESGPFEVEIRRGDTIMDLKVAMTKQMPNTIHCHTDRIQLY QGYHDAQWMTTDNYMSLLQRCSNDTLASVMEGLHSMEPLDRVYDVLRGVQPPPSYLHI LVVLPTSEIRVQQHLRDDAGSQRVEFSLLNVQVGDLNAFDDNTWINTWRLTQVTDFPD QLYVRKEYKDLFSLLKRRGDKQVVLFGSPGLGKSLLVVLYAVWMATQAQSDVLLVRRV EGKGLTVLFLNGSDLSKCWREQNLSEDNVEALEAATTTKCALCLDGINQVDGLLKKVR LQSFSVLATSGQYKKSQSQAGVVDLCLVPYWSLEDLKAVGRRINGWDDDCVEQKYYIG GGCLRLFLMSIEDARIAILLAVGTVDVSTADLLKTQHGPRSAGQVDSIRMATLDSSKV ANYSNPDMWSYAITSMYALGKIGEIVPLGYFKDLCFKAHALNDFGLFGIAFENYVHAT AQNNGEIKLWVRDYERQLLHQPKNAKLDYSYATTTITTQSCSYRGHTQEQYEAIMREW TTGLEYWYPAGRSLKTIDAVAKQGDRFLLLQVTKSTSHSINSANLATIASYFPASSTS FMVVVPNKATADAFRLSPSNPSNPMHLQVAYVVDDFFDQFASCDFEGKIQPSA H257_18514 MATQAQSDVLLVRRVEGKGLTVLFLNGSDLSKCWREQNLSEDNV EALEAATTTKCALCLDGINQVDGLLKKVRLQSFSVLATSGQYKKSQSQAGVVDLCLVP YWSLEDLKAVGRRINGWDDDCVEQKYYIGGSCLRLFLMSIEDARIAILLAVGTVDVST ADLLKTQHGPRSAGQVDSIRMATLDSSKVANYSNPDMWSYAITSMYALGKIGEIVPLG YFKDLCFKAHALNDFGLFGIAFENYVHATAQNNGEIKLWVRDYERQLLHQPKNAKLDY SYATTTITTQSCSYRGHTQEQYEAIMREWTTGLEYWYPAGRSLKTIDAVAKQGDRFLL LQVTKSTSHSINSANLATIA H257_18515 MMYHEGGREVTEDLEAQIAKTHPNRFNVEHLNDEARCVDGRHQA LVKWLGLDDEESSWEPAANLLDDIPVVFRKWAAVNKEDPAVAALIKTLDFP H257_18516 METQQLVPPYEVTVHQACRLMMYHEGGREVTEDLEAQIAKTHPN RFNVEHLNDEARCVDGRHQALVKWLGLDDEESSWEPAANLLDDIPVVFRKWAAVNKED PAVAALIKTLDFP H257_18517 MEENNDAPSKTIIDHSHRDVSPPSGGIQTRATDDVVQHTSSGLG RHHRQTVQDNGSETESDTDNKSYPDQSSAGGSRDSGDRRQLHLHINPSGHGDQPHYRE PVSTSDTSLDVNNRGGSGGGSVLSNLLVRPGLDNPNNQSDQQPSLPQLGQAHQSSGDV RLPAISLHTPSALGSYNNSHGGPPPLVDTTRPSQLSDLHRVASNAVSVNGSPGVPSRH RPDITTNRSNWIVPPSRPTNIDGIDRSPRSAYTTQSAVHAGRDDFDYPTYQPPESLVG QRIAKTFAGHGRFVGQVVKYNSQTELFTVVYADGDTEELTRENTMNLLIEDKRIHQSK PREPAMRKPAEQQQHPKRSLISPPLLTERDNETLNGLFERHAWPALAENGWRSEIQGG STLYVYPPWSGKNTGEPEYFTSVADTILYLASQGELLRQCFPVEVHATLFAILDGIRG GASSKRGPPAEPLVGQVKRIKAESPGPPHAASSRTSAPRPPYFEHPQQQQQGYIESYP ADNLRRPLAEQPSNPPPPTRYSHEDTRQSRSGYAPQAAAIQPPPPSSADHTHRFPPPS SRHMRDDGFQHRHASHPRGEYEAQQRHHRHVGGYPPNPPSLSNHSPHHDLNAPRSIPH HHFNHPDNRVVPSQAPSPALNHHLSSFSRADIAAPPPTARFSQPPPPSRPPIGQPPAP RGNMISMSDLDKSTPLTASSSQSNPRPMGDPQPRLRMFANDQPQPSYPRLHGNEWDDQ RYNGEQRSYRHPPPDRMYATDRFGSQNPPPPRDGGYFEEVNQQQQQQQGFPRRSDSFP RVGEPSSTNPNADHTQDYQYSRRLQ H257_18518 MLEVLCAVLAFLLILALTFTNGYWLITLDELELDHLNPADVCKR LNRLVAPEVIAHGVLILASLVGFAPGMLVLNLPLALWHGRKYLRNENHLDPTDILRFK NLKATRHEAIAKILFYGLQIIYAMFWMVSAIVTSSKRKTTG H257_18519 MKDTSSAGNVVVDLATARENYLAEQEKDSPNVSVKFRYAQALTR DEKAENKTRGIGLLLDILDEHSINKVECLFTLSTTYFELGDHAKCRQYCERLLRIDPT NEKALNLHRCVKDTLTKDSAIGIGLAAGAVVALGIVLKFLLKNKN H257_18520 MSLWAPYFDSSDDVDIDGDVFRVYYAGSQGPWILLLHGGGHTAL TWCLTASILKQHCRVLAFDFRGHGSTRCVNDTDLSAATLVSDTINLLQRCLPDDSSSP MVLVGHSMGGAIAIRVAAKNELPSLGGMVVIDVVEGTALAALSHMQGILNSRPSQFDS PDDAIKWSLQTGAVRNPESARVSIPSQLCLTSGGGYTWRTDLHASAQYWTGWFTGISS LFLNVSIPKVLLLAGTDRLDTELTRGQMQGKFQLLLLYGSGHVIQEDCPDKTAQALLE FCGRITPIAGLKTQRDILAEKLAKARGLIPQR H257_18521 MMEVPKEDDEPHGRRSIDVANHRFPFCIVWSPLPVITWLIPFIG HMGIADSNGVIYDFAGPYSIGEDNFAFGQPTRYLQLSLPASISEDAWDEGVRKGCDVY KKRMHNLCCDNCHSHVARCLNSMAYPCVWGQYWNMIVLCFYCLFFGKFVNLQGFLLTW GPFVVIMAIVLLSVLVH H257_18522 MALYYDYTVEIPTNARQLHSSWSEVESVLAVAFDNHEVHFFSDE GERLQTPIHTRKADVTAIAWQPRGAVLAVTWSDGMLSLWIQKENVAREVNSPHTSRIN LLKWAPTGNRLITGDENGVLAVWKIDARGQVGLCTQYTRQGSLTQCVFCIVPQRRDKE IKSESQFAITACPSFFFGGDLGTVHYADDLGHISDVQTLNHAIDCMMFYEEKHRLVVI TRASQLVQLQIASDGTVKPIMKVKLSVSGDGGLKEAIWAGPGLLATASGEQLIRFWDL QKEENYVLSLANGGIPPSDRVSAVDFNPRKRILAVGTNEGKLVFWRLTQGQQSKSNQW SLMAVADMHQSVSKLGWNPLYSYLYAHAQGAGVTVFHEAVMNRSLHEDTAVIQTRPMC LSVEKLADGTVVQTSVDASIRIKGVAHDGNLILVWNGTKAEVYELQTDLETKRVSSFK CTSTAMQLRGDVIYRTHGNHIEVTNTSGTVKNTISFTEAEGQPFVMHINNKYLAVGTD AGLIRVFDLSRREPKAFGSLGDVSKAFAGMNAKSTLRSLSVNCDGTRVSFLLYTVEGA LKVRTPHTKLYLFNTDLNAFQSFEFGPARHPTSHFWDPQEARLMACETFQDKLEDAKH IPTADDKSDDKGADNSPSQQADPTRLSSHSEREITILFVSNERGLLMQDNFDLDAKYS ALLGIHVPRMYFASSQESIKRETKDDSGPVALLRTKIMQDFVGLDKVDGPTRQALIDF SYYITIGNMDEAYRSVKLIQNASVWENMANTCVKTKRLDVAEVCLGNMGHARGAAAVH GAKLENPEIEAPIAMVAIQLGLLDDAARLYKECGRFDLLNKLYQSAGYWSKAIEVATK RDRIHLKTTHFAYAKHLEEEGNLKEAIRQYELAGTAAKDVPRMLFSRGKLEMLNSYAS KSEDPRLLLWWAQYQESNQEFDSAIASYRRAKDYLSLVRVLCHKKDFDQAAQVVISKN NKAAAYHLARQYEANDNIAGAIQFYATSGSYNHAIRLSREFNLDGDLMNYALLSKPGP MLECAQYFETKREFEKAVVLYHKGGHVSKALEICFQANLFDELHTIADELGSSTNTSP IVLGKCADFFAKNGQHAKAVPLLIRGNRIADALEICIQHKVKITEEMADLLTPAKPSD ETDKIAAKRRVDLMMKLAKCCKHQGAFHLATKKYTQAGAKLKAMKCLLKSGDTEKVIF FANVSRNNEIFILAANYLQNLDWRNDPDISKNVVGFYSKAKAFDQLAGFYDACAQVEI DDYKDYAKAKRSLEDAMKVAAKSTGPGKDKKVASFEQRIAVVDKFMTAKSLAKTDPNE MVALLQQLLEDADVDSAIRSGDAFALLVTHAYESDDVQHAVELINAMRQRNISVKAFI NQKMLNEIQAKAGGSGSATDSTPERSDTRSSVAKQSQEAKGGDEDEDMQEDIEEEEGS PPRRK H257_18523 MQRQWEDEIVQYLDKCSVSQYATPYLTCCVCNCINFIDVPSIRG LQSRAKGGSSVFESLPPCCGCGRTRHLRTGATSFHAEIALETQAVEDFQKKRVPAAID MQRVVRGRLGRLEAKRRRLERERYARKIFNAAACIQKRVRGIQARTRSDIERCIFIIV NAHPLVYAYATTTTTSTTSSSSSQQPPPVVETVFWYDSAEEFGVFCWDYREFVRRTGG RPPLWRVEANVREVTRRILNREYVLVTRLQARWRGITARSSIYELKKQVGWLRSLRHS PAIRIQRQLRTHQCRRRCKRLRRDVGRDVRMSDYATLHQQRQQAETKRYLQQTLMTKY RHHFQHTSAVKLLGGTVEPFQAATPESQPTPQAGSCVPNKS H257_18524 MFLFSFMKSSTEVAKGQPTPSPSEKEAKFLHALANRAITADERG QVDTAIDLYVELIERMLVQVRGVHDPDEQLVLRRRIEGYVKRAEELKVAAAPPSAAPP AVKSKTQSKARTANAALAHVVLDEVLDASPNVQWKDIAGLDDAKQMLQEAIVLPLLRP DLFTGLCAAPKGALLFGPPGTGKTLLAKAVATESKATFFSVTASTLTSKWVGEGEKLV RALFDMARELQPSVIFIDEIDSLLSTRSTGEHDASRRLKNEFFTQLDGIGSSSEDRIL VLGATNLPHELDEAMVRRLEKRVYVPLPDIAARTYLIGHLVSSHSHSLTQRDIAAIAS ATDKYSGSDIMNLCKEAAMGPLRSLGDRLKQARAQDIRPISKADFTDALARVSPSVSA DTLRRLTEWNARYGLQKAT H257_18524 MFLFSFMKSSTEVAKGQPTPSPSEKEAKFLHALANRAITADERG QVDTAIDLYVELIERMLVQVRGVHDPDEQLVLRRRIEGYVKRAEELKVAAAPPSAAPP AVKSKAQTQSKARTANAALAHVVLDEVLDASPNVQWKDIAGLDDAKQMLQEAIVLPLL RPDLFTGLCAAPKGALLFGPPGTGKTLLAKAVATESKATFFSVTASTLTSKWVGEGEK LVRALFDMARELQPSVIFIDEIDSLLSTRSTGEHDASRRLKNEFFTQLDGIGSSSEDR ILVLGATNLPHELDEAMVRRLEKRVYVPLPDIAARTYLIGHLVSSHSHSLTQRDIAAI ASATDKYSGSDIMNLCKEAAMGPLRSLGDRLKQARAQDIRPISKADFTDALARVSPSV SADTLRRLTEWNARYGLQKAT H257_18524 MLWPIEQSQQTSEGKSTLPSTCVHDPDEQLVLRRRIEGYVKRAE ELKVAAAPPSAAPPAVKSKTQSKARTANAALAHVVLDEVLDASPNVQWKDIAGLDDAK QMLQEAIVLPLLRPDLFTGLCAAPKGALLFGPPGTGKTLLAKAVATESKATFFSVTAS TLTSKWVGEGEKLVRALFDMARELQPSVIFIDEIDSLLSTRSTGEHDASRRLKNEFFT QLDGIGSSSEDRILVLGATNLPHELDEAMVRRLEKRVYVPLPDIAARTYLIGHLVSSH SHSLTQRDIAAIASATDKYSGSDIMNLCKEAAMGPLRSLGDRLKQARAQDIRPISKAD FTDALARVSPSVSADTLRRLTEWNARYGLQKAT H257_18524 MLWPIEQSQQTSEGKSTLPSTCVHDPDEQLVLRRRIEGYVKRAE ELKVAAAPPSAAPPAVKSKTQSKARTANAALAHVVLDEVLDASPNVQWKDIAGLDDAK QMLQEAIVLPLLRPDLFTGLCAAPKGALLFGPPGTGKTLLAKAVATESKATFFSVTAS TLTSKWVGEGEKLVRALFDMARELQPSVIFIDEIDSLLSTRSTGEHDASRRLKNEFFT QLDGIGSSSEDRILVLGATNLPHELDEAMVRRLEKRVYVPLPDIAARTYLIGHLVSSH SHSLTQRDIAAIASATDKSVVDILSLYEP H257_18525 MMPPIRGTSAAPRAREGSYEDLDGCASETRLQDQESLMTRGDPN SVDDTTTKNGRDESPTSDDDQALGIRRIEYLTDVAHNTPGLPLDATLRTSLTQLPNET YTNTESPRLRAIDPASFKDAGTKSGEQQRLTPVPKKIAEGAEEDATIPSSLTDAKAYT RPPGLKAAVRSQKTATSQATTTATTPGTQIFKNRRSKRLRPPPTPRSSSTTSGVPANL ATTPGYETGPAPGVPPVFTQAQIEAIMRGDVTGIPEARHVDIEERLYPVSPADLERQL VRPKQERKDVTQEDIVRVVTMALHRSLTRDDAHLFESPLDIDDPDRWLNWFSSTLETC DKARTANRNFENARVAIISDTRWSRFQRKNLASNDLPGFGPFPETVCTPPEGDVLPIG GTEIPRLPARHLVKVHGAVQYHLTDDQASFALPLRDLELTRLPSAIWRIRRRTLSSRH HYLDLALGCHHIESALATPDGRPQTPKSLSPGVVDSNNPHNPVEVEPLDVETSYLGAP TVELPFLDLNDDELLSMAAPFPRPDQRVCQVGSNPNAPLVQVRIQTADTLALVDTGAS VTVISEAFWNELGGPPLQKPAYGLVSASNTPIRTLGLRYFTITLAEKQTTFPVWVLVD SVSQCILGINLLRKLKALVDLSTNCVGFKDSPITLPFHNDDAQATPRMVAHLKRGDPR EPPAQKTQEPAPLASLHNVPTNWPTVPTSTVYVKSNSRRLILCRISSNLPERCPILVE STASLSHLHIARSINIVRKEGFWVQVRNHSDTKLVIRPTDAIGVVSHLPDDYMDHTDA PASDTSTTLSPRSATSLSRGERKAPQEAETLCALTTGASTKPGKEEIQIDWKDSSLSL EQKELLRKLLLRFDSSSRHQKPREGLT H257_18526 MVRLVRSWMKHLLEFLAGSQHFLQGRTMPTISNRGPGIHPTSTD DANDTTQEEAPAGINGRQLALPRRRQLVWQVLASTSLRGSFVCGAAWCLVLTRRWRLP SLRKE H257_18528 MMVQYRFVALATAAVTAKISVQVHRNLEVAKLSNVVVKFDCDEA HVNHRRRLKDGASRSETIESVVDSLKEHTTTSQASVKSLLANQVGSMAVDVATTWIDC SMYIDNASNDLVDKIAALPQVESIYEPVARALDESISDDQPASAVDAVNQWGIDKIQA PELWAKGIKGDGIVVGIIDSGVRYTHKWLKSNWRQEYGWFDPYKKTELPNDQTGHGTR AVGTIAGTQGIGVAPNAQWIACKGWNATYLEQHMLVQCAQFMLCPHDKDGNNRNCSKA PHVINNSWGKYTKNFWMEDTIAAWREAGIIPVFSNGNTGLKGCAYSSYPAASPHVIAV GFTDSSDFLSPHSSLGPSVENRYKPDISAPGVGIRSASSVNDVDDLWGSGSSTASPHV SGAIALYLSANEGASYDQVYTALTNNVDTDTLSPPNKSCGDIPNTQYPNNLFGYGRLN IFNAVSALPSVPARLHPIRPASVPGSDVKAVSQRTADDCCDECRNTPNCNAFTFTQDN GGTCWLKDEDKPVNWVIKEGSKSARVLNPTNYLTTCGSLEDDTHYAGGDFASTNQATA ESCCGDCENTPGCTLFGWSNHSGGTCWLKDTKGLRVTLVGAKAGFLLAGPSSCGAVES NVDFVGENVAQVSADQAADCCAACHSHQACNAYSWLGGVCYLKGRRAETKVLDGVVSA RVDKCSALETDVYYAGKDLSEVKADVADCCAICRETSDCGAFSWTNGVCYLKFYKAAS QANATFISAVVI H257_18529 MANTDKRRNWTPEEDVVLLIQVAADLPFAAYKGQVTKSWFTALV DEHRKFDMASAKLSGVDQEELEKHTLLDDLLPLLDEVKSTAASKRVKMEDDKDKVEQG GMLVREMAMQTMKRRSDVDNDEVKKKPAVENRRNSLAAAIEADSERELTSREKQLEFD RF H257_18531 MIEGIHPVFQAILGTFVTWGLTAVGSAMVFVLDVENRALSQQIL DTMLGFAAGVMLAASYWSLLAPAIEIAEQSPLYGPEGRWAFVPAAIGFGLGAFSMLFT EQLLPFLGLGSKPENWEKKDDDYNGNDVHKKAGEASTRSTSTVRRRKPSDHSIQPHDV ESSAAIHDDAITSFVSAKDMSYRRVILLVIAITMHNFPEGMAVGVGFGSIGHAPGATF SNAVNLAIGIGLQNFPEGLAVSMPLRREGMSPFKAFMWGQLSGAVEPIGGLIGAAAVL YVQPILPYALSFAAGAMIFVVVDDLIPEAHQSGNSKLATIGTILGFIVMMAMDVALG H257_18531 MIEGIHPVFQAILGTFVTWGLTAVGSAMVFVLDVENRALSQQIL DTMLGFAAGVMLAASYWSLLAPAIEIAEQSPLYGPEGRWAFVPAAIGFGLGAFSMLFT EQLLPFLGLGSKPENWEKKDDDYNGNDVHKKAGEASTRSTSTVRRRKPSDHSIQPHDV ESSAAIHDDAITSFVSAKDMSYRRVILLVIAITMHNFPEGMAVGVGFGSIGHAPGATF SNAVNLAIGIGLQNFPEGLAVSMPLRREGMSPFKAFMWGQLSGAVEPIGGVRTIYVIV SRHENGYDA H257_18532 MKGFLAMYVVAATVLMASAATPKTTAPARDKDAGKCETDEDCTT YPGTVCVQFSSGDFVAGKCTPNYGQKPVCRGGQSGLCPQYQDPTQGYLNTQCVLVDRS QQPATGDALVPVDVKTPAPTEIGGDDTVPAVPGSVKPATTGGAADLVTPTTTKKSAPT TTAAAPQPPKVPGSRRLQVATPTTTGKTTAAGAATTPAPTTAKVPAATAEPTAAPVDP VPVTDADATAGPTTADDITPIKPQACPSNSALSLDNPDCWFNTVYKNRTIQAQYRCVD YSMCYEQSAASQEPQKDKEEYCRPKGCVSGDRQMCNNRGTCQSNDRVQPMMSQGYSCR CYAGFTGRQCEKTTGNTCDVDCGVGGACIDRKCSCYDAYQGKDARCAKCTKDAACENG NKCNVETGKCDCLEGFIGLTCGGKLNNCAGVTCNNGGQPFDGGKTCTCKCAKCVGNVC PPCGGENGRDCTVETGGCPAVLKADDVASAAGYVDVSAVLVVFVAVVAMVV H257_18533 MESEGDDGHDIPIIHQHNHHGMTPPLLRAPSDGAILASFDTIFS IYDTGRGSIATEHLSALFHKMGYTVSRDQLEKFLDELDPDATGDISKLAFLKWFERWG DALDDPEEVAAHIADNTSPFHGDDDNGPACGSAAMAVQSEMLDAKMQRKRAEEDVQLL ANRLAHLRTEEKKAQRKIDEANKRAEDIESIKRRNAEHQRLKREHLERTSASVRHALV TNSKMSVDSSKKKELAITTMAKSRAKYVQDAKLDAKLMAEEKARQMELDRKRLAQKTQ AIKDKEKEAAKLREEARKRHERVLVKAARTKLADEFKKKSVAEKMLRDMEAEEARLIE KLRHTQEHQRQAYMHLESAIQMQVSDD H257_18534 MATTTVAATVTAYVAIGTNLGNRASNLHRAVQELNAHAGRVVRT SLLYTTSPQYVTDQPQFLNAVLELSTSLSAVDLLLCFKDIEVRVGRVLGTLRYGPRVL DVDILFYGTHVVHATTAVGPLIIPHALLHERDFVLRPLLDLAADFVHPILQQTIRTLH AALPVPTNPPIPVLSLGPHDLLWPLHTKTYVMGIVNATPDSFSGDGVGVDVTAALAAA TAMVDQGADMLDIGGESTKPHAPVVSIDDEIARVVPVLRAIRHAFPLLPLSIDTTKAR VAAAAIEAGANMVNDVSGATADSNMLATVAAAQVPIVLMHMRGTPATMTFQKTYNDVV ADVIAELTSSLDAAMAAGIPTWHVVADPGIGFAKGLAENVTLLRHVSKLKAAWAPCPL LIGASRKAFLGTLCGKPNPADRGNATAGTCAAAVAGGADVVRVHDVAVCVDVCKVSDA IWRTGV H257_18534 MATTTVAATVTAYVAIGTNLGNRASNLHRAVQELNAHAGRVVRT SLLYTTSPQYVTDQPQFLNAVLELSTSLSAVDLLLCFKDIEVRVGRVLGTLRYGPRVL DVDILFYGTHVVHATTAVGPLIIPHALLHERDFVLRPLLDLAADFVHPILQQTIRTLH AALPVPTNPPIPVLSLGPHDLLWPLHTKTYVMGIVNATPDSFSGDGVGVDVTAALAAA TAMVDQGADMLDIGGESTKPHAPVVSIDDEIARVVPVLRAIRHAFPLLPLSIDTTKAR VAAAAIEAGANMVNDVSGATADSNMLATVAAAQVPIVLMHMRGTPATMTFQKV H257_18534 MATTTVAATVTAYVAIGTNLGNRASNLHRAVQELNAHAGRVVRT SLLYTTSPQYVTDQPQFLNAVLELSTSLSAVDLLLCFKDIEVRVGRVLGTLRYGPRVL DVDILFYGTHVVHATTAVGPLIIPHALLHERDFVLRPLLDLAADFVHPILQQTIRTLH AALPVPTNPPIPVLSLGPHDLLWPLHTKTYVMGIVNATPDSFSGDGVGVDVTAALAAA TAMVDQGADMLDIGGESTKPHAPVVSIDDEIARVVPVLRAIRHAFPLLPLSIDTTKAR VAAAAIEAGANMVNDVSGATADSNMLATVAAAQVPIVLMHMRGTPATMTFQKV H257_18535 MRHQTTKSCNRDVQANPNYKKFRTTPFPLFDCLANLFDGSYADG CYSSLPPGVLSATDQASVESFELEVMDMSPLPPPIPARRQAQAAIPDELDSSEESSDA ASPPPKKLKQQLKKRDRRSAGAVIGDAIAKLVDVEASKACHNSDPHKRVTTAIECVLD NYSHVDADHIAKLADMMGTGYSATIFSALGGEARDAWIAKSIHWSS H257_18535 MDMSPLPPPIPARRQAQAAIPDELDSSEESSDAASPPPKKLKQQ LKKRDRRSAGAVIGDAIAKLVDVEASKACHNSDPHKRVTTAIECVLDNYSHVDADHIA KLADMMGTGYSATIFSALGGEARDAWIAKSIHWSS H257_18535 MDMSPLPPPIPARRQAQAAIPDELDSSEESSDAASPPPKKLKQQ LKKRDRRSAGAVIGDAIAKLVDVEASKACHNSDPHKRVTTAIECVLDNYSHVDADHIA KLADMMGTGYSATIFSALGGEARDAWIAKSIHWSS H257_18536 MAFGDQMSFYDSSPKRLGEEWINELMNGNPRRFRNQLHLLPSTF VALLGMLQTKCALKSSRYVSAREKLATFLYVVGHAASNREAQERFQRSGWTITQSINE VLPCQ H257_18537 MSQGIALLACLEEQRKAKRARFPTSRVEGPDIDEDSNSPIYDAY LQIQRAEGIMTLTNFSPSEFNLLWADVRQHIFRHWNVGSGRKCAVSARDLLLMMLASL KHCGTWDIVAQTFRTTVATFEKRVMSFIEVMHPYLLRTYVHGTASKWSMHELAANGTR FEHYQYARYATDVTFQQTNVPVGSYAEKKLYYSGKHHLYGHKVE H257_18538 MEWEQQLKKKYEQHQVRMKFEVVPPTALTEVYHHIDDSLSATNS LAPRLARLSRGVAFGTDTHPHCRRCSKQFPRTLLQLYDNLVTQTECAPERFSAIELCQ NQAISKNSPPPQQLLRIQS H257_18539 AVVASLPKFPRPVLLRPTDESFVFHWDATYKINSIGYLDLICGI TDPGGKFHPDAFFLIGRESTNEYEWAMTAVMDVYQLVVGSALRLHYVMGDTALAPVPA IKMLSQLNVQTILMCFYHCVAAVNKRLGAVPTRVKALVAFHMFNMHYSRSPTECRMH H257_18540 MMVANFRGLAAAWYQERLSRGESPNTLIELEEELRDEFEPDNLQ DPLRDQQYELKQAHCASLTEYVAKFRRVCTQVCDMNERNKVSYIQRVLRTRTREELQY RPSETVTLVMQVALDCERSHNSTLNGGHARDRDRFHPHYRRHQFNNRHQESRPPHAYP PRQRQDDDMEVDNAQVQHRGQRQVGPCYNCGRMGHRISDCRSPPRNNQGRSQAQPQRN NISRHANRPQRPQRNTPSRQHNAQVTEVNSDTEDSEDDVEEVILGNNMGLAQQDSAEE ESLNINTAQQAVSAQENKLMIVHGALDSTSVRILIDSGASNLLCRPGLATTVIRSKEV HGTRKASINTALASR H257_18541 MGHWRIEANDMAADGGGPEMHGKIMCLHGMKGDSQAMMLDDDDD GALTFLDKPGMVKMHMCMECGSVFTKPSHLRQHELSHQGKSFMCDVEGCEKMYTRKDH LTRHMKMEHPSSPAAAAAQSTPFTCALCHQDFKYKHGLTRHMKDKHDASNAAYMCATC QQTFKKKSLLQQHSYVHTGDAPFPCAHCDAAFLKKFQLDAHTRRFHTPAVLMEFGNDV KGRLLVEQVPVECPICHQFFASNKTLQSHIQAVHGHPPKSVACPDCGNEYSTRANLNK HRRVAHPTTTTTSTYSCELCHRSFHYKHVMRRHMDAIHRTPRNPETPKPRKRKELDHD AIRAKLLGT H257_18542 MYLDRRVFLKKELLHVQNRCLELGLPLTRIRRSSSAALDDVRPS AFDGTDETPPSGNTPLHYPESLTPNADGHVTPEHSGGEEGASITTSITTTQPPQYVEG YVSGISVQLPSSKATTGPLPRAEFTTQLTSALSALSRTQQKPITELHKPQQPITELKK QPQQPIKELHKPQQPIKEFKKQSQHPITEFKKQSQHPITEFKKQSQHPITELHKQPEQ PITELHKQPQQPITELQKQPEQPITELHKPQQPITELHKPQRPIIELHKPQWPITQFK KPKQPISDLQKPKQPITELQKPEQPITELQKPEQPITERKTPQEPIIELQQQPIPVED EESSRPSSVYSLNDSEVGDTISVCSEDEACPPPNKVDALKADLRQVVAQIAQAAKDKH SSVATKLMKARNQIKSELALAQDEMQLDAIKHELREVVARITEATNHGDQAGVDHWMQ RRAALKLQLKAVHESLDKTQRQAKPLDVLKTELRAVVTNLQRPHLTDDEMALLMAQKT ELKAVIMAKQPPRNPSDIDGLKAELRKVVSNITLASKERDDALVDKLMRRRADLKAAL AKAQDSEQKRTSDHRPSRSSTAASTSLHSVADPAGSFVKKLQAAASLVGNPETPKPRT PKHQNSLSFLSHNQQPQNESFRSNSGGGGGSLSSNSLHDDTSDSSSSSFTMSEAKVAQ NALHAFKTELANIQHAMEKTTNERKMKKLLEQRADMKARIAATEEQLRPHAASVPPLD LEWDLSQVKKYTQGLQHELRDIVARSDESATSPQLKARRAELKAAIHTAFDRIALLQE EATIRDIQIPILSPHMTKDELTAHIEILKKELRQVVTSIAQTTAAGAKSKLKSQRSSI KYHLAMAQDKLAMVSQSTTSQAAAATPKPRNPKSPQDDVKMLESYIDSLKADLKVVVM HITQTADVPTVHAMHLRRRNDLKQNLRTAQDQLLALTDSRSSSHGSSNMDSGLVLDAL MTSRMTQVYQNVSEKSGYLEWMPQTLKLFRGGKVVWVRLETSGCLLWFKSPTDQKVRG MVDLAATAARSVQVAYADTNLVTISILSPGMLSNHQEIMHFRATSEHEARGWVDVLGE TVEVLARQFPHQNQTELFGRNSVVY H257_18542 MYLDRRVFLKKELLHVQNRCLELGLPLTRIRRSSSAALDDVRPS AFDGTDETPPSGNTPLHYPESLTPNADGHVTPEHSGGEEGASITTSITTTQPPQYVEG YVSGISVQLPSSKATTGPLPRAEFTTQLTSALSALSRTQQKPITELHKPQQPITELKK QPQQPIKELHKPQQPIKEFKKQSQHPITEFKKQSQHPITEFKKQSQHPITELHKQPEQ PITELHKQPQQPITELQKQPEQPITELHKPQQPITELHKPQRPIIELHKPQWPITQFK KPKQPISDLQKPKQPITELQKPEQPITELQKPEQPITERKTPQEPIIELQQQPIPVED EESSRPSSVYSLNDSEVGDTISVCSEDEACPPPNKVDALKADLRQVVAQIAQAAKDKH SSVATKLMKARNQIKSELALAQDEMQLDAIKHELREVVARITEATNHGDQAGVDHWMQ RRAALKLQLKAVHESLDKTQRQAKPLDVLKTELRAVVTNLQRPHLTDDEMALLMAQKT ELKAVIMAKQPPRNPSDIDGLKAELRKVVSNITLASKERDDALVDKLMRRRADLKAAL AKAQDSEQKRTSDHRPSRSSTAASTSLHSVADPAGSFVKKLQAAASLVGNPETPKPRT PKHQNSLSFLSHNQQPQNESFRSNSGGGGGSLSSNSLHDDTSDSSSSSFTMSEAKVAQ NALHAFKTELANIQHAMEKTTNERKMKKLLEQRADMKARIAATEEQLRPHAASVPPLD LEWDLSQVKKYTQGLQHELRDIVARSDESATSPQLKARRAELKAAIHTAFDRIALLQE EATIRDIQIPILSPHMTKDELTAHIEILKKELVICRYIFIYLYKNLDFSRYHTKLKSH TKHEMLILVFS H257_18543 MEQNSNSQHNPAQTADDSLDVLYEEPGAYAGTTRKSFGCSDDIT LLTAVNDAKPCDLKANRRFKVDKDGQGCTTRFDKLAKAYKERSLVSIRRSGTDEEFKE CEQLLEDILSQVNDFVEKKDALASFLAAKKDGIKSSGVMMRKLAIVVDFSQHITTAVD NVTGEDPSLKMVTSFLKDRFEQEDMRDEKRARREDESDRKHHLKRADLEHTKTTFAVA FWNKHGDTPLRDIVNVDKTTVYYTYPRVARTKLPILFIVCGTPGGPIETDELPTYPAG QVYAIQDIVWIDENVWVYFLQELLKFKLIGPSVLLGLVVGHAELKYY H257_18544 MWCLWFRREVIHETTRLRLCAADIADYKSMLWCFSSPSLTLGPA APSRRRLATNAYLKAVSDEL H257_18545 MAEGTGGDGRRKDSVVLVERDSSLSKIDSVRKSSAKRRMSIEAR ISENKIITIGDYHTPLPEGWSYRESTNHKGKWYYISPEGQAQWIPPLVKTGKLYEWKL HLYIEFGPGKLGMNLKAVDALPDTLWTQFQVEIHTLRKLGNGHASPAELYNWSVKPDR RIYAGFRIVEIAGASVAGFTYSDVIDKINKTPRPVVIGFCDCHRGLVGDPDQVDDMDD DEATKSVYQQRFNTIQSDHMKAMVLTELDKELWLADLKRLAALDVECRAKCKRLRREM AQMNAKNVQLEQLLQQRVAEKRRCITLVSNLDVQQGQSITHVEMRGRELVKKQQALRK AIADTKKENARLMDQHAADTIALDALYEQLDRDDCNNVLLMTKTQLYDEYGVKRSPFT LQDLHLLCFTLEEAAIVEEQEVQRGISEVAQLRRHVAAMETGGASPANDDDSSSSTSS STRDLEMKLDWLRDQLQKTAQTIAKAEKKGKSKAVKGGYRRRNLLKIEYQLVQDELQT KLQHMGPQPTCPEEPALLDHRTDEM H257_18546 MSMVEELPPGWDKRESKSQPGRYYYVSPAGQTQWFPPRRVTVMR SFNWRCEIEIEFGPGRLGVNLKEVPQTTNIPFAMFQAEVEELPKLPGGKPGPAEIYNW SVRPERRLYQNMRLTQVDGVALAGCKYTEVVEKLKRAARPVKLKFADVAQGLLGEGET SALDAAPTSSSSSAHPTASSTAIAPSSAPLSAYSVQKQKKEEYMNLLVTTELSNEMWR LETQKVQWTYRQLRFKWNLLSASLSELLEAQVKAKKQIEAATADKAKYDEMLVHLHKQ ETGLIVSPEVQRTNDLGAKNAELTQDIGHMTAGNKKLRRERDANQRHLDELDAQLVHG LNHAIPDSLVGVVDDSMSTEVKLAVVRQRVAAIEMEMRKEEAKTRQADVELAQLSKVY NQQTEVILESSRGGMSVSPDMRRAPRPPPVVQDNPMSAPPPPVPQDSSLMGSSRGGFF NRSNSSDFHTSPLLNVLRQGHLEKFPTHFNQDGGGMLKSMRLMRGARERWCQLHASGS LVYYKKRGDPVPRGEIALTDPTLEVVCEALLNGSSKKPPKELVFTVSTTQAQNRFQAN TMDELREWVTAILTFHSGWMSTRVSVGSDSGHVEAAPKVPDESSLRRAVEF H257_18546 MSMVEELPPGWDKRESKSQPGRYYYVSPAGQTQWFPPRRVTVMR SFNWRCEIEIEFGPGRLGVNLKEVPQTTNIPFAMFQAEVEELPKLPGGKPGPAEIYNW SVRPERRLYQNMRLTQVDGVALAGCKYTEVVEKLKRAARPVKLKFADVAQGLLGEGET SALDAAPTSSSSSAHPTASSTAIAPSSAPLSAYSVQKQKKEEYMNLLVTTELSNEMWR LETQKVQWTYRQLRFKWNLLSASLSELLEAQVKAKKQIEAATADKAKYDEMLVHLHKQ ETGLIVSPEVQRTNDLGAKNAELTQDIGHMTAGNKKLRRERDANQRHLDELDAQLVHG LNHAIPDSLVGVVDDSMSTEVKLAVVRQRVAAIEMEMRKEEAKTRQADVELAQLSKVY NQQTEVILESSRGGMSVSPDMRRAPRPPPVVQDNPMSAPPPPVPQDSSLMGSSRGGFF NRSNSSDFHTSPLLNVLRQGHLEKFPTHFNQDGGGMLKSMRLMRGARERWCQLHASGS LVYYKKRGDPVPRGEIALTDPTLEVVCEALLYVAHTSFLPCVCVHVVPHDLWSSNGSS KKPPKELVFTVSTTQAQNRFQANTMDELREWVTAILTFHSGWMSTRVSVGSDSGHVEA APKVPDESSLRRAVEF H257_18546 MSMVEELPPGWDKRESKSQPGRYYYVSPAGQTQWFPPRRVTVMR SFNWRCEIEIEFGPGRLGVNLKEVPQTTNIPFAMFQAEVEELPKLPGGKPGPAEIYNW SVRPERRLYQNMRLTQVDGVALAGCKYTEVVEKLKRAARPVKLKFADVAQGLLGEGET SALDAAPTSSSSSAHPTASSTAIAPSSAPLSAYSVQKQKKEEYMNLLVTTELSNEMWR LETQKVQWTYRQLRFKWNLLSASLSELLEAQVKAKKQIEAATADKAKYDEMLVHLHKQ ETGLIVSPEVQRTNDLGAKNAELTQDIGHMTAGNKKLRRERDANQRHLDELDAQLVHG LNHAIPDSLVGVVDDSMSTEVKLAVVRQRVAAIEMEMRKEEAKTRQADVELAQLSKVY NQQTEVILESSRGGMSVSPDMRRAPRPPPVVQDNPMSAPPPPVPQDSSLMGSSRGGFF NRSNSSDFHTSPLLNVLRQGHLEKFPTHFNQDGGGMSSCRPSTL H257_18546 MSMVEELPPGWDKRESKSQPGRYYYVSPAGQTQWFPPRRVTVMR SFNWRCEIEIEFGPGRLGVNLKEVPQTTNIPFAMFQAEVEELPKLPGGKPGPAEIYNW SVRPERRLYQNMRLTQVDGVALAGCKYTEVVEKLKRAARPVKLKFADVAQGLLGEGET SALDAAPTSSSSSAHPTASSTAIAPSSAPLSAYSVQKQKKEEYMNLLVTTELSNEMWR LETQKVQWTYRQLRFKWNLLSASLSELLEAQVKAKKQIEAATADKAKYDEMLVHLHKQ ETGLIVSPEVQRTNDLGAKNAELTQDIGHMTAGNKKLRRERDANQRHLDELDAQLVHG LNHAIPDSLVGVVDDSMSTEVKLAVVRQRVAAIEMEMRKEEAKTRQADVELAQLSKVY NQQTEVILESSRGGMSVSPDMRRAPRPPPVVQDNPMSAPPPPVPQDSSLMGSSRGGFF NRSNSSDLYVTTTM H257_18547 MGFKLNNIAHIFALLCAINMLNYIDRGIIPGAPVEFQAFVQETV EKQDLSAGTIPADHSNVSTYIGLLVSAFIASYSVFICIFGYMSMTRRPFLLSAIGLFI WVIAIVLCGLAKPLKSFYVLLIGRLLSGIGESSFHATTPPFIDEFAPPKSRTLWLGIF YCGISVGTALGYTYGGLMAKIWDWGFYLTAIVMFPMAYACWQWIPLHYDYPLAHNEDA ADHLQNRNSLMGPGAFNQAEIHAEVAKEASALDKSPSVLAEMFGIVKDPMFITATLGV AAYSFTLAGMGAFAPAILIGYGILNESIASTAFGGIAVLSGIIGSPLGGWLIDYQSRG HEDDETFRLYVAARQMIVFMSIGVGFAFLSLFFMDNSIAFLGCLLLALTFVFTTQSAQ TLVILYSVSKHRRGFAMGLNTFLLHLVGDVPSPVILGALKDKWAPNCGSVYNELDEAK LNPKCSQDKDGLRNVLFFSYAWLLWAVLTWAASFLIARYRLNHRNTKSQDVETPVVT H257_18548 MKFALLLAVTVAVAALAQDQIVPPKVAWGDEAPADGFEILGGEE AQQGKHRYVARVKHVTTAPSICAGSLIAPNVVLTVAHCNIAYAKFVVVGTHYLYGSDD GELANVAQAIRHPNVRVDLGILILDRNITSIQPVAVSFEYVPADVVTWVRGWGNINIK VGGPRSTVLKELNVTTWNNTRAAAAFGIAELRAHWLGAGGVEGEDTCSSDSGGAMTIE ENGTVRLVGVIKGGKGCGSLGKPGIYERTSASRAFIEPYLPK H257_18549 MQTASTLEQSKAVNEAKEVGLREPKLLEALTIVVAGKREEDVRN GEALSRCSATSMKCEEPKSKAVNEAKDVGLREPKLLEALTIVVAGKREEDVRNGEALS RCSATSMKCEEPKVQ H257_18550 MYYGHKRRHAIKFQTVVTPDGIISHVFGPIEGRRHDLTMLRRSS LENFIASDIRFAGYVIYGDPARRSMPA H257_18551 MANSARRALSKYIYWTEDIELAILREAIRVEPFAADHGELLARW TLVAAAVAEQEPRVTPRAAREHVHMLLKKFKADDQAQRLSSGTAEEVTEKVQLLQDIA MRMDEVASSRTMKKTKETAKRDLLETTGEKLCREAEVRVAKRSRTSTGSASDDLGESN LTELFEFEKKRHNDEHEYRMERLKLDREEQVLRRAQSMQMENIVGILAQFMKSHQQKD NET H257_18552 MLSVLLGTTSTYGSERCNHSFVGQNTCQLTTRKRPRRCSLAFWG VAASALVAGVVVFVTSKDVTSTTVSVQVVEFAAATIVVPVKCTPVSVQGDATYCITGK TCGADAGTVCPMKGATTSQDCFPGIRSYVNATACIAPLDSACSWMANGGKGCVFKFPT TAKPTTAKPTTTTKGTTVPATSSAQATSVTSGAPTTLTPTTTTPTSKPPSNPASPATT SATTSTQALPSVTTAAPTTLTPTTSTATSKPSPDPASQATTSATTSTQSPPSIASAAP TTLTPTTTTATSKPPSNPASPAT H257_18553 MATYDVVETPSAVASHLPVDSTPEPPVKRSRIKEFYFGIPGILT GAAVGIALGVLIQTSSPSKEVVSWIAVPGSLFIRAIKCLVTPLVFCSLIVGMADMLAV GKASVIGWRTALLYFTTTVAGSTEGLLWVLLFRSSFGNKSKSLEAKAIEFAFACEEPG HFLTHVGANVSCVYDENYNKTSTFSPSSVFVANDIHRSFAKRETGFIQRSLSQSLQGQ LDAIVPSNITQAFAEATLLSIIMFAIPFGVAIAYLPRDLTVVADFFRAINIVFMKMIT WVIASTPIAIISLLASSVATQTDLKLLVSDVGLFVLCALLSLSVHTYVFYPLFLRAFV KGNPYKWIFGMARAQTFAFGCASSLATLPVVMEAVDATREVSQTLSRFVLSLGATIGM DGGALVYPVSIVFMAEAEGIGHIIGGAEYFLIVLVSTIGSVGAGPVPSSGIVMTMTIW ASVFPSVPLPSTFAFIVATDWFIDRFQTLVNVTCDTVVCRIVAELVGETIEEEDRVSL VSAVDDLANHNPKVKKALESSYARD H257_18554 MDKFNFKDFSGVLCKQAAALLSFDGKKKRFNLDDAERPEELHDS AALVTFMLKLRQAERAVTCTHLVNFLSRLRYPTQAATTILCAQRLHGSKASQYQVVPG RPRRNVIRKTFASKISSGEKHPYRMTAELSVRANGEKLPILFIMRGVTGGLIKPNKFE TSYAVQEKTWIDARV H257_18555 MNSRPPPKATVAGKLDALLAPMRMKPEQFPSSGQDVLAYMAGNT TTQMNPSHATTMATGPSSSSPYWSGGGRNRPTMATGNAEMAMEMTQWLTSPLELLEEI NSWCLRFVRISTRQRRSQAVWCVVVVKGVGMDVTPARTQDEVHGVQHAEGSYPDIVVP PEKTSVRQDETATLVDTRRGEQRKRKKASKRIQVPRGGPAGRPVASDNPVIRPDGEEI VRGGEVNDASVEEEQRTNGRPEQLVRGVDLAGLPDRTRNTESVELMVPREDSRMGREE TRMDGVGGLPVGKVTRGEESKVASIQDGTDGDERRSTKVQTCEDSTVVSGVERSEDLV ECPRSMEKLCEKTRR H257_18556 MVGLRPQPTKRVQFYSRVEYVFDALEVTASIPLTDLESTRYPGE VWRLNWHCFSPRRKLTRLAFQHLVHFAEKASSCASAKQRHRYTPVCSVTAMRIPPAAD PTSGRMNPFLDTEALFIPSVDDEKMREGNDVWTQQTYPRVICPAHMKPSASNGSVDEI PVNWEGSDLSLDQREVLRKLLLQFDIFVTTSKAPGRTNLVKCHVNTGNASPIKQALAD QTVNEAMGSPVLMIRKPHGSIRFCIAYRKLNDVTIKDC H257_18557 MGRADGLSRLPISVIFQEPTGADCTELATDDTEGLATQSEGVEG TQVSTTRMMEATRIKPNEPYRMRQSVRETRRMRADTDSCEADTMRTALTERMGLDVLS PEVKLPQSDSPLDDSYNVPSDLLSQLRLRATSQHKHNVGGAVDSQAKLDLAFPDPNPS GSHNTPRRPKLSTAEWAWPPKWLQPGASSGS H257_18558 MRAAEQLYKMAITAANAVDQEQTVALKQLRGIVVDAAAKGVWRT KFRGTDLPADVNPLTGMFVEAFGKQMEQDQVIYANNSSSHCSPVNPVMKPEGKKLVKT SDKWTIEELLQYFRLTIDYRIINSQTIPLAGAMPFQFLVLENVRCAKYLGVFDVTKGH CDSALFFQNTMAECFKDLLYKNVLIWIDDILVWANNIDECVLVIIDGDGVRQDPTRIQ ALCDIPYPTDAGQLQQFICAVNWMRESLIGFAQTMDPLQKRLTEALVGKKSKKRIATS ITIDLTKEERNSFDAVKELMRNAAQLCHPHEDAAMCLFTDASAYRWCIVPKSTITAMT SRSTTNNINSSSVKAACLTKRKSTGQSSKKKATLLRNLIRVFAPQDELKAHTREKLIG QYRYVIQHIDGVHNVWADLMSRWGIPQPTEAKYVRALGKWTKKKNNPSMSTSRRHRCY GLWTTPTSYDRTSTLYVWTNINQTPVATLDHTNPMESFTGLEPTTALNTIVGKVNVRL SKTGFHTIDWKQKKLRQAVEELRKSLQEVHSTIVDKRRAAENKRMLEKTNQNEMKVTE GDFVLWSRVDENTHYPKLLVTWIGPFRVLKCLPYSCVIEHLITGVQREAHHSRHKFYV ESHFQVTEEIIDHVSEQGTTLVVDQIEDARRNPGSNQWELLIRWKGLESLEASWEQLP AMHQEIPSLVQSFADQLPNGAKREGLVEALERL H257_18559 MFEYSWHVHRIKALVVVAPRPSLFDQPTTIIPESSYPLLMEQPS QHAYVLAQLTARWKISSGVLDVTVVSVVTATATFASLFHVGAIKVSPTVFYKTFLPYV AVFCDHHVRLRDFFPASFCIIVGQIPASSSSQASLNDVYMSMLLDQLGIVYLLGL H257_18560 MANFSPFKFNILWADVPSYLFKHWNVGSGRKSEVTASDFLLMLL TSLKHCGAWDVVATVFKQKVATFEKRVTKFFTALHPFGMRKYVTAVGEKWTINQLDAD SHKIKNLPYARYATDVTFQRTNVPSDWYVEKKLFYSGKNHLYGHKIEASTIFDENLDF HAANLCKKATGIEMADADDLGPDRELRWADVRAVQSLNKPMGGIVTFEELRRNDRIAS DRVIVDFFGCLKTTCLAFTNTYVRFHPVRAEDGDANSQYINCLNAIGAKMVKIKNTAT CTYRSKRKARLSMVMASEISLAAADAGGSGTDMGYNSEIENRRVSFFLGYIPNVCFR H257_18561 MQPKPVAKRFYRRYNVRNIRVSRKLKSTPTVFQCCSASEPTLII VKGTPGGTIDYDELPTYPPGAVYAVQLHAYMDHDV H257_18562 MSTNSDFTIEGARRSRISDSSRPGYLEARAPDAKHRGWGPMTLD LRVFAYENFLELIVWTDRERDVGLGALNGYRSAVKSLYIDQGVALPEPYDGDMKNLQN GSKEFTGKRPMSLSMLEHLRAASMGLPDCGFTHLYLVITWNLMCRSKSTETIRFEHMS CEGDAIGLVHPHDLLTGQFILPLLSTPLFASNDLVSTLRPLVFSGTESTFLFASGIPP TWSYYGNWIEMKSRSKVCLLSY H257_18563 MAPGRRELTNTEHEAILRESLMRSNGTFMTKMPKGLSSELAAKS CFLLRLRALGTRKTAASGGTARWPFTTLAAGQRSSANRSAGTLETKTVNVTKDVYRNY LLEKVLSAIVRKWPGDTRDIILQHDKAKTHVTVSEKRLQQSFDTYKSAGWTFRLEPQP PNSPDFNVLDLGFFAALQSLQHRRASGQDRTSMTLQACLLEAMKVHGDNIYKIPHLSK EMKQQHGMLGRNVELHADAAGMLQTCDREAMDAACAAELTDLREMDELSRVLEEMTLG GDDHEDLAHALVEVGIDAIDLSSSDTPSAVPPAHKVTAARLFRLRVQKAIDDGKRCYQ IALAEGYGGEPCLSMLN H257_18564 MDSQFPSHGDDGCNQSQPFEIHFTASNFRPQYQRNNKKGGLKNL RCFPNCCSGTHATTGFCGGSVLVGVRPEHVRGRRQLAIYAEFCCVVDGHPQGHAALHD TFTQAQIHHLSNKDANIHAPWYTGDVLQGSHDEGYIYSINTFKRGWHYGWTSNRHTSV TQHVLCVYAFELQDDTLSSNNAHWTCICASPSPQFQLYCRRRAKARVATPRTSLDDAL VKVESPDEADDDDDSIDLHEPPKKHRRSLDFDEMHAFGDPYEYPPTGHYYPPEGGLQH GDRQDGTTDREFLLPPSCVMEIPELVEFCNMQND H257_18565 MSRKRAKDAANWAALLRLTPCGLNLTKLIASSDGVKAFSTQLQR HFDGTKFTHVGVGWDTSPQLLALAGALAMHCDCGISLLRTEIQSRNAGDASAMSVLVL VELVENGDDLLATLHRASQNVAAPSAVVGFAAVCAKEEIAFDDLNVPWYCGWTPKGAK MEVATSVSSIRQLEKYRLRHCTTSYEGNQLGEDRSEHWVDGDVAMYAVYDGHGGDIAV NFIHDTLGRLVQDALHLRQHDTATNEHDFKDNTAVVVVPSKRKASSSCQSSTKELLRQ SYLECDQLLKDKLTAYGPTVKASRGYCNTGSCAVTAVFDGDRLYIANVGDCQAVLGLE RECTPLHGQNFEWDAKVLSTLHDCNNPDEVKLVIERSNDRNAIRLSHDDQLRHVAEYG GANKRVAGSLMVTRALGDWYLKADEFSSMPYKPKVPYITAEPDVVVHILTKQDKFVIL ASDGLWEVVPPLLAVQVVSNYVSTSQHVLDHPIPSASAALVHMALEEAARREGMPMHE LLALVKGPARRSVHDDITCTVVFLEH H257_18565 MSRKRAKDAANWAALLRLTPCGLNLTKLIASSDGVKAFSTQLQR HFDGTKFTHVGVGWDTSPQLLALAGALAMHCDCGISLLRTEIQSRNAGDASAMSVLVL VELVENGDDLLATLHRASQNVAAPSAVVGFAAVCAKEEIAFDDLNVPWYCGWTPKGAK MEVATSVSSIRQLEKYRLRHCTTSYEGNQLGEDRSEHWVDGDVAMYAVYDGHGGDIAV NFIHDTLGRLVQDALHLRQHDTATNEHDFKDNTAVVVVPSKRKASSSCQSSTKELLRQ SYLECDQLLKDKLTAYGPTVKASRGYCNTGSCAVTAVFDGDRLYIANVGDCQAVLGLE RECTPLHGQNFEWDAKVLSTLHDCNNPDEVKLVIERSNDRNAIRLSHDDQLRHVAEYG GANKRVAGSLMVTRALGDWYLKADEFSSMPYKPKVPYITAEPDVVVHILTKQDKFVIL ASDGLWEVVPPLLAVQVVSNYGIWAIV H257_18566 MDDALSWVLLPGIGLFFGLSVWAYVCLRGELVGQGQNGSESSAG SETRKQFFTLLLLSAGTRFVSLSVDMLAVSLTPDATSEAALISDDANTYAWLSSIVSL LPALFFVSTYSLLILFYAQLCTAAVYTSSIPVHKGIYVICNLLLYLGFLVLLALCTTS SMFWQWTQGILGAFYFLGLLAVLYYSLQLILFFKTSHPDDEFFFDMHLHRGLTPRQIV IQRIMWVCIMCCILFCGQSVYLVGIATGLVPSIHYRTPAGVSPYAFEVGFYIVTEFLP CALLLCFTRRNPLNDNPPHKLADHLDHSLLLPEASGSNRPDEASYLYQNPPRPYFPRN NSSGVFDRSPAAASATKSASFV H257_18566 MDDALSWVLLPGIGLFFGLSVWAYVCLRGELVGQGQNGSESSAG SETRKQFFTLLLLSAGTRFVSLSVDMLAVSLTPDATSEAALISDDANTYAWLSSIVSL LPALFFVSTYSLLILFYAQLCTAAVYTSSIPVHKGIYVICNLLLYLGFLVLLALCTTS SMFWQWTQGILGAFYFLGLLAVLYYSLQLILFFKTSHPDDEFFFDMHLHRGLTPRQIV IQRIMWVCIMCCILFCGQSVYLVGIATGLVPSIHYRTPAGVSPYAFEVGFYIVTEFLP CALLLCFTRRNPLNDNPPHKLADHLDHSLLLPEASGSNRPDEASYLYQVYIYIDYWGF TPRFVGY H257_18567 MNRRIIALFIRRWVMRRRRDVLLQYCRYYYASYVVKTPKRVSIL SGSQWMNEMLQGNESAFVENFRMPKVIFRALAHELSTHGGLSNTRHLDVTEQLGIFLF FAGQHASSAQLQQRFQHSALNNEFNPGIAKKALLEMPANVTSDRYQHESEAQLSSRCP DASQRRASVKPALPMSRYASLNPTSVSQNVFQERNAPVRETRHRRHPCSLLVTPTTTL TMNWPSLLMLHMTRV H257_18568 MLFDVWVLAPLVLGCGKDSSVGSAPRFAVASPAPHGRVPQATRT PVSSVCDVLNPCTAVELTPSSLVVGRFYMFGSCVPKAQTDPCPIGSLVADVHDSACRW YAFAVNFQPDPISFQQRNGCDIHEPFYKYLAHRFLKRMYGHPAEETLILGIRSFLGHR RHPIVVDARENVVDTLAPRFKVADSVWNLQQPLEHTTEGYVRRNI H257_18569 MPIDTFLLESKGDLSSSSVSAYNTPSNASPNEFETPSRRRSLGL RTPSTVLRRDVDLTQRIPDLSGHGKLFVMLDESNATHMNLVIKDGATKMETQSVASYV LGNVSITTAQWERIQVLDWEDLRYTCRNVHKRKSVCVVQGDFVRPPDEANLVDATVVF INNMEFTDVLSCRS H257_18570 MHNTVREDSLLVYVLACMTCLQRVSPPLELPTAGTFALPTPTFF RCAVECGATDDTYMFPVAPGRGKQDGAEWNEEYVHGFIYRIRLHNFITYADAELFPVP CLNLVIGPNGSGKASIACALCVRLGGSTKVLGRADKMGDYESTQNKVKEVLSKAKIQI DNLCQFLPQDKACHDTFSIMMISSYPCLGRNLGKEKVNSTSGHDLAGEAQAVGQLAAH DEEQRQKVDELSRRKKLRRHRL H257_18571 MVTTLMSSTSNQVEFEQHDPHDQCQRQAHPSAVGCIDSSKCDVP PRELILQAAPSVLLSAAGDVLPQSAPVAVCASPCCRRFCLPLTATAATTSAYHRHHFC RPMSDAAAHSATATVFHHHALPPLLSSTAVCRHLFCLQPPPLLPPLLSANCLLPPLMS PPPFSAAAVSRPVAFCCLDLPVCAR H257_18572 MLTPTFNCKLKRHNAKKAFLPPSTPCRCRRKMTRVQSDGLDRLK AVEFHGFAHTLNALMHRFHHLHCKGAKSRPPQPQLTSHGPTATDALLPPPTYAAAAAA HRCRHPPTLVPSPAAAFLHRRPSLSPSTDAAAYR H257_18573 MQKQFVGQDEEEEEQASTPSNSQATLLDARTTGSAANARLLLRL DQKRTGILSNVDDLLSTVTQTHSKLMSVAEDLKEVLNESRQHAVSSEDALAKSRLATE AAIKERDSAMAAQKKMSSEALDAATLVLKLQGEVEVAKQDIARLDSLLDCTKNALTSQ KAMNNKQLSQLEIQETTVVQLRDALKDASGQAQRSASLVKAKEAATDAAIQGRKDADR RARRDLAKANEKLKSLQAANQQLKDELAASIEVQHSLKTSLSEANESASRVSSCVKDA VPMFWDWVASNFRLKNESRVDQLLAAWVADDRDIFAEHMDTVAILWETASSPPRAAPF LNRVVGKDIRSWSVPTQPSGASETSPSLVNPVTMAQSTARAKRSFDGDISIKSKKSRP SSSDQVIPPEPNTLPPDVVLAYEALADQKPWERYQSQESFVPVAFRVDPVWASLHQAL VEFWAVHARAVWNRWYLPCSSKSADNDVDALLSPMTSLAGCLYRVLKAHGPELMHFLS YPHAFWPVYLQQAITLKKLVLNRGEECVLEYLRSSAHKWWPDVPSVSKTKPWSSPPQA TLSFLKTRVLKTHHLSKFDPSDPNAWTLQAVRNALQWMIDVADGFQASSHSKSQFPFV HGPNCQPAEGSQWAHGLTLPLGVPSSAQGSGDASTVSNPNNSPDSPQQQNHS H257_18574 MGLNANWNIGGKIRLQGGISAASTGGAIEIASGVSRSTSSGDIS IQTSNSSLKGVSGTISIGTGTSFFGTSGSIQLSTGYAAIASGGNITVNVGTGGKGPGG DVVLVAGETLDALAAGGRVNITAGMGSNMLKSGGGRGGALSMTAGTAYGGNNLDAGGS MDVTGGAAAVGIGGSVRLGSGKSVATSSGSLTLFTADAGTAGISGIVSMSTGKATAGP SGMFLLWTGASTGGSGGSINIGVGQGDTGDGGLIAMSAGTTSAVGRTGGAVTISTGAS LPMSSGNILIRTSDAGVSGVSGSATMSTGTASNGNSGAINLSTGVATGGAGGTVTISV GTGNTNNGGDIFGEAGDTFAKSAAGGRVVFMAGDGRNPDTQDGGRGGNVIVSAGNAWG LNPVLNSGGNITLTGGNASASTGGRLTFTSGVGVATTSGEIIMNTANSGPGGLSGSIT ASSGTSYAGNSGGITLSSGYSFGGSGGNVVLSVGSGNVGSGGEVLVTAGEFRAFGTGG DVVLTSGQSLMTTSGSIQLSTARGGVSGQSGMVSISTGTTTLGASGVIALTTGKATGG QGGNITLSVGNGTLLSGGNILLTAGVTTGVGGTGGFISLTSGISTLTTSGSVNLTTAN AGLSGESGLISLSTGVSTGGRGSGSVFVLTGNATKGAAGNITVASGFGDTGTGGNITI SAGDTFDIKSSGGRVYIVGGNGFSTDVSNGGGRGGRIDISGGMAYGQNPSSDVGGPVT ISGGVAAMASGGSVSLVSGASNALSSGTVSISTADSGPGGVSGSITMSSGLARAGNSG RVVIATGASNSGAAGFIQVSVNSAAAGTGGGIVLRAGNTTDATGVGGEVQIFSGSNLG AKSGAITLSTANSTSTGDVSVLSGAGSAASSGNLRLASGVATLGSGGNISLIVGSGAL GSGGQITLSAGNATQVQGVSGGNIVIAAGMGTSTDNNNGGDGGSVSISGGRSFGAASA SDVGGGVTIQGGIANAATGGLVSISSGVSSSSLSGDVQIASGVSSSSAGTGTVRVSTG ATTSGASGVIMLSTGTSSGAAAGSITVAPGASTSTSGGSVSITGGLNSGLTGGAITVT SGASTATTSGAFSIATAASGPFGASGNSTISTGYASSGSSGNIVLSTGGSKIGKPGSI VMNLGTAEGGDGTDIVVAGGPSLTGMGGDVTVVSGTSGTTTSGNVNVMTSNSGMAGVS GRISLTTGTSQNGPSGSVVLSTGAAKGGSAGDISLSVGSTSTSVGGQLRLRAGDTSSA LQTGGSITLQTGQSATQSSGALTIRTSNATTAGSSGSIVLSSGTSVSGSGNITLTSGV STTTSAGQVVIGVGNSQLIGGLVDIEAGASATQTGGNIMLASGTSTIGASGDVIIASA ASGAAMTTASGMLDLETGPSTFGSSGPLFLGTGLASRGASGKITMSAGNGGQGGNIEL YSGDSSTAGNDGGAIMMTSGAGTTSTSSGIVLMASNANSGPSGSAYVTTGSTPNAEAG GVYLLTGSGSSGGSIVLSVGQNSKAQGGAITLTGGLTTQDFSSGGSVNIQGGATTKAT SSGGSVSIAGGSGSGTSLLSGATVIAGGASTTGTGGLVRLTSGTSSSGATGNVDISSA NGVTSGRVTLSTGTGSTGSGGVISIVSGSGPTAGTITLSTGTSTTTPVGASMSLSAGA AASGGTLTLTSGPSTSSVATSSTGSLNIATADSSTMGNIGGISINTGAAVNGVVGTVN IRGGAATIGTGGSISLASGAGDIGGSINLAAANSKQLGTTGGSIQLVSGTSATGTTGT LTLSTASGTTTSSSGNVAVVTGSATGATQASGQISLATGSSTLSQAGSVLVSAGSSSV SGATVSFTSGASTSSTGGSIALSSGSAPINKATGQISISTAASATSGTSGALFLATGT SASMTGTVVISTGNATAGVGGDITLSAGLSSTTPGNIGILSGSSSNLNGGNVTINSGA GKALSGNVVLATAAGVSSGNVGISTGVATTGSAGQVQISTASTGSIAGNIIFAVGAAT SNQVGGNVSISAGSSTSGAGGSITAVTGGSGTTTGNLTLGTASGVNTGIISIGSGAAS SASGRVTVSTSNAATSGDIAISVGTSSASQGGKLALSAGTATGFTGGSVVLTSGSSVG GTSGSVAIGSSIGSVNSGSISLSSNAAASGSSGTISMSSGASSDVSGDLSLQVGNSVG SNAGALTVLGGSTTGVTGVGGIVTISSGKSTFATGGSLVLTAGQSTASVGGSTTLSGG SSASTVGGSVQVTSGATSAGTSSTGTVSITSSSTLVGKSGLVILGSGSATDNSGNVVL STGSSTASQSGNLTVSTGTSKGLAGGITLVAGNNTAATGGSVTLTSGTGQATTSGDIS LTTPASGASGGSGSINLQTGDAKAQDGGSVSVTTGSATGATDSGSITLSVGSTITGAS GAIRVLGGQSSGSGGPISLFSGSSTQASSGGVALGSADSPVTGDISVGTGSGSVSSGQ LVLNTGSAATGASGSISLFVGDALKGAGGNVAISAGNTLADAPSGNINMIAGGNAGAT YASGSIGLVTADAKSTGAIQLTTGSASSGASGGLALSTGSSGATSGSISIAAGSSGAA IGATLSLSSGNSTSGTGGTIAITSSGGTLSSGPLIIKSAGSVGSGAITLSTGEATTGN AGGISVRTSASTSGSGGNIQLTVGAATVGGNFILAAGTTTGSNAGGSLTMSSGGSSTG QGGSVAVGSPTGAISGPISLSSGGATAGISGQIQISSGSATSTAGSILLSPGASSGNL GGDIVLSSGTSGLGPGGKVSITSGDGSTNSGTINIASSTSAVGNSGNIVLSSGVSTSL DSGSVAISSGDSTTGAVGMVSLSAGTASSVAGASVLVQAGASSTLAGGSMFVQSGSGA STGDAVFGSAAATGAIGASGSVTVTSGDSSGVSSGDLTLGSGSTSVGSAGLVQIYAGQ SATVGSSVYIQGGQSSTLDGGSVSVQGGPASSGTGGGLSISSGTGVTSGSIGISTGTP QTGVSGSVSIQTGNTASANSGTVSLLTGTSDLTSGNVVVGVGAGATQGGSISLGAGSS SKGSGGAVNLNGGASTTSSGGSIALLPGKGISSGTVTLASADKSGSIVVGADGSITAT TAPTAALNLFGGNAANNTLQMGEWTFSHAESPISGNVNFRMQKDRIVSHVPASFPVST TPSDRRIKTEIEDVDQNDILHRMQSLEVKQYRYTKEWQSIGHVNDDVVRGVIAQQVAE TFPEYIHRSDYHFPEKNFTMGQFHEVNKHLLTIDLLAAMQAHHRRFTVGRNNDGSTAS IQLTTEDAANKATGDVVVRSGEASSQNSGQTLIQTGDGVHAGSILMNVGTAQETSGSI RLISGSSTSNGGEVHVISGGGVKSGAIHLKTAESYTSGGIDVSTGVSNQDSGALVIRS GDASQATGAIDLSSGDSSSHESGALKLSSGKGVVGGKVAISSGTGSTASGSVSLTSSS SSKESGPVVVGTGSAPSAGSVHLRQGSASQNAGLSLDTNVALHSGGSTTSGSATIQTG QGVFDQSGDLKLHTGESTVQSGAIEISPLASSGTNGGVIRIHGGNASNVGGAVQIQGG HGAMDGGSVTINGGPNNDHFQGKVNIGAGTAALVTKDAVFRAADNVDVESAELNLFGK DIVSISSGNDAVDGGSVVLSSGVTSNGGRIVVGAASDGSIALLSGATSEKSGDISATT SPSTSGNTGRIQLISGDSKLTSGRLTFQTGAAAQAGSIDLSVGKSNTDGTSITLAAGD GAKSGGAINLKTGAGDVSGNIVLESGSPQGRSGSIQLKLLKSQGPSGTISLETFDGSS GGHVQLKTTSKTSKIEASSAGQVEITSGASSDVILESGPDGGSIRLRGGNGIDAASGG NVELVAGGAGAVKISGGQVVESNKQSGDIVLMSGKGATNSGQIVLETAGADEAADVTI RGGESVVGDGANLRFTSGGSSHARTGSIVVSTQHGGLESGVVLISSGRATSSSGMVTL SSGSGPVAGRVDIRAGTSSGGVSGDVTIQGGESSVGNGGTLQLFGGNSVATGGDVVVH GGLGETKVGAADISGGQVRISSSTGDISLTTNGQQSSAGSITVAGGASASGLGGSIDV QAGTGATGGSVSIQSGLGDVSGTVTVQSLTSSTVSGGVA H257_18575 MKTLVNVLRWTVAMWAAVSVGVLWCVVGVASGTDPAPPAVPAVV IDFGACGAFNPASLTTTVPYWDQADKCGACVATSGCGFCHSTMQCLPGTTAGPSVEVP CPDWLFASADACPQNPHCDRLVDCTSCAVADSCAWCASKSLCLTAEDTYTAACRGTVF DLPCPTTYVADNQVVGNLAVVADPVFGGGGLVVQGPRPYDNDSYRTSVDAAGVSVDSA GPIDLRAGNGTTMNVAGGAVNIQAGSGTNINRGKGGSVSISAGDAYGLKLQGGSAVGG DVAVSAGQSKEGVGGNIKLTGGSSSVTGGSIFLVSGGSTTARSGTITLSTAAAPILSG PVVFTTGKASSSGTLSISSGDASIAGAVSMQPGASTAVGAAGAKISVVGSTAATATGG TVKVLSGTSSLTSSGDVTVLTAGAPVQSGNVLVGTGTAAKGVSGSVYVATGSTSDASK MPAGDIVFVVGQDSGALQSGSVIATGGATKGGAAGGIQLSGGDILGPSIDITSHGGVI EIRGGSSKTSVPTSQGGDIRLSGGSALAGVGGAIALTSGRSEASNSGDVMVNTAVAQT DSGTIDITTGAVTFGQSGDLSLNTGNALQGRAGVVQIQSGMSQGGAGSDISLKSGASA SMAGGNIVLESGQSGTATSGEIKVGSADSSTSGALTFSTGKSLDGPSGPIRVRSGDSV SGTVGSIEVTAGTGKQSIISIKLAGGTTNTPNTQGGNVQIQSGDGTSLDAGRGGDMSI KAGAGSGVHTSAHGVGAGGSIALSGGVSQEGLGGTITLSGGTSMLATGGKLSLTSGTS TQSGSGSVSLQSAPSGSAGPSGLLSFTTGTASLGSSGGIVLSTGAATNGHAGAVSVAV GSSDQGDGSALSLLAGLTSAPASAGGTVTVKGGDGTSDNQGKGGDGGVVAITGGFSYG MSTNNKGGGLTMAAGSANMGSGGDVTVLAGGSQQFSSGSIMIASPPSGSMGASGGITL TTGSSSAYSSGGFKLSSGAATAGAGGSVQVTVGPGMFYDGGGISLVAGETTSQGQMGG TVQISGGIGSSPNAMDGGDGGNVQIQGGAGYGTNSLDNGGAVTFTGGTATSGYGGTVT VTSGTGMSSSSGTVELRSANAGARGVSGLLSLQTGTSSFGTTGSLTLSTGKATAGKGG HLALLVGDGDTGEGGHVVVAAGMTTDTQTGGYVSVSTGYSYQTSSGDLSLRTPNSGQN GVSGSMSLYTGTSSAGTTGALLLASGTAVGGRGGDIVMLVGTGDTGDGGEVAITAGET TARVSAGGAVSITGGEGTSTFYGGGGTGGWVNIYGGAAQGANKNDKGGFVHVEGGYSR SGRGGGFWLQTGYGEATSSGDIQMSTANAGTKGVSGNIQLFSGTTSAGTSGSIALDTG TAVKGASGYISARAGVGDTGVGGHVYVSAGRTTDKQSGGSVFVTSGFSDPTSSGDIKV STVNAGMSGVSGFISLQTGTSSAGTTGMVNVLTGNATKGAGGNITIIVGSGDTKSGGS VIVSAGETKGMNFTSFGLRS H257_18576 MHFTWKPGISKLGHPPPKLPHKHQALALALHYYTAACEHKTLCE IFGVPPSTFEIALRKAEVAPSGYLQE H257_18577 MPTPMATVIVHRNGVPTAIQVPATGIIRKHHPSSSEKDSTSDTT DSPVDPPLTARQLRSKRATDTFLARHDRTRNPRSTPRTRHTHKNPRPTRPDAPSSAPD GLSEDDRPIRARQTRKPNTAKHPGPAPSLQPRPTIPPATIPTPLQTSKSAKGSSTQSS LPDIWRRSPPTTLAPHPITLPISTSHNTPPISAPIKHHHGSPQATSPAIPTLGTRHSH PTFHEATASSSKQTNSAANHAPPYEYTPYSHTQLSSPRPAPSDVQPSTTPTQAPGPPC SAPATSPPTMTPSRVTLWTTTYPPHADYLSPSQDTRLGSLVPTHQDIPLHNPVPSPTS PPVGPERPTTPTDTCALGTLRPTSLKMQLPEPNPTQSTTHPTFEDMPTSPAPTPPGPA HTHPFPHTGSPSHPTRPPTVKRHHSDIEPDLDFSHPSFHLPPTKRHHDMRYPPTPHYQ PSSYEPGQNEQEVADTTIDDDDQEGYDANTALQFPGIRNLHDEGQPILYRTMQRYWER EAHLFQGFTADDVADVERHFADAKVQDICQERCGLTFRGGLLEHGQQLIGDPLQRTIQ AWAAPRRGYPFLRDISVVMIYQYAGVLDNGLSFHQLEYRNPSKTSPGDLMCALRALGA TDAIIQSHTRMSGTHGPRDHWAAIGCVNWPSEGQYRFRLVFPSQSMAETLTPLRDLCW DNPTSTFFHPHATSAATLVDTKVRIGRLPPLTTTDDILAALRGSHLPTPDVDITGDGY ATLTFDTPAPIAFLWSTSGPHGETRLYIRDTAVHLHILTGHPCRSAAPVQCRDCGRND HHGRPCDRFTYLEPRDRARSNFQHTSTTRRDLRSPDTRTRSTSRHRHAGHHTHSAPQH PAAAGQHQQHQHQTQAWQLPLQRHPHQVPGHTTNLNLFLRRELSTYVDQRIVSATTPL RQEVESLRADKEALAALVSASSVAFSTLDARLLEERRLREAAELLQAEDNRLRAEAHI RLNTVVTQHESQQAALTARLPYLESSVHTLLQAMQSVSSQMSALAGLGPLPATLPPNV SPATDSTGTLPGTVEDALPARGQEPDDTMGTEPEDHFLLWISCYPPLAAATLSLSLTT PLPPYITTPSHPAPPSATYTTPLQNPLPLSTLNINHPRQRRRRRAWRIHRPPLPPPDA DTARHQLDNILRRESLKGEAYIGDPGHPSPPVLQLDSLRIASTNINKNTGSPTPHLMA ISNHRVSILYDIQRWHPRIDARRTTYSPTGRSISICIRLGKGSLLTLIGTYCQDSPAS HRDTTEQEWQWLTQATTQIPGQHHSVIMGGDFNTYDTNPLDRSAPQPRSGHSLDIGTP TDNVSPTLATTLPSPWMTSTSLHDPPTNEVPVAYGSIPSTPVTMPAPPF H257_18578 MAHFLGASQIDIRTAFGWLDGGIREFGLGKGSILAVMHIGYYMD VLIRQQQGLQDRVRISNQFTGQSASGGVFGADKSFLFYLSPYAYAAVALNDGLGNPQP IRVVSPSEGFRHLDIHQGTHNQWEDTTRTELQYIINAVWIPSVLYRTAISNAISIAPA LDIIFRKTARRVLRLPQDHPNSWFYDHTDGLGLVHCERLSHSQRLYQFLRIANDRGSP AHDILMESLEAYQTESGLTDHPLAFRIPPPASDTTFIGTLLRDLAAFNPALIITTQWH QPPASRPQRPNDRPIWAHLTPAFSTTLISINRSHTNKVRWVGDITNEKGTMLLSLPSL CTKYGWNRATLQRFTPIWDAIPTVTPHNPPPILRQQTIQWGSQHSGQPLPLPPLPTLP RPHLPYLEQPLGRTFFTPSRGFEAIHVPLRAMLVIPHHLTHRNGRPDTLSYRIGRRTS LQTRHTPAGPEIAVTFWHELRKGTDIWYSPTPREARSRLRLVPIAGCAILTGDLLRTS STQRHKFIPWTETTWTHPGTHHTHRGNNNRALIASTAMHRADQAQLPHAHHTPPHATP ACSACYRIADTTVCMDCGQWHHPACIPHCQVVLRHSTPTYGIHTFPLRAARTHSVGDG SVTNQGKQSAHGTWSYLGSDGTTLTGYIRIHPDHITPTRCEVHSLLAGLHHSGDTALQ ICDNTTAIGLVIMARSLKRRGGQPRYSNIHRVELRSLMALLNPEAFAGDWIRAHQDST STTDPVLRATAPWLGAIYSRHDWHAAQASKPDARRTIQPLRLNTGELCKWDLPALSFY WRAICYTLHTNVRKHRIQPRWEPHCRTCPDFPDTQEHRFGLTHPQCPAATSLMQDLLL ATQAREYLHWLDTSPMADTKSKTLPQLGTLHASYLPKTLCTRPPHAPSPRTPPTDDAR TSCHPTTLESTQCRTPGGLLPRWLYHTALGCVPLLAPRDFWFSQWMGGPNGATFQGPL EAPPLCTIPRPPTYHHWWIDTTHHPFSTPEGSQGHTQANSPPITWLLTIPTGQITLRN PSSIHHVTTPQWGKLTTSNPVHIHIGFLTTGTTPDLLTPAQHHWTEALRPLDATHWLH RPQPIILSTTPADASTTNPKILTAPTHCLHWASSTSRLSTNTHMTHAATSRLQHICTA GELPLLTEVNNNIRLKRHHHHAYPMGHDRRIRARRAHLTAKTLRWHSRRLSLLHPAIP PPIGYADPTLLPPRHSPAPGYHLQRLKRSVPLEPTQSGFSSS H257_18579 MRVLTYLVIHDGCTTCLKVEDNQRVWDVKKMIKYDNPFSIGAKD IDLYLAKTKDGKWLAMEGDAVEMLKTRLVQETIKDIMQQGTAMDSTKTVGDAAFGFPT NLTAGEIHVLVIVPTWRAAEFVVNDVRFPVTKRMGLYPPALVAFWKALQDYSTLVVAD AILELPVGAFLLGYPALGLKVYIRPCYPPLWKVCWKLIQDPKSPHLVILGNPGIGKTF FGIFILLQLARENKTVVYESGASMCRYLFSGDTVVEGTQNDFVAFLRLPTTYYIVDAV KPQKCQAMTILLTSPRHEVWFSFNNDNCDFRYMPVWTLDEILSCRKLLYPDLDVNMVT DCFHRWGGVPRYVLENMLRDTHQSLLERALGMVNCDWVVNAIGELDAMFEASHRLLHY DVNEKTFINKHVVFASPYVQDVVYRRLCKDDHDKLVKFLAASEGIDQLGVVRGIVFER YVHAVLPRGGRFRIRRLMPEGGSGDANNVDQDDKGGEDEEKSDDGAVDTEGDLGAEVM NKGEGIAFVSWDINEARGIVEIPPQQTVMFDKDNEVASAPSGVYLRPKNKNYPSVDAI VKPDILLQVTVGATHPCKQRGLDSAIKLLATPEQPKLVFVLPPDRFDDFKHQRYVTVD GRTTTNPFLTLRNIKQYAMEVTLAVPREVTHTSTDGTNKGVKRPRQNE H257_18580 MTHLRITFSHRFVRGLFEANHPGTTARGMSRRISTLEWLDQALN ETEISATIPQPKPTSPAQQAAMSMSLLYLCLGLSFVAVVLVFLKLWLRMRSMQPPSTK QRVTHLLDVAICILGWYAMSISMTLFNKWFVKVWHGGFPFVFTMGAIHMSIKALLTRA MLWRHPTAIAAISPHNYWRLCVPIGLFTGADIVMSNMSLRYITVSFFTIVKSGGNVWN LLFSIALGLQHLSPSLFIVVVVICVGIGLASYGTIHFVVIGFVLVLSASILGTLWWVL TQFLMQQMDPRQNKSLAVVFHIAPVSALSLVPIALVVDGAGLTSSVFARDMTLWMECL VFLTTGGFLSFLLIYVEVELVKSTSALSLGIAGNLKDVMQILMAMLVFQDHLSAVNGA GLALATVGLMWYSYLKTTTTATTTTTEYASVVQQDGDEDEIGIQSRTSWPHDTTKQLD HHA H257_18580 MVCQSLARRVSVRVHDGRDPHVHQSSTDPCHAVAASHGHCCDFP AQLLEVGGSHPSLSNFLICHGRLCVPIGLFTGADIVMSNMSLRYITVSFFTIVKSGGN VWNLLFSIALGLQHLSPSLFIVVVVICVGIGLASYGTIHFVVIGFVLVLSASILGTLW WVLTQFLMQQMDPRQNKSLAVVFHIAPVSALSLVPIALVVDGAGLTSSVFARDMTLWM ECLVFLTTGGFLSFLLIYVEVELVKSTSALSLGIAGNLKDVMQILMAMLVFQDHLSAV NGAGLALATVGLMWYSYLKTTTTATTTTTEYASVVQQDGDEDEIGIQSRTSWPHDTTK QLDHHA H257_18580 MTHLRITFSHRFVRGLFEANHPGTTARGMSRRISTLEWLDQALN ETEISATIPQPKPTSPAQQAAMSMSLLYLCLGLSFVAVVLVFLKLWLRMRSMQPPSTK QRVTHLLDVAICILGWYAMSISMTLFNKWFVKVWHGGFPFVFTMGAIHMSIKALLTRA MLWRHPTAIAAISPHNYWRLCVPIGLFTGADIVMSNMSLRYITVSFFTIVKSGGNVWN LLFSIALGLQHLSPSLFIVVVVICVGIGLASYGTIHFVVIGFVLVLSASILGTLWWVL TQFLMQQMDPRQNKCVSKDLHSAVALEPTFRILSTQHS H257_18581 MLFMTLVTLKHAGTWDILSASFDESAATFSSRIHNFVRVLHPYL VRKYIDEQGMEWTMQQLAVTGLQITTHKSALYAVDVTFQQTTVPAVKFGEKKTYFSKK HGLYGHKVEVSVAPNGLAINVTDCAVGSTSDIEMFKANLGFHSAQLEKQPNDTNVSDT ETLRDKFPNQWAVLADKGYQGIQEYVRGFTPVKRPPHGQLTMEQERANAKLSSDRVIV ENFFGRLKTLWGLVSDKYTWKRDEYNMYFQTCVALTNIHVRFNPLRSVDGEGYNQYKN WLLSIGSKIKSKNASSKAKYRENRRARIQAVLRRASTGYTSDDYGVGYDEGNDIFD H257_18582 MATPHVSGAIALYLSANQGATYDQVYTALTNNVDTNTLSPPDKS CGGIPNTQYPNNLFGYGRLNVFKAVTAPPSTPCPTLPPPPPKCALWMLDTDYIGEDIK ALPFRSSDDCCDECDNTPKCNAFTYTYDNYTYDIGGTCWLKAVDEPVVSVYKEGSKSA RVLNPTKPSTACGTLAVNTHYIGGDLASTKQATAESCCADCENTPGCKLFVWSNDDGG TCWLKHTKGAKVTAIGAKAGLLQALPGPLSCSNIEWNMDFLGKNIAQVSAGQPADCCA ACHSNQVCNAYSWLGGVCYLKRRRAVTKLTSGVVSARVDKCSALESDVY H257_18583 MVQYHFVALAAAATAVTAKISVQVHRNLEVAKQSNVVVKFYSDE AHDTHRRRLKAGASRTETIESLVDSLKEHTNTSQASVKSLLANQVESTAVEVATTWID CSMYIDNAPDDLVQKIAALPEVESIDEPVVIALDETKSDGIPASAVNDVIEWGIEKIQ APALWANGIKGDGVVVANIDTGVRYTHEALKSNWRSEYGWFDPYDKTELPNDRWSHGT HVMGTMVGTQGIGVAPNAKWIACKGCNYVCQQHMLVKCAEFLLCPHDKDGNNPDCSKA PHVINNSWGAHGTKF H257_18584 MSSPASTIRAYPGILLDNAYKFYAVWRTTFKQAAVTTGFFSLYT YETYCHPRIETDAYTISQAKVQQWRMDVVERRVVVEAMNEHDGWVTKGEDRRMLEEKG VTSGVVTHPSCSFIISTTTWWSLSTIDNAFPNPPLNYQVINSDYLNHTDASSTLCSRV IPTWWSLFGREISLKLEKMIGDALVIGKLMKGVSGNGMEWRQQRVVHFGRGGREWDDA YEHFRLLAITTFKSGINTLQHLPPTDIGNLQADNAKKFGRIVGPKYSTNTIFSNAYSP A H257_18585 MTSCGRLKCMVNGCGVVVWYTYFKVHMTKDHPEIPQYRNIRKQY GRQVDANDKVLEDEGFADLHPDD H257_18588 MHSGAPNIQAVFRSPTPNWFVYMMLRRAVVGRVPTLAAVRSFAT TAEDAMRMSLLKALDATHVQVDDISGGCGSMYKIEVVSAAFEGKSRVAQHRLVNEVIK EEIGGMHGLTVKTWTPAQFKPTSSP H257_18587 MAFPVVRKEPIYLADDAVYSKDHFLVPNHYVNYVESVLIPQGLI SDRVEKLAQDIRAAYEGQTIHLLCVLKGGSAFFHALIDKLRLFHKYNTCDYVPFTFDF IKVKSYDGLHSTGNVQVSGADLTKFKGKHLLLVEDIIDTGKTMAKLVPYLQESDPASV KVASLLEKRNVESCGFLGDFVGFSIPDKFVIGCCLDYNEIFRDLDHICIINDAGIAKW ANY H257_18590 MLRRPIAVVAQGSGGSSRWDKEASEFWKQMPSKEKPATSRGGRA YVAAGVTLLAGIGWWCVGTFGATPALSTEDNVRANCTTLAVQSKWHQDMCQKVCPNNE FNEACMSGCYFGTLTITKGVCANRTLDAPLWTSCEFQVDCAGACVEYASVRPIPAKRN ACEGGCNSVVPSACKRAVDIFDRAVKGNK H257_18591 MLNNLRATLAKSGYTCRCTFHHASGSTRSWAAASKYIFKSPVGW VSSNGYSSVHDDVGGGTAGVWDEAAGDGDGGTNTPTPRLDEMARWSVSSVSCFSDGDR NGRDLNRGSNAHTRRMGDDCSVAWSSGTKADAWGLSWRSFTTPCNSPNARDARGGHGP ILGSSEVLAICSSNE H257_18592 MICVIVSVVTLVAACNEQDPRVELHASRAKPCPIFRRRPSGASP TPAADRLKLVTDRGRSLPLRCDHFFVVHVYLKTHRMIGGWSSKNTLLHLLARTSCLAN AILLKNRTGYVAALVWSGSLALFLVAIVADVATVMTWRHDCYLLGLVLADEVSNSVLQ SRFSTLERASALQNATIECHVWPRAIGFTILFAATGCLCCGRQHHVFP H257_18593 MMTRKTYGSDGSSRSSINMEVVTATPDHVDDDLAARSGRDNDNL LHDPPPVVHNHRRRIAMLVGGGGCAAVAIGGCILFFPQSSVNVPSKVEEVDEYGGGAC FLAKAGLYGTTSAITSYYFARAAVPSSTLNSSSLPLLVHFSRSDPPPALLPCIAPTNN AAATSSWIRNPHALNRDAHVLYLRYAEDLQVDLHAFLASTLRAANISTKREMLFMGQD ARVCDAAFQVLTANFHYDPITTNRTYLNVAGVAFRASSPSTVLPTKALGQLLDAGVRV HVDDEDDPQDASNWTQSISWDGAVVFANMTKYYSNAMSNATRAGVISGREAPSVQFRN FAVAYEVAQEAWDPATLLDLIRQQPPASL H257_18594 MPPVQGPVAAATNRDGRPGMEMLSDQVSVTTFYAPNTTERGDSS ADTTPSSTLSSGDDVHGVDTQFSDAVTIYDDEKLAILSFLNYIHDKPHDATLWRTPAR RRTKASATRNVPTMSSMPRLVDQLRPHDHLNDSKRKPPHADDTHSSSLTSPKKRLKHD QSCVCNAQVLDRTPDSSNGLNSRMMIVCANKLCPDSTKSYHLSCVGLEEDTPSSWHCP SCTTLQSSSYLTIPLATGKAVPENLFRKPALSITYGDMIAMALRATSHGEGTFKQICE FIERRYETQLNWKLESDQRKSPVWKSSVRKILFSNPRFARHPNLKGVFCLVEPSPAAT SSPLTA H257_18595 MSTANPRRNKNGEVIIKSGVLFKRGSGGGLLKRKNWKPRYFELT QHALRYFTVQDGELKGDINLKMCGEDTLEIMPADSMKTGGSASTIWRIAVNTPDRRLL VAAGTEHEMNDWVDALLDVFRANTTGSGGAGRPSQMQKLPPQQQHAAVAVPPRSSIAS KSHFWPSDYSDNDSSSDTDDGRHSHASSGSRHSTVSASSKQQHQHIADRFIIALPPHR RVAGDSGSRTAPTKPPSLAAKTDSFETHGEYAF H257_18596 MSSSDAQATRRRRAGVAMAMRQTVPPLTRRSASGMISIESRVQY RIDTIPLTSPLELLYLSSSRVSSKNRSFQFLRLNRLPPAPCLNSAPSFTILSWLLVVL VVMLILDERM H257_18597 MVRRHGVWTEYSAGDGWTYFYNEETQESAWEMPIEVREARGEMQ AMLKTALAFSGDWGAFDAGFGTIYYFHLPSNHSMWERPAEWGQEPEITYAELALQQES KQTPEPPPIFPPLPPSPSTTTTAETTHDDKSTPVETDEERAESIQRIEAFRQMLRDKH IMPYCKWEAALPRIALDDRFRAIPTMDERRAIYEHFLKHRKAEIAKEGKSNFKAARKD FRDGLALALDRFPLDQISKKKKPFDAFITWFSTAHAEIFATLDHARGVLSLADQEKVY QKMMDEWHPVALLRHAEQKQLQNHFDDHPEWLNELKDWDDSMVMPQLLVRWPPAAQQA VFEGCRAAFQTTKHASSTLKKYGAAPPTAPSMASLTSKDTHAAHAAESLVQATKKRGP PSPSHLHVSSKKVGTSGERVRRRYED H257_18598 MEGPALLPPPPPSSSQQPELDEAKVVNSGVYVHESEAELEATDE PAAVQAETFPTEILVENINHLMFVVHGIGEHKDFVVESYDDDNGSTGESGNFRELFST MRDSLFSKEIPLSLEIHPIEWHSEVHKSGVDDVFDKISPAASTTLRDVNKRLIMDVLY YSAPKYGQMIVDTVTKQMNDKYMAFMAANPGWQGFVSVFAHSLGTLVAYDILTHEAGE VGSNGVVFPGLAFPVENLFCAGSPVPIFALSRGQLDIQDSVCTGGLRRPKVNHYFNLF HPADPIAYRVEPLVDVGMSDYPAIKLQKADSFKNKTFGEMVLIYDKLTDIASVCNDWD GARIDFEVQRRFFEGPIDTLYAPLSHSVYWSSEAVVTITLLAICRPVVDIITRYMAHN VPLPTLRPRRLVPFTPYKTIKCATTALVRDGFTGAWEPNALFLGHKRVYFAPSAAAVA CTKLWSVPLTAKSAVTVDPTDSSAFQFTPDTTNPSPSMFSSTKGTQTLYTTSPAQCQE WVDAINQALASESDEHATTHPNVDGLVLPRGDSDINFFDATLTGTLRTRGMLCDAYNW YVLTDCSLDCYDACPVLKEWTHFSLKVMFATPDHGHIRLVSRHGTSVTFKIPDMERFN LWLATIQQFPDCKLILEDC H257_18599 PHGEGGASVGYIAAHWLALEAIGEDATGTCNAPVSMRGIAGVSG RVVAVGVATALFVDVAFVGAGAGAPVGGPYGVGSTGAMLESGFAKRSTTFRGVVSFKK DVMAVWWASVSRMETAVGENSPRIAGRCVFLVRATGPIGWLVWPSEATGCDVTFGCFA APVLSSFLGWVSVVPLAWLTRGAMIRAGGDGVVVPVGCTSVAVAGRPTPGSGWLSWDS MILNMTSANLSRTFSDV H257_18599 PHGEGGASVGYIAAHWLALEAIGEDATGTCNAPVSMRGIAGVSG RVVAVGVATALFVDVAFVGAGAGAPVGGPYGVGSTGAMLESGFAKRSTTFRGVVSFKK DVMAVWWASVSRMETAVGENSPRIAGRCVFLVRATGPIGWLVWPSEATGCDVTFGCFA APVLSSFLGWVSVVPLAWLTRGAMIRAGGDGVVVPVGCTSVAVAGRPTPGSGWLSWDS MILNMTSANLSRTFSDV H257_18599 PHGEGGASVGYIAAHWLALEAIGEDATGTCNAPVSMRGIAGVSG RVVAVGVATALFVDVAFVGAGAGAPVGGPYGVGSTGAMLESGFAKRSTTFRGVVSFKK DVMAVWWASVSRMETAVGENSPRIAGRCVFLVRATGPIGWLVWPSEATGCDVTFGCFA APVLSSFLGWVSVVPLAWLTRGAMIRAGGDGVVVPVGCTSVAVAGRPTPGSGWLSWDS MILNMTSANLSRTFSDV H257_18600 MTSGANQGQYRRYNIRDRKRLLTAFRDGTRKEKVTFCREHSITQ GTWRGWRQKESAILSTKRQGSRGSLGGQGDFFGTSAPAIACPNDVQAYPKIGKKFSTM SGLATLRTFGRSTLISRTRIFSVRMKQVSTSTCTSQDVR H257_18601 MKTIAILALASSAAAFNLEEAIVRAQAIHNDANVNRKCHTANDG YIKTLKAGEYAASKFYNCFRTSEQIFEYVDALTEQNPTLLKKEAISTTVQGKNIYAYK LTSGGSKPQSLYFQSLAHAREWIAGSSNLFALSSILDDIANKKPTAADKYNLYFVPIV NIDGYDISWKNGQRLQRKNANDVDLNRNWPTPFKNSEPVPPSAQTYPGTQPGSEPETR GIGHWLHTKNSELAGWVDVHSIGGLILYPYGDILEPIGNGEDAKFERLGRKVAAATGG KYIPQTAAAFYPAYGAFDDYVYRTYQKPVLTIEVAGTNFYANVSTIRTRGAEIFKALT QFAEEVENFDVNNTVC H257_18602 MKHAFEAATFFTLVSSFVTGQSMHSSDGRARTFEEETFIRNDAD TNRACHKQNDNYIPSLKAGEYSTSAFHNCFRTIDQIYEFTDTLVEQNPTLLSKFAISK TYNGATIYGFKLTKGHSQSLYFQAQLHAREWVAGSSILFSFASILDDITNNKPTAADE YDLYFVPIVNIDGLEISWSRNRYQRKSANEVDLNRNWPTPIKNPNPPSKNSETYPGTK PFSEPETAGINTWIKSKRDEIQGYLDIHTYGGLILYAYGDTKQPIGDGFDEKYKVLCR GLQSVMGAYKPEPAYELYLAYGAFPDYAFREFKKPALTIEIVGTDFSAPASTIKTRGL EVYKGINQFAKEVTVFNGGAITKPPRNTTKAPRTTTAPPDGCKKCQVCYYHKWDSCFT NFTKDDCDYYNAEYGT H257_18603 MPTATPPSSQPSPAASRGLRNLQHDERVAIYESVLEISSNARES LRHGSLTADVTSKIRGNSGRKRVRTHDEIKKAVQVVDMYAQQTMRALAAHSGIPKTTL VQHMKEENNYKQGPATSDPT H257_18604 MSFLQPTSNGKQVFVDMNSYIHVDEKWFYLTKVKRKFYAYADEV APTSRVKSKKFITKVMFLAAVARPRYDFHKTAIFDGNIGIWSFVVRQPAQRNSKNRAK GTMLTVPQSVTR H257_18605 MVQGVVHAKKDPHTILTLTALSNSAVIVKVQDVRPETACRSSMV QGVVHAKKDPHTILTLTALSNSA H257_18606 MHRWDPQTTLSAGLPTTHTKGATANWRWRVRMQRQYLYAQGLTN DVIAEAQALRAEDLNSRLPEGRGERLQPGDEVWLFIDQVDAGVKKKLAHLWHGPFRII KDISNYANQISRVSRRGGRTTGVAPITFDVEMILDDGDYDNAEDEPPDYPGLPDNPHN PVLPWNPGNVQEAPVLAVVAVSVANTSNPIAPKSITAIRDDRMIEYQTRPWQKQYEVQ FDGSDQWVWVSEHAMAATPLLYEFERGRKNFDYWLRWFWEESFGWSQNCQGLMMKKSL LPQS H257_18607 MAKWAKEIVALLRALKVCYYELAGKPLIVYTQYSAAKWIFTDKQ NRTEYLHWAVQLAPWSITFRACRAKCPPQLKPVAITVPTAPGRAGEHGTVAGPLHAKS REGCGGGLRHPTSNVWGQKDELYVATFDGAIKRIERVGSYGASIWKLPNWDLVWAAQG VVTDATVNTAEYQGLLEVLMTASRLKLTRLRIFGDSKIVVHQVNRWMQCKQPHLQELC REAQGWIKRLEDSELHHVLRVWNGSADHLASRALRLRLTEQVTNPAELLAVQLKNKLP ELVQQKCQGVSHDTITEIVFESLRHICSQIEWDTEGGECASTMTTSSATDMLACLEPA SSAQTIKGGGGSVFLAQDKTTTAEDNQGTRKPASSAQTIKGGGSSVFLAQDKATTAED NPGDPEHPASSGDPGDPDGQNFATKAKNSPYHPGNPGKDGQGNPADDPALIMQRDIFV AIIRDNMEALHRERLRVISEAQGEEI H257_18608 MNVPARILADTGANLSVIHRRMAERLNLKVDTSKRIGINGLGPN CVSTFGMVTIKLTIARGIVFIFSLAVCDIGPVEFEMILGMDFMSKAGFVIDTGNREIQ LPDGEYVPLLTEGIKYETSFLSYVKLRYTMELGAGEFMTMDLPKLNDAPVSGVEYWVD RSARWVPSLCADSHGVPCAYKVTNISNRLLTLSAGTIIGAVAQVGVRPLNSRMVRTTS NRYKEWQVDVWEGSVSCKIQRMLNQMRHFNQAYEPPSVVHPSYPRPTRILRRGNQEGS APLPSITEADSDAEDVNKRDMQATSALPPNPPNPADCLARTPGASRGGWAG H257_18609 MESNVGYQELLAGARASLEESNTASNTYVAPDKDPFCLDPIYLE LSSMQFAPNETHLPDETVLFFIATLASVLQVHWWSHCSSCFKQSRNTRGAHLCRYLFP RARVEVGHIGTSAIVLVRKLREEYINGYSDVILRAF H257_18610 MTIIIDGKAIGATILEELKAEVQKNVAEHADYVVPGLAVVLVGD RKDSATYVRMKKKACEQLGYRTVSINLPVDIAYDALIHEIDALNNDPTVHGILVQLPL PRHLDEESVLNHIVPGKDVDGLHPMNVAALSVSSKLPYVVACTPAGCIELLDRHGIEI EGKRAVVVGRSRIVGIPVAHLLLGRNATVTICHSKSVNLEGIVREADILVAACGRAEM VQGSWIKPGATVIDVGINSVDDATKKAGYRLVGDVHYASAKEVAGAITPVPGGVGPMT IAMLMKNTLVCAHRTQPHLFQK H257_18611 MAISTLHLQQYITSLVFVMLAALATVAKPAILRRVAAASNNSRD LKATSGDETMVIVVITVVIVLLVVIGIMICVYRRYYNPVGTKEDCEVPHESLSTSRAG GRPFLSTLLLQDLKTQRLANSVAGLTSTGTAGIPSATMTLQLTGSNSTAYPWPTLRSS ELRRTGLGSIDDDGSSITDSSSNILGSIRSEQTLSVADSNVLSTFRSHETTSVDDVVL VSGGRYSAKMTPGDPNEQQPQLRLYHAAVSEEDGGSFYDTPSPKSILREDVVIMRPSM TTTQQHSSSRGLNQAEYFQLARSLNGVRRGAK H257_18612 MYYSRHMTSFIAAVSIPPDTLDNLLAVFSQHYAVQSGFGRRGRP GWVQFKHCVLAMVLQFYTHANDAKTMYSAASKHSTYHREWFVTYEVFSSFARAADGHQ LQVVGRGTVRLEVAGTNGNTLATYLQDIYHIPDVHFNLFSVGRALHMDRHQIIDITPR EWTLATYDGKLTATYDEHTAL H257_18613 MSLPRPKPTKPHAQAYALSNHQYTQVTLADPPSSIATVRRTQAL IIGCGAAGSAAALRLAREGVHVIMLGAAINPADCNSYWAQGGIIYKSKDDSPELLSSD IHRAGAGVCHDPAVRKVATEGPACVEDLLLDVSKVPFERHADTGELKLTLEASHNRAR ILFKADHTGQAITTAMQAAVMNHPNIELCTGRVVYDLALNDENECVGALLVDRSTSAV ELIHADMTLLATGGLGDLYANTSNPEGARGEGVAVAARAGAKLKNMQYVQFHPTTLCL PGERRFLLTEALRGEGAKLRNGSGHLFARDYHVDGELAPRDVVARMILSEMDKEGESC MYLDISHMPSEWLQNRFPTIYKHCLARGIDMTKEAMPVVPAAHYHCGGVQVDLSGKTS VPRLYAAGEVSCTGMHGANRLASTSLLEALVWGCAVATDFMATTLVGSAVETNAVVRV PADSAGLGRRPWQPADEAAVATILTELQQAMWTFVGAKRTADGMLRGIKIVGALETKM DLLSESVTVTARSMGVRNAVKTAKYIAEAALASPISVGTHYIVSDDPVSDCASESDNE VEWKNLCA H257_18614 IGLHNCGGCKASTTAYGSNYAIKMTYIVNLLRVKNVLPKDSVVA TLRGTQTQALTVAPTFAPSPSKCTVVEENVAYFGYHLASTQRSEVSLCCGDCDITPGC KLFVWTTDNGGTCWLKSDVGTKVASVGVKSAVLANPMATASPPTPPRCSPIEVNKDFY GEDIAAIPGPLESCCVACESMDKCNAFSWFNDICYLKGKRTSPSLTSGVYSSRVYKCS AVESGVDYFGADIGSAFADAVEDCCAVCRSTADCKAFSFAFGVCYLKSSKGIAKPNSV VASAVL H257_18615 RNIQRRDPAAIQSAGEKRFYANEDDLFLGLTRTDIDEIEAELRQ TRLGLAFFMQPPRDLPDETSPHPTIMEVRQEILTACQQRWGITFPAGLRLTTGTSDRG LVGTPHPVDINVWGAVKRGYLALQHIDVAMSFDFAGHPKDNYSANQLEYQCSFTVTPA LLLRYIRGLGARDAVVMSHARNGPNHSRWPAIGCINSPKHARTVLYVRA H257_18616 MHADLGRTLSSQDRHTRLMPLHEFLWHRLSGQLFHPDSREGPTY LNTMLRLAPISTANTATEIQTLLRLNAALEEDVHVDIEGTVAWLTFTQTSAAAHVYHM YGPRGQPLWNGNQRFFVYHAVGTMRAPLDLSRIACHDCGQLGHMAADCPISETADDRW TPGGRNPDAEGSRRSNTTATTRGKSRSRSTHSVGLAARWQPEQALVRTVPAAAAAMAA TQALVARTVQQEITSQLAHQAAAAITVLQHRTATHEDRIDITEATVAEQTELIHDADA RTTQLETQVAEQQRTITMDMTALRQEQSNTLTMLRAIANSMADQQAAVERMAAASNNA SSAHAPPAASETSDF H257_18617 MLYPNLAAWTHGRAVTQADQHENGGYSSDDSRNSECSDASLAGR PRTTRGKRQRRTWTPRRTTFIPARTDTDVAVQAEIRRREDFASGTYIGDPLHGRRQDN NIRIASTNINKQTWPKMHEEIANWFLANAIDVLFMADSDWGARAGSQVWTAQQQGGPA PGLIIFGHGRVAMVFLRERWTARIDRRAIQYSPSGRSLMVPVRLGQVGLIWFLGTYGH DNPHTCMDAVRLEWEWLSDCNDRANAARAIVIAGGDWNTYGTSPHDRRNPETRPANAY ATGQEFEQWLHANQWVSTFRLRWPDLDRHTYQRANTATTLDDIFINTRAAWKVTGAGI WLDSIHSSDHVGTPVVELRLDTSERSRHRLQDVQAIKGVNTRRITPTELARFGPYITD TIRTGAVPKLIPPCSSEQPDEVTAWLELALSNVTHILYRGAALLWGETSQTRTTIHRD ICVKRTIRCNGHWRHIMRQHAGAPLEANAIILAVRGIEWPKWVIDPLAAPEDSPHRTG ATQIREWKDRPPEQASDINAWQNWLLEGFAIWKRTCRTRRNWRDTQRRVRRTANHQAA FSTGRMRSFIRQVTGPALPPVHIKSVMIRDANDQTRYSERRRDVEAGLRATLDNWIPA NERTTRPTHLDTWDVRDGPSAPRFIREWLVDDMAQPPPIHHAFLHNGKCTWDAYRYDA DCQAQCDRTLRRGVSPGFGGVSQELWIAAPPAIRERESLIINTILRTGLVPPSLKRKQ MIFLPKAATAHGVVGLDPGSPPWRPITVQSAFASRVFTVIRNYIGPQLPNEELQHGFQ RHRTVHDAAILTTLLLERAQRKGHELFLVSKDCLKCYDRVPGWVMEYVYLQNLAFPQR HDA H257_18618 MHIGYYMDVLQRRQQQGPDGVNILHHQSPDGAPVRRVINSTLFV DDALDNATSGLHYPTVDLNDGLGLPQPVTMEPPIEGFKHLGIQQGFGGTWDITISATW TKLKQDVHRAAACQLTLRQFQYLVNTTLSPAIHVASAFDTLIRRAARRNFRLPYCTPR AFYYDTVHGLGLRACETHSEVARIHVVLHICNTPGSLVYDVMMEALEDWAKRHGLTTH PLAQPAKMKPADTSFLGTTLGLLEKHAATCDVVARCTSPTWQAPKRYNDRPILPLLDG QQVGALMVINRRFPWQLRHVGDVCNIDGTYVLDDDTLAVRTGWPGTALLTLRRALQAI PILPGPRRLRLPVGRSPRPATTMGNYVDTPLYGLVLRAVLLTRPDELSYVIGQRTSLA TRTDASGIQLTITN H257_18619 MVGTAATPLARQDALGTRLQLHVYSDRLLNAADAYDHGARLDGL TLRWPPTGQPTLDSARATGCIMHTAPAECETAPPPWQTLHHQSFPTQQGHSTRPINLP AGDGSVHHGGTPTAQGSWAVQHGATIVYGRTYCNPEDLSSTRCESHAIIAGNVLGHDL GTQICDNTSAGAIHRAARRRMLKPWPIRYSHPFCAELRGLMSVMRPCGSFHTVWVRSH QKHEHTTDRQLQAQREALATVDTLATEAHHIAMPNPQTLPIILDTGQLIGHGKHTPLA RQPARHEQVGDQQAAYSTDRRTILPTGLETPEKKNDVWWKRGLTRFYWRTIAMTLHTN AAKHRLDCRWGPHCRLCYENPRDTVGHRFGISAPTCSESGHLDSRLGHRLHKTCTMSW LRDQHIYPPRMAITFDLPLAEAWHENERQRPTHSTTYQPMIILTGSWHMHGLHLSRLT QLPLASTSAGELISQIRWENMQLRAGQYGYITPRWLGRTALSAQPLLAQREIWLAQQM GTEQPATYNGPWDSCSTRKDDAPALGHWFWWIDVILQGRTDQWWRTLANEITTTAAMA GPYEYWCIYYKNSTGHRTLDASGATWNLHITAGQLRMRHHSRITFLSPPRWAGLTNMN KTPTHWAHALQHWGQMTWLMNPPRNTLPSPPPAYTQGIRWDADNDGDPEDPTCHEVHS WLRASFPHIYRAPAAWQRLPTMTNNTLQRAIALSLTPRLGKDFHRRWFTTHWTTLHAY WTHTYHEQYLATALDGDTALAQAVNTGIIQRHRAHDDTPAAMDTNLRQAKARRTRDID TWLGQRAQGLIDNTAASHDQQIATRTRRRRPPRRPPETSSFDRVSRAGQPRHFDYNPL VAAFKLGADPKHVVSLWIRASAYVPGATREVVDKLAAFNMAMFIDLNDKCYSTPKPW H257_18620 MALTATAATAKISVQVHRNLEIAKQSNIVVKFYCDEALTTHHRR LKGGAKASRTETIESLVNSLKEHTTTSQASVKSLLANQVESTAVAVATTWIQCSMYIN NAPTDLVHKFAALPEVKSIYEAVMMELSQTKSDDKPASAANDIKGEGIVVANIDTGVH HIHESLESNWRREYGWFNPYNKTNQLPSDTDGHSTHAKWIACKGCEDRMVVQCDHFLL CPHDKDGNNCDSSKAPHVINDSFGEHRTDNVKRSGNHSRVCEWQQRLKGCAYSSYPAA CPQVITVGSTNSGDFLAFDSSLGPSVRKRGKPDISAPGVSIHSAVHFSDNGFIRESGT SMAAPHVSGAIALYLSANNGASYDQAYAALTENVDTDTLYPPNKTCGGITNTKYPNKL FGFGRLNI H257_18621 MVATTWKHFAKKVPGCKLFVWYTLNGGLCRLKDSQGPKVAVDGA KADALSVPALARPPLF H257_18622 MVQYQFIALAATAVTAAMDKISVQVHRNLEIAKQSNIVVKFYCD EALTTHRRRLKGGASRTETIESLVDTLKEHTTKSQASVKSLLSNQVESKAVEVATTWI QCSMYINNAPTDLVHKFAALPEVKSIYEPVTMTLSETQSNDKPASAVNDGIAWGVKKI QAPALWANGIEGEGIVVANIDSGVRYTHESLESNWRREYGWFDPYNKTNHLPDDKWGH GTSVMGVMVGTKGIGVAPKAKWIACKWCNNGCNELMMVECAQFLLCPHNNDGNKCDPS KAPHVINGSFGRHTRNFSLEDIIAKWRVAGIIPVFAIGNHGLEGCGHSGYPGMSPQVI AVGNTDDNDFLALDSSLGPSVLNKTIIKPDISAPGENIRSAGHLSDDSFISESGTSVA APHVSGAIALYLSANNGASYDQVYRALTEHADTDTLTPPNKTCGGIPNTQYPNNLFGH GRLNIFNAVAASIRGLTLPPPSESTQVLNPTDDLSTCGTLEDNTHYIGGDLTSVNLTT VESCCAECKKTPGCKVFVWYNLNGGLCRLKDTQGRKVAVDGAKAGVLPAPASGQRP H257_18623 MPAMVKIIVSATAALSAGVASAFGNISKFPTELTSLMDQTVDPC TDFFSYSCGTWYTNTPLHANQSTTDATYTVIEAAAYKLVEKLVDAKLPKLTEFYDACM DTATIDTLGFAPIEDYLKAIRSANTTVEAIFRGVAISRAIGVQLFVKLSVLADTVDVT RNVLYADLSGFPFGRHKPLWSTVEQPYREYIATIFTLTSHAKAEVEAATEVVITFVRH VYLSYPQHQAAVTPRRLPLSAAHALYPLGIGLRLEGLGFDILE H257_18625 MTTETNGGGLPPEQVTPRPLDETPTAVPPERPFTYPKHSRQGSI EAGRKRTALPKREHLVTVTRFSSKPPPKNISGGAHGVATPPPDDPSEHMDVTSPDDPK TETQPPPPNTTDPTRPSPATRYSAKGPSFADTVKSSGRRNPATKHRDTPTMEADRAAH AECKAPCPAWTESKRPPTVRELDILNAILAGRLPLDNSPKFLKQILSPLEIALFSDQM RQQFGFLQVPIKALARLPAEMTSVSLGRLFFPMHASANHDAAKDCNAIRRDMHSCYIH PHGRKLIIQFNSKHKASLWRDRHVSFLGHATWLRHYRRPEDLPSSLDTEETQKCTAYS FRLLNIPAHIKATQIMHLLQHLEVEVTSTEVAQHMGSGELDANSYLVVTSTDTVPHTL EGKSRIVIGPTTIQLYHFQDYAPDGTAVVLGAAVVVGAAVVQDAALVEGAGGADDKVS GLPSTTTVLDSVTHFNSLVPSSTPVTFLASAEPATPNWYHPHAARSPTHTTTTTDPPT REKAADHLAPPTNGATAAAVLWAHEPPAADSYDPTTARFPQLTPNPNLPTTQEPQVAA VSTIHLGEAAIEVDIDTHGAVPDTAPQAPTTGLNEMETTPTWDIVDELSSPRAEQPTP RDHSPQAPIDSPITTTLDVDMDGPEPEPGPQAAPTPPTSRILPRLQTQDTVFTNLQPS DVDMDGPLDGDTPQGVIDPDHNTPTTDDLLATLQTPRASPSMMALGITRTVATARPTI DISETPAPQTARIDYARSGTLQTLIGGRSWQEGTVAALGQCCVLALHCAKHGHGWSAR SHHDELTISAIHDLKTAMRDMVQAHEAFTGHYLSRWLQQLADTSTPSQESVQDYIRLF FDRLIAAHLAQGIPKRDWCGYPEIAAAAAVWGHPVYVLQEPSHENTWWIWRVGYTHGA TDIYKEPIPSTEWEATMAAFAPTTVLLAHKGGSHFDPIHMTPPRSAPAPVMKPLLQPS RKLPVNYAKAATLWIANNGEDLPGGKFPVPITNVADVIPLFTDFPRAARGMLWALRNP ATLVSKMPSQVVLDWGDHMLLDIVYTTMDAIRALHNHPALHIRLDMWKDAILEAPTLP IAMAEALDEARWSSLTQGAEIPLDIFDHTIFTTLSPKQLQWAAIVAALLDKEGYEIDE LPPLLNVGDLLLTVRLGDMVQILNLLPQGKWTRLRPWMEVHAFAWGYSPSGYQE H257_18626 MLSVGLGLQAPAPLQDPHSRVWFPTPPPRVNLRRPPPFVKRLCT IIGGHLQPLPNIADKYAQRRAALCAALEIPYNPDA H257_18627 MLQAPRWTTHGTAYDNVCHQGWLQLRSLRLRLLWTDRCNAIHAN PLDPPTLPALLPSLAMVHFQALATYHTRRCHQLRAEAFTALVQQCRRATAGHLTPPPT SPRVGLLLFDGASRSDTACGGSGAIAMPIHEPLLSEYDAHYIPTTTTNNIAEYDGLIR ALTLAVSMRLTHVEVCGDSQLVLNQMRGLHRVRHPGLRASYLQARSLASRLFCRFTHR PRDFNQAADLLSKQAPDDRRDYNTTTDRDPLPPSDAAIYYDYLDFDLLHNPG H257_18628 MVKIIVAVLSVGVASAFGTISEFPIELTSLMDQTVDPCTDFFSY SCGTWYTNTPLHANQSTTDATYAVIEAAAYKLVEKLVDAKLPKLTEFYDA H257_18629 MFTTLKALTILAVVTMAAASGDVIGDTEAICGTDQSLASVALKD SETTKYQLAQAVARLKISGGWCTAWLWGSEGHLVTNNHCIGSAADAATAIAEFGAECA TATDPNNGIKGACVGTYVSNSSTLIITDPTLDFTLVKLNVNHGINITQFGYLQARDSA VHMNDPIYITGHPGAKPKRITYLSDDGKSPRITNTSTSSLCGEQDTLAYNVDTEGGSS GSPILGALDNKVVALHNCGGCTATGGANTGNKIELIIKLLKSKNLLPKDAVAGDRC H257_18630 MYSVLKTLTIIAVAAIASADIVPEGTESICGTDQAKPAVALKDV EAKKYQLGQAVARLRMTGGWCTAWLWGSEGHLVTNNHCVGTQASASVVIAEFGAECAT ATDPNNNVKGACVGTYVSNSSTLIITEPSLDFTLLKLNVNPGINITKFGYLQARESAV PLDDPIYIVGHPGARPKHITYVSDDGKAPRITNTSTASLCGEQDTLAYNVDTIGGNSG SPIVGALDNKVVALHNCGGCTATGGANTGNKIESIIKLLKSKNLLPKDAVAGDRC H257_18631 MPPHAPNHGSTHVVKASQKVKQFFGISRNKSDLVDKHDQTTDLL CFQGDRQEFGYCIESGLTCLPSVPLSDHQ H257_18632 MHQSGARSTSPIKPVWDWQKQHSHQPQQTMGKKSKAFINKKEAQ HFHVVHRSQRDPLINDPSASKFVLLSSKPAVDDYDSDDSDLPDLVPQSATAPPAAKAV RFGNVVAHDLVNELGMVNDGYDYSKHMKEMGQGHFYSAGGTYDEGAGLLNKRVVLPDD VLASANEHDRMLDAITLTEDVMDEDLREALVNDEAFEELTDDFMLQAAEDLPVEQGDG AAAGGADGFDYDAHIAKLMAAAEGIPKYRGHLSDDEDESSDDEELEQEDKDEAQRALD DAFDKLMADEYDDEQVGELEEDDTRGKLVLEGALLEQIVDDYVNIRQELMADEGRLGN PLRTGNRLKDILAECAETAEDVAVMKPTDPVPAIEPADLTDLSANPYLVQRVEDQWDC ETIVSTYSNLDNHPTMIREPSKTSKKKTKAQKIALSSTIVLSSKTGMPLNIVPLTATA PIQEEDVSDDGSDDNRRKALQLSRGKGETKEDKKLRKTLAKTHKKERREEKKEVKLLY KDEKSRQTQTAGPNLSVFRY H257_18632 MHQSGARSTSPIKPVWDWQKQHSHQPQQTMGKKSKAFINKKEAQ HFHVVHRSQRDPLINDPSASKFVLLSSKPAVDDYDSDDSDLPDLVPQSATAPPAAKAV RFGNVVAHDLVNELGMVNDGYDYSKHMKEMGQGHFYSAGGTYDEGAGLLNKRVVLPDD VLASANEHDRMLDAITLTEDVMDEDLREALVNDEAFEELTDDFMLQAAEDLPVEQGDG AAAGGADGFDYDAHIAKLMAAAEGIPKYRGHLSDDEDESSDDEELEQEDKDEAQRALD DAFDKLMADEYDDEQVGELEEDDTRGKLVLEGALLEQIVDDYVNIRQELMADEGRLGN PLRTGNRLKDILAECAETAEDVAVMKPTDPVPAIEPADLTDLSANPYLVQRVEDQWDC ETIVSTYSNLDNHPTMIREPSKTSKKKTKAQKIALSSTIVLSSKTGMPLNIVPLTATA PIQEEGIEGTSARMLGLARSISSFAEVSDSPEHVLNMPTALRNDISLTI H257_18632 MVFIGQGHFYSAGGTYDEGAGLLNKRVVLPDDVLASANEHDRML DAITLTEDVMDEDLREALVNDEAFEELTDDFMLQAAEDLPVEQGDGAAAGGADGFDYD AHIAKLMAAAEGIPKYRGHLSDDEDESSDDEELEQEDKDEAQRALDDAFDKLMADEYD DEQVGELEEDDTRGKLVLEGALLEQIVDDYVNIRQELMADEGRLGNPLRTGNRLKDIL AECAETAEDVAVMKPTDPVPAIEPADLTDLSANPYLVQRVEDQWDCETIVSTYSNLDN HPTMIREPSKTSKKKTKAQKIALSSTIVLSSKTGMPLNIVPLTATAPIQEEDVSDDGS DDNRRKALQLSRGKGETKEDKKLRKTLAKTHKKERREEKKEVKLLYKDEKSRQTQTAG PNLSVFRY H257_18634 MSAAPCLYGSMNASRIVVAGPLCKGNVSDVCVVDSKCALVTKNI SSVGIEGTITMTYVNGYKTGWDSVDAIGDLSNLSTIGLSVVNFTKLNIDAITFSRTLQ YLLFDNMSIPVIPETLNYTDRLGHLLFIETNTSNIPKTLPVNLFDWTFLYQPLNTDTL PNIPYSVQYLDLHGNEITNLTYHKLDWTNKTHVFLHKNKLQEISNVKFKKGMLKSFVL SNANISHFELDLNSFGALDSLGPFQILNQSFFDAQEYGFRMNISNITQDAQKCDAVKG VVTELWSLHAAINKKTYSVCVVTPPPAATTLLPTPAPTVASSSNTGLIVGVVAIGVVI LVAALCFFIRRRNRKSDAEFEYQREGGKSAGGTTSTRFITDNTATGIDMSELTLYRLN QQDVVPQKKIASGAYAHVLYGTYKGTPVAIKKLLSSRVGVSEVQGLIDEIKLLASFTS PYVVKLVGCCWDQPSDLECVLEYMNAGDLRDNLIARTAVDFTWNEKIHVIAAIVDALA YLHSMPVIHRDLKSRNVLLDSVKSAKLTDFGVSKEDTQETMTVGVGTYRWMAPEILQV NHYSVAADMFSFGMILSELDTHNIPYADVTNPKNGKPLVDTAIMSMVIAGTIKPTFSH TMPEWVRDMAQQCIATDPEDRPTAMMLSNVVRKQIKREAHQLGV H257_18635 MLSESQNQALKAQLVEHEALVREMYHWTATHHIKSTSSNFTFDD NGYCVTARHMTRLSESVDSFLGHYQSTLLPFQTCILDYDVASTT H257_18636 MLQAPRWTTHGTAYDNVCHQGWLLLRSLGLRLLWTDRCNAIHAN PLDPPTLPASLPSLAMVHFQALATYHTRRCHQLRAEAFTALVQQCRRATAGHLTPPPT PPRVGLLLFDGASRSDTACGGSGAIAMPIHEPLLSEYDAHYIPTTTTNNIAEYDGLIR ALTLAVSMRLTHVEVCGDSQLVLNQMRGLHRVRHPGLRASYLQARSLASRLFCRFTHR PRDFNQAADFLSKQAPDDRRDYNTTTDSDPLPPSEAATYYDYLDFDLLHNPA H257_18637 MTRDNKKWHTKHQVDDFDAVSHYPSGQSILPGYVKGTAKLLKDI IPSDADYYIADVRIDSIDIKRHFPLLSIQTEHSRNFTNDIVGQTTRIGKQALEDIVQF QGVTYTIIQGVYWNEGFNTQIITTVKSLFEERAKLKKEGNPLQNGIKLLLNSAYGKLI QKPKEKLLVKDMSALLKKLYYDPKTGFISATKLYKKAKAIDESITLKQVQAWYKSQPS IQQHAAQNKQYPQFHITSHNPHEWQMDLMFINSDRSLIEKFEKIRASDKPVKPKEGSR VPLATSSKPAPAPKSGPKTISGKKAKQHIGMLDAGDEVIQNLGSLFDDAVDDPMTGKG LSSESSGDRRSPFRLNKLMGRGFKGGTARETMNKDHLQKQIGTKFVVLKALREGFLVL RYPSGTSVARKLPISQAVADVVDDVITDKKFDINRYNQLSNNDKKSIYDLFRITRYDQ TLRTPLLNPYELDEAEKYMLELAKLKGQLELVVKVGKISDAKLKKALKGGSLTLSAAE LKPNDYSLLLHPENAKKVIAAQKANKGTRIQIARGEVEHNNSELQGGSIFSSIWNFLN GNATPLLDIAANVATPFVGPAVATGARELARSITGKGLSSSSSGGRGPHFPKGSPEMK AKMAALRAKRKTKTLQGASFRLA H257_18638 MSARSFRERHRNHMLDFLMKSRQLHVDWSIQLSIFELTLARSLL LLLWHEVARSLADLRMLSESQNQALKAQLVEHEALVREMYHWTATYHIKPSLDHNRTS WRHASLLNDPATRKLGKEWITEHMFHNTDAIFHQYGFPPRESFEHLLHDFNFTFDDNG YC H257_18639 MGRGQRQHTTSQPTTSNSTETADDVIALRIVEYTRSQYESILAR LVRWLHNEHPQYVTAQRIVVPVTPALCKLMFSYASVKRSLNGYELVPRKYNSVSTINR VKSAVEFLHKEAKVELSTELNAMMK H257_18640 LNLLNQTIMRGFTAVAACIFAGALLIPQAKANCPIANPKKSNCW CDAAMKKPKTVGNCDSARNQHFHQSAHNAKRKGAMHYLCCDKARAKSYCMTIAQGQAC GWEQGIAFY H257_18641 MEFDAPTFADASLTLDAATKAVVATEALVPGQVIFAEVATVSSA GGIEPEEGFHDEHCDDDECAGCAAVNDDDEPVDELDEADLTTVSPYVVEHFDELMDTC EPHEALSLVDVRKNLFKLLHLHTSNAAALTPFLSMDVLADDVVASLDAAKSLREAHAS VIPSSLTDDQVGHLIGVLNKYAIPLDDISGSGLFLHVSKLKHSCEPNASFTDAGEAIW ITAIRPIAVGEPITVDFFNTHYMCAAERVEVLTAEGQACTCGVCVGTAPDKTRAFKCQ VDGGCDGLVHPTQAVFACTKCGAIWDADTVAAAEMEETTFTTDLEADSFAQLDLIIHD SLLHPFHYIFYSAMEVLTDDSVTDIHMSEDEALSVLYRLVDALEYVVPYPHSEKVSLY NSVAQAHISNGEIAKASAAYTRALNVCAIVFGPKCKETKMFQRLSDNTPTTVDEMAAA YGYEVVDEEEDDE H257_18642 MTLPTDVLAVPEAPITYDLSKPLTATESFRPGQVIFYETAFITS TGSSVLEGHHDEECEDEECDGCVEVANLDEDEVPQVNDEVVDDFDVLMSYCETTEALA IVDVRKHLFKLFRLYELDSTSLHELLHLPVAADQAPAFLDAAIGLRAAHPNVVPLGLS ENDVAHLIGVLNKYCIPLDEIDSTGLFVYVSRLQHSCVPNACFTDAGDSLWVTAIAPI AAGDVVTVDFFNLHYQPHADRHAVLSEADYECHCALCLGHAPDKTRAFNCVACADGIV HPTLDVYACSLCDAVWDNDLVERATAEEAILMDELEVFTALALREIMAASLLHPFHHI FYATCSNLMNDSIDDTLTPEHALLVYKELLDSLNYVVPYPHASKIQLMNLMAQTSVGV GRIETARAHYEAAHAMSCLVFGSTCGETRLFEQLAEHTPTSVDEMAAIYGFEEEEEEE DDEEEEEDEGEEEGGEEEDPADPSSHHTIENV H257_18643 MMSHVASFREAPVSQDVTQPIRATMALAAGQPIFQEVALVSSTF GDSMDANCCDHHHDVELDEPQNEPLNDDEGERGHRHHPRPAIVLDDDDVDAMSPAVLD AFDALMSHCNNVPVLSMVDVRKNLFKLLRLHELDPSHESLLLLHTLPIDTDDLAAYAT AATSLRAAFAAVVPSTLSDDDVAHFIGVLNHKYCHALNEIEGSGVFVFSSVLTHSCVP NCNLTVTGTTMWVTAITAIDAGQILTVDAADLFYRPAHERRQFLAVDKISCQCDLCGL RAPDLARAFKCVNCPEGIVHPTGNNFTCVNCLAEWSTSQIQAAEAQESSVVEELDVVT LADLDSFIATSLLHPFHYIFFWALDDLHAMCVDSNVPDTDLAKIYRRLLECLNYTLPY PHDEKVQHYDHLAQTLVAIGDIPGAAAAYEAAYTVSCLCSGRDYDESQLYHRLMSDTP TTKEDLLRVYKHGGELE H257_18644 MHCTHKHGLAITFKVKRTAAEKSEQSRQRKAKWSEKQAAIRALV SLSTVEEKRDAFSRRDAKMRGVHEAKDPIVYTKMSSIQNAGLGVFAAVDLVPGDVVTA YDGQLVPDAPTNHLYALQITGPSKPMWIDGLRELERGKGIGSFVNHASREHHYYMNCD YKEFAESAYIVIKKKIKANSELFTVYSCGYRF H257_18645 MSSVIASMAATSSTLAAIPSSTAAFVSRVMTVLARDKFHAAFLP TSDAFRCRYGPISACASSPRYHNCHMVRQSVLGNVHRSLWTRFRKTGDGSFWRRLYIE CNE H257_18646 MASQQEPLLGILGGVGPAAGLVLHQAILRHTQNHGTDQGHLDVV HVSRSADIAARPTYLSQHASSAITIENPALGMARSLKMLVHAAITRQAKLIVGVPCNT FHAPPIWDTFVAAAASEESVTLLHMLQETVRMVAEISPSARAIGVMSTTGSRHSRIYH DLLEPRGYTVVEVPMSQQEALNDTIFNTEWGIKATSPSIHPRAIANFHSFASQLRALG AEVAILGCTEIPMALPGSEIDGMVLVDPMVALARAMIREADASKVVPLDKRPDLVHCD VGKPKRTLSVHSDDGHTELMDDDYDSDNDREAPVDVDAFRFCFY H257_18648 MLAYLCEKANGDLRTIVKGRVQDSDDGNTENQGIEEAETADRAQ PSIPPAPTAASKKSTRKRPRRNWFN H257_18649 MLHAPKLKVRMYTPPFWVFPTSKLEYQEIEATKAANGQLGPSGG VYGAMARTAISEDISTILDARDKARLKRSNDAAARIAECASWIIMHARDIEWNPKQLN RMTKLMAPVETYRKDIIRSVNKSAS H257_18650 MKAFEDLTPSSIKGCIHKADRQLNKLAAYIKEQPEGDASDSDSD RSDGTKGGSSDGSDSSSSSNDSSSSETVM H257_18651 MIIVNATLRQDDATASTRTAARLLRRKPQLVQQVWKEFVEKGST TTKPQAPCDMSLRTRLPVTSDLAKIIQDFVRQRRQGRYRTVAKDVAHFLRSQNLLEFE IESQSSTQAAYRSTQRVLAKLGYKRGKKKRGLGLRMCEANAS H257_18652 MARPRTLTSEERLDILRSLAFYKGEGDKQGYRRGKKAGSSSYHL YKSNVLARDSYVTHYKRHNDRLFDPSDDLDIQRKENRKGWRYCFIAGILDSPDMKCQV VALDIFRGGKSTAKQPKDYHAMFNHDYFVKWFAKLLAELGDMGVANAYILMDNAKYHK GRPVGKPTS H257_18653 MVLNVAAMSTRFQDQIVSDEVFLGQCLDEFSDTMDRQDEVSDST CRVLDKILGDSGEEGVRVMTNFTRREFDILWRYVEVTLKARWQDGRGSKSTTSPKGAL FMTLTVLKHYHTWEKYTMDFGYSPPTFQKLILQVIESVGPMPSMSDLRAQDTVFEKYP THCIIVCVGRQVLAVGAPCRSPWGSQTVLKCQAQVVWLMIEASVPPQGHLVDMSAAHL GAVADLTILRTRMDQHHEALKKTEQELNIIDHGEQVDAHRDKWAFLVDKGYYGAMAWY RSVIARYGIWLYRQVERRAENQRSSRYCRIERLALKGGDGF H257_18654 MVKKPGALNTRFEYLLAKHETGESASLRKSGTTEEYSEHDQLLT DIKLRMDDFAKNEAARKDAAKRKLEGVENSGLVMRQLAMAELETSAKKIEDAEITPIK QSKKSKKPAPTLDIASLMGIIREGIENKERREAQRLQYDREQANRHDEQLAAQQRNSA GK H257_18655 MHNDTAVHKFRFTIDQLRVLAVALRLPAFISTPSSDQVVSLEAL AILCRRLTEPCRLFTIADEFGRSVEACSRIVRATATSLYKTWSDVILFHEALLIDRVE IYSGAIESKSGLRGLHTCVAFIDGAKQYISRPSARAEGQEQVNLQRSVYNGHPRRHCL NWQGITAPDGIIISMYGPVEGRRHDSTMLSVSRILDRMKEDGVLSRY H257_18656 MGDPRANDIKGEGIVVANIDTGVRHTHESLESNWRQEYGWFDPY NKTNQLPTDPVGHGTHVMGTMVGTQGIGVAPKAKWIACKGCKGTCNQRMVVECAQFLL CSHDKDVNNCDSSKAPHVINFGKHRREFWLDDMITMWREAGINPVFANGNNGREG H257_18657 MGQGDVYIHNAPALPKSPMFKGSTKEERRAFMASYNQYISQTNA LTANGVRPFLMLLSACIEPATKQRVAEWDVGKFPEEVTDADWAAWFTLGFQVDALTLN SLKKRVKASVVFDMSIPDADSRIGQMLDGVAAALHRDHQEWVIREESASIVKIITEAV KPVSLHRAVTEQMALTRNKPLKKKDVYRFVRWLREFAIGHERFVGYEEEAKPAGKPEP PRMPQGSGQTFRPASDLGQLQCRRYRE H257_18658 MPTKIAASRPRFDHDHGELFDGKVGMWPFVQSVLAVRNSRNRPA GTMVTFLVNSVMRLILEHDGGNHYVLPHLTVKEAALRHTGLLMQNVSCPVSLLLYART H257_18659 MERVRSIWKETKSVTVVETVDVAEVSLDNAVICAARVVCVAGQA VSLDASFDSSADQSVVHPATLAKLKKQGRNVLVTKLKTPIKVMVFVGPAHTVTEEATM DLRFEKDAGPAVLVRLSMVGFAEAEPKLTVDYLVAAVQPLAVRARVKELMKLNENRSL KKDARDFKRWPADCVATASSMAAAVPATAKPDKLPAATKTPKTGKAPKVVAVVKEDKI P H257_18660 MLVLNQLPSPSLGGMAPMNAMSGRPAMSPADTMARCRFSSSRPR WPRWKKVNISVENARKRDRSRQYHDKKKGVQMAQFVVGDYVLYQDVWAHLRQKLRTKW CDPAVVTEVTSNWVYDVENLLTHDVRPVHTSRLKFYADCDLDVTSELLAHVAQNSGGF EVEAMVDARYVPTTNVYELLIKWRGLEDAENSWKPANNIFADLPVMFKAFCKAATSAV IKKIAVAYEVK H257_18661 MLLEVDDFIRCCQVFLVQGSAGSVEQKAAHDHLLLFQQVPTAWR VALQVLCESAGGNTTPEAALFISAQLVRHSVPRLEEHDQIQVRDHLLRYLQHSTAPGV RRSSNITPVDRLVCLGLASSVVHIKSGWSAWKQLLQDALLGNSAASSVGLQLLLEVLA GIPGELYSACSTAALHGLDVAPHLHSMVQQFQSQKLHVIQLVLDTLRSMPDAATAALV VLQNWGHDTMPLLCVEFGLHCLDLNDGGLMGPLMDFVVSVEKPDLSQLAAEIICDAFA ASTLSCTTASKMDGARAAAVLVVRVAKQILSTHATLAVLVGSNDPDDEDARMVVARSL AKIASTVACGGSHCLFAGGWCLDVRRAEGCSDSFGLEYLQYLVVCSSFPVPSVVEPTL EFWYAVLDMHRRWKDAVDASDWDAFVTSALPTIQQVVGLLLQRCQFPVHFIELNQIQS DHPDVDDVRDLRRDIADALLSLFSNWPSSSTHHHPSQQGSFVCLTHVVQMLQAATATH ELDALLFVLDYMVELFDLDDLDPADPMYSAVLQVWQTAVHEFGRFPDHALLMHGTARL ISSVVVPMQFAAPSYVTMATVLTKGLHYPVVCHSSAKALLKMSSTLVKRKVGLAVRGD CIQVLLATINQDAVHQTLQAQQVAYGDVFEALMRLGHNFPDADYVLLVNCAFPRLVAS LQSMGPTSNPLEVAHVLYVLARGLRGIQNLPIRDAFLAQEWPLVATIVALHGGHPKVR EHAVDLFVAVVPSTANPDTLVAMARLCLHWHDQHAAPHALSCLGVLAASHPALHPQVL DFLVVAFRSFCVKFNYVPNGSSSSRVALLQRFQHPPEDLALEATQFFLLLREVLRSAP ALLLGHTTSSQLLVEVLQFCCDVVAVDHKLPDVTDAVCAFFSDVLALEYDSGGGGLLQ RMAVAWVQSLLVFVAMSTISTKTRCVSNVFHQALHAGPVDSTLRDAFGAALHQVLVHG QLFEQRMTAADAQVLAQSMLQLKDRRKFQVFLNTTSMYLQGYGPPPWTATSPVLSPRG ATIPSFLDVLH H257_18662 MDDVVTMLQADDQLRVFLTPDGVDIAAIASGIISQDATNSTTSS ASEDFSHKLTVAMKLIDDSIEGYISVSHKDLLGQVGSVDGLKAKVTRLHTDVHDVQAA IQRMDMDIHKAHRGLQRTVRQLRNVDLCSAVLQRVLRFQSLIDTLQQLHLPPHPTSTC SSTDVAGTYSAASLALREAELLVADEHAAFQSLAVISPALPLLRTWRSDLTKHMKALL RLGMVAVDQVAIGSALQILYQLGPSTLSEHVQAAVNAALEDVEAKCSQSLQEGSFDES KLKADVWAALQTVLSTLSSHALQVWNLQRVLLKSSTAPLTTSSDAKSKPSEPPMTYLS LVLSPDEPTLFATFWDISCALVRDLLTQTLEYKASVVAAIVGYYPKLRVEAQEVVATL HTTSARLSLDTLVVCGSEAERDQLVDTALAPLLDAYQTRSFTRLASPIHLMFPQSSNY HASPPSRSDMTTLLKIMAHELDVAGSDAAFRAAILVGVRRSVELFCKSVRGMAHPSLS LPPTAQRTPAQAHNVGLVGICVQLQDALQEWPELETARDAVHALSVRLLGQYLTVLAV KLESILAAMHLETYADHPSSSAMVGSRFMVEFASVFHVMETEHLARLGLDPMTSVCRR TCVGELSTRLLSHATRQLALVRPLTEAGKCRLASDMAQLEMVLGNSLELSGLALEEFK AFRHLLFVDTANVTRDGRLDKVRPSNVCHHLLSRGPAGLQAPWQAKGWTIPTYVAWME TQAGLAQYSPVVMPADMPLGVACWKDRALAMAAEDVIWKEIHACLDAYAQRSSARGNR DVDALYDVLMDAGPSLLAGYEVATKAYLYPSKSRE H257_18663 MDKVTVLQQCVDQMTLDMFNALRLLPPLDTEDDSNAEVVAEQLE RIKGLAKDLLLSAKRTNEVIDTLPGLDKTEADQLEELRLLQIASDEEARNLLEAEAEA LQWNARAHESLEVICDTRLKNRPSKISDPPSISTTLTTTASSTILPTTDL H257_18664 MLHLRRPTWTSTQRQQVRESATQALRQFIFDSQLLHNDGGSIEW TLDGSTDKDGLVQLYTGKTPFNVVVFANARRVRGTLAQAATLAGQFPTSHHFDALESM AVASLDEDDSATDNHHHHVGVTWMAVKAAPSAKVRDACVLEVRDMFETGEAKGFASLV QSIDVCPEASGFVRMHTQHTGYTFTEVSPGLLDVVCCMHVDFRGTLPSWVARRYMKKR VAGMAAQLSVYIKQMQPAEATTTMLRNVVSGKHCAGCFHPFKAFESRKTCTTCRDRVC KACLTTTFVKKVRQHKPVCIRCVMKEATTAQPIRRSSPDSSSSSTASTHHLLSALTHR RHSSTPSSSRSPTATSFYREADWAAQNHSILVVDDANLDILEQHDDHECFRSADDGDV NTFLDLQFVRSGDGRLEQTHLARAEEVPTTDSSDDNDFDLASLRPTASSSLERSRMSL MSDSGAHSISSVVWTDAISNFHSNLRHFKQGMHH H257_18665 MPASAWEGAMWNLINEFDRTFQRIRREDDKFVKHKSESEAAFIQ SLKDLRAKVLGMCGAVDKLNDELNVVETDLKVVAGNCSDIDVQLECSNRLLKAMHDKS VIAHLEDQPLDQRSQNTRMALRSQLEAIERLRVEVEKHVTALKGAALPHHTASTRLND AAQLFRVLKLNYDTSKREYTRVLELAETFKQLELQHQHYTKSLPKPTPPVATKELLHQ LRRQHDTEATFRSNLAKWTSQPIVPREVSAPIKRQPLSETISASKPAPEVPQRVPSKL MFGAKTTIPSQATEAGGGLSFTKPPVDTATKVPLLDADKVSKSVSFSASTKPGAAFNF SKPPPSTAAKPPSSIRPNLSFSNDDNDEEEKTDSPRARKMSTSSKNGTPERSFKKSPS TGPSAAAIPTIKPLDFGAKSKDSSSGTSSFSFAPSISKPPAAPVVAAAAVVTPPPPST AAPVTTAPDLVERLKSFYAVYNPGKSTAAAEKLLAQSKGTEEQVFHKLLQKYVSKDAT VAHAKAYMTSGNVPEALKTAPAPSAPTPATTSAPPAFGKPSASPFGATPPSGLGTPST AAKPAPSPFGTTPATAASPFGSTTTAAASSPFGAAATTAATPPAASPFGTTSTAWPSA TAAPSPFGASSGFGVDYRSKVVEFYKQHNPDKLAEVDTVLQKYKGKEEELLKKLEAKY SKPQGTASGFGGGASPFGVAATPPAFGSSPFGAVAAQPAATGFGGASPFGAAAGSSPG FGAPSPFGASPAAASPFGAQPAQQAPTPSFGATTGFGSTGFGTSGAAPAFGSTTALGG TAAPAFGATTSLGGGAAPAFGSTLGGGGFGGLGSNAPTFGASSTLGNGGGFSTFASNT AAAPSFGLGGQQSTSTPPPAFGGSGGGFGGGFTSTSFTQARR H257_18666 MPTLPTDPGKLQANNPTLSVTAPMPTPMATVYVQRNGVPTAILV PATGIIRQPPPHSSDNDSASDTTECPVDTPLAARQPRSKRAADKFLARHDRCNTKRAA PRKRHAHPRSARPGTTSPIPDVTLRTHQANPLSDDVQPIRPRLPHVSYPSHRMGTTPP TLDSPHTPRSPAPVALHPSKLANGPSTQSSLPDIWRQTASTYAATTRNTLAPHPNTLP SDTAPINPPITALHGHSAAPTPPIPSHGPHHSQAPQHADTAPSPTRAGPPSARATPLP TTNHPHSRPAPSSTRPALSPLQPKPAHTTESGDVSRTTTNLLLTPDPPRTTLWSTTQP NATTPILNQTSIFPTPRSTFHRSSGTTTCATRPPQYQPNTYEPAPTEQGAAGQSIEDD DQEEYDTNTALQFPGIRTLHDEGQPIMYRTMQRYWDHEAHLFQGFNADDIVDIERHFA DAKVRIQFSINPSLQPQEETLSTLNYRREIEDICQERYGLTFRGGLMEHGQQLLGDPL QRTVQAWAAPRRGYLFLRDISVVMVYQYAGVLDNGLSFHHLEYPNPSKTTPGDLMCAL RALGATDAIIQSHTPRRHCPVTHASRSSLSPRNTSPDHDPPAHTSSPFFHTPRTLSPP AHTTESGDISRTTTNLLPTLDPPAPRSGPPPPTEQGAAGQSIEDDDQEEYDTNTALQF PGIRTLHDEGQPIMYRTMQRYWDHEAHLFQGFNADDIVDIERHFADAKVRIQFSINPS LQPQEETLSTLNYRREIEDICQERYGLTFRGGLMEHGQQLLGDPLQRTVQAWAAPRRG YLFLRDISVVMVYQYAGVLDNGLSFHHLEYPNPSKTTPGDLMCALRALGATDAIIQSH TRMSGAHGPRDHWAAIGCLYWPSEGQYRFRLVFPSQSMAENVYANFRRHAAGPDRLDL VPPSMMLLPLRDLCWDNPSATFFHPQAPSAAKLMDTKVRIGRLPPLTTTDDILAALRG SHLPTPDVDITGDGYDTLTFDTPAPLAFLGKSQHKPSAHNTARGSDTHARGKSSHQRV GQYSRSASQHLAPEERLPQAWQLPLQRHPAQAPRPTADLSLYLSRELSTYVDQRIVTA TAPLRQEVESLRADKEARAALVSASSTAFSTLDARLLEERRLREAAELLQAEDNKSRT EAQIRLHTAIAQHEAQQAALAARLSFPESSVHTLLQAMQSVSSQMTALAGLWPLPANP SPAAPMSAFPDPTPPPATNNAEPSALSPSPDANMVADFDEPMESN H257_18667 MPDAQTYSPSGRSISICIRLGKGSLITLIGTYCQDIPTAHREET NLEWQWLTQAAAHTTEPHHFVVMGGDFNTYGTNPLDRSAPTPRSSSSTDMGTAFQHWL ERIGLSSTFRYRHPSLQRHTYTLNNTAVALDDIYITSRTAHKLEASGIWLNTIHSSDH AGTPFMTLNLCPGDHTPSRLSGANPSGSSTHDILQRTKSPRLAHTPLIYSSPANFPPC SRPPPHAATTWTPQATTEWLEGAVQNLYDILYTSAKLKWGETSQTRKALNRAVVIQRT NRCTAQLRHLLRLHEACTLTGTEYRRLAHMKSHATPDHMVAPAGRHRGGRLVDHHALS TGYHPRLGPLAPAGHSTLGQRMPQTAGLAYHCIRQTRVQQRTAWFQGRQTPKFLRSAI GAPIPPISIQSAIVRTDDGPHGTAPTEKRWQQVSDICLITGPPPAEKTTRPRHLDSGL AAGRQHVPHFVREWLLHDMDRPDAVAPAF H257_18668 MQARCDRSLRLVPPILGRKQMIYLAKSATAHGVVNLDPGLPPWR PITVQSAFSSRLFTVIRDYISPCIPNEEMQHGFQRDRTVQDAVVLTSLLLERAERRQE ELFLISKDCLKCFDRIPGWVMEYIYPFGWLDGGIREFGLGQGSILAVMHIGYYMDVLI RQQQGGTDSVYITHSQHPHGTQTRTISSLLFVDDALDVSTTYAGIQDRATVSNQFTGQ TASGGVFGADKSFLIYLSPQAHPAIALNDGLGKPQPIQADAIAPRGLGKKELQYIINA VWIPSALYRTAISDAISIGPALDTLFRKTARRVLRLPHDHPNAWFYDPKDGLGLVHCE RFSHSQRLYQFLRIANDRGSPAHDILMESLEAYQLASSLTDHPLAFRIPPPTSDTTFL GTLHQPRASRPHRPNDRPIWAHLTPALGTTLISLNRSHNIKVRWFGWSRATLQRFAPI WDAIPIATPTDPTITLPQLTLPWAQPLGRTFFTPSRGFDAIHIPLHAMLVVPHHLNHQ DGRPATLSYRIGRRTSLQTATLLPAPKLPSPSGMKSVGVPTSAGDLIRTSRAQRHKFI PWNDTT H257_18669 MPHLPVLPATALQTLQPALTAGSGITLPASPTAGSFLTIAHLHT DSTLSPSAPPAHTHLAMAVLLAGLHHSGDVALQICDNTTAIGLIILARSLKRRGRQPR YSNIHRVELRSLMDLLAPEGTFAGEWIRSHQITADTPDPAYGPNLEEADSLATLAHQL LPRTNYAHLIIPYSWELRDNHNRPVTGAIAPWLGAIYGKRNWSSTQAHKPDARRTIQP SRLNTGELCKWDLPALSFYWRAVCYTLHTNARKHRIQKPGTPTVVHAQTTSTHRNTAL DLRTRNAPGLPPSHKTSFSPPKPSPKAWLPDQDIYLPGQCNAITSTFTGQTRPQWHTR SRNPSPNSGQCMIALTPTRCVLALHMHRLLGPPCQATLALMATHLYWESTQCRKPGGA TTPLALPHGPQLHTPLAPRDVWFGQWMGGPNGATFQGPLGASTHCIIPRPTTNNH H257_18670 MAPHHTRGPTPPPSYHRRTPYHHTPMGQAHHQQPSPHSHWLPHH QHQNPPSDPGPTTLDRGSPPLGRRSLAPSPPTPDARPRTPATPPPGSSQPLPTASTGP RPISPSTYPTIYSATTGWKMFSTMTQSTRDWAASLTHLQAPHFHKQWFSAHWAILRQH WGTKCTTNMEQIRTAGDPPLLTEINNNIRLKRVHHDTAPTGHGRRIRTRGAHLQAKTR DRHSRRLSLLPLATSSPPVSDAPPIRPPRRPPDPQGRPPAA H257_18671 MSTVSNLQLQHLVAELAGVPYPQWFSNNNPCELFNKHFKGIYTQ RTVHGLCATFLLLGTIAEEISTYRAQPFETSPSTSKKLIRRFRRLVKFALLEVVAPNP AFESEVVPPIPAIGSEPENTRIRNIIPHFAISVAIAFEDEATEGALWIALQERGITYV PARDLALANAYYHGDRANNIRHESICGGRPQLPNGWLVTTSPGHLSCECNYFFKMKYC CHLLFALQPDEARQPRDSLAVAEALG H257_18672 APRAPQQLWHLTCSRWGLLGRIPRCAADIAAYESMLRCFIYPSL TLGPAVPSRRRLATNSFLKAASDEL H257_18673 MSSLLDPTVDEYTHGVACHFSTLRNPTVLDRLKLGAGKANSSLS VQINTGTINVSSAQRPTTQFAACDVHIRLHGKKDVYLLLGNGVAVPYAFESRLFAVEF MGAVHLVQHIEALKTATPLPSAAFDAMLKEQMKCTMEFAREMWSLAMWSQLYPYSGLV EGLGQAVDLLQRNQLLQLADLLHAVYLQFHSLTAINKVADANHPVYYRASHMTLLVAK VKALQLHTALYLEKS H257_18674 MSCVIHRLGRVPYRQAWDWQQRLIKERFHDASLRSACLMLEHPR VYTLGRGASMANVKFDPSEPSDFELIKVDRGGEVTYHGPGQLVVYPILNLSQSPFKKD LHWYLRQVEEVVIQTLGHFDIPGERVDGLTGVWVPDARHAFPKSKIAAVGTHASKWVT MHGFALNVSTDLSDFDRIVPCGLHDKAVSSIQHFHPTVTMEDVQDAVVASLTNVFELQ HVETTTAPPYPSAQ H257_18675 MSMDASGGGPMGSRKKLRNSVFSSSDEDDAAPRSSPLPNRARGL FHDTATDQVLNRRGLFDTSHEDEKDLEMKIKQRQMQKKAHWDTLQAKLHDAMLTADMH KSDAEVLSVQLAAALAKVDKRNRQLQNAKSLVHSLQGDLAHLQSLLDEVSLGRRRDQE AWEGERNGFVQEIETLKAAATPKAPPISPTTLLGKVWSRKTPGKEFSSANEFLSVPVP PMQRPAMFTVPVIPTDDEESSDSIVGHSSSDQDDSDSDIEHQPPPSTTSMAPDPTNDF GPSTTSIPLGVSRMNYYMEARMKKEAEKRDKELQEKLEKSKLQEEFDNEWAALARETQ ELKKAKKLKKPTKTNTRIPRQRPGSFKQQMPASTPSTSSSTTSTPVTPSQPRASDPQS SDQPSKPITTPSPPPVTSPPLPPEPSEADMELYRRQQARLHDLHTSERTKREKAEEAD VVRRHLHAAVTQWALGKPLLGLLNSLHEIPELQGVIDDHSQVSNDPDSIKKGYRALIR IIHPDKLRNASVQQQLVANEVFTVVNQAFDGFKQAGGLS H257_18675 MSMDASGGGPMGSRKKLRNSVFSSSDEDDAAPRSSPLPNRARGL FHDTATDQVLNRRGLFDTSHEDEKDLEMKIKQRQMQKKAHWDTLQAKLHDAMLTADMH KSDAEVLSVQLAAALAKVDKRNRQLQNAKSLVHSLQGDLAHLQSLLDEVSLGRRRDQE AWEGERNGFVQEIETLKAAATPKAPPISPTTLLGKVWSRKTPGKEFSSANEFLSVPVP PMQRPAMFTVPVIPTDDEESSDSIVGHSSSDQDDSDSDIEHQPPPSTTSMAPDPTNDF GPSTTSIPLGVSRMNYYMEARMKKEAEKRDKELQEKLEKSKLQEEFDNEWAALARETQ ELKKAKKLKKPTKTNTRIPRQRPGSFKQQMPASTPSTSSSTTSTPVTPSQPRASDPQS SDQPSKPITTPSPPPVTSPPLPPEPSEADMELYRRQQARLHDLHTSGAYTQLSCSS H257_18675 MGSRKKLRNSVFSSSDEDDAAPRSSPLPNRARGLFHDTATDQVL NRRGLFDTSHEDEKDLEMKIKQRQMQKKAHWDTLQAKLHDAMLTADMHKSDAEVLSVQ LAAALAKVDKRNRQLQNAKSLVHSLQGDLAHLQSLLDEVSLGRRRDQEAWEGERNGFV QEIETLKAAATPKAPPISPTTLLGKVWSRKTPGKEFSSANEFLSVPVPPMQRPAMFTV PVIPTDDEESSDSIVGHSSSDQDDSDSDIEHQPPPSTTSMAPDPTNDFGPSTTSIPLG VSRMNYYMEARMKKEAEKRDKELQEKLEKSKLQEEFDNEWAALARETQELKKAKKLKK PTKTNTRIPRQRPGSFKQQMPASTPSTSSSTTSTPVTPSQPRASDPQSSDQPSKPITT PSPPPVTSPPLPPEPSEADMELYRRQQARLHDLHTSERTKREKAEEADVVRRHLHAAV TQWALGKPLLGLLNSLHEIPELQGVIDDHSQVSNDPDSIKKGYRALIRIIHPDKLRNA SVQQQLVANEVFTVVNQAFDGFKQAGGLS H257_18676 MQHMAGVKGARALAKAKPPIRPEWSDCNNSDPSEQKLSESELAA RKVQRHSKHLDEAKTDLYFKATADKLDERRRLLGRLEDKMRTELDEGRVRAEETMRKQ AQLNKLYENIEIDLQRNVTKARELEELELGLRDREAQVAQLQLQALEWQNQSELNKKV EVQLNQRQAELEFKEKEVSLLGERLAMQELHLKTVQRQLDQLEREIDGERVRMGRLHT DETRAWRAQQQIEALELERARDQFQMEQQISTERLQQAELQIRKAETQLASDQAAITG QLSALQNKTMALQQREFELNLLHEDILGRESRLLGIRDDWQRAQDEQAKAWATTQAEF KAREWRLQIFAADAEAQVEITAKKQHKLDAKLLEMERALGAGVIL H257_18676 MRTELDEGRVRAEETMRKQAQLNKLYENIEIDLQRNVTKARELE ELELGLRDREAQVAQLQLQALEWQNQSELNKKVEVQLNQRQAELEFKEKEVSLLGERL AMQELHLKTVQRQLDQLEREIDGERVRMGRLHTDETRAWRAQQQIEALELERARDQFQ MEQQISTERLQQAELQIRKAETQLASDQAAITGQLSALQNKTMALQQREFELNLLHED ILGRESRLLGIRDDWQRAQDEQAKAWATTQAEFKAREWRLQIFAADAEAQVEITAKKQ HKLDAKLLEMERALGAGVIL H257_18676 MRTELDEGRVRAEETMRKQAQLNKLYENIEIDLQRNVTKARELE ELELGLRDREAQVAQLQLQALEWQNQSELNKKVEVQLNQRQAELEFKEKEVSLLGERL AMQELHLKTVQRQLDQLEREIDGERVRMGRLHTDETRAWRAQQQIEALELERARDQFQ MEQQISTERLQQAELQIRKAETQLASDQAAITGQLSALQNKTMALQQREFELNLLHED ILGRESRLLGIRDDWQRAQDEQAKAWATTQAEFKAREWRLQIFAADAEAQVEITAKKQ HKLDAKLLEMERALGAGVIL H257_18677 MNAARGDPTVSAAVADAPRNELEKLEQLLTDVEVEPELTKDQFI DILKERLNRRNMLIDVIRRAYYHDVVVIKEGLLAQEAGGSDERVNALPSVDLRDTLPL FAPSETYLKVHPCEKCGGVVELVHGETRELNDARQQCHRALKAEQHMKGTVHRLRGEL KGATEISDALHQRIRGLMKENAFTLEQLQVARKAERDQKSSLAELKSKLQHATATADR VAKLTHQVNVLTLELDQSNKQRTLAETKRDDLQDEYDQLQDSFTMEKQLREKFEGDAS TFHSQYEASKAECAVLLSELQLLTEKHKVQVEVDEILQTALSKVKEDLAHHVTRSEQI KRQLEDQLMAEEVAREDAQDKFVEEKKRNKQMQRDMEAAQREAAVYQDQFNELLLKRD VELSANQVAFEAQFSSTAELNAKLTMQVEILGMWENEWLSQLRSRVCDDSDDDGDDSD DDQHDWSDNEDDGVQNDFASPVPDHRSIEFKSSLVISPTRLLPSKLNVPEQPVVTKVS LVEDVIVPIEDDVAKKRKDAKRGTVVRRSQTSSETISMLKDIEDAKERNKTQKHRIHD LEKILASSKIQIAELSMSNQVLQSSLQDITLKATEANQSESQTTASLRDARDQVEVLT KNLQRMERKVEAFEGFILQLGSELYYLAEDPTLLTPVAVSLVLDVPEDKAGATLKNGL TMDMMAKKQAIQQQLFLDQVLNNYIVAYSERVEICCGNIKRAQDSLLELSQDLMLSKR KIDNQMHLLQQSAVETEMLKRSLEEEKIATSKLDRVVKITVAELNDVNEKMRGHKKMV EDVDVERNKLQEEKRKIAFIMMDKSKTLDREIDLNEQLTKQLQSMEAELAKTTDAKTK LYAELQVFLDDVAFRKATNQDVGVMVEPVTRDAEMQSDKWKPQGLVLRQRNSPNMMPQ RYEGPAKVMVACPSLDTLLTEKRDRPSTAVTNQTIPIAAWTPSGRAQTPDQPKRKPTR NVNLPRV H257_18677 MNAARGDPTVSAAVADAPRNELEKLEQLLTDVEVEPELTKDQFI DILKERLNRRNMLIDVIRRAYYHDVVVIKEGLLAQEAGGSDERVNALPSVDLRDTLPL FAPSETYLKVHPCEKCGGVVELVHGETRELNDARQQCHRALKAEQHMKGTVHRLRGEL KGATEISDALHQRIRGLMKENAFTLEQLQVARKAERDQKSSLAELKSKLQHATATADR VAKLTHQVNVLTLELDQSNKQRTLAETKRDDLQDEYDQLQDSFTMEKQLREKFEGDAS TFHSQYEASKAECAVLLSELQLLTEKHKVQVEVDEILQTALSKVKEDLAHHVTRSEQI KRQLEDQLMAEEVAREDAQDKFVEEKKRNKQMQRDMEAAQREAAVYQDQFNELLLKRD VELSANQVAFEAQFSSTAELNAKLTMQVEILGMWENEWLSQLRSRVCDDSDDDGDDSD DDQHDWSDNEDDGVQNDFASPVPDHRSIEFKSSLVISPTRLLPSKLNVPEQPVVTKVS LVEDVIVPIEDDVAKKRKDAKRGTVVRRSQTSSETISMLKDIEDAKERNKTQKHRIHD LEKILASSKIQIAELSMSNQVLQSSLQDITLKATEANQSESQTTASLRDARDQVEVLT KNLQRMERKVEAFEGFILQLGSELYYLAEDPTLLTPVAVSLVLDVPEDKAGATLKNGL TMDMMAKKQAIQQQLFLDQVLNNYIVAYSERVEICCGNIKRAQDSLLELSQDLMLSKR KIDNQMHLLQQSAVETEMLKRSLEEEKIATSKLDRVVKITVAELNDVNEKMRGHKKMV EDVDVERNKLQEEKRKIAFIMMDKSKTLDREIDLNEQLTKQLQSMEAELAKTTDAKTK LYAELQVFLDDVAFRKATNQDVGVMVEPVTRDAEMQSDKWKPQVILHNKK H257_18678 MQVIYLLLTLGLAPSVVHADCDADVTTANSVTLTQACTDDLQGG PPPTLETVFADYRTNANSIYTYGLCGSTTCNAEIAASTYPTCTPTTPTSYTAEIAGFT AACTALTGAITGTCTASNIADNLWAKNLVNLDAACATALSKTAGTGWYTNAFSLLDIT TANTITTNYCASTDCVALATSTKAALASCTDAAGNNFSNYNRSSNYSRSSNYNRSSNY NRSSNYNRSSNYNRSSNYNRSSNYNDHHHNTRSSCHPCASKYSGPTDYHTLDEPHHYP IVYNIASNFLDPAYYDDHSAFGGHFDPN H257_18679 MMPRSIFVLVLAVMYAAAQTAAPISTAKAPSSSSSTSSSMVPCS SMVIQTATKRLFSDRTSPLTACAQSLDLLPNQYLDLERPTATHCRLLVNNTHCSAFND AYNAAMMSISPVCLLSPTQPSSVPKPYDAFVMDWCRTRMTAAPPN H257_18680 MMVHYSWQSNEVAVQRRGQEFVGQASGRMARAMNTASRALILVL APRPSFHRACIGSSMIPHRPASCNVLSIMGCILQAGPKRSRHSFKNIWSRTDCSSRLA AW H257_18680 MMVHYSWQSNEVAVQRRGQEFVGQASGRMARAMNTASRALILVL APRPSFHRACIGSSMIPHSTSNSAGVKLVITQNP H257_18681 MGDRGGGVGGGAVVPVAAKTRKRRAYLYIFNSVAAIASVLCVFV VNTVGTIRTIQILIGSDTNSTHSDDGLLHGYKAYYTPYLLREVLVAPATVQTRIDAIL ASGRKVGYLEMDESPNTTTSTTTSNLATWFRQDSCRTLVNATTDRIYNESYVAKTLVP MLLAGSNASDQSALIVVDCSFEGRKAMDTTNIKLYLLDRHLQWLVTYQLQTIMANIPA RRDTMPTGTVSYTNLSLASLSLGGIPQRGLDFLAKLTSSEPAVHQLLCSRGFPFNAAP FDAVVIESVTDTGAWNTSVVSTGLQMVLQGFGGMYRGSQNEQANYKTFVWVLDPDPLQ VVFNFHFAQVGRTKNSWAWGQALISGFLSFGVVTSTVMACIISRNILKATQVRWIPDI SPSVQSGIMFRGILTVIAWWIDGWWAVQEWCFQQGNVRRGLLDMYVLTDSVKSDCLSL FLAGASFIASRLRLRINPAVPVVIYLVVYSQRAQLVAAMGLFLTNANLELEANQALNV VLSETTGLDMWMWHEKKDFNATVVFNELSWMVLALILMLVYAITDKIYTVYFTDHAVE AKTTKKTVAEDGTTGGTGSQGGNSGSNERATCFETSTGEYLRHKYGLMSYHENYLFIK GLKYASPSDDIMKVCANILLRHDIFRAHCHVIAENQVDKTVIRVFRKDITLKQLFNLS LRPLA H257_18681 MGDRGGGVGGGAVVPVAAKTRKRRAYLYIFNSVAAIASVLCVFV VNTVGTIRTIQILIGSDTNSTHSDDGLLHGYKAYYTPYLLREVLVAPATVQTRIDAIL ASGRKVGYLEMDESPNTTTSTTTSNLATWFRQDSCRTLVNATTDRIYNESYVAKTLVP MLLAGSNASDQSALIVVDCSFEGRKAMDTTNIKLYLLDRHLQWLVTYQLQTIMANIPA RRDTMPTGTVSYTNLSLASLSLGGIPQRGLDFLAKLTSSEPAVHQLLCSRGFPFNAAP FDAVVIESVTDTGAWNTSVVSTGLQMVLQGFGGMYRGSQNEQANYKTFVWVLDPDPLQ VVFNFHFAQVGRTKNSWAWGQALISGFLSFGVVTSTVMACIISRNILKATQVRWIPDI SPSVQSGIMFRGILTVIAWWIDGWWAVQEWCFQQGNVRRGLLDMYVLTDSVKSDCLSL FLAGASFIASRLRLRINPAVPVVIYLVVYSQRAQLVAAMGLFLTNANLELEANQALNV VLSETTGLDMWMWHEKKDFNATVVFNELSWMVLALILMLVYAITDKIYTVYFTDHAVE AKTTKKTVAEDGTTGGTGSQGGNSGSNERATCFETSTGEYLRHKYGLMSYHENYLFIK GLKYASPSGIWTSGWVVVDDKFLFRTDDIMKVCANILLRHDIFRAHCHVIAENQVDKT VIRVFRKDITLKQLFNLSLRPLA H257_18682 MSRKVFNDQVHGYISMSPLCVSIIDTPQFQRLRDLKQLGTLYYV FPGGSHNRFEHSLGVAHLAGATVERFQINQPELDITAKDVDLLSVAGLVHDLGHGPFS HVFDGAFMPVARPNSSYSHEDMSLRMLEYLVDDNSIDMDTHDIRFVQQIIQGAKRTHQ ARVDGRGFLYEIVANGRNCIDVDKFDYLARDMENLFGGKKGFDCSRLWHYNRVIGNEI CYHTSVTGDIYEMFQQRYYMHKQIYNHRKGKAVEYMICDALLLADKELGISSSTESPE RFQYMTDHIVKTIECSTSAALGPARAIIRRIRTRHLYEFVDEYLVPADLMNHIPKLTA EDIACASNAAALNLNVDDIIVYDGRLNYNLKDKNPVDMVSFYSTSDLNHKFHTPKDEV SLLFPDKFEERILRVFSRNSDGSVKHAISDAFRRHLKQYTRRLPFSPASKVGPKPPLA FRPSSSTSRVLALDLDDASATKRAKLEEH H257_18682 MSRKVFNDQVHGYISMSPLCVSIIDTPQFQRLRDLKQLGTLYYV FPGGSHNRFEHSLGVAHLAGATVERFQINQPELDITAKDVDLLSVAGLVHDLGHGPFS HVFDGAFMPVARPNSSYSHEDMSLRMLEYLVDDNSIDMDTHDIRFVQQIIQGAKRCLS FLFLTPPSSPCSTHQARVDGRGFLYEIVANGRNCIDVDKFDYLARDMENLFGGKKGFD CSRLWHYNRVIGNEICYHTSVTGDIYEMFQQRYYMHKQIYNHRKGKAVEYMICDALLL ADKELGISSSTESPERFQYMTDHIVKTIECSTSAALGPARAIIRRIRTRHLYEFVDEY LVPADLMNHIPKLTAEDIACASNAAALNLNVDDIIVYDGRLNYNLKDKNPVDMVSFYS TSDLNHKFHTPKDEVSLLFPDKFEERILRVFSRNSDGSVKHAISDAFRRHLKQYTRRL PFSPASKVGPKPPLAFRPSSSTSRVLALDLDDASATKRAKLEEH H257_18683 MVRECAVYGVPDETWGQVVTAAVVYKWPRVVHVVPAIPKNAMGK VNKKQLTAVFDTEFKV H257_18684 MSVAGLSDGDSFDTYATKPKKAKVAHPTAVTSVVAAAMAPTATT LTRTSRQILGIMNGLELDDVASYFDKDGDDIDPYVVCDGVSVEAFNAYVGDGEGLPVA LRFLDLTADGRILIVELPTTVHESTACEFEAKFLRATGNEDEVATRGSTTARRAGLRK KEADATFGPMGWTPNRTAPPAPRTISDWVTLAVEVGRSQSWASLTAAASWWAGYAGIQ YVLLLKVSARGTQIAYRLYDIVTPGVLPDHPTDSNIVRRRTTPNPPAVTVSFNMHRIL SNLSRTE H257_18685 MFQLKGDARPTNQDLTSNFRRDMIAGCGQRPGRGGGSLGALPRC SSAPASLSADLRSIVYSIHVRRTSEPNAAFAFWIHAASGVVSRAYMAAPVRMFSRNYA SRRANAPWGGRPRKLHEAVMAAVT H257_18686 MHWPFSCISRENAVSIPPVQGWRRPEDNPACPVDRTARSDPPRG ALFLPAKEKKKRGSRSTVDVAGRILTLGLLHEVDTTRKERLEATKQKKALQTKRAKKK PRREVKSCACGDHVVAKPQSTTGHAATELESSTPLQSKVSLRRPTCLTRALESESPST SRLKSIKLDVAKFGGAEFDKLLRWILQVSTAADAQRISNDATRVAFCDVALEGHAADW AFSKRLTDRHCYRPS H257_18687 MSRRSSLQASQPTPSSTPLTTRVISQGVVLLENLDQQRYAKRSR YSTVLADEPDEPDGLDSNSPIYESFLASQGVEGIVTMTNFSPSEFNLIWTEKGGDVVA AVFKQKPTTFEKRVMPFLIVLHPYLMRPFVTAVEQKWPMQALAESGHRFKNFLAVRYA TDVTFQQTHVPAGATKFYKSSVSDKTIFDENIDSHLVNRAKRIAETTLEDSEPGAGHG ITPVFGVVVVGTVGKQSIDKQWFHRSQSRSRTRRW H257_18688 MSWLNRTGGDALSRLPTTPPTEQSRPSLLAEPQHPIKYRPDIDR LRTLAVVSVVLFHAYRHSIEGGFTGVDVFFILSGYLISVILFKVNARSSFTYAYFYSH RIFPALLLVLTFTLVMGCVWLLDKAVQSFTLVARTLFGANIQLLTVQQGYFDASVKEN PLHPWSLGVEQFYIFWPRLCP H257_18689 MVKVLISLSVLAAAATAGSVTELPESVTKLIDYSINPCDDFYQY ACGAWHNDTVIPPGKTHIGTPFYEINIQNEAVLSKIFSDNTTKLGQFYNSCLDTATLS SLGLTPLEDSFKAIRSANTTLDLLIVAGELVKNGIPAFVNIFSSADDNDSTKNALFGF RTPLPLSRSYYTNHSEWETVEADYKVYIATVLQLAGYSAEQAEAAVPVIIRFEQTLAG VALSRLEESEAVVSPYTALTYSQLNQKYPLLVGSWLKAHGFDIYDQWGGSNDWVRLYD LNYFDKTEELLKDATLDNLRTIVEYKLIHASSNHLAPEFQTTNWNLFGKKLKGETVEP SRETFCKDQTRETVGDILGQYFLDAVWSADTAKTADDLVKALKSSFSTGIATADWLDN STRANAQTKLSKFVHLLGGPEKPQLYPTLTFDSKSYLNNRWKVSQVNIDTNLKLKGQP VDKRRFVVAAQRVSALYSPHDTKIVFPAGILQKPLFDGQFDPAQNFGAIGAIIGHEIT HGFDNEGRKYDGDGNLKQWWSNATNDAFKTKAQCISDQYSNFVVKSEVNGTVLGNING TITLGETIADNGGLKTSFRAYHEYLKEFPSQYTAEAGDKLFYLSFAQSACYKNTDANL LRSLKRKHPPYRFRVTGALQNNAEFARVFQCPTDSYLNPSKNRLPTTPPTEQSRPSLL AEEVTSPEPQHPIKYRPDIDRLRTLAVVSVVLFHAYRHSVEGGFTGVDVFFHRIFPAL LLVFTLTLVVGCVWLLDKAVQYAYFYSHRIFPALLVVGCVWLLDKAVQSFTLVAGTPF VANIQLLTVQQGYFDASVKENPLHPWSLGLEE H257_18690 MRPFLSTLSCWHDSRCPAQFRGSTTFEPSAFVVRASVIRLEDFF VPGTRFRVMERCLDIPSAILDGSKGRRSSFAVLVLAGKAPRGRTHWTSLVSTTGSDTL RSIDRALMSAR H257_18690 MRPFLSTLSCWHDSRCPAQFRGSTTFEPSAFVVRASVIRLEDFF VPGTRFRVMERCLDIPSAILDGSKGRRSSFAVLVLAGKAPRGSSSHRRTHWTSLVSTT GSDTLRSIDRALMSAR H257_18690 MRPFLSTLSCWHDSRCPAQFRGSTTFEPSAFVVRASVIRLEDFF VPGTRFRVMERCLDIPSAILDGSKGRRSSFAVLVLAGKAPRGRHTPSSSHRRTHWTSL VSTTGSDTLRSIDRALMSAR H257_18690 MPSSIPVRRLKHLGCTAVPMNRGSTTFEPSAFVVRASVIRLEDF FVPGTRFRVMERCLDIPSAILDGSKGRRSSFAVLVLAGKAPRGRTHWTSLVSTTGSDT LRSIDRALMSAR H257_18690 MPSSIPVRRLKHLGCTAVPMNRGSTTFEPSAFVVRASVIRLEDF FVPGTRFRVMERCLDIPSAILDGSKGRRSSFAVLVLAGKAPRGSSSHRRTHWTSLVST TGSDTLRSIDRALMSAR H257_18690 MPSSIPVRRLKHLGCTAVPMNRGSTTFEPSAFVVRASVIRLEDF FVPGTRFRVMERCLDIPSAILDGSKGRRSSFAVLVLAGKAPRGRHTPSSSHRRTHWTS LVSTTGSDTLRSIDRALMSAR H257_18690 MRPFLSTLSCWHDSRCPAQFRGSTTFEPSAFVVRASVIRLEDFF VPGTRFRVMERCLDIPSAILDGSKGRRSSFAVLVLAGKAPRGS H257_18690 MRPFLSTLSCWHDSRCPAQFRGSTTFEPSAFVVRASVIRLEDFF VPGTRFRVMERCLDIPSAILDGSKGRRSSFAVLVLAGKAPRGRYVKFVAKRRRLLAYE LTS H257_18690 MRPFLSTLSCWHDSRCPAQFRGSTTFEPSAFVVRASVIRLEDFF VPGTRFRVMERCLDIPSAILDGSKGRRSSFAVLVLAGKAPRGRYVKFVAKRRRLLAYE LTS H257_18690 MPSSIPVRRLKHLGCTAVPMNRGSTTFEPSAFVVRASVIRLEDF FVPGTRFRVMERCLDIPSAILDGSKGRRSSFAVLVLAGKAPRGRYVKFVAKRRRLLAY ELTS H257_18690 MPSSIPVRRLKHLGCTAVPMNRGSTTFEPSAFVVRASVIRLEDF FVPGTRFRVMERCLDIPSAILDGSKGRRSSFAVLVLAGKAPRGRYVKFVAKRRRLLAY ELTS H257_18690 MRPFLSTLSCWHDSRCPAQFRGSTTFEPSAFVVRASVIRLEDFF VPGTRFRVMERCLDIPSAILDGSKGRRSSFAVLVLAGKPVLLLKLV H257_18690 MRPFLSTLSCWHDSRCPAQFRGSTTFEPSAFVVRASVIRLEDFF VPGTRFRVMERCLDIPSAILDGSKGRRSSFAVLVLAGKPVLLLKLV H257_18690 MRPFLSTLSCWHDSRCPAQFRGSTTFEPSAFVVRASVIRLEDFF VPGTRFRVMERCLDIPSAILDGSKGRRSSFAVLVLAGKPVLLLKLV H257_18690 MPSSIPVRRLKHLGCTAVPMNRGSTTFEPSAFVVRASVIRLEDF FVPGTRFRVMERCLDIPSAILDGSKGRRSSFAVLVLAGKPVLLLKLV H257_18691 MAKVLVSLSALVAAATAGSVTELPESVTKLIDYSANPCEDFYQY ACGAWHKDAVIPPDKAGIVKSFDKIAIQNEVVLNKILSENKPKLGEFYSSCLDTATLT SLGLSPLADSFKAIRSANTTLDLLIVDGQLVKNGIPAFVDIISAGNANNRTKHALFGF HPTLPLFPMYYNNPTRWASVEADYKVYIASVLQLAGYSAEQAAAAVYSTWTEYTFKRT LHLIQLHQLRCW H257_18692 MVKVLVSLSALAAAATAGSVTELPESVTKLIDYSANPCEDFYQY ACGAWYKDAVIPPDRPSIDTSFSKISIQNENEVVLTKILSENKPKLTEFYSSCLDTAT LTSLGLSPLADSFKAIRSANTTLDLLIVAGQLVKNGIPAFVDIKSSGNDNDTTKNALF GFQAPLSLARTYYTNPTRWAFIQADYKVYIASVLQLAGYTAEQAAAAVPVIIRFELSL AGVTLSKLEEMEAVVSAYTAFTFHELDQKYPLLVGSWLKGNGFNVRDQCGGATDWVGF YSLNYFDKTEELLKNTSLEDLRTIVEYKLIHASSTHLTPEFRTANWNLFGKKIGGQKT EPTREKFCLGQTSVTVGELLGKYFLEAVWSANTAKTADELVKALRSSFSTGIATADWL DNSTRTNAQTKLSKFVHLLGGSEKPQLYPTLTFDTKSYLNNRWKVSQVNLDTNLKLNG QPVDKRRFSMSPQTVNAYYSPSVNQIVFPAGILQNPFFDGQFDAAQNFGAIGMVIGHE ITHGFDNRGRSYDGDGNLNPWWSNVTSVAFDNKAQCIVDQYAKFVVKSEVTGAVLGNL NGRLTLGETIADNGGLKTSFRAYHEYLKKYPSQYTEETGDKLFYLSFAQGWCSKNSDA RLTALLSDSHPPGRFRVTGALQNNAEFARVFKCPTNSYLNPSNKCLLWE H257_18693 MEKRPPMHSTVASSVDITVAVHHHRRTSLSAAAATLQRPPSSML STLHRPPRSPQIAAGQCSDDKGVLYNIPPSTIFAALHHPPPHNRRGKAVECSEDGGRR SDVAWTYGKGSAATMEYGHHFTRRQRAVGKAMAEDIAV H257_18693 MEKRPPMHSTVASSVDITVAVHHHRRTSLSAAAATLQRPPSSML STLHRPPRSPQIAAGQCSDDKGVLYNIPPSTIFAALHHPPPHNRRGKAVECSEDGGRR SDVAWTYGKGSAATMEYGHHFTRRQRAVGKAMAEDIAV H257_18694 MDLEKELNEHGVNVVCSKMDTIISVRIHGATGKRLVETLQLVDN FVASKVAKLAKSCEGKIYFDPCFGPNDADSTGMLALCKNGDVIPSKGGSQHQAKIIKM VKEDKLKWQRPNYASEAKDVLDESEDSDSRAAPDDPVFCEQGQLFTKSASSGDVIQGN LGDCWFLGAISVLATRMQLLIQVFWREDQYKQHGMFVCRFMKDFVWHYVVLDDRIPVF GYTNNRAGKPFFARCSDPNELWVPLIEKAYAKLHGSYEALIGGYIDVALSDLTGLCSE QIILKPDYAGYGDDPFAPKPGEQAGDGFWKRLLTYKRNGTLMGCSIQPDPKGNKNIVA EGSAGQGLYYKHAYGLVDVGEIQLEGNKTQRLVKVRNPWGMGEWTGPWSDQSDEREKY DAEIHRVFKTVTRQLGANVFTSMQLKRNVNLATEPQEEVTDVNQNDGTFFMSFDDWKA RYTHFFAGIDFADEWCGLRVEGKWDETSNGGNTTKSTWINNPRYQLLVKERCHLYMSM SQHDPRGSAESGIFPIGFHICTLQEVNGNKFEVKEPPKKAAPYYRLYQKASQPGLCNG TLLEPLPPGVIPGSVIPGIDDDGVPQPSYTLKQAVTVDLVIEPGNYCIIPSMYMRTNK DTGKTNMGRFWISVYGQRPVFHLEGGQPIVEEEEMTDFLSIPRGPPVVPGVLSHAFKE IVVPGEAQHRQFENLKDEMLAQARAKGIGYREAKREFANAGALRKTDFKRRMMNLGFK MDEMSDEKVLILFNGMDKDKSNTIQAEELLECFMLDIEEDRIASVVPEREDEEVPEKV NQEGILEVHVVGGKDLASAEIKQHTLPLLTLPSLSFSAKEALQHGTCVLDVLEDNPAL LQDLHVAFLQALHPTDAVFHKRIQELVTKYKQPKQKANPRGPKKKLIRHDTTPVQQFF VLVQSRLDMLAAAAGSNSLASPTTKEKQVSESRKPFNWAVIDSTDSTVRYP H257_18695 MVRLVRSWMKHLLEFLTGSQHFLQGRTMLTISNRGPGIHPTSTD DANDTVQEEAPAGINGRQVALPRRRQRTPPARSDEHHNFLQELVVVECTVYITCT H257_18696 MQQPPPDQSPTSTPTWTQLSQMYPPRTAARGLTMPSDGHLHTIP VARTKASILIPKPTKVVTQDQSASYSLATCLAMPLHSPNGRDLYRATLYCNWNTPADK SNKSVSTYRRRMESSSEVATEAKIHM H257_18697 MPATLSTATTTSATCLSPPGVTPARGNLTADQRHVIYDTLLERS SHGKLPYGAMQDLALKYECHWRTISRVWVRGRASLANGNEVAHVASQLKEIEKSIKSV PHFTRQTLRSLAYQSKIPKTTIIRHMSETKRLKAGSSYVKPLLTQDNTKARLDFAMNF VRPSTSGVYFQSL H257_18698 MSPLLKEDNLDANSHVDLIESTLSFYGKAASDITFITADNCPTN ASIAIKLGVPLVGCYSHKFNLAMQSYLADYEDALKAIHILMLRLGRQRPLGELKHFTN LQPVIRNVTRWSSTFKMVSR H257_18699 MAYKTKELIEYYYTALLKSVYLYKVWGKKRTKQLLAAHGDYRAA FETARNTNETLQAHGFIDVKITNLHEWAR H257_18700 VGRQYTQDTTFRHVLVRLKEAFKDLKASSIKGCIHKADRQLYKL EEYIKQKQDEDASGNVSDSESESDNNSDSDSSSNESSNSESET H257_18701 MPTAAEKLSDIHRAIAYVKRSRGSIVSKPEILDMIMLNAMLRQE GAPAASRRVARLLRRKHELVQAVWKECISTGDTTIKTTTTRDMSSRTRLPITPRIVDA IQEFVRIRRQSRQRTVAKDVAHFLRSQNMLPFDPESQLSTEAAYT H257_18702 MARRTSLQGPHPTSLTTRSINQGVSLLNNLEMQRQAKRTRYSTH LADEPDTDTDSIAPIYDAFLKAQGLEGILTMTNFSPSEFNILWADVRSHLFKHWNVGS GRKSEVTARDLLLMLLTSLKHCGAWDVVAAVFKQKVDSFEKRVMKFLTVLYPFVMRKY VTAVGEKWTMSQLAVGGHQFKNFPYARYATDVTFQQPNVSSGSYAEKKLFYSGKHHLY GHKVEASVLPNGFAINCTYYYKGSVSDKTIFDENLDFHAANLCKEATVMDLADADDLR RFGTCMAFTNAHVRFHPLRAEDGDANSQYINRLNAIGVNMVKNKNTAQRTYRSKRKAR LSMVMAAKSSLADADAGGIDTDMGSNSEIENRRGSFF H257_18703 MTVPVPTSMSARVTTTTPSTDTPDPSSTTDKPRTITVPTLPPVA CKPVATSTPVPALATINRPTPATITPATTTLAATTATPTPMTTTTTLAPTTTAAPTTT STLSLVSVCKDATYGFPTSTVGTTPICGGDGCSTGATRCPRKGDVPVAGCNPGIPSWN ASSKMCVAPEDAVCGLVTGSNQTGGTWGCMFPSQGCATAPATTASGPGTWVVPVVNDT SYAVASTSKTLLCGGTGVCAPQGTLCPKQGTVSSGAPCSSNLPSWNAATGTCIAPNDA VCTYVARVWQCQFRPATTIAPTTTQKVVIAVTKIPTPTNATSTTVAPTTTFVPVSTLA TTTRTAVPIVTASNISTPTMTPVPVHATTTLAPSPTPIDSGNLLKMLLEWLWNAVNST ATAASSTTTQLDTSDCVPYLAMFGDSSSSIVNSICKTKKGCAKGSADQPYTLAPPDSY CPSSIYFGQVLLVCC H257_18704 MHVMMLLAKLAVEHAEVLVRESAGALIAKTMALHPTEDRLQQYM TPLLQTLRATAVPTGRVAGKPAGFEIAFFNNEYAASNRPATTTLWTPVTGEGSCHKHR ASPLEPFQLVSAKSQALAERSRTPELSHSKLRRVLSSPKVPTLTAHNTTLLTNASSGT QQHTMENEENELVAATPLLLRPETCPTFDQLTFDLASTGATPKPWSSLSSLRTSQASD VGETAGPDAGDDPDIGGVSSSPLFVEPLDTAKRVDALHGRMMSTRGPCRPSITTSSTR PLYPNPIEPTTPPQPSLSSPNNNNHTIGETKPKDFITKAKVNPESLRDHRAAKCLQRY FRRILKTS H257_18705 MASEISTSYSSSARSVMGALTHRNPKQQHKRIKASSIGPCPKDS LATLDATGMALQSAQALSTFGVDRGDVDASALRRLSYQAFSLEDDRGQLKVPLVKVVE STSA H257_18706 MVKVLISLSVLAAAATAGSVTKLPESVTKLIDYSINPCDDFYQY ACGAWYKDVVIPPGRYLIDTAFYEIGIRNQAVLKKIYSDNKPKLGEFYNSCLDTATLS SLGVTPLEDSFKAIRSANTTLDLLIVAGELAKNGIPAFVDIKASADDNDVTKNALFGF RTPLSLDRRYYTTPSWETVEAEYKVYIASVLQLAGYTAEQAAAAVPVIIRFEQTLAGV ALNELEEIGAPVSPYTAFTYSQLDQKYPLLIGSWLKAHGFDIYDQWDEVLSADAAKTA DELAKALESSFSTGIVTADWLDNSTRANAQTKMSKLVHALGGPEKPQLYPTLTLDSKT YLNNRWMVSQVNVDTNLKLNGQPVDRRKFRNPPHVVNAYYGPQTNQITLPASILQKPF FDGQFDAAQNFGGIGAVIGHEITHGFDNNGRQYDSDGNLKQWWSNATSTAFNTKSQCI IDQYANFVVKSEVNGAVLGNISAEISLDENIADNGGLKTSFRAYHEYLKKFPSQYTEE AGDKLFYLSYAQSWCSKSTDASLKMTLRGKHPPNRFRVTGALQNDAEFARVFQCPTDS YLNPSNKCLLWE H257_18707 MMHGDHRWCRRHSRAHEGAEISKASQVLIGSDRCTYDQHYTIER EGQGQVV H257_18708 MPSELRRRAVSVQRDGVRRTKAWAINRLPNALLLTTIVYRYLRM KTSTRLIPLSKAPSASAPGSVRLATVAQDQEAPTTIVCGMTATHTSKNDRFDDAA H257_18709 MVLNVTAMIGRLQDRAVSDEVFLQECLNQYGHATERLNDTCDSS SPIIDHVLQESGDEGFRVITNFTAAEFQVLWDIIQVQLTARWTGGRGSKCKTSPKDAL FMTLTVLKHYQAWEKHAIDFGFKAPTFQKMVLRVIDVVEPVFSRHFVRTPTMSELRVK NKLFDNYPYAMYATDVKFQPMERPGGRHGEAKVYFSGKHKIYGLKIEASVSPEGLMVD MSNYELGSVADLTILNSRLAVHRQALKKSDEELNIEDHDEQAGTHGSMWAFLVDKGYY DVMTDLRGVHPKKNPPRGLLERDDVERNRQVSADRVLVENVFGRVCLYPTKTLTKPCW RGMNRWLIRMRRDELKCSASRVPVG H257_18710 MTRSTSKHDLPNDKRLCLYHELLVHKVDGRLPKGKAKELLEQYA RTMFDIETAIKKVPPVLRRTFESLAVSSGIPRTTLWRILQTKKLQRRTSRLKPMVTDQ YKADRVAFARSFVQETANNDMVWHEMCDCVHIDEKWFYLTEVNRRYYYLWHDEATPVR KCKPKRHIIKVMFLAAVARPRFDHARKTMWDGKVGMWPFVSVLPAQRSSKNRARGTLI TTPIVVTKPLYRQYLLDHVIPTIKQAWPGPRAHPIYIQQDNARPHVEVDDAAVTAAGC SDGWKIQLVAQPAMSPDFNVLDLGFCTLVQDLNHGDNE H257_18711 MTPVHLKLYQTLLTKVAKYIVDLEAKTCQCCTWFDTRKPCIHAT RCYNAIHQDPMLFFDDVYSTKRFKLLYAAHVVPVQPQDLDSDPLSRAPDVCRLDLNPS KPGPKTRRRKRSNGMRN H257_18711 MTPVHLKLYQTLLTKVAKYIVDLEAKTCQCCTWFDTRKPCIHAT RCYNAIHQDPMLFFDDVYSTKRFKLLYAAHVVPVQPQDLDSDPLSRAPDVCRLDLNPS KPGPKTRRRKRSNGMRN H257_18711 MTPVHLKLYQTLLTKVAKYIGINYSQYIDVCEPGRSFLAFFFDP TSTTTHVWRLVDLEAKTCQCCTWFDTRKPCIHATRCYNAIHQDPMLFFDDVYSTKRFK LLYAAHVVPVQPQDLDSDPLSRAPDVCRLDLNPSKPGPKTRRRKRSNGMRN H257_18712 MWRPSARRRQLDNNGYSTRAPKTWTPAPTIVDYITRNPTTTESP EPTDEPEPTDEPEPTEQPEVPEPTNGYSTRAPRTSTTIRPSTSPTTITPTTTNTASPA TTAVYATTTVNPKTTRHTTTTTAKPTTTTAKPTTTSAKPTTTTATSTTTTAKPTTAAT YQTLPPRTTTTATPTITTSKPTTTTSKPTSTTAIPLCHSHYHG H257_18713 MHGHVDDNSLKAPLVDDSAPAAAGPKKNDTSLPVGTANVPYVAS VPSGGLNPYAVPQYDPSDSFSGHESGWPQANNSRSNLSPLGARLDVWVSGIYTIVAGL TVIQGFGILLHLSLSSVILGLYLMAFGAGLVLYDLKSIVFGITLDTWFPFLGNYLGRG FTLLFLFALSAQNFQFFQLPTWIVIVEGIGAALHFAIFLTTQPQ H257_18714 MGCGPSKEVEGRVYTPVQTQPPPEPVAHPLRAAVPKPVVAMALP TPASVPPPVIVPKDNQLIRQQQKQLAQTGTVTFTEFKDGEKVVVDSILDSKIHLRMAA INFKELKLQKIIGQGAFGEVIKGTYHGTPVVVKRMVRQKIDPDNIRMFADEIQLMMNL RHPNIVQFIGASWNSYSNICFVTEFLDRGDLYSVLKNPRIQLSWKQPLLSMAIDISRG MAYLHSMDPPIIHRDLKSMNILVSSSFGAKISDFGLSREKVLDDTMSVTGTPLWLPPE MIRAERYSEKADVYSFGIVLTELDTRRIPYHDIKNTGTSKKRIAGSTLMHMVAYKQLR PNMSATCLDSVRDLFVRCTSDDPDMRPTFEDIMIDLETHVKREILQRAVNDAILVDLN DPLVAQELGVNHVTQYTDNSE H257_18714 MGCGPSKEVEGRVYTPVQTQPPPEPVAHPLRAAVPKPVVAMALP TPASVPPPVIVPKDNQLIRQQQKQLAQTGTVTFTEFKDGEKVVVDSILDSKIHLRMAA INFKELKLQKIIGQGAFGEVIKGTYHGTPVVVKRMVRQKIDPDNIRMFADEIQLMMNL RHPNIVQFIGASWNSYSNICFVTEFLDRGDLYSVLKNPRIQLSWKQPLLSMAIDISRG MAYLHSMDPPIIHRDLKSMNILVSSSFGAKISDFGLSREKVLDDTMSVTGTPLWLPPE MIRAERYSEKADVYSFGIVLTELDTRRIPYHDIKNTGTSKKRVGLSPSSGT H257_18715 MGCVCSHSRSDEPADVAASAGGHVKAHDLQQHPTANTNDACYVL ESSHVDPTIITTATDIDTSHSSQSSSSIVTGDHHHTIIVKPSMSTCRPSWIEDLDTDP LLAAISIPYEHLQLQSASRAAGIFGNVHRATYQAMPVVVHRLDASKLNDRRLRIFKDD VQLLMRLEHPNIVQFIGASWTASPSSCCCLVMELPQRGDLYSMLRSSKYKLAWHKHLL RIATDVATGMMYLHAMDPPVLHRDLQSMHVHVSSNYVGKVADVASTPLSALGSSGVGG TRWMAPERILGLASGKSADVFSFGILLSEMDTSKLPYEDMGSRHLRSTKHGSMEKATR ASTELLRRIATDGLRPQMAVNGLATVRALFTRCVSATPSDRPSFADIVTFLKQDVQDE VHARFPSSGGGHFWPS H257_18715 MGCVCSHSRSDEPADVAASAGGHVKAHDLQQHPTANTNDACYVL ESSHVDPTIITTATDIDTSHSSQSSSSIVTGDHHHTIIVKPSMSTCRPSWIEDLDTDP LLAAISIPYEHLQLQSASRAAGIFGNVHRATYQAMPVVVHRLDASKLNDRRLRIFKDD VQLLMRLEHPNIVQFIGASWTASPSSCCCLVMELPQRGDLYSMLRSSKYKLAWHKHLL RIATDVATGMMYLHAMDPPVLHRDLQSMHVHVSSNYVGKVADVASTPLSALGSSGVGG TRWMAPERILGLASGKSADVFSFGILLSEMDTSKLPYEDMGSRHLRSTKHGSMEVDLA MTMTCA H257_18715 MGCVCSHSRSDEPADVAASAGGHVKAHDLQQHPTANTNDACYVL ESSHVDPTIITTATDIDTSHSSQSSSSIVTGDHHHTIIVKPSMSTCRPSWIEDLDTDP LLAAISIPYEHLQLQSASRAAGIFGNVHRATYQAMPVVVHRLDASKLNDRRLRIFKDD VQLLMRLEHPNIVQFIGASWTASPSSCCCLVMELPQRGDLYSMLRSSKYKLAWHKHLL RIATDVATGMMYLHAMDPPVLHRDLQSMHVHVRYLSPSSPSVPTGGSWHILVAPTMLA RSPTLRRLRCPR H257_18715 MGCVCSHSRSDEPADVAASAGGHVKAHDLQQHPTANTNDACYVL ESSHVDPTIITTATDIDTSHSSQSSSSIVTGDHHHTIIVKPSMSTCRPSWIEDLDTDP LLAAISIPYEHLQLQSASRAAGIFGNVHRATYQAMPVVVHRLDASKLNDRRLRIFKDD VQLLMRLEHPNIVQFIGASWTASPSSCCCLVMELPQRGDLYSMLRSSKYKLAWHKHLL RIATDVATGMMYLHAMDPPVLHRDLQSMHVHVRYLSPSSPSVPTGGSWHILVAPTMLA RSPTLRRLRCPR H257_18715 MGCVCSHSRSDEPADVAASAGGHVKAHDLQQHPTANTNDACYVL ESSHVDPTIITTATDIDTSHSSQSSSSIVTGDHHHTIIVKPSMSTCRPSWIEDLDTDP LLAAISIPYEHLQLQSASRAAGIFGNVHRATYQAMPVVVHRLDASKLNDRRLRIFKDD VQLLMRLEHPNIVQFIGASWTASPSRYRRHSDVHIGWRYLNYVFYWNSCCCLVMELPQ RGDLYSMLRSSKYKLAWHKHLLRIATDVATGMMYLHAMDPPVLHRDLQSMHVHVRYLS PSSPSVPTGGSWHILVAPTMLARSPTLRRLRCPR H257_18716 MCLALYVALNCTGSRPCDLRRVAQPVACPGHESMRKHIDDNVAR INKDAMAFTLLRTMAEQVGLRELDRVLMWRFTAYDDCEVATYLATLHGITVGVAGVLW WALPSQKDHVHQWVTQGRRYPRVALLVLIAAIVKSMCLLL H257_18716 MCLALYVALNCTGSRPCDLRRVAQPVACPGHESMRKHIDDNVAR INKDAMAFTLLRTMAEQVGLRELDRVLMWRFTAYDDCEVATYLATLHGITVGVAGVLW WALPSQKDHVHQWVTQGRRYPRVALLVLIAAIVKSMCLLL H257_18716 MVTQFVTTLSFVEVRKHIDDNVARINKDAMAFTLLRTMAEQVGL RELDRVLMWRFTAYDDCEVATYLATLHGITVGVAGVLWWALPSQKDHVHQWVTQGRRY PRVALLVLIAAIVKSMCLLL H257_18717 MSARSTCTLKRCQGNRGCICPKGKNLPSGTFSGAKPATSSTKLP QISAAKTRKAHVKPATNPSKKQSCQPRSVHNDNEQLRTTPPPPESGVSLAEPRSPPLA ALEPPVTETSKQQDGKVLVRYNHYTKEFAISGGSTTAQAIDGQYFLSGVFPNSTLHLS RFSPSDFSFEAQGLTDRPMVHEAPLGVYQDLEVGATYWIHIEEDAAERAAYEKRQAEF SAGKAKDRVEKEERQRMTLVREKVESCSCVEGNPCVDKYCCKDWDHRHAVATKHGWKG FQ H257_18717 MTKDGWSLSLGPGNRGCICPKGKNLPSGTFSGAKPATSSTKLPQ ISAAKTRKAHVKPATNPSKKQSCQPRSVHNDNEQLRTTPPPPESGVSLAEPRSPPLAA LEPPVTETSKQQDGKVLVRYNHYTKEFAISGGSTTAQAIDGQYFLSGVFPNSTLHLSR FSPSDFSFEAQGLTDRPMVHEAPLGVYQDLEVGATYWIHIEEDAAERAAYEKRQAEFS AGKAKDRVEKEERQRMTLVREKVESCSCVEGNPCVDKYCCKDWDHRHAVATKHGWKGF Q H257_18718 MSLDVTAEDAAEPVNFGELARWWPAHPRRLGALDCCRSGGATGK AMGSNTSSTGAAKNTSDRAAFIQHKQ H257_18719 MAAPGRRNQARSDQQGRKRDRQSFDDVELRRIDELVWSGESFAA IARIINDEQGKGRSGKVISNKWRTSQGPSLVPVMIPLEAVEDLMRFVRSIPHSFDWDI DASAEVLRLMSEVKYARSIAVQGGDTTANVLMCGNQVKAIHGFVKEDTSWNVLHFLAQ ERAFFDHVVNLIANLECFPDLAMEMKNWNARGKDTIIFDHILGTVGGELQPATSGPVI CVKWTCFAKLLSTCEITNGVSIQPDLKWWKPKALPEPRRTVMFIELLDEIQMRLQESI SGVFTTMDDEFIMFPEVHWFDDDGMDESFKSSLSPKLVEAASNFILVDELPRLSPTTI LVSNWHKSATKHMVEVIFANTPVTARTRSGHHHHMLAGNALACSGNALSSIATPPLHS FWPRKQVLSLPGTCHMPHATHPASRGSPSPLIADPL H257_18720 MRRSRRGEKLETLVFDELATPSLLSEGDRQVLRPVLHVRYCYRW LSKLAQRLPNLHRTLPDVIRKLHPPQCIPPLARLLVDELELFPCLCRFVDHIFFVESV VLVGTSKFTGLLWFSMNLLAVAADSATCSSCGFTETT H257_18721 MQVIRAHQAQERAHLLGDIKQRPIRVSWSNRKGSDEMLVAAFCE YGEMGLSTSTRATPGIAYACPT H257_18722 MEWFWTGGDNRLIAEPIHHTNGETQLDFGRVRVQNDVDITSRKD VMPRGNNFDAALKLLTKASSCDRTPSQAMMMRSLGQTNTAMPAGRGPLTRGGAHRGPD VATKHRLLEAMAKTHQNLFPLISRETTHETLTIAMNQPGGRSNTVEDPFHKRELPDHK LSRLISHIDFECDHSKKLATGRLGDDWFGLSLWQHEEGRRQ H257_18723 MLPAHVWKVQAQMVKFSGVTLECMQAFLPTWSAQDENDDASSQP SSSSSSQSPFALPVTQAADFTATLCFACGQGDRQLIMCTSSCFRAFHVGCIDESAALT QRQERNPYF H257_18724 MAKLKTPSKKELIEENRVLRAEMQAATFMIPLVGQPETPSEPRA AVEPKFSANYTDQMIVALLEVRFGWFRDDFA H257_18725 MVKFLALAAVAASAAAQYEIVNGTETPIGKYTYVTGLRSTETGS SSCGASLVAPKILVAAAHCVASSWATFAAVGTHYLKGNQDGERIKIVKRTQHPKYNAN TYEYDFAVLELETASTFPVVKLNWDDDQFNAPGATSWVRGWGTTKSGGSQSPVLLEAP VVIWSNADCQKALGSYNTIQPTHLCAGGADKDTCQGDSGGPLTVTKNGVEYLTGVTSW GIGCASPGLPGVYGRLSLARSFIEPYLPTNPTPTTTKPAC H257_18727 MISLPHALRSASTTNVIRDSIQSMYVTGLSENKSSAAFCSAALI APMWLLTLAECVNASKWAAIASNSSLGDDGTERIEVDRWVLQPHYTNSSGYQQLALLE LKTASQYAPANISWNDNLPDNSTLWVRGFDTSTSDKSLVETTAKLWRYDECDKFYYDH QEYGRTLNESMLCLRDSQCQQERGSAVMVNVNGTSRLIGLTYWQSGNTTIAPAVFLPI TSAKEFIQSTLQATTSANSSTAWIDRPKPTNTNRLNTSTCWPNPNWSLSYKAYTHWFR HESIG H257_18728 MQKLFWIAATMGTVVVHAYSAGFQNAKISPYVAQLRSSANDPMI CQGILIDPNIALFTQSCAELYDEADKVVIGASRINGGLDDGEWNKVLSKAYSPNRALD FALVQLARQSNYTPVQILWDDVAPGKVVWLRGWLPFNSDKSVNRLEETTVQVITNDQC QAKLKRPMYDFEVCGDNDGIDSCSSYISGSLITEIGGRDFLVGTMSDYQCVHKPVYQI FNRLSAFRPFIEPILCGGK H257_18729 MLELSRPSKHRPVQILWDDLEPGKLVWFRGWFPFNKAESELMET KVEIPTNDKCQGKIDRHLYDHQVCGDNDRIDSCRSFFLGSLMIEIGGTDFLIGTLNMG NCVGDPKFQVFNRLSAGRSFIEPFLCRG H257_18730 RVMQRLLWTAAAAMTGVLVNAYLAGFQNAKMSTYMAQLRSSAND PMICQGVLIDPHYALFSRGCAQNHDEADKVVVGSSRTNGGLGDGELISVLKKFYSVDR TRDFAMVQLARPSNFTPVKILWDDVAPGKVVWLRGWHPFNSNLSLNRLVETTVQVIPN NKCQFKLNRYVRDSQVCGENDGIDSCSSFISGSLITELRGRDYLIGTLFVGNCEYEPV YQIFSRLSADRTFIESFLSKGT H257_18731 MQKLVWPAAVAAITALVVNAHLAGFQNAKMTTYMAQLRVSAETP MICQGILIDANFALFTRACTKLYEEDFKGSMVLVGASRFNGEPDDGEWIRVHKYYYCP NHAMDLAMVQFARPSNYTPVQILWNDVAPGKVVWLRGWFLANSDKSLNRLVETTVQVI PNGECQVKHNRNIYDHQVCVGTLTTGNCESKPVYEVFTRLSASRSFIEPFLCNGA H257_18733 MICQQKLRKYLTSSTRFQGYDEDEDYVAKSYINLSNHRDNLKYI KETDLEKARLSIVHTNLAWRRLKEAHEKTTIINKMLLHRELSTLTWKPIETCATFVHR FQECVRKFF H257_18734 MTPEADRIVEFLYLLPSSFEAKVDSILERIAKQDSEYCSLIGVI KSVEIAADWIAHMSKTNASASKVRGTDAAYNTEFGEIFCTYCEWKGHDLIECRNKKRD EANASQRQKRRSNP H257_18739 MGWLHRASNPFDFLLYHPHHKSKWLMLWELHSLWINVWRQWSAT LMDGRIQLPHFSATIMSLPVWLTTFERSMSNGKCAASIVNSPTTRRWCSHGALNQLRC LADIVAVHGRWPTRAEFMAMMSDRNPAAQVEIGMDGRMQWATVCRSGMLYNHLTCVHT NVLGLNQPPPPATPAPPTARHPFYGLAKDAPVHHAPIHEGHHPMSSTARATTAAIHSY VKRVRRTCRIPPPVQGDVWLRLLFRMLPVNCRFAHLQPSFHSGGGSLPKDFMTFVKMA RPRPLLLCVVCGTSTATMEQSPRLNLLPTGQLTHHGSHAQLKTLH H257_18740 MTYLNEMDVMEVDLNNKALTWAAVRSVQRILKRQGYRRGKKAGS SSYHLSKSNVLARDSYVKVMHPVVCASPNASVVYLDESFIHQHYKRHNDSLFDPSDDL DVQRKENHKGRRYCFIADILDSPDMECQVVALDRVHIPAT H257_18741 MQVDNNLDLQERVSYIHSDTTKDVDGYAEAKTPKDLDDTLENGG ALAEGGALDLFSREAFALFMQYGAIG H257_18742 MQVDNNLDLQERVSYIHSDTTKDVDGYAEAKTPKDLDDTLENGG ALAEGGALDLFSREAFALFMQYGAIGVIYGMIPSLNYPIFNIYLNLEGYQTASYGVLI VVGWSFKAIFGMLSDCVPIFGYRRKSWMLIGWTITMICLSVMTFSSLGEPFCNREKTK FIGQPPVKSAFAGGILCGVAP H257_18744 MLLRQANAIPSTKSIHSPSAWTLRRLLENSSTTTIVILCVVGGI LLIGTALYLFLLGRSNRWRWGHGPESAVEALPDNVMRKKGPPTTVKLHGGRSSIFDAR RSSHIILASTVMHEEESHSIAVQQHAIPSRPTLPPTVNEDDDDDDIYLGQEKRYQLV H257_18743 MSAAATDADAAPITAVYVPGLPTEVITHPLVLLSIVDHYNRVAK DTSKRVVGVLLGSVSKGKCDVTNSFAVPYDEDLRHPTIWYLDHDYLENMYAMFKKISA NERIVGFYSTGPKIRTSDLSLDELFRRYCVNPVLVICDVRPNVEGLPTTAYGSIEEVE EDGKAIKRTFKHIKSTIAAYEAEEVGVEHLLRDINDPSVSSLAGQVKHKMTALNGLQE RVDEMRLYLQHVQEGKVPVNHQIVYNIQTIFNLLPNLNVEELVRAMFVKTNDMHLVIY LSSLIRCTIALHNLVNNKIKYKESEDSKDETPVVVVAAAPPSKKDAAVDTKPKQP H257_18745 MCALWLLHATHADGTDMDESGCCRFDLMLLMSKVVYALRLKRLV VCLLPTSSLLRGRGSRTMDFLVNVVGTTACYLYSYISMAGCILSLNGIAESWIQPMSF TVKRDVAGY H257_18746 MRQTPPLLPPRRHSHASILHEADVLHVQNQVLEAKVVELTTELV KTQYELKLERVNYKHLHRKVLGLEAQLENQVLHVIDLEQRLACTAADLAAAQRTNEVA GQAAPTTDVIAMCTEHLTMLHEDLDMYDARCAMYEAGIRELWATYVHPSKPELTKHAT PPPIHATNKCDKVREPNDADSDDTASIDSDEYSGGQVEVVEMAYHHQLDELCEALAVS VEAQHKQLAAIKAMEIQLEMDKRQYEEVMSTTRCETDGMIGDVDVHYYLHEVLVNIDR H257_18747 MHLARKRTTDKFHSEHFSIFAQWSPFHPSSQTPSALNTRPIGQA VDLLAALQTSRQSAVDVTFQQTNAPAGSFSEKKRYFSKKHGMYGVASVLPNGLCVPLL SLEAMRIYPFVNPTRHFTPRP H257_18748 MKVSLLSAIALFAAAATAQTNNTIADINGRARTLQEVILEVDDE VDDAELNRECHKQNGNYIASLKAGQYSTSAFHNCFRTLNQIYEFTDTLVEQNPKLLSK IAISKTYNGATIYGYKLTKGHSQSLYFQALQHAREWIAGSSILFSLASILDDIANKNP TAADEYDLYFVPIVNIDGFEETWNGIRYQRKNANGVDLNRNWPSLPNPESIHPDDDTY PGLEPFSEPETAGINDWLKTKRNEIKGFIDIHSHTRLGLILYPYADNKQPIGGGFDEK FDVLGRGLESVLWGVHRYTDYSFREFKKPVLQFEIIGHDSVVDVTTIKTRGLEVYKAF NQFAKEVTVFNG H257_18749 MATQSPLLVDDTHDPPTPLSPPWKSPLSLGVMATSGAAFGFAMN KGQVHLPMVIQNQMSLSQFTMMKMFLAALGTSVVSKAIFHALRPNDFEAIQRKRAADP SHAVVLAMGGLLLGIGMDISGSCPGSVYVQLGAGIPTALPVFGGVLAGSLLASALAKP MIGRWQDEKAKVKRFVALPWMAHAFVGLFIVGLAVVLEVLVPEDPLPSAAWLPSIAGV VVGSLQLPLVLGQVHLPMVIQNQMSLSQFTMMKMFLAALGTSVVSKAIFHALRPNDFE AIQRKRAADPSHAVVLATGGLLLGIGMDISGSCPGSVYVQLGAGIPTALPVFGGVLAG SLLASALAKPMIGRWQDEKAKVKRFVALPWMAHAFVGLFIVGLAVVLEVLVPEDPLPS AAWLPSIAGVVVGSLQLPLVLVLRRSLGATQSYESVLGLGMYPIRNTSAGKRLNVPSI SDLSTLLFVVFIVAGSAVATVTSTPPLPLGPLPSVSASLVGGALIGVGSTVACGCTSG HGLSGVALLMISSLIVLPFIFAGGIATGLVRSLLVV H257_18750 MTDSAWKSPSSLGVMVTTGAAFGFAMNKGQVHLPMVIQNQMSMS QFTMMKMFLAALGTSVVSKAIFHALRPKDFEAIQRKRAADPSHAVVLATGGLLLGIGM DISGSCPGSVYVQLGAGIPTALPVFGGVLAGTLLATALAKPMIAQWQANKRRINTTVL LSWPAHALLGVVILGSSVALEFVAPELPLPSSAWLPTLAGIAVGSLQLPLVFILHRSL GATLSYKILLDKIFSPVREVTQFLHLPTISDLSTLVFVVSVVAGSAVATSTPPLPIGS LPSVAASLVGGAFIGVGSTVACGCTSGHGLSGVALLMKSSLIVLPFIFAGGIATGLVR SLLFV H257_18751 MTTYNKVDNQGALMGNWVEEEALRRDTGSSRYKPWSPKEGMGRS HPRVIAHSDAVDAKEYKASSKVSSFADFAVPSTVGPRERRRLEELHAQAKAIKEHLYA NGQDKDVSHESTNQASYKAYDPAYVAHGVVRVPPRGRGGFKDFDASIVGKTRGEVAAM DAANLQSHAAALPHQATVTRYSHAVTSGSELGFALSAAEPNRNPFGKSTAFTNDILDI KVVHGEASEPGAEATPGIGINIQARAAALKLKQGLLLASPDKRRALVRLADSTTSISF TEFCAGLHQLGLAALPPKDMLHQVFMYLDKDQVGQITWTALLALLNVA H257_18752 MATEKSALLVRGAKPTKEEALEAAATEGDLKKLEMQHLINTKDA WTWYRLACMFRFAYMAFLVLMVIVMFSFSTLMEVFFSVFLPSAQVAPGFVVRCIVFLG LLPFLIIWLSYVFEESCRLFMDTLNSSEGSLPNFRLSVAVVIHYIRHHKQMPEDGVGR AITYDPYKDDKPESTDPTPPPQAVQMETEHLIMHPKRRWQLAIRKVIARTNAVIVFGT TAYDGPPIDYSTFVLVDLLCPVLFEITTLTGFISELVATQSVNEAFYRYLRVGFFTMG FYLFVWMVCHWYSSRNSRMRELVANYHRTRRALEKKISIIIHEETFKSFWLLDLGFRV SHTVKRAVEKAPAWCCCCFQSEKATSADNNNDNHGYHVQLEPSAFERWHKPHTDAIFN PWKRLSLNGRLAALFPAVIVSAYLSLLSFYLGWPLMGFFLIVGAHTIQQRFPQIFGDA FRHFVTAFILVSFVFFTSSFVVGTFVTGGDFEVLPPRIGQPIQVPRVETWGHIPRYAV CNLNQSGLSIVDFILLADAAYGRNTSLQTQMLHERFDGTGLHRWNFTDASDPHLDHQQ WFQVDFADINTTVVAIRGTASAADALEDMHYWFGISIMQAANIFVPFLSQLPQDFVVQ LLSMNLLEQVTPPPVYTKLMHKVTDLRNAGKHVILTGHSLGGAMAAMVGAKTRTPAVS FSGPGLVYTRGRFHLDEADIRDYVMTIKPVTDIVPRVDVLGGMVQEIECRKTNPLACH GSSTHACELYMTCGDKRNRDWTQAAQCASYMHQQPQPTTTQLTTEL H257_18753 MEKQRRPRLRLVEPTCAVSKGGTRMSVQGLWFKGPPTLLTIHLT IPLVNKTVDIPATYGTETHLSFILPDVTSMIKASLAEAWISLALTDCSSCGTTTTKTT DAPQLRIVLYPELTTKKVFPMHLPLSATSDAKISLVLNHRFSLSYIQSSPELPTSDDS PLPHLTSLPVFARISYVSDKTHAPIQVIRTATWNNAASALNGDVMLEFDPPRAAVGIM QVHVTLNKVEFFDSLGYTVLRDFVLNGIRPRCLVISSTQNTEVVLRGDYFLETGEIIV QLQQHDPTSRHKQPLPLVNVNGICSSPTEITAAIPPNTRYGLTLFAVSVNHGEHFCPQ VIQGLLIRDRPPQALVPTEGSSTGGTRIQILVPVLDDDAADSFQLLRSALKLTKAIRV KFEPENPSVAAQIVFAEPDMTQSGVIVVISPRFATDQSLFVVFVSISVDGGAKFAGKL PFTYYGQYKVQSLSIHHGPSTGGTRIRIQMNHPVPQTLPITVKFKGAARFESVVGQIV WENPSVIVCTSPPWKSIESVQLTKVQVSLNHGVEYIPPDDPSSNAAKFR H257_18754 MPSVAQKLYAVHIATASSVHHAAQSLGYGERTVRRWVMEQDKLR NFSGSKARQRNTGICGAVPIIPDAHDLVIHMKDIRRQEMAVTSSHMLQFLRSNHTDYM STRKTEYKSLIWLLQHFADRHGFCKQRICRQKTTQVDLEGTRLEFGRYFHDKYPGMAM DTVYNASETVMYYDMCPSTIWAV H257_18755 MPSVAQKLYAVHIATASSVHHAAQSLGYGERTVRRWVMEQDKLR NFSGSKARQRNTGICGAVPIIPDAHDLVIHMKDIRRQEMAVTSSHMLQFLRSNHTDYM STRKTEYKSLIWLLQHFADRHGFCKQRICRQKTTQVDLEGTRLEFGRYFHDKYPGMAM DTVYNASETVMYYDMCPSTIWAV H257_18756 MIKAQLLEQVQLLQQQLSHQPPQLSHQPPQLQGDNDVAPTTTPK KRISTKPSTPSIASSMATSLVDTASEAPVVYTHTMVPCKQLHVLWEKLALRFNILTEQ PVDSLKNKLRKLRSEFVAIQHSLTATGNDELSTPPKPSYYSEMLFGMERAPSASPGAE DDVEVLEDLHAPGSKQQNKRKVEIDLEMQRQRQMRKKQNPDLAAGLNNLGEALASGLI EAAKVKNSRSSGVDMSEQMTKLLDLMEETKSSIDKTNDVNDKMLEFLQGKF H257_18757 MQRQRQMRKKQNPDLAAGLNNLGEALASGLIEAAKVKNSRSSGV DMSEQMTKLLDLMEETKSSIDKTNDVNDKMLQFLQGIF H257_18758 MDEVTAVCAAVLAAVTAALTPFDGRSNRGPIENNFVVPNNTWLL VKSTTSLNAWFTRHLRCPRPTFNRIVDSIGTAWPTVHPALHHLNRFGIDDRVACTLHY LTHSDGYESTAALFGISKTRAYEYCNQVFAVVQLCFVLDTISMPTTHAQWEDIRTGIE AYGFPNAYDAIDGIRTTRRSLTTRCLEKRATKESLGPGGGCFLGDAGYKLYSHLMTPY TIYSDIPQDEAHYNTIHSRSRMVVERAFGLWKNKFRVFKAELLQHRPSDMARLIEVSL VFHNWFIEFNEELDNFEPEFFPEWMHTGGDTVFDEELNQVDGAPAKRARDMIKLYLSQ HVSI H257_18759 MHHELFLALLGVCGEVVVERTASKRENVTTFTFDELATPCLLSE GDRQVLLPLLHVGYCFRRLSKFAQPPLDTSRRDGSSIHPSLYVHSFRQSLSATLAVYA KHVASLEQEVLAKTDSNLFPFARLLVDFQGELELFPFLCRLVDHIERKKLRGKGVLEM LQSHDRSGYPRVQACVQSYLCNAHRVFFRQMMSWMTAAHVVDPYGEFFITSPSSSSEY AVDLARVPLRYFPSELAEDVMFIGNAIKILGNNSQHHQAICSCLHSLASHRTWSTQVV RDELAKLRVVIASALGTRVVVQGQFIQWLARVKAFYLLGHGDFVHAVIDQASPVFANA PTIRSEQELNHGVWSAFELDASSMSLRVPLQEFSFAFNQPHVLDDDPRVRCRGLVLLG SWNVQVAGLAAADSPLTCWFHHIQYISRSFRTSFSVVLVDPPPLNAPPSEVSLVLQSD GVHVAAASCPMDSSSNVIIPSTSPSLRLQFQFHSVQDGACHVTTRLFVYNDGRNDAPS LHDTCTHLKTAGSISVVVDYAADISGWRVHMNDRLCFEYSMNVLKQVDGLARRKGGMF VGLVLLPPITLVSWSCGRVAADEDPWQYVGLDMPVPWPVAPLLLTPATLVTYNHVFQL LFRLKRVQFALNRTWKLSRHHSQSCAILRHRVLFALSHVFVYFERDVVDVHFHECVAA CAKSSDFDVVKKAHDTFVAQLVKRCYLYSATVMHAIDALIALGWDYCRHEPPHATRDA TYLASTLRHLCAVLANTDAHPLVLVLDFNGFFTHG H257_18760 MKIALVLSVVVMATPEKILPAVLQSLEQTSTISVAVSITEPNST TLADLINAQKGSTQTTNAFDWAKCNDLTSESVFLCDDMTKEEIDSLAALPDVQEITTV ADTSTPCPSKITPKPSTAGADRTTNAADTTTTAADPTITGTDPTITGTDPTITGTDPT TTGTDPITTTPCPSNITPKPSTAGADPATNAADTTTTAVDSTTSAADTTTTAAGPTTN AADPTNTTAAATLSMCENPVDDVDYYGNDIDSTRRSNSNDSDPTTTAADPTNTTAAAT LSMCENPVDDVDYYGNDIDSTRRSNSNDCCDDCVNTPNCVVYVWTPWEGGTCFLKRIV GAPSHYKDAKAAKLTQPSV H257_18761 MYATHDPASFISTVQLPPDAFDSLLVLFSGEYEQPSRRGKCGRP PRVVHAHAVLALVLHFYTAAVEQKTLQELFALTPNTCARTLRKGEEALARALAACPDA AIKWSLKATQARWAVMSNLRDPHVHGVFSFVDGKNSRWLHSEFVTGVLCFGLNGTLVW GRHNYPGSWNDGEMSRRLQEVLEDPAKTGVDMKVASDSAFPVSGRCAGRIVTPLKKGD LERHPPACRLGLKVMSDCITSLRQAAE H257_18763 MPGADESGNEPATVGIPSQAPAFGDLLGIHHRFNDANEFVTCVQ AWARARGFTVSRKGKNFSEKNPHPVHGGRGAIMWRSTLYCTHKDQACSGRSTCTWHIK FSFDKANLNYSITSIVV H257_18764 NGHYKGMHRVLPMDSGLPDIPASRAPNIPWTLVGCSYITLSIIC SVWYLYVVQPSLSNDVWWANYSSSRDQALVIDIFNAILPSQSSGALDIFSPRATIGRP YTAVIPSTAVYSTYPRQLVLTELTSVEYAVQNLRSLDAPNSLWMGTQYCWVDFQKRFE IAHTETRQTRCRDRYRSNGAVYMESMLRNQVWDDFMSFYGAVFEIAVQDWLVQSEDGR RWVATTSSARPTTTAAEEVALWTENGITSFTLQWQNDFVNGMSDAIVLVNALGMQQEL VLRSVSYAEVTWTSVILYWTIMNDMYYMYLTNRSLIRSANNSFLQHPEVVMDSMPTNV GEYLTQVDAFRASIGPFFCVDAFVVPVPPTVVALYRQFEDIVLAMARLNVDIDFAADA IQGTTLSPTPPSWTRQDRLFYGGNPLCLRGAPQVYVQNTFGFHDLCDKQTPLSLDYNL HASLFAVQATKRVQVDDICAVVAAPESCRRLCQSILEVEKHLPPVPASFTALFDDVFH QVTLLNVGIMQFASSVDGFNMTILFEPLLQDPAFQFFGWFFIYEWVSGRREVVRFDGD VASLTLMSVAESPVQFFSGAESIASATHGLYYVVVYVTAILATICTASLVSTLAFGTS KLQTSEFLWFNHVVGSVWIGRPLLLLRGGTAILVLSTTQLHLATINGVHSHFEFRPRH WFSTCVIAGEATWALYVAVDFLTVVTSHFTRSYAPLSCVIAWSVLVLVELTVPVLPWA WIDRVCTGQNMDQAIKCSSGGIRMGSFDRVRLILLIQSLSICAAMAISLVYKTVLERR HPVPAIRFQRYILGVADNYFPLEVSDLDDLASQNFASQLMAGLIPWRRGGLFDIKLWL HDTSHSRIARKATIANFSQLQPLPRKCVSERMQKRLTRMGEWLAVLYAFGGIAGSVLY FQVAQVNLANDLYWATFNMSGMHVFMSNWLNDELYLGVRKTETAMDVEYINQDGSFDQ DSSRIMSPSNFGQMLLYTELNAIQDAIVGLRASDACEVPWISTQYCFVDFDQRWDLAN TAARQQRCRRMTSNGAVFLESVWRNIDGHEFARCWGHEIDAAIVNDLKQSTAGQDWLN EVFADEKPSVSTEIAFWKTHGVSHFTTQWQNYKTIGLVNNYAVTNVYGISYPFTLQNE YSRFRFESETTFKMYWAFASDLAAVSNNATAIAGHSLIRSSSLFAFANTSMQSLLMEN GTVSSPLPNAYRLLQSELGSFGSVDVTYVPCPSILKGVARQVFTTLRQSLAQSDAAQV AYFNLPSGLNLMNPVPRQWIDLNFYSMSGSILCPEAQPNPISYGLFGLVAWHRDCSYT PLYAEVSYDRQNVLLAVVMSELMPKVTPEFLTRICQHDGAQNPECPNFIMSNLAFVSY YIHATLNTSISSIVAQATDAVVALNVEFVQYGRLDEASPLTLYRSLVFDPSDESFEFF SWVFLVDWVVGYREVVSFEGDVGTMTLLTEYQPFLKDHVHTAQIPVNLASYLRTVVLY VTSTMIFMAVLLLVYIALSHGHVDVMNLFKLQRVGAIVWVGRPLLFVRSLTAIGVLST ASLELYYSGYITFLKSAQVPWYMTILAANEITWLVAIVNDMALVLTQEYTPHYATGNT MLVWLFTATLSIAIPTSHAMVVDKQCQVAAMDSLVVCTSGHLAIGQPLRLVGMVGAVL TCNALCYFAARHVMDKPESTPLKSVFLYAGAKYMFSTADWIDGHIYYMDRMSAAMNGI LTIRRGTVMYGLDIKLWRTLHVNLADTSPHTTAAHFALPLHMSNRYSG H257_18765 MTKIAYKPIAISEASAVHAIESASYPEDEAASLAQIKLRLDLAG AFFLGAYDSLNDQLVGFVNGTLAPRRDLEDETMSLHDPNGHFLCIHSVVIDTAYRRRG LASAMLKHYVDGILANQPQVEAILLLCKPHLVEFYVQAGFRVTRLSPVVHGKEPWLEC VLDCVAMSG H257_18766 MDEIDLELTDAVSRGDAETVAKWIDLGRVDVNVADRDGDVPLLV AAKKNQIAMLELLLQYGAWVDATDANGWTSLMEAALHGFEDVVRVLLKWGSDKSLRNA QVLFSK H257_18766 MDEIDLELTDAVSRGDAETVAKWIDLGRVDVNVADRDGDVPLLV AAKKNQIAMLELLLQYGAWVDATDANGWTSLMEAALHGFEDVVRVLLKWGSDKSLRNA EGLHALDIAVQYGHGPIVFLLCDGHDPGVGFF H257_18766 MDEIDLELTDAVSRGDAETVAKWIDLGRVDVNVADRDGDVPLLV AAKKNQIAMLELLLQYGAWVDATDANGWTSLMEAALHGFEDVVRVLLKWGSDKSLRNA VSDTSLLWFVQYHVENLEFRRGFMR H257_18767 MPHQLSRSVQIHGSAGPPVARRRPEDASPLREETFRQMMLLHEA QKVVSWVPDSLADRCYHCQALFSLVLRRHHCRRCGNVFCDTCSSSRMPLVSAGFFTPV RVCDKCCEAAKKTHRRMYNERRRLSQSVTSAAISHGVVETMASSSHHDLHSLLPLSPM DESESSYAASPAAALALTTMIDVIPGEVVMYRGPNVHLRIPNGCEYAGTVYISNYRLV FSQTTAPCLSTSEACARTHSRHATVTLQADHVTAAPRYHAIPLRTIERVKRQELADSD TGVLTVFCKDLRRIQLVFLGLVQQQSFSHFDRCDRELKGRGGPVHFAKVHQETFPHAL WDGWAVYDPVAEFNRLGVGATTKWRITDINQSYLFCPTYSASIAVPAAVSDQVLATAG AFRSKARIPALTWRDKKTGATICRSSQPLVGLGQKQCAEDILLIQAIAATNPSSSTMV IVDARPWRNAMAQKTVGMAGYELTSHYEVKSTPTPDNTEASSTSFDLPLHGSHAVDPP TLHDKTVMIGPTTCRLVFMGIENIHVMRKSFQKLTELSLSPDPLHDPPGRWHEQLAQT KWMDHLSRILHASVEIVRLVKTDTASVLVHCSDGWDRTSQLTGLAELMLDPYYRTLRG FALLVEKVDIDR H257_18768 MRNSPGSFLGTIRGAETHVAQLFRYTSPAASSSLTCVFSLSSWA GGYHHIPHLTGTVPGLIRTRRGSTLISSSSLISRLNASQSFTGEVTCSRG H257_18769 MEPEPATPRALAPPTTYDPQQGSLSDRTLDDGFYTASAAAANPQ PEAATEPMASLPREQALPRIPETSGQQQGYPPGAAYGGLPAQSYRPLARQSSRVGSGS SMVMSIVAPNYHGVPTTTTRQPGQVPAYHGRQSLMGIIHGGQRVAPSQHTSFHHHVYA APVQAQGQGWVDDVRAREHALQQHEMQLAAHRERIEADRRQAHFEWEQRQMHQAAAQY KYAVEQDATAHTAQFKANLEREASGYKAHLDMEQQRAFNNANLNWQQMQQQQHQQQQQ LWQQQQQEAQTTMDQRLAAMRQEERARYNAQLRAAGVDVSAEGDAGVNIPTEGTAENT QLPGSPLTSGVGEAPPGSFEDGSTFYTMGSRPMSAPVRHPTPTSPLPQSTAAPLISGY TPTKPPVYGKDGFKERDPQKFAKRFIVYARGQDAISVSSGVRIGTVSMSSCMTAEALA HHARFQFDRPIEDIRETEWEAMFEAAMLIPMSSKAVVVARLKQLSMDNTLLRTSDRMT DWQARYMDILTDEAAEDIDFFHPKAVNQALMYGIKPDGAKALVRNSYDFDDKEIKFNI SKFWSHTDDSANITLGRKVMETLGYDLEGFLQIAREQRDVWDLADTVATDDSAPTALQ RVFKTWHRGTVDEPDPVVDDEDDNSAAYAPDADHDTIVKELLLDAVRAAQANGLSEAG TREVHSLVMAAIDVFRVAPRNEPPVAIEPLKVVLQQGAVPVRCAARRYNPIYTVFLAN TVAAWLDQGLAVINPDSHWASAPRVVPKKNGELRLTVDMRGVNAVTLPLVWPMPILDV VMSRLSGKTVFFVGDWFRGFWQLGLHPDCQEWFSVLGVNCVVTPTRVQMGQTDAVAYC QRVAQEVYGEKYGHGLEGWVDDVLGSATDERSLINLLQFLLARCAQYGLKLHPGKCTF YATEIVWCGRRVSAAGISHDPERIAGLTGLSTPTTADQLQQFICALNWMRQSIPQYNP LVAPLQALLEVVCTAAGTRKKTRLASVALADHGWAPAHAECFVECKQALMQLVTLAHP NEDFDFYSLNVAKDVVEPGY H257_18770 MECACKDTTCLLLRYSTPNRDLLDQVLRICSSFDLKLNPTKCDF FLTETVWCGNLRRPAPTHRVVGWFTTNRYIHIWVSDSDSHFKNAVIDKIGKAVGTHHE FTTAYYVSPTSLHPG H257_18771 MVQAFVRDRRSTRTRTTATDVSNYLREMSILDVQRYLRAQGYKR GNRKGSSTYHLSKANALARDVYVRKMQALVDAPDGPHVVYTDESYIHHHYKSHHQALY DPSDTMDLPSKEKHKGRRYCFVAAILDSPTLESKSTAKQPKDYHGMFDTEYYVAWFGR LLDEMDEGGITNALIVLDNAKYHKSLPKSTPTSGRRKGVLVDACRAYGIPTSGHEHKS ELWGLLATHIRAHVKPIITDMAESRGHSIVFTPPHHSDLQPIEIVWAIVKGEVGRQYT DMTKFPDVKLRLEAAFANLKPSTIKGCVRSAQEKLQLLHNHLVQVDALESESSSAASG NSSDDGSDSDD H257_18772 MKFGAFVLASAAAARQSVMSLSSTEKAILGVDLAEWENEFGSFA TEHGLLPRAPATESARTEEAILDDKLQRLLDTKFEAELAQEQNPDAVFSWKNPFALLN EAEFKRHVAISFERDGQQFLNGTNEVEVAPPASHREAAVDWSTRCNPPVRDQGECLSC WAHAAVGVAEAAHCIATGNLLSLSVQQVTSCSTKGGSAGCNTGFPSYAIDYAAEGLCL DSAWPYRGQTGTCNNQCSKQRLAIGTSARTSGESGLSNALYNQPVVVAVASANNVWKN YVRGVVSACPAARSDHAAIAVGYDGQSYKIKNSWGTRWGDGGYIYLRANAGGRGTCNV AEYVFFPKLGASPYQPKPGCGNCNACYYPGDNSCLSDFNKADCEYYSAMHGTKWCAN H257_18773 MLDKSKRPKLVPRFIVASLESRVHDVFNVDRLKHYHPNEAKFAS RPIPKATPVILDESTGEEMYIVEKLLKKRQFNRKLEYLVKWHGQPESEATWELIKDIK HVVLFKQLVQDLESRRFKV H257_18774 MSIEKRQTPAVQDHKARAVPEAADAAGKVLPPQPTSLIAHVLIN YRWVFVCFFLLPLSFVYDILYYLRNRVIFYANSAPNKHAERVRAVQEQVLKWNKDGRK TPMCTARPGWQNISYRRGLYKKTLSNIKINMMDVLKVDTTGKSVWVEPLVTMGQLSAT LSPLGWCLAIVPELDDLTVGGLVMGTGIETSSHRYGLFQHICTSYELVLADGSTVICS KDVHADLFYSIPWSYGTLGFLTAVEIQIVPTKPFVKLNYHPVSSVKEACDVLETAAKD TEANHFVEALMFNLDKGVVMTGTMVAHAESTKLNEIGRWYKPWFFKHVEAKFDTPETE FMPLRDYFHRHSRSIFWEIQDIIPFGNSTWFRYLLGWLVPPKVSLLKLTQSDAIKELY DNHHFIQDMLVPMSCLHDALHLFHQEVHVYPLWLCPFVLPSRRGMLNTDNKGQGRTSL FVDIGAYGVPSVANFHPVHTTRRIEAFVRNHHGFQMMYADSYMSETEFEAMFDHSLYD QMRAKYDCAGAFPRVFGKVSRAVRD H257_18774 MSIEKRQTPAVQDHKARAVPEAADAAGKVLPPQPTSLIAHVLIN YRWVFVCFFLLPLSFVYDILYYLRNRVIFYANSAPNKHAERVRAVQEQVLKWNKDGRK TPMCTARPGWQNISYRRGLYKKTLSNIKINMMDVLKVDTTGKSVWVEPLVTMGQLSAT LSPLGWCLAIVPELDDLTVGGLVMGTGIETSSHRYGLFQHICTSYELVLADGSTVICS KDVHADLFYSIPWSYGTLGFLTAVEIQIVPTKPFVKLNYHPVSSVKEACDVLETAAKD TEANHFVEALMFNLDKGVVMTGTMVAHAESTKLNEIGRWYKPWFFKHVEAKFDTPETE FMPLRDYFHRHSRSIFWEIQDIIPFGNSTWFRYLLGWLVPPKVSLLKLTQSDAIKELY DNHHFIQDMLVPMSCLHDALHLFHQEVHVYPLWLCPFVLPSRRGMLNTDNKGQGRTSL FVDIGAYGVPSVANFHPVHTTRRIEAFVRNHHGYVVPASSI H257_18774 MSIEKRQTPAVQDHKARAVPEAADAAGKVLPPQPTSLIAHVLIN YRWVFVCFFLLPLSFVYDILYYLRNRVIFYANSAPNKHAERVRAVQEQVLKWNKDGRK TPMCTARPGWQNISYRRGLYKKTLSNIKINMMDVLKVDTTGKSVWVEPLVTMGQLSAT LSPLGWCLAIVPELDDLTVGGLVMGTGIETSSHRYGLFQHICTSYELVLADGSTVICS KDVHADLFYSIPWSYGTLGFLTAVEIQIVPTKPFVKLNYHPVSSVKEACDVLETAAKD TEANHFVEALMFNLDKGVVMTGTMVAHAESTKLNEIGRWYKPWFFKHVEAKFDTPETE FMPLRDYFHRHSRSIFWEIQDIIPFGNSTWFRYLLGWLVPPKVSLLKLTQSDAIKELY DNHHFIQDMLVPMSCLHDALHLFHQEVHVRTCV H257_18775 MSSTKMQAADTAPPDPTLFQYTNALKQFISPTTILPEKATGPVP TNKWWGNLLSLNAAGRLDPVYPSPYAVFIDNVAATIACSYLYDSMHQGPINVNGAISY YYFPRSSNLIFSCQAPSVQFSVEDWDDLTVQVSLTSGSSAFLRSVMALGQAYMTIQHG DVPICLSSESGIAAVDGQPFGDGFLYHGQVDVGKFVVTLHNGQRWLVCWSSPAKGQAL TFTCDKQVLKSGAHFNGIVQAAIVASDDALQILEQYAGGYVHRGVVTCDTVHGFQYHW ETRRAAVQSQQPTKASPPVLHFVLEHHKSILTFHSQAVVPALTLHSHTRGPMTAYLID SASGNIWDFAFSEQDEQNVERCAQFHAPRDPSVQDIVENRLVDHLQDEINTAATWTLP PGYYFKGKALQKYGSLCLLAAKLASYKEFQTQVAPLAATALSKLKQLLDTANEFPLCY DVVYKGLITSEVFVKHDVNVEFGNAVYNDHHYHYGYFITAAAMMYHLDPSYMTKNTRL CAWIETLVRDVMSASIADAYFPRFRHFDWFLGHGLSHGVTSVVDGKDEESTSEEINCW YGIALWAAVTGNTSYANVSHVLLKLAALSHRTYFFVSKSNVTHPPEFRKNKVSGILFE NKCDYTTWFSASRECIHGIQMLPVSPVLEFSRPLSFVAEEWADVLCKLELPPSNAWQS LLMANYSAICPRTACAQLQHCTMDDGLSRAYALYYSLTRPSS H257_18775 MSSTKMQAADTAPPDPTLFQYTNALKQFISPTTILPEKATGPVP TNKWWGNLLSLNAAGRLDPVYPSPYAVFIDNVAATIACSYLYDSMHQGPINVNGAISY YYFPRSSNLIFSCQAPSVQFSVEDWDDLTVQVSLTSGSSAFLRSVMALGQAYMTIQHG DVPICLSSESGIAAVDGQPFGDGFLYHGQVDVGKFVVTLHNGQRWLVCWSSPAKGQAL TFTCDKQVLKSGAHFNGIVQAAIVASDDALQILEQYAGGYVHRGVVTCDTVHGFQYHW ETRRAAVQSQQPTKASPPVLHFVLEHHKSILTFHSQAVVPALTLHSHTRGPMTAYLID SASGNIWDFAFSEQDEQNVERCAQFHAPRDPSVQDIVENRLVDHLQDEINTAATWTLP PGYYFKGKALQKYGSLCLLAAKLASYKEFQTQVAPLAATALSKLKQLLDTANEFPLCY DVVYKGLITSEVFVKHDVNVEFGNAVYNDHHYHYGYFITAAAMMYHLDPSYMTKNTRL CAWIETLVRDVMSASIADAYFPRFRHFDWFLGHGLSHGVTSVVDGKDEESTSEEINCW YGIALWAAVTGNTRYVLLLHQSVYIFVIGVFVGRESKIDQSKHMNQRANQIHSSNNST SN H257_18775 MSSTKMQAADTAPPDPTLFQYTNALKQFISPTTILPEKATGPVP TNKWWGNLLSLNAAGRLDPVYPSPYAVFIDNVAATIACSYLYDSMHQGPINVNGAISY YYFPRSSNLIFSCQAPSVQFSVEDWDDLTVQVSLTSGSSAFLRSVMALGQAYMTIQHG DVPICLSSESGIAAVDGQPFGDGFLYHGQVDVGKFVVTLHNGQRWLVCWSSPAKGQAL TFTCDKQVLKSGAHFNGIVQAAIVASDDALQILEQYAGGYVHRGVVTCDTVHGFQYHW ETRRAAVQSQQPTKASPPVLHFVLEHHKSILTFHSQAVVPALTLHSHTRGPMTAYLID SASGNIWDFAFSEQDEQNVERCAQFHAPRDPSVQDIVENRLVDHLQDEINTAATWTLP PGYYFKGKALQKYGSLCLLAAKLASYKEFQTQVAPLAATALSKLKQLLDTANEFPLCY DVVYKGLITSEVFVKHDVNVEFGNAVYNDHHYHYGYFITGTQPPRSSIECLYYIYINI YYCASGCIFPILDGLLKESHIVPRYLIDSFPFVDKNEHGTANQRRAFSD H257_18777 MSHFFSPISNNNSEVPTLSGPFVNIVRRRCLLVLGRGCCPQDPC HPRPSIDEMDEIMAFLRNDGNSVDDSIDFIQARTSTLVVDMGAANIPASSIRTLWASM LQATQTPLVHQALRVKVGGITQLNTIPGQRGGFAFSVTEEWRKQLYGQPIISGGKSFA FGKAHPLDTIFYLDITCTRSTFPIKRMVQALVSLGTKVVYFAHGEITEYEQNFSTWRV YFDSDNIPKHCLKWCSAHDT H257_18778 MKPTGIQHRPRPFIAQKLQAVVTAQATGVREAARELGYAERSVR LWVQEQSKLASFEGSKTRKKNTGNRGAKPILRAAHALVTYTKDLRRHELAVTH H257_18779 MPLNRHTPAMTTQTHSPSTGGNNDVSGYREPWVNSPTETLLRKH SDLGPCCSDRQFARQEHIQIATLRGWLKRKHEYLESVKRGSNTTLYGHGQLESVSYGA DLVKFMDSVRDIEKFLTTAHVVTWFKTHQQPWLVAYLDGKPDQVRAYKAGTMCVKEFA GRAPRKACRVRQVVLAKVTAYDPANIINIDETGVHYDMPPRRTWARIGESSKVFELTV L H257_18781 MDHDTSNQLSRPVRRHTYPISLKKQAVALRDCMSIREIGDHLGV HYSNVRNWVRVANKLSDFKGNKKSSNFMDTRRHQERALTCSHMANFLKKHQQQWLQTY IERQADGCGYDNLLRLLQRFCARHGAEFAVHFYSEHGSLPDDCDYNVDETGIQYDMPP RYIWSKIGGTPKLSKGEKHSYRMTAVFTIRRDGEKLPILFVIKGQPGGHIDNKELQGV ATAAEIGYDVCPLPPNATSHCQSLDGSIMAPFKRPCVTYGSPKTPLNTTKKTTKIGCH LRLTSSE H257_18783 MSKRKKPAASPTDALPTASAEASAPWIQRFQLLDSCYCFLVGKK AIPSLKTIVSLAAHLSGNPSSLEVSHVRQMVSIGVVKLDIQARDKVIVQDDFNPAAVD PHETIELVQFPDAPHPSKRASTKRMLLFQHALQTTTIDLANVPKFEPLKRLKSTSSQP SASSPIPYLNTLMQASYYDGQVVHIETIPSRAARFGDRQLQDLGVCDTVCRSVLFEKI YSHQSEAIQAILEGHHVVISTSTSSGKSMVYNVPVAHALSTSNSTAFYLFPTKALAQD QVQSFRTFLTRCDGLDASLCATYDGDTPMAARAGLRKSARVFFTNPDMLHVSILPQHK AWRTVLSRLKLVVIDEAHMYRGIFGSHVANILRRLFRLCYVYGSSPQVVCCSASIQNP RQHFSWLVPHQSLQPAARTPDDDPSPNTNASPEVTVIEQDKDGSPCGTKHFVVWRPTP PPVTSFDPNEPHNPDLTGSTIFQSAQILATLVAAKVPTIAFCRGRKLTELVVEYTHNI LRRQNQSHLIPRVKGYRGGYSVESRRAIEAQLFRHELLGVVATNALELGIDIGSLECT LHLGYPPSIASMWQQAGRAGRSGHDSMAVIVCFDSPLDAYNTALGSAMFAKPPEPVVL DPTNLFVVKQHLQCASLEMDLLSPRSGTLDIDRVMFTRHVDEIVVEMASAGQLMALGD QRGFRVPAKISLQDMTIRDISSENYSVVDVLNDNTVIDTIPGNRVFFQVYPTAAYLHQ GREYLITRVDTAAKIVLAKQSPSRLKYYTCCRDFTDIDISTTFLPSVAYSSHVHLGIA HATTYVVGCYSLEKRTQKILNRTDFSLPPMEADGHAVWMDIPIHVGGPSKGLRDPIRD ALHGVNHLILAVIPHFMLVDGKDLATEHVTKLETRARPSRVIVYETCQGGVGIVQRVA TLFPQIVACAKSIVDTCDCVDGCPRCIHSPHCSELNLAVSKPGAIAVLAYMAGLLLCP H257_18784 MEVARTTIPGLSFPTDLLFNLDAVRKHCTADLATHNACLSMLKG RMQLEHYYATELARLADQFKIDDEDDSNDPVGAELGAIDGKRGADDDDGDGGNDRTSR SSTLKEALRGLRAQYTNTSVQHKALAANLDEDVYQPMHTLYKYLVKKESKLTVCTTRV RKQTKAFEEHYRKQHIKFDKHFKDASTTYAQAMDVGIAREIIHNQYLASPVHLRPHEK HHPDKRTTPPPTHSLDPAATSDAVSSPQHSPELITTIGTPAKPPPPSSPHITVSDGTG NTSRPRSTSMSRSTLDGTKLVSWLLPSGQQKKDNLLITSVKAIETAETSRHECRVAWN GFEDARVALFRSIQSILNDYQHMAEYSISNLTASLRKHVIFESSALANSQYDWQMLAT VMEAVDAERDIRAFIVANQRMVVPSMTVNDLCRADNLPLPPSSAPLALADITTRKCPV DVTGNTHTVYGWLTTRSQSCQAKGNLPLVVSTVTAKALLAALEADQPTRGDDKQPEST GERGDVYEATKALVDMGGPQSNDDNGCCEDQC H257_18785 MSTPAPSVCPDCQKHLGAWFNRGTACALCSRVCCATCLDFALYT DPTTISSMCKACFTSKWALDMTQHVEVFGPSIQESAGPAVVLVHGGGGCRSMFIPHAK SLAAVNVRCVLVDLPGHGSRMDELLTLDSAIAVILEGAALAGTWRGDVAPVYVGGSLG GYIGMELLGQHPTMFSKAVILMCGQNVGVNRGWAAGLGLIVLDWVSSTFSAATLLKLM HDQVQANGHLDRDMIENDIKKCGFFFHQSKAQIAILKATNPAEALKKYRGPVLFINGS KDHRDSDAVWLAAASAPASKLIVYDGADHFFSHDTRYLPQFLDELHAFVLPTPPLD H257_18786 MAHQVPFHNPNAPYQPHPQQRQRPAFSQQPQQQAAADGFYSSGP PVGGAPAYGDQPAYHQSGQPLAPVQPQPQYGGASPSHPTYPDGMMGASNHPSSNNSMG GFFGEMGQAVNPELMNNPMAAYAMNHGAKMMEDQVKSFMPGAAAGALNMFGSVKYYFT VNNTYVVHRLKMLLCPFIHKDWRRIVQNEGNNTGVDVVYAPPSMDKNAPDLYIPLMSF VTYILIVGYIKGASGRFNPDVITEVSTYCCLMQLVEICLMKLGLYLLNSQINWLDLVS FTGYKYVALVINTVVHLILGYIPYYVVLAYTGIATSFFTLNGLKGTVPEPNHDQRRFR NYMLLAMAVLQLLLIWWNSYSSEIQ H257_18787 MAGRSLEKKLQYLKEEAGDLAEYLSDDENDQQNDEANAAAAEKA AVNDYSTCVVVEKAPKVNAAKYDKLLAVFNKLVSQIGAVVAIDMPYLPGSADPLTGGY LFIEFETEADAKKAVTTLHNFALDKKHTLQAYLYQDIQKHADVSDTYTPPTRPEFVPR PNLNTWLSDPTSRDMFVLRHGTETELYWSDQGRPELLYDGAREKVNGKQWCSQYVAWS PLGTYLTTFHPQGLALWGGDSWEKTARLAHRQVNVALFSPQENYLITSNGLEGDNGIM VWEVATGKLLRGFPLGTKGHNAPLDTPFKWSADDKYVARRGKDAISIYELPSMKLLDK KSLKADGVEDFFWSPAGTNTLAYWAPEATNSPARVSLVELPSRREIRQKNLFNVADCH LQWHPSGGFLSVKVTRHSKSKKTLFTNFEIFRTHEALVPVEMLEMKDTVRAFAWEPKG SRFAIVHGENASRTNVSFYDCHGGPRHNEVTLLYTETEKPVNALFWSPYGNNIVLAGM GELNGSLEFWDVDERQSISIQDHFKFSHLEWDPSGRVVTTAVCQPINNFNAKYTMDNG FNLWTFQGKLLEEKKKDQFYQFLWRPRPKSLLTDAEYKDVLKNLKKYVKRFTDLDKKR EKERLAAEEAQKKALVESFRARVAELKAITQARRSRYIAVADGYDSQDEDNFVVKTTV EDVIISQTEEVVRQ H257_18788 RTTWEGLARVAQSVEKNIDSGTSKFAMPTSPKSPTKEPLLDDNE RLPDVYNSLHFKSIQDPYRSIASEGMSMYSTIHANDVASMLSGGLERFYEKYRLLSKK ANLHLATPEVRFENLSYTVQARQMTMAEKQGTVGSYVGRMFTPFKQTVYKEQVVLQPM SGIIKAGSMTLILANPGSGKSTFLKALAGKLDQNKKCAQGGDITFSGLKATDVDPLKI VGLVDQRDNHAPTLTVRETFRFADYSLNGTPDSQPEELQEVAKLRTEMIIQLLGLSNC AETVVGDALLRGCSGGERKRVTVGEILVGGQSVFLCDEISTGLDSAATFDIVSSLRTW CKTLGGSVVIALLQPTPEVVEQFDDILMLNEGYMVYHGPRTSILPYFENLGFYCPLRV DPADFLIEVTSGRGKKYLAADDKSRKIPIYPNEFNDVFTQSELHKSTLTELSAGFNVP SHLQTAQDFAKVKSVTAIARTKETSPFAMGFLESTVLLLGRQKTLWLRDRPLLWGKLA EALIVGLCMGAIYYKPAPSIYLRMLFFSCAVFQRQAWQQITIGFALRSVFYKQRSRNF FRTVSYTIAESIVQVPVNLCVSLLMCTFFYFMSGLTVDAGRFFVFLATCVSFQHALGA YMSFLSSISPSITIGQTLAAFSVCFFLLFSGNIILYDLIPTYWTWMYWFNPMAWALRS VVLNEFYSDNYQANTTRATNLRNVQMVSFSESYIGIGILVLLAYYILFTAMNTAALHY FRYEKRLGVSGGSKVGEDDEDNVYIQVATPGGTLVKQERKKDQGLAFIPANLVIKNLD YYVTLPTKVERQLLNNITASFTPGRMCALMGATGAGKTTLMDVIAGRKTGGRIGGDII INGEPKNPVNFSRITAYCEQMDIHSEMSTIGEALWFSANLRLPETITADEKRNLVEET LDLLELNGIINEQVGDLSVEQKKRVTIGVEVVANPSILFLDEPTSGLDARSAITVMKG VQSIARTGRTVLCTIHQPSISIFELFDDLLLLQRGGFVAYNGELGQDSSKLLEYFASI PGTEEIRPQYNPATYMLEVIGAGIGRDTKDYSVEYTKSELCKSNVERALRLALPSPDF VGFSTLNWTPMATSFGNQLKECVTKCLQTYWRSPQYNFVRLTSFPWFALVFATTFYQL PRKTVSEIRSHIGLIYNSMDFIGIINLMTVLDITCLERAVFYRERMSNYYGPLPYSLS LFASEVPYLVVAVSLFVLVEYWMIGWVPAYFVFFWFTFFLYTSICTFFGQWMCALCPN TKVANVAVGAVSCIFNLFSGFLLPYPMMRGWYKWIIYIVPSSYSLRSLAVSQVGICEN GEGNGCHQLEGLANYTGNVADWAQKEFEFNPENRYNYMLVLIGMWVILQTCIYLTLKY VSHLKR H257_18789 MSAFDNKKPLLDDEDQLPESYKSVNMRSIQDAYRSVQSPRHSLY STIHAGNGVESMLAGGLDRFYEKYRALSSKVNLHLATPEVRFENLNYVVQARQMTLAE KQGTVGSYVGRMFTPFKQAVYKEQVVLQPMSGIIKPGSMTLILANPGSGKSTFLKALA GKLDQNKKCAQGGDITFSGLKTSDIDTKKIVGLVDQRDNHAPTLTVRETFKFADMCLN GPPESQPEELQEVAKLRTEMIIQLLGLSNCAETVVGDALLRGCSGGERKRVTVGEMLV GGQSVFLCDEISTGLDSAATFDIVTSLRTWCKTLGGTVVIALLQPTPEVVEQFDDILM LNEGYMVYHGPRTSILPYFENLGFYCPLRVDPADFLIEVTSGRGKKYLAADDRHRKIP IYAHEFNQVFNESDMNKVTLTDLAAGFTVPSHLQTPQDFEKMKSVTAIARTKETSPFA MGFLESTVLLLGRQKTLWLRDRPLLWGKLTEALIVGLCMGAIYYKPAPSIYLRMLFFS CAVFQRQAWQQITIGFALRSVFYKQRSRNFFRTVSYTIAESIVQVPVNLCVSLLMC H257_18790 MTELLILDGRPHTRCSACFERLHHFKDRTDFDRDGIDRVKWKYA KKIAQVRKKLGKKFYRTYMPDDAVNMATLNGVLEVPFYTDSGNDANIISEEMLEALKT KTEDDVVQLVKTWKGYAVDEQPMYTDVAANLRIRLSTAAGPVNLPGVQLCYVISRSDS FPVSRYALQSTGININHLLEQVAQDQSHEDGDDVGEPDEDEVNCLRGGDSPFANGEQD LEKLDEEATASLLEKAFETLKIKHVKTRKVKEIVTQVSAKGVWRAQFRGTISERIKHG HQAKADAQPHPARKTSLLETKFLDAFGNQLEEDCIIYSNSSSAYCSPVNPVMKPSGKK LLKTSGE H257_18791 MPSVQPIARRHHRRYCVLQIRVFLRTQALLGPCASDCEFARTYN IPASTYLGTNNHGSRATFAGQGRIEQIPFAGNLVAFMEAVRDGEHFLTTAHLVPWIKS YNPQLLEEYTAAKPSNDRAYKSLIQWCLNFANWHGYSHRVKATQGELSALQDAFSFEF YSKLCLKGFTSASM H257_18792 MSKAHEDARDAYVSMMVPTVTMSPRRPLVYLDESFVHHHYSSHA DSQYHTDDPMTKPKHNGRRYCCIAGILDDGSDVAHLLGLDIFVGGKKSGKIVKDYHAM SNHDYFVDWFGKLLDEVEELGWSSAVFVMDNAKYHKGKPKSTPKGSWKKADLYQACLD RSVPMSPYRSQDDDLEGTEEALGRTCPACRCRHGTDPGPSCCVLCPGFPELQPIELLR ANARAPLDVRTFRQPHFKMYEISWRRRSMSWTQT H257_18793 MGYAMQATGGVFHFNLTSALQLKSIVMQKPQMIKYASSYGDFVL CDGTHNVSMYVLKLMPFTVVDCLGRNVLCGVALDESENSESVKLGLELCQLHAAGSTL MTDGGSAYPGVASDLGMVHILCTKHFEDVILKGCTGLGALAKSFKADCTSLLYTTMSE VEFQTRFDVAEAKYGVAKEPAKALLSISRHKEKVCRAFTGSVFTCSSLATQRGESMNS VIKENGFKKKELRRFNLLQLAEHLWSIFQRQEIKACDELVTLLVAKRRWSNYVDGLWR ANVLKAETLPHVTEVGGIWYVSGSHFDDVADAAHRIQDSMCRNLSENAVASTPHTYRL FMANLSTWVDGFRQSGYSGGLEPFTMPQYTSPPELIDVVDETRQAAANAVRGVAPPQK SSEKSKSCANKSVLSYRTGHPRKRKRTVSAPSSATQEARPPSESSVSSRGRLQRAKQF DNFVV H257_18794 MRHISEATGIPLGSLHRALKAGKLHRRTTRLKPLLTDENKAKRL EFCLSHIRPSGPPKTPTFDGMWDVVHLDEKWFNADKNVRKVYLTEGEEPEQQAWSSKR FIPKVTFLAAVARPRHDLERGINFDGKIGIWPFVQYQPAQRSSRNRPAGTLVATLVIP AIKATFPTINKRVVLQHDNATPHGGITDADLVPVSTDGWSFVVRCQLPNSPDLKVLVL GFFASIQSLQYKLVSRTVEDVIRATLAAFDQSGGEALDKVFLTLQAVMRLVLENNGGN HFRLPHLRKDALRRAKALMPNVSCPASLLG H257_18795 MWNPLGVADYGSDSDSSESETGRQETVGPSNAVAINEVNEEAVA APAPAAPDVVASVVLTHDIAADEPTNDIPALPALPDLPRARCDPAIQAKINKYLEHKE RGLSFIGSLRSKKEFDNPYILARVVEYFGIEEVQSNFPKDVFDPYGYDLDDYSDKLAM ALQKEQERVAMLVQQNPSLRWTQPSSLT H257_18796 MRKGRAAAAGAPQRGPQRDKPSPPVQTNEKEVIAAATVPHVEPP TEVVVVDWRVKYGFLNAIDKLYRAYPCPKKPRMPTSADAAPSWIQTMLPTTPAEFLNN DTAMQDLFNVCEPIECYQGGINIVVTGKELGGKTSFAHLFMQRMYPEATERKRRVLVL DAATTEESVLLTKLDAFKRYIEHPKTKPHTKFPYVVIENFHVLNPRTQQHSIGPQWEI LNEKHIFFLVTVAPDASKVTEQIKSTSKIVRLRPLEDIHVLEKLLTVCVNQRIGFVRP AIDYIAKRRRHALGPCLDTLQQIFHAHQYLSMENVDRFFHRNMMHMKDTLDIADMCAP LKRCKVCTLVPPCAHTTLQHLHDRVVRLRAMYPQNNQRDVCPDFKHTGICHVFNRKGR CLYDHPPELHVIDTSRLAARCPVHTLPQPCSHCATLATTVAKAAALAKDKRDMEAKMA KLKKTIADMDYGLHVHLKANGATVVWGKAKDLLDAQTVELQDEIQQTRRELERMERHV KDDIEPVLGTLQEQNQRGYCKGLGKRMKLRAVASGDPQQGEE H257_18796 MAVQSFVVQPAEFLNNDTAMQDLFNVCEPIECYQGGINIVVTGK ELGGKTSFAHLFMQRMYPEATERKRRVLVLDAATTEESVLLTKLDAFKRYIEHPKTKP HTKFPYVVIENFHVLNPRTQQHSIGPQWEILNEKHIFFLVTVAPDASKVTEQIKSTSK IVRLRPLEDIHVLEKLLTVCVNQRIGFVRPAIDYIAKRRRHALGPCLDTLQQIFHAHQ YLSMENVDRFFHRNMMHMKDTLDIADMCAPLKRCKVCTLVPPCAHTTLQHLHDRVVRL RAMYPQNNQRDVCPDFKHTGICHVFNRKGRCLYDHPPELHVIDTSRLAARCPVHTLPQ PCSHCATLATTVAKAAALAKDKRDMEAKMAKLKKTIADMDYGLHVHLKANGATVVWGK AKDLLDAQTVELQDEIQQTRRELERMERHVKDDIEPVLGTLQEQNQRGYCKGLGKRMK LRAVASGDPQQGEE H257_18796 MCANQSSVIKAASTSSSRHVVLGLVRNLYTTFNQILRLVQGKEL GGKTSFAHLFMQRMYPEATERKRRVLVLDAATTEESVLLTKLDAFKRYIEHPKTKPHT KFPYVVIENFHVLNPRTQQHSIGPQWEILNEKHIFFLVTVAPDASKVTEQIKSTSKIV RLRPLEDIHVLEKLLTVCVNQRIGFVRPAIDYIAKRRRHALGPCLDTLQQIFHAHQYL SMENVDRFFHRNMMHMKDTLDIADMCAPLKRCKVCTLVPPCAHTTLQHLHDRVVRLRA MYPQNNQRDVCPDFKHTGICHVFNRKGRCLYDHPPELHVIDTSRLAARCPVHTLPQPC SHCATLATTVAKAAALAKDKRDMEAKMAKLKKTIADMDYGLHVHLKANGATVVWGKAK DLLDAQTVELQDEIQQTRRELERMERHVKDDIEPVLGTLQEQNQRGYCKGLGKRMKLR AVASGDPQQGEE H257_18796 MCANQSSVIKAASTSSSRHVVLGLVRNLYTTFNQILRLVQGKEL GGKTSFAHLFMQRMYPEATERKRRVLVLDAATTEESVLLTKLDAFKRYIEHPKTKPHT KFPYVVIENFHVLNPRTQQHSIGPQWEILNEKHIFFLVTVAPDASKVTEQIKSTSKIV RLRPLEDIHVLEKLLTVCVNQRIGFVRPAIDYIAKRRRHALGPCLDTLQQIFHAHQYL SMENVDRFFHRNMMHMKDTLDIADMCAPLKRCKVCTLVPPCAHTTLQHLHDRVVRLRA MYPQNNQRDVCPDFKHTGICHVFNRKGRCLYDHPPELHVIDTSRLAARCPVHTLPQPC SHCATLATTVAKAAALAKDKRDMEAKMAKLKKTIADMDYGLHVHLKANGATVVWGKAK DLLDAQTVELQDEIQQTRRELERMERHVKDDIEPVLGTLQEQNQRGYCKGLGKRMKLR AVASGDPQQGEE H257_18797 MSSHVGLRGHIAMTESVTQDESSMSVASEPVVTPIMEPKPRHTY DLVNDPAQSMEQSKWVKWYLGAPGTVLGTVVGIVVVLVLEQIPALHEFANANLEFADQ IHFIGRIYFRALTCVTIPLAFLNVTMCISDLVASQMRRKVLLVALTLFTTTCALAQGL FWCHFFASSFDGHAYLGGLPEADVTIQCPSRSSGNAPPALLQHDPSTGALSCVQSNFE GGYANFSTTSLPFEPNFPETYVKWFPSSIAEILNLINLVVPDNMTTMVMDNNVLGVVT FSLLFGVACGVRSRQDKDNRLVDILRELHAIFKTMLSYVVAVTPVAIIALIAAPLLAH THTLAVDGPRLAAFLGTFVLAAIIHCFVVLPLILIVSTRTNPFRFFGLMKDALIYGFS CSSSRKSTPVASRSMDSLEGNRNVARFATSIGTCINKSGGALYVCMALIWTFRNAGLG SLLTYSKLGVVGVLSVIGSLAIPPVRTGGVAIVVTFFTYLSGVPITYSYSFLLVAECI LDPLTTVINLWGNLLVARIASFNSV H257_18798 HAHEEASPCQREQGGPTSEYALQAFSNFSLSTDVESMVVMTVIV CDVRKGHSAPFHRYQTHSTVSAFDPTNRAAARFIRSNEFDTHMHYVDDYVA H257_18799 EAASAIGLEDSKYSTHSLRSTGATALFRGGASDLAIQLFGRWHS DAYKRYTRINGQEVAAEKFDTPTVAGAQPTKNSSETLYSASDLADAIQLVVAMTHTIA SAAEAYSIPQRTLRYHIAKSKHPSNVVMTLGPNPSLAVQDENDLATWILAMDRDGNPV RRHQIRGKQLTCTVLSTATLRRGH H257_18800 MAPRRIKFQDDYSKIHTPIWLDSTLADTLSSVNLQAATRTSKLY VSLGMVSLADFQQVLDATPTESVLENFLRRHKITSYRVSARQLRENYLSRQIGPLLRL PACPGIFVLP H257_18801 KSELFRPHQLFLPAPCVDGNFIGPNGDADVHPSPDLGMDITSTY RKPEFGPSPVSRNRLDPPQDRTYAKATSSKKIPPTNIDLSHREWLRLRNAECLYHLRR VQMKIRKNSGFQPLA H257_18802 MVKFLALAAVAAFAAADIEIVNGTEAPIGKYTYVTGLRANEYNS SKCGASLVAPKILVTAAHCAPTPWAKYASIGSHYLNGTLDGERIKIVKRTVHPKYNKA STFDYDVAVFELETESKFPPVKLNWDEDQFTAPGAVSWVRGFGRIYSGGPASPVLLEA DVVIWENSKCDAAIKKYRNYNVTSSMICAGGGFKDTCQGDSGGPLTVTRDGVEYLAGV TSWGIGCAFPGLPGVYARISEVRDFIEPFLPKAAC H257_18803 MCSVCKRQLKCNVASSSYTNLYCHLKLKLPGFAGIYAEAIGQNS KSAPLDAWFDPKATNIFNSVEWLIMDEHEFTFVESQLTRHCLKLAVDQFLKANVSEVI SKVAAVMVELRALQAVVMANAGSYPSPYKRHMQSSR H257_18804 MAQSEKRRNWSWDEDKVLLIQAATDKPLAAETGQLTKAWHALAD TLLACDHFTRVVDHRRFDKASAKLSGDDEEETEKHILLDDIVALLDDVKEIASQKTRN SVVKKEQAEQGALIVRDMAMRTMKRRKDNDSDEQKKKSALDNRRNSLAAAIEAESERE LVVREKELSFQQFKLQSEIKQRELDREERQAEREHQILMARIDNEKKLSMFKAFAESK K H257_18805 MDSTDYTFALFLVKWAKRKKLRPKLAMALYEYALDIPIERPLIP DVRFDLNMRDADALLSFRFEVGGVLELTCLLGIPNIIVTSCRERIVGVEAMCILLRRL RYPVTYYDMVATFGRSREQLCRAFIYMVSFVYGQWSQTIYCKPELFAPVLLSMLLQSM QKGPR H257_18806 MYHEGGREVTEHLEAQIAFGDGGFHVERLDEARCVDGQHQVLVK WLGLDDEESSWEPAANFLDDIPVVFRKWAAANKEYPAVAALIKTLDFPQETETSAPSC VRYCITQQHTEHVLDVAASLEVGKERSPRDLAKSTGIPHTNIQRWKTMSAKYLSFEGN KNRKYLSGGGRPESLPDSQALVQFMDKMRDDERALTDIHAHYQLPEEASQAVVDHYLG DNTGDRGYKALLRLLERFCSSSWIFKTTTKEVKASARRSGTNAGNFRGGLPQGT H257_18807 MNHTTSSQFTSLLPYHLVALTETKFTQLDHLESAHYHWNCADSG GLSFWTHMSSSPYDGRNGCGLLATSNCPITDMVDISSTMPSAATLRNRYLLVRGLLDD IVVRKVIISYVVISIPLCNPVLDQIGDYRSHGTGRAELLSWLSHISALDPWRQSNPTT LVYSNPTNSTRLDYVFMTPELFTDCFVHAEYHVNMRHHYFHCDHAPLSFHLETASLKP HTKAPWRCPTWLFQLTEVKAELERLLDTMLDALHSPNHRHYNPGFMFHEHIRAASIYL RQQSRQRHNAKLKELQSLRLNLASALQVHHRAPSADTDETLTAASHSIGRCDGRCVQR AGT H257_18808 MSIAQVAQYLEEFGATESVKQYDDSLLHSIAAQPSFYRPWLANK NHALLERYVDAHALQRFAITHDPSDTIWSQKRLLIADAAMETWHQDEPPTDGELLAYL ISRAVDMADIARELDLARRLQPGSFTLIWSDQTLHDQATNALGSMLLRQSLPTRFHEA IKIVQTDLPRYA H257_18809 MGDYLLTRSNLAIPIQQAIMVLATSTRWMSSHFPATPPNSAEWQ LRGISINANGINHLHRSLFSTLASKFSLIALQETKFTSHANLLKANHHWHTTDAYGTA FWSHTHSPTYDGHNGVGLLLTNACPIDDPVDITSDHVVQDSPLQNRYLVVQGTLEDVC IYIHVLYAPAQPQDRPAFYQALPRAFPDNAKHIVCGDFNTVLGPLDTDATASTSDPGR APLMDWQIALQLVDPWRIQHPTAMEYTSPQSNRRIDMALVSSHIFVNNVRRIKHAHGM KWHNSDHVPVIFDISTRTWSPRNISKPWRCPTWLLALPQVKEYLCGSLATLMDTLSGH SIPNYNPGCLLDENKRSDSIYLREAYATHHNTTQRRLHDLLLDARLKEADSRRRPSPE ALKAYKSAQTLYEKYYASVKESQKQSRFDQIYTAMNEARRNSYGPPRNPATKHDSQSS VLAKTTRRLTTTQRRSMEAPLTANEFYFAITKSARNKAPGPDGLPIEYYLTDPHNWAR VFEFQRRAHLSLLYKAGERLEPGNHRPLTLLNSDAKLGPKIMSYRLGKTLPTILHEDQ NGFVPGRPIQQALFEFTSIQQYCKLNDVTSAGAVLLDFAKAFDSVLWEVLDEVLRHFN FGPTFRAWIKTFYNNTLIYILINGKPLDPFELGAGVRQGDPLSPGLFVLFIEPMLNYI RFHLPGITIDRTKHATIAFADDCTGILADLNDTPRFLDLVEDFCKATGMRLNRRKTQV LPFIPWRDQALPSRLASLGINITPNSGHCKLLGVFFGPNVSHDRRLDHLLPLVHQRCL LWRHRARTIHGRVVLLRTMILPLIWYTTTVTCTPLDSLNRFSPLLLDFLNKKTTVELP DRAAKGILAKEWYTVPRTKGGLGLPTLSATTRSLQLNLFFGGIRQIRQHPGKTPKWLN PAITLFSQALVGHGCGMDILYLHLRQPARFGMGYYGRILGPYLHQVLCTWNQATTVPS VATMNMLDLFHIPFWITPSCTTTQQPLRTLTKTAGLFKALQSPLAHLWDFVQGGYNLP TAFTDALFWLAPSRTALMAGTAIARHLEPLTARILDIPSGPTPVESITAFKIRKQLHQ VQPPSIQLTRLGVHRQANWPQVWQRELSLDRHLLPIFGDIKFRLQHNALGFLYKFAWR HGYTNCVHGCDTPETAIHLFWDCPHAERIWKRYLTPLEFIFGRLGWQDILFTDSIHLK EEFKTQPQDGFFVLLHFVRAICFRQLWLQRNLLLYNEAFSDTLALDAEIQAYMWLHIQ AHFKRIDGQDKRKYKRFYDILRRGGDLRLRHPPTKQLPTGQAAPPKGPVCLLKTPKEV VKRGSHNHTQPSAGRKQHRTHAQLPPKEAIQTSAQQPPTTVIRHEGDDIAANTSDINR PTSMEADQQSKWHFRAEDPPNSPPSTVVIVDGGLLGGSCHLFRIRLYPGLPNRFLSPS VLRYSPTTDRHVQTDQAQGQTEPLGLIPPMDLAAINAKRDAWFATHDPPFISRPSDAE LDIAIDALMAANLTGDPSDLRSRALLRCPTKSAENHTMWIPAMKLSLTLPLASILQSL GSSSMPAIWTNNSDQLRNFTVVRGQGIRFDCIDASTCAKLSNLQLTICDEVHKVSSFS RHGTKYFVEISRLDPDVSDEMIFDHFFAMGCQPLDVRPAVNVGSMTSRNRVVHFNYAK IPPMLLDTAGKSVREVWFGQEELPAILNHRDRKYNKHLPPSIAARQTKPTAPATAKPN RKTNPSVPDGPMECLPFSVGMQDLAPSFTAGANSSPLVCTRPQPSDPPHEWYTKIKAQ CIPGFVAPAPADSHIYIEPKTAFEDPVNGVQSWSISLFNRFEALTSGEEGAIPQDIDI RILPVMEDSPRPLSACLPMPEWYSTLQSCLSHSYKV H257_18810 MDSEHSESSDSEHSATSEDEDMGQSMEHADSNPPTRSNSTNDLQ HTTPYDDTASEGSADGPTVLVRANFVDDDPNRRVHTRTAFPDDSPLWCRAQLSRRYTS KASSPILLPQAISVDSVATDHPGIVVHAFPAHYNSFEVLDDADDEIDATPAPYIVTVD GNPNLYATHARSNEDLQCYNAFNTDVESMTVGELTDYLEHYANSFQSEDDSSIALAMI QANPGHLAPILDVQTPTNIEVLVHKAPGHALQRFIQSHSYLDRIIDAMQEQANATLPQ PLWAHLWPEAATSNNPTSLLNQPEIYFNAIKVSALVHQACHKHGGLPRLATLTLAPHF LWSDEPFVL H257_18811 MATHDNKPADFEERVSYVHSATTKDIDEYAEAKSPKGLEEGALA EGGALDVFSREAFALYIQYGAVGVIFGMIPVLNYPIFNIYLNLEGYQTASYLVLTRIG WSFKVIFGLLSDCVTIYGYRRKSWILIGWTIAMICLSVLAFLPFGEPFCNRAKTKYCR EALEQVPAAELQYFNVDAPNNGTFFILLSMFIAFGYVLAASASDAMVVEYAQREPEAI RGRIQTAVYVVRTLTGILAYLVIAFGLNGPNYAGSFSFELSPNAPYGICLVPCVLAVL STLFLLVEKKTEPLSFTQWWGTFWESLQSRVMWQICLFRFLSNFFNSVTTTAGLPMTT YWAGVEPLNDALFNIFGNMLYAGILAIVGKWGLNWNWRWTIAAGTLGVVVIDSFVVYM IIWDVVRNQWFYTGVALAENVPYGLRFIVATYMAVEIADKGNEGAIYGLVTTVSSLAG PFASIFYKYVNSFFKVRQNDIKSDTLEVRWDVSYVHMISYGCKVASLFWLFLLPPQKA EIQALKARGGKSKLAGVILVSSFVVCVSFTVTTSIMSIFPLTKCYRIAGGNGVLDPKT GKCPLK H257_18812 MFSTFKPAAVLALVAVACIEACGVDQSLAARCLTDAHPIKYQLA QAVARIRTSVTYCTAWLWGSEGHLVTNHHNIRNESVAKETRVELGSECSTCADPGNDD QGGCVGTLVVDSTTLVFTDYDLDVSLLKLNLNPGVNLTQYGYLQARAANV H257_18813 MFSSFKSAAVLALVAVASADGIFNVFEESVCIANQAQAAPCLND AHPIKYQLAQAVARVRLGNLYLTGWLWGSEGHLVTNHHNIRNEKIANKARVEFGSECS TCADPSNDDKGGCVGTFVADSTTLVFTDKLLDVSLLKLNLNPGVNLTQYGYLQARAAN ASLDEEVYLLGHPFGKPKHITFEHDDGTHARVTSTSTPSVCHEQDTLGYNLDTESGSS GSPVLGAHDNKVVALHNCWGCHLNGKNTGNKMTKIVALLRSQNLLPKDAVADEIVPHL RSKNSLPKDAVADDHC H257_18814 MLPKQPSANKLGKRRAHPQTPSAETQPVTSVQSPRMLQSTSSER VNKFGKRRAHPQMPSAETQPVTSVQSPVMLQSTASERFTLSNQPLSKRAKRFLDEVQA LRQQFGVLPSSNTSAQSQPRLNSLFAFTSIGANETQLPPGPPVYKIRGQMIHRIGSYE PLNGKPRSFAQVYVLDSDDQMQLRTRMASNLNCSAAELIIAERMQSLISKHNAFATL H257_18815 MASNRRVWVTLVDGKGDQLMSPGKVSVSPDSDVDDLKQAVKAMY HDSILERIPPPALSVYADQAAFARNDAPLAADARVEGYGEHLANAILVVAPFTSNKRQ RGDDVETQGALESPVSVLSNKAWQAFVSTAKPTLSDSNFFHALCTAPINPVDRIEDLP IAEPVPGCITTFTDPITQVQVVCLPHRLPRSTLIFSHVVFIRPFTVAMVKAMTTCMEP RIAVVGNTGIGKSFMQLVILLWWARPELRPVPENVDDGAALDKFLDGIQAIARVERGS CTDLFFKREQLHYVVDKVHQTLLDRLDSTSTLLLYEPCVSKDEIEICGIADGHVWATV SPLEQRYKEFSKTRAAVKYMECPYEDELVFMAAVMVIGVEPELKPLYEESLVRERIRT RGPFLRVVLPESVGVLKAEGKVGRSELSELTLEKLQNTWFITEERSVGMMEISDRILR ISPELDGVFESYTLKPANDLVTERLGNLMFDVDVRELKRQLIAYVEALGHSTA H257_18816 MLGLLNRSRRWLPGVLAGIGLAIHVAPLCYGDWEFIYSFDDGAN FVENPMIQALTLPNIVAMATTVKINVYEPLSWLLKAFVHGLVGMQSKYVRMVSVLVHW TACGILGCATHRLLAPSFADRASVTIAASLSAVLFAIHPVHIEVLMWPSAQPYPLAML FTSIMFLSHLHKPWSMVGTVAYMCAVLSKSIALFTPVGLVLLDVVRGHLQLCPPKNLL PYFRKMSVCLVLSMALVATTYVANQRGAGTDVDTISLTIPQRLAKVFIVTVWPIQAWL WPVNLRPHYQIPDPTALTLPTNPNVVFSVLIVLVTLVAGLTTGLATTPASNWTLLAST LYMLTMVVPVSGLVQHGMVSLTADRYSYFATLVFIPLQALLLHRVGQRWATVVALTVF GLWGSLSTNQLQAWRSEEALWLHSLIQDPSDWRLLDQLAEYYLHHGRNADAVPLMVES IWFGPTLGFKATLFQAKQRMFLNRVTDGCSMYSALSNEMPPHPAVLNNLAVCALYRGE VDTARFLWETAVALEKLGLSTLETTGESDVGTIIPAHNLHQLDAFAAGMRGFQAQLMW H257_18817 MPSPPPRAALVNLNTPYAKRKLSDTERRAIYEKLLSRSTDGELP HGAYANTARLFNCNWRSVSRIWARGRKSSQQGNVDAKFKGKSGSKRKYTTSDIERAVK ALPIQARQTLRTMAAQSGVAKTTLVRHMAEEKRLKAKSSYSKPLLTDENARGRMEHAL SLLQQSPNRTTFSKMHQYVHIDEKWFFLTTVRK H257_18818 MTLPSILRNLREEVIRMAHENLTKAQQRQKEYYDKRRSNVTFKE GDQVLQATRNLSLKHAQMLHKSERPKLVPRFISPFEIVQAINDNAMRLKLPRSMSREH DVFNVDRLKHYHPNEAKFASRPIPKTTPVVLDESTGEEMYIVEKLLKKRQFNRKLEYL VKWHGQPESEATWELMKDIKHVLHFKQLVQDLESRRYKV H257_18819 MDGLNQGPLRTQQFRAYPDTFEEAVRIVLSESFSSSFAHARAAS SDMDVSMLAQASDDRMCFNCGRPGHFSRACPAPRRVASAAPPSHGSPRTASDTPFASP SERYT H257_18820 MAVRHLGQQVRQAVDAQGALIDSVQQAVGAQTQQTYELLMVLHQ QQQVQAREMEMNQRLMAELVDQRDCQQILMDQLSAQRATAEHHTQGLRASAVASVQQS DALEEMRRRTSARWHAFTAAPAQVPAPAAALGIQLVYGFQEVPKAPAFNGSTKVQKRR FMDLYEAYRREIALANARRPGGQQIMLMPLSGCIDPLSVERIAYWKIGKASHGLRTIG EPTSWGHVSVTPWT H257_18821 MVKVLISLSVLAAAATAGSVTELPESVTKLIDYSINPCDDFYQY ACGAWHNDAVIPPDRHAIDTSFSKISIENQAVFSKIFSDNTTKLGQFYNSWLDTATLS SLGLTPLEDSFKAIRSAKTTLDLLIVAGELVLSCQVCLFLRWSDNKEFFSEFLLRSRV VSAYPCPWPLSTRPVLTQTQTSTQTPRASMDQDEPPPLTPRGRTGMVLHRTRSQEDVY AQPMDIPVVAYA H257_18822 MISVDMRWRCVVLVQVYGIDIEVVRLVLGLSYRSVARFNAMFSR TGYVDGKARRATTLRWPDTVNAWVNDYAISHPCFYIEELQEAIQLQFPSLNNVSPSTI CRALMHDLGLTRKVLERRAREAADFELQDYYVRLKCFYSRPDQLVFVDETSKDAAMLC GSLRGRGATPRRLWIYRFPGVNECQL H257_18823 MQQLRHVDQMAENHADERRRDINIESEPYRMDMRRSLGLPQCDL TPAFRAPLHGLSEPLLNTKDVDHIEE H257_18824 MVKVLISLSVLAAAATAGSVTELPESVTKLIDYSINPCDDIYRY ACGAWYNDAVIPPDRHQVDTSFSKIYIENQAVLKTIFSSFDDNDSTKNALFGFRTPLS LSRSYYTIPSEWETVEADYKVYISTELQLAGYSAEQAEAAVPVIIRFEQTLAGVALSE LEEMEAVVSPYTALTYSQLNQKYPLLVGSWLKANGFDVRDQCGGSNDWVGFYDLTYFD KAEVLLANTTLDNLRTIVEYKLIHASSNHLTPAFRTANWNLFGKKIYNETVKPSREKF CKYQTRKTVGDLLGQYFLDAVKSNNRNRCYFVGHVTYLQYSHFHWVIFDVHTAGAMAS FPQRETSTLEDIHGALVAERSKKAYASGIRQVVKWIELTDQGGQLLHGDGIRIITATG EQSASVQDSGKKPLGYAAFDSLCHETIKAMDSGFQHLFVILSWNLMARSKSTETIQLS HFSYEEDAVGITFMKSKTAKRKKRRDPRHVYANPLRPHTCAFLALGLYLACNQTLAPG ALLFESNAGSTGGPSLVSICLRCGWSLVSVFEHYMHYERAGDPICWPCGRGTAVEQCQ IRFLPPHFKDTNSAAVLGAISATFLALADVAHLRGILAHALKAELVSTTPRLQPSSIP PYIESCWKEFSDYDRYKTDVTFQQMNVPAGAHKVEVSVVRNGFAIDCTKSYKGSVSDK TIFDENIDSHLANRATRTGETTPDDFEPGM H257_18825 MVKFAVIAAVGAFATAKISPSIHRHLEANHDVDVVVEFRDGNQP ALRAANLETATIQTRGARIAHVRSLLESNMETSQRAAVELLSSQPEAFTTRVESFYIN GNMHVYGANRIVLDELAKLDNVAHIRLPVVVDLPVINDEDDNVVGLPVLDLLSNSTSV QAANEWGVNLISAPTVWAGGNRGEGVVVGILDTGAIHTHNDLKTNWRSTYGWFDPTDK SPTPIDTNGHGTHVAGSSVGQNGIGVAPGATWIACRGCTTSTCPEAALTACAQFLLCP TDVTGQNPKCELAPDNVIGVGAVGVDDKLASFSSRGPTKDGRVKPDVSAPGNQVRSAW RTGNNAYKTISGTSMASPHVTGAVALYLSANKAATYDDVYKAFTTTVDTKTLTPDNKN CGGVKDATYPNNNYGFGRINIARAVGANVSPTPTIPVTTNAPTTPTTPITTKATPAPT TGVPTTTQATPVTTSAAGSCNGCKSCYSTSIGYCFPPGYTKAQCATFVDYKTIWCGV H257_18826 MLCPTDVTGKNPKCELAPDVINNSWGGGSGSNWYQANVDAWRAA GIIPVFANGNAGPNCGTANSPGDYKNVIGVGAVGVDDKLASFSSRGPTKDGRVKPDVS APGNQVRSAWHTGNNAYKTISGTSMASPHVTGAVALYLSANKAATYDDVYKAFTTTVD TATLTPNNTNCGGVSDATYPNNNYGFGRINVARATSGGIAPPSPSSTPVPTSTSSAPS TAAPSSAAPITPTPTTLDPVPTSAPTNVPVTSTVAPVPTSAPTTAPAPTPCNGCTACY ATLIDYCFPPRYTQAQCTTFTHFNAIWCGKK H257_18827 MQTNQQQVIEFLQEHPEALDVRVERFHIANNLHMFGATSDLLDK LTTFDRVHRIQTPRGATGQGGWLEASTRGSSTNTKPSSPTIARRTAGLTRAQELGTRR LERPRQHTMGTMVGQGSIGVGPGATWIACRGCTVRKCPEGSGVDGMCSVDALSHGHDR NHPHFDPWQYAEIIPVAANGNNGPACASVTSPDDYKNVIAVGVVAIDDSLAVFSLEGR IKTDVSIPEYKIRSAWNESTMPYITVSGISMDTPWFAPFADTAPLTPDRKNGGGVPDI TDLDDHQSVGGDPIRTNRTGDPLANSLPTDHLTSSKGKLQLHRMQWMLLHNAVLLLSP RWNPCCATYCAYKTILCGN H257_18828 MVNFVLISALATLASAKIAPSVHRHLKSNKLVDVVVEFSGNIPA LEVADIELEAFTERAPRIAHVRSRLVDHMQANQHKVAEFLSSQPEAFTVRTERYYIDN TLHIVGASLPLLEQLAKFTDFDKFSSDVLASDSNNSTGMQATNEWGVNLIGASTVWAS GNRGEDIVVGSIDTGALYTHDALKGNWRSTYGWFDPTDKSVLPVDTNGHGTHTIGSSV GAGGVGVAPGATWISCRGCTTSSCPEAALTACAQWMLCPTDVQGNNPKCELAPHVINN SWGGNVAGNKWYQAQVDAWQKVGIVPVFANGNAGPNCATVGSPGDYPNVIGVGAVGAD DKLTSFSSRGPTPDKRVKPDVSAPGYQVRSSWNTGASAYKTISGTSMATPYVTGAIAL HQGPELRRRVQRLHDHRGHHDVDPGQQELRERQGCHLPQQQLRIRPHQHCDRGGRQAV PHHHIGRPCDNSCPRYHP H257_18829 MLGRLVKQYNALEHNVRLTYLYTALFWSSRNIILGQMLAGYIYV LTGSNEPVGMVTGINGLVRMLVTFPAGYASDRFRRDIVLKFAAVLGLICAAMSLSAYV SGHILVLYVAYACWGGYFAIQDPATEALFADSIPNGQREGPMTTRYILMSVAGTLGPI ASIVFFYIYGDSWSLSGLQIVLCGGMIVGIPGIAVLFFFNDDLAYENNRRANNRLSII EDGELSDLDVTPRGKERTLLLQNDTDDEPPELWETANTFYCLGPRHIPFILFVTDFIM YNGAGLSISFFPLFFQNVYGLTPSQVNLLFVIQPALVVLLTSLTKRFSARLGDIETVI GTRVLASIVLFSISYAEPLWLEITLYLTRTAFMRCSGPLRGSILMDHVPKAWRGRWNA LEGLTMFCYSGSAMAGGFLIERYGYRFCFFVTSLIFLAGLMLELLLLPIIRNERKLKI TSVVKLASGQ H257_18829 MLGRLVKQYNALEHNVRLTYLYTALFWSSRNIILGQMLAGYIYV LTGSNEPVGMVTGINGLVRMLVTFPAGYASDRFRRDIVLKFAAVLGLICAAMSLSAYV SGHILVLYVAYACWGGYFAIQDPATEALFADSIPNGQREGPMTTRYILMSVAGTLGPI ASIVFFYIYGDSWSLSGLQIVLCGGMIVGIPGIAVLFFFNDDLAYENNRRANNRLSII EDGELSDLDVTPRGKERTLLLQNDTDDEPPELWETANTFYCLGPRHIPFILFVTDFIM YNGAGLSISFFPLFFQNVYGLTPSQVNLLFVIQPALVVLLTSLTKRFSATAFMRCSGP LRGSILMDHVPKAWRGRWNALEGLTMFCYSGSAMAGGFLIERYGYRFCFFVTSLIFLA GLMLELLLLPIIRNERKLKITSVVKLASGQ H257_18830 MSSFGVLVIDIGTHSFRKGVASELSNTPGGPEAVNVWLRAGWTL GSVQGRYIFAGSGGDQFVGRAAAGHNVNDVEFSCLPSHFKDVGLSNEQWEAHGRGRPG WRTT H257_18831 MGRRVVQHDDMTLLRLGPYSPMLNPIESCFSVLKARVKSYLALH TYAMFERGEYGTFLERRMVLLEDAARASLPCITQPLVVREVIFCQRNVEKAILLESMV YGQ H257_18832 MVPTVTSAPRHSKGRRYCFVAAILDDRSDMAHLLGLDIFVGGKK NGKDIKDYHSMFNHDYFVDWIGKLIDEVEELGWSSAGTWHKQALYQACVDLIIADASP TDLKTTIWKHLDEDVLPVVCEMAKARGHHVVYSAPGFSELVIPVVCEMAKARGHHVVY SAPGFSELQPIEMVWANVKGTVGRQYTSTTTFQDVRDRLERAFYELDSNVIHSTVAAS TAKLLGLNCAPRTAETEDTPEQDSDSDICTDASSSSASSSSDVDY H257_18833 MATTLPREYEEQLARWVNSMRQDGDPVTPQMIQIMALETAIDTG LDEASVTASWQWLRGFKRRFKLSWRALTRSGEGDCDAALAKFSARAAELVREHVQVAS PEASNTEAIESEEEFVSPKMMSDLVSISGVDETIDPTDDIDNSVQLL H257_18834 MGPFKKLLRTLWLDEAPVTSAADKRRAMILRSIKAWEMISSDAI QKSFQKAILRPRIVVVVARFWGRPVLLHVLQAYDSYA H257_18835 MNCTITSPWTPAEDQTLRDGVFLHGGKQWRGIAQLFHHRKSVRD CQRRWYALTQEATVKLPWTEAEDEAVLALVHKLGPHKWGVIASYLPGRSGKQCRERWC NQLDPSIDKSPWTLQEDHILATLQAKHGNRWSLIAEHLPGRTDNAVKNHWHASVKKWK DRKGSSLVTTITAQPILAPSISHPPDCSDNRTTSSASPTAVDDPTFLHKLTLLPNQPD SISLDVLWTTNVDDEGKASVDNFPEMGTPEIAIADDEWFQDLDWHCFDDETFLDTELY LV H257_18836 MGFFRRKKVDIRVPTGKDAVNWRKMLFLSIPESFNLLTTEQWSR LDLRCLTLMKVKMLRASCLARELEPRGKKRILVQRLTESLEKQRDDEAASRHEAEVAE ERRKDKLGGIWTFGTGTQGQLGHNDCQSYALPTQIKSTRGLGVCQVYAGFDSDVTFAV TRLGDVYVWGTKHGPTGLPRPKRRNRFDVVGGGGGSSPPPKGALHLPNLPTLDGPEEH VPADVVDPVDPPDNSSDESSDDGSSDDADALHDDKVGEGENMVEDESMLVPAPVKLKS ISGEDIVQIAVGRVHCAARSKCGDVFTWGQNDHCQLGNEPQHSLSAAQSKRAKIKYGA DAVEPTIWSRTVPETCVVRGVAVGTDHTMILSDVGDIYAFGSVYNTTDHSTLSRHLRK HRVHQVSCGAMHAAMVNENGQMYTWGSGDGGRLGHGDLASHVAPRLVEALASDVVFQI SCGCWHTVAIVLVPPLLKGGFLALGGNQVVPTPTLIQDFLMQCVYIKRVCAGMYHNVA LSVDDEVYTWGSNVNGCLGRPTEMASNPESFSAVPGKVEGMAEFVGRPCSVAVGREYT VVATKPYIGPSEDQVEAARVEEAARVAAIAKQYEAEERKEELREALIERLQRSRCIEY LNSHHPLCNQCPIAGVCPGFQRDEIDPQLCQQCLHIKQSHDGQHRESNKAMGLDELRV LLERLHVTDDDLDLYIPDDELLIESRK H257_18836 MGFFRRKKVDIRVPTGKDAVNWRKMLFLSIPESFNLLTTEQWSR LDLRCLTLMKVKMLRASCLARELEPRGKKRILVQRLTESLEKQRDDEAASRHEAEVAE ERRKDKLGGIWTFGTGTQGQLGHNDCQSYALPTQIKSTRGLGVCQVYAGFDSDVTFAV TRLGDVYVWGTKHGPTGLPRPKRRNRFDVVGGGGGSSPPPKGALHLPNLPTLDGPEEH VPADVVDPVDPPDNSSDESSDDGSSDDADALHDDKVGEGENMVEDESMLVPAPVKLKS ISGEDIVQIAVGRVHCAARSKCGDVFTWGQNDHCQLGNEPQHSLSAAQSKRAKIKYGA DAVEPTIWSRTVPETCVVRGVAVGTDHTMILSDVGDIYAFGSVYNTTDHSTLSRHLRK HRVHQVSCGAMHAAMVNENGQMYTWGSGDGGRLGHGDLASHVAPRLVEALASDVVFQI SCGCWHTVAIVLVPPLLKGGFVYAWGTGRYGQLALGGNQVVPTPTLIQDFLMQCVYIK RVCAGMYHNVALSVDDEVYTWGSNVNGCLGRPTEMASNPESFSAVPGKVEGMAEFVGR PCSVAVGREYTVVATKPYIGPSEDQVEAARVEEAARVAAIAKQYEAEERKEELREALI ERLQRSRCIEYLNSHHPLCNQCPIAGVCPGFQRDEIDPQLCQQCLHIKQSHDGQHRES NKAMGLDELRVLLERLHVTDDDLDLYIPDDELLIESRK H257_18836 MKVKMLRASCLARELEPRGKKRILVQRLTESLEKQRDDEAASRH EAEVAEERRKDKLGGIWTFGTGTQGQLGHNDCQSYALPTQIKSTRGLGVCQVYAGFDS DVTFAVTRLGDVYVWGTKHGPTGLPRPKRRNRFDVVGGGGGSSPPPKGALHLPNLPTL DGPEEHVPADVVDPVDPPDNSSDESSDDGSSDDADALHDDKVGEGENMVEDESMLVPA PVKLKSISGEDIVQIAVGRVHCAARSKCGDVFTWGQNDHCQLGNEPQHSLSAAQSKRA KIKYGADAVEPTIWSRTVPETCVVRGVAVGTDHTMILSDVGDIYAFGSVYNTTDHSTL SRHLRKHRVHQVSCGAMHAAMVNENGQMYTWGSGDGGRLGHGDLASHVAPRLVEALAS DVVFQISCGCWHTVAIVLVPPLLKGGFLALGGNQVVPTPTLIQDFLMQCVYIKRVCAG MYHNVALSVDDEVYTWGSNVNGCLGRPTEMASNPESFSAVPGKVEGMAEFVGRPCSVA VGREYTVVATKPYIGPSEDQVEAARVEEAARVAAIAKQYEAEERKEELREALIERLQR SRCIEYLNSHHPLCNQCPIAGVCPGFQRDEIDPQLCQQCLHIKQSHDGQHRESNKAMG LDELRVLLERLHVTDDDLDLYIPDDELLIESRK H257_18836 MKVKMLRASCLARELEPRGKKRILVQRLTESLEKQRDDEAASRH EAEVAEERRKDKLGGIWTFGTGTQGQLGHNDCQSYALPTQIKSTRGLGVCQVYAGFDS DVTFAVTRLGDVYVWGTKHGPTGLPRPKRRNRFDVVGGGGGSSPPPKGALHLPNLPTL DGPEEHVPADVVDPVDPPDNSSDESSDDGSSDDADALHDDKVGEGENMVEDESMLVPA PVKLKSISGEDIVQIAVGRVHCAARSKCGDVFTWGQNDHCQLGNEPQHSLSAAQSKRA KIKYGADAVEPTIWSRTVPETCVVRGVAVGTDHTMILSDVGDIYAFGSVYNTTDHSTL SRHLRKHRVHQVSCGAMHAAMVNENGQMYTWGSGDGGRLGHGDLASHVAPRLVEALAS DVVFQISCGCWHTVAIVLVPPLLKGGFVYAWGTGRYGQLALGGNQVVPTPTLIQDFLM QCVYIKRVCAGMYHNVALSVDDEVYTWGSNVNGCLGRPTEMASNPESFSAVPGKVEGM AEFVGRPCSVAVGREYTVVATKPYIGPSEDQVEAARVEEAARVAAIAKQYEAEERKEE LREALIERLQRSRCIEYLNSHHPLCNQCPIAGVCPGFQRDEIDPQLCQQCLHIKQSHD GQHRESNKAMGLDELRVLLERLHVTDDDLDLYIPDDELLIESRK H257_18836 MGFFRRKKVDIRVPTGKDAVNWRKMLFLSIPESFNLLTTEQWSR LDLRCLTLMKVKMLRASCLARELEPRGKKRILVQRLTESLEKQRDDEAASRHEAEVAE ERRKDKLGGIWTFGTGTQGQLGHNDCQSYALPTQIKSTRGLGVCQVYAGFDSDVTFAV TRLGDVYVWGTKHGPTGLPRPKRRNRFDVVGGGGGSSPPPKGALHLPNLPTLDGPEEH VPADVVDPVDPPDNSSDESSDDGSSDDADALHDDKVGEGENMVEDESMLVPAPVKLKS ISGEDIVQIAVGRVHCAARSKCGDVFTWGQNDHCQLGNEPQHSLSAAQSKRAKIKYGA DAVEPTIWSRTVPETCVVRGVAVGTDHTMILSDVGDIYAFGSVYNTTDHSTLSRHLRK HRVHQVSCGAMHAAMVNENGQMYTWGSGDGGRLGHGDLASHVAPRLVEALASDVVFQI SCGCWHTVAIVLVPPLLKGGFLALGGNQVVPTPTLIQDFLMQCVYIKRVCAGMYHNVA LSVDDEVTLTSYTV H257_18836 MGFFRRKKVDIRVPTGKDAVNWRKMLFLSIPESFNLLTTEQWSR LDLRCLTLMKVKMLRASCLARELEPRGKKRILVQRLTESLEKQRDDEAASRHEAEVAE ERRKDKLGGIWTFGTGTQGQLGHNDCQSYALPTQIKSTRGLGVCQVYAGFDSDVTFAV TRLGDVYVWGTKHGPTGLPRPKRRNRFDVVGGGGGSSPPPKGALHLPNLPTLDGPEEH VPADVVDPVDPPDNSSDESSDDGSSDDADALHDDKVGEGENMVEDESMLVPAPVKLKS ISGEDIVQIAVGRVHCAARSKCGDVFTWGQNDHCQLGNEPQHSLSAAQSKRAKIKYGA DAVEPTIWSRTVPETCVVRGVAVGTDHTMILSDVGDIYAFGSVYNTTDHSTLSRHLRK HRVHQVSCGAMHAAMVNENGQMYTWGSGDGGRLGHGDLASHVAPRLVEALASDVVFQI SCGCWHTVAIVLVPPLLKGGFVYAWGTGRYGQLALGGNQVVPTPTLIQDFLMQCVYIK RVCAGMYHNVALSVDDEVTLTSYTV H257_18837 MEDTRASWTEEKDSTWMVEMIYQSKVLGKSSHSGFKREAWLAAL VKLNREHKVNYSMQQLKARHAEMKKQYAQAVQIIKTSGVSFETTTSRFICLEGSWSHF LLGKPSKWALWQTKRFPQFKHCQELYDGTLATGEFALSTIEPPTQPSVQDSDQTEPWN EGFDLNGDDDDLPQGLPFALNYQDINDNENQLSEDEQPKKKKRRSSAGDMPVKKGSKS VGAAMISELKELRQSGKADVALLIEGLTGGKTKERCPVEVATDALQDDFDDILDGEEM SFAYEVIEDPAKATQFVRMRGESREIWLRRHIRIKMSKHAIHE H257_18838 MKFSLLSAIALFAAAATAQTNDTIADINDRARELKEEASEVDDT ELNRECHKQNGNYIPSLKAGEYSTSAFHNCFRTVDQIYEFTDALVAQNPKLLSKFAIS KSYKNATIYGYKLTKGHSQSLYFQALQHAREWISGSSIVFSITSILDDITNNKPTAAD EYDLYFVPVVNVDGYVLTWNGKRFQRKNANEVDLNRNWPTPFENPDIPPKESGIYPGP KPFSEPETAGINEWLQSKRGEIQGLIDIHSKAGLVLYPFSDTREPLGGGFDEKFEVLG RGLQSVMGPYTSKPANGFYLAYGVFPDYAFREFKKPVLTIEIAGKTWAVNVSTIPTQG LEVYKGINQFAKEVTVFNGGDVKPSCGK H257_18839 MVSFKGRTASTTSIPFVKTISKEQGRNATANTKAQEPARKDVER IFGALKARFHIMDCPCRLWRHATIVSVWVACVIMHNMIVENALEHVEPCDQDCGHFKV DTTTTDEDDSRFDRFLRMKKTTEA H257_18840 DRLTHVQPLFTWNLSPLRSFKFSLKYLLLPPRSALEAYIRQVSC YTVLSGFRLPWPPSCCQDVLTPFVVSDERPLRHLNPAFGSSRIASSAYQKWPTSVGTF ECPQWMKAKKRPRSFAPMLRFDDRFARQNRCEPPPEFPLASPYPSIVHHLSGPRLNAL TQIHPNTSGSVDDAPKLSPTFTFITRAGFYTQTLAQNLDSL H257_18842 MPRRACLPSLLYATLYDSNALAYPAPHPTQSRLHSLVQNCKLAQ NCSPTRSLLPRRRSVGRSCLPYLPQATKTCKGPAGPAYTASVDASPGHVPCMATEPSA GWLLVRQTPYARPARAPGQRRRSPGDAELDQVPFLSLLTLHFPSGKALSPSRALATKS RGDPPVNARLLGGPFHWEI H257_18843 MDSDLEAFSHYPADGSVAALPGRTTAKTNYLNERFL H257_18844 METQQLVPPYEVTVHHACLLKMYHEGGREVTEDLEAQIAFGDDG FHVECLDEARCVDGQHQVLVKWFGLDDEESSWEPAANLLDDIPVVFRKWAAANKEDPT VAALIKTLDFPVYICCLDEGPVAESLEEAGDKTPTTVTREVNRDYLVNKVIPAIRSLW PRQRSSVISIQQDNARPHVSVDYVAVRIAVSMDGWNIKLCAQPD H257_18845 MMDKFLIDLGSEGIRSMTNFTVTKFKSLWAMVNDAMNTARMEGC SRRSTTSPKDELFMALPKLVMRVLHAGQPVLYDGLIRVPSMSDLSNSDRRFDHFPYAL YAVDVKFQPALRPKERFVEQKHYFIGKIHLYGYKIEANVSTEGRCVAMCESFPGSVHD LTILHIRTALHATNQPVEDGG H257_18846 MQIASVLMYGLRGAKKLFSEMLHSLLEAPMLFFDTNPIGRVLNR FGDDILQVDMAIPFSATSMLIHTASAIAKLVTTIAITQWMGLIVLPLMAVYTLLGLYF LAPLREVNRIQKTTRSPLLSLVSEGIDGSTTIRAFGAKYIRRFNLLHDNLLEDFVAAN LVGVTANQWFALRVELISCSIVFALLMGVVVMHDAISAGLIALVITYGLSIPANLAGL VNIWARMETALIAPERLHEYIRLTKEGERHTPLDEASTSWPSQGQVQFDNVSYRYKPT DPLVLKDVSFTVNGGEKVGIVGRTGAGKSSLMMSLFRMNDVAAGHIRIDGIDIADVGL HNLRSHLAIIPQNPVLFKGTLRNYLDPFDEYDDDQLWLALQKVQLDGRIGADSDKLLG PVEENGENFSVGERQMLCMARALLRQAKIVILDEATAAIDHDTDQLLQQVVRSEFASS TVLTIAHRLDTVLDCDRILVFDQGQLVQNDTPAALVHAGTGIFFELVTEGGYSLDKQL H257_18847 MDNDNTTTGFSIVKSPRGDTPHPNLLASLHPLDNANLLSKAIFG WANSTLREGNRRQLGPEDLWPLQESNKAAPLASNYAAVYVTRGKSILRTFFAIYWAKL VGVGLMQLFTVACDLYGPAYVLQKVVRAVQQPVFDATATSLLVLSLYGIQVLSAFTKA HMKFINDVIGIQLASSLRSMLFEKALKLNAKSKKEKSTGDIANLFSTDIINIMQFAAS MNLIWIVPVQIGVVLFLLYLLVGWSIFVGLAVVFVILALNAVVAVVLGKELDHMFKAK DNRMKVVNEVFGAIQIVKFNAWEEKFLAKLTELRLVEIVSIWKYMRYHLVLVTFLFTT PVLVTIAIFATFALWMNQALTVEIVFSTLALFRSMQHALYSLPIVITSTVQCFVSVKR INAVLHMDECDPSDVQTPASNAALKAKYATDRTVLAIDQGSFGWHAGESDKDSATDTK VADAVAPEVNADDDNAVSVLFADVNLTIQQGQFVVLHGAVGQGKSSLCAALLGEMRKL AGTVFVGGDIAYFAQQPWIQNATIRDNILFGKPFDAAKYANVVEACALTKDIAELPAG DSTEIGQKGINLSGGQKARVSLARACYSDADIFVLDSPLSAVDAIVQNEIFTKCFLGL LRYKTILLSTSPRNRTNSPKPVSSVTPLPPPTAYWAVDSQDILDDLVVKPDNNDDSRD KTLLDTTEQQSGTLVLEEGRAEGRVSKAVVVEYIRAIGGWRSMSVMVFLTVAVEAIKV GSDM H257_18848 MAHQVPFHNPNAPYQPHPQQRQRPAFSQQPQQQAAADGFYSSGP PVGGAPAYGDQPAYHQSGQPLAPVQPQPQYGGASPSHPTYPDGMMGASNHPSSNNSMG GFFGEMGQAVNPELLNNPMAAYAMNHGAKMMEDQVKSFMPGAAAGALNMFGSVKYYFT VNNTYVVHRLKMLLCPFIHKDWRRIVQNEGNNTGVDVVYAPPSMDKNAPDLYIPLMSF VTYILIVGYIKGASGRFNPDVITEVSTYCCLMQLVEICLMKLGLYLLNSQINWLDLVS FTGYKYVALVINTVVHLILGYIPYYVVLAYTGIATSFFTLNGLKGTVPEPNHDQRRFR NYMLLAMAVLQLLLIWWNSYSSEIQ H257_18849 MSTPAPSVCPDCQKHLGAWFNRGTACALCSRVCCATCLDFALYT DPTTISSMCKACFTFKWALDMTQHVEVFGPSIQESAGPAVVLVHGGGGCRSMFIPHAK SLAAVNVRCVLVDLPGHGSRMDELLTLDSAIAVILEGAALAGTWRGDVAPVYVGGSLG GYIGMELLGQHPTMFSKAVILMCGQNVGVNRGWAAGLGLIVLDWVSSTFSAATLLKLM HDQVQANGHLDRDMIENDIKKCGFFFHQSKAQIAILKATNPAEALKKYRGPVLFINGS KDHRDSDAVWLAAASAPASKLIVYDGADHFFSHDTRYLPQFLDELHAFVLPTPPLD H257_18850 MEVARTTIPGLSFPTDLLFNLDAVRKHCTADLATHNACLSMLKG RMQLEHYYATELARLADQFKIDDEDDSNDPVGAELGAIDGKRGADDDDGDGGNDRTSR SSTLKEALRGLRAQYTNTSVQHKALAANLDEDVYQPMHTLYKYLVKKESKLTVCTTRV RKQTKAFEEHYRKQHIKFDKHFKDASTTYAQAMDVGIAREIIHNQYLASPVHLRPHEK HHPDKRTTPPPTHSLDPAATSDAVSSPQHSPELITTIGTPAKPPPPSSPHITVSDGTG NTSRPRSTSMSRSTLDGTKLVSWLLPSGQQKKDNLLITSVKAIETAETSRHECRVAWN GFEDARVALFRSIQSILNDYQHMAEYSISNLTASLRKHVIFESSALANSQYDWQMLAT VMEAVDAERDIRAFIVANQRMVVPSMTVNDLCRADNLPLPPSSAPLALADITTRKCPV DVTGNTHTVYGWLTTRSQSCQAKGNLPLVVSTVTAKALLAALEADQPTRGDDKQPEST GERGDVYEATKALVDMGGPQSNDDNGCCEDQC H257_18851 MSKRKKPAASPTDALPTASAEASAPWIQRFQLLDSCYCFLVGKK AIPSLKTIVSLAAHLSGNPSSLEVSHVRQMVSIGVVKLDIQARDKVIVQDDFNPAAVD PHETIELVQFPDAPHPSKRASTKRMLLFQHALQTTTIDLANVPKFEPLKRLKSTSSQP SASSPIPYLNTLMQASYYDGQVVHIETIPSRAARFGDRQLQDLGVCDTVCRGVLFEKI YSHQSEAIQAILEGHHVVISTSTSSGKSIVYNVPVAHALSTSNSTAFYLFPTKALAQD QVQSFRTFLTRCDGLDASLCATYDGDTPMAARAGLRKSARVFFTNPDMLHVSILPQHK AWKTVLSRLKLVVIDEAHMYRGIFGSHVANILRRLFRLCYVYGSSPQVVCCSASIQNP RQHFSWLVPHQSLQPAARTPDDDPTPNTNASPEVTVIEQDKDGSPCGTKHFVVWRPTP PPVTSFDPNEPHNPDLTGSTIFQSAQILATLVAAKVPTIAFCRGRKLTELVVEYTHNI LRRQNQSHLIPRVKGYRGGYSVESRRVIEAQLFRHELLGVVATNALELGIDIGSLECT LHLGYPPSIASMWQQAGRAGRSGHDSMAVIVCFDSPLDAYNTALGSAMFAKPPEPVVL DPTNLFVVKQHLQCASLEMDLLSPRSGTLDIDRVMFTRHVDEIVVEMASAGQLMALGD QRGFRVPAKISLQDMTIRDISSENYSVVDVLNDNTVIDTIPGNRVFFQVYPTAAYLHQ H257_18852 MLTTAGKSKTRRVPLPGSIRLPQVAEASPVGAVAMSHPAKKPRT HHMMVAQANKQLKIRYDAYLDRLLNATCPEDDEEDDVSSPVVVCESISKDAFRKWEEK HGGDLGRWEYVPLDATFGRIEIDSLTSAVHEEAAGLQRADETMSGRQSANTFPNVIIE ISYLNGSWNTLVAKLHRWISPETTVQVAIGVQVCKVSHLRDDCEDPPPQNPMMDKFLI DLGSEGIRSMNNFTVTEFESLWPMVDDTMNTAWMEGRGRRSTTSPKDALFMALAVLKH FSPWEKHAPDFGYKAPTFEKLIMRVLHSVQPVLYVELIRVPSMSDLSNSDRRFDHFSY VLYEVDVTFQPAQRPTGRFAEQNHYFSGKLHLYGYKIEASVSPEGRCVAMQYRGSWAC LVDMGYIGIAHSLRGIHPKRRPVHGVLDAHDMDRNHDISSDRVVVENFFGRVCTLWKI SLATYTWSEKNYNTIQRTTFALTNFHLSLIPLPRRTKGFTDL H257_18853 MGRGWSLKVFVGILSDCAPLFGYRRKSYMILGWIACGCCMLFLA LHDHGSPYYLNRAIDGIPLAKLTPFQRLHDVDVHAGRRGTFIALACAVATIAFVVSDV AADALVVEYAQREPENVRGRLQSLIYSVRSASAAVSTCFLGFCLNSPAYGGRFSWDLG MNGAFGCLALVNFAVVPATYWGVHDTKREPQPLRPYLLQFWKLVQKRAVWQVMLYSFL SSLLGSNLTTTAAPYVKYHWAKVESINNAVIGVLGHLILAVVLAATGRYGTQWNWRIV IVVTTLLSTAIDAVVQFLTIYDVVRNQWFYLGCECVCDRRVSRRRARRHRVRPTHDCG QPPGHLWIGIDQHLLRTIRRVVVRHSARFDARPPPSRVHVPCHIWHDRPELLVRCDVS VAKGGGRDAQSDGGKLPPRGRRRPRRVVFSARALDRCELAVHV H257_18854 MLTTAGKSKTRRVPLPGSIRLPRVAEASPVGAVAMSHSAKKPRT HHMMVAQANKQLKIRYDAYLDRLLNATCPEDDEEDDVSSPVVVCESISKDAFRKWEEK HGGDLGRWEYVPLDANFGRIEIDSLTSAVHEEAAGYLYWTILRQLQHIGGVDIGDTLK HRPSQTHDVGDRLQRADETMSGRQSANTFPNVIIEISYLNGSWNTLVAKLHRWISPET TVQVAIGVQVCKVRRRIIVMIRGDPLIEQVVDFDVKSHAIIPPATFPSFPLHLIYHNG PLPAELAGHANDEIVLDLATLRVRIAEALAEMLAAAAAANAAAQ H257_18855 MSGDGRRPKRPPKSKFDKGASVVAFHSLFHKTDPPTPTPASSPH KGTKKATPTAPPTTQTLAGTTKTPAQTMAPRPTAETPTPTTEPSAPTKETPSPTTEPT APTKGALEPTTETSAPSAFPTTTTSEKPAPLRTETPATNPPVSTMDFTEPTMETETSA RSTDPPAATADITTRTTDTSAPTTDPLAPTTEAPTLTNDVLAPTMDLPTPTMDFLAPT MDFLVPTMDFPAPTMDFPAPTMDFLAPKTDTEISTRTLLTTEPTMDHPPAAPTTYTET PLQTTDPPASTTDLPEPGTDPPERTTETETPALTTEPTTSMTNLPEPTTNPPKPTTET ELPEPRKGHPHVPTTDTMTDPACVPSFCLLRCHGASTTTPATPEDAEGNDAPPSKRAS PAAASITQEAKRRKRLVRGDEMKRRRAITTGATEEVVHHELWRKFELDPARMLKSLHD FVEEVRCTHPKLEPAKKSDMDDAGLTPNEFKFLQSGKISLVPLLDMGFLEPAKTYKNL RNVMATRPVRKDEVTRHLNRLVKDGFLPTFNVVLFSPGPDGHKYGIVDGQHRIQAMCL LWNDRELFRGRTFEHLSRSTDDIPLIPALVLTSKEATPSQVLKYSLLLNKLYHYGNFS SVYYAICVLDKCMDWGLYKQSMMSRTIESGIRRGGKMVAMYDLCVQGLQDDRMDIPDA MRGISKAVSDDLIRVVCRLHHFGVMEKLVCSMDDPLSKKESSLMAINVWHKCMETMNN YYWFFRHCCTVKNVPWRRSDIDAAMQALMQLYLLSPEGIVLESLDRCMYGTWAAKTIL FSNAIYHSIFPGTRTWPPLSAASKLSSRA H257_18856 LAVHMSDSEGSRQVHHLVIDLVSSDEDRPVELNYEDETKSDFID SSASSVGDDIPTQVDDETKSDNDSAVSPRAHVPGAVDVDDSNRDQVDVSTGGAPSTAG PWSMRIPRHPDGRLVHEAPDPRIPTIQSPLTIQQFEYQTSQTLSYIQLVGEFDIDGVT IDGPVEIVNHLAGVHMEVWGGPSRLMDASGKREGMTKVSSCGSASYYIPEGLRSPFIE ALNDHFGIQLLCRPERRNLLMEARTMTRWDFVQALTTIQCPRVLYQVYGEKSSVDDYS KCLTSLKTAVPSALITVDFSRHVGYDRELNVPPYQTVVDTVITNEPGFSTMRKVLFRD FSLAQMMQLHPLLFKIEDCYGTVVFTKTKESTHWPWLEKVKVYNKSVHYLKSKRSVKW SWIPKGLKSLKPFQKHFEDAIYVYDENKASDSMKWSRVELTMEWVDLATAISRFKTVF KSLKKIMIARHIDMDVSITCMENLYRGLRRDKRLFSGQWGHLLNETQRREFAWMVDTI GTGSHAIQELVRKSYIDLGIHLFVDYILDEQSQSSRSESESDVQRSSSNGSDYDESPE RVLSDEALRIYQQVRMNPTAQGKFRAHYKSGGQCMARETRIALAQGLEAKGIDWANKL ILYPVDMMAI H257_18857 MDYKVAVAADPDMCLSPMSRANCKERFNLSYSGLLLVVAEGSSE LRIHMREGRRGASQCSRSTNTSFPFVLPRLIGQGYAPVHESRPRRGLHGKRGIDEHNT HRRWYGVCVGLQHDKSRVLGSGRE H257_18858 MVTVLVTRNGIPTPTLVPATGTIRAPLPSSSSDPDSEPDTNRPP AATPLTPRQIRSKIAADKFLARQDRIRATRAATRPLTRQPRPSRLRNTHANHTATPTQ YLSEDDKPIRPRPARKPSPPTTPPQALPSKSAHGPAPSTITPTTPTSTKTIRSSSTQS SLPAAWRRTQTPDNTTGPMDLARETSHPLPPQPLLASAPNTALATHPPRHHPTPPAHP LLTQATTIPTSSLTPSPTTASPPPPTTARMLPTNRTADPTTPTCTTLWPTSFRATSSV PCLTRVSRHPGAHNRTNVPLPADPSPHPSPGPSGTVQRQIPPDQALSLLHHGHTAQPS TPPMHPRSATPPSSPPPSPGTWTLPHPQPTGPTAPSVQDSDQQPTPLGQASVQPMETI GQAAYRATSSTRSVTHPATKRPHSEVDPDLNLAHPSFTIPPSKRHQAMLYPPTPSYQP CPPDPTQHTQDSTDPPSHEEDLDEYDANTALQFPGLRNLCDEGQPILYRTMTMYWERE AHLFQGFTPAEITDIEQHFSETMVRIHIAITLRLGKGTLVTLIGTYCQDNPAAHKEAT DREWQWLAQAATRATGPHHLVVMGGDFNTYGPNPLDRLAPTPRGSPSSDIGIAFQQWT QSTGLVSSFRHRHPNLQRHTYARNNTAVALDDICISAQTAHKVGASGIWLHTIHSSDH AGTPYMALDLCPGDHTPTRLTGVKPIRVVNTRNLAKADIASFGVHTSKLLSEGKLPQL TPAPPPNAVTAWSPQEIGDWLDGDIRNLYDILYNSAKLKWGETSQTRKALNRAVAIQR TNRCTAQLRQLLRLHEAAPRTGTEYTRLAHLVEWPKWIRDPNLLPPTCWHRADAIAIG EWWTTMPTTQHPTPDWDHWLRQGIARWTKVCRKRQDWRTTSLRLTRMQQRTAWFNRSQ TRKFLRSALGNTTPPISIQSVIVRPTDGPPRYSSNREEVAAGLRHLLDNWIPPGGKTT RPRHLDSGLETDRQKVPHFVRDWLLQDMDRPDEVAQAF H257_18859 MTVIAKSALAALVVAMTSSVEAAKLKNVVYYMEWAIYQRNFGIF DLDWDKITHINYAFGKPNPDGTVGIYDGWAAVQKRWPEHGDSWNDQGNSLYGNFGQGF KQKQKARGTKFGLSIGGWTLSD H257_18860 MTVIAKSALAALVVAMTSSVEAAKLKNVVYYMEWAIYQRNFGIF DLDWDKITHINYAFGKPNPDGTVGIYDGWAAVQKRWPEHGDSWNDQGNSLYGNFGQGF KQKQKARGTKFGLSIGGWTLSD H257_18861 MAVLRVVSLLPSATELLHFLLVKLNARHDEPVAVLVGRSHECDW PEEYAALPVLTSSRVNGALSCAEIDRQVRDELAAGVSLYTVDTEMLLSLRPDLVVTQS LCQVCTVDYAMVVALLTDADPCPRILDTNPSSFYDVFQDIHRLADALGAPDVGHELVT ELQARVDVILAHVVVRSSPPRLKIGFCEWTDPIFCGGHWTPQMIEMAGAAHPLNNTRG PGKGGWPSRTISPAEFVAMDPDVIIVAPCGMDLNTSKKETVAMLMQPWWTPLRGKPLY VVNGNHMFNRPGPRLVDALEWLVSIVHPASTLSFPDFPAERYPNHDAVKTDEIDVKCS VIMP H257_18862 MVLIKRGSMFKQGSGDGLFQRRNWKKRYFELTQEDLRYFQHEHG PMKGCLDLSSCTKDSLEMVPTKMSKDPPTTWCLAITTPSRRFFMSMASETEMHAWAFA FLEAFKMNEDGGRKTYTAEGQLRGFVKEQKVKKQSNLSKLKMTPRNHKT H257_18863 RRKMLDTMLISLDATIDRLCSSPHPDDANSMLYYDHMDDLLMEG GAAATSFSQGRQDCNHYPIMDDPYTIVL H257_18864 MSGNSGPITMSTGVSTGGAGGSVFVNVGKGDTTLGGSIFATAGT TTDTLSSGGSIYLTSGGGTTRSSGNVLLRSVDAGTMGTSGFLSFST H257_18865 SKLASGAVRLSSGSADDKAGAISLSGGTGSTGGSIVLAGGEGSS RGGSFAVKTGNSPQSGGDISLESSGRISVATLGSNLTMDTHGQIEMSGTTVRASGESI SAEVKHSFELSHVNADGTPNVTFRALSDLVISHVPLQVPKLLTPSDERIKTDIKAIDH EDIFHRLQDLQLKSFKYDREWGEMMGVDDETVRGLIAQEVADIFPEYVTVKSEFHLTD HGLRMENFTQINQQAIIVDLLAALQAQQKRISILKNSPGSTGAVHVSTANTGDYVGSQ ESGSTGAINISTGASFGGTSGAIDVSSGDSTSGSAGSVRIAVGTSGNADGQNLVFSAG NTNGSSFVGGSVVLASGTGRDSSSGAIDVASSAAGSIGESGIVQVRTGNSNLGRSGVL KLQTGDSSQSTSGDIRVLAGSGLTGGTLSLHGGQSSRFNGGAVNLASGSSANTVSGDI DIQSADSKVRSGAVRISTGNTTEGRSGPLTVSTGANGKLNVHSASVLSLRSRANMEIH TEDSSTGSGNVHISSGTVNSLPGSVQVLAGGSNTNASGGSIQLIGGLSTATGTQSGDV IVSAGGSVEAPSGAGGRIRISGGQSISNNGGDVEILSGVGRNGGKLSLLTSDSSTESG SVLLASGDSSQGRSGEVKIKVGSSVGQALVGSALSLAAGDASTLGGDVAIQSGQGQAA SGKISLRTSTGVSSGNLELQTGNASSRVSGNIVLRPGKSDLLGGNVVLSGGQSTSQGG DVKLSSGGGAKSGVVSISTDGGDTSGPITVSTGDALGEASGSLNLETGSSSRGSAGSI RVAVGLSDDVGGSISLSAGSTPAQNGGNVELQSGFGSESGGYIQLHTRQSGHVFLSTG STKQQSSGNVQVSTGVSDSADAGDISIAGGQSTSKSGGSVRVHSGSSGHGHGGAIDVV SGMSKEAQSGGVNVSTAYGQRSGGVVISSGNSSSAAGHVTLSTGSSGTDAGGNLNLVV GNSADDGGNVVVLGGESSHRTGGDVTLSSGTSEVITGDVSIDSANSTSGSTGTVRVAS GSSLMNGGTGRVVISSGSTVDGSSGNVTVRSGSTQNGESAGFVRIQGGLSKFGDGGGV SITTGSSQTKGGAHLVLESGSSSFDNGGDISIRTSGSDKKGSSGKISLATSNSEGRSG SLSLSTGNSTWGTAGRITMSVGSSRLQNGSSITMLSGSASTDTGDGGSISLQSGFGPH TTGEIKLATASAAQDGLSGNVKLRTGPTSLKPAGNVSIQGGSSAQNLAGSIYLESGNS TGKTGHGGDIIRVEWERAVPLNLLPVDHQLQVVDYHYLAATQTLAKAGVFILLPVTVE ATLYSVLQS H257_18866 MVSLLGQSVVNVSSTKPGASGNNNGGAVFVKAGSGPIEVQKASL GTYPSRRVTASLEVVAISSLMLVEAPAMVAELCLFRLVLELLGVALSN H257_18867 MSFHDSQQRVVRSPLLSPSARSTSQHSRSRWMWIAGGVFVTANV LVLGSIAVVGKSVTDSLAAIKAVEARQASQVRSVANRLPSKFAVQFVTPRQDQSSRGT CWDFATIALLEWSYRANGVQHGWLQPDEYVALSEQAYGIEVMRLCTGPEDSPQQLACR VYGDNVWNNTTEGGEAYDLYYLRDGLKNSVLPTAVCPYFKHGHEHECPGLSAALDQNP IQFNVTGMSTYYDDPTIKLQLFVQNKAMALATPMASVSHYYPCIGPFLSDPHCQKDKD TCTLCPSDLSQTTCCVPSHGGRNPNMEGEFFAHSRMAYAGAHVMHLVGYNDAFRNHEG EVGGFILKNSWADSQTRGSHSLKWWLQEISDWEERTICPNSYNPTNWYACGGTDNNAD LVSPTNATATVVYNKGIEDCLTDTTRMFAKTNVQTLDLKCSDATQCKVSDDVTYYVRN TTDWGDRMTLMCVWEHDAKTGSARDFCLIPMLEQNLAATFKPKVALANDVDRCGFYFF SYEAARQYLARFGQFFVNSFDIEWHPSSYVANADKFPSRNYTLLRQSTFRQNTDDFDG PHPYAKVIPRTVT H257_18867 MSFHDSQQRVVRSPLLSPSARSTSQHSRSRWMWIAGGVFVTANV LVLGSIAVVGKSVTDSLAAIKAVEARQASQVRSVANRLPSKFAVQFVTPRQDQSSRGT CWDFATIALLEWSYRANGVQHGWLQPDEYVALSEQAYGIEVMRLCTGPEDSPQQLACR VYGDNVWNNTTEGGEAYDLYYLRDGLKNSVLPTAVCPYFKHGHEHECPGLSAALDQNP IQFNVTGMSTYYDDPTIKLQLFVQNKAMALATPMASVSHYYPCIGPFLSDPHCQKDKD TCTLCPSDLSQTTCCVPSHGGRNPNMEGEFFAHSRMAYAGAHVMHLVGYNDAFRNHEG EVGGFILKNSWADSQTRGSHSLKWWLQEISDWEERTICPNSYNPTNWYACGGTDNNAD LVSPTNATATVVYNKGIEDCLTDTTRMFAKTNVQTLDLKCSDATQCKVSDDVTYYVRN TTDWGDRMTLMCVWEHDAKTGSARDFCLIPMLEQNLAATFKPKVALANDVDRCGFYFF SYEAARQYLARFGQFFVNSFDIEWHPSSYVANADKFPSRNYTLLRQSTFRQNTDDFDG PHPYAKVIPRTVT H257_18868 MLCGRATSFATVSTHDESFSLVASSRLAKLEKVEQYLQAEQRRL QSQCVWTFHDTPVSARLPIQFVVVAVHSILDKAIADSVMAWRAHRNAQCVLPQTLATA IAHTTQHLEHCTHVHRINLTLKLPNRPHHRLHALLTTQSNLQQLHLQATEYRERFAMS KEEELQRTLYQWTMAVERKADYTKYVDLALQFQPLSEVDFNATAWPGHVYELRLRAGC HHVERFYRLHGPRRQAKKFSAARRIQATMRGAKARRVYQPAMAFRRRMWHKLMRVTFL AWRGWAKKATKAKRILRTALASRQATSFGRWVEFIRIARAEKERKVRGALTHLLHNRT ETVLRRWRGHTYRMKNVHRMSARAVTINRGYYFHAWRTGVQAAVFGRLQMLSAIHLQA TWRRVLATRQTQHRRTTYAKAAVQIQAVVRGSQRRRQPLPSKSLDERRRSAFLVYAQS LNHDVAVACETRRQQAEDNVVKVAELAAVAALKVVQSSKEGTLELTKLAAAERALHVI GTHAVFLRTYGMTKAEAFAVVAQRQVDEALANAQVLARHTFRLLRPPPFACMHPDHRG AASFASLEDYVGHATCSLTTTQIQLHVQLCEKGISGTSDSLKVALRLWNVGQRVLDMT NSTESVAEVAMDVITMPQLPLPLPASSAHEWKLVDQALTALKKWFHLATLPSSTLHST DYTPTLERIRLATDLRWQVFRWLVSVVPPPSTTSQSDDLDKLVMRHLHHYDEANKAFY TRWAELEQKKLLGHFQRDASGMLQAVGTLARNAVVWKRREAVHGLHQRASLGYFHLVR ERATVHLVKRFHARNGLWSAATQAIEALRARRMALTELQSRYDHAAARVDVAAWLGVC PSISLIVSGIDQRAAWNLRRQVAGAFLLDIATRAQQVQVPDN H257_18869 MTPKSTHPSSLPSTTWIFGLFCLINLLNFVDRGIIPGAPTQFQY FIKETLHIAVGDESKYLGLLASSFIASYAGFILLFGYLSIFIKPFHLVAVGLFVWCVA VVVCGASKPANSFYLLLFGRIVSGVGESSFQCIAPPFIDDHAPPAQRTLWLGIFFSCI SVGTALGYGYGAAMANSAWGWGWAFHWEAIMMAPLVVACAFFIPDAYNRASQDHHHHH VPLPTDDSDDDPNDPSSSEEAVPVAHTRQPFVTEVWAVVNNAVFMLTVLGTAAFTFSL AGLSVFGPMFLIGLGLFDKETEASMVFGSVVVISGVIGTPLGGLVLDWSCRARPHLRQ YIALRQVFLAMTIGTVLSLLAWCLLPNKIGFLLCFGLALCFLFATTSSNAIVILLCVD PSRRSLAVGVNTLILHLLGDVPSPIILGALKDAWAPDCGSIEKDGAVVLNPDCANDFH GLLLSLLFPLLWMIWSVLSYGAAAFIVQRRLRRQGHDV H257_18870 MYDKLQPGVVMGLAWTAMGGSSLYIETTTVQTKGGKGSLVTTGQ MGSVMEESTKIAHTFARHKLEQLEPDNAFFEADLHLHVPEGATPKDGPSAGCTMVTAL LSLALDKPVKSDLAMTGELSLTGKVLPVGGIKEKTIAARRSGVTTLVLPFGNQKDFEE LPDYLKQGLDVHFATVYDDVYKVALDY H257_18871 FLDNNMSCCPANSLPANPTKSDATPIKVGNTDVFFYDNATSSTL VLVFPDVFGPDSGRTKDNCVKLSARYKVALVDLAPEYVPDLSNVGEWIKARPFEGLVG KIHDVVAHFKASHGVTTFGAVGYCWGAWVVAKYSADPSTALSAGVSFHPSWGAEQLFH GEGSGAKIAESITVPQLILAAGNDPDWLKPGGAVETTLAARGIAHKLREFPLVSHGWV NRGDLTDANTAEAFHAAWHDEALPFLEQHLTK H257_18872 MNCCPPNSLPANPTASDATPIKAGNTDDFFYDNATTSTLVLVFP DIYGPDSGRTKNNWSNSAHVVEWFVARPFELLVAKIHNIVTHFQANHGVTTFGAVGSA EKTLPARDISHKLREFPLVSHGWVNRGDLTDADTSEAVDAAWHDEAVPFLQQHLATKN IP H257_18873 MNGAAADVAEPVIRDTWIAKCPLGELRSNPRITALTKASGDILP ASLTSWDLSLSNIQRIDADFAVPHKVRSLKLRNNLTPIFNLTLPPRFKILTLAGNPLV DTRISRAKFNILIQCTHVSATTPFNFELCVLTDPNNLKEMQAAPLSTFRASFVTSVLP ILGAAVGGTILLAALFSSQEGDMEHTTAADGSPPDETGRKLSASSACVLKDTTWSKAT KVEPSFRIAGPVDARTHAVHPFF H257_18875 MLAYAKGSTLVVANAGDVRAVLASNDASRGLVAKPLSTDHNAKH ESEQARLTAAHPNEPDVFKCKLHRNSTRVKSCRVRGILQPTRALGDFAFKYEEFNTLH ASFQNGGDGFVIPQPYTPPYILADPETQVHTLTDADQFLILGSDGLWGSLSNEEAVEI VANYASRGVHFRAAQALVNRVIAKKVEKKNHTASPIEILWSTIKCQSKTLLRERLAAF MGPRPDGQTRDEFRMAYLEHIADEVIAVPNRAQQADYYIPNEVFYPLFAKKAVMVLRK IASDTNIDEAKWYNTLRECAGTDLVLHIRLSNRTFLVCCLKKRETYEDNLRANARRMG GEWRRSACMWNKQPSEDDYRNRIMDVVGQPATKWTPTKSDIQTYCRALSVDPHGNVTS RLVSFMERVDDVIDENGLRQQLKDPTMLRTFVKVVAAHVTPSYLRDRVDELMKTVPAN DLVAFAGILREQLDRTHNKTTKGAALQNMRRWPTKLFETSGNPEKIAHVQQVDTSSQN EVQRYGPRRLKSERGTQQPRSTDRKQIRTQDTMNALPSCV H257_18876 MKAAAMTVLDGTNEGLVLALLRHDADVFARDRKGKTALEWARLT NNTGASRHLEMAIQAHIYARRIADADENRVTKHAHVLERHAQLCKDMQTAVAANNVVQ IRALIQQATDDGMSADVFREAATSHSRYFLDVETSAGWSALTKAASVGDLPTIELLVQ HGADVNLETKLRHTALTWAAYCGHKDVVQFLLQNQRADWRQGTSEGKTALIHASRNGQ HVVVGILVAVLHEWSVGCSSANRAKQDYAGDHPKAPPEWHSVFLDALHTTDKAGMDAM GYAKAEGHAQVVSVLQTAINNAQNHLDHVETLKAKTADVACNLGCGFHHAKDLIGYHQ DNKCPHRMVECEFCNAKTMDMDMDEHKRRTCPGRQVTCVHLQYGCTVTLPWRDMQLHQ VEHCQYRQVECRLDCGTKSLRWNTRDTHEAHDCPLRSVRCPQCHIDVLGRDIRPHHRN HCSKRIVSCEFYGGCGDSHPFDETEFHRNFLCNLRPRPCRWAGHGCDAVLGPPQVLQA HEATTCAFRLVRCKNNCAVDSLMWCFATQHYLWDCPKEMKACPLGCHVTMESQYVYGH QEPNCGFCPHRQARCNSDLCGKKVLMFGQSDASVGVRSDDVSGVGMALTLEGAQLRTR RLETFLATEMDAAQLPPLALPFLRRWLSTRLKDMVEALAKLHVESTQLGLVVRYDQTT DCHLLSVQGACTWVNLNHTYFAEDASQPNDWKCGWLTADSRNQHQDNSCPLKVVPCPL GCGQMSQKFQIDSHVKDRCIKRTLACRLGCGLSMSVEGLLAHEQTQCPLSHQFCPHCH ESLLEKEVENHVAFKCCKYPRPCRLTCGARLSSSDFVQHETTDCPKRLVRCIDCNKVV FFQELQGHVADECPCRPSGACDLGCGLLLRVNQVQVHLSTECPLRCVECPQCQSTAVR VSEFTHHIKFMCPERQLFCQKGCGASLRESQLDTHEALDCAHRPVVCPLRCAINCAAS TLQRHLQTDCPRRLVSCPNRCGARVPAVELPTHLRNCDHRMVRCGAGSSLCARPLKAW ITPQTSLDRCFAHHEHGFMWALKTSDVDTILTFLHRIHKSALDEEFTTGFTPLALACS KGDTPLVRILLHHGADVNLETSRGRTPLGEACLGRHVDVVELLLRYRAVVQHTNRHGL TTISIARQMAHDGILAVLDKRHQLELTQRRLFVAIATSNYADIETIIAGGEMAYRENH AWHLGRELTASLAVLDDIRTQLTDHMNAMNVSIADSEAKQMKVVKILDSVEYNKAQLE HVQKKEAKVEAFRQVTELTVKRAIQAITAQDILGLISQTEPSPGLLVVLKAMALFNGV IPKVKRGTDVNGFSDKEWWETSQAMLMDRQFLGKLVNFRDLDVPPDVLFKVRRECLKH DAFPTVAEFEPEAASEPRNPGTPNPLAKSLPLVRRVKNDMTPFLALSTWVRGVEVNQK SKAEAKLLDEKKAVVQTDLATLDGELKNAKFDMKTAHRSLPSRQQELDRIVALEVKAA ADTKLKQRRVDVCELLAFTSLNGHTPLTFAASIGNERAVRLLLNRGANGSYSDEEQRL AAKILQNAMRHYVHHIKLTGELATLSGITGFLSLKPLTQQFRRYRQCSRVALHEAAYN GHHEVLELLVEAGRAKLWQPSYVDPIAACPGQLASQPRHVVGNGMGVWKLQFRTAPLT LPDAVRLGEARLRRAVFRPKTGWDSTSSILLKASAKCQQDKRTEQLTRKTILRRTANQ NLLHGRLEIAIQAKKYAEAYALLDDGAFPGHATPGGLTVLSQACTEEVYLVNADGDTV LAVDYFLDRSSNRPSPNFESFSTFNGSPSSSFLPLVVAAHYGTVRCGRSLVSRGADVN ARTTTTGTSALITAVRNNKDEFVQFLLSHGANVHVKDIHGHTALAYAAANNNEVVCAM LSQAAAEVRQTLLLLGKSTEFGLCRWGCGFVGLRDDPVVEHGHIVRLMHPLTAHEAAV CPKRVVKCPHGCGAVDLWSEEVPAHVEATCPLRQVPCANPKCSDILPFNRLQTHECQE CPHRTVACSACGETSLAYKLPKHQAAHCRLRSTSCPACGDTLPAMDLSRHQKFDCVCR QVRCRLGCGFVESRLRAHHELSDCIMRSIPCVFGCEGGTTPERQAAHELECELRTVGC PNRCGVRTDGGPLRARDIVSHVQNDCPHRFVACELGCGKKVRAVDLPSHTASTCTLRL VECPRCQKQMTADELDSRHPDCRARIVPCGACGLGGIVAEHLDRHKADECKMRL H257_18877 MDGRSYRAPIDNNYVVPSNTWLLVKSTPSLNAWFLRHLRCSRFT YLRIVEHVQTAWQRIHPALHQLKQFGIDDRVACTLHYLTHSDGYESTAALFGISKTRA YDRSRMVVVRAFGLWKNKFRVFQTELLQHRPSEPETFPEWMHIGGDTVFDDELNQVDG VSAKRARDLIKLYLSQHVDV H257_18878 MIPKPTVKMTKAQLVEQNKLRKLRTEFVAIQRSLTATGNDEQAT PAKPGYYADILVVFADLHGLGDIEFGMERAANASLSEDNQAFRQAEG H257_18879 STPSLNAWFLRHLRCSRFTYLRIVEHVQTAWQRIHPALHQLSGQ RKARHRAKRS H257_18880 MLTSFNSNVIQLKAIPSGWNEDGVIDNELYAIPMSNYMASSARQ SWRAGVSCAMLQNGGSKTCPRENVMPSKYFAPLVRSKRNWPSKSQLCKLTTSYTLDS H257_18881 MGHKMGCNSVDNGKILFDNVRIPRTNMLDALSQVSGDGTFTSHV FSKRGRFLAVADQLLSGRVCIASMLMGGTKLTLAIAMRYAASRATVGPKGMSDTAILH YGLQKQALLPLVCPHAWNKSTDPLDRLELVVHCSAIKPVVSWNAENVVSVCRERCGGQ GYLSANRFGEILGFSHAAVTAERDKSKTS H257_18882 MELTSSSSSSDDTDTDDEYMRTYFPTAKAHRGSLPGRKTNVDRH RSIGDKQLWEYYFDDNRSYDDGVFRRRYRMSPELFLRISQDVAHHSEYFRQGRDAAGI LGFSTLKKCTVAMRMMAYGAAADSLDENFRMGKSTILKTLQLFCCAVDKLST H257_18883 MRGISAVSILLEFVGYCLSILLHRQEHTSDRQSVRAGVLLPTEH TTTTIGTCCTAWNAVHHKELETVQRSLEYHLDVPTLPCPQRIRPYTIEVPSAKSSCDV LAPVVVKT H257_18884 MPSEYHRSTTSMEGRRHYVDPSITIDEGESIPLPPTTTTSLPLK AALFSPRELALESRCNSTGGTENDLWKRRSSTIKLQPRVLATKPLPFVPRRLSGRSRL YRRVEGEARDHHAAPLTIVLKMTVEAHDDLRTSFVLSPHLLDNGIGRDASNAVSVPAD KHMAERNHAVVRFHNGGGYFISSHDQANYGTYIRLSPCIPGDMASATQWPLAVGCHFR VGKSDFEVVAMEDDDDSHDVVLSLRVLCGKLSGKTYRLGSAGGTIGRSADNTIHTGDG ELSRRHAAIWWDETGFYLQDLGSTNGTFMKLHGAYRQPYRLEIGDQILVSQTCFSVNR FDVGVWEDMGTRKHMEDAHMIVQDLNIAELTAAGMYPQSFFGVFDGHGGMEASQYVNE HLHKNISSHISAQCSTHHGQSVSSNDMHTLITQGLSSAFDTTDADFLNSSSRPQAGST ATTVMVAGSIIYVANVGDSRTVLSRHGRAIRLSNDHKPSRPDEAQRIRDTGGFIIHGR VMGELAVSRAFGDSDFKTYDAYSCHPSGLTLEDEHGVEQPMVNPSEILKGPLVISTPE ISHVEITEHDEFLLLACDGLFDVLEDQVAVDFIRHELSNGEDVQRTVENLVHYAIDVQ GSRDNVTAIVVVLNPHQY H257_18884 MPSEYHRSTTSMEGRRHYVDPSITIDEGESIPLPPTTTTSLPLK AALFSPRELALESRCNSTGGTENDLWKRRSSTIKLQPRVLATKPLPFVPRRLSGRSRL YRRVEGEARDHHAAPLTIVLKMTVEAHDDLRTSFVLSPHLLDNGIGRDASNAVSVPAD KHMAERNHAVVRFHNGGGYFISSHDQANYGTYIRLSPCIPGDMASATQWPLAVGCHFR VGKSDFEVVAMEDDDDSHDVVLSLRVLCGKLSGKTYRLGSAGGTIGRSADNTIHTGDG ELSRRHAAIWWDETGFYLQDLGSTNGTFMKLHGAYRQPYRLEIGDQILVSQTCFSVNR FDVGVWEDMGTRKHMEDAHMIVQDLNIAELTAAGMYPQSFFGVFDGHGGMEASQYVNE HLHKNISSHISAQCSTHHGQSVSSNDMHTLITQGLSSAFDTTDADFLNSSSRPQAGST ATTVMVAGSIIYVANVGDSRTVLSRHGRAIRLSNDHKPSRPDEAQRIRDTGGFIIHGL FFASRRVMGELAVSRAFGDSDFKTYDAYSCHPSGLTLEDEHGVEQPMVNPSEILKGPL VISTPEISHVEITEHDEFLLLACDGLFDVLEDQVAVDFIRHELSNGEDVQRTVENLVH YAIDVQGSRDNVTAIVVVLNPHQY H257_18884 MPSEYHRSTTSMEGRRHYVDPSITIDEGESIPLPPTTTTSLPLK AALFSPRELALESRCNSTGGTENDLWKRRSSTIKLQPRVLATKPLPFVPRRLSGRSRL YRRVEGEARDHHAAPLTIVLKMTVEAHDDLRTSFVLSPHLLDNGIGRDASNAVSVPAD KHMAERNHAVVRFHNGGGYFISSHDQANYGTYIRLSPCIPGDMASATQWPLAVGCHFR VGKSDFEVVAMEDDDDSHDVVLSLRVLCGKLSGKTYRLGSAGGTIGRSADNTIHTGDG ELSRRHAAIWWDETGFYLQDLGSTNGTFMKLHGAYRQPYRLEIGDQILVSQTCFSVNR FDVGVWEDMGTRKHMEDAHMIVQDLNIAELTAAGMYPQSFFGVFDGHGGMEASQYVNE HLHKNISSHISAQCSTHHGQSVSSNDMHTLITQGLSSAFDTTDADFLNSSSRPQAGST ATTVMVAGSIIYVANVGDSRTVLSRHGRAIRLSNDHKPSRPDEAQRIRDTGGFIIHGR VMGELAVSRAFGDSDFKTYDAYSCHPSGLTLEDEHGVEQPMVNPSEILKGPLVISTPE ISHVEITEHDEFLLLACDGLFDVLEDQVAVDFIRHELSNGEDVQRTVEV H257_18884 MPSEYHRSTTSMEGRRHYVDPSITIDEGESIPLPPTTTTSLPLK AALFSPRELALESRCNSTGGTENDLWKRRSSTIKLQPRVLATKPLPFVPRRLSGRSRL YRRVEGEARDHHAAPLTIVLKMTVEAHDDLRTSFVLSPHLLDNGIGRDASNAVSVPAD KHMAERNHAVVRFHNGGGYFISSHDQANYGTYIRLSPCIPGDMASATQWPLAVGCHFR VGKSDFEVVAMEDDDDSHDVVLSLRVLCGKLSGKTYRLGSAGGTIGRSADNTIHTGDG ELSRRHAAIWWDETGFYLQDLGSTNGTFMKLHGAYRQPYRLEIGDQILVSQTCFSVNR FDVGVWEDMGTRKHMEDAHMIVQDLNIAELTAAGMYPQSFFGVFDGHGGMEASQYVNE HLHKNISSHISAQCSTHHGQSVSSNDMHTLITQGLSSAFDTTDADFLNSSSRPQAGST ATTVMVAGSIIYVANVGDSRTVLSRHGRAIRLSNDHKPSRPDEAQRIRDTGGFIIHGR VMGELAVSRAFGDSDFKTYDAYSCHPSGLTLEDEHGVEQPMVNPSEILKGPLVISTPE ISHVEITGWDYFVYLKWQIS H257_18884 MPSEYHRSTTSMEGRRHYVDPSITIDEGESIPLPPTTTTSLPLK AALFSPRELALESRCNSTGGTENDLWKRRSSTIKLQPRVLATKPLPFVPRRLSGRSRL YRRVEGEARDHHAAPLTIVLKMTVEAHDDLRTSFVLSPHLLDNGIGRDASNAVSVPAD KHMAERNHAVVRFHNGGGYFISSHDQANYGTYIRLSPCIPGDMASATQWPLAVGCHFR VGKSDFEVVAMEDDDDSHDVVLSLRVLCGKLSGKTYRLGSAGGTIGRSADNTIHTGDG ELSRRHAAIWWDETGFYLQDLGSTNGTFMKLHGAYRQPYRLEIGDQILVSQTCFSVNR FDVGVWEDMGTRKHMEDAHMIVQDLNIAELTAAGMYPQSFFGVFDGHGGMEASQYVNE HLHKNISSHISAQCSTHHGQSVSSNDMHTLITQGLSSAFDTTDADFLNSSSRPQAGST ATTVMVAGSIIYVANVGDSRTVLSRHGRAIRLSNDHKPSRPDEAQRIRDTGGFIIHGR VMGELAVSRAFGDSDFKTYDAYSCHPSGLTLEDEHGVEQPMVNPSEILKGPLVISTPE ISHVEITGWDYFVYLKWQIS H257_18884 MPSEYHRSTTSMEGRRHYVDPSITIDEGESIPLPPTTTTSLPLK AALFSPRELALESRCNSTGGTENDLWKRRSSTIKLQPRVLATKPLPFVPRRLSGRSRL YRRVEGEARDHHAAPLTIVLKMTVEAHDDLRTSFVLSPHLLDNGIGRDASNAVSVPAD KHMAERNHAVVRFHNGGGYFISSHDQANYGTYIRLSPCIPGDMASATQWPLAVGCHFR VGKSDFEVVAMEDDDDSHDVVLSLRVLCGKLSGKTYRLGSAGGTIGRSADNTIHTGDG ELSRRHAAIWWDETGFYLQDLGSTNGTFMKLHGAYRQPYRLEIGDQILVSQTCFSVNR FDVGVWEDMGTRKHMEDAHMIVQDLNIAELTAAGMYPQSFFGVFDGHGGMEASQYVNE HLHKNISSHISAQCSTHHGQSVSSNDMHTLITQGLSSAFDTTDADFLNSSSRPQAGST ATTVMVAGSIIYVANVGDSRTVLSRHGRAIRLSNDHKPSRPDEAQRIRDTGGFIIHGY IYIYYKQGYHFIF H257_18884 MPSEYHRSTTSMEGRRHYVDPSITIDEGESIPLPPTTTTSLPLK AALFSPRELALESRCNSTGGTENDLWKRRSSTIKLQPRVLATKPLPFVPRRLSGRSRL YRRVEGEARDHHAAPLTIVLKMTVEAHDDLRTSFVLSPHLLDNGIGRDASNAVSVPAD KHMAERNHAVVRFHNGGGYFISSHDQANYGTYIRLSPCIPGDMASATQWPLAVGCHFR VGKSDFEVVAMEDDDDSHDVVLSLRVLCGKLSGKTYRLGSAGGTIGRSADNTIHTGDG ELSRRHAAIWWDETGFYLQDLGSTNGTFMKLHGAYRQPYRLEIGDQILVSQTCFSVNR FDVGVWEDMGTRKHMEDAHMIVQDLNIAELTAAGMYPQSFFGVFDGHGGMEASQYVNE HLHKNISSHISAQCSTHHGQSVSSNDMHTLITQGLSSAFDTTDADFLNVRHVCYRLLG LQVG H257_18885 MAPQRRRTGKGTKDAHANLSAEERTQQGTEAKNRGNEAYAAGDH ATAIKEFTNAIGFEPTNHIYYSNRSAAYLSAGNAALALQDANKCIEIDAKWGKGYARL GAAYYFIKSYQKAVTAYTKGLTLDKGNKQLQAGLTQAQAALQVLEEEAGGVEMDDATR KLKRLEIEEKINKARADREERAKRAERGFSEVIGIDLGTTYSCVGVWKDGQVEIIANS EGNRTTPSWVAFNETERLIGEAAKIQAASNATNTVFDAKRIIGRNFSDPIVKKDAAHF PFKITAGDDDKPLIEVTFKGEAKSFTPEEISSMVLTRMKETAENYLGQEIKQAVVTVP AYFNDQQRQSTKDAGAIAGLDVKRIINEPTAAALAYGLDTNAGAEGKSNILIFDLGGG TFDVSILSIENGIFEVKSTGGDTHLGGEDFDSNMVDYLITEFKRKNKNLDPTTSARSM RRLRTACESAKRMLSTTTSATIEVDSLFEGVDFSSTMTRAKFESLNDECFKRTEETVL KVLQDANMKPDQISELVLVGGSTRIPKVQNMLSGLFGGKELSKSINPDEAVAYGAAVQ GAILSGIRNDATNSLLLVDVTPLSLGIETVGKVMSVLIKRNTAIPVKKTRVYTTEADY QTQVNVVIYEGERACVDHNNKLGEFTISGIERAKRGEPQVEVTFEIDANGILNVSAKD KKTHAKAETTISSNGGRLSQEDIDRMVADAEKYKKDDAEVLRKIEARNNLEGFIYRAL EIAREKGDSQAENTIREAREWLEDHEEATLRELEDKKRLLERLIKY H257_18886 MEAFENLAPSSIKGCIDKADRQLYKLAEYIKGLQEVEASDNESV EGSSDGGSVTSSTLAAVNTRLTSCPAQSIV H257_18887 MPRRYSLQDSQSPSQPTPQPTQQPSHVNTRAFTRGMALLEDLEQ QRRDKLARFTTVRQEEPDEDADSNSPIYDAFLSTQGPEGIFSLTNFSPSEFDLLWADL RHFVSKNWNVGSGRKSEVSARDLLLMMLASMKHCGNWDVVALVFSQKPPTFEKRVLGY IKAVHPFFMRSYVAQLAEQWSMKNLIASGNQFKNFPFARYATDVTFQQTNTPFGSYAE KKHYYSGKHSLYGHKVEISVVPNGFAIFCTEHYKGSISDKTIFDENVDVHKAGLAKQQ DETLLADPNREHTSWAVLADKGYQGIQHEYSTIVQSTNIMSSTNTAAHQAVLALLRRA FDDKDTALLLGGMTPDNQTRLVEGIGSTIDLSVAEATAAQKALEEQVAQMSSHRRNLE DSLRIAREKIATLEDQASTMSPMVAPSKILSESLTMRSRASRALRSWKHHRLLA H257_18888 MSPSASASSNGDSFLDERPYNLCRADACHDDSRPAEGVSWPWFS PLLHSAIKHAWDLSVDADDVLRHERVSCNAQGPITVLAREYVAMPCNDERPFHGLWLA PGLGNILWNSREGDQNEIIFPTYC H257_18889 MKKGKERPSTSVAPPPSSAKKVEKKGQLGAAVLASVIAATPVHR VPPMEDTEGLVLDTIDWILDETFLFQIQYERLEKQMHFGIEAMASSIISTLRMGCYID YDAVVSVECCEDEPRADSVDRHAVQALPKKEVSAHPQRLYESPNGVPFQRAISLRSMS EKSSLPPRNTASSAAPQPRRRGSAASDTFIPQEQPMVFRIPAKVDYFDKETKAWRIKC MTELTSSKQKKAKWGKVSVLRRALGQREYDVTETTVVCDTTTIRDGDNDPDTMTIDSA PVTTLDTVRTIPDDTPVDQDTTLLLLHQPSPKEDTPADDKPPRARRRHGAAEVKTPKR TVKSSWFYEKLSVKGEFKQEKAYEPHVMELQRETFAQSIELNTGVSLVQGVSKYLGPN RRETMSAMSRQGFQNHLRMVVGASSDSNGRIWDGPNKVQLRSLPPPTTVNLEVDVFDG SNSMANLRPGPQLTSPRHPSMTIDTANTRSSPSLNAPNNDKYASTPSRVKTQTTSPAV RVRVSPLRADTANARPQPREQYVTHGDSVGVPEIPTHRRRPATAIGALLANSPTSSMA STSPSTKSISLKYIREFPSPKAEVVTVLKAPDDCHRMAWLT H257_18889 MKKGKERPSTSVAPPPSSAKKVEKKGQLGAAVLASVIAATPVHR VPPMEDTEGLVLDTIDWILDETFLFQIQYERLEKQMHFGIEAMASSIISTLRMGCYID YDAVVSVECCEDEPRADSVDRHAVQALPKKEVSAHPQRLYESPNGVPFQRAISLRSMS EKSSLPPRNTASSAAPQPRRRGSAASDTFIPQEQPMVFRIPAKVDYFDKETKAWRIKC MTELTSSKQKKAKWGKVSVLRRALGQREYDVTETTVVCDTTTIRDGDNDPDTMTIDSA PVTTLDTVRTIPDDTPVDQDTTLLLLHQPSPKEDTPADDKPPRARRRHGAAEVKTPKR TVKSSWFYEKLSVKGEFKQEKAYEPHVMELQRETFAQSIELNTGVSLVQGVSKYLGPN RRETMSAMSRQGFQNHLRMVVGASSDSNGRIWDGPNKVQLRSLPPPTTVNLEVDVFDG SNSMANLRPGPQLTSPRHPSMTIDTANTRSSPSLNAPNNDKYASTPSRVKTQTTSPAV RVRVSPLRADTANARPQPREQYVTHGDSVGVPEIPTHRRRPATAIGALLANSPTSSMA STSPSTKSISKLKYIREFPSPKAEVVTVLKAPDDCHRMAWLT H257_18890 MPRGKNNTDVEKGQVKAYLDVNKSLHWIARAIGCSKKLVRTYVA SLKRPTELIEENRVLRAEMQAATFMIPLVGQPETPSEPRAAVEPKFSANYTDQMIVAL LEVRFGWFRDDFAGSKSNTQLACLWEKVALQFNIITSASVRIPSTSLKNKLEFGTENP SSLWDVNNGSGVEDGDGDLHVDDAERKRKRIVAGEVDRQRQLRKLGKTDVGAGLVSLG AALAQGMPNLLPSKKKLRSHCNGVMSFKSNF H257_18891 MALPGQIMSATLAEVEKGQRENIDAAQLALEEMHKQISVVNARK HDRSRQYDKKKGIQIAQFVVGDYVLYQNVWAHLRQKLRTKLCDPAVLTEVTSNWVYDV EILLTHDLRLVHTSRLKFYADCDLDVTSELLAHIAHNSEGFIVEAMMDARYVP H257_18892 GMVAWSGVTYFISVVAAATQQDESCAMSLSMPKIMYGTAWKKER TAELVVQAVQAGFRGIDTACQPKHYFEQGVGDALAQLYASGKVTRDQIFLQTKFTSLN GQDVKQPLPYDSTAPLGEQVHQSFATSMRNLQTTYVDSLVLHGPLSTHEQTMEVWRAM EQLHRDGKARRIGISNMYSPQEFSRLFHEASVPPSVLQNRFYADTGYDTELRQFCREH NVQYQSFWTLTGNPKLVHGPQVAAIAARVGATHEQVWYRFVMALGIVPLSGTTSKQHM AEDVAVELVSLTKDDVTTLARLIGDEL H257_18893 MPTLVEDATKQFDEALKQAFGAAADNVSVAAEKVGELVHSNKKI NLNHVHRLINEGLVSSDASHREVSARVVASIALKKDRRVEAYTAGWLPNLLDAYADKK LNVRGPAQEAAVALVQSFNENALSFVLPLIFQGLDRIKKWQTKEGALNLILDFCALHP VQVSRNLPDIIPKAIEQIWDTRPEVKKAANAVMIKACSTASNADIEPFIPALVSAMAD PTQVAECVHKLASTTFVKTVESPALAIMEPLLVRGLNENKTSVKRQTAVIIDNMCKLV EDPAEALLFTPKVLPTLKRIIESVADPECRDVVKRAHSTLLMAAGNVELSEDEGKVEF SAVLAALKVIIAKIPAAKNAHIDEATLNYVAGNGFYLTLARSFIPEKWSQSVKPYLLA FLDEHEIPHVTKDFREKCFKDNKLKIADDVIEEDVGEDLCDCEFSLAYGGMILLNNAR LQLKKGHRYGLCGPNGAGKSTLMRAIANGQLEGFPSKDEVRTVYVEHNLQAEEADLSV LDFISKDPNFEGVLSRKEVSDTLSSVGFTDPMQAQAVGSLSGGWKMKLELARAMLMKA DILLLDEPTNHLDVANNRKLKTYVGNMSHFVELRPEAKAYYNLEAATFAFKFPEPGFL ADIKNKGKPIIRMNNCSYQYPGTTKPSINNITITCALSSRIAVIGPNGAGKSTMIKML TGEVEPTTGTMWKHPSMRFAYVAQHAFHHIEEHLDLTANQYIQWRFQSGEDKELMAKE TRKISPEEKARLEQPVNWEGEKRVLETIENRRKLKKSFEYEIKWVKLPDTENSWVPRE KLEKWGFEKLLQIADDREAARANLQARPVTAIAVQKHLDNFGLGPEFGTHSRMRGLSG GQKVKVVLGAAMWLNPHILVLDEPTNYLDRDSLGALATAIKEFGGGVVMISHNREFTD HLAIETWNVEAGHCSIEGQVMEDKTKIEQIDSQETVDAFGNKQVTKVKRKLTRKELKA KLKLKKEAEKRGEIYEDSDLDDYEDE H257_18894 MRIEVSGKENCPEPTHDAFSWITPVVLEDGWLDKMDSSVEGEFF PDLSWSDSPSDPFFHATSVVDETPPAKKLTSEPHAKPTSKKAKGDALRSMEPHTKLHP THQQVHPLSKGHSTAFLDDLPPPQSTITTAHASTTHTPSTTWSSDGDAVLQPSHPPSS TKKRSWGGWTWDDQTVFFQAMKSKWNSPAQLPKRWDQLTRKLPHKSVRALHDFYHAMV SHVHTLLSLVHVHLNLDSPDEIRLALSCWHRVCSSELDISNPLHKKRLAGRLKHTLLK SRKSSEAAMSAAAKHSKKTASTNVSTSPNGVGAGPLPAVVHTPIVRKKRPLVNSPMPP VPTTATTTTAASSPPQHAIATPWTLLDYSTSKRRKPSPPPSSMDARKRQIKVRFVPID KATQALVADIGARPKVELTMNGSKRISDVCTHMMAKWAAVHTTSDDVRRLFRVVPLGD RVHPGWGVDDISVSCLDILHQCPRQPATDDDTVTLEYRWDIAPSSSSSTTASVTPTLG AALPQPLLSDQPTYVNLDFLPLPSPHNDDDDDEPWHDLRDLSPPLAHPSCVSSDSSSH AVALSSTDFNGFLDEGPGACTAWMESFLPPPPVDVAPTTAQPTTTWQGVPSDPSTKKK RITPTLIKRPSPPTLSTAAAAALS H257_18895 MNDLFQKTSTKSGAKYTACAQSSPRVTPYSGLGQLLDTGEDNHV ADQLPPPSPNNEASEYTVYTRLGIQSTPPRSTNQSDCDSSEAPLSHRSSTASPSSSDH VINMECPPYLDQDPQPQGPDIPECNVTCRRKKTAFEARVRLAMHDKPIYVGRYKTEQA ARDACARLLRQTTPRQESKATK H257_18896 MLAHRAVARWRPSIWRAACLSTHSTSSKNTLYSYGDGYLGTLGH GNYDAVDAPKALAAFEGLDLVQASTGWSHTGVVDVQGKAYVFGRSHHFKNVIRAINMH RFAPWFLDFANKLGGARSVEAFLPVEVLLPEKVAEVACGSTLSLFRTESGVLYANGGN YYGQCGVGHENSSVWEAERVKLPPVAQVAAGYQHVLALTTEGQVYSWGKGERGQLGYG TVNLSAPQQLVALRDKQVRYVDVGFNYSLAITVEGELYVWGKLMGADQHGRKNGEDQI TPRLVRTSAPVVAAKSSHFHTLVLTEDGKVWVLGRSQAVHLTGEKTGRTNPEVHVSPV AVDVGGVLDPKRIVRLGKGVHNTSIILDDGRVFAWDWKDGLHRVDALSGYHVESYETG FGSNVFLGHSKATNGQV H257_18896 MHRFAPWFLDFANKLGGARSVEAFLPVEVLLPEKVAEVACGSTL SLFRTESGVLYANGGNYYGQCGVGHENSSVWEAERVKLPPVAQVAAGYQHVLALTTEG QVYSWGKGERGQLGYGTVNLSAPQQLVALRDKQVRYVDVGFNYSLAITVEGELYVWGK LMGADQHGRKNGEDQITPRLVRTSAPVVAAKSSHFHTLVLTEDGKVWVLGRSQAVHLT GEKTGRTNPEVHVSPVAVDVGGVLDPKRIVRLGKGVHNTSIILDDGRVFAWDWKDGLH RVDALSGYHVESYETGFGSNVFLGHSKATNGQV H257_18897 MKPSTIILLGLAAMTTSVEAHGRMLTPPHRGYMYTLPQFSFFPS NYDDDGLSAGGIGSTKKGLHGICGDRYSAATPRPHETGGKYGLFPKYGAKAIGGCYAP GAIMDIKYQITANHKGYFEFGLCKLNGKNDAETEACFQTLSQPDGQKQWFLPAGNKIF SLQYQLPSGVTCDGDSHCVLRSWWVGGNNADVGMDGQEQFWNCADIYISNNCGATPPS PSSGPPTQSPSTTTKPNATNTVKPAITTIAPQPTYAPSPSTAKPTSSVPQPTYATTTP PSKPTSRPTPSNPKTTQPSYPTQPPATTSSTDPTKVPSQCGSCANCYYASNNACFIGW SASQCAMQPLFKWCGPSNV H257_18898 MRKRQRTPKNDRGRVTGSRSREDAPLGAPLRTRSDLPDDNSYLL STSGNRHMVAFAPLPQPEEYQLSQRLSQLLLHQRLKKIALQCRCQPTQLVASAKIRST HGHREWTRSIALQSVDLPQIFLVLAHKIASFVTSGSKTSLNLPQQRSRYAPSRADHSA SFHDAYIPDAKQNLGADHCSYPIATDVSGSEQPPPARQAPSKSVPT H257_18899 MAGDAVPDWCREVCISSVTSTLCNRSVDTCPPCVRRMALGMLVC SPDNNCAASSSPCPPTFATTSAADLSETNRRTDATNPTTTFTPLGTTATTTTTIIPSP LPPDHHAAATHTNLNSSSILPMSTLVLVLAVAGSLLFCLAGCAWVYRWRRQAAKQRMD MSIVRHHTDQKHSKAYHPALANCKLDVYDMHTGACSYSRPRTTSRTQSMSKASWQDSH WSSTTDHPLRPDTSVGDTLLNASHNANGGGGMEPDPWLFSNPHRRSSVVELGGGSFPN YPPHERPLSYGHSYDSVADDNRFSHDDERLTVDDDKAWGQR H257_18900 MYVVASSVVLKRLAATAQLATFVTALHFAERSCNGSLHESVFEA MVHKLAHIGLLQLSIKANHSFASEVVTVPTTLPVTTGHMTWDDSLKFLAIDATESMYW YPTYLPFPVVDSVLVNDGVIYYLKMTLDENRDLDWAKMQEIHNAVSDNPNLQYHDFKY VVVAPETSPSKDIVGQECGIDGVPMCHGHGVKAVGMEELMSCVAKALTR H257_18901 MVVGVAQVATYFQRIEHNVWLAYVYTLFFWSCRSILLDQVLAGY VFVLTGSNEPVGLVTGINGLVRLFMAIPGGYASDRFRRDTVLKAAGVLGLGCVVLSMT SYLMGHMPLLYVTYGCWGAYFALQRPALEAIFADSVPQGEREVPFTIRYMLMNLSGMV GPLASVIFFLFYGDSWSLSGLQWVLCGGLVIGTPGIVSLFFFNDDLAYENIKPAHQPS SPTTGLTTPSSRKVRALSYVEDNGDLCQLEEIQDGHRSEQGEKTRLVVSSPGLLSEEN DDVDMSTVNTFLCLGPRHVPVILFCTDFIMFNGSGLSIVFLPLFLQNDYGLTPSSINL LVLVQQILVLVTTPLARLVSKKIGDIETVVATRVMAAAVLMAFTYGESMWFEIVLFLL RTSIMRSSLPLRSSILMDHVAKEWRGRWNALESLTMFCFCGTSVVGGYLVEAYGYRYC FFVTSIVWFVGLSVELVLVPIIRNERTLRKATTSHKLVMLA H257_18902 MEYMNWQFGAIDVVTEMQIIIRGTRVAVSVTHAMTFKHGATFGL AEAKELLRKKLHGLVMVKCGGCPRQSYHRSVPHMWCQTSEIATVIASCYESVSIELGI TANVVLTPSSPPPRPASSPTTLWQSCHVNKLLYQTTTLNICNHLLALE H257_18903 MDVKRPIVDLEERVSFVHSTADKDVQGEYADVKTPGDLEGGALV AGGALSLMSREAMGLLSQYAAIGVVLNMLPSLSYPLFTAYLNMEGYQTSSYGVLVTIG YSYKVFFGMLSDCFPIFGYRRKPWMLIGWTTTMICLSILTFSSFGTPFCDREKTKYCG KALETVPASELKHFNLSAPDQGMFYIMVSMVASIAYIIAACASDAMVVEYAQREPEAI RGRVQTAIYVVRTISGIASSVTIGFGLNGPNYGGSFGFAISPNVPYGICLIPCVLAVI ATLTLVVDKKTPGVPFREWCANFWELLQHRVMWQICLFRVVVSGLQSIGATPGSLISL YWAKVEPINNAVMDLASSAIFIATLSAVGKWGLAWNWRVMIAFGTLGVMIIDGPVLFL TIWDVVRNQWFYTGATLADTIPAGVRFIVSTYCAVEVADVGNEGATYGLITTIANLMG PIGSVVYKYIDSYFKVFANDIKRDSDEVRWDVSYVYFISYGCKIMSLFGLLLLPPQKK EMQELKRKGGKSKVAGALIIIGYFVCISFSMTSSIMAIYPSTKCYRIAGGNGKVDANG KCLKR H257_18904 MSSDAGPTTQTLSDKTLARRRYFREKQREYRRKLNAEGAAMEAE VIHLQSILDGLQAKSLPSVREARDSILSWHSIAMVFKSEAHRVLTDRESLGTQAQEYR TLIASMQRFVMMNIPLPMSRSNTWHNATLVADPRARNLGKEWLTQQMYHTMHEAFALL PALRSDEEYYVFDVEASDKHDDSFTFVEQIQCTWPGTLASFRRFVESNRMRDVLLDDL HEVADEVVANTRLLCTTTVDGAFRNTLEGNFVEADRIIIVLRHVDDDEAHSCYPMLRQ RHYRSWTEVRQVSPTHIVMRTVSQLTRPFRAYDGFVSSDELAVLRDIDVTGIEDNDQK EAFMWRELIRQENAQFLLWRRRFAALMQVSS H257_18905 MAPPSTKAPHRKAIGRPVVKNCRRNGPPQHRRHYFSYAKQLHII NWRKQHSMDSALDTFFWGAEGIARSSAFKRVLRWEHNRPHITKMANIPATTTQNICRP AGCATTLSSEYEEQIPQWVCDMRSEGIPVSKFLLQCKALEVAKDLGLTDNRFKESPSW ISGFIKQRGEAALAAFSNRIRQLVQTEAIDDIYNADQTGINFEYIPKHAIDRCGANTG WIRCSGHEKDRMTAMLLADNKGTKYPMFLVLKSRAPKVKATVVENLTKRNGFGPVVCP EVEELHERHASRLYGNRISQYYFGYRKDKNMKKILLLWDDFSTHFSDDVVACAESLDV LLEKNPPTFKRVCQPADVAWMKPLKASMRLRWLRPPSRDNLVEWVNEAWEAMPKSTI H257_18906 MDVLAQIFPDIPEGILAEVLHNCGGCVEAASDWLCEHDWHELVP DNDDDETNQQEDGEGLQHGDNSYTNANLLTISTPVALEAPPAASAMPPLGPFDNPSDD EGDEDDEDEDDEDDEDDDNMYYDSGDDGDGGRLGGGPRVPPLTSRTKISPSSDAAGIS SPGNEPRFWVAFDDVTMQKSMIELLNMSPTKLAHHRIAILSTPSLDKASADAILLRVP SSPPQQLHMSTTLSKGTSVKRSHATMQGGDALGYFAHFFAVNDLDMLWRSILHGHLLN RRFGTLLDFRSTSVTKARDFDELMNIAAHGGRRHPPSMMRRQHLDRVADLTCGLATPC TAADMLRVGTNLHGVLSLATGSSLYFRAAASIPPPFVASGMGLMEVSFRAGATHRIRR LESAPPETGPSAGLGYQPPKKIEYILQSLDDLSGDENGNDDHA H257_18906 MDVLAQIFPDIPEGILAEVLHNCGGCVEAASDWLCEHDWHELVP DNDDDETNQQEDGEGLQHGDNSYTNANLLTISTPVALEAPPAASAMPPLGPFDNPSDD EGDEDDEDEDDEDDEDDDNMYYDSGDDGDGGRLGGGPRVPPLTSRTKISPSSDAAGIS SPGNEPRFWVAFDDVTMQKSMIELLNMSPTKLAHHRIAILSTPSLDKASADAILLRVP SSPPQQLHMSTTLSKGTSVKRSHATMQGGDALGYFAHFFAVNDLDMLWRSILHGHLLN RRFGTLLDFRSTSVTKARDFDELMNIAAHGGRRHPPSMMRRQHLDRVADLTCGLATPC TAADMLRVGTNLHGVLSLATGSSLYFRAAASIPPPFVASGMGLMEVSFRAGATHRIRR LESAPPETGPSAGLGYQPPKKIEYILQSLDDLSGDENGNDDHA H257_18906 MDVLAQIFPDIPEGILAEVLHNCGGCVEAASDWLCEHDWHELVP DNDDDETNQQEDGEGLQHGDNSYTNANLLTISTPVALEAPPAASAMPPLGPFDNPSDD EGDEDDEDEDDEDDEDDDNMYYDSGDDGDGGRLGGGPRVPPLTSRTKISPSSDAAGIS SPGNEPRFWVAFDDVTMQKSMIGTCPFRYCTYVPASVVGVNVRRVIVELLNMSPTKLA HHRIAILSTPSLDKASADAILLRVPSSPPQQLHMSTTLSKGTSVKRSHATMQGGDALG YFAHFFAVNDLDMLWRSILHGHLLNRRFGTLLDFRSTSVTKARDFDELMNIAAHGGRR HPPSMMRRQHLDRVADLTCGLATPCTAADMLRVGTNLHGVLSLATGSSLYFRAAASIP PPFVASGMGLMEVSFRAGATHRIRRLESAPPETGPSAGLGYQPPKKIEYILQSLDDLS GDENGNDDHA H257_18906 MDVLAQIFPDIPEGILAEVLHNCGGCVEAASDWLCEHDWHELVP DNDDDETNQQEDGEGLQHGDNSYTNANLLTISTPVALEAPPAASAMPPLGPFDNPSDD EGDEDDEDEDDEDDEDDDNMYYDSGDDGDGGRLGGGPRVPPLTSRTKISPSSDAAGIS SPGNEPRFWVAFDDVTMQKSMIGTCPFRYCTYVPASVVGVNVRRVIVELLNMSPTKLA HHRIAILSTPSLDKASADAILLRVPSSPPQQLHMSTTLSKGTSVKRSHATMQGGDALG YFAHFFAVNDLDMLWRSILHGHLLNRRFGTLLDFRSTSVTKARDFDELMNIAAHGGRR HPPSMMRRQHLDRVADLTCGLATPCTAADMLRVGTNLHGVLSLATGSSLYFRAAASIP PPFVASGMGLMEVSFRAGATHRIRRLESAPPETGPSAGLGYQPPKKIEYILQSLDDLS GDENGNDDHA H257_18906 MDVLAQIFPDIPEGILAEVLHNCGGCVEAASDWLCEHDWHELVP DNDDDETNQQEDGEGLQHGDNSYTNANLLTISTPVALEAPPAASAMPPLGPFDNPSDD EGDEDDEDEDDEDDEDDDNMYYDSGDDGDGGRLGGGPRVPPLTSRTKISPSSDAAGIS SPGNEPRFWVAFDDVTMQKSMIELLNMSPTKLAHHRIAILSTPSLDKASADAILLRVP SSPPQQLHMSTTLSKGTSVKRSHATMQGGDALGYFAHFFAVNDLDMLWRSILHGHLLN RRFGTLLDFRSTSVTKARDFDELMNIAAHGGRRHPPSMMRRQHLDRVADLTCGLATPC TAADMLRVGTNLHGVLSLATGSSLYFRAAASIPPPFVASGMGLMEVSFRAGATHRIRR LEVPHVHVDLMMICMYVECTTRDRS H257_18906 MDVLAQIFPDIPEGILAEVLHNCGGCVEAASDWLCEHDWHELVP DNDDDETNQQEDGEGLQHGDNSYTNANLLTISTPVALEAPPAASAMPPLGPFDNPSDD EGDEDDEDEDDEDDEDDDNMYYDSGDDGDGGRLGGGPRVPPLTSRTKISPSSDAAGIS SPGNEPRFWVAFDDVTMQKSMIELLNMSPTKLAHHRIAILSTPSLDKASADAILLRVP SSPPQQLHMSTTLSKGTSVKRSHATMQGGDALGYFAHFFAVNDLDMLWRSILHGHLLN RRFGTLLDFRSTRSLWSLCMSWGLLDYAEYIL H257_18906 MDVLAQIFPDIPEGILAEVLHNCGGCVEAASDWLCEHDWHELVP DNDDDETNQQEDGEGLQHGDNSYTNANLLTISTPVALEAPPAASAMPPLGPFDNPSDD EGDEDDEDEDDEDDEDDDNMYYDSGDDGDGGRLGGGPRVPPLTSRTKISPSSDAAGIS SPGNEPRFWVAFDDVTMQKSMIELLNMSPTKLAHHRIAILSTPSLDKASADAILLRVP SSPPQQLHMSTTLSKGTSVKRSHATMQGGDALGYFAHFFAVNDLDMLWRSILHGHLLN RRFGTLLDFRSTRSLWSLCMSWGLLDYAEYIL H257_18906 MDVLAQIFPDIPEGILAEVLHNCGGCVEAASDWLCEHDWHELVP DNDDDETNQQEDGEGLQHGDNSYTNANLLTISTPVALEAPPAASAMPPLGPFDNPSDD EGDEDDEDEDDEDDEDDDNMYYDSGDDGDGGRLGGGPRVPPLTSRTKISPSSDAAGIS SPGNEPRFWVAFDDVTMQKSMIELLNMSPTKLAHHRIAILSTPSLDKASADAILLRVP SSPPQQLHMSTTLSKGTSVKRSHATMQGGDALGYFAHFFAVNDLDMLWRSILHGHLLN RRFGTLLDFRSTRSLWSLCMSWGLLDYAEYIL H257_18906 MDVLAQIFPDIPEGILAEVLHNCGGCVEAASDWLCEHDWHELVP DNDDDETNQQEDGEGLQHGDNSYTNANLLTISTPVALEAPPAASAMPPLGPFDNPSDD EGDEDDEDEDDEDDEDDDNMYYDSGDDGDGGRLGGGPRVPPLTSRTKISPSSDAAGIS SPGNEPRFWVAFDDVTMQKSMIGTCPFRYCTYVPASVVGVNVRRVIVELLNMSPTKLA HHRIAILSTPSLDKASADAILLRVPSSPPQQLHMSTTLSKGTSVKRSHATMQGGDALG YFAHFFAVNDLDMLWRSILHGHLLNRRFGTLLDFRSTRSLWSLCMSWGLLDYAEYIL H257_18906 MDVLAQIFPDIPEGILAEVLHNCGGCVEAASDWLCEHDWHELVP DNDDDETNQQEDGEGLQHGDNSYTNANLLTISTPVALEAPPAASAMPPLGPFDNPSDD EGDEDDEDEDDEDDEDDDNMYYDSGDDGDGGRLGGGPRVPPLTSRTKISPSSDAAGIS SPGNEPRFWVAFDDVTMQKSMIGTCPFRYCTYVPASVVGVNVRRVIVELLNMSPTKLA HHRIAILSTPSLDKASADAILLRVPSSPPQQLHMSTTLSKGTSVKRSHATMQGGDALG YFAHFFAVNDLDMLWRSILHGHLLNRRFGTLLDFRSTRSLWSLCMSWGLLDYAEYIL H257_18907 MRRRTQYPISTKLEAIGLLESMSCHEVARVLKVPRRTVRSWLTQ RSELLAYDGNKKNNKLEPCGRYETLQIKVNQREWLLNYLATKKPDAAYNSLLKLLQRF CKRHGFSRQLRGGSSKISAGEKHSMRMTAVLTVRADGTKLPLMFIMRGTPGGRIESSE FPTFPSEHYYAVQTKAWMCQYLREVLGVLGESIEEPSVVHMDNCECHVSAASYKIMYE ELVMAPFKRNLRNLWLLEEHIIGDDEDPYSLTAQQKRMAMMQRTISAWDMVSEDV H257_18908 MQVDLGRSCSKPRNPESTTTTAAAPASTTDAPGTTTNTPVTTLP APATTMAVPGTTTAAPSSTTLAPCTTTSTPASTPAAPPITTTAPNTTTKSPGTKTRIV MQMEEPEGGRVKMSGHGARAFSNLRGMVPTSWAAGKKKRSSLLSLKQLSRLKRPSPLT ISPIAQSQKRMKHQANLSSSLRIDEEGVKRLERIRHSLSEAVKKAYIAARNRKPWEQW VENFVSFVPGQTKAERSWNYSLRRFWE H257_18909 MSTLNAPRKRGEGKRNTDTERLQILGLFSAPDFANTAPSNRRVA KQYGVSESAIRALRLKEAKIIERTNGKSKAQLDRTRRYAMAAFPELETQLHAWLMNMR RQKVSIPPNVVRHKAKSIANSFDPPLQFEASPGWLENYRRRSSVGITILHGEGGEVDK EDLELLAGLAVLSNIVATYPEHCVYNLDETGLF H257_18910 MSHLTLCHPVYKGSRTNVIDGCAFQSYVSPAAVLLHGWMDLTVT KHMPLSTVEDHTIRKYIQPRPNVDTV H257_18911 MQDLLAGLEVIQAFTTTLKRHDLAINEARALLETLIPRFACMTS YLSPLAVIVSNPTFEDTVVKVLDGEVGMCWNARWYRSRL H257_18912 PPNIFLDAEGTVKLGDFGLATKPPKDVREGSHDDDDDDAILDHV PSLNVNHLTDIPTYESISRDQAVDDDHDGGVQDLTVSYESLNITAGVGTAFYRAPEQE KEGQRYNQKADMFSLGILFFEMWSPPFTTLMERAEALMDLRQRNQCPSQWKAPANVQT IVHWLCAPNPSNRPSAAELLASNLLPPKMEVEEKYLKEALQTLANPKGHFFGQMMQAL FVQEPVDHVDYTFDGVHKHKAMALYAEGHGRVFVQRHLQAVFEQHGAVELTTPLLMPK RASCALHVNRCALLDAAGVTVMLPFDFTEPLARFLARNNVSQLKRFHFGRVFRKNVSG GHPREIFEADFDLVWDEKHTGRVMELEVLHVVRQSLESVGLLGTSYVRLSDARLSRGM LDLCDVPLATRRDVLKWLSQESNLPSVPTSRWKFVVRKMADVSISDASCDLLKHFFHL PPDPLAALASIESFLVLTMNQLGHHDFATSSDAFTSNKTKREQKRDAQLKKSIHDALL GLQNLRALFVDLKSAVALRLDLGLRQEAYTSGLMFQVVNNQNEIMAEGGRYDALVVKY RLPAARIQLPTVRAVGVRFSVDRMVSCLARPQVESPLILVCSDPAMLHSRLEVATLLW QSGLTAEFWHPDTKDLEEYCTMIGAHWMVLVKKHLLTEKRAVKVRSIKHYDGDVTVPI TSLAYFFSEHHPSSSSGLHRPRSGSMATSLSCAGGASSGGGGGGGGSADMKNGGFDKD APTVKLNVKVVDPKQNQKDKHRQKQDVGHVERQVSKWLSSFLLPNASHEPTKVLSVDV PFAVLRDFGSRFMEDRGTAVDVVGNTRFKKVLKLVVDEIAELEGADYWRGKREKYVLL HSSCDDRYDMLSITELSSKNHHHNRR H257_18913 MLPFLALTAVLSWILPTDGQTTDPPLPTSGEKLFDAWKPFSTPL VIPEVIDMRKGGSLDMNIGETRHAWGSGAPTAATYGYGKVGGNITTPGPTILVKKGVP ITVTWYNAIKSSKHLLDMNVETTLTIRESSCYPHCGVPVITHVHGLETPAQYDGLPHF SIYRNQSYIARYNNTQSGSTKMYHDHAIGLGRLNMWAGLAGLYVIQDDEVETAYKLNN LVDIPLIFQDKIIAPDGTLVYSSVSVCQTEGTKWVSEAFGAVNTVNGVIMPYVDIPAG QVRLRMANMANARNYNFTLPFADQCQLIATDSGFVSEVKPVAKTGFKLYSLERVELVC DFTDTKVGTTYDLEDSTEIESSYSYDPRLLQVRIVAPKENAPAKVELPKKMTALKDLE ALYKSTKGKLRTITLGEMEGDNKCPVQLMIVQHQMVANVSTIQNKLKCTLGKVEKWQF KNPTDDAHPFHWHLVNAQCGPDDKSIDKNALKDVVVIPNARQSQNITQVCYVACVPDQ FLVEGSTVGPTEYGFNTDEPYLAHCHIMEHEENSMMSWFQLTKEDDAEPVDDGSVPDL NPQVTSEVIWCALGMSVVGGLATCLSVLVLSIKRLNFLAGDTAMAVTFALSAGVMLFI SLVDLFLEALEKFNNAFAVGGTVDLEAIEHGLAPAGAVAPICNENCHGKAYLAVVGCF FGGKLARVEDEEVVEVWVWERGAV H257_18914 MMMRLDVLLVLCLCVCGASASSSIRDVDAFELDPTSVTHGQYKL VAVHPHDSDAFTEGLLFHDGVLLESTGLDGKSFVREHNATEFGVHVNEFRFPPDVFGE GIAVLNDKIYALTYKAKVGYVLDRRTFKLLDTFRFDTTTGEGWGMTTDGHNLIVSDGS ATILFLDPTNDMHVIRTITVTETDGSEVRNINELEYVHGELLANVWFTNSILRIDVAT GHVKERLNLDHLPTLEGHVEGIQGPLKNDAVMNGIAFNPINQHVYVTGKLWDSMFELD LNVPRRHIRRHSY H257_18915 MLNQLAWIAAFAATATISAPTTDPPLPTSGEKLFDAWKPFSTPL VIPEVIDMRKGGSLDMNIGETRHAWGSGAPTAATYGYGKVGGNITTPGPTILVKKGVP ITVTWYNAIKSAKHLLDMNVETTLTIRESSCYPHCGVPVITHVHGLETPAQYDGLPHF SIYRNQSYTARYNNTQSGSTKMYHDHAIGLGRLN H257_18916 HTQFTFPLLTRHANCLRRCWFGRVRCVYHLPRAPSSCCCGFHFL HQCERRGRCHVLRAICGAQGKACPKRGDVAVVHCLHILLSFVRATSKCVEWDMRKDPD AVSEDE H257_18917 MLDGLAVAIRRDRQESVIREVSQTIVKIITDAVVPASLHRAITE QMALTRNTPLKKDVYRFVRWLRQYAIPHERFVGYDEELEPPQKPDLLKPPGSKDLGVR RIPRGDAKPLAPAPNASAIGAPNNGCLKCESTSHRVRECPGVTP H257_18918 MDSAAVSVEMQLFMAKVEKIMARQVVTMRQAVREEVDAINKHRK GMDDHLQRLLALTQPEDPQSPTVALASAAPPATGKRRGRPRKVATAASRSPTDATAPR TSSSLESKDVAPAQPAKRRCVTKNATSTPNDHQPIDHLHVMSSSSTPSTGTSAATKSE YSLQSTGGATVDRPTDSDTVPPPPQQPPPCTS H257_18919 MPKISRHRNYRKTYRTPSRAFEKERLDQEMKLLGEYGLRCKREI WRVQYTLAKLRKAARQLLTLDPKDPKRLFEGPALIRRLKRYGLLADDENELDFVLQMN TQKLLERRLQTKVFKQGLAKSIHHARTLIKQRHIRVGRQLVDVPSFMVRLDSEKHIDF SVTSPYGQGRPGRVARKRAAQRAAAANGGADEEDDE H257_18920 MSTSAAAAAAPAKKGQPTWYMPQPMNSLMEYWERHYPLKLYNSM TRTKTTFVPMQNKRVLWYMCGPTVYDITHLGHGRTYTCFDYVRRILEDYFGYQVELVM NITDVDDKIIVRAAENGWTEAHPGQSLPANKDEAAKAVLAWASEQSAESNMQRTNDLS KFFEKTFMDDMAALNIKPPTVLTRVSEYMPEIVEFVEGIIANGYAYESNGSVYFDTIA FGKAKGKNYGKLVPENVGQSDLLAEGEGSLSVGATDKRNGNDFALWKKSKRGEPFWAS PWGEGRPGYVPIWEMTYIHDRKQTYLRLYCDVHNKHSFVEKRYSSGEKTFFDEEKTSF IAQSEAYFNFGQWINYFVHTGHLNIEGLKMSKSLKNFVKINQALEHHTPRQLRFLFLL HKYNVPMDYNDNTMDEAVGVDAFFTKFFQNVKATLRGTSIDRSQKWSAAEKALGQAVL HAKDRVHQALADDLDTPLALRLLQELAKDVNRYVASSPSPVSLAIRSAADYITRILRI FGLIPNGGGGGGDIGFPLEGAAGGGGQEAILAPVLDIFSDFRDQVRAVLFDADATSLE HVKQTLMALCDNVRDAKLPHAGVRLEDKSGGKAVWKLADKDVLLAEIKAKEDEKAAKD AAKAQRAADELQKIADERQRAQTHPKDLFKASPEYVAFNDQGLPTALASGEPVAKSLL KKLAKEQDKHQKLYDKYHK H257_18921 MVDEGAISEGGGNPAPYPPPDLDLTPVSFQAADVLHWRRGRLAY LLHRVPEEREATRQPPPILRSRVANSRRQPVGVLGRRAEPVELATHVPDDSTQRSLIV FLMRKAIPISHPNVVPTSEFSSLPRDMWLQDTTPDKTGSPLLVHMESTSPLQKDGSC H257_18922 MSLGQPAWSHSTVASAALSRWRTAKDLVCIALFCAWTAFDMSAY SGNATPLHACGPHQPRRHGLVLRLVSVSIEAFAGLLGQWKPMDDTVTERVVRSFVHLK GILSPPDAPSTMLRVGGDNATTLLPSLVAFLSKIATPTPSQSSAADTPPQSFHPLQAA AHTRTSCPS H257_18924 MRRSEKYTFAKVWKVLKAPPHAWKSKRPTRGDLSNDWIYYSCNV TLRGESNVVEWAKTNRVLDKTSPFWIDAEEEKRPPGTRDASTESVQSDPEEEKRYVCV ATGCDLDTSLATDPCSVCGKPVHHICSNDIAPDPEEASLRYCSVACYGGVGGPKPVNI PSQPAEVVDLTGDSPLPKTSMRSDATIWWENPQLYVKQEVLQTPKRTLSDLCLPPTPP DDYLEQLAAQNTPHAQDTSPTQSTLQAKTVPQETTAPQATTAPQGPIPNDGNMFSTNN ASQTDSVSGNQAESGKPSKTRTYKEGSKMWEKQQGKKQREAEKEEAKKKRLAGRAEKE EAKKKRLEEKVVRDAAKEATQDAKKRRAAIRNSMPPTSLTNTTPDLQVSIRPETARVQ LEPRGFPPKSMLRPSHAGKARVSHGTSFLQRLEQDSDASSSESKVRPPAKRAKTTANH DVEASDKDGDSDLRRSDDEHDSRPEEIDDGLDSGDEGHYDEEGNDVPFDDVYEDESTM PRLGNDMEEYDVDGTDVEDLSRTSDHFKGCWEERLSGAARIDTLHRLSETGWECVTDD SYVGVAQDAFDNDTEVWGPTPAVVPYAHSPIGLFFFFFPKWFWRHVARETTNYEMQTR QSRLFRHERSYSAQQHETYRRKANRFQDVRPLEVVQLIAMLVFRCIMPIKSGVKDHWR REEYCKGLEPPGTFGNIMGRNRFVDICRRFLHFTNNLDPRAKTDRAWKVRSISDVLQK TSASAFSLGRYVSFDEAVIPGRASMHSYLMYFKDKPHKFGTKLFMVCCGTTAFCARFE IFCGKKMRGPDSIPPSENNDTGAAAVYRNLKALFDGRYHTTADPNKKRYIICDREYTS YTLVRTLLENNFYCIGTCCPTRLGFPLGIVWPPKARVDRGAYSVASTRDDTPIAALAW RDNGNVYFLASGASTKPTTVLRRSKRGPESMQVPCPTFVQTYNETMNGADVHDQIRLQ RYPLQGTLRFKKYYKGVALGLIDVGLVNMYIIHREVTKAQGKTPMTHSAFRRLLSQQL CAMGHMDFDDDDNPADGDELSNEDVLDDSDPRIPASAFTVAPVYVPKSEHIMVHTEEI RSGNKMNARSCFVCAWLKSMGKLDRTRQTTTYCKQCSEKNTHGRPVFLCMKPRALLGG LTCCAYFHDIWKCRRPKKDEYHE H257_18925 MPSRQDQRGGLAFKTSSFSPLCPFISQHAEGPTQEECHGRRVQQ PGPAPLDRCTSSGKLPKGVAEDMGKLFDCTPTTVRRIWRRASVDLSGSKTICASVHQR KKGQSGRKRMYTDIPERIQAAVAAQLLPLYCLRAQHPQVNPPCLLQAWRHCENWAIKH VTDIDGAKYFDLMYHTVHVDEKWFFMTRLQKKIYGAPGEKIKQRSCKSKRHLLKVMFL SAVARPRWDQAKGEWFDGKIGTWHFTEIVPAQRRSCRRDAGTPVMKTVNVTRDTYKAM IIDNVIPAIRSKWPSGETKRVKIQQDNARPHVVFQPPNSPDLNVLDLGFFRAIQTLQV EKNSSSLEEIVAATDAAWAAVSTTTLTKNFLTLQRCLQEIILDTEALTIYNGTEVHDD IVAALGLVQLSE H257_18926 MVEKLLALAQDETCDYDSLLWAIDEATPYKQKVGTATFWVETGG ASRGLDIRFYVDITNIRYNFDSVDLMKVLRRLKTLPLFQGFRSTNAGTSCHTNAWRIY FCTDDMPTNLIINNHPVDQLRFQGGTYATVVWIAPPYPTLWVKRIHVKNKRLEWHPDN MTLKQVISALQEADQSEVSPASQQVQRQELIQDMTPESLTLAHRVKPEELWLGLHRSP LQGNVTLSTLYSDDRVGFDQTVRLHSWHR H257_18927 MKSNGYKLAETLLSEHTVVVLQETKLATKFQTGIFRLHLDHEIG RGNYFLAINYHGQSAVVAEALRSSGVMMFYHKSNPFFKRLYHESHLDVPDKYMVDYFS SLPRNFPPHAKHFIMGNFNLSMDRLLDYEGEASNYHGGRVECIDWLQSLRVVDAWRIH HPHERMLEIPEVTSAIISEARALVPILLHAHNPGVVWAGWKKRTKDFVEHYHTHHIAY KGLTVQRAEQDWATAMVQASRGELRADQLILERTKPINLKWRQNQNDVSFGFYTSNSE VSTSHFFRRPQETVDKVSIATVTTGDGRVSFHPQDIHQAIHTRWSSIMREGDFAPPIA PQGGSFLRCLKTRLTEEQRSGLDEPITPADHTAFQGRGT H257_18928 MKFTLPSTIALFASATTAQTNNTFTGIDGRARTLKEEAALQDDA KINRACHQENANYIPSLKAGEYSTS H257_18929 ENANYIPSLNAGEYSTSAFHNCFRTIDQIYEFTDALVVQNPTLL SKFLISKTYKGAIIYGYKLTKDHSQPTATDEYDLYFVPVVNIDGYEVSWEEHYRAQRK SFNQVDLNRNWPTPFEHPNPPAISAADYPGPSAFSEPETAGINEWLISKRSEIQGFID IHAYGGYILYPYGDTKEPIGGGFDEKFDVLGRGLQSVMGAYTPGPVAKTFYFAYGVFS DYAFREFKKPAVTFELVGNDFAVDVSTIPTRGIEVYKGINQFAKETTKFNSGPITEPV PTTTDGCNTCDWCFIPGLNSCFSEFTKNECVVQNAEYGALWCVEIRTKK H257_18930 MQAERDEINHAAVLEAPACMPVDLAKIRPKLFLDDILMPPAWHG CVCSSEQTTLLPSTRIIATWSRRTRTSPASRQSSMHTRLATTYPADKARAKSYCITIA QPQACGYEQ H257_18931 MKRTASVFLSCDRLLLAVCVSTKSDWNSTLVSTGPVSANQWTHV AIVCDNVTLRLYIQGKEDRTLSLNDVVTTIRSVSSPPGVKKASTDYKGFRGYLQHMLL YPTTRAWMAKDVAKYVKERKPSVDSTDLSCARPPLSNPVTPSHMDSPDVVTYFSPSSD EFHMQVTVWMGGGSCSSPPRGRMQVLAACASPSGGVFAFVWGHLSIRTKDRHGTHLDA ATSGLVDLYVGNVPYEVPLMAPWAGDIAETTHYTFSESQRNLAFDVSSTGPNNLHGRV LHDSGWRTEPPLVNQLAQPRTPPLQVDTDAPSISGRILAFMERMAVVYLRSSCCKILV GCPRTRVVVSSGAVWTHVLTFVLLHSLLRHAAFEKDVDTQGGQPIVALLRILRANFQP LSSVLSTDVAVPCLACPPRLSASACRLRWRSDCTRC H257_18932 MKKDTDALCRFLLSRRHLVMQCVPHPELNLHVELFPWTVEDVQS SLLDLKTPSQVIKCWQCSGAYVATPPSTVGGDVQLWHVLVHHVPTTAIAVVESSSCLY ESSLVQQVALLQNQVLCSMPRDAHGDGWVFDVDPSDGMVLLEEQVLARDAIPAHPPTL YYSLSATLQETVQSKESTSTKGWLKFGSVGEGCTVDTSNTVACETDGQWTTALGNKSI KQGSGKYTWRIKSAPTSGKSSWG H257_18933 MPTRGAIRAIVRARVGGGGRVLSRGESVSTAIGSMALSCANEVM SVFREAIHHDNDAVRMRWLAPMVAQFEHVPVGKDVDPLTVNEAGPEHKCIQYKLFHGG VHKRTEKNAFLKQFMDGHWSTLDKTMLRFVGGSALVLRMGGPALDRAIRGVVVYLLAL QVASDGGAELDPSTNPKINSLSPSHFQRQLSGPQTQFDMLYSEM H257_18934 MHLRDEIGRLLLYHELYVALQSKTTTFPIFAASSSPVVVSKTSD EKVVDVRIQLMIAMGFPQQWCKRALESRQDV H257_18935 MAAFTIQSRRVRRWSTRLRHCSFSEGAWFNLGQSPFQYQPPRGY ISVLESVNATSSKEGVPLPRVDVYSHRHN H257_18936 MRGLQETHQVCVFTDWPPVKAIKYNDTLPPEFRYTTDRDEVLQA RLAEVHREHSKALAIAASAAEDAHRNTAARLNAAEVEQDARRAEAEGVHMTDQIRRLI GDYASTSSSDLAPGELFTWLETVLLRMSGEMEELRRQIGVLTDENGGLITSLASERDE LLATRNDLTELRQNHETLETQLRASRADFRSAQALVTAEVPKFWNWVVSNFMTSGHEG LTALVEAWRKDDPTPFVVGCCMPFVITPNQRALGDHAPFCLPDTCFGASFYALNQLTI GECLQAPTAPAPPSLVSQVASAQQSSSTTSQVGQPAGLTAMDQFWADWAEFLWARWFF VKHESSEAEIERACFGLSALFGALYRLMIAERSDDLKSMARLGDHVAIKFINDESGAW WPCVPKKLVSTQWSAPAMSSLRLIHRRSVREKSVDAFHSAGGYTKADVERFLGEMSAK GVLGAVVQVPQVMSTFPPTPVPSETDDFQPVSGVRVLMIDDPVVKDMSPLCTALLNQS SLCQQTQ H257_18937 MVKQYDLVLALPLPILRQGEDQVVLYNHRPASSVRTPWAKIATS LPGRSVDQVKTQWRRLTSPWTSDDNKKLMHLCTSTTSLQPSWLWIASHFPSRTDLQCR QHWTHVLDPALKKGKGTWTDEDDQLLGISCCAMPQEPWTLDEDSIVLAAVLMDGGNAA HPPPRPLATFEAPICRTPPPPPLEEDVMEGVWRIPHVSSMVNSIRN H257_18938 MPTQPSISQPSSPTRAVGGKKPSNPPLTHAVGSEKPVDSPPPPG DGGAKPKHPGVNPAAPNKGRTSTPRGANPQAKATLQDKAAVDEAWRKDWYSQHPRTER CRPTEDEFRRAMSRFNDDSLTGDDYRFAALASLPTPVEPGSAFSMMILCRSGAATFPL QVMLDSIGAELQPLAWLAAKPALRDFKKVHNVGISFTCTDRATVDKIGGIKLTVCGKQ FPILAYSEYSALYWVDIVLSNEATAENVYEYFVHQNERPVLITSTYDKYSVKSRHVTV YFKSNEPPACLMYGKDDPVREIFPLGNDSFACYVNHRISRYNAGPPPSIKAKQARDKA KKNTKQPPAPQAPPSTPTRRSSPVPSILVPEPTKDDQAMDDAQSLDEEIHLPIDPRPH KADHVMDDSTPDEEDTSESEEDDVCSMGPPTLIISPSIAGDREEVRHPGAPTKDAPMW LRIQYSRKVMGVKAANVSPRTSKSIFATTTNNPTAVEYEFSTLNRFAILADDESDAGN IPPPLKVAINGSQNTRAKFNRQVLTSTASLRQYDTLASDYAVESMPMAEFLSFLESYI ATYQSSEDPEEAMAMLQANPGHLLPLIDGDHPTNYDILETKLQTHVLQRCIQPRFTLE EVQAARDARADSIFTSRPIWQFLVPDTSMPGLLHQLLDDQSRPLAWAAARLCQYLQIN QPELYFNQAKIYGLLLSLKPFLPAATVPANPHFLWTDATICALAKSALGDYLLQSNIP HALSDAIHLLAAAHPLTSHHIGCFLA H257_18939 MAPTRPAPHRLASGRPRVKNTPRKGPAKHQRVFTTYAKKLHVLQ WLENNTIESTLDTFLKGVSGVARQTAWKKILHWRSQIDFITQAASSPSSASNRTIRST GTGTTLDSAAEENIANWVCQLRSDGVPVSRLLLSCKALEVAKDLGLSTAQFKASPSWV DDFFRTSSDLRFRHRNAFSTAKPRAMSLQVEFNPSSKNTRSKTFTTLIKLG H257_18940 MKPLEACLRRKWVDHLRAEIDGSKMSDEPFKLRAPDRFELVEWV NDAWDSIARRTIISGFEKCHVIQNANQETQGVHHRNGIDFQDDETLDSSNHDDVTAAA SVLESLLQMDVVNVEILPLAD H257_18941 MLESWSQEHPETPKPHLLQQRQDGQRYHRTSSPTTMTPSPPVTS NIAIPNNDAEDSPCYHHVLDSNCHIQDHTMTSSHRQQRHTSKTAPLPQRPQTVTSPIP NNDAEDSPCYNHDLDSNCHIQDNDPTL H257_18942 MPTLREMSASSSVGSVLHGEPLSSMESMPHAEPLPSVEYVLHGK PLSSVESMSSVELLSSVGSMPHGEPLQLTFDAFALGRATRPKRVKPPGGHRVLSRAQE SLAALGMIMELAKHDLLLSPNQQQIFGSRVLEPHSQRVYKKHYRGLWYFFGIIGDYTS LLILRHDCPQHAPAMSVKRLCAYLKYKTGAIGTILHYDDGLPVLDVDGQPVLCDGQWK DPQNMIQLSSAVSAAHKAKGMGQMPYEEQCDACYRLFSDKSLVTGCRHHAGRGRLSRT GNARFSEDFINCMTRIRKHDLWLYTPSPESMCNPLELVNIRRCHQVLSTSNVPNQVPE RLHQDVDMQQASRHKSLEMAGRYKQDAQSLLEIAKNQRDKSILQYVPKWRAVFVSNLQ AAAGVNVYSSTEPMAVLACKFIKDMINAGLLNKADTDSPMHVANACMKNVQGWDLKSE INDIQRQVEVKACGCATEVRRLVQLLSKYHTGPATPCVIEGYAVPTSPTLVPTSPTLV PTDLDSDATELITSVLPPELSSEAHPKAHPKAFVPPSSSLHAVPPLPLLPFVLMSSSL RTCPSIIITVHSTTNHIAALWRIHVIALHRAPAPTPLKTPWRINVIALHRAPAPTPLN ALIRDRNESNAQRPAHHVKLVDPASALWTRC H257_18943 MTRVKKTLYLLPGEIPPHRNTKSNRFITKNNSKNEWFDGKIGTW HFTDTVPAQHSSRNRRSGALVTIPKTVTREAYRNMLVNNVLPAIKAKWPQNTNTRVYL QYDNARPHVLTSDKVVMAACRYANLPIHLI H257_18944 MAGVLQVAILLAMKTTIDVQWRAILGPATVKVVVGVRPEDTLQA TKMNAVVPLWEDFLRSPTAVDTTGVLQVSILLVMKTTIDVQWRAILGPATVKVVVGVR PEDTLQATKMNAVVPLWEDFLRSVTAVDTTGVLQVSILLATKTTIDVQWRAILRPATA KVVAGVRPEDILQARTMNAVVPLREDFLRSVGAKIDILRVGRAAIVVAIQWKDILQMP TETDEEEAEILAAALFAPMAAEHKSFVFAMPPMMVSKKTKLPVPRLKEEVEALSAELS AKYAKFQPKLRFRDVYASLDAFEVKMRANVQEVDRVEETKEMDDSFMDKRRAVWQRLN SLPKVYVAVDEICELPAAEKYVGLDGLYSVRPVRDDEVKHHMQEMKTSGFLGVSQVVL CTPGPNGF H257_18945 MAIAVVGILSEKIAIPWADILRVAAMNVAAAVWKEFHRVAWAQV VARVHLEDILPATKMNSAATAVDMAGVLQVAILLAMKTTIDVQWRAILGPATVKVVVG VRPEDTLQATKMNAVVPLWEDFLRSPTAVDTTGVLQVSILLVMKTTIDVQWRAILGPA TVKVVVGVRPEDTLQATKMNAVVPLWEDFLRSPTAVDTTGVLQVQRSTFSGGQFSARQ R H257_18946 MSDFPEDVQAADHLSPGVVGLSEYNNSDRVVDFPRNPPSGHNLV EQSSACYQRGSRENGVQLGGHPPSTSRRFYGYGYNPDECSDDEWKSIPDDTVIPLRRD LLASAPKSDDDDLLPDVKDDAVSLVGNSSGGLAIDAQSYHQRGQREDRVPLGGHPPSS SRRFYGYGYNPDECSDDEWKSIPDDNLSTVSRHDVASVRCPFGPSINGGSSLVHLGGC PPSRRGRFLGYTDTGEEFWDDELEDMPRGIYKGAQDLFDRMFDFYKQHPSFNMIDEIN AFIGRPSVEAMDYESHRLIQAMLRQGHHIDNDNLANNDDHCDVQVAFHGDDQSYFNED SDPDGVEYIPELHDDTSYDIDYYRLVVSIKDLYQICAGDWELATRERKVADKSSEKKY LKKHTLILMRPCFVYIPTCYASAFLSELIKHQDQVYFPPPRVPGKPYPRHVIHCATSK FISAMDLITILVSIAPTVKLVIIGYGAKADVWSYKWIWDIASRQTMEADRPVFDIGVN FKMPDLAVFAVRKVALVKNGFGPYSSYTSKVWNNFMNYSKSLDGCVHEQYVVGGGVSM TQSDEFSDLAYKVKVYPKVVHLIKNANKRRIGNMPHPSTNKNCQKRYEDLEALFESFF ERSVSQKYLEHFTGFRVEITVSSFTFLGAVGAFQREFDKLFNFVKVIKYPVFKYLSNI ESSIRHMRTIGPARGRFEHLAKDVFKVPLAFVAQEMGMTSHLCQNYLSRHVTFDNESK VWSWYLDHGHSAPENVGLLDAPVQDEQGAADLAEFRSLYTDEMQELLLDIFLNVYAKC VKSKGSKVYKARDKATGRFSDTNVSLFGLSLRIAEMHKSSWRDTFCSKKKDRLRGLSK DDKCIELRRMVMDRNGVHRSAEVKAECDVRVAVATQPILEGSNVPLFDSDTKVDKFLS NDVQPKWWQVTP H257_18947 KSIVHKQEQAKARKARGTATKTVIRAMRTKPIKTSKRDTFTFAN ARLREAHEAVNPFVKIGESTIPGAGRGMFAAIDMLLGDICTAYDGTKVFVEPKDHAYA GELAQGRRQSSQRQRPKKIREPKVDSNGNLNDPAAQVKVMHLRDHVANFVVRTESNHA MFSNIEYVQQQVKINNWDLNC H257_18948 MSGINIWAPPIWVLLQAKDGQIAPSQAAIDAKAVGLDLIAWSRE RSGFMTNPHHGGWYYQTLNSIITRESDILVALHVLAKTLASKVSLPTGQARS H257_18949 MAANSKDPNIQLLVFNGNKKGFRVWTQKFVQHLKELTTAKVGLW LTNQTNRPEPKIKFEYWLSGEPPV H257_18950 MKASIYYLPCAAMFARPNTSYANLNLTVGDIVAILRWANVSANR GIAKASLELRMVEQLLHGGPPELQSRFELASFPAVVPTSWESWLHDHLAWQRFRSPEL PTQDEHTTESNAPDASPTLLEATPSFWAFDHRAIRLRPHAAIGKIKKICAASRMQGTF LDRLPLVKAAAQAFAIESCAKAIFWVVWESIKSSVPDTQMLLDWYRPGDKAYELARCV ESDCQTLGFTASMSNLLAHNVPFPITMNRILRCVDHTEFLVKKRTSHARTTARKKAKL FKVVHVNGHKPEMSFVRIQRPNEQYFVSGDLFDITAYLIKDNFDRPRDGKKLLQSLAS LSFKELKQQTRLLVAEVQVGRPDWINPPSWKPEALLWRDPSLMTTTEMLIVCHAALEQ IFCPRFCGEVRIENGQVSFVE H257_18950 MKASIYYLPCAAMFARPNTSYANLNLTVGDIVAILRWANVSANR GIAKASLELRMVEQLLHGGPPELQSRFELASFPAVVPTSWESWLHDHLAWQRFRSPEL PTQDEHTTESNAPDASPTLLEATPSFWAFDHRAIRLRPHAAIGKIKKICAASRMQGTF LDRLPLVKAAAQAFAIESCAKAIFWVVWESIKSSVPDTQMLLDWYRPGDKAYELARCV ESDCQTLGFTASMSNLLAHNVPFPITMNRILRCVDHTEFLVKKRTSHARTTARKKAKL FKVMQEFVNAAQGTLAVVHVNGHKPEMSFVRIQRPNEQYFVSGDLFDITAYLIKDNFD RPRDGKKLLQSLASLSFKELKQQTRLLVAEVQVGRPDWINPPSWKPEALLWRDPSLMT TTEMLIVCHAALEQIFCPRFCGEVRIENGQVSFVE H257_18950 MKASIYYLPCAAMFARPNTSYANLNLTVGDIVAILRWANVSANR GIAKASLELRMVEQLLHGGPPELQSRFELASFPAVVPTSWESWLHDHLAWQRFRSPEL PTQDEHTTESNAPDASPTLLEATPSFWAFDHRAIRLRPHAAIGKIKKICAASRMQGTF LDRLPLVKAAAQAFAIESCAKAIFWVVWESIKSSVPDTQMLLDWYRPGDKAYELARCV ESDCQTLGFTASMSNLLAHNVPFPITMNRILRCVDHTEFLVKKRTSHARTTARKKAKL FKVMQEFVNAAQGTLAVVYCQYKYIILW H257_18951 MTQVQFDANSAHVFVLKTKFRDGVVDTLYCSLCKTTRNLLAREI VMGRVDASLRTHGAFLAQCSDPECVHVGAFKIMATNLPSPPRGVDLSSVCDIVPGCQF GVTSLDMRTQLFASVQAHGNPLDRVLLVLEGENSWKCTTCPRNDECSHRTTWQSFQEA AHADMGIDMGVDMEWLQDDVEQTSTPWVVPAVSFPVQAHLQAEVRMRRGFHTLSQMTS TAFVPRQLHLPVCPCGSPYNEEARVPDCVGTVYGVLHSVQIVVQQFRCTNLDCTNLLP FDGFYHGFLRTGRTTFIDLDLVNLIRLNTIVGATPMSATLDINLAKSFNCPHCGSLDT APIIIGDGNAKMACRREYSTTRQAYPRHSIPRHGIPLEHRQYIRHAETRKQLLNFCGS RAFQGDNPPNAMTPGVHNHLRALLVDHAEDVVPLLDVLVYGDECVNGLCPRQWREFLG DLARQSPVQNAVVRSPNVMARVLLDLGRPSRRRDAFAETTTLSNLQRNMPSLASALRA TGMDVDCDHPMWPALSPILTRLSVVFCTYLQTLPANEQTPPPDDLDACTNTYTDEDLH EWFPGAPCRRTLGAYQDQHRKDDTICSKSAPSVRYKMPGIFHFCCPHGICLGFSVMHD HESPVHPFSILCQRWSNSDYPRVVIMDNACNLHTYCLRREPYFFRNVWFLVDRLHYCN HVNCSSGYKVDNFPFLKDISTVACEAFNSSFKAVVKQAGFMGMDNFILFTKHFITSTN DRRIENLKTEIQAGGMKCETWRSVNALISNLELPSNRLPCVQTDPCSLCAAGR H257_18952 MQSEQRNQGARLQPSTGASSNQPTQEAPPGLIDLSNEPTAEDQA IVAHAMKSFKRVTSNLYKGDTRIERLRLGYDPYPNLAELPRSPITRQCKCWLINAGAQ GMLCDTLACANSSEYVVCPPDCTSRHRCANQRFDKHNAEAPHEVFVTQRTGLGVKASE VIPLGAFVMEYMGEVIRGDEFKKQIIQDTVDGKRDFYFLSIRDDYFIDGRLYSNSSRF INHSCQPNCVIEVWKKCGIKRAALYALKEVGRFEELTFDYNWWNTFDASNFECRCGAE RCTRQGV H257_18953 AQSKRYITKVKFLCTVARFRFDFDRRTMCMNRDRGIPITTPMTV TKPVYRQYLVNKVIPAIQAQWPSNRCGPVFLQQDNPKPHVGVDEPQVMEAGSTNGWSI RLTAQPAQSPDFTVLYLGFFNAIQNLQHQTSARTIDDLIKSVQDAFTDLPWCVLDKTI MALQKVMEESMKLQGDNVYKLPHLRKDVQEKAGIRELRPSCDSEVVAALEALESRVAD EDLVDEMAELFKTSSDFAQVENIVVV H257_18954 MGGEWRRSALGWIPSTDRSLLKATCTYVWRVPVEQLSEDDYRDR IMEIVGQPATKWTPTKSDMQNYCRALSVDPPWRRDQSPGVIYGAAARVTPSYLRDRVE EKMKTVPANDLVAFADILREQLDRTHDADMVNQQRNSYGSKRGREEDDQGRRITKHAK KANQAVLNQRELRGNYPRPPGGYIKPERSAAVWFPSTQKRTGDPPATKYGPQANSRPR HDDRHVQAVRDEARPRFAPGRDDRGMLCFVCQQPGHMARECPNKKDGDSGDTSWKKGK NAVKRFKARERKANMQAKRMKKPPPPSKEDDGRWVRLNSVLEVPYCPDTGADQNIVPQ AMVDELQALQPKLQVVKLAAPFVGTACNQMPFEVSSYVDLTLTMQTAAGPVKVPGKRR CYVVNDGDEFLVSDDTLKTIRIDIDRLLEQVARLQVDEDGDDLEEVGADCVELPQRSA VRAATMKAALPVAKNEVEEALQGIDGAVDNGFPMERVKYLWDVLSKHDIRRIKFDGSD PPAKVKPLKEVGEFSVVLEHLVTHELWEAHASRVKLYAEDSFEVTEEILEHASEQGIM LKVKSIAGHKFVPDVKVFILEVLWEGFEDIESSWESLQKLMHECPAVVKNYVEGVKTA SEGYELARPKYVLRDMAWGATGCRPLANLHEKA H257_18955 MMALDSKMTPNFSNLLQLQHRGYAQMLQTFDGRVQEHETLTGVH PITGKITNKRKRDDDRSTSRPTNVLEDTLFACCVGGSNVLRDDEATERFLPLFHQAKT ESDQAKLLVVLAATARDPARSSSVALFEAFGGMKIARQWLDTAVSYHQTSLLHLILVT LKALPLQLSTITDAKINEPIVQLRKTAANDHVKRAAQDLLKHWKTTFTEKPPSPPSSK GKAKPATDVLGKLLLKKQEANRLISKPKDSFVTNMVQNQLLNKKEVMDVVSSPTVALP TIARFDQVQSQPTAAGGGGAASTRRIKWADEHGAALTKIKLIESWRDLVLHSDKDDPS SPVAAGSFKDAKLREHAHEKFAFLNKQKEEATSRTQPVVTVPWRTPPPAVAIPEGVTI RSSEDTPEMLVQTNRTRKDVEWIVLGDEVPPENPEEWTPSAADLSLGPTTSIPLTDPL EDDEVPPPVAVGVSNLNATESALVQALGPLEKATLALLANANDQVVAQVYAEAQRNGR RIADARVLDIFNQHDRQLPHGSSRPPPLLSAPSDVQPPPPLLSNPVGGYRGNGRDGPS GYGDYEDHMGRGGYKAGAPYHQPPSNYGPPPQQSFNNGYNNQPGYGGGYPERGGRDGG YNVDGGGYGGFKGGYPDDDNRHRYPDDDHRYPDDNRYPDDHNRLYPDDHPRNNYNKRP QHDDMPYPSKRPAFGSRGPPPHGGGGPPSSTYKYKQVPCTYFNSPAGCGKGDACTFIH DDEARRAAGTKYAPRGGGPPFKGGPKPNYYGGRGGRGGAYR H257_18955 MMALDSKMTPNFSNLLQLQHRGYAQMLQTFDGRVQEHETLTGVH PITGKVGSFHPDERASWMQPSAAPSPRTKWEHRFPTTCIINVVYDQITNKRKRDDDRS TSRPTNVLEDTLFACCVGGSNVLRDDEATERFLPLFHQAKTESDQAKLLVVLAATARD PARSSSVALFEAFGGMKIARQWLDTAVSYHQTSLLHLILVTLKALPLQLSTITDAKIN EPIVQLRKTAANDHVKRAAQDLLKHWKTTFTEKPPSPPSSKGKAKPATDVLGKLLLKK QEANRLISKPKDSFVTNMVQNQLLNKKEVMDVVSSPTVALPTIARFDQVQSQPTAAGG GGAASTRRIKWADEHGAALTKIKLIESWRDLVLHSDKDDPSSPVAAGSFKDAKLREHA HEKFAFLNKQKEEATSRTQPVVTVPWRTPPPAVAIPEGVTIRSSEDTPEMLVQTNRTR KDVEWIVLGDEVPPENPEEWTPSAADLSLGPTTSIPLTDPLEDDEVPPPVAVGVSNLN ATESALVQALGPLEKATLALLANANDQVVAQVYAEAQRNGRRIADARVLDIFNQHDRQ LPHGSSRPPPLLSAPSDVQPPPPLLSNPVGGYRGNGRDGPSGYGDYEDHMGRGGYKAG APYHQPPSNYGPPPQQSFNNGYNNQPGYGGGYPERGGRDGGYNVDGGGYGGFKGGYPD DDNRHRYPDDDHRYPDDNRYPDDHNRLYPDDHPRNNYNKRPQHDDMPYPSKRPAFGSR GPPPHGGGGPPSSTYKYKQVPCTYFNSPAGCGKGDACTFIHDDEARRAAGTKYAPRGG GPPFKGGPKPNYYGGRGGRGGAYR H257_18955 MKIARQWLDTAVSYHQTSLLHLILVTLKALPLQLSTITDAKINE PIVQLRKTAANDHVKRAAQDLLKHWKTTFTEKPPSPPSSKGKAKPATDVLGKLLLKKQ EANRLISKPKDSFVTNMVQNQLLNKKEVMDVVSSPTVALPTIARFDQVQSQPTAAGGG GAASTRRIKWADEHGAALTKIKLIESWRDLVLHSDKDDPSSPVAAGSFKDAKLREHAH EKFAFLNKQKEEATSRTQPVVTVPWRTPPPAVAIPEGVTIRSSEDTPEMLVQTNRTRK DVEWIVLGDEVPPENPEEWTPSAADLSLGPTTSIPLTDPLEDDEVPPPVAVGVSNLNA TESALVQALGPLEKATLALLANANDQVVAQVYAEAQRNGRRIADARVLDIFNQHDRQL PHGSSRPPPLLSAPSDVQPPPPLLSNPVGGYRGNGRDGPSGYGDYEDHMGRGGYKAGA PYHQPPSNYGPPPQQSFNNGYNNQPGYGGGYPERGGRDGGYNVDGGGYGGFKGGYPDD DNRHRYPDDDHRYPDDNRYPDDHNRLYPDDHPRNNYNKRPQHDDMPYPSKRPAFGSRG PPPHGGGGPPSSTYKYKQVPCTYFNSPAGCGKGDACTFIHDDEARRAAGTKYAPRGGG PPFKGGPKPNYYGGRGGRGGAYR H257_18956 MPIMTHREFMLALHELLLQATAADFIQEKKKSTGPNSVRSATQS TTFNEKRHVLQEITKWKPTKAGRSRARQRYGCKVCQISRTAEQTRGRSTVFICAQCTE DANGGQLFLCPQERTQWNGQHMSCFEVWHSQWQCGLRLPPAFRCRFIRTFKPSTRSFE TSQRDEDESKDLHEDGGEDDEGNDGGDECAKTQGRDSCDDVYRQSEILAYGAGIQGSD GESDGTLILDNMSATTDILEPDDHPRAIVV H257_18957 MVQYQFIALAATAVTAVTDKISVQVHRNLEIAKQSNIVVKFHCD EALATHRRGLKSGASRTETIESLVNSLKEHTTKSQASVKSLLANQVESTAVEVATTWI QCSMYINNAPTELVYKFAALPEVKSIYEPVTMTLSETQSNDKPASAVNDDIAWGVKKI QAPALWANGIEGDGIVVANIDTGVRYTHESLESNWRREYGWFDPYNKTNQLPDDEDGH GTSVMGIMVGTKGIGVAPKAKWIACKGLNHGFEESKLVECAQFLLCPHNNDGKKCDTS KAPHVINGSFGYPTTNFYLEDIITKWRAAGIIPVFAIGNHGYKGCTYSGYPGVSPQVI AVGTTDSYDFLAFNSSLGPSVFNNTLVKPDISAPGESIPTSGHSSDDSFVSKYGTSVA APHVSGAIALYLSAHNGASYDQVYRALTENTDTNTLKPPDKTCGGIPNTQYPNNLFGH GRLNIFNAMAASIRGLTLPPPSESTQVLNPIVDLSTCGTLEDNTHYIGGDFASFNLTT VESCCAECKKTPGCKVFVWYTLNGGLCRLKDTQGRKVAVDGAKAGVLPAPALARPPLF H257_18958 MGEFMANYKIDANDEIPPAALRSFIHVLGTKGFRFCHQTFAEHL LLDLTGNAQLNLFATIKCGIEGVYLLTTRRHSPIGEISHVDYIRTIRRLSLFKKQRCH KRNSE H257_18959 MPPYHSDLQPIEYIWAYVKGIVGRQYTTETTMEDVRRRLDIALE GVPSDVIYRCISHTKKKVSELSAYLVELEAADEAVNEPALPGEDESSDDAESDDDQSI LTDIVV H257_18960 MGDTSAACMEFVKMARARTLTREDRLDMLRLFAFYTSQGETAPS KKVVQALGRNVAVVRGVWCEYCDYGTVTAATPAANRTAHPTRLIHSTQNIELIQAFVR SRRVTRMRGTAVDVLTYLNEMDVLSVDLTSKTATLAGVQASWLQARQEARIVELPSVQ VERVGGR H257_18961 MFAVSSALGLLCVGLQKMQAERDEINHAAVLEAPACMPVDLAKM RPKLFLDDILMPRLAR H257_18962 MAPSIDLIQGMPVVFTQNVNPQHGIANGTFGTSHSVQFNQTTKF KLVEDAGSKLKVLIPNSPPAVVFVRVTRPKNFDVLPRDGLHDSLPADVYPVFMFKPQG STEVTLTPGPGGSKRTLKLNLQQLPFVNAIASTIYKIQGETMESLVVADWKARGSKFN KVVNTCQQGYIAISRLTKRDGFSALKPLTNECIRYFQPSNDTIAENLRLEQLFAVYLS RNENTVVFNSSDFNALKKTLPEAPVAVAPRAKRKLCVLDPMESTPATNMLSMAQLKRS VLDRMEKRPFEVARARPKSSVLGLMQRSSPCTVLPATPSTPPDLGRSSSKSSVGCTEE LFSQASLQNHSTSIDDALETLSLSSPPPRNKGKAQHRALPDLSCVERLSYVWMARAHD FNLESLLSLDAWIGEDVINLFMASLVQFTPRFAFLNSHFFEGMTNDPNLEQATRILRR SS H257_18963 MVETQGRGTLHLHLLAWVYSAPRSTSEFEARLQADLNYEAMVLK YSEGIVSNSLSIDLLQTPCKACEHVDTKYEALDPPLTAFEKPRRSEGVLPKEPIMAKC GHCNTMVSSQHLVRQALFHSRPTVWPVELPKLSHSAIDKYLNEEASFLKSTAGKEYDP ERAHDHMRSSFQAYLAGTVHMESNVDYQELLAGARANLEESNTASNTYVAPDKDPFCL DPIYRELSSMPFGPNETRLPDETVLFVIATLASVLQVHWWSHCSSCFKQSRNTRGAQM CRYLIPRARVEVGRIGTSAILLVRRLGEEYINGYSDVILCAFKCNHDIQIMIGGAEMA ERIYYACKYTTKGQQKRELSTAEGGVALSDEVKCRRRLASLMFDMTRKQEIAGPLCAL YLLRESCAYTSHFYKKMSIRHVLKFLHQHSDTPFQLEVATNIKGITRPQLGECDASHI ESSNDGSESDSSDLESDDEEDTSPLAGPSRPEKSTLFVPVGPAEDYINRPDGMCKDIS WFEFTSKFFRANCADGTSSDK H257_18964 MLTVTIARNATVLALKKAIVNETKLVNDRFNVDPATLRLYLTRK NSEWLTYNPHVDAILRNDAVKSPPPAPKKIHVLIELPAVGVGNPNAVSNTDKLEELIK LAIY H257_18965 MGHNFGRVGEEYDGGQVYRGANAATSINVAPWTHWLTNPDVIRE EKAVQRFQKHIWYDLQKGSYQIKFTSNGAFKRWYIQLSVSGADTNDALSITLNGEPLA WTTKGVKDRTFYSWRSSDAGFPAGDHVLNITAGGSFDSPIIKQLCNAVIYEYAGEDEF KLDDNDHIGFYPTWDINKRLSYRPDNEKCLMRNMTSPQFCTPCQENMWLQFLTRISFV EDVVVTGKDVALKVIPLGQLRPNPIPNERYSVQWFNNGNEVSTFRDQFNIDVSTVSGA AKQWTVKVNFTTPTIRVDSKGVTRAERTFNVDYTPPATTVTPTTTTVTPAPTTVTPTT TKATPAPTTLTPTTTTATPTTTKATPVPTTVTPAPTTVTLTPTTTKATTTVAPTPTTT KAQC H257_18966 MKIVRSLLLVGATVVAALDTYSAKILVDTNTFECELIDSVHVAQ LHRSVEHPDSFIELTTKRKSHLNDLDLLRNSEEGAQEFVEIIGGSVDAVLGQLAKRCP SGALRHNAEATSTSAVTTVIKKIVDSGPPKNRIDIVFMGDGYQASDEALFFKDIQRLT DDMFTGDTFTQYLPLSLTYGRCMCRRWTRASAWVANLATLPFNCTATAPSCAACTPTS RNTPAMCAKPWGSSRATFRR H257_18967 MKDLGEVSDILGWQVERNRAAKTIFLHQSLLPCRWVFRIKPNGT YKARLVIKAPAYPPIPRILQPGRKPCAVPTATNGVPPPKTNFSPFTTTRPTILFHA H257_18968 MFWIEPMMRRGAKQTLMEEDVWKLCPEHTSAVLHGRFDVFWQHE KLQPHPSFAKAMMRTMRSQWYFNVALYALYAALMLLQPNIIKSLLQFLQAKSNDDQVP VHTSLGISSGYALAALLTVHSFLSVTIIDFGQYVSSNLGVNAKSIVMDSVYLKSLKLS GFAKRNMSSGEIVTLSSVDSERLFQGFLLGPWVLVAPVTVLAVFIMIGFDLGALSGVV GGVVMAALLYSGYTTSTAVGAVRREILTVQSERVKLTNEMLQGVRVVKLYAWESPLEA RIASIRDEELALLKKYQYVRVLNTVTLSIAPILSLVLCLAVYVAQGHELTPSLAFTAL AYMNVARLPCTVFSSSIMFALEAWASSTRVGTFLLADEIAQVPPSPQVLEGAAADSSF AVEVSHGTFSWNIDPSGRQEASENEGPMTLKDISLTIAPNTLTIVVGPVGSGKSSLIS ALLGEIHQVSGSRLVTGRVAYVNQEAWIQHATLKDNILFTSAFDDVKYDRVVAACQLK ADLAVLPDGDQTEIGERGINLSGGQKARVSLARAMYRSHNADLYLLDDPLSALDVHVA GAVFRECVQNLLADKTVVLVLNSHYHFLPHADRILVMEDGMIVGDGTFDSIKQGFPHL VSFTDVSEDPSTLVKADWPEEDASGGDDVVVKADEEKQAKAGDSKCGGGGGVLVQKED RQMGGVSSGLYLTYLRSTGWNGAFVAVSIVVAFTISQTAVVATDWFMGFWSTHPGNDV TSVVVYVVLALVAMALVWGRSVYVLFLCVLCSKALHAKLFRKVIHAPVTTFFDITPVG RILNRFSSDLDQVDNILPFFGVHFLQFGFQIAAVIVVCATTSPFILLVYVPLVYLFHK VQVFFLLTSSELKRLESISRTPVINLISETIDGLSTIRAFGMTDEFAAKGRTILDHNQ SYFMIYRISSRWMQMRLDWLSAGILAGVSFITVASKASIGITAAGLALTYVAQMSSFL SRMTMASSVIENIMTCVERLEHYNSLDTEGDSVNASAVLVAPPKTWPSVGSIEFKSFS MRYRPNLDLVLTDVSFEVVGGEKVGICGRTGSGKSSLMAALFRLVEGADGGHIYIDGV DIATVDLHTLRSRLTIIPQDPVLFSGSLRFNLDPANEVSDDELWAVLKKVHLADSLDN GGLEFHVAEKGSNLSVGQRQLLCIARALLRQSRVVVLDEATANIDLDTDRLIQETINE CFDGVTMLVIAHRLDTILDSDRILVLDQGRVVEYDAPHALLATDGGPFAQLASHARLG QSGAL H257_18969 MAANSKDPNIKLLVFNGIKKSYRFWTQKFTSRPEPKIKFEDWLY GEPPVLHGADESEQR H257_18970 MAKKSGRGKSWCPASVDLLLDITVDVLPLGKNGWEKVERRFNRL AEPKLLPIRDADALKRKFLLLKNHAKPTGDPDCPEEVQRAKRIQRDIDLSASVLSLDD DFEEDTLLSPPTQLLTPPMQPSVPTCHEDDEDVGRTGLPCSELQLLSDKLKRGRDPSD VAGGLLSYTAKRRRSIDKFIDGAADADAKASSDMMTLFLLMDERAAKREESRIEREE H257_18971 MLQAACTRYGILFEPTDFKSILWEKLSAYIEKHIQPQVVQMAID KDHRVVFSPPYHSDLQPIELVWSNVKGHVGRRYTDGTGLADVKERLEEAVEELKASTI QGCIKAAEGNLQKLYEHLLAIDGLQSDEEPSAQSGSDSDAESDSFRRHKLHQSVFGAF TTSSSQSAEPD H257_18972 MKVFGWFVLVAPLLSLVASAPSPITLRQNDRVVIVGGGPAGIHY ASLLVKKGLKKVVLLEALDRVGGKSRTEIDRDGIPHELGTCFLNGVYGPIFDLLNEYD PTNEKFVWALNAPNYVKVLGESIGATDADPVTSLDYSQYNTRSIALNAPPELPRNASV TELQDLVRSQIGRYIALHYAIFGKYSYGVPPPPKDWSLIDMTAMEFLKRNNLTALEGA LRFSQQQQGYGVLETIPAFYMLWWVHPDQFLKKTNVFSLRKGFQSLWTAVHAAHKDQY KTIFLARATSVSRGNKWNKPRVTYQTKWGDLDTIEADHVVMAVDLSLYAGLVEDLSAE EKQLFQGGDYTASAFLSTLYESDASPIETASVGWFGRMQENGRVSAVRNSKLSYLFTN STDWGDLAKGRQTNLAYQYYSHPLDKVNSTASKAQLDADLKLAGIKNVEVATQLHTNY FPRFTPAGLKKGLLWKIWDIQGQRKTTWIGSSVSFESVLDVVVYNNNLIQYVNVTVPK KQ H257_18973 MKFLGSLALSLATLVSLVASAPSPIKLRQNDRVVIVGGGPAGVH YASLLVKKGLKNVVLLEALDRIGGKSRTEIDQDGIPHELGTCFLNDVYGPIFDLLSEY DPTNQKFVWALNAPNYVKVLGHSIGAGDSDTVSNLDYPHFYIRSIALNAPPELQRNAN VTELQNVVRFQIGRYIALHYAIFGKYSYGVPPPPKDWSLIDMTAMEFLKRNNLTALEG MLRFSQQQQGYGVLETIPAFYMLWWVHPDQLLKSSNVYSLRKGFQSLWTAVHAAHKDQ YKTIFLARATSVSRGNKWNKPRVTYQTKWGDLDTIEADHVVMAVDLSLYAGLVEDLSA EEKQLFQGGDYTASAFLSTLYESDASPIETASVGWFGRMQENGRVSALRNSKLSYLFT NSTDWGDLAKGRQTNLAYQYYSHPLDKVNSTASKAQLDADLKLAGIKNVEVATQLHTN YFPRFTPAGLKKGLLWKIWDIQGQRKTTWIGSSVSFESVLDVVVYNNNLIQYVNVAAE STTNGTLKRSPLLPQSMWIQNSVLNSQCALE H257_18974 MADAALAPVAATKIPELNVKTLLLRFYHCVACLYKHLGAVQPRV IALMLRHLYRLHYSRSNADCDLYWHEAQAASGGCEVLVSKNFTRYFEDQWLGGDCCNR QVYHTPPSFPSTSKTFTRNGRQGALR H257_18975 METIKVNKFSFKDTVITEWDLKDKDFDVILGKDKQNNIDEEKKA HPLTVLELSTLLNALSSLHPFFGAMLRLALVVGFIGCFRISEVLRPRWNDIQLVSEGK GRYLSTKRRSPVCAFVDSTTTTPRSCVTELRQPAQERFFLSKICDAPRCGTTSRLVSR SRADQPAQLVTGGRRNYARSTNWDFVAQLTPWWVVLPGV H257_18976 RFVNQIVFPAGYLRAPYFDRKYDAAQNFGGIGVVIGHEITHGFD HRNRDYDGDGNLNSWWSNATNITFKTKAQCLSDQYANFVVKSDLTGAVLGNISGQRSL GEAIADNGGLKTSFRAYHEYLKKFPSQYTEETGDKLFYLSFAQVRCSKNTDSYLRGSL GWKHPPDRFRVTGALQNNAEFARVFNCPIGSKLNPSKKCLLWE H257_18977 MQLPAYRQDWKENPKPFYFRCTILKSSRWRKPLKVVLALMAAYV FMDGLVTLFMYATLTHGPVPLPHSLIEMEAAIASSPVRIEDAELLHLSYLNAVCTHEK DAVISWAYNSSVVDRSLLLTPDTPTPVLVQALSNCPDIDIFLPSSIRDHGYCEDSMAY VLYLRARALPEWVVDMTFHHAGKSFTYFDLCPRSAMLFMNHYWHNLPFRSDFPRHKKV VLMPNVEMYELKAEHYHRADYVLAKTLDAYSRLTRWYTQHQPPSHINNNRWFRRHIDN ISRHTKVLYTQHTSSDPTILSRMTSPYSTKKTFQTLSFLHVNGRSVHKNTLAILDCWQ SRPDLPPLVVYAKDDRSNAHYHALFPDGSNNVQYHHGTDVDPIAFGAILAAAPVILCP SAMEGFGHYINQARAAGAVVVTTDGLPMREFVDKSNGVLVAAELLKPPVWTEDFWSLG QEMGRQYKVHQHWRVGTGMEWTVTGRAICDAVDKIVTMSPRERQGKAAAGRLRYLHQL TFFKKQMLAFLVELQEQDERALDGDRAVDTTYLMN H257_18977 MQLPAYRQDWKENPKPFYFRCTILKSSRWRKPLKVVLALMAAYV FMDGLVTLFMYATLTHGPVPLPHSLIEMEAAIASSPVRIEDAELLHLSYLNAVCTHEK DAVISWAYNSSVVDRSLLLTPDTPTPVLVQALSNCPDIDIFLPSSIRDHGYCEDSMAY VLYLRARALPEWVVDMTFHHAGKSFTYFDLCPRSAMLFMNHYWHNLPFRSDFPRHKKV VLMPNVEMYELKAEHYHRADYVLAKTLDAYSRLTRWYTQHQPPSHINNNRWFRRHIDN ISRHTKVLYTQHTSSDPTILSRMTSPYSTKKTFQTLSFLHVNGRSVHKNTLAILDCWQ SRPDLPPLVVYAKDDRSNAHYHALFPDGSNNVQYHHGTDVDPIAFGAILAAAPVILCP SAMEGFGHYINQARAAGAVVWPQNC H257_18978 MGRGLQWLLYLVVACGVCITTHFWRSRMPPVSPPSTSASVFSGQ AAFDQLRNITKTKHPVQAQANLDMYHYLYDQLEALNRTVSLNAADDSAWLPNQLVFDT PRSLVRTLPPATATTQPGGATRQGCKDYEGYINETQIVVRVPGTLPSSVLLTAHFDSA PSSYGASDDGAGVAVILETLRALLASPTPLDHTLVVFLDNGEETGLCGSKWFVQSDLV SAFKVKVFVNLEGGGVGGRAILFRATDNQLASLYGQVAPYPHMNSLGGSLISLLGSST DYQVYKPADIPGVDIAFYEHREYYHTPGDNIDRISAQDVQHCGANVLAITRALLNTNS LDDWSSHDEALYFDVMGQWGVTLTVAKRWLVVLSTWAAAGVVVLVHYYSTYWFDQKTT SMTLGQFVRSVVVSHWSYFATALGVGTFAALLANVPLVGFLLVTRNSLLSWTVLPNAI VGLLVGVDFAAKLWRRQGKTAQSILPLADDWMRLATSGATFGAFVSTLLVFNAPLLYL FPVATVAYAIVLVFVYIGVGFAKSRPSPHPDAMTWQPAVSYASIPPSEEPANLSVVPL AIGMALVAALGVFLFVALPVSVDNLWMIQSVGGCDPLIMAAAPVILAPVLYLVLPWFV LTWGGGEALLLFQVYLAVWAVATVQYAASV H257_18979 MFRTGTPTAQMACTRTTSVTKSIRTETAWRLRVPEFGGSGVSGF RGFASPLALIDMCRIDALTERAVFSKALNWQWFIECSFWTVKPPSPTIKRSPPEALLN TSVSLSGEHVQPSSTSPIYDHSVPCWLKMQWTFRGTLASCRAWEIGSS H257_18980 MPSPKPIAKRRQRRYVVRQIRIFLRMYALLGPCSRLRLFAKSYH IPVATFRRWVANSSSYLAKTTHGPRATLHGKGRLESVEFSSDLVAFMESVRDGEHFLT TAHLVT H257_18981 MSVLDESRAVSARDLLLMLFTSLKHCGTWDVVAAVFKQKPTTFE KRVMSFLIVLHAYLMRTFVTAEEANADARCGWALVQELRSKKIYYSGKHSLYGHRVEV SVVPNGFTIDCTKFYKISVSDKTIFDENIDSHLANLAKRTGETTLEDSELGLEQWAVL ADKGYQGIQHNVRTVLPLKKPAGGNSPLRSKRRMTTLWSTCSDTYRWSRKSYDIVFQA CLALINVHVRLHPLCAEDGDANAQPPSSSPSTARQPRWRCRPEEIPDTAALEAFMHKL RDAERAVTCTHLVNYLKRYHRAWLDGYLANKNCGYQSLLKLAFTGFSGDSIINVDETG MTYDMPPHAIWSVRIGTAKIASGEKLSYRMTAVLGARANGEKLPILFIIRGMPGGAIE ANEFESYPLGHHYAPQVREPTVLLLDNFDPHVSKEGIKIASEEAGCVVAAIPPNATST VQPLDVGVMAPFKRHLRSLWLEEDLIEGSESEEDVDLMTVPAQKKRLVMIHRAIKAWA PSQIF H257_18982 MAISNHRVSLLYDIQRWHSRIDARSTTYSPSGRSLAITIRLGKG TLVTLLGTYFQDNPAAHKEDTDREWQWLAQAARQLPASQVDFNTYGPNPLDRSAPTPR TGANNDIGIAFQQWTQRLGLISTFRHRHPTLQRHTYARNNTAVTLDDIYISARTAHKV GASGIWLHTINSSDHAGTPYMALDVCPGDHTPSRLTGVRPIRAVNTRTLAKAEIDSFG AHTSQLLMTGQLPQLTPAPPSTAATTWSPQEIEDWLDSAISNLYDIFYAYMRRLHELA QNTPDLPT H257_18983 MEALLRVLDAAVEDSEAVTDHLSALSASEVEELVRALPLVQHHE AAINVVHNLLQQRKSRHASARVIIEIILSRKLPPRWVTEVCMGYMSFTDTVPTLDKDV ADTIDSILRLLRAYLDAPDSSQHDDWLAFQSVLDALPALVPLSSSAAFPIMLDEILAL PWTFASFSTLLSFCKSNAMHMTGSHHHRLYALCQAFVPLFRHQTKYTFWHALLLACFD LAAVSGATASINHLPKVDAIMWIDLARTSLSIVPTPLNREMDYFFQTVFQHTPTYMMT WLHAVQASTSTPPSWDLALCVHALHASQPLVVVTAGDSIYHQLGRCLCRLLVQLESEQ GSEISSAIQGLVVHGGHWKGRVLLDLARLMLGGTSTTHSHVWTAVIVTLFQQVAELRP DIVGFFGFGFESSLEVMQNVAEAALAHVVATHHRLLQPHLHDLQDRLCQLCQSNVAQG SRLLETCEPLIASTPSYFYFVIVFLRKSLVSPSPDLAITHLCHLLKSPATSAVQREDL ASCLNDALYLSACRHLALCHVQLVPDVTPFDLGVRHCLSTLMELDSSSLLLHMDQLDG HSGGVLRHCLALLPRLSSATQEFGRTLTTHLKSVVSRSHCRAFLEAVPDRAVAAAYYA SLAGIAGMQPLRCRLLTSLPLPPPTSSTPTACNLLHDLPTADLLDGLQPDTCCVHWSM YLPSPPPTRNPLTS H257_18984 MPDLKLLQTRKPVKPHDVLTCILKLWPSLGPAAMGPHLWGVTTW KPVSHALLAQLDPLLDTQRHIGLSVAIAEYLLVLWTRFDPLLFGRVAFSGPRRVYRLV CQHVVSSPKLLASLLQLVWVDPTLLVARVGAVLQEAQSLSTLESPFHDVAAPTLTTDA TRKVAYADALKQFEQRCCVANPPAAASIHDLVRLAKVAWHRPSVSYVHATRAMDAWLR VGKASLVQLMAPTDEDFVSQWSVVSAVVQTCVDVGVGWKQDPQLADVTVRMHRFLLDV SSKGSSGVKRRIWRGNDAAAVKRVIRTITTHVVEVADRPKRTKWTVHHPLPHTKRKRN TATPVRSRHPYIDDCLQQEESGDDAYADLEDFIVD H257_18985 MYRDYVLTRIIPAIKATFPTINKRVVLQHDNATPHGGITDADLV PVSTDGWSFVVRCQPPNSPDLNVLGLGFFASIQSLQYKLVSRTVEDVIRATLAAFDQS GGEALDKVFLTLQAVMRLVLENNGGNHFRLPHLRKDALRRAKALMPNVSCSASLLG H257_18986 MARQPTGRELSHAKKMEVIRCLHTLSTKGYLARGAILTTASEHE IHRTTVSRIWKAFQRNELLPSLKAGRVGRSPVYTPHLVASTVSELPQSLRSTIRDISE ATGIPLGSLHRALNAGTLHRRTTRIKPLLTDENKAKRLEFCRQSRSSRNPDILWHWFN ADKNVRKVYLTEGEEPEQRAWSSKRFIPKVMFLAAVARPRHDLERGVDFDGKIGIWPF VQYQPA H257_18987 MKVFGAFAFIAALVVAQTIDNGEKRLIALSDDDQRWLTKSEIDD LIENNIGFADATNGDWDSLQLFGFERAQRPENKTYPAGPVHETLVRAIQANVTPLALE KTLTEFVTKFANRHKLSAEGKASSTWLFNQASALKPVNPNIKYTVRRFEHNWTQASVI ARIEPISGQTANDTVVLSGHQDSINRSTNNTYAPGADDDGSGSISILHTLTHLLNSNE WNPTRPVEVHWYAAEETGLQGSSEIVKSYAAQQTHIYAQVQQDMVGYYKPGTTPVVAF TLDFSYIPLVDFLKKLVTKYLSIGYVDRTIGYASSDHASWFRAGYPSSFPFEAARGKS NPYAHTSNDTLANINWGHVADFTKFSIAYVVELTQQTKAAC H257_18988 MPSPQAARSNNAPSGSHDRRKLDNDERRAIYEVLFSLSVRGDLP HGAYRKVGQIYNCYWKTAARIWQRGVASLRGGSAVAIVDSKLKDKNLTILGNFNRKRT AEEIELAVRAVPYMARQTLRTLATHSRIPKTTLVRHMKEVKTLKAKSSYSKQLLTEDK K H257_18989 MVAEATATQQALEEQVTQMSSHGRNREDSLRVPREKIATIEEEA TTMSSHESDKLLRWLLQVSTAADAQRISDDATRVAFAMSYLKSRAEDWAFSKRLTDRH CFPSFAVFETELKAIYLPPNSDFRYRSQYYSVLAEGPFDFGLAEFF H257_18990 MVQFKFMALAALAVTAVTGKISVQVHRNLEVAKQSNVVVKFHCH EALATHRRRLKGGASRTETIESLVNSLKEHTTTSQASVKSLLANQVESTAVEVVSTWI DCSLYIDNAPTNLVQKIAALPEVKSIYEPVVMELSETKSDDKPASPVYDVIEWGIKKI QAPALWANNIKGEGIVVANIDTGVRHTHESLESNWRQEYGWFDLYNKTNQLPTDPMGH GTHVMATMVRTQGIGVAPKAKWIACKGCKGTCNQRMVVECAQFLLCPHDKDVNNCDSS KAPHVINFGKHRREFWLEVMITMWREAGINPVFANGNNGRESCAYSSYPAASPQVIAV GSTNSRDFLEIDSSLGPSAANPTFLLLVSESVSQQASVTMVFSTRYGTSYAAPHVAGA IALTENLDTDTLTPPNKTCGGIPNTKYPNNLFGYGRLNIFKAVAPGIPGLTLPPPSNS TQALNPTIELTTCGIVEDNTHYVSGDFASTNQVTAESCCAECKKTPGCKLFVWYTLDG GICRLKRTLGQKVAVEGAKAGVLPAPALARPPLF H257_18991 MFGCSYVADVVWVVWAAAAATRGDDAALGFLTSSGIAFMITWYS LRTYDRHAFTALVHAEWGLWSSSAFLGVVSRIVDALVHVVLPCVMLCWHFSRVKLWMS PVAMLVAVGMHRLRHRCPSLPFHRFVFYQFTPRRSRHFWDAALTMDVLIHGSLPLFCH VALEHPMLLYVNTLLLGTVVIGLHMLRSMLLPKVRGAAADIMRRLLAQGNIHPASAML PRVTATDEQVHTPPLHMVVHDEHLWLDWMSDGLVAIGESYVSGQWSLGPDVVDISIHV DTVVHRLLTLPVEARRDMYQSWPARWVSLATRVCQYPSSAACHVVDPVQHDPLDDDDS VCRVFGTYQGRGLWQDGDTLNMAQDRALHAMALKLSLTRGHLVLDLNLGYGGGVGCFL ATQFHVQVISIVQSRHEQIAAIQLAQQANIESHVQFILLADMPHLAHMLDQLPSGFDA ITASNVLECANADDLTRILGLLKAKLVGKGRLVLDVTTTPHRANTYAWTNKYMPKYSK RALSYATVRSAMTDVGWVVTDVTNCSDQYDAMYVMWHARFHAAAAAALPDSARRTWEF YLLHTAACYRARNLQAFQMTLS H257_18991 MFGCSYVADVVWVVWAAAAATRGDDAALGFLTSSGIAFMITWYS LRTYDRHAFTALVHAEWGLWSSSAFLGVVSRIVDALVHVVLPCVMLCWHFSRVKLWMS PVAMLVAVGMHRLRHRCPSLPFHRFVFYQFTPRRSRHFWDAALTMDVLIHGSLPLFCH VALEHPMLLYVNTLLLGTVVIGLHMLRSMLLPKVRGAAADIMRRLLAQGNIHPASAML PRVTATDEQVHTPPLHMVVHDEHLWLDWMSDGLVAIGESYVSGQWSLGPDVVDISIHV DTVVHRLLTLPVEARRDMYQSWPARWVSLATRVCQYPSSAACHVVDPVQHDPLDDDDS VCRVFGTYQGRGLWQDGDTLNMAQDRALHAMALKLSLVPYIHGYPKTILSIEAIAAVV FLNMNIDSWPSGARLELGVWWRRRLLFGHAVPRTSDFNRTISTRTDCSHPIGPAGQHR VACPVYSACRYATSGAHVRPIAEWF H257_18991 MFGCSYVADVVWVVWAAAAATRGDDAALGFLTSSGIAFMITWYS LRTYDRHAFTALVHAEWGLWSSSAFLGVVSRIVDALVHVVLPCVMLCWHFSRVKLWMS PVAMLVAVGMHRLRHRCPSLPFHRFVFYQFTPRRSRHFWDAALTMDVLIHGSLPLFCH VALEHPMLLYVNTLLLGTVVIGLHMLRSMLLPKVRGAAADIMRRLLAQGNIHPASAML PRVTATDEQVHTPPLHMVVHDEHLWLDWMSDGLVAIGESYVSGQWSLGPDVVDISIHV DTVVHRLLTLPVEARRDMYQSWPARWVSLATRVCQYPSSAACHVVDPVQHDPLDDDDS VCRVFGTYQGRGLWQDGDTLNMAQDRALHAMALKLSLVPYIHGYPKTILSIEAIAAVV FLNMNIDSWPSGARLELGVWWRRRLLFGHAVPRTSDFNRTISTRTDCSHPIGPAGQHR VACPVYSACRYATCKHQTLPHCRAWLILLIV H257_18991 MFGCSYVADVVWVVWAAAAATRGDDAALGFLTSSGIAFMITWYS LRTYDRHAFTALVHAEWGLWSSSAFLGVVSRIVDALVHVVLPCVMLCWHFSRVKLWMS PVAMLVAVGMHRLRHRCPSLPFHRFVFYQFTPRRSRHFWDAALTMDVLIHGSLPLFCH VALEHPMLLYVNTLLLGTVVIGLHMLRSMLLPKVRGAAADIMRRLLAQGNIHPASAML PRVTATDEQVHTPPLHMVVHDEHLWLDWMSDGLVAIGESYVSGQWSLGPDVVDISIHV DTVVHRLLTLPVEARRDMYQSWPARWVSLATRVCQYPSSAACHVVDPVQHDPLDDDDS VCRVFGTYQGRGLWQDGDTLNMAQDRALHAMALKLSLTRGHLVLDLNLGYGGGVGCFL ATQFHVQVISIVQSRHEQIAAIQLAQQANIESHVQFILLADMPHVNIRHSHTVALG H257_18992 MKAVVQQASQSVVSINAVFAVSILAVFATLILSPSLLLVVIGTT SVAEISRFLSSHSRSGDTVAVFAKFVCIWPLGMGSVVAFGQLSPETQASNLAWFSIYI NAAVVGNIAMMGFVSAGSTLRGVTHRVACISLVLWLLREMQSVHWATVSYQDGYFLFN ASPLSWVLAHACYRLVMMTLPPFDTLRYLVLEPASLGLMAGLATANGASASLWFGQAD TLVASTVCWTSAALGWVLPTPKYLTMRLPESQALDVGCALVHVVIVVVATFHLFFMNP ESTISTLVPYRE H257_18993 GGNKYFEVLLTLVVNMSILRAYLILGFVVEVHTFVRLYVLSTPI ADLTPTLPDPALDGVAVFRRLYAVYCLTLGILRLAAAVDITNLTLLATLTVVHVLEAA FSITEVLVYQGVAPQSLLDEAQWQTSGFLAILVAQALLFAVGYVTSPRVVKSKLQ H257_18994 MQIGMRWHAVALSALVACISMLFTSKGQGVLVYLNFPAFLWFGF DTPTQPHLGCFPGLPHTSNHYISSTNDVTLGLWYTQASHVSSNKLVLYLHGNGEHRGM SVSVLKHGIYANPPFHADILSVDYRGFGDSTFTWPTEQGLYDDAHAAFNYAISTLGFA PHQVVVHGYSLGSAVASRLVYDLCQNSTCPAGLLLEAPFLSIPDIVSDYILGVNVPSW LLNLYHRFPTKTILGNIPIPTWIVHGSLDSVVPFRHGHTLATMFPHSTMGFCPVPGAR HMTSFDFDEARTCVMRTFWSNIWTQCYRQTAMARFRRALLQETLYHCPRLAI H257_18995 MQATDPHLRRYQVTTVLLSLLVVTLSGVCITLAVTPTAPSISRS NTIQTSSGGMPVLVIVGDSNTELGSNPDLMGYESMLAHVYVRKADVINRGNSGWTTRS WLKALPVLMAEWTAKAPSLVLVFLGTNDACLKDGASAEIHVPLDEYRANLQAIVSQIQ SPDRTPVLFVTPPSFDDTTTLKWDRTNADIGKYAAAMMDVGAQLKIPVVDLYTPLQTN VSSYFFDGLHLNRKGNMRVYDILTAKIQVEFPSFAAHNLATLY H257_18996 MQNPTDQLLPPMPQHANKPHASDAPHFAYTHNPISSCRAVKCSG DVHVLPDTYHRVQSDAGGQVHATTPSNTSAETSEAPSMLCKYVYKPCTNPRTLKRNGE LHSLCAQHQAKANSCQKQYAKKKRKLSKPTGKDLTYPMATRAAASSSHSFLTNVQSNS LLRFPPLPPPNSLPSPRSFHSLSSRGVGTSSSPRPTTSSTLLPSFSYPRLPPVPRQLP FGTAAHHHHPSNHDDRRY H257_18997 MAAAAPMGPVEEAKRLMEMEAPEETPYVHLYEARDLLQEALNND PLSIPLHSLLGQVFLAVEEPHTAQPCLERGLKVVPGSDDLIAFCNGLQDDKASLESLT ADLPDFDTPESLDAHRAEIMDILNQLGILWFNRSSHGRAYCYFKTAERMFLYSSEPCM RPTYTHTLFYLAQLYGHLNAPEKSAMYCQLTLRHQLDDLTNIPRDWVQNCLHLSEYFL KQNQLPKASQCIHACTSVGPQPSEEAQIAANLAKLYIQTLYLAQHDIDLIPDTDVLFP NIRTRETFISPSDIDSFDAARDVFKKAMAALATAKSYFVLDGFVTDHIRLLQSQSQCY AKLIPFEPDRKRQMAMHQKRIDSYGEILHGEFNLNAYGYLLQEVYYEVGEIYSILHDL KVVHLTKPYMETNHFAVDSIHYFEKFVQLYYYQQGKTDGLEPLPPQLHVPTHLESAPD LKPFFNGLFVLTRVYGKVTFQDDATTVRFWTKCLEMHENLLQLIPALNLPAFFTDELA ISHEMLLLLPEKINHLHYKRRRL H257_18997 MAAAAPMGPVEEAKRLMEMEAPEETPYVHLYEARDLLQEALNND PLSIPLHSLLGQVFLAVEEPHTAQPCLERGLKVVPGSDDLIAFCNGLQDDKASLESLT ADLPDFDTPESLDAHRAEIMDILNQLGILWFNRSSHGRAYCYFKTAERMFLYSSEPCM RPTYTHTLFYLAQLYGHLNAPEKSAMYCQLTLRHQLDDLTNIPRDWVQNCLHLSEYFL KQNQLPKASQCIHACTSVGPQPSEEAQIAANLAKLYIQTLYLAQHDIDLIPDTDVLFP NIRTRETFISPSDIDSFDAARDVFKKAMAALATAKSYFVLDGFVTDHIRLLQSQSQCY AKLIPFEPDRKRQMAMHQKRIDSYGEILHGEFNLNAYGYLLQEVYYEVGEIYSILHDL KVVHLTKPYMETNVRSILFDGGSCFNDKF H257_18997 MFLYSSEPCMRPTYTHTLFYLAQLYGHLNAPEKSAMYCQLTLRH QLDDLTNIPRDWVQNCLHLSEYFLKQNQLPKASQCIHACTSVGPQPSEEAQIAANLAK LYIQTLYLAQHDIDLIPDTDVLFPNIRTRETFISPSDIDSFDAARDVFKKAMAALATA KSYFVLDGFVTDHIRLLQSQSQCYAKLIPFEPDRKRQMAMHQKRIDSYGEILHGEFNL NAYGYLLQEVYYEVGEIYSILHDLKVVHLTKPYMETNHFAVDSIHYFEKFVQLYYYQQ GKTDGLEPLPPQLHVPTHLESAPDLKPFFNGLFVLTRVYGKVTFQDDATTVRFWTKCL EMHENLLQLIPALNLPAFFTDELAISHEMLLLLPEKINHLHYKRRRL H257_18998 MHPEQQRLIHHSRGPLLLKFALLHRRGYSTQLTIPNVSFTLDTF TDADYLPKFRFSMSTLQSLVTFLRIPPRVVTPERTTCSGVEALCILLRRFAVPDRWSD LITIFGNIFLHVLDHIYSNFAEIIFLDRKRISLKLHEFSQAVVAKGAEVHNVWDVYNR HKRRHAVKYQTLVAPDGIIAHAFGLIEGRRPDLTILRHTWKMILLGMLGFKD H257_18999 MMSTFLSGKSTTPKFSPEQCSRYFFSAITDSNDETTGRWRCTLC QRTYTQQEGRGYTNLLAHLKASHSNYAAHMREAPAAAQSTNTRLWVSDRVKGRFGWIS WIVEEGLPVATAPPCNFSTQTQCCALRPRFGQQPAWFLVH H257_19000 MVYQSMIMILVGAIASTMSAAFQDDFPASDVQFVERTGVPTLTI RPDTTTAFPRTTTAYPTTTFSTSTTTASPFTTQTPTTTTKAPSTTAPPYYICGAGNDN HLTQYYNFYSDDNILVRSDHYLSPGSDYHQHESHNNPGSFGYHYQSPHDYNFEPGYHH HFPLHDNSSSGFHNCDPGYHHLPHDYICRSGTTTAAPATTTISPTTTTVAPTNTPTTV DPTTSTASPETTTSISSTTTFTPTSTMATTTPYPSTTAISYHEPLYVPGNYTGNGTSN SSTSSSSPEDIVFCDRVSVERDATYCISGPICSGSGDVPRGVQCPQAGAVAVAQCLPT LSSYNEPLLAQCIAPAAAVCQKLVVTDAWGCVLPGGCGK H257_19001 MVYQSMIMILVGAIASTMSAAFQDDFPASDVQFVERTGVPTLTI RPDTTTAFPRTTTAYPTTTFSTSTTTASPFTTQTPTTTTKAPSTTAPPYYICGAGNDN HLTQYYNFYSDDNILVRSDHYLSPGYDDCSSNYCTCSPGYHHHFAHIYICSSDYHKHE SHSNLGSFGYHFPHYDDFEPGYHHHFPLHDNSSSGFHNCDPGYHHLPHDYICRSGTTT AAPATTTISPTTTTVAPTNTPTTVDPTTSTASPETTTSISSTTTFTPTSTMATTTPYP STTAISYHEPLYVPGNYTGNGTSNSSTSSSSPEDIVFCDRVSVERDATYCISGPICSG SGDVPRGVQCPQAGAVAVAQCLPTLSSYNATLGQCIAPAAAVCQKLVVTDAWGCVLPA F H257_19002 MSHGLSVPDLRLTPEPEMLKPSIIIIKVSMHMPQLGELRRVSLT LPMVKANPKVRRVPIDRSHAAAFQKLLSSFDEGDNVRRCIVTTPLNSMLQSIVSAVVT DIDNTKIARRGRGTPSL H257_19003 MEVLSPAVSALLNNLSHRILKLDTFLEDSLDQAHVRIQALTDEI KVIVHIQKRKIAEAKLMAIIHDATVTNAGEHLTRTQPPSSTLMLKSQLFSASSQSPLQ LIRHPHRYWGYWEVSELSTALSEAQAQLDSARRDVQVLKADVDMFGDAMFQDHQRRLT DELACEHHRVKAHKGY H257_19004 VACQFNCSMRSVLAGLAGLALSCAASNAADMFQHLLQLQMDAGI GQHIEPWTCATCIGGCIVQGECLASSHEECDIRHEGAQWCAPPKPTPELTPQLAPART STPQSTPQGTQFSTLPPIPTPSPSNQSRNSTAFPSPVSVLQVTPQQSPPPLNPTTPLP TTLNPTTPSQSPPDTPRPSPLASSSTSSPMLLLPQLSASPQTSSSQQTSSPPSASSPA KLASPPSSESLPTVLDAHSTPSDKESPPARSSRDSTPSDTSNSDNDPTFDAYIPSLRL FAPRSPNSNRNDATNQASTQSSSVVDLLGSDDPVNQASRVVFNAVIAGTLIVMVAFQV TALDPVSVGDFAGPPNLWELPVFFTFLQNVAIVAMASIDSPYAPFALFTDSFSWLLFL VKGSRLSAPSSRALQTSSHEAAFGIQQFALRLNIPERDLFVRAWTCFFVVMSAMLLLL CLFNGLATYFSRHYGPLLNQVEDHPNADRFEKWSLKVQGVLVWVLTQAVLPLTAVSVF EASASQSPAAGGSASRALAVAVLVIIGDACVGASLVLWRQTESSLSKFHTKATFGVLY VNLQYPHRAFCGVTLMVQLVSGAMMSGVGTPSTQMLWLVSVHGLYMLVLVVVRPFVTN LHLSVAVLVELVNIAIYCLCFAQAKAAVDDIHTKKTLGYVVMGLACLLVALFLVRTLK KLVQKNDKVKGTDQSFTVCLSDEQESFCELERPLLG H257_19005 MYIVEKLLKKRQFNRKLEYLVKWHGQPESEATWELMKDIKHVVH FKQLVQDLESRRFKV H257_19006 MATLDSNFMTLQSCLQEVIKAAGDNNYRIPHMGKKKLALAGKLP ETVACDPTVFNDGCTRLGEEDIDKRLQDLSQEIAEALEMAEISNLLEDMGL H257_19007 MCYHWVSDQGSHFKNEVIAELKHALGAHHHFTTARCPWANGTVE SAMKTTLKAFRALLSEWLMQPDQWRLIVPVVMLVLNQSPSDTLGGVAPITAMTGIRAM SPLDRIPSPAATKVTTLHDLLSWRNDDMAAMATALDKMHATVLDAATRKRQKNRKIEE KRCGNGTVRRGRFCADDALEVTDELLRHIAHNADSQVIDQFLDCRYNNRMASFEMCVH WCGLQAIEDSWEPAANLLEDIPTEFKRYVRSNKAEPQVKAMAAALGVTQSLGGFVANL PFAEPLNPSQESIQVFH H257_19008 MAPFKRHLRDLWLEEELIQGDDEEEDVDMMTVTAQRKRLAMVQR GIGSLHRNTPLRHAPLNHRDYKPTTLTAGFGFLFNPLPILQWSMRPPSTPPAVANYWN HSSLAPVLVDKPHQPPITTPQWRAHQTPLSPLRPLGENHLVRGRGH H257_19009 MVIGHEITHGFDKSGRRVDGDGNLKQWWSNATNDAFKTKAQCFI DQYSNIVVKSELPDVVLGNISGKDSLNENIADNGGLKTSFRAYHEYLKEFPSQYTEEA GDKSLKQTHPRGRYRVTGVLQNNAEFARVFQCPTDSYLNPSKKCLLWE H257_19010 MGLNITEIGTHSLRKGVATALTNTTGGPQAVSVWLRAGWSLGGV QGLLVDLADEVLLGVMCNPVSKMMATGIPPHVAQSDKIQTLENEVKMLGTTIQHKLDE MPAIVAGELMAQGGMSGSSQLTTGAFHQGLSQLRESILRDLQQSRLSVDPMVPAESST TTDASSTPDNDEWEARRMDGMSYLTLYRSFSTSSVSPSMYGAELTPTIVTLSFISALV SGLRTQHSDVVGYGSLRVIYRGAEGGVPGCIVVVVTFRNCGDDDNCDDDNCDDSDLRY CMFGRPSLDCCIYKKQTLILDELADPVVIKHIHEIRIRLASSMCRRGSDVSWYDNNSF VLKHLSKLPGWYAGSGKKLFVARAAIHPYEFLPEALWGCSADIMGLTRALDRDIFVFA FMARALTSTLLMDMTSSAFFFRLD H257_19012 MDECVRDVAHRNKLAQKWLSEGRVVTPYASGIIDEQKLLAPSYK VIVSTDDVFFCVPPVA H257_19013 MPSSRCESIGPTQSRVKAQNLTDVERQAMINMLLASSNGGKRKY GSVKGVADQFNCHRTTVSAVWNSWKASCAEGGSKMIASPPTSRMKGNCGAKMKWSAEA IEAAIKSVPFHKRQTTRALAFHSGVPRSTILRHMKRNRRLRCKSSYLRPLLTEDNKEE RMKRTTFSTTLHDVVHVDEKWFFLTKNKGKFYVYDDETLPHRQSKSKRFITKLMFLCA VARPRYDVSIRRMFNGKIGMWPFVVKAPAQRNSKNRPRGTMLTEPQNVTAQVYQDMLL TRVIPAIKMKLPLVMKSSTVYIQQDNAGPHAKSVNKAIDQLVVNDGWTIRLRNQPPN H257_19014 MHSNLSYKRQNAQLVVKVLFALSVEAGPQHRRQEHDLPDESLDL CSSFGNIFIWRQALTDGHISRFHVIGGCDGYEGERSYYTDLTKALPDTSVVHTVGCYK FRINHLHMGTIGDMGIRRLLDLSQWNDSYSAVQIALALQWGMNDLPLSTVLSYFEQKA VVELLTLLLGMHMIYNATTNAKV H257_19015 MFGFLVVWSVAALFVFGPSSHKENGEQGEPDGLPDSESSSDSDR RWPSVPDVSVTTVAVDIPLLNDTMAPPSKVKFSFPKFVGQPAKFPIPTAPTCGIRKAK SAKEVVYMTVDDGPSQSGRLNLLNAMDQLNNRTNKKAAYVTFIESGYNFCDLDSNSIL ILKCNSTAYDTALQLVVWTIKAGHMIAAHSDSHFYDANAGICNYVTMPLVTKVEDKYA KCGNDTWSDMVRGALRIDDALANETLWETDADRAAHKRAVSTLWSYARLPCTNAWRLP GESSETGLFKEVTDRDARLLTADKLFGGKLECKPDTKPWLSMGWDAEWQLDAKATYDA QKEKCKVAQYIVNHFDNKGKAGPREDHVVLLTHDYFFADEAKASIFRDVVAELQMLGY TIGTLDQYPLKQ H257_19016 SMKRLHNVFNVDRLKKCPGQTDRFTNRPIPKATPMLLDDRGHEV FIVEELLKQRQFNHKKEYLVKWHGFPEYEATRELERDIKHVSHFKRLVQDLRAKIQAA KSITEGECSDVTPLAS H257_19017 MARYQAHKLERWGWCCSRSLTLSGACPVKTVCGNDLLSRWDTAQ AQVSTKSVRCLLAMTQQVVMKRGETPPTGVAWNEDKNLFLDKEDRIWIPPSATDLQQR HPRSRCQDQACIHCLSIYGSVVPHPLGSALHAEKPQELNQFDWLSMPTATNRWQKILA IKDDMSGIVQLWPCETSDAATTSNGLLNWFMTFGYLEVCQHRDQEGHRLRLAQLHVQE AHVRAASQSGCDKRQEKLAIGDFVHVGQVSRQGNKLSLHCGRDVTEELVDHNAFDNEG FRVAKLGDVRE H257_19018 YKWCGDGGSNPSPSPSNAPNPSTTSSSKPSTTSSPKRTPSSKPS TTKQPTLSPATSLKPTPTSSPNTPPSPPGKSGLTNILSKELFLRIFPEALPIYNYENL VAIAEKYPEFANTGDSDVDRREVAAFLGQISLESGDLRYVEEINKSTMCQQSPKYPCA AGKQYFGRGPIQLSWNYNYKDFGKAVNLDLVASPELVATDFDLVWWSALWYWNDERWN GNIHKVVGLPGGFAKATHIINGGLECGVNPPNRDSEKSRIASFKKFCELLGVAPGDNL SCQTADFRPKAL H257_19019 MHLALLSMAETQPRALKTYITWTEDLEVELLREVSRIEPFAADH GDLLQRWKLVASGLSAHEPKINYRGAREHVDAMLKQFKQEDKVQQLSSGTDEDVTEKV QLLQDLIMRMDEVASSKKRKRVKESEKKKVLESTGDKLCREAEIRVAKRSKTWSSSRL STGSSQDDSVESSLNDLLEFEKQRHEDDHKYRMERLKFDNEEQRLRRLQSTQLENLVG VMMQFMGNQQK H257_19020 MSNIFLHLVDLIYDRYQHILFLDLNRIASRLDEFCSAILNRGAK IDNVWGFIDGTVRGCYRPSGGTEQRTMYNGHKRKHAIKFQTVVTPDGLISHVFGPIEG RRHDLTLLRKFRGYIIYGDPAYGRSDQLASPFSGARLTEAQKHVNASMSRVRVSVEWS FRPSVKALQSYRAADKLCYLCTPA H257_19021 MSPAISYLDEESYPFPVWSFLYAIVLGVACVASVAATWTLRKYS KLVSSCVCSAMLHFFIWKSIYTLFRIAVVSAVIHQYLCKTSTWFILDEDHDVGGFRFI GREVGTGSSPGLCEPPYYVKICLFIGDASLISGAYWMLILVVELLRLVKTTVDRGAHQ EKVFVRFYMYINLMMLVSYVAASFYASRFRSFLVTSSVAQSVVIIAVSSAVLYLNCTR QKLESVECRIVQKPLYIRLKLILLIYDLTALPYFGVGWTLAVMPMSLQQSLDFVPNWI LITSNLFYFMSPIALAFLLVANQQCMMSWCMVPEDVIKQIQANEPPKHFPVFVNTDIE SSSALWGALGSVMHDAQDIHDNLLRSLLVPHHGYEITTAGDSFQLAFHNIADAVAYCL DVQQKLLQQEWPRAFVDCHMPGSATITTHQSFLKRPKMVFHGVRVRMGIHASNPSEGD LVNQVHPVTGRMMYVGLSELIGREVSDIGCGGQIIVTAPIVRWLRANVMNATPWAKAH PMVWHELGVYHIEDLKINLGIAQVVPMSLQERVRLFPLPKNVQSCHFRVPGSNYSLLI SPRSQSNHRAHEVEMVDYRNDCGC H257_19022 MAQCSALCVVNSRWDSWRPSAPSGEYQGARTPASQPVTEWTCQG DALKHVGSTSGGDGIPVVTRSIRPFHGMSFGKGQSAAELLKKYGISRQTLSKIWKRGQ QSRVLSGRADVALRKKGRSGRRPKLTMDEVEAAVKRVPPHLRKTFASLVASSGIPPTT LWRVLQTKKLQRRTSRLKPMVTDKHKADRVEFVRSFVRASSDGQMRWYDMHNRVHIDE KWFYLTLVNRRCYMWYDEAVPIRKCNSKRHIIKVMFMTAVARPRFDYTTRRMWDGKMG MWPFVSVVPAQRKSKNRDRGAPVTTPVTVTMPVTVTMPVYREYLLTHVIPTIKEVWPG RRSDPIYIQQDNLRPHVKVNDAAMTMVGCADGWSIQLV H257_19023 MATTGMDLMVAAWRDMAASADKQYQTESIDGVPGAFLLKNVLSL DECAQLRAAVSLLHDEKAAILVDDKKPRRPSQHHIPTQVDPSALEEIMRRLRPHMPSH AGPTNRAPLATAFLSPFLRSYYYHETDFSMPHYDKSFTHHEGLRGRILNFSAYSVLFY LNGGFDGGHTSFFTDKSATTIAAQVGPCIGDVLVFPHGNFPGCFPNPFHEGSTVTRGE KLLIRTDLVFATTKQRSKLAPSDMTAAQSNPAQLAQLVHEGRVKRLGPGLDECRCGDL LGLQAQVASGWDCHTSRDRHGSSGLLWAAGGGHLDVVKYLIESLGMRPVQDIQAHRRG YDGRSALHWAARNGHNAVVEYLVVHGGQSVDTPAVDGTTPFHLAVWQNHQRTCALLLQ LGADPHVVNSHGCNACMWAAQSSVGNVAMLDFLSKLLVDVERINANGQGCLHKAAQRC NWDVCQWLCQRLTAPAHFEPNKEELNVPSRLAAISGDDKLASFLVAQETASSERWAAS A H257_19026 MLPSTLKLLASLLAVAGATRPSLGPEKAAVELSATPATQPTLVG YWHNFHSSVTGATFPLGQVITTEWDVVNVAYATHYAHGMVGFVLDPAAGSIDGFINDI ATLKAAGKTVVLSVGGPNGTMALASKTEVGTFVSSIADLVAIYGFDGIDLSIEKGLAG SGAVSNLVAAVKQLKRKLGASFYVSLAPYYPLVQSGGGPASVRNGRGGGSAKAKMTAV ASSSAQCLTLLDGLRDELDLVHVRYFGSNSGLVLPDDQVVTEGTVDIWSVEAATQFQP LPPHQVGFGVSLGGGFNHPDSTTVQRALTCLVQGSGCGTITPKTTYADFGGIMGWSVN LDKFGGYSFSKAVRTTLDDLTTPSNDADENDEVTEDPKFDDSAADWIEANSAAQSGAI RAALPFLKPIPSKYTEGHGPGNADGISDSGKDKLVSQWGDKGRLE H257_19027 MVSTRAKSNAPLLAATVAAGTNSMVQAMDALSPPTMGPGEMVSD DMEVEIHDLTSPRVDFADFRNHGFSRATAATAAAIRAAPMESVLSASSLSTGASEAVP GTMDVEMRDLVSPQVVSGGAAGSYSVAATTATASTNNVSEHAMAPPTSGASETISSVA YDPTLDLISASTATGVLRGSSLSIATAAAMTTGASTSKASVVAPSRPPTGSVAMVPGA TEADTHDSGSPQTVNRDFPPTRTSSGANGQTIDSRHPVPPPATSPSRLGAPGSTATAQ PRVEPRSRESAYAATATSPPPTSDPWTAFAAKRVEATKASRTKDVGTYRPSMVDLEPL LAKHAAGTLSFHDTLPIQKHDKREVVGWLHMATGNHTKAINEDAAM H257_19028 MNRFEQVESTQQMARLMRLPATPKPNYMDVAAFEFGLFYVDSRY RPPPPNDEQVAAIFVHSRKETAKAIRDLCVENDALSELTLRRSE H257_19029 MDKEMGVAVVFDGDDQEEGSDNDEIRDDDDDGDELMREGMRLID WMMNEGINGGLDDIDAFWLQREQGAHGSSKRSRMRSDLRSSDGAIETFKIVYMHENTD THGAQVRRSNLSSCDDLCKTHIIVASCWVTDTLLVGLMIKSTYAPCWW H257_19030 EAWFVYAFTHTSAVLETFWWKITGKEAAWFVTGSSSRGSVTELP NVLVYFGHVFGLVWALIRFFATYNSQQTSHGASLLVASLMMGLFIATKLGPSVRMSIQ EYFGWSYQSLMDQGNFFGQSLIAFGLVFISLWVYIEKPSSNPF H257_19031 MLTRLLRSRPSAVHSIANAWTRHGSTFESLGLSRELCEALHNKG LESPTNIQERTISAALNGADVICTDATGSGKTLAYLLPVVEKIRRSEKRQLVLAQRNR AQLEAAHSASTPDDMPTDATATISPSPVDTVRREVSRPKAIVLVPSRELGIQVGAVAR ELSHLAKFSSCIITGGSEQKPQKAALGRPIDVLVATPGRLAKYIKSGHVFLSRVEFVV IDEADTLLDRKMGFRPIMDEILGPIRASGLASSRHVQYLLAAATVKPPMDQVFASTFP DLKLVSGKGVHQTPPSLTEEYIRVPGSADKHGALRASLSQWSKGKTLVFCNSTASCRS TDHMLQEHGYPSTTLHGDIPPVVRKKNFDAFVAGDATVLVCTDLAARGLDLVHVDHVI NFDFPKSSVDYLHRAGRTGRAGKRGIVTNLITKHDAATARDMTIAKGKLQPIMEVTTQ VNLAKYKHGAHAAVDSAAKRDEPSRGARGTRKLKLKPHKLRTLPRRAKTTPSL H257_19031 MLTRLLRSRPSAVHSIANAWTRHGSTFESLGLSRELCEALHNKG LESPTNIQERTISAALNGADVICTDATGSGKTLAYLLPVVEKIRRSEKRQLVLAQRNR AQLEAAHSASTPDDMPTDATATISPSPVDTVRREVSRPKAIVLVPSRELGIQVGAVAR ELSHLAKFSSCIITGGSEQKPQKAALGRPIDVLVATPGRLAKYIKSGHVFLSRVEFVV IDEADTLLDRKMGFRPIMDEILGPIRASGLASSRHVQYLLAAATVKPPMDQVFASTFP DLKLVSGKGVHQTPPSLTEEYIRVPGSADKHGALRASLSQWSKGKTLVFCNSTASCRS TDHMLQEHGYPSTTLHGDIPPVVRKKNFDAFVAGDATVLVCTDLAARGLDLVHVDHVI NFDFPKSSVDYLHRAG H257_19034 MQLTVKAFLVLLAVDVALAAQIDVAAPNSSATLLSADATPTVTS LDGNNNPVLVLPQSVVNVTAKFKNQAVNSSNTLPTPSPRANDFSLLSSSGVGPVAVWP ALIAGACIGLGLAILFYGYKLFRPTVFVSSFAFGAIVGYMTAERVCAQTSGSFYIVCW AVFSVCGLVCGLVGLYVLSVGVMLVGGTGGLVLAFLLTTSFGHKWWPSYTDGILFIFM GVLSLAFAIACYTVEKPMLVPMMAWTGAGAAMWGVGYFAGGYACADDLSVYRVSNALG EVSYTIPNSFWIYLCATILLTLVGTFVQFLSTACCENNTLYTWETHGDIEMDVRRTAY NQQPRPYDGYGFGRGVRTEVNYYHRHDDHTN H257_19035 MEIPEDSVVMGADIDRDLATQWIYPSNYPVRAYQQSISRAALLQ NTLVCLPTGLGKTLIAAVVMFNFYRWFPRGKIVFMAPTKPLVSQQIQACHDVMPIPQS DMAELQGNVAPAKRKQLWATKRVFFCTPQSLQNDIERGNCDVRSFVCVVVDEAHRATG NYAYVVVIKAIAAKVSGFRVLALSATPGAKFDVIQDVITNLRISHIECKNGDDPDV H257_19036 MLRNHEEYGFFYSNATGRAAMALLFADDTTLISTSLDDPERQVD DFCTVSRARLNRSKCKALPLNSKMMAHPDCVFHTSLLRYNTNMCFDYSFSWLRSLPVD DADRADLQAILVGLQQAPGHSWFFLKHIQSTAAKFQRFNCLHYNDVASFIASLLSSLP LFSSWARIMVVNFSLSAYISMAMWACIMVVNFFTERLHFHDHVRVQGAPKVGVAPLVS EGIARLAAAPAPYLTATHCRLM H257_19038 MCTLSAPMLMARPILVTIPDFLGHGIQMLPAEMFLSSPWGGSFN VLRFRYDAHRQTLQESGQDLLGVLHDIKPISGLEPSYIVTHGYGALVLREALRCIDWH DVRTRLVMVAPPNRGLLKPTWCFTANVARSELLTLQDFDLDMRLGKLPRRTSSLVVAG ALPLHRSHAPPSDGVVSVAHTHMPGEYTHMILPAPHNLIMAHPATLRLARDFLLG H257_19038 MCTLSAPMLMARPILVTIPDFLGHGIQMLPAEMFLSSPWGGSFN VLRFRYDAHRQTLQESGQDLLGVLHDIKPISGLEPSYIVTHGYGALVLREALRCIDWH DVRTRLVMVAPPNRGYIQRILLKPTWCFTANVARSELLTLQDFDLDMRLGKLPRRTSS LVVAGALPLHRSHAPPSDGVVSVAHTHMPGEYTHMILPAPHNLIMAHPATLRLARDFL LG H257_19039 MASPTMASSSNYSILATRPQDDPAQLLMSYIEDTPGAQSTSTSK HILLALKDKTRSEETTTYKQAMKSPVKAQCLQAMMLEQAAHEISKSMTDERANWTDEK DATWMTEMIYQVVVLGKRANSGFKKEAWQAASSKLNIEHRVNYTKVQLKARNAEMKKQ YAQVSQMVHTSGISFEAATCRFVCTEGSWQHFLEGKPRRWALWETKRFPQYLHCQQLY DGTLATGEYASSTTQQQSMTSSIEDGDDQHSDANIDNDIADMDFMDNISDNSAASQVE LSSEKPAKRRVRASGGGLSKRVRPSLASTMTAQLKQLQDYGDQEMSVFVNAVCVRTTA GTTAGTSVVVLHRAYEDRKSLLQDAEDPLHNVPQLSVA H257_19041 PHPTTWRGCSSQSQVLPFFSELPYGYRWDLYSSPCAGVRCLPVL KSKRRDNERPCGMRFRFEFHVRHGRMGRNSRRRQIVRCSFAYGAAHRRCKVRHIGCWI CSHNEGPHTVSMKALSFEEVCSWSPASSIKGRALQFTARSVEERRGEDLRHPEEAISG PRMPCGVQLQIPSRLGPCALIASQFYSTPWQRQFGESSR H257_19042 MTDQRANWTDEKDATWMTEMIYQVVVLGKRANSGFKKESWQAAS SKLNIEHRVNYTKVQLKTRNAEMKKQYAQVSQMNSVLESQRSDACG H257_19043 MKVTAFAFASVAATKQSILTLTAEEKDVLGAELAKWEEDFGTQA LARGLLPHTVRMESRRSEVDIHDEKLQRLMDTKFQADLAQIQNPNATFDWNNQFALLN EDEFKAFASTSLNQEGHVHSQIDNAEQEVTVSSTRASSVDWTSRCNPPVRNQGMCGSC WAHAAVGAAEAAHCIATGQLLSLSVQEVTSCSTEGGSAGCNRGSPTHAITYVAKQGLC LDSAWTYTGQTGSCGRQCEKQKLSIGAMVQVSGESALVSALNSQPVTAIVESANNVWK NYKGGLVSQCPGAHSEHVVIVVGYDNESFKIKNSWGTNWGEEGYIRLRRGGGGKGMCN IADRIAFPKLSGGPHPPSPSQPSPSKPSSHPSPSMSPSEPFSPMSPSQPFSPSQPSPS MSPSEPFSPMSPSQPFSPSQPFPSMSPSQPFSPSEPLSPMSPSQPFSPMSPSQSFPSM SPSQPFSPSEPFSPMSPSQPFSPMSPSQSFPSMSPSQPFSPSEPMSPSQPFPLMPSFP SSRDDVDFRTLPSISTSIHSPSMSTSIHSPSMSTSKHSPSKSTSKTFPSMAPSEHMST VEPMTSMSKRGCSGCNACYCPGENSCLRGFSQQECNDYSANYDTMWCAN H257_19044 MKQLVEQDNRGGLTDNERKSLLGLKLSADKTPATLNAWWIAYSK TKGGDHDSFVDQFGSSIPRDHMDKLSDPWTNPSSTDVAMFKRGFRHARAESCLEHTDR ELNYNADFMKRFRTREVRLEEITAKPQGYAKPHPTPPPLRRLRPVLPPVNVRLHRSHL VYRATQQQKAFVALIERSNQVQQGFVEALTRSSQPQYAAPTQQSQPYGFAYQQAAPIQ PATSSYGQQYQQPYASHAMSPHGQPALQSNPMTTPKQMSGVGRARAGHIPMVISSKPD EITVSDQNVCGRCDHLHHGSKECSRQTMRCNFYGQMGHYPGEYVKICHLCGQPGSTAA KCPFKPAH H257_19045 MVFASDLTFGLAPVVHRGEIMGIDKMTTLTMPPMHVVLDDFGEM ETDNNSIVEATTVTEATFFLIKSKPCEARPSSTNPLPRTIRTEWTKLFRLTVSAATDE FVRLGNAVDMKRFARKTDCVSSLVHDKTLLQDRVLLLEARIDHDSV H257_19046 MEIPEDSVVMGADIDRDLATQWIYPSNYPVRAYQQSISRAALLQ NTLVCLPTGLGKTLIAAVVMFNFYRWFPRGKIVFMAPTKPLVSQQIQACHDVMPIPQS DMAELQGNVAPAKRKQLWATKRVFFCTPQSLQNDIERGNCDVRSFVCVVVDEAHRATG NYAYVVVIKAIAAKVSGFRVLALSATPGAKFDVIQDVITNLRISHIECKNGDDPDV H257_19047 MQLTVKAFLVLLAVDVALAAQIDVAAPNSSATLLSADATPTVTS LDGNNNPVLVLPQSVVNVTAKFKNQAVNSSNTLPTPSPRANDFSLLSSSGVGPVAVWP ALIAGACIGLGLAILFYGYKLFRPTVFVSSFAFGAIVGYMTAERVCAQTSGSFYIVCW AVFSVCGLVCGLVGLYVLSVGVMLVGGTGGLVLAFLLTTSFGHKWWPSYTDGILFIFM GVLSLAFAIACYTVEKPMLVPMMAWTGAGAAMWGVGYFAGGYACADDLSVYRVSNALG EVSYTIPNSFWIYLCATILLTLVGTFVQFLSTACCENNTLYTWETHGDIEMDVRRTAY NQQPRPYDGYGFGRGVRTEVNYYHRHDDHTN H257_19050 MLTRLLRSRPSAVHSIANAWTRHGSTFESLGLSRELCEALHNKG LESPTNIQERTISAALNGADVICTDATGSGKTLAYLLPVVEKIRRSEKRQLVLAQRNR AQLEAAHSASTPDDMPTDATATISPSPVDTVRREVSRPKAIVLVPSRELGIQVGAVAR ELSHLAKFSSCIITGGSEQKPQKAALGRPIDVLVATPGRLAKYIKSGHVFLSRVEFVV IDEADTLLDRKMGFRPIMDEILGPIRASGLASSRHVQYLLAAATVKPPMDQVFASTFP DLKLVSGKGVHQTPPSLTEEYIRVPGSADKHGALRASLSQWSKGKTLVFCNSTASCRS TDHMLQEHGYPSTTLHGDIPPVVRKKNFDAFVAGDATVLVCTDLAARGLDLVHVDHVI NFDFPKSSVDYLHRAGRTGRAGKRGIVTNLITKHDAATARDMTIAKGKLQPIMEVTTQ VNLAKYKHGAHAAVDSAAKRDEPSRGARGTRKLKLKPHKLRTLPRRAKTTPSL H257_19051 EAWFVYAFTHTSAVLETFWWKITGKEAAWFVTGSSSRGSVTELP NVLVYFGHVFGLVWALIRFFATYNSQQTSHGASLLVASLMMGLFIATKLGPSVRMSIQ EYFGWSYQSLMDQGNFFGQSLIAFGLVFISLWVYIEKPSSNPF H257_19052 MAGGGVFIEAAGDGTTSTPTEGSKMYAVVVCVFASLGGIFFGYD LGMTGGVLVMDSFLNDFCVAYDGNTYLQCTSTAADLPANWLNFTTLFNVLYYIWCILG AYVGGVVADKFGRRMTIFSAGFLFCIGTCILVFTRRGGHTMSLIARVIQGMGVGI H257_19054 MLQLRKKGGGKMDVNATDGERGNVQAAGGGPMTSLWSRVSWKKP LSLTYAEESSENPHLERTLGCFDLLMIGIGGTVGSGVFATAGLIAKSYAGPAAVLSWI LAGFGCILSGASFMELSGLIPSAGSTYAYAYHSLGEMPAMIAGCLLTLEYGVASAGSA RSWSDKFQSWLRQMGVEGPVWMKPKDSSIDLYAGLLMSLCVGIVLCGMSAGKQLINVV TVTKISVVLFIIVVGLTKFDSSNMDPFIAPEHINAVDQVVFGWPGVMLGASASFYGYI GYDEVCCLAAEAKNPTRDIPRAVFGTVVGAAFLSTLATLSLVGMQKYTEIDVAESYGT ALRHVGYHWAAPIVEIGEVFTMPVGILIGFLAQPRVQYAMSKDGLLPACFSSLDKHGN PFYGTLIAGFGLIGIAVCIPFKYLWDFISLGILLAFNLTNTCLLAVRYKSCLYTPACI NSASNPTAAVVALFLGVSWLSAYHIQESLLAPRGSTDHWYMHTYGPVAAAVFSVASGL CVAVLAHANHPIQLVPKQSPDNDDDDEPTSPSVETTASIDEEDHEDDDVAKKQHPHQA TPFHAPFVPVFPCLAIWFNWFLAVQIPLMIVSIMALYVAVACGVYGLYGLRGRHSLSS QHLGGQYAIVPESP H257_19055 MWGVKSCTIDVLPEDPTTAVISYHWPPGLTKIKSKFTSELENTA TKAKTYAKIQAFAYAMQNHRQNQQTSPMSTQLVDLPLPVIPHSFEFNVGIDTIDEDVI IVEFKVQGNSSSHGNISLQ H257_19056 MGRKRQADESEAEAGDDVIRLRLLEGTRQHYNTMVSHFKRWLQS NNPVHVVGETIMLPLPENVCKMYLSYVSVKRDKQGNELLSRTYNTASTVNRYTSAIKF LYKESSMEVSCEVDSTLSAFSDGYKRHVAQMTQNGTMSINHLYSLGRKARTIDSAKTA LVSYFKQRMIDPNPAQAMETKQYVVGLQKYNRQHNIDDEKKSHPLTVHELSLLVNSLA HLNPFMAALLRFLLCACYLGCFRISEILGLRWCDVALVDLPGGQFVSVRLRWHKKASV EKECQVYNLVDERAYPCLRVCGFYNEYVNAVGATLMNVTKDAFVFPQVTYLINGSVKI NWAKAMEQNFLRKQINDLVESTPSLSVNISLHSMRRGGCFYRVFESPERKFNFRELMA WCRWDDTKTCCEYLVTKSLSEAIEPRHLLQTRHRGPHGVNVEPTNVAEIVDQIAQYVL THLQGDRTSRVLSSSPATPSELSAIVNKQPPLAQRSMQDFVVPKIIPTARSAQDAWEQ WFSVDHKNGRPCALKDYTKLMIKSDRKKYSERQMIATAFNKYQSYSHFEQAYTGYTNT YSNLLHEVRKRKRENTL H257_19057 MSNWLKFWGLQITLSIADHKTVRHPKAPEAAAADTSRALKRPGL SGGNEHRTKATIPLGRMAGIKAPMLNTMYSYLHDNWTLNDLCV H257_19058 MDILLTLLDGIDDINRVGSNGETALLTAAKGGVLDALGTAPLSI ACEDGHLEMVRLLLDYNNSAKAINTQET H257_19059 MTHCQSQRLRPESLSKTGHTDMTPHTKNPLATFSEFNQFALLND QQFRQVLDKSFGKHTFSASPLPESGVVKASDLDWATHKCNLPMATQGTCGWVILGLVH RRSKPRTALSRGNCWICPSNKWRVLSNGLAIYGPNRQLRQKLPENVGNTAITSGESSL ETTLNGQPMTVIAEYGNAAWRNYKNGVVTQRPGSDSDHAVIAVGYGTSSSQRFKMKNS GKGEWGDNGYMYLRRGVGAKTCATWPKRCRIWN H257_19060 CSLVPSLQHVSISTFTIIKMQVLFAVAAIAAVAAAQTITSKPPA TTSKAPAVTSLLLTTARPSNASKATNTTNSTIVILTPSEDEITVTPTTAKVVATTTKA PATPLPTSGASSVVASVVLVSAVWAAIQ H257_19061 MRAVALYPSGPWKHIGAVVITRSIRQIQTHAQKLREKAARHDRG LKIKTNHQSGVALPSYFLARGRSVNDRALGDTHNATDHTTDARGLPLDECLDFFFQII DDVVVV H257_19062 MPKRTTPPKPNHDKRKLSDLQRRAIYERLLGCSNNGRLVHGEYT ATAAIFTCHWKTVARIWKRGQDSLRHGSVVAVVDAKFKGNSGPKVQRTPSDIRAAIKA VPLVARQTLRSVAEHSGVPKTTLVRHMAEEDQLKCKSSYSKPFLTKDNERSRVKHAIS FHF H257_19063 MTTRKNGYNALLRLLQRFADKHSFSKQSVCRQKKAQKDLEETRI TFDKQFHGDHLDVSMDCVFDDMCPSTIWVHSRTIDELIENTESSYDELPMETLSKTFI TLQKVMEKTLETFGTNDYKIPHMNKNAIKDLTLYNVRCDPSVHKNAVAFLSQRPLNSQ T H257_19064 MQLIGMVVVSTGLLNGVASSNVDLCRAKVVCTMLSGLDCNRSGG GCPPCIYQRTVDALDCRKKTAVELGCPNIALPLLIDCDTIVPTTTPNTVLQQISIEQA NSGNNTSSNNTRNPTTLPPNGGSSTISIGPVGQSTNNSAVYWVVGISMGVCLLLVGLC CLRRRSPKRQRHAPNVESVHKIKRQQANADELPIPAPLILVSESLRYDLLNSYPDQST QAIVSASGTPSSTEDDDILSTVFMRFRTTADYAADERNSVFSMLSSMDSSTLSSMGSL DTVHRDEDEVDI H257_19065 MTLFYRLYLCVAYLDGPPRVPSRKHSPQDILRGDTVGGGNGSGS LGQAETCLEDAIDEQQEPWSDMVEANVTAGAVMEATAAEAVSEAESVNATEVVTVAAA KSIAQTEVYRWLIKRKAYEARLEDECRRKNIQFREHVTSYVACFSDKQLLRSMMSIWK IRGEPEDMLE H257_19066 MYTSLLNQLPGKVSEYTKDALHPVDFEWGDLIQIVVDISVEHQT YWMRWGAGAGKRSGTKSPKHKKSKHGHDYQNRGEDKKSSRDDGTTGVSTVVDVRRVVG TTEAVDEARKVTTAGVNDMEVVDAQASAIKARTVEAITPVTEVVPKMASLVGPETTKT RSLEPLRRKQSIATRDIKIREDEAIDEAGVSVDYGGEWRSSLANRFTNNVWCDGIRTE FGINQYYHWMTELPILDGRIAHPVQCLFCGDLERLYHFKD H257_19067 MCGYLRNPKFQKYTKKTAQMRKKMGKKFYRIYMPDDAVNMATLN GVLEVPFCADPGSDANIISE H257_19068 MESKTKNNAELCSRLSHLCSYLALSVAGFYDKLMGRRGAGKQGD SNP H257_19069 MGGAFDNKRVFKPRMNLIDEGSQSHLPFKLKRRQFAVKLAFAMT INKSQGQTLSRVGLILPSPLSPKKSHFYLREAEWCGKEISADGITHSPSRIQGLVDLS PPTTAADLQQFVCATNWMRASIPGYNQLVDPLRRLLDVATKAAGSCKKTALVRVALPA VGWSSDHLKCFNNPSGSNVNMAKYQADKLQRWSLEMPTFPRWGLAPADQPVVNVRELI HVVSPLQQVDFEWPTAATISGIQRSTMEGGGTPPNGVDWDDNGVDWDDDSHFYVDPDG RIWIPDGASVKDNMSGFVQQFAAESADSAATAQCLMTWFTTFGCVHTWVPDGGSHFKN EVTEKVRKWVGAHYHITTAYSPWANMTEEVVNRLVLRAVKALLSEMKLNADEWPHVLP LVQGALNHQPTSREMTEDLEAQIKFGDGGFHVERLDEARCVDGQHQVLMKWLGLDDEV SSWEPAANLLDDIPVVFRKWAAANKKDPAVAALIKTLDFP H257_19070 MKEARQRAKKTQMRSAEEEPHVEDFLMEFVPPEPPIIPEGPALG REEMAVLDVQLNPRPFQPLDDPQALQDEIDFVEGVLDDISLHLTLEPYPFQPLQDPTV IHGEVQFIEDALQDIDLGEDPPFLPQNPVQDAIRPPRRNPVNYVGRQSRARTAEQS H257_19071 MGPLKSKLRKKWLTEKPVVTAAEKHLAMIQRTIQAWEEMPCDLI RSSFEKALPQYQLI H257_19072 MVVSPGEFVNYLLPLVPAGICVVASLYTCFCTTHGFHRVPLLDH EFLDFMPGIDRLELEEQLANEARWTCAVCAFKNLDTTLECNLCGTGQPKAGGQEPLTT PQRLARRRRRWTRDIDDDNRRVVWTDVGANSIMDRSWVLEVVSSASFGLAGSDSDLEC CIRIALTPSSTSAKGPPPAATSVWPDVASMPLKLQWSPSQQRDANCTMLGKALSVPVW EGLVAISRLMFSSKYAWFLQQVADMRLPVAELRLEVKSCRMTLLADATATLLDLQGPT RCAIARHQFDGEVAIDAGAVQREWYTLVAQAWMDPATTGLFDITNRTNNSYYVNPKQQ HNDNSKSHLEQYRAFGRFLGRALLDGQVLPIQLNPVLFQLLLGIPVEFEDLECLDPVV FKSLTYVLECQDVAELCLTFCATNEYVDGHVEEVDLIDGGRHICVDKTNRVQYVETMT KYLICGRIQDPLMAMVKGLYDIVPPELLVIFDHKELELILCGLAVVDVQDWKRNTVTS PNLTQTRLVDWFWDVVGAMETHDQAKLLQFTTGSSRVPVQGFKGLTSYDGRICYFTLN GVAYAAGKYPVVHACFNRLDLPLYPTKDLLQDALSTLLLSDPTGFNTV H257_19072 MVVSPGEFVNYLLPLVPAGICVVASLYTCFCTTHGFHRVPLLDH EFLDFMPGIDRLELEEQLANEARWTCAVCAFKNLDTTLECNLCGTGQPKAGGQEPLTT PQRLARRRRRWTRDIDDDNRRVVWTDVGANSIMDRSWVLEVVSSASFGLAGSDSDLEC CIRIALTPSSTSAKGPPPAATSVWPDVASMPLKLQWSPSQQRDANCTMLGKALSVPVW EGLVAISRLMFSSKYAWFLQQVADMRLPVAELRLEVKSCRMTLLADATATLLDLQGPT RCAIARHQFDGEVAIDAGAVQREWYTLVAQAWMDPATTGLFDITNRTNNSYYVNPKQQ HNDNSKSHLEQYRAFGRFLGRALLDGQVLPIQLNPVLFQLLLGIPVEFEDLECLDPVV FKSLTYVLECQDVAELCLTFCATNEYVDGHVEEVDLIDGGRHICVDKTNRVQYVETMT KYLICGRIQDPLMAMVKGLYDIVPPELLVIFDHKELELILCGLAVVDVQDWKRNTVTS PNLTQTRLVDWFWDVVGAMETHDQAKLLQFTTGSSRVPVQGFKGTN H257_19073 LKHLHHDTAPTGHDRRIRTRRAHLQAKTRDWHSRRLSLLPPATP SPPVSNAPPILPPRRPPDPKRLPPEA H257_19074 MTRQPTARELSYAKKKHHLTAMGSLVAEQSFQLPPNSTSTEPPS PVLYVRQDAASSYGQNGHRCTRLQRTVEYSDAVHHHCPAVRHRGHTTMSSIMDPLHRP PPSPQIAGGNQRALEAARLERANFNDRGSSIAHVRSLLESNMETSHSAAVELLSSQTK ALMTPIPQGWADINS H257_19075 MQRTSRNTARNLCAWRLTTSRKHKLDRRTTTSVEFNEGDYAMLA TRNIPLKHAQVKNKNVMAKLVPRFIGPFKIQEVVNANAMWLKLPRSMRRLHDVFNVDR LKHHVQNPERFQGRPIPKVTPIILDDSGNELHIVEALLKQRQFNRKKEYLVQWNGLPE HEATRELEGSIKHVSHFKRLLEDSRSIIKAAKSTTGGECNDGKVADQEYSLSDR H257_19076 MVQYRFMALAATAATAVTAKISVQVHRNLEVAKQSNVVVKFHCD EALATHRRQLKGGASRTETIESLVDSLKEHTTTSQASVKSLLANQVESTAVELATTWI DCSMYIDNAPDDLVQKIAALPEVESVYEPVDMELGETKSDDKPASAVNEVIQWGVRKI QAPALWANGIEGDGIVVANIDTGVHYTHESLESNWRREYGWFDPYNKTNQLPDDPAGH GTHVMGIMVGTKGIGVAPKAKWIACKGCKGTCNQRMVVQCAQFLLCPHDKDGNNCDST KAPHVINGSFGKYRRNFWLEEMITKWREVGIIPVFGNGNNGREGCGFPIYPGMSPQVI AVGSTDSSDFLDIDSSLGPSVTKRSKPDISAPGVDIHSAVHSSDDGYLLGSGSSMAAP HVSGAIALYLSANKNATYDQVYKDLTKNVDTDKLTPPNKTCGGITNTQYPNNLFGHGR LNIFKAVAASIPGLTLPPPSPKDSMSAQVLNPTNELTTCGILEDNTHYVGGDFAATYQ ATAESCCAECKKAPGCKLFVWYSRDGGMCRLKKTQGPKVDVKGAKAGVLPAPALVRPP LF H257_19077 MSQGISLLACLEEQRKAKRARFPTARVEGPDIDEDSNSPVYDAY LQTQGAEGIMTLTNFSPSEFNLLWADVRQHIFRHWNVGSGRKCAVCARDLLLMMLASL KHCGTWDIVGQTFRTTVATFEKRVMSFIEVMHPYLLRKYVHGMASKWSMHELAANGTR FEHYPYARYATDVTFQQTNVPVGSYAEKNLYYSGKHHLYGHKVEVSILPNGLAINCTS YHKGSVSDKAIFDDNLDFHFHRTNLTKHPSEMEMTDTGGRVEQWAVL H257_19078 MAAGAISSGFPMKHAVKCKVEAAPLFLQKIYTMFEDSPARIAGW MNKGTTVVIKEPEEFARSILPQYFKHSNFSSFVRQLNFYGFRKFKKDDILIEAHDPTK HWWEFRHDKFVRHLPELMSQIRRKTYSDTAATVHGPADTEVDVLKSQVKSMQSQFDAL TSQIESLANVVSELVQSKKRSCLTKDVMDEGLVEVTEDMHEQPREKRIKMEEALPEMI APPTLTKQHSLMFDQLDDLTFDDALLDPVAWLDGVDFSAPLTCTTPMTPMVQRCANSG PLTFLIALKPQQT H257_19079 MPQSVATKPNFTPQQRTDVCHHLLTLVARDGKLPYGSFRNVAAQ FACNWQTVARLWKRAAYNPGSNTLCQDVASRKKGRAGRKLKYSDLSERIAKIPKTDRT TIQAIAHVINVPKSTLQDYFKRGFLVRHSSSVKPQLTEANKAARLKWAFERLGPDLMV HDMMDYVHVDEKWFYMTRVKKTLYLLPGEEPPHRSTKSKRFITKVMFLSAVARPRWNY NTNEWFDGRIGTWHFTQSVPAQRSSRNRPSWTMVTVPCTVTRDTYRDMLIDNVIPAIK AKWPNDKAARILLQQDNARPHVPLSDERVAAACASDGWAIEVVCQPPNSPDMNTLQER TRCKTIDELIDATLSAWTTVDAMTLNSNFLTLQTCLIEVVRAGGGNNYKIPHMGKKKL AKQGLLPESVECPRDVFNFGHAAIGATDFDAHVDLLAEE H257_19080 MPAKPNGEHAGAPVATPQPQNGHKHLTLEDHRNTGRKPKRTTQE IESAIRGVPHMSRQTLLSLSAASGIPMTTIFQHKKATPRFKSKSSYVKPFLTQGNIEA RLRYALSFIRPLPNGRHCFSDMHEYVNIDEKWFYLTKVKRRYYAYDDEEVAARSVKSK NFITKVLFSN H257_19081 MQTSILRQRVQKGYSLGDRIKLLRAYENSSLSACAICAIEGIAR STWQTWLTKKAKYMATTRNKKLSSLGGQGRPVHMTFGTDLLAYMRKVRGDSHNLTTSH MITWLKTHQPEWLESYLGNKTNDDRAYKCLLAMCQRFAHRHGFAQRVPCFSKLKKAEL QELQMSFSASFWTKYGDQPLRDIVNVDETAVNYDMPPRRIWCEVGETSEVEAKEKHSV RLTA H257_19082 MGRTICYNLLPVFAYMYMLWNPVHKVWWPENHSWMAQWQTAWKH IPEFLKLGVPGMIMTMVEQLAFDAASITVGWLPSAVLSLAVHNVLLTINVTVYSISIG VGTACCIRLGNALGANEPNRARVISNASLAATVGIVLVVAAVFVASHKSVPGLFLNDP DAIEAFQGVVAIFAGFIVVDGLNSTSQSILRGMGQLTIGAIVNAIAFYMVGLPLVGLF AFQFEWGLHGTWLGLSVGLTLGVGAYLVIIYRSDWQPRADEAILRNEAKHTRRLWCSV SAMGLS H257_19083 MPLTVATTSDKWEAPVATTNKRVIVLHHRHGYMSTQNDVISSEE HAGLRRLIANVNTPESNRQGAIPAEYAVNLMQPFGGASASAEASARDKQKAEASSLDK AHSGMSAQKKADRGDIFYEKLIIFKTSHNRIKENAESDNKRLSSKDMSQEVDRGQKAK QIQTVT H257_19084 MWTELFGDQLLVHSTKQSSTVPTSTVLEGKVIVGLYFSAHWCTP CREFTPVLAAMYAKVVALHPEFEIVYISSDQSPGQFDATFDSMPFPALPYVNRDIKAE LVASFNVPWVPFLVFVDAVGNVIERDGRRLFVSAKSVDTVWDSLSNPAMM H257_19085 MVQSQFIALAALAATAVTAATDKISVQVHRNLEIAEQSNIVVKF YCDEALTTHHRRLKSGASRTETIESLVDSLKEHTAKSQASVKSLLANQVKSTAVEVVG TTWIQCSMYINNAPTDLVYKFAALPEVKSIYEPVTMTLSETQSNDKPASAVNDDIAWG VKQIQAPALWANGIEGEGIVVANIDSGVRYTHESLESNWRREYGWFDPYNKTNHLPDD LRGHGTAVMGIMVGTKGIGVAPKAKWIACKWCNNGCNELMMVKCAQFLLCPHNNDGNK CDPSKAPHVINGSFGRHTRNFSLEDIIAKWRAAGIIPVFANGNHGREGCTYSAYPGMS PQVIAVGHTDSNDFLALDSSLGPSILNKTIVKPDISAPGENIRSAGHLGDDSFISQSG TSLAAPHVAGAIALYLSANNGASYDQVYRALTEHADTDTLTPPNKTCGGIPNTQYPNN LFGHGRLNIFEAVAASIRGLILPPPSESTQVLNPTDDLSTCGTLEDNTHYIGGDLASF NLTTVESCCAECKKTPRCKAFVWYTLNGGLCWLKDTQGRKVHVDGAKAGVLPAPASER RP H257_19086 MLAQLDSFFPPPPITLRAFMMALSAFLTHMQAHTTDDSVRRRLA GFWRALIDASARRRGDARDVAGGSMGGVYRAQAVSWPMRWWTWNTTGPRCCAPALVGS LPAQ H257_19087 CNCTCECHAADSDSSYDNDEEGAKTDSADIPPSSEDPRPDADAV LRDRWVTTHQSTTHQSRPDLSTFQLLEAVHGATPTNSWAGGDHTSFVSALAALDSTQP LPLPPSAPSAASLPPDHVHALLYQCRLVQNAFQSPLDEPSPALKTKPGKIETLETLNG CPATAPYFSLYFTSPLTNLAAIDTAPSTLDMAALLSSVERVPCLVDVQAAVLWQDLHH GPFPQFFMSNFPHIPLLQLPTKRFVKLDASMATLSQLQDTTSAPQAHKVATSYVSLYV LSHGRVPWSDLPHAFECVLSTVDLHVLAPPVLLALGKTVSHVHRALANATTTSVSDRV LLGQLGQVLEISPDLFATLDNTHKDDKVEEAIAKPPCPSVPLSLPAPCFPVHPPGKDV PPLKSHQLSANQPANHISTPHDKMDKCQDVVEHIRKHSFGVGLPGSSDTRAFLRVQQD RLERALQRLSAELYSTSTHFVLELLQNADDNAYAPHVAPCAEFVVRDDAISFFCNEIG FQASHVRALCDVGASTKSVGMIGQKGIGFKSVFAVSDCPEIHSNGYHVHFDARARAGT SRS H257_19088 MPYWIPSPDPEFTDQLGTWFHLPKRDSPSSSVIAAGAMLDSLEP STLLFLNQLMSLTITNRVLHTQVVYRKTWTSPDRVDLHTNMGDVQPWHVHGASVDVPA PFASIKGASTRVQMAFPLSFDGSSLPNQPVFAYLPVQSYGFKCILQANFDLPSSREAI LDNEWNQFLLRQFPRLFVDQLVQLLPEFPHLIRMIPVDIAPPFHLMGHAVVRLLQDLP LIQAASGAYVAPRHVLDQIEADHPISDALLWQSCHKHFIHPDFAPLLSPQLKRMLGIL PWNATHMVQLARTIASSTSSSSSRSLTWHAQFMDLVASLNPMAAHLRPLGLFPVKSHR HDDGKSLKSVEDTLFYPLDVVDVPFADELNILHPGYIHALAPKTTRFLHMLGIKQLTT HDMLQFHLLPLVGTSKTTEDDHAKALAFCMHVHIERPLSTPLLQLVRQSVRVVTASGD LTPLSTPHLSLEPPEFAFSALTVVAATSVVPSSSAFSFLQAVGLPVFMSLHRPDGVVP GLPFVLTSIVTNQDIAGATALMQYLDRNWTAQHSATYGSVVTTLQTSPWLPTSTTLLN PTTPAIALKRPTESYLDVPAGLKPYFPSAAVLNREFASVLQIHIGLGVPEYLHLLQLD AVSADTVVACLLELKAHASGHPDVLQSIQAALSAAPILPVNNQRVRLHHTIWKPSTSC PDLVALRPAFPKTLKSFFVQLGVPVKPTVAVALAALESSNIADPRPYLQFVAEQPLDS FPAQLEPIAFLTTTNGAKVSFGSQPLLAEEEPSWWSHMSHTDKLVVISHPENAPFAGF WQFGTSLVQDVQGNPDKWNDLLHEAMMEESPHGSLVSGPIIQAFLCFLLDTWTTPNIV RISHLPTQGGVFEPFESVYATTSSQLRHPRALELPRRYHDILAKLWGLAILPVTTSVI FDSAVPDASLHQRLSDALQAVPPQFNQVDKLQQ H257_19089 MKLVLATNRNVLSAVDTGLPFDQVYFHEPLWARVEKPYRKYLTT IFKLAGHTEVETAIDVVIAFERFYAGVELPKRRLQAAVTPTRLSLSDANASYPLGLGL HLQGFGFDVHKGCNTTTVLLENPNFFDFLEKMLSSLPVDDLKTIIEYKVLDFNAQYLS TPFVTARSDFYSLVSFVQKESPRATICRDQVKTSMGDLLGTYYLKEVWTDEIAARADS LVLKLKAAFKTGLDSAGWLDDTTRANATTKLSKLTSLLGGPKNPKTYPTLTFDPKAYI ANLNKVSAFDIAFDLAQIDTVYDKDIWNTPAHNANAWYQRVTNSIVFPASFLQPPLFD AKVDPSANYAGTGVAIGHEITHGFDNVGRYFDGDGKVNPLWSATVMKTFDEKAKCFVE QYGSMDIKSELTGELFGKVDGKLTLIENIADNGGINTAYRAYRDYVHAVSEATEYTKE TGEKLFWIRYGQCWCEKNSDKYLQFHLTDPHPPRRHRLIRTVQNSVDFAKAFNCPVDS PMNPTKKCVMWEIDTHTQCNTSK H257_19090 MDTDTLDTLGLAPIEAHLKAIRSANSTVEAIFRGATISKATGVL LFMKLVLATNRNVLSAVDTGLPFDQVYFHEPLWARVEKPYRKYLTTIFKLAGHTEVET AIDVVIAFERFYAGVELPKRRLQAAVTPTRLSLSDANASYPLGLGLHLQGFGFDVHKG CNTTTVLLENPNFFDFLEKMLSSLPVDDLKTIIEYKVLDFNAQYLSTPFVTARSDFYS LVSFVQKESPRATICRDQVKTSMGDLLGTYYLKEVWTDEIAARADSLVLKLKAAFKTG LDSAGWLDDTTRANATTKLSKLTSLLGGPKNPKTYPTLTFDPKAYIANLNKVSAFDIA FDLAQIDTVYDKDIWNTPAHNANAWYQRPPLFDAKVDPSANYAGTGVAIGHEITHGFD NVGRYFDGDGKVNPLWSATVMKTFDEKAKCFVEQYGSMDIKSELTGELFGKVDGKLTL IENIADNGGINTAYRAYRDYVHAVSEATEYTKETGEKLFWIRYGQCWCEKNSDKYLQF HLTDPHPPRRHRLIRTVQNSVDFAKAFNCPVDSPMNPTKKCVTYCAE H257_19091 MATPTKVGKSANGTNELDAESVVLYAEVAAKSEYVASGGKQLKS KGWSDLLMRLGGRGNITKASQLQSRWKRLKEDYVDYKWLMLNFSEDGLVGVSEDTWGK LDKHPRSMPLLRFRERPFLHYDAIAEIV H257_19092 MKGEAFKWKEGLCFLRSQDQVWKLCVPNDDVLRAEILSCAHDSS TAAPRPIPKATPVILDESTGEEMYIVEKLLQSTSSIGSAWSSGMDSPKAKPVEKEAYS VVESCKRLNYLLVRPDGFRLLTNHRNIVYMFKPSRSNSKIANLERRLALYMDPDDRIW VPDSAVDLQQRLCVITHQGASGHRRIAATTKSVAANFIWRTLKDDVEKFVRGCLLLVC H257_19093 MLLDGRMVEEFIFRGRCLRVYGQGWFFRDKRLARLDLDAAAANI TPATPSTPPAPSTTSPPASSAKPAKRQKTTTKDSNEWTDVRRKQPAVSTVPHAVHTHG RPWASPNAFAALHERWTFGHAVHRATHSGVSFETIIPEPTPLASAPVHSTTGEYVTCP KPLKGKISHVEVPLDDLIAELHSLETKSSAAVLNHAPVVEAAVVGSDVSLATLVNSGR VDSICTFMGRHPEDFGIQLHRLFADDRPTFELLIRQRLLHRWLRATWGGSASFDQLYT KSFGHKMTRDSVVELFRALQHSDTLEPIVTATD H257_19094 AVEQKTLHELFALTPSTSTRTLRKEEETLARTLVACPDAAIKWP SKAMQARWVAMSNLR H257_19096 MQRLTDEMFTGDTFTQYLPLFNVWAVHVPSVESGIGVGGKPRNT AFELFRDGTELRAVYPNKQQYARDVCKTVGEFACDFPSLIGNDAFYGGLGGEFVIATS SVTSGMVVLRHEMGHNFGKVGEEYDGGYVYTGANSATSINAAPWTHWLTNPDVIREEK AVQRFQKHIWYDLQKGSYQIKFKSNVAFKRWYIQLSVSGADTNDALSITLNGEPLPWT TKGVKDRSFYSWRSSDAGFSAGDHVLNITAGGSFDSPIIKQLCNAVIYEYAGEDEFKL DDNDHIGFYPTWDIKKRLSYRPDNEKCLMRNMTSPQFCAPCQENMWLQFLTRISLSKT SL H257_19097 MPRGQSCRELANDERTAIYHSLLELKVNARVQHGFMKALCEKYS VTRQAISRIWIQGQRSLSAASGIPLATLWKLLKSKAIRRRSSRLKPMLTEHHKAQRMS FVRGFIKSTRDGGHSWHDMLDRVHIDEKWFYITKLNRKYYLWHDEDVPHRKCHSKAHI QKVMFVTAVARPRYDPAQRKMWDGKEAKRTSKNRVRGTPITVPMTVTKDIYRRFIIEH VIPSIRLKWPGHRGNTIYIQKDNARPHVSIRDPDVVAAGALHGWDIRLDSQPPMSPDF NVLDLGFFNAIQSLQHQKMSRCIEDLVAAVHEAYVEMDWKILDKTFMTLQNVMKEAFK ANGDNVYALPHASKDKTRKTS H257_19098 MEEVFARLVGTMEHQQQMIQQLLEAQTQAQAQALANQQAQQENQ LKAQQDMQTALLQCVSSNGDQGRNQVRDTERRVEGLSISAYHGHLNESIGLYIHRVKT FFAAKNLKYETDEAVEARCLAMVVANLQGEAAAWCQELASQGHGFTTLAEFKHGLREQ FEASDLQERLRDRLFALQQKGCKDLVEYIEKFRRVYRDVQEMSELDKVTFFIRGLKLK TCEEVKYRQSKTLSEAIKAALEYERAHSVSLSSMKRPDKPNRWNRTDYGSGQREGHVP RLESQVSTDMEVDNVKMQGSRRKDSSNIRCYNCQKLGHIATECRKPKKKISRQAPPSH QNNLEVQEQVSNDDVERISFGVVKEVNDDKGTVGTVVQVEPEAGKKSPALMIKSGVMN GKYVKVLLDSGATHSLCRVGLGTNVIRNKSVRIAAYDNVWSPMTNTREVMETIK H257_19099 PTTQVPSATSGQSTDEMTFLMLSLSPHLFAEDPHDMISFSATTS SIRRPAGMATYEGLPDLGPLVRPILEQPRGADGTTDFKTAGADLDFRAPITNHFVIPS NTWTIVQNSPIHDPWFIRHLRCTRGTYQRICQNVEVHDSAVTADKKKVSDCGEWESQK RSERQRQWRARRLSSETYAARTAT H257_19100 MHTIPVVERRSGLDAQLASLYPEILTSDPVKVMPVFMALGTKQV WHSGIVEMPRQALPVYLLVYLGLVLIVP H257_19101 MKLKRQGELSYLLGLTGAITLSQAAYAAKVMDVTKSGDRRVGES TEAAKTRLQTDMDKAYGVIIEGIEPSQYQYVEDAETVIAAYKALSDHHEPKSKIDKLA LSSEYHSMSWYIKEETYLSSLNGTRSSCGS H257_19102 MLFMNVAPHFSFVMSVGIDIKVEGIPSTTRTSWSFNFIPMDVST VMVPTFVVTVLVPLATVTDLLQSLTSRRASTSPVMCADAPESTIISLWRTVTRSAATS SALRVG H257_19103 FLFLRHSRAQWPSMPQLLHLPWRLGFRGLTMVVFSARSSWLPRR GGRQLDAQCGAVATASGRADGRWRLLYRSRCCTLSTSARRPRTVQGTQ H257_19104 MEEDTESEVQASQRRKTAFRFKGCTDVELLKEVIHVQPYEAPHG EVRKRWTEVTEHLQRLYGDGITVNATRKRSDDLITAFHANTMAALCASGTDEEYEERE QLLQDIHGLVDAAFIQKQTDKEEKAKQNERRETNGEKIRDAAMSTMKRKSLEVVEVDG CEDAPSSKRQCRGSSQRVGEAGVVVASLVEMVAKANEVKAEEVRARHENNMLAQ H257_19105 MSGAGRARVGHTPVLISSKPHEMTISGQTSVAVVLVVVMVLVNA LDGLCAATLAARLDTTQGEHATLCHFCGQPGHTVAKCPSKPANEAWGFGAEMNLTGTG FVSACHTQYAPYVPENNASSFPSPADGLCSSGQARVKLFTYALKAHAQESRLSGQVCL H257_19106 MLGRLVKQYYALEYNVRLTYLYSAFFCSSCSIILDQVLAGYIYV LTGSNEPVGMVTGIIGLVRMLVTFPAGYASDRFRRDTVLKFAGVLGLICAAISLSAYI SGHMLLLYVAYACWGGYFAIQRPATEALFADSIPNGQREGPMTTKYILMNVVGTLGPI ASIVFFYIYGDSWSLSGLQIVLCGGMIVGIPGLAVLFFFNDDLAYENNRRANNRLSII EDGELSDLDVTPRGKERSLLLQNDSDNEPPELWETANTFYCLGPRHIPFILFVTDFIM YNGAGLSISFFPLFFQNVYGLTPSQVNLLFVIQPALVVLL H257_19108 MVKVLISLSVLAAAATAGSVTELPESVTKLIDYSINPCDDFYQY ACGAWYKDAVIPPDSHSIDPSFTKIYVQNEAVLKKILSDNKPKLGEFYNSCLDTVTLS SLGLTPLEDSFKAIRSANTTLDLLIVAGELVKNGISAFVDIVSRADDNDSTKNALFAK LHFPFCSTMVKVLIALSVLAAAATAGSVTELPESVTKLIDYSINPCDDFYQYACGAWY KDAVIPPDSHSIDPSFTKIYVQNEAVLKKILSDNKPKLGEFYNSCLDTVTLSSLGLTP LEDSFKAIRSANTTLDLLIVAGELVKNGISAFVDIVSRADDNDSTKNALFGYPASLTL RRTYYTIPSEWEIVEADYKVYIASVLQLAGYTAEKAAAAVPLIIRFEQTLAGLALSEL EEKDADVPQYTAFTYSQLDQKYPLLVGSWLKAHGFDIYDQWGGSNDWVGFKDLTYFDK TEELLKNTTLDNLRTIVEYKLIHASSNHLTPEFRTANWNFIGKKIYKEKAEPSREKFC RDDTEDNLGEILGQYFLDEVFSADAAKTADELVKALRSSFSTGIATADWLDNSTRATA QTKLSNFVHFLGGPEKPQLYPTLTFDSKSYLKNRWKVSQVKIDTNLKLNGQSVDRRKF DLYSHHVNAYFSHGKNQIVFPAGILQKPFFDGQFDAAQNFGAIGMIIGHEITHGFDNK GRTFDSHGNLKQWWSNATNDAFTTKAQCISDQYSNFVVKSEVNGTVLGNVSGKITLGE NIADNGGLKTSFRAYHEYLREFPSQYTEEAGNKLFYLSFAQAWCSKNTDTYLRATFKS KYPPERFRVTGALQNNAEFARVFQCPTDSNLNPSKKCLLWE H257_19110 MAQCSALCPVTESTCQGDALKHVGSTSGGDGIPVVTRSIRPFHG MSFGKGQLTDGVMLLPLAKTVRQRQFVLALLATQHVEQPLIPEVRFNLDDTTDANAVL DYRFDVVGIRKLGYYLGLPAVVVARDVQNIWTIPLVYL H257_19111 MNVLFALSTLFAASTTVVAQQEIVGGTEAAVGQHLYVTGFRSTE TGAASCGSSLIAPNVVLTAAHCIGGGRTFVSIGSHYKSGTKDGERIKVKQAIKHPKYN AGTTSYDFAILILEQPSKFPPVQVSFDTVAPGTPSIVRGWGRTSSGGASSEVLLEVGV NSISNDQCAKLLTGFTVNEAMLCAGGKLGEDSCQGDSGGPLTVESNGSVKLVGVVSWG IGCAQQDKPGVYSRISIARDFIEPFITTSPTAVPITTKPSAAPTVSPVTSKTPTAAPI TTNPTVAPITTAKVPTVVPTVAPTTASPSKCNGCSTCFYPTLNYCFPPAYTKTICESY ASLGAFWCGN H257_19112 MSAKTRVDNVHERTDYLFKIIAAITFAKTLMTPCHNTTQVLHYI IRDNLPAWIIKPSTTAAAVTVVRDLLQLPQSTRSVH H257_19113 MNVLFALSTLFAASTTVVAQQEIVGGTEAAVGQHLYVTGFRSTE TGAASCGSSLIAPNVVLTAAHCIGGGRTFVSIGSHYKSGTKDGERIKVKQAIKHPKYN AGTTSYDFAILILEQPSKFPPVQVSFDTVAPGTPSIVRGWGRTSSGGASSEVLLEVGV NSISNDQCAKLLTGFTVNEAMLCAGGKLGEDSCQGDSGGPLTVESNGSVKLVGVVSWG IGCAQQDKPGVYSRISIARDFIEPFITTSPTAVPITTKPSAAPTVSPVTSKTPTAAPI TTNPTAAPITTAKVPTVVPTVAPTTASPSKCNGCSTCFYPTLNYCFPPAYTKTICESY ASLGAFWCGN H257_19114 MKSELKIKAARTQTVSFDTVAPGTPSIVRGWGRTSSGGASSEVL LEVGVNSISNDQCAKLLTGFTVNEAMLCAGGKLGEDSCQGDSGGPLTVESNGSVKLVG VVSWGIGCAQQDKPGVYSRISIARDFIEPFITTSPTAVPITTKPSAAPTVSPVTSKTP TAAPITTNPTVAPITTAKVPTVVPTVAPTTASPSKCNGCSTCFYPTLNYCFPPAYTKT ICESYASLGAFWCGN H257_19115 MTFDTPSEFSIVGISNSTDGERIKVKQAIKHPKYNAGTTSYDFA ILILEKPSKFPPVQVSFDTVALTHPEVSIWTRPAFLLRRRQKKLLSTSKRYLYVLTKH LSPHM H257_19116 MVFNVASMVERLQVQAVSDQHYLEDCLNVFGAVADEDDAVVVTN NPVIDKVLEEGGADSFRTLTNFTPAEFETIWGFVEAPLCARWMDGRGRKPKTTPQDAL FMTLVILKHYQTWDKHAVDFDLKAPTLEKIIMRVIDIASPALYEAFVTMPTMQELMDR GTTFAHYPYAKYATDVKFQPSHRPSGRFGEQKHYYSGKHKLYGLKIEASVSPQGLLVD MSPHEPGSVHDLTVFRNRHDLHVSALSKRPEEVQVNDNGEQFQTHPAMWAVLVDLGYV GLSHTIRAIHPKKRPVRGTLDRADLDRNAAVASHRVIVENFFGRVCLLWKISLSTYVW DHKFFDGIQRLTFALTNFHLGLMPLREDNQHQYRSVLARYARMAEEKRTARAATQRRY VQRRAERLATDMLRSSFAA H257_19117 MTSSSADQGPVRRFLDGDDTGPKDMRLQSTEVVTLHVVDVPADT DDDDHSKQSAKLPHGDKPPPLLWCDGIRGVASQIVVTFHLLFWFKFLEQYADTTWYHT LRAGNAAVEMFLILSGFVLTVRFFARLQVIERAVANGDATTVRLVYVDAYLSMASTGV RRIPRLMGPVVISTVLHIFISLYRGHAIAPLALVEDVLKTLFVTFPPFNLTLWTLRVE LEGSLFTMAVCVMLSKLRYRHRVVACLVALPIFHNRFGNWTGSQSHYFGCFVLGILVS DIINKQQHQLAHGPLPSSVSRHEATVFPRTPPNTVAASMESLGLSFCYMIYHAWQSVH STWSAVRRCIHRLPSRAEHIVTNAAYSLLFLFGSWLFIYRPEYAANYGGVDTLIRLIF EKEHARMLHRLGSVLILYTVCWSAWLQRVFQSRLCRYLGRISFCVYVVHWPIMVLMGD YVKPWAQGQGWKEETGKRLAAAACYVASHAVAHVATVYVDEPYVKWLRALERRLEMNI SPKPFPRHG H257_19118 MQQDAVAVVVVFVANILAYGFRSLLPSTPLTAQAFLLDSIASST TQSSWKLFGVLESTFIATYALSFLVCAHVSRTCRSPLRVLLTGLLAWCGGAVLCGYFV TNKSSFRFQLVLGGRVLSGIGDGMLQALTPSIIERVALEHHRQRWMRLYFAGAAIGTG LGYGVGNVVTAAYGWQWAFYGYTAAMSTVLLLLCGACLSQQWTHRRLPKPAPQESAAT IQADEAVSLLLSPTYIFTSLGAAATLFTLRALATYMPTWWLYARIWPTEQMAATVYGG VVTVTALVAAPLGGYLLTWASRRCVCDASQLRVACRQQLLHVAIGVGSLVGMTTLLEN QGWTLVTLVVGLVSASGALNATSVVVLLSVQNGGRSRAMQTFAVVVHLCGDIPAPVLV GWFMDHPGAATCLNHMTPSQDDGFCIENVQTILFWSVLWMAWTVLCGLLAYGLSIVTP SNSTGDAQHGAYVQETTARQA H257_19119 MSGDIRSLHQHVRQVERERDGCMIELNDLKQTYRTLVVEMDALE GTHRQLNSTRTELGNANAALRHQHVRQVERERDGCMIELNDLKQTYRTLVVEMDALEG THRQLNSTRTELGNANAALRHQLQEVVRERDAAAQQVTDLDMQVQVNLTQIKQLTQQL QQLQDKQLSTVRSHEMLSGALSSQHAVATELAQDRVESAATNSSLNQRLASLQAQLHN SVHDRTELTNQVAQLGLDKQRLEALLASVRSQVATLQMQSTQHQEDKAALVHALNQGV AFSPATTSQRLSTSSRGSNTSRRNAGTSPATSAGSQTMQEAEERCRSLEARLSRQDAT IQVQ H257_19120 MKPSTIILLGLAAMTTSVEAHGRMLTPPHRGYMYTLPQFSFFPS NYDDDGLSAGGIGGTKKGLHGICGDRYSAATPRPHETGGKYGLFPKYGAKAIGGCYAP GAIMDIKYQITANHKGYFEFGLCKLNGKNDAETEACFQTLSQPDGQKQWFLPAGNKIF SLQYQLPSGVTCDGDSHCVLRSWWVGGNNADVGMDGQEQFWNCADIYISNNCGATPPS PSSGPPTQSPSTTTKPNATNTVKPAITTIAPQPTYAPSPSTAKPTSSVPQPTYATTTP PSKPTSRPTPSNPKTTQPSYPTQPPATTSSTDPTKVPSQCGSCANCYYASNNACFIGW SASQCAMQPLFKWCGPSNV H257_19121 VRVADYIRDQNIVLGPLQTDYTGVVAARLPVTAPVEIPSNATMD QLGHIDSMLAQHAVARHRESISQSTDTYRRVRMRLGTMASSPVDIFLSVEDLGRQ H257_19122 MISIDMRWRCVVLVQVYGIETGCVCLLLGISERSVTRFNKMFST TGHVCNTKRRVRVKRWPPEVNSWVSEYAIAHPCFYIEELEEALRLQFPSLNNISASSI CRALMHDRGLTRKVLEKRAREAAEFELRDYYRRLSPYYSYSDQLVFVDETSKDGRDSI RKYAWSKRNQKAFMDLPFFLVVNEFRLWLHLRLQGFCFRNMSMGLLTV H257_19123 MMRALMKRVVRGVRHDVEKSQFVCEVRRNNTAQMLGCLMYNING GNMDLYFTHELRAGRGVARALCDEAFKYARTFKTCTFQTEPLHVRPRHYFTQALLSTS QNKWDSFHGPEW H257_19124 MMRALMKRVVRGVRHDVEKSQFVCEVRRNNTAQMLGCLMYNING GNMDLYFTHELRAGRGVARALCDEAFKYARTFKTCTFQTEPLHVRPRHYFTQALLSTS QNKWDSFHGPEW H257_19125 MPKWGPPEFGVMPCLANTQRVCTLVQELLHGLLRQCKRQCKRHF NQLSMRCNLFSTRTSVSFYPPYFCPVFTLLSESRGIARIINSNVCQHNDLIQELVDNH GAVPAMLPAGLTLGMLKALPSATVNAVLAAYDQVPVGDLAARRCQLGVWFGLKRGFF H257_19125 MPKWGPPEFGVMPCLANTQRVCTLVQELLHGLLRQCKRQCKRHF NQLSMRCNLFSTRTSVSFYPPYFCPVFTLLSESRGIARIINSNVCQHNDLIQELVDNH GAVPAMLPAGLTLGMLKALPSATVNAVLAAYDQVPVGDLAARRCQLGVWFGLKRGFF H257_19126 MAYILAVLTVFAAMAGATVNQTDDRQLQTGSYFCWKSTHTRGVG GVPLTCPAGEERLGLLCYNKCPVGTTRVGLDCHSICPAGFADQGLFCRYSEYGRGVGY PWKFGDWLDNSGMTSAKTGGGLCTPSVIPGYTSFGCCLCRPDIPDCEALGLGGRVDLS CAKKITIGTPKLPTCAANEEYDAGLCYPKCKPNYTGVGPVCWGRPPPSWVQCGMGAAK TSVHCGIVIKDQLVSVGILAFNIVTGFAGSAVKGAADASRVAQLSKAWLNAISSRPWL KKALDLYAKTNGFSVGTLTIYHSQDANFTTEDYVRTAAVIVSLFEPTGVASVAAAYTY PTCDKVAAFIPANNEQ H257_19127 HELDLDAVAKEIASLKMDTKIRDAESRVGRLLADFYEKLEQLDV AHQSEQGPKQSVKILMATIRPVQLKATVERQLTREVNKAYKTDVKAFCRRLVSLLDNF MMFESQLFVSDPKNNPNRQRLYGDKQLRQQRPRKRNLARNPGLQQGLHLEDHKVLNCP KCLPGEAQRRLDQRFKKPQVAAVAVPDRRGEVKPKVVGAAVDMDEATVVPVLRTLECA INGLKATTLLDSGADQFALSLTFVSRLETTGNFTSAVRQLDTAIVLGGFLEGMKLQVD RDCEPRRDGEARILSAFVVTECAGAQSVYDLDRLGDKETPLMAAMKVVETKEKPPLSP EEVSFNPDEERDTDQEVVRAKLKEKISECRAAGCGEEFATTL H257_19128 MALLTTSCHQIANFPFVRYGTDVTFQQTNTLSGSYAEKKTYFED YGHKVEVSVLPNGFAIHSTAHYKGSVSDKAIFDDNSFTCLPYQATEDRIADHGDEGTN QWAVIADKVYQGIQRVVRVVLPKKKPAGGILTLEDIRSNDRIASDV H257_19129 MQANLGLLVRGLLLLAFVTTTASLTPPVLEKRPKNVPFGKVPGE NRGKQPMEPVIYLEDPYYYVRDDNRSNTEILDHLKAENAYTKAALSHLDSLQDELYKE LLSHVQETDQNVPYPHGDFVYYSGTEEGKAYRIHYRKPRHGDGAEEILLDVNKLAEGH AQCSVRRVEVSPDHKLLAYSVDFTGYETYDIYIKDLATNTINKAVEGCDGRIVWGRDA ETLFYVTKDAAQRSHKVWSHLVGAPQSDDTTLFTEDDEIFSAGVFKTSSGRFLVIPTS SKETSEVRVLDLHDPQPSLAVVAPRVQ H257_19130 MQLLVVWGFFVSVIWSFWFLKRWRRENSAVNFTWKNDLHTQFLL YPNPHFVGTERLNPVTNEVEVVYAWWKRVPVYLFVTVFMVVQIAIMMLFIAAWITTYE TFQIRFPNTGFGGVQWLSVLGGGIVFGLFVDVVQWELVVKPVAQLCTQWENWRTTEQF ERSLIRKLFCMDFLNYYTWFFLLAFVYVVPGAGDTITNALNTVLFQDEANCCFGPYLD QWASICSSCPPPWHSMASSDFNPRQCNPCKGYVTFDLQHLNLESLFVTPIVVAQALNL LIQLLVPWALRRRHVALRKRTDHQALAFLTTQDARKRDAAILAALEYGPPDISMHPLL LPPHSRPPHATVTARLASDSKAKDLQVDVQAWDALHRVAREVLFQCNQDKYDPYDDYH TAMVQFGFVVMFSMLWPLMPLCCFCINALKYRGDGYRLCTKMQRPLPQKAGGIGEWYT MFVILACVGVLVYTGLVFVSTGAVEFFVPRCVARIDLDTFRFGPSFECFDMSTRLVMI LVSENVLFVGAWLFWSSWRSVPKSLDDQLVAAESAFKRALYEADSGSTSSSTSSSTKK KKKGGHETNGRTSKQGGPTEASPLLEQSHKALAKQWDP H257_19131 MAILTCTRVSLVLLAAGVVACFAYIGALKPPYWDQEPPAFNTAA CAACANKTGSPCPNVFALGSPDINDGVVCSQVTSTCCCPYKDPNFGSVRCANMYTMTT PTCKCRYERQCGENCFLNEGLVPLFIGLVGCALIFCIGVGSLCHHMCKSKQAPSQQPG VGPDCT H257_19132 RPNIFSDYSLCPEHCSKHRGVKLCKRHACQSGGLCLKHGEGQRF SIPQCSNTVKSKRLCKKHGGGLRCQLDGCNKSSQGKGFCRNHGGGQRCAVPHSTKGTQ RGHYCSRHGGYPKCIVDGCLRENRGGGRCAKHRNETLPSPPKSEVLAELVSL H257_19133 MVEVYFILDKKVLLPADKLNDMAASGRTKHFVPLADGGVHLTLH VTTSVPASTSMLQQLTANLRGAPVHQVSILVVVSSVQYGTTPESDYTTTTTTTAPTRA LGGVNCVR H257_19134 MILDSIILGQLTLDHPPNFIKQILPPDEIAIFSDQMRVHYGYLQ VPLKASMKISPDVTSPALGRQFFPANPKTYTAAVTECDKFRGDLHSCHIHPEGRKLIV LFNSKVKAAHWRDRLIPLRGQPTTLRHYRRPEDPLTALDTAATEQSMVYSFRLLHVPT NIKALQIWELLTALDIDIRSIDQAQNLGSGESDVNRFLVVTGTPHIPSSLAGRTRIAI GTVKVGIYHFQDSGNMPYRKCAALDHPVERCNNPNVQSARIILMPPDMWITPKSCAVH GLASFADWRLKAQPFVAPKTISTQTAAMAATHEAGSQATMILHLTHRPTRSKPACIPR PTVH H257_19135 MKSVRFAIDSDDTTPSAPAPSTASTSTMARQRQNKPSCGPVRSW SLPPLCSSGDESSMPRSGNDNSSRPLVAPKHAPRTTSGGSPGMKGSPGGVERDIEKTT SDEATRLKTYAERQEILKACAEERKWQVEAKLKALHAPKTQAYKDQMARRQAEETIWL ANEWAKAETEEAVELELAKALWAKVETYLMKLEERRRLMEAELAAMRADKKRGMALE H257_19136 MAQPTHSGCAPEPNFAGSTCSTYGLPRPTIPDPAIFIASILQGA QLPPAPWLTSSANWSTHGIAQDHLGHQAWQLVRALTLRQLWNDWCAAYHNNILLLPEN GVTVVE H257_19137 MKSTAFLTPVALIMAMTVQDASAHGRLLVPPHRGYMGKLPQFSA LVPTNFEDHGLNAGGIGQTRGGNHGICGDRYSGKRLHETGGKYGKFPQHREKVIGACY APGSTMDLQVQITANHKGYFEFGLCKLNSLDDKETEDCFKTLVQPNGEKDWQLPAGAK TFNMQYMLPDGVSCDGDSHCVLRWHYVGWNNPDVGIDGQEQFWNCADIYVSNTCGSSP SPSSSQSTPSTSTPSTSKPAMTNDPKPTAPSSSTDTPVTTDTPPSTSGAPQPVDPQCG GCTNCYFAGNNGCFIGWNKEQCDST H257_19138 MDNFMLFESQLVAMYPKPEPNRPRQYGEKPRRQLPPKKADSGAP ARAPAMTTTSAPYARGSLKCGSEDHKVLSARSASPEKHSDSWISGSRNRMSLMWPPDK KTDKKTKFISVAVAANDLVEARAHRTLDCDINGLKVTTLLDGGADQSVLSPTFLSRLE ETGNFTSPVRQLDDAMELGGFMEGMKLDVDRDVKLRLTFETGW H257_19139 MAYLHTLLTLLTRGRVGLLQEELGLLLYHIADVDMPSFFHECLP QFVGDGGADSLQCWTGQVDEPTFVKELGHFLIDFRVGHARQFQNDSFTHFSKCRHCTG PPFSVTIHEDNTIDNLKEKIAIKTMYQFPAYSLELVMAKDGAGFSCEAAPAVTLDELQ DVQRFKAMRPALSMKKAFGGTFPSNEEEVYVFAVAPKWRLFCVVVGGIGPPFPVTIRE DETVGELKEKIAIKTMYQFPAYSLELVMAKDGAGFSCEAAPAVTLDELQDVQRFKAMR PALSMKKAFGGTFPSNEEEVYVFAVAPKWRLFCVVVGGIGPPFPVTIREDETVGELKE KIAIKTMYQFPAYSLELVMAKDGAGFYCEAAPAVTLGELQDVQRFKAMGPALSIKKAF GGTIPSNEGEVYVFAVAPDQPAASTAASLVEYKKHKRNEDESSMSISRLSLPKIAQLG YSTKGWTLPPVDGVPR H257_19140 MAKDGAGFSCEAAPAVTLDELQDVQRFKAMRPALSIKKAFGGTF PSNEEEVYVFAVAPKWRLFCVVVGGIGPPFPVTIREDETVGELKEKIAIKTMYQFPAY SLELVMAKDGAGFSCEAAPAVTLDELQDVQRFKAMRPALSMKKAFGGTFPSNEEEVYV FAVAPKYAVPAPNRQLFCVVVGGTGPPFPVTIREDETVGELKERIAIKTMYQFPAYSV ELVMAKDGAGFYCEAAPAVTLGELQDVQRFKAMGPALSIKKAFGGTIPSNEGEVYVFA VAPDQPAASTAASLVEYKKHKRNEDESSMSISRLSLPKIAQLGYSTKGWTLPPVDGVP RCKDYVAYMLQDFVPLMVSTTVRFGLSTPVGESRRRLTGAPDLYVLPSVCADTCGRTE VVMVIELKKHDTLSDANIAQTVGYLIAAHTLFDKQAYRPTPVGVLTNLRDEWHLFWVD PNGEVCMMTRDSNLQKLTRETAWQYIRKHCEYVSSVLQQELAAGAPVLDDLQALKLFP VFGDTNTMAGQAKKFAPLEYEDNMADVLETEEEVLLYQMSKRLQRTTAFDMPYAAMYS H257_19141 MESTASPTSSASNPERRHTYPIALKLRAIEMLRSMPYRQVAAEL SVPSRTVRNWQRVEDQLLAYKGNKKTTNLPGAGRPTILPQPVGLLAFMDARQTQEWVT QYMERQKTGRGYDHLLKLLQEFYHRNGYTHQQACRAKKVLSDLESTRTDQQVSIPPPL CKQIVVALERLQSPHNIFHFVAFKSQVRMAYLHTLLTLLTRGRVGLLQEELGLLQFRI DCL H257_19142 MLRSMPYRQVAAELSVPSRTVRNWQRVEDQLLAYKGNKKTTNLP GAGRPTILPQPVGLLAFMDARQTQEWVTQYMERQKTGRGYDHLLKLLQEFYHRNGYTH QQACRAKKVLSDLESTRTDQVMGCIVIHMGE H257_19143 MGENPDENSLVTRGRRLIKESETRTEAYILRSTYEDRGAQETSK GKACWTKTTDIEDQVEILEDSPRGPVCDEKEKKPDEAAAPTREQGTSATCGEETRKFP RSAEETCQSGSMPATWGGETFDSPQLAEETCQRGKIPEMCGSEGTTTSEKYPEA H257_19144 MAAQQETLQNDRFYTLHDVVAQTQSEQQIQSEQPGNQGSSSLGV HGTSARTNGSVGPRFPSSDLRATYTWFRHQRHGVLLVWQAWASQPRMSTAKPNLVNYP ANCGGHPGYGCLRFSRQLAGDQDQAAGRGVYLIEPNEITSDDEDTQLTES H257_19145 MKSAELERTNTALHEIQAAGLVRSECGNCPVLQEEIQVLQRRIR ALETTVINEVNRTEGLEASLAEAGNSLRVSEQCTPEAVEQLDHERVQFQLALQEQRES RLDLEWTTEDRVRAMITERKSKGAEEVLLERTRVEAERGQFTLERAANEEVLARISEG LANQDAIMRLLEDAEEQLRSDRENHEAMLAQAYAQLGRQQTNLEIEKRNATLKSQAVR IRPSPILTPYSSPSASRKGSPTKGASSTYESATMAPGSVSVVSTPRRAFDYLERPHFM QQTETRQSRSPRRCNHHCISTRWSYHPFQWLRLRRHVQSTVGLVKRGEGLSICRNRGV RASTTGPLDTSRQIKEILADNQAKDPAEGLEGTLPMIPTALGDTIKVAMGGFLDDTED HHLDLREEGVTMEAMTDARKYAPKLDSADRTIPLEHFLPRFNELLEEYDITETQLVRI FDDRLTSSGQITDAARDAGLQSDRAVVMLINGCDDSKVSNCLQGAATRPESIEDSLEY LRYRDRYHGSDNSHEVEPRDTTSLGGPDR H257_19146 DPMLFFDDVYSTKRFKLLYAAMSYQFRHKTLTEILYQEHLLFVD STSTLRSQVRK H257_19147 MNAARELQAPVQSSRLNAIQPLYADEEANEVDDEALIMRLYKED ALAVASVRLQIYIVRHLGGDPVAILGELRRRFAAEIQHHEDQVSAVEIHSNVHDDMST EELAPSGTGTPETSGAAMLSDVAGVEAVKEAAAAAKPGRRLG H257_19148 MLAIPDFNAGAMENWGVVTYREMRLLIDDQASSLAQKTATARTV CHELAHQWFGNLVTMEWWTELWLNEGFARFMEFEAVHDIFPEWNVWGSFVQDITLATA MKKDAMESSHPIEVVVHHPDEVDQIFDVISYAKGASVIRMLANFIGIDKFYVGMHNYL TNFAYGNAKTVDLWHALEAASGLEITAMAHTWTTQMGFPVVTVTKDGSIVTLEQQRFL ANGSTDAVSKWDVPITFTTPTNGVQNAGIWTASQPSIALDVGAAPWVKVNAAQTGFYL VNYPSDLWTSLKAPVAALALDTVDRVSLLHSIFVLARAGLVLTTDALQFSQAYANEPE YLVWKELSENLAVYLRLFKHESWFPSFQAYIQQLYAAVMSQLTWDARPTDQDLTSNFR RDVIAILAAANDPAVVAEASARFHAAVAAPASLSADLRSIVYSIHVRKTSEPDAAFAH LLNVYETSDFIEEKLHVLGALGRFPSVQLKTRALEWAVAGGVRSQDIHSVFGSVAADG STVAWEYVQAKWDALSAQYSQIVVGRILCVSIANFQTEQAAAAVEAFLVGRPQGAFAR PLASVLENIRTGAAMYARDVTPLAAWIQTL H257_19149 QLRKCCGHPYLFEGQEDRSLPPLGDHVVDNCGKMILMDKLLKRL KARGSRVLIFSQMTRVLDIMEDFCRMRAYGYCRIDGNTSYDDRESSIEDYNAPNSSKF IFLLSTRAGGLGINLYTADIVILYDSDWNPQADLQAQDRAHRIGQKKEVNVYRFVTAN SVEEKIIERAQQKLKLDAMVVQQGRLQEKQKNLTKNDMLDMIRFGADEVFRATDDSMI TDEDIDAILAKGEARTEEMNSKLQAHDKGDLLNFKLDGGGCQVIDGVDYSKEKERMEE IKRLADLEFARTLADGMGKRERRTVIKADEPSAFKIKSKMKQLPKAMRLPRMDEWQFY NRRRMTEIHDIEVSAYELAKASGDAVDSTSMYLSPALQAEKEHLIQTAFGDWNKPHFF LFVKLLARYGRSNLAAIAREMVKPYDEVARYADTFFTRGSELTDWDKIRKSIEKGESK LLEIQRLADQTALKIKRYANPYDDLVINYQGKAGWT H257_19150 MAENMTRAAEAHELHRQGVFNEALTYLRDGLARELEVARAQMTN EANEAFHVEVKKNENEWTSRMQDLQERLTSEWESQKALILRERILR H257_19151 MEVRHMEETIHHESALNQLREDLRSAKRAHVEDVQLLAGESRKD IATSESVYEARDEQRAQELASSRAEVLMKSAELERTNTALHEIQAAGLVRSECGSCPV LQEEIQVLQRRIRALETTVSNEVNRTEGLEASLAEAGNSLRVSEQCTREAVEQLDHER VQFQLALQEQQKSRLDLERTTEDRVRVMITERESKGAEEVLLERTRVEAERGQLTLER AANEEVLARIFEGLANQDANMRLLEDAEEQLRSDRENHEAMLAQAYAQLGRQ H257_19152 MAPGSVSVVSTPRRAFDYLERPHFMQQTETITESTSMQPPLYHY PVVVPPVSVAPPPATRPEYSMFDTPRQIKEILADNQAKDPAEGLEETLPMIPTDLGDT IKVAMGGFLDDTEDHHLDLQEEGVTMEAMTDARKDADAPKLYSVDRTIPLEHFLARFD ELLEEYDITETQLVRIFDDRLTSSGVRSVQDWWARRCRDSEQSSWSAAREAFRKEFIQ KTMSRKMSEITENARRKRTETVRDYAWRIADAARDAGLQSDRAVVMLINGCDDSQVSN CLRGAATRPESIEDSLEYLRYRDVDLDRRLDGLRSTVRSVNGETTAPTTPTRSNRGTP RASAAPISDIQELHATLSQMRQEMSTMAAQQETLQNDRFSTLHDVVAQIQSEQQIQSE QPGVNTPVQPPHPTKGHHPSECTVPQPERTGVSDQGFRRQSYGRSTRGSRTSGMECYS CGKLGHLSRECPQRNRTSLTTPQIVAAIQAMDQAAGRGVYLIEPNEITSDDEDTRLIE SESDPGSNGLGAQITQRSQFTTEFRHEETRLTTMGENPDENFLVKTKGRRLIKESETR TEAYISKGEACWTTTTDNKDRVEIQEDSPRGPVCDEKEKKPDEAAAPTRVQGTSATCG EETRKFPLSAEEACQSGSMPATCGGETLNNPQLAEETCQRGKIPGMIQIGRREGASEE VETGQDKDNVANGARRLHENTAEGARKTNYNAADGARKTKQNTAEGARKTNGAPADEA VPNKMEDAINDSVPQDPVKVAQVKVVEPFPRVAVRQVKDPQSMSGEKGQLPAEKLPRE WNNSPPVFSPEKIEAIVRGDFDGLADARHVDIEDRLDQDQAAGRGVYLIEPNEITSDD EDTRLIESESDPGSNGLGAQSTQPSQFTTEFRPEETRLTTMGENPDENSLVKTQGRRL IKESEARTEAYILRSPYEDRGAQETSKGEACWTTTTDNKDRVEIQEDSPRGPVCDEKE KKPDEAAAPTRVQGTSATCGEETRKFPRSAEEACQSGSMPATCGGETLNNPQLAEETC QRGKIPGMIQIGRREGASEEVETGQDKDNVANGARRLHENTAEGARKTNYNTADGARK TKQNTAEGARETNGAPADEAVPNKMENAINDSVPQDPVKVAQVKVVEPFPRVAVRQVK DPQSMSGEKGQLPAEKLPREWNNSPPVFSPEKIEAIVRGDFDGLADARHVDIENRLYP ITQDELKAQHQLILTTLADG H257_19153 MKTVVCLVEGKICRIDVGESKTVADIIIMLARQINYPLPAYCIK VYLMKRNGKWLKTGSPEAQQLMRLSIPFSVRATIILHGAIRLSSVNDPAFGFLDTEDV GDDDIHVLVRNVTLKVAHRRMHEFGWYGVPYHVTALRWLRKRLLSTNVGP H257_19154 MLQGSTKPIYVEIATEQPVWRLMEQVRSHLGFACPTHELHLHVA KDTEGRWLDMAHYALHVAKQVQPDRVNSIMQSLPMAPTAIIRVAPSPVFGDAPALVAN RADPQGVVAAPVPSNVERLPSAAEGEHVVDAAMLPPCGSYGGVHDLDAGAEIADRNND EPPRGILERFISVRDSILPFFRSATPPRARDPIPPNDVFMPVVPGANHIHVLVAFPSS LDVVLRYERDGVNLRLSSSNRAHLLKQLLVPRFQRRVFVRLQRLAVGVSIRPGFGDVV EVSLRIPGEGSATSLAVRRSEP H257_19155 MKTVVCLVEGKICRIDVEESKTVADIIIMLARQTNSPLPSYCME VYLMKRNGKWLKTGSPEAQQLMRLSIPFSVRATIILHGAIRLSSVNDPAFGFLDTEDV GDDDIHVLVRSVTLKMNLGGMEYQIMSRH H257_19156 MPAIVAGELLAQGGMSGSSQLITGAFHQGLSQLRESILRDLQQP ELSVDPMVPAESTKRIINALVKHSDKTAYIISQLDPNDSSAEFLACYKKFAVSMSIPT SNDEWEARRMDEMSYLTLYRSFSTSSVPP H257_19157 MSRSVSASSIMLNHIVWEGDCLVIRFGRLKNDQEGKYCAPRNQE NGPVLLFGHNAKERFAKWLSVICSASKDTILSMGLNITGIGTHSLRKGVATALTNTPG GPQAVSVWLRAGWSLGGARVDTFSLARVVINL H257_19159 MNARKPRTKSKNFSSEETSRLLDLVEELKPFGGNMWERVAFEYN RTAPSAWPERDGVSLKRRFQGLNNKTKPTGTSYCPPDVDRAKRIYLAIESKVGAIELH DQEEYGDAASDPSEGGQDDMDEVDDVCVEDLVVTPRLGKDAADFVTAIKVEKNKPTLS MAAQRRSSLDNSIAKLAAATDVAQAADPFLSIWIKMEGDARARDFEWKKEQAELRRQE FLEREESKKEQAEQRRRDDETRRHELKDREEREREAHKREQQHELVMMSFMAKLLGKS N H257_19160 MSRPLIDLLKKQGVLAPGVCVAADTAFPVKNGNYSIVTPLKSGD HEAVTRTSNAITSLRQAAEWGMGSAPNVYRALALPLPYNPSIRARRLSTIYRLYNFRF VLLFTLVSFAFALLTVLQLVTSCFVITTTLLGLLLLRFFALQKFLAAQFGLLLLPLEI PSTSITFHFYPN H257_19161 RTGQCALEPPSTATDLQQFLCATRVRASIPQYTKLVAPLTRLID IATDSRKKPALTRVALNSVGWA H257_19162 MVVNGEYEGIVQRDAPVTHGVAYGITASPFGIEPTTARSSGRIC PNDSAYWPPGDSSISETTRHVTELAEALCAMHKTVVATVDVQCAKARTQRNGKRSVKW FSDHRLEVQQLVPPSETSLHHTCRLRLYCKGARDIDEDLKAQIPFGNESFYIEALQDL CLSDGA H257_19163 MARRASLQGSQAAPPLTSRNISQGLALLTNLDQQRQPREHDTQP YARKNPMKTLTPQAQSTMPSSTTKDLMHWNTGSGRKSEVTGRDLLLMMLTSLKHCGSW DIVAAVFKQKSATFQKRVLIYIGFLHPFFMRKYVAVPAEKWTMSQLAANGDRFTNYPY ARYATDVTFQQTNVPAGSYAEKKSYGHKVEVSVLLNGLAINCTKHYKGSVADKSIFDD NLEFHANGLAKQGDDGRLDDPERVGGARQWAVLVDKGYQGIQRE H257_19164 MSSIQNAGLGVFAAVDLVPGDVVTAYDGQLVPDAPTNHLYALQI TGASKPMWIDGLRELERGKGIGSFVNRASREHHCYMNCDYKEFAESAYIVITKKIKAN SELFTVYSRGYRF H257_19165 MKFALLFALTVAVAALAQDQIVPAKVAWGDEVLDDGFEIVGGQE AQFGKHLYVTGVKAASVNETVCGGSLIAPNIVLTAAHCLSYPLVSVVVGTHYLTGFAD GEQATIIQKFKHPKSSVDLGILILDHDISITQPVAVSFDFVEADVLTWVRGWGYVKGV GPQSQVLKEVSLKTWNNTRTSAALLPVPVTDTMLGAGGVEGEDTCTGDSGGPLTTEEN GDVRLVGVASWGIKCGELDIPGIYARVSAARDFIKPYLPK H257_19167 MDIWKFQFDLKQNPLIPIRPASVKELLKQKKMAVVQKENTEFAD RGRGTMADCVDPAALRQISDKFFMDGIEQGLKHRADNLMSLALCTRGDNLRRLTLSEI GLVSFEGEGVNGASLFRCVWRKSKRNQYGNVEQTTFMRHKD H257_19168 MDNNPTVIANHLRTLKFTEESCIDTLAVELTDLVKRYRVSMTPP SFNLLDASAISSIDYDTHIWNYHTLCAMSGTFINDKELWEVVTNYVSTARAAGTPVVV DDVWVSLRRILTNRLQRASALGDHGSVATIQSRSQFAAVTHAVAVPAPPPTAISQPDG SYHVLNAFTIPAYAHHDCVKIPGKSCFYCGVANHTLPVCPTLKSDIDRNTMQAQTRTS TIRRALPIDVVTTAMIAEGQVARVVSVAVVAQVVMIVTVVVVITVATVDMDEMIVAMG VALVVETAAVIGRDNRGK H257_19169 ATFSSTFHVPRSIMENRRPSARTSRVGSHVRFENDCVTTLDAFR YIDRGCRAEADMFYSEAINCFVNAGECLLLVSERDGDDISPMLLAKAQEVIGWAENLS LWLEGGRSGPLPSRKCRGIQVPFAMEYAGGDHYEEAAEMSYTPVASVNPIHFTSDGYR LQCIGRGRKPTMMIVITMYNEDAEELATTLRKVCNNVANIQKNALPGYKGDDAWKNIV VCIVSDGRTKANPSTLALLRELGLFNEDVMTIFSTGANTTMHLFERTLRLGKDKKQVK LYHPSSTSTEKSYPPLQALFALKEANAGKLNSHLWFFNAFCNQVDPDYNVLLDVGTLP TKSAFYKLLSTLEMKHDVGGVCGEIAVSCPIPNLWNFVIATQHFEYKVSNLLDKATES CFGFVSVLPGAFSAYRFCAIKGAPLNAYFKSLTTDMADLGPFYGNMYLAEDRILCFEL LARTNGAWKLKYIKDAIARTDVPSTLVDLMNQRRRWLNGSFFAMLYSIVQWGRLYTHT NHSVLTKIGFLIQYFQLIIQLMFGWFMVATFYLSVYYTVFTSLKKNKLGFVQTEEWYD DHHSIAMSLFNIMYAMLIMVQLIFGLGNKPQHVKNLYTVLSVAYAVVVITGVFFAFAS IASGGNISIFNICLIVATLGVYFVAALVHCELHHVLFTIVQYLVMLPSTINILMIYAF CNLQDLSWGTKGLTDSSGHGGDGASIVDKASSGSYKDLVAARKLKEAEAKQDAKKAND VKKNFEAFRSNLLMFWLLSNAALIIVCIYFVGANVFLPSLFLFIALFNVTRLIGSVSF VVLTAWDFILLCICLRSGSLEKRRTNNRHHRAANADEDNAAAYGHLGSSKVDGGMPSI VLK H257_19170 MSKRLAISLDVTSIMASQSGSNVHGLRASLHAGMEHTWANKASF MEIWSHLSTGDEKFAVKSPHSAWHSAGATRPSPRAFSISTCSVSLQGFNGFDSTISAW TYRVSVARSRTAARTRMMEDAVGRRRIV H257_19171 CCTTILETILSRDMHAAKRSRPADTCTAPPLQPDSINAAKPRVR DHAAHTPLVAVAANSDGDTSQMDESVLEAMEKTLLRCIQFVLGSIDPVQARNVAQCVL HVCRRLPVLCPLAQALEANADVQKLLQHADSSSSMELSVHTSSRWQWLWPFGSRTPVG SPRGGAMHHMSAFDCDQSGDADTDDEDDTSNIGWAVRYMQREAKLPQEVHRRMQFMMH TQVPLNLFRVEVKYAENEDLDGAVVGNVSPESFPPTPIGREYHTQRATHYTVAVLDRA NQALLHLNACTLTDH H257_19172 MMRLMCLAALFVVNVLLAVTSSVALASIPRNGWYGCPLSTFELK PPIGAYNPTAYSRFKEEKNRISRVFTQCAVFDMPFCHNDTSCTPAPGKTMPFFVKWMP ASVEGSKKALIMLEGGPGWSSVSLEPFMDKLHRELSGTGNNQVYSNWDDDAKDVSQHF LDLCQKDTAVRGKLLGENATATLFKLYETLDANASACSAIFYDTLENKPSISLRQFFF KLLGHYDRRKYIPTFAARLERCNAQDKDVMTAILTENHPAEPSKVLDSEIIQDTIVFS EVWQVPTPSMEDIKQQFLASPMALNDPDKGRELLPLHGLQLVRVRAYFNKTAAIPIGV SVLGLTGNLDPLTPSKHARRHFNNMKGDNKKLVEFPVAVHGVIGNTPLSENHTDPHCG LLVVADFLLANGALDAINLTCMDKVQPLNFDIPDALALELFDLDGGAMHGKMHTPAQG AKDYKSNYRVVGLGLNALLKHREAKATRGKYAVYEDACTGIAS H257_19173 MHDEDFMTKCGEWLHMQPPSERSPERFQRHLNNDVIPLLTGAVK ANVSESTARRWMQQVGYRYGLWKKNVYTDGHGRDDVKSYRETFCKSFHGFATRMRYYC GDGMATVEHPRTTNESEIVWVAHDESVFCANDDGGKDFLCPCHGRLYNMVNGVKKYTT QTLHVGSNNEGYWTCEYMIKQIQEEVVAAFNEMHPGGKGYITFDQSTNHAAFAPDALR ASNTSLKPGSAQTLLRPGRLLDGTTQSMVFEKQVLLELGYDVKLLKLSLFCKQTHLNT CQSDVRMCCARHCKASQKDFRAQLSLLEETIISAGHICAFLPKYHCKLNPIESFWGAP SATRSHCDYSFEGLKTCVPQSLDFVPLTSIRKVFRRCAHFIEAYSFEYDYELTRFAHT KYKSHR H257_19174 MGRRTRRSNAKRAQARQNGVYGVQQAEIVAIPTTSNAIEPEEGA DLSFFGGWWETNIAQPMNTKRLRPFVYTGDSDRTVLHKYAENSQALISCPMKTISSYF VSTCPKDTAQLKA H257_19176 MMRLMCLAVLFVVNVLLAVTSSVALASIPRNGWLRQFFFKLLGR YDRRKFIPTFAARLERCNAQDKDVMTSILTKNDPAGPSEGLHSNLLQDTIVYSEVWQV PTPSMEDIVQQFFASPMALNDPDRVGCYCLFTGTNSSECAPWVDMNVPPWTYTPDKYF NKTAAIPIGVSVLGLTGNLDPVTTSKHARRHFNNMKGDNKKLVEFPVAVHGVIGNTPM SDNHTDPHCGLLVVADFLLANGALDAINLTCMDKVQPLNFDIPDALALELFDLDGGAM DGKIHPPAQGAKDYNLTVVGLGLNALLKHREAKATRGKYAVYEDACTGGAS H257_19177 MDPFAVVNRLQQDIEDENINLEEMSQVYRKHTQQVEDTNDSPKP LIDRFYLQGGNVSLTTMMNLTLSEFESIWAVVESSMETTWTLGCGHKSTTSPKDALDI EMKAPTFEKMIHGVIGVVESVL H257_19178 MESEAGVPDYDLKVFLSPTTLGISPTVSKPNSKLLLKKLARPFH VDNISKEALNSLVNEFCAQTLEDMQYGDDFLQAIQAQPSFFRPALTNPRMEDYWVSKA TSHALCRLLNNSKPDAFKVDGKNLPIAQVLDDYCSLFEDTMGDTLSPAAALDRLCSQE TPAFTGQQHIQLALWDLFAMISAPSIYFDPVKVAHATQTLNLVSLDCTKLLLWSDDTL SDWVTSDLGKVMLSSATMRPFSAAFEALASTSVCSSP H257_19179 MLNVAIVDQGEFNDEEHESKCYDKLNPDEQVVVVREIVEYTITV IRGFVPIVMIAIIRWKPTHRRCYMACGYDVLTPH H257_19180 MANVMLTTSMIGAMQNVMSHRGRGGSTGLTAWDTDVHALREVGL LIHEDREGPVNLIHVPSATHSVACLTTRSISCGTAETTAISERSKHIEVRHHWIRQVI VAGRPSVHHVGRADQLADALTEIPTRHSIDNFKTPRSPQSGSRNQKVDQSRRVVCSFY WHFSSSENVVSTGNGWSGAPTHRLSRVQYAPTMSLPFAAYPPEIAAVQRLGQHLGNQV QAAVHEQSARLDDAQNAVGAQTRHTYEQMVHLHHQ H257_19182 MAASFSGITKVQMRKFMDQYEAYAREVNIANAKRPVGAHIQRTP LSACIDPLSVERIAYWEIGKASDELTEEDWKVFFLGAKHYDALDMSKLVAAMAKLKMD TTVQSAESRVSKLV H257_19184 HKSSAIKPPTAKCVQPPTDCTRMSSTATLQSTAPHRPAMSVSTE LNHANDSTARSSLPMALVMMALFSSETKAGTMPLQATILQNLRGKKAMGVFNLPKYFW QFPLHPDSWDMLLLFMLNECVYTPDWVMQELVDSAHIDDIFVYADTVEEYVDVLESFF DRVAQYGFKLSPAKTILLTD H257_19185 EVGHEVVCVDLDTGVVVQGELPVDLPSPVWKTLHATLRQCVKLH VTKSDHVFSHSFERQPREFPQRRVRLAFHDAVLAVIGSEDVATSKGGVEDVHFGRFRY LWDDQYQDKMVFFDEAGYLASSPVVMRPFRTCLIATQAFSEYIVDHNGFTDDEASN H257_19186 MYIFVNYQELSNQLQMTTASSPLKKRSASETYRRTLLQRGSLFH ELSAHVEQEVESARATTAGDLADELDRVNALYELEVKKVDKLQQALRTRDAAELFCIR AKYQRAVDRVKDLEVLVAFYTPKSPPHTIFSYPTTTVQPKTPVSCQRFRDFPWDIQLK PIPVLNMSDMHTIAANGVK H257_19187 MVKVLISLSVLATAATAGSVTELPESVTKLIDYSINPCDDFYQY ACGAWYNDAVIPPDRHQVDTSFSKIYIENQAVLKTILSDYKPKISEFYHSCLDTATLS SLGLTPLEDSFKAIRSANTTLDLLIVAGELVKNGIPAFVDISSSFDDNDSTKNALFGF RAPLSLSRSYYTNPSKWKDIEAEFKVYIASVLQLAGYTADQAAAAVPVIIRFEQTLAG VALSELEEMEAVVSPYTALTYYQLDQKYPLLIGSWLKANGFDVRDQCGGSNDWVGFYD LTYFDKAEVLLKNTTLDNLRTIVEYKLIHASSNHLTPAFRTANWNLFGRKIYNETVEP SREKFCLSQTSSKSNLGYLLGQYFLDAVWSADTAKTADKLVKALRSSFSTGIATADWL DNSTRANAQTKLSKIVHLLGGPEKPQLYPTLTFDSKSYLKNRWKLSQVNIDTNLKLNG QPVDRRGFNMAPHEVNAYYNSITNQIAFPAGILQKPFFDGQFDAAQNFGAIGMVIGHE ITHGFDNGGRNYDGDGNKNPWWSNATNDAFKTKAQCISDQYSNFVVTSEVNGTVLGNI SGKISLGETIADNGGLKTSFRAYHEYLKEFPSKYTEEAGDKLFYLSYAQSACSKNTDA YLRRSLTRVHPPGRYRVTGALQNNAEFARVFQCPTDSYLNPSKKCLLWE H257_19188 MASVHDNNRALDLEQRVSYVHSNAGGTKEGGDNYVDVKTPGELE DGAIVDGGALNLFSREALGLFMQYGAIGVIYGMIPTLSYPIFTVYLNLEGYQTAAYGV LVTLGWSFKVVFGMLSDVFPIFGYRRKPWMLIGWIVTMICLSLMAFDSLGEPYCNRDK TKTCGLALEKVPKSELQHYNFDAPDQGTKFIILSIFVSFGYVTAACASDAMVVEYAQR EPVAIRGRVQTAIYTVRTLTGILASLVSGFGLNGANYNGSFSFSMAPNVPYLLCLVPC VLVVISTFTLVVDKKTEGVPFKLWVGNFWDLLQQRVMWQICAFRVVVSGLQSIGATPG SPISAYWAKVEPLNDSLSSVLASGIFAAVLVAMGKWGLHWNWRYAIAAGTIGVMLVDG FVLMFTIWDVVRNQWFFTGVALADNVPGGIRFIVATYCAVEIADVGNEGATYGLVTTI ANLMGPLGSVIYKYVDSFFKVTTNDIKADTTEVRWEVTYVYLISYSCRLLSLFGLLLL PPQKAEMQALKRKGGRSKLAGALVVFGFFSAISFAVTSNVMSIYPSTKCFRIAGGNGK IDPATGLCPVKK H257_19189 MPSAPRWPKSFRFSNRPATKTRDPHDMEVSAMTSPPTDRRCFNC NRPGHFSRECRQPRRAQTSARGTAASPAPRFAHPVVDRARGGREFPRGRGNGTPQQPS RMLSFPSRISGYDRLFRVLIDSGVSENYARRASIQLNRSIYPPGAHRRTPSCV H257_19190 MGLIITEIGTHTLRKGVATALTNTPGGLQAVSVWLRAGWNLGGV QGRYIFAGSGGDQFVRGASAGLNVNDASFATLPPHFHGPVLSVEQWKCIVPGYDSHYP PTFRLLVDLVLLGVMWNPVSKMMSTGIPPHVAQSDKIQTLENEVKMLGSTIQQKLDEM PVIVAGELMAQGGMSGSSQLTTSAFHQVLSQLRESILRDLQKPRLSVDPMVPAESSTT TDASSTPDSAEFLACYKKIAVSVGIPTSNDEWEARRMDEMSYLTLYRSFSTSSVPP H257_19191 MENFMPQSYWDRIMTTNTVPDTQRKLLQSHLIEHFQSTLNLPYL SEQDQRLIVHATVAIVSDAMGNTKLNDILAQDETSVSLATIFIREAMGIDDVEALRKQ LSSIIELPIILPEPALKWVISKGVAALVAILNDALDLSLVECFGKARTRPVASAADFE EVLRLNVTGLLGHNMNQFGILAFVEAFVLAGNTE H257_19192 MYATQPRAILLRWLDGSMKIAAVVAILMAWTSCTEQSCFANGQR STDERSPLNFDLVESFVLASPVASVHRYTAVSYAANDPNEDRYVVQVDSDAVFASVLD GHGGWEVAEYVNKHLVNNAATLLGNASSNNFAHIASSLSSAFVATDDALRARLLLAFQ SGHGKANRVGACTMLAYAKGSTLVVANAGDVRAVLASTDASGGLVATPLSTDHNAKHV SEQNRLTEKHPNESNVVVCRSPESCRVKGILQPTRALGDFAFKYEEFNTLHASFQNGG DGLFIPQPYTPPYILAVPEIQVHTLTDADQFLILGSDGLWGDLSNEEAVKIVADYASR GEHDLAAQALVYEVIAKNAEGLNATWAEITNLVPGRERRSVHDDTAVVVLFF H257_19192 MKIAAVVAILMAWTSCTEQSCFANGQRSTDERSPLNFDLVESFV LASPVASVHRYTAVSYAANDPNEDRYVVQVDSDAVFASVLDGHGGWEVAEYVNKHLVN NAATLLGNASSNNFAHIASSLSSAFVATDDALRARLLLAFQSGHGKANRVGACTMLAY AKGSTLVVANAGDVRAVLASTDASGGLVATPLSTDHNAKHVSEQNRLTEKHPNESNVV VCRSPESCRVKGILQPTRALGDFAFKYEEFNTLHASFQNGGDGLFIPQPYTPPYILAV PEIQVHTLTDADQFLILGSDGLWGDLSNEEAVKIVADYASRGEHDLAAQALVYEVIAK NAEGLNATWAEITNLVPGRERRSVHDDTAVVVLFF H257_19192 MYATQPRAILLRWLDGSMKIAAVVAILMAWTSCTEQSCFANGQR STDERSPLNFDLVESFVLASPVASVHRYTAVSYAANDPNEDRYVVQVDSDAVFASVLD GHGGWEVAEYVNKHLVNNAATLLGNASSNNFAHIASSLSSAFVATDDALRARLLLAFQ SGHGKANRVGACTMLAYAKGSTLVVANAGDVRAVLASTDASGGLVATPLSTDHNAKHV SEQNRLTEKHPNESNVVVCRSPESCRVKGILQPTRALGDFAFKYEEFNTLHASFQNGG DGLFIPQPYTPPYILAVPEIQVHTLTDADQFLILGSDGLWGDLSNEEAVKIVADYASR GEHDLAAQALVYEVIAKNAEGLKYVVVLIASLQYQYSFPFLGFE H257_19192 MKIAAVVAILMAWTSCTEQSCFANGQRSTDERSPLNFDLVESFV LASPVASVHRYTAVSYAANDPNEDRYVVQVDSDAVFASVLDGHGGWEVAEYVNKHLVN NAATLLGNASSNNFAHIASSLSSAFVATDDALRARLLLAFQSGHGKANRVGACTMLAY AKGSTLVVANAGDVRAVLASTDASGGLVATPLSTDHNAKHVSEQNRLTEKHPNESNVV VCRSPESCRVKGILQPTRALGDFAFKYEEFNTLHASFQNGGDGLFIPQPYTPPYILAV PEIQVHTLTDADQFLILGSDGLWGDLSNEEAVKIVADYASRGEHDLAAQALVYEVIAK NAEGLKYVVVLIASLQYQYSFPFLGFE H257_19192 MYATQPRAILLRWLDGSMKIAAVVAILMAWTSCTEQSCFANGQR STDERSPLNFDLVESFVLASPVASVHRYTAVSYAANDPNEDRYVVQVDSDAVFASVLD GHGGWEVAEYVNKHLVNNAATLLGNASSNNFAHIASSLSSAFVATDDALRARLLLAFQ SGHGKANRVGACTMLAYAKGSTLVVANAGDVRAVLASTDASGGLVATPLSTDHNAKHV SEQNRLTEKHPNESNVVVCRSPESCRVKGILQPTRALGDFAFKYEEFNTLHASFQNGG DGLFIPQPYTPPYILAVPEIQVHTLTDADQFLILVYVLVTSEPDTKSRNYAS H257_19192 MYATQPRAILLRWLDGSMKIAAVVAILMAWTSCTEQSCFANGQR STDERSPLNFDLVESFVLASPVASVHRYTAVSYAANDPNEDRYVVQVDSDAVFASVLD GHGGWEVAEYVNKHLVNNAATLLGNASSNNFAHIASSLSSAFVATDDALRARLLLAFQ SGHGKANRVGACTMLAYAKGSTLVVANAGDVRAVLASTDASGGLVATPLSTDHNAKHV SEQNRLTEKHPNESNVVVCRSPESCRVKGILQPTRALGDFAFKYEEFNTLHASFQNGG DGLFIPQPYTPPYILAVPEIQVHTLTDADQFLILVYVLVTSEPDTKSRNYAS H257_19192 MKIAAVVAILMAWTSCTEQSCFANGQRSTDERSPLNFDLVESFV LASPVASVHRYTAVSYAANDPNEDRYVVQVDSDAVFASVLDGHGGWEVAEYVNKHLVN NAATLLGNASSNNFAHIASSLSSAFVATDDALRARLLLAFQSGHGKANRVGACTMLAY AKGSTLVVANAGDVRAVLASTDASGGLVATPLSTDHNAKHVSEQNRLTEKHPNESNVV VCRSPESCRVKGILQPTRALGDFAFKYEEFNTLHASFQNGGDGLFIPQPYTPPYILAV PEIQVHTLTDADQFLILVYVLVTSEPDTKSRNYAS H257_19192 MKIAAVVAILMAWTSCTEQSCFANGQRSTDERSPLNFDLVESFV LASPVASVHRYTAVSYAANDPNEDRYVVQVDSDAVFASVLDGHGGWEVAEYVNKHLVN NAATLLGNASSNNFAHIASSLSSAFVATDDALRARLLLAFQSGHGKANRVGACTMLAY AKGSTLVVANAGDVRAVLASTDASGGLVATPLSTDHNAKHVSEQNRLTEKHPNESNVV VCRSPESCRVKGILQPTRALGDFAFKYEEFNTLHASFQNGGDGLFIPQPYTPPYILAV PEIQVHTLTDADQFLILVYVLVTSEPDTKSRNYAS H257_19192 MYATQPRAILLRWLDGSMKIAAVVAILMAWTSCTEQSCFANGQR STDERSPLNFDLVESFVLASPVASVHRYTAVSYAANDPNEDRYVVQVDSDAVFASVLD GHGGWEVAEYVNKHLVNNAATLLGNASSNNFAHIASSLSSAFVATDDALRARLLLAFQ SGHGKANRVGACTMLAYAKGSTLVVANAGDVRAVLASTDASGGLVATPLSTDHNAKHV SEQNRLTEKHPNESNVVVCRSPESCRVKGILQPTRALGDFAFKYEEFNTLHASFQNGG DGLFIPQPYTPPYILAVPEIQVHTLTDADQFLILGTHTYMYIYIYIKL H257_19192 MYATQPRAILLRWLDGSMKIAAVVAILMAWTSCTEQSCFANGQR STDERSPLNFDLVESFVLASPVASVHRYTAVSYAANDPNEDRYVVQVDSDAVFASVLD GHGGWEVAEYVNKHLVNNAATLLGNASSNNFAHIASSLSSAFVATDDALRARLLLAFQ SGHGKANRVGACTMLAYAKGSTLVVANAGDVRAVLASTDASGGLVATPLSTDHNAKHV SEQNRLTEKHPNESNVVVCRSPESCRVKGILQPTRALGDFAFKYEEFNTLHASFQNGG DGLFIPQPYTPPYILAVPEIQVHTLTDADQFLILGTHTYMYIYIYIKL H257_19192 MKIAAVVAILMAWTSCTEQSCFANGQRSTDERSPLNFDLVESFV LASPVASVHRYTAVSYAANDPNEDRYVVQVDSDAVFASVLDGHGGWEVAEYVNKHLVN NAATLLGNASSNNFAHIASSLSSAFVATDDALRARLLLAFQSGHGKANRVGACTMLAY AKGSTLVVANAGDVRAVLASTDASGGLVATPLSTDHNAKHVSEQNRLTEKHPNESNVV VCRSPESCRVKGILQPTRALGDFAFKYEEFNTLHASFQNGGDGLFIPQPYTPPYILAV PEIQVHTLTDADQFLILGTHTYMYIYIYIKL H257_19192 MKIAAVVAILMAWTSCTEQSCFANGQRSTDERSPLNFDLVESFV LASPVASVHRYTAVSYAANDPNEDRYVVQVDSDAVFASVLDGHGGWEVAEYVNKHLVN NAATLLGNASSNNFAHIASSLSSAFVATDDALRARLLLAFQSGHGKANRVGACTMLAY AKGSTLVVANAGDVRAVLASTDASGGLVATPLSTDHNAKHVSEQNRLTEKHPNESNVV VCRSPESCRVKGILQPTRALGDFAFKYEEFNTLHASFQNGGDGLFIPQPYTPPYILAV PEIQVHTLTDADQFLILGTHTYMYIYIYIKL H257_19193 MATAALAQDQVVPAKVAWDEEAPEDGFEILGGREAKTHQHRYVV GFKRSPNNVTECGGSLIAPNVVLTAAHCLDNTLPSVVVGTHYLQGFRDGELATVTQEI RHPNGADVGIAILDRNITTIQPVRVSFEFVPAGKLTWVRGWGFVKVGGPLSPVLKELN VTTWSNFRASAALFPDRLTHSELGAGGVEGEDSCQGDSGGPLTIEENGAVRLVGVVAW GRKCGLPGKPGIYERASAARAFIKPYLPK H257_19194 MSSDAGTTAAPTLSEKAFARRRYFRDKQREYRRKLNVEGAAIDA ELVHLRSIRDSLRAMEHPPVREASDGPLSWCSIATVFKREAHRVLTDRQSLVTQTQEY RTLMQAMQRFVVMNIPLPMSRSNSWYCATLAAEPSARTLGKEWLTQQLYHNMHKPFAS FPAVSYGDECFHIDVQSWDDDDPPFMYMERMQHSLPGTVHMFRRLVESKMQAVLFPND VVDESTSNTRLFHSATGDGEFVNSLQDTSWKLIDSSWSCAKLNTMKPTCATPCSISGT LGRVRVVNHLSRKFRPATGFVSGVAEFAAMTGIDVTDVDDKAAYARREMIRRGHAGFL PWRQRFMDLMHEDATN H257_19196 MKLALVLVASRRQRLRRIMYALQILRQLKERNIIKSVALVESQE MRQWYTMYNDQLSQSFVATVSVTPNAFDHIFHYFKHEYVVLSRPGKNGHPPRIPKKHA VLAMLLHFYTAKRQYLLDVVEQEINRRRTPTLAFDMGSLEVETGHPRTL H257_19197 MEPYVPLKVGPNVVIRSTCMQTPCVLKLVASLPWGFILPATLST TLGICSLGSRSVIALGEVCVSLLVSHLRLLPALLNDTFITTVPLVESSLLAVNPWLPT LVLWFSRLWVHEELRGLLKLCLDSLHQLIASVLFGDLTLANTLGSMVTLASNILQPTG IPPHVGLHAQLEQTSVLVRTLPTEICEGIEKILEDKGVTAGNITQSLLEKFLKDAEAS VVSLTSASNSTTVEVTDESIPTRPVLGGRWHNLPEGFEMPSADVATAWHVWWCGSPVR GLPPLYKLSSRDMSKKQAKILCEWSFAIDELQKVYFSAAERELGRPINSASVISAFST IMTALPSSWDRLNWDDSVGCLS H257_19198 MACLVVWLTDTRFAPLYKLSSRDMSKKQAKILCEWSFAIDELQK VYFSAAGRELGHPINSASVISAFSTSMTALPSSWGQTQLGRQRRLSQLKMVTFARLAR KRRRES H257_19199 MQTPCVLKLVASLPATLSTTLGICSLGSRSVITLGKVCVSLLVS HFRLLPALLALICFARVPQPTQLVEAHRNDTFITTVPGTNTLVESSLVFHWNLEALQF SLLTLLWVHEALRGVLKLCLASLVYHKEFLEASLPPKHQLLASVLFGDFHTLGFTVTL ASNILQPTGIPPQVGLHAQLEQTSVLVRTLPTEICEGIEKILEDKGVTAGNITQSLLE KLLKDAVASVVSLTLASSSTTVEVTDESIPTRPVHYWGG H257_19200 MASSVTQLWQLDSSQLLRSTGATSKCVDAYEPENGGTVHLWDCS ATNVNQLWTYDSTTKQLRHKTHVDYCLDIGSPTGEAPHLWTCLPTTHADVKNQVFVF H257_19201 MGKPMHKQLVWSNEMALTLLREVIRVEPYDGEYGTLTSRWKPIA TNLSTCFEAPVPHRSARDHFEAMLEAFKSTDKAQRLWGTGSEEEVTEQVQLLQDLVAK H257_19202 MSKKYPSFSRFRKDVKDLFFYFCCAAVVHAAAAASQVEVDRLTV VFDSLAPLDAGATGSHISKDRGVAVQFPSFPASEAACGPAGRTLEYVNFTLNTLNIPT DESLWLQAALCPSANGLPNCVKSDTPARITIETFDRRVKFQWFPAVPIVLEPATTYWF TVLSNGETKDKFPIWLDGTKEFSTANDPRNEVLVAYTEKEGGPWDVLVRDDGNRLVPS FEVYAS H257_19203 EQLLVLSVFTGWTSTVGLDGLEQRPYAGIVFTSSQNMWNVAIDS KHQKEGVALATDGTYKLHFGGWTLIDIGVVYTRFSNNKFGSSFMPWSYLFVRSECEEA YSQLLRVFAEAFDTFFDYPLDVATCSIDHTQYIQTTLKKQWPQVHVVICTQIHLMRSA RTWMQFHAIASVVLMDWETSLAEEEFAQWFQETYLLPPWDVWFFVASLTPGIPAHQQH IESHHKRIKQCELVRLKYKCDCLAYHESGWLCAHTITCCNLINDFDLKLRAGLDATP H257_19207 MKFSLLSAIALFAAVATAQTNNAIAVIDDRVRSLNEVSEPEGDD DIHANLACQEQNADYIPSLKAGQYSTSAFHNCFRTFQQIYEFTDALAAQNPTLLSRFA ISKTYWNNTIYGYKLSKDKGHSQSLYFQSQLHAREWAAVSSVVFSL H257_19208 MSDHEVQPPAEMVLDAATPKAKAGKSKEKKASSETKRSGRWCDE SVATLFRLRYDSHLAKMFESKNNAEKKTAYVMLAAELSVAIESDYTFSKLKTTWAMTK PSNPSDTGNAPVAPLPPHYDIMLEFSGSKKGYQRESLMSTDAIITDATNSSDEAQVKR EHSSDDDYDSDKPPERRKKREKVTKASSQGGALEAGFLAIKEGLIHLGTSLSATTPSP PPPPASSATLDDVLCAIQGQSDTMAQLLAHLVARK H257_19210 MDIRVGDALFPVLPCDDDAVGTEQSPLDDEFGSGVPSNNGQSKT QPIMQTTPVGDDARLKVQPIMQTTPVGDDARLKVHREATQRLELADRAQHAYFLAYEV ECEMADLALWTEAMIIPQSWRLAIRKHLAPILLRMKLHVGNLMQAESMLLPPFDERKR VQFDLLLQSTEDISVVLYDSIQECKRKAIEGERVVAASMIQLHARRRLRVIGFYTTKV KLTRLQRGLIPGLFDGLKGETIMNITADSITLEYPKTANRNATGRGNDSKPNQH H257_19211 MWPSQQRPKRKRKGQVDSVDDGDDYHGNFNSDMFEHWFGGVCRT LQALHGPCVIHMDGAKYHKRHGPCVIHMDGAKYHKRVSNPPPTSKDPKATLMAWLAAR AVSYDAKITKAELLDLCRQHRETPSYATQVLATECGHTLIFTPPYHPELQPIDVVWGI VKNRIGGPSKDMGELRTRLEVEFSSIESSHWLGAYRKAQSFEDMYFSTAEDALLGDEA SDADSDLLRSSDVNGSIVWKTDFPVEDSFFGYVDGWHRRFWQGSPDHRGVPGALGRVV TLIHADDMHQFRDVDPHASELPQTWGRIYRVPKEEVPAILAQLDHREKAGYDRAEVDV HCTDNQVRRALVFIALPGNSDFLGPAPLKGMAHEIASRVGPSGSNLEYFLNLCRCMRE INVQDRHLLDLEALVLAHEQPSVK H257_19212 YPTIPGLPKHLERLCRFNCSFPDCPAPMCYVQPSNWNLLTEEQH IDFVSVHRGDIAPGHPEIVMTSAGSNKYDVLAETGVSDGDAVLSMLACLCEKANDDLR TIV H257_19214 MVRRGELTLADKGYNDPNYFIYPCPQLQNPRLHKDIMARHETVN KRMKQFGVLSRVF H257_19215 MVFTKDSLPQWFAVFGVCYEWVSDQGTHFKNQVMTDLQHVLGAH HHFTTDRCPWANGTAEVVMSQLLRLFRACLSEWRMATTQWNQIHMVVMLIMNRLRSPS LGGVAPVTAMSGRPAMSPLDTIILPGSLKSATLAEIESMQRANINRAREPMDAMHKEM NATNSLKRDRACKTHNKKRGMQMGQFVVGYYMFYQDVWQHHRAKLRTTWCGPAVVTAV AKPWNKGLQCGKSVMLSSSDLAALVRRRRSMSLRRRLLYMEQLFATIERPIIPDIRFD ISSLNNTDAILKFRFDVCGIQKLTTLLKVPGVFITSSLDRCHAAEALCIVLFRLSYPR RYIDMVDLFGRSRESLCRIFNGMVDSLYDTWKQLIYFSRAVALSRLDDYKSAIISKGS PVTSIFAFIDGSKIQTCRITQSPGLEATPDLQRYIYSGHKRMHCLNFQALTAPDGLCI HFWGPIEGSRHDTTLLRESELLAYLDKHIADFGGSYIYGDPAYGCIPWIMSGFKGKQI SQQQRAFNGAMSRVRLAVEWSFGRMKTLWPAITFKMQQKIMLQNVGKLVLQLGAT H257_19216 MNDALAVIVNHVGIPILVRPYGQAQIPATAAIGIVNAIFDAALS SERHVAYLETAQYRVAYHHTNDGWLLTLFNSELVPRQQSRVCLLWLDRMLRLVLRPSL FASTDPGSQKFEVAKHVQFIDYIMTHQDDLEFAVGMPLTTASNTQVFDVVAGISWPGP TYVIDDGVVLMNNADSVDEPFDCWLVVLLVVAAELILEQPPKSAMQFPVYINAEMHHM TVVDIPSNMKRLVWFGVTNNELKVSTADVQRRLDQWPHPMLLPSPWFLSPAIRDLCPS LLGYCLFRLQPSTRCTALVAIQACTSSSRGDSAGRRTQQHIYMLLGHGVRRGAELTSA NPLTLQDHMLSMTNDVVVMLSIRPPMQVVIVLTGPCDFTSAINTADYLARLDTSVY H257_19218 MVQHPKTSENVTMSPRCFQSNVLVTIVMIAMGQVETMALVANMA GDHDPLAAGIAACAAGSAYIHEDSRLNGYANTHLLSHHFVPPFTINRVIQRPNPPMFC RGVPTGFCPTLRCPFISAPQSYVFFAITLARITVEPGDLPEHFPSPVDAGDLGFTEVP STEMSGRRPSHPSFQVVEGQGILTLHADLRYCESVSGDLPLPMSVTYRPNDFPALREH WMVDSGTSASCTPNRGYFSNYVPCALFLTDRNGA H257_19219 MRTPHNFLLHLNESILPELTEATARRWMVHAGYKFGSWRKDVYV DGHERQDVVEYRKVFCSTWLSLSERMASFSGEAMDILESPNDVSQKQVVWVTHDESIF YANDDGEMKSILEETIVAAGHVCLFFPKYHCELNPIESYWGAAKRHARSNEDKILPHK NC H257_19220 MKIVRSLVLVGATVVAALDTYSAKILVDTNTFECELIDSVHVAQ LHRSVEHPDSFIELTTKRKSHLNDLDLLRNSEEGAQEFVEIIGGSVDAVLGQLAKRCP SGALRHNAEATSTSAVTTVIKKIVDSGPPKNRIDIVFMGDGYQASDEALFFKDIQRLT DDMFTGDTFTQYLPLSLTYGRCMCRRWTRASAWVANLATLPFNCTATAPSCAA H257_19221 MAADMKENGISLLVFNGRKDKFRVGSAKFVAHLKELTVQMHAEG DFSSVESLFSELD H257_19222 MSTNSDFTIEGARRSRISDSTRLGYLSGIKQVVNWAVMAGKPEL LMPSTEHEGRMTLDLRVFAYENFLEFIVWTVRERDIGLGALSGYRSAVKSLYIDQGIA LPEPYDGDMKVIFSGIRKSVAQNLQNGSKEFTGKRPMSFSVFEHLCAASMGLPDCGFT HLYLVLSWNLMCRSKSTETIRFEHMSCEDDAIGFVFHKTKTSQE H257_19223 MSAAVGVTVTAATTSSVGLFLVLAVGFAGVLEALVLWLLRSLAQ SPKYVALVHKLTRQLAVVGLVYLLVKCAVLTERRQLRQEYIHDAFDAANMLLLVASLS MAVQAIVVIVLLRVATTEMDGLGLLWSFEVVDEIQLAKSRPTWLFVRNWHATRVKLKL VDAFFRHVYGLPPMFGFAKHVRGIQEQLTFELFDMGFGAWVVLLALFYAFFSITGELE AAYDLPPKQTTTIPLFSSRWRVFVCFSSSLMAFATVFYIVMTWSYSRLVHHAKLHALV PSMDPPSQESDGEKKTPSSIWDAIASFATKEGTVTQLGPLEALSRMQAVGERLEASLP TISPHDRTSHWPWRDKHPLTPLCRQTSLEQNVSTQIDCISLPIISYQSCRVLLQVILL VNGLGYAYFATTVLPFAPLDTIEETVQSIAAILPLVLVTVVLAPRLIRSLALVHSTWH VDSAVLARVIAQVVHVEHVKVAM H257_19224 MKFALLLAFTVTVAALPQDQVVPAKVAWGDEAPEDGFEILGGQE AQFGKHRYVAGLKESSVNKTECGGSLIAPNVVLTAAHCLNKGRKFVVVGTHYLTGFAD GELANVTQEIKHPNGSDVGIVILDRNITSIQPVPVSFEFVPADVLTWVRGWGYVKNGG PQSPVLKEVSVTTWNNSRATAVLVDKFPDNKLGAGGVEGEDACNFDSGGPLTIEENGT VRLVGVVSGGLGCGELGIPGIYERASAARVFIEPYLPK H257_19225 MPPHYIWPAAVVSQVQYLWFVLAERVTGKSVLVLDNIKSHDSDE VNETAALGYDRHMRDLWIAEDMVSDNSEDNDIDWMSPAADITQ H257_19226 MSTRSDNIPVAANALELPKTACLYFWTAALWVSVLAALVSTLLV VYATANKFQIEGRNLFHFNRVFGSVWIGRPLLFVRGITAIIILSTAPATISTTPHRVT SFTPYQREWTSQLLLYSESLWVVYVLNDILLPFTIELQIATDVAPVSSFLAFTAVASL DVASPYQVQANVAQDCMFTSFRRGVACTGGEVRLGSGERVAHLLGLQFASLVVALVAT VTYARCYPSRHPPRTTAPNNVLIPAATEAFFVRSSGRFASSRHLDAVTCVMSGMLPWK QTLFDFKIWATVMRHNKTNTRRMSFRDATFQHHVSGPTLPPMFGRKHAWLGFVGLLYM VTSISGSYAFFQLTQSAMSNDFWWASFDTNTQVHLSNWFNQNLQLHQFASNVDLTALE QGTLALTTNASATALQIAPLYAISVQDEANSLGNV H257_19227 MAYKSYSIGSTLHTHGLSGVHLDLPSNSTPNTQFNCEVWTAPAQ SVTPKILSPLLSCKPYTVGYTSPQATTNGKPTNHTICVRDITFLDRRDQRTRHYDSVF TVQFVSRTKFQNRPEGHKHKTPTRKIGTPLALPHRSSVGAASTAHNIGPYPADPLCCA SRGKLIKCEEIALLIQTAAAAIGLGSSKYLTHSLRSGGATALFNGGATDLSIQFFGHW SSDTYKMYTRISGHEVAGMKTNMVSSAL H257_19228 MTFTAIAAGAVPMVVVPDPSATATATTTDPTTMTAVTTDRITTT AATRTTRSLAVVVVAAAVAVVEAVAEGAAVPGLLRVKMTATAAHTRLPNDRSQVQTRN TGWGPPPPLTRNGGWDMPIIVHDAVVLEDATSAASPEPGTVPATSAASSEAGAVPAPS QTAKVDAVPAPSQTAEVDAVPAPEEVAQVVAPPLKVDVLAAGDDDLVVALLEGGN H257_19229 QNTRIMPSIYGTLAVAVMTVGAQQTPFNWQPCPDTNDTRVQCGF LKVPLNHLDPSPNQTIDIAVRRFRVPNAAKGTILLNPGGPGISGMPFAESGVAQYLGG QHDVLGFDPRGVGMSRPARCTKNGYTASNEWPSRSNVPFDSPTAETSLGRYGASIEAI VRRCEMYDGDYVKHLSTSFVARDMDLIRAALNESVVNYYGKSYGTVLGATYVNMFPHR VGRVVIESVLDPTLYTGPSSDLLATSTVDADETFDSFANTCEAAGQANCPLASEPQVG RRVREFLATMEETPVIAPTAARDDFSVLTAADVRFMILQALYDPKKWLGLARDLHNLL RGQYVSPPVPDTCPVTNPSYLGMSMEFPIYIANDGELKGTRDWHRALREAKRNSPLFG MQFAYDALPAMYWKIRPVERYSGPWNVQLRQPILILQNKIDPVTPLRGARALARLMGS NAVLVTRDGYGHGIDRMRSSCIRNTLAAFFNNATYPNHNSNCKVDAGPFDPKPHQAST ERKLDEVDEAARL H257_19230 MMMQELMLDPSNHPSLDNTSNNTTNTRHPPTSLVSSSSAADVTM LQHGKCIRAHATEVNSVCFSGSGKVAFTASSDGTVRAWDSFTCQAKADYRGLGMSQPL ICVRVSEDGELVLGTGCDRICQVWRVGTGRIAHTLLGHKGKVYAAEFMLDGSPEVLTG GADRSIRVWDVSNGRNLKTFSCRSTCNDLAVATGGLIASAHQDGAVRFWDARTKAPVF EWTDLHTDQVTSVSFSKDGTKLLTNSRDNSLQLVDTRMLDPTTHHTVLGQYKSPAYTC GFNWSKASITPDGQFIAAGSSSGSVLIWDAITTGVWRENKDTHTGAVVGCTWSPTGHM LASCDKNGCLVLWK H257_19231 MTRGLNSPAEWTCIPSGGGLILYPYGDTTDRIGGGVDETFERLR RNRWRLHGPKGGWLPPPLNLRSGRSTTTSTACTRNPC H257_19232 MVKFLALAAVAAFAAADIEIVNGTVVPVGKYTYVTGLRPSETAA SLCGASLVAPKILVTAAHCARTPWATYASIGSHYSNGAKDGERIKIVKRTLHPKYNKA TRHDYDVAVFELETESKFPPVKLNWDEDQFTAPGVVSWVRGFGSIYSGGPTSPVLLET DMVIWENSKCHATFNKYKNLNVTSSMICAGGELKDTCQDDSGGPLTVTRNGEEYLAGV TSWGIGCAKPGLPGVYARISEVRDFIEPFLPKAAC H257_19233 MFWLDFMALSFAGVDDEVAPTSTRVWTVIEHTRFFAAIDLFPQG PWKAIAKDIGTRTPRQIQTHAQKYRDKLFRQSKAPKLPT H257_19234 YPLLPHYPFVPIAMKYFSTQAMLLGPASVTSKAAPTTTGAWTVI EHTRFLAAIDLFPQGPWKAIAKDIGTRTPRQTQTHAQKYREKLFRQSKAPKLPTRKIK SAIGDVSKVKTHVALLEAILPACVPSNTLSMDDAMDFLVGLVVDSSDWDLFDTPVADG TMT H257_19235 MEGDGKKRKASEIAEDAGDSVEVAEVPVPQEEEVKTTVKCERLG QLEQRRRRADQQLAREEATKKARAADEAKAIATAAAKAADQAAKEAAVAATKLANGSM TGTPSQHCRRRGKQGKASVKRVAVRTQAKVKGEAGGPERTGLTPDEVFERELGFHWDR SRIFTPAGWRGVDLGYVRSMSGGSSFAMMRHCFSTL H257_19236 MQLIHDNIAVAQQRQSSQANKHGRSNLSTFAAGDQVLLLKSAVP VHAFRTREVRKSSNVKLGSAWHGPFTVLRVVSPTYYKLDVPTSSQIHPTFYVGKLKQY LPTTDVVTANNPLDSVAAPLPLLPPSTSPPPPASPALKPATSQTLHRVRAAYLPGRRS VRQTERPSAHASVAARRRN H257_19237 MATNTPRISHRMARWISFFSEFTFAVQYKPGKDNILADALSRRP NLELTMIGLLTSGLHDRIRAAYPLDADCLSRLAALTDPVSPKSRCASSAMHRFALTDG PSPTTKRNAPTVSWKIIFGAIPSLPTSRGLSRYSTRFSLTTLRFRRPLAFRPTTPSIS NTPAFHRCLTVRFQVGGSLRGFLLRA H257_19238 MTAFGPASAPSGGSSSHSPPHSSPSAGGTPSHTPSHSDAPSPSA PTTGAPQSHSSGTAQMRADYAPTDRVVALRASKESEQALHEPLNPLNNRAGGNRHATL IDRSGPDRVAAPRASKESEQALREPLNPLSNRSGDHRHHVAPNELPASAPNPPSSRRS KKKQAMATAAPSTSTPAPPSTPSSPTKPAVKDAAVEDRAWRTEWYSSHPRSERSRPTE AEFAAAMAIFNDSDVDPASQRMAAMKGLPTPVQDEYPMLISCKSAAATFPLQIMLDCL AAGNQPSAWTDAKQYCRNFKRIKNVGIGFTCTDRDLVSRLGGLVLKICGRPFTVKKYS EYHHLYWVDVVLSDSTMPSDVWDYFMAHGDQPVLLQSSFSKNAIHSKHITVYFGNQRV PACLLLGADDPVREIMIKDQICIVTHKLSKFNLVTPPSIQQRSTKPSGRSTPKTKAMS RSEAPPANHTTADAAMVDPVDQDMDADDAALTKPSLPTTEHHTAFEDDGDDDSEYFPG SGDEDSDADDASGDDAMSTDADFMPSPRPPRPVVRPDFLHHEPAAAITPPLRNPPPSS QLWERASYSRRAVILNYPPPPTPTYAVEVAIDHTPGAVVHAFPEGYNRYSVLAYPSNE DNLAPLLDITVADTPGIYPAFPVKTYASTFDDLPTLNTTVESMSIAEVNAFISSFVAK YARCDDPTKSLTLIQGHPGFAAPLFDAKQPTNFEVITKKLPGHALQRLIQRELPHIDY ATTIDQHFNSQVVPWWYFVFPSDDPAPTPLSALLRHVDTDALHLHSALALVCLFLQIC QPELYFNTLKIHAVLSGLAPPPSVAVATLAALPHFLLTDAVLVSIAASPIGHMLMTSN IHPDVKAALSTLATLYPLPTHHVHGFRA H257_19239 MGHNFGKVGEEYDGGYVYTGANSATSINAAPWTHWLTNPDVIRE EKAVQRFQKHIWYDLQKGSYQIKFKSNGAFKRWYIQLSVSGADTNDALSITLNGEPLP WTTKGVKDRSFYSWRSSDAGFSAGDHVLNITAGGSFDSPIIKQLCNAVIYEYAGEDEF KLDDNDHIGFYPTWDI H257_19240 PKFRATILPLLDRGVVYAIAHVRGGGENGRTWYEAAKYLTKITT FTDFIACAEHLVATKVTSPSQLTCEGRSAGGLLVGAVLNMRPDLFTAAVAGVPFVDVM NTMSDATIPLTTGEWVEWGNPNELEYFDYMLQYSPYDNVKAQAYPNLFVTGGLFDPRV AYWEPTKWVAKLRDLKTDNNQVLLKMDLDAGHFSASDRYHYYKEKAVELSFLLDQLKY HLKC H257_19241 MEPVMVLTLDSFDAHTQGMVRKVQANLVVASRGLAISTCNLNTP VIDTLTAYLLLNYPSLKKLNAQALPVLRHALDLLAAARWIFWLEPLEQNARPIPETAE QRLIRHQATLLDQFMQAGRRQEERLQGLEAKVDGHAVKKPQVLENGNGEPTVKRQRQA SATALRTTWFEWYGGEPRLYANADASKQKRYNARHLVAYMRLFLPKGFVMEEGSSTYL DDVVSIGSAAESALLAFLREQGCRSTGSSAVLKVLQKLHRDGALKSRIGHYLQLRIAG LTTDPAPLHTQVVLDHS H257_19242 MRLVDLRPCRAAAACELSLPGGDDDDRRYHRGGVHLFQAGSVTM SSGGGVRLVPPRW H257_19243 MFNCYWRTVERVWTRGLLSVLDGDRVANEIREGNVATFPPTSSV PSRLSLFTDGNLRSLAAQSGVPKTTLVRHMAEEGRLKSKSSYSKPYHTDRRKQASAYG TRNIFSFAVVE H257_19244 MELDTPYTSQGGRRHVYPMPLKKRDDLSVSYSVVRNWKHVSEKI DKFEGNNSRPTCADQAARQYNPSPTPFCNSWMPVVTNNTT H257_19245 MEAQPSGATADETEMGDTTRNNAAQVRRLVSPSWWWRPNSTHSQ QLTRQLSWLMIPQALDHGCGLNKPKRGHRSRTKAWAAHTKRPATTSDLPTSAVLPDAE PDREYVAGLKSRIKTSLRERVAAFMGPPPDGHTRNVFCMQNL H257_19246 DTGRTVVFRNVLLVPDLTKTLISISRITDSADAASIQFKQDYCN IRSRTHLSIVGKWNAARLYAVHRDVIKPRPTADQATEAEVTEPMLWRALRSPGTRCQQ H257_19247 MVRTMMLHSNGFNRWWAEAVTSYATVSPTPKKQLHEQVPLCRNQ FLRPKSVLTDADGVQVTKTEEQPSRTPAQNTPKSDPPPTPRGQRQPPPTRYARYPPWV LFRDDPPTEAAEDEVKLLPQAANTTRVGPENPKARAVAQTQMLETANETMQNVRHSAR ISEVTQRRHQAVAAPELKPPFHPTRATARPHDADSPFMEEPAKTMRTTADRGVTTREY EDDAALAYDVCVNVADVDDDVLAKFWEAMQTPGELA H257_19248 MDESATATQQRRLGEYEKQSIYTVLSLHSANGKPRYGDVSQQAQ LYGVSTRTIQRVWTKGAEGNGFKTVIPKRRKPSEVAALQDRVSKLPLLQKMDIRTMAE ALLMPKTTLHRHFKNGELVRKHSFLKPMLSEDNVKKRLQYALSFANVVDGSIEFNPML DRVFLDEKWFYLRKVKNKFYLAPWET H257_19249 MKFALHLAFTVTVAALAQDQVVRGDEAPGFEILGGQEAQFGQHR YVVGLKRSSNDTTECGGSLIAPNVVLTAAHCLTGKLRAVVVGTHYSTGFADGELASVT QEIKHPNGADVGIVILNRNIAGIQPVAVSFEFVPANVLTW H257_19250 DTTQSIGNGEDEKFERLGRNVAVATSGAYTGQAVASFDPVFGAF DDYLYHTYQNPVLTIEVAGSDFVAPVSTIRTCGKEFFKAVT H257_19251 QFYIFWPCFVSILTRLSVRSAVVLQVAVLALSFICNILFLGFHG TNKFSFYFPLSRFWQMSVGGLLAYVNHIRLTQTQYASVPTSSKPVRNPTSDHQATTLS WVGIGCIVLGFACLDESSAFPGYWAVLPTLGAALLIFAGPTPWFNQSVLSLPSMVFVG KLSYALYLWHWPLLVFAKLRFPNPDFRPNYMTPLAMLGLAFALSLSSVYHFENSLRRH KGQWVVPALMGCMLGMSLLAGTVLISPDSFSYSQQAINARAALSATVFTPNITVVLVP DIPNVSRENATKDPTHASIIAAELDLLPDIGLPIPKNHMVEYSAEGRLINLGQEDRAL VIALGDAHLDMVKPRFQQLALHTNPIDFPTIAFKSSIYPPLAKCIWWIDYQHQMIKKV RPNVVFMSINWLAHLHPGGAAWQPAHKSPPCCLQGYGDSCKGQNPKDVEDILTQFQSD LTDMVSIGAKVFVTTVAPEGNEFDPKFILDSPVRSVKRSVFRTNHKWLIDLVEAAITG ANATVIDFSENLCWEDTCRVVDPSGVPVRRNSNVFTPKFAAKYLTVLDQVVVAAMVAP DDVIAPPDAPNSNRFSRIANPSYGKIMTAPGDFNVDVGFAPAPVDAPFGTTHATMMMN PGQPNLVFAYGDSHANQVKPRFLRSFEDRHGARNRSNFPTVVFKSLDGTPALTCQEHY NSVMAVVERVRPKVFLHSMNWPQFLRPGGLDSDRSVAGKPKCCKMGYQDKCTYQRPKD VVTIMNKFQQDMTKLTGLGIKVFVATINVEGEQFNPYHMLSGNDVGDVSPVSKAAFRL KHKWLLGLIEKATAAANATLIDYSDNYCWNDSCGVVDDLGRPIMKDTNHLTRTYTHKY LGVIDQIVDVAMKIEPVM H257_19252 MTVLFTISQVTLSMTDWFMSVWARNGPLSLAYGWGYVALAGASV VMVYGRSIFTLITAMLCSKNFHSKVLRNVLRAPVPTFFDVKPGVASSIDFRPRICRIL ACVCGSLGSASCLCWWFAQRRHVPVGYVYFAAQTGYNKAANEIKRLDGVTKSPLISLV SETYQGLSTIRAFAKSPSFAHKQREAIDFNVRFYARWFQMRLDFLGSLIVGTCAVVTV LTKSSVGLAAAGLSLTYSTQLSVLLSRVAIFSAWLDNSMTSVERLNHYNQLESEHAED EGADVHDWPSQGAIAFESYSMRYRDQLDLVLTNISINVEPGHQVGICGRTGSGKSSLM AALFRMVPASSGRITIDG H257_19253 MKSIACFAAALLLSAQDYPLSEWNSNLYADVKKDNFNEWWLYNE DILELRSNSNPRVCLDAYPKDGKYWVHTWECDGANPNQRWHVDMANHRIQHATHPNVC LDADPTAPEHQVQVWECHSHDVNKNQYWSVVQEVGYLHRKGLFLTNTQRNDIEGDISF APLLPEDAENPFPNEWHQDHTNENQKWQYDVYTNQLRHFKHNGYCLDINDETGARPHL WQCHPPTHNFYSFQTFDLFQTSSSFN H257_19254 MPRSTTKHDLPDDEQLSLYHELLEHKQNGRLARGKAKEILRKYG ISRHTLSKIWTRGQLSKAQSGLADVVLKRKGRAGRRPSRTIAQIESAVKNAPPHLRRI IALLTASSGIPPTTLWRVLQSKKLVRRTSRPKPMVTEKHKEDRVAFVRSFVRESPRAP MRWHDMHYRIHIDEKWFYLTLVNRRYYLWYDEAVPVRKCSSKLHIVKEMFLTAVARPR FDYVRKTMWDGKLGMWPLVAVQPAQRTSKNRDRGTLVATPVTVTKKAVWPGPRDQPIY IQQYNARPHVEVDDPAVVAAGRSDGWAIQLVAQPAMSPDFNVLDLGFFNSIQALQHRQ VVTGIDDLVAAVHGSFNELDWRILDKTFVTLVRVMEESMKMGGDNSYKLPHQCKDKMA RLGPTAPSVCDPDVVTVIEAMNALKDFE H257_19255 MKELTADQRRAVVDHLLVRVVQQPCKLHRGAILEVAHIFGRNPR TIGKIWQRANVSLGGDNLPNREMVCEHTASIKKGRVGRKQKYTNLPERILAVPASQRT TIAFDAHAIGMPPTQVDRCEQDCPRQMCPRIRATYAFHDMYDYVRVDEMWFHATRIRS QLYLLPGEEPPHRSTQSKRFITKVMFLSAVARSRWDNAKLECTFVEKPSGRHNRAAAV NVTRPVYKKMLIDNVIPAIKALWPPECSRTVFIQQDNERPHAPPSDADIVKACTSGGW VMKLKYQPSNSPDLNTHHSNTYEDIVSAMNNAWKDVDPWSLERNFLTLQSCLREVIGC AGENSYKIPHMKKAALKKRGRLPEYVSCGKEIYDDGCTMLGQHDLSSVMLELSLQTAR DLEMSDIFTALETLDIDDQDE H257_19256 MDTFKRVAVAVATVVCAVCMSVAPITGGGKYNVLTITEVNFDIM LGDPHYEQWFRDNLRCDQTTFGRLVVWLRCQMPQQFLRRSVHSFEKKVAVFLFFLGSE RGYRETAAAFGMAKSCIPTSSSEWHRIERGFFKCQKLPGVVGAIDGTLIDIQRPREYD GFYNRNGNPSLNVQAMVDHKMVFLSVDIRPGSFSDKQIWKKRFNYRLSSTRMVVECAF GRLKERFRILKTVMNEKSLDHTRWFVFCTVSPT H257_19257 MKAAWVISKPSSPSQTGNAALSPLPLHYDVMLEYWSAKKGYQRE SLMSTDVTDDKRNDYSDDIDIKDEHDLKDQSDDETSYERRKKRSKVVKPKKHSEALEA GFLALKDGLIHLGTSLAAAPTVSHVPTTGTTMDDVLRAIQGQSATMAKLLAHLVAQKE N H257_19257 MKAAWVISKPSSPSQTGNAALSPLPLHYDVMLEYWSAKKGYQRE SLMSTDVTDDKRNDYSDDIDIKDEHDLKDQSDDETSYERRKKRSKVVKPKKHSEALEA GFLALKDGLIHLGTSLAAAPTVSHVPTTGTTMDDVLRAIQGQSATMAKLLAHLVAQKE N H257_19258 MAGTSMAAPHIAGVVALLKSAQSDLTYDEIYGYLTKTADREVLK PEPEKWYFANGTFRGDGAYNCGNVSDASWPNNRYGYGRVNVGTILRDGKLNDTPRPVC H257_19259 MNGDNIYKIPHLKKDVQMKRGFGNCTRHVTK H257_19260 MAVNHLLQERVSYIASITKQTDKDVDGFKDASTPLDLEDGALRE GGPLVYTSPEILTLLFQYAVVGICYGGIPGIMVPVLTYYFGLESATLSSASGLMSLGW SFKVFFGMLSDCLPIMGYSRKPYILIGWVMTAICFVVIALKPVGPSVIVDRSDENIKA AQSYGSVLVLLCALASFCYIMADVACDALVVEYAQREPERVRGRLQSFIYGTRYCFQA LSSAMSGFLMSSHRYGGKFGFDISVNTYFGILAVPVVANVFLVYFFMKDRKRGTIRFA TYFHDVYELIQKRAMWQVMIFYFMFELLSSQIGSLAGSYILVYWAHVEPVNSAVVRVI AFIILAITVFAVGRWGTHWNWRYIVVIMTLSSIVIDAIVQYLTIYDIVRHQWFYIGVP LTTEVPQAIEFVVASFVIVELAGDGNEGLIYGLLTTVSNLPMAFGRMVTNVYSTQLKV TKADIETDTAEVRNHAAYSYLVVYGTTVLACFWVVLLPPQKAAVKEMLQHGGKYPIIG ALIIVLTLVILCVSVTALMMTMFESTSCYLLAGGQGC H257_19261 MTMITKSALAALVVAMTSSVEAAKLKNVVYYMEWAIYGRKFGIF DLDWDKITHINYAFGKPSPDGTVGIIDAHASVKKRFSRRGDSWNDQGNNLYGNFGQGF KQKQKARGTKFGLSIGGWTLSDQFSSIASTETGRRTFAKSSVKLMLDLGLDFLDIDWE YPVEGGNDSPPVPHRPDDIKNYVLLLSAIRDEFKTLPWKAELSVASPAGPDNYRHWDF TAICGQLDFINIMTYDLAGSWSKYTDHQANLYEDPNHPPGAKYSTHNAVQDYIKGGCP SDKIVLGIPAYGRSFEGSNGLYSNFTKPTKGSWVAGNDGKGVWDYKVLPLPGATEIYD EKLGATYSYDPTSKIFTSYEGPKSLAQKLDYIKKYNLGGTMFWSGDADAKSGSPRSLI TQVYNTFGRANMAFENNNLNYPTSQYANIRAGAVTTVDSVNSTASVTSSPVAPVTSTA PGAVTTVDSVNSTASVTSSPAAPVTSNTPEISAGAGDQCKGNKNVCYWPLTSQTVPFS QARCKLYVSLIWCP H257_19262 SFAPIPKFDDRFARQNRYELPPEFPLASPYSGIVHHLSGTNIYA HTQIFHQRRLLGPCFKTGRIAPFRQSPEQQQVKARINPHTSTHDADHTIRTRRTRRHK PTQKHVVGTS H257_19265 YVKLTLLNYNDVVRQIWDNASNVRNAQASFTLLLFIYVEKDTST AIRRATANNLVTAATRVAEYIKDQSLLLDPLQTDYATVVTARLPPSASVEIPSNATMR QLGHIDLMSSRHADERRREINAQTTETYRRVRVRFGTMASAPVDCFLSVEDLRSILGI PPFDLTPSFREPIVGGVVGPSVNIEDIDHINL H257_19266 MGIKIKNATDHSTIAIIMSYLPAPTPSLFYRRVLVLPPGTRDDS PTWQCPGVIYAFEPPKHVNAEQVAAKLRRAMARFNVVRAGLCFAGGQLMDFLDMFGGI FDAVLELIVETVVDMLTDSLEDSGPTDDESWDNKIKDAFSHGDDAGDDDGDEKERKDK TKMVRSMFLLGFGGMGLRRQHSLERRSKDNYALSIRGDGIAFFS H257_19267 MKSKAHQKVSVVAFRAERKMKASVQCLDSDEDEGKTIDEKGEKN GGSRSASDDEDTESEVELLVTSVVDSSSTKQLSRLKRPSPPTISTVAQSQKRMKHQAK LTSSLRIDEEGVKRLHRSA H257_19268 MERNRNDDIPTATKVAILLALHDAAVGTSLPHGTFTLVALKFNC SRTSVAQLWKQRSQCNDDPDVFAALETKRRERCGRPRLANENILNAVTNVGLEYRQSV RSVAFHANVSKSTPHRRVQEGVLDF H257_19269 MNTCIILHNMIVENERGNYKLMQDLEFDQATMAAADAAKIVVRA AADVVDGSIAAMASRSAASRNSQLFKQLQSDLVTHLWAHK H257_19270 MEGIKMLQQSTLDDDMLSEIVDAVFDSSSSDSEASSTYKKPHGG SRPGRAPNVNRGHAMGGDRLYQDYFAPNAVYNERLFRRRFRMQRELFIRIVQGIKTHD EYFEQRFNALNVPGLSTLQKITAAIRQLAYGTPADACDEYIRISESTAQKCLDKFAQV QL H257_19271 NDLTEEVKRKVIKALQERVCLGKLPRGTMQAMATEFELDRGTIR ELWRRFQQGCLKSRKYGHTGPTTRYTAEVVIAKIQEIPRIQRSNMRDISEASDISIST ISRALKKGIIKHRSSRLKPLLTEENMRERLQYCGAHVMLTQEAIDAHHAEDIGPAGEA SDAPAMARSQREIEFCGMWDVVHLDEKWFNADKDCRKVYLVEGEEVEHRVAKSKRFIA K H257_19272 MQEQLGGHEYVRQPHRKRIMHPIANDDIALDGFLDDYHFDALLA GDSFPAPSSSSCDRVVTRATATRQTRSVPPSSQESVHAGLMSDARKASPNPPSNSQIE RLFNSLVTRRPTPTQPKPAPSHPCDTAHVIWTPPTPLRTSRGASTIENLTSVKRSQVA PNATAPTPARTHRNAVLQATPLRLDAYLQSTTQGEVPPVRSDYAPFATPHTHSKPKGR KTTQTGPRSSPQPIHTTPHSSAAKPARNDPPRSRILGHMTPYATLTGPHLSPSVHRHQ ADHKPSPKH H257_19273 MRVIEKTPLAQLKMQQTWKSLTFAALDASDIERYRLISSDRVIV ENYFGRVCALWKATYASVTWSAKNYCAIQRTTFALMNFHLSLMPLRLEDETFYGMVLA RYERMANEKKRKRAETQRRYHLNRQERAALDLGRATRSRLY H257_19274 MARYAVDVTFQQTNAPAVSFGEKKVFFSKKHGQYGVKVEVSVLP NGLALNVTSAVPGSVSDITICDFNSDFHLEHLQKAGNDGEAVDAGPLSGEYPDSWALL ADKGYQGLHRARNSPAAWGDPLLQVQNYLFSGNLNNAG H257_19276 MLCNLNLDGTTSAFGATERNAVNNDIIEKYASQAYRTLCLAYRD VDVTPEVVKNWSDEEIETDLTCISIVGIEDPVREEVPESIRQCNEAGIVVRMVTGDNI ATAKSIALKCGIISPNDGSLVMEGSVFRARVLDANGNIKQDEFDKIWPMLRVLARSSP KDKYTLVSGLIQSNVYPHGPQVVAVTGDGTNDAPSLR H257_19277 MHSIERSPQDTSHLTSMDQGKAFFNFQCPLNMTLTLNDLVRLVE TQKERAADTLAQLGGIEGVAQALNVSLEHGLDNNNAADLASRELHYGKNYVEPEKPKT IFELMWHAFQDLTIIILTVAGFISLVLGFIPFAEDEPTKEGGRRLAGGGDPSVAWIEG ASIIVAVLIVVFVTAINDYQKEKQFRALNAIKEDEKIKVIRNGVPAEVSKFNLVVGDI VRVDLGDIIPADGLVFDESDLSLDESAMTGESDLLKKNRRLAPFLLSGTKVMEGVGKM LRNAVNNDIIEKYASQAYRTLCLAYRDVDVTPEVVKNWSDEEIETDLTCICIVGIEDP VREEVPESIRQCNEAGIVVRMVTGDNIVTAKSIALKCGIISPNDGSLVMEGSVFRARV LDANGNIKQDEFDKIWPMLRVLARSSPKDKYTLVSGLIQSNVYPHGPQVVAVTGDGTN DAPALKKADVGFAMGICGTAVAKDASDIILMDDNFRSIVSAVKWGRNVYDSIAKFLQF QLTVNIVAISTAVIGAVVLEESSLTAIQLLWVNLIMDTFASLALATDAPTEAMLKRKP YPRTKPLISERMLKHMVGQSIFQLAVILTMTFAGDKIFGIDSGRKYDRKPVGATGPSV HYTMIFNTFVFLQLFNEINARRIHDELNVFQGILTNHIYLGISVLQLILQVLIVQFGS LVFGCVALDLTQWFICIALGSLSLPVGLFLRCITLPASFTMCQETSVVEKVPSARTKT LWRRGLKRLQVQMRVVKAFQKSATQQKVLELA H257_19278 MALHARVDSRSSSRPIHSGDSLAAMRRSGTDEEFGERDQLLEDI SSQMEDHRVLKDAGCAVEAKKKNGIEKSGVVMRQLAMEALDDDD H257_19279 MFASYESMELNAFQLYFLERMKFADKRTQDMDTSTYLDFSKKRS TNFMGHTKAFLDWLGLPSMTKACVEFLNFVVYNKIGRLIEEAIKLKQQGQLDVLGGAL LAADIKAVSLKGADPTPPQVHPTTTKRKHDEPSPPNPPRGPPARLKRLR H257_19280 MTKTTVKAFVVGSTSVVVQEELGRVDDSGAGLGIGWYVWPSAIK LAAFLDRNRHLVHAKRVLELGAGTALPGLLAAKLSAAHVTLTDKDEADLCNARDAVLL NHIPPSKVTLTPLVWGQDFAGGSIDVILAADCFYNAHDFEDILATMAYVIRRNPHCVV YTTYQLRSAHYTIQPYLARWKLHAKEVPCPASDDDVSVFLVEIRGC H257_19281 MGKRSYGYGSSHTEHDRKEDAAIPPNQALRPSNGTSNAKPSKGT WRRGCQLQENTRTKHISTLDILIEGLAAHRQEGRARRKTSQARRLLYILKVCDPLQRS RRWSKYKCTRVTTSRAKIVCKCTY H257_19282 MRMSIPKYNVIVQPITDLLESVYKAAGGRTRQKVAKVVLADVGW NAEHVACLTSCKDALGHVVTLAHPKPERLICVFADASDLHWGGVVTQIPHDQVDRELD AQDHEPLMFLSGATMGYHYLFHKPDGFALFTDHANLKFIFNPASLYNPEVHYSETRSL GATTHGISPLRNGEFTWPTMAEIVDAQVQAVGKGEFPVAKLVEQQVEVGDNCIFEIQN LVTGVVREAHSSRLKFYADDALEVTEELLRHIAHNADGHVVDHFLDCRYNDRMAVFEV CVRWRGLQAIEDSWEPADNLLPTDFKRYVRSNKADPQVKAMATALGLTQSLGGIVANL TFAEPLNPSQE H257_19283 MVKFLALAAVAASAVAQTEIVNGTVVPIGKYTYVTGLRRTETGP SSCGASLVAPKILVTAAHCSSTAWATFASVGSHYANGTIDGERIKIVKRTQHPKYNKA SRYDYDIAVFELETASKFPPVKLNWDEDQFSAPGVVSWVRGFGHTSSGGVGSPVLLET DVAIWENSKCHDALKKYNYNVTSSMICAGGGFKDTCQGDSGGPLTITRNGVEYLAGVT SWGIGCARPDHPGVYARISEARDFIEPFLPKPAC H257_19284 KTIQELRDKVAKSNEGHGLALQQHSREMRTLQAKYQDLQRVYAD HVAKWKSFVAHTSHHEADHHTPPNESSVSLVEQKHQALLANAQSMQAKYDLVLEQLDA ATRRMHTAESKVQELSHHLATQTHELDQVTELWNEAKVTMDVLQQQNEELSNQLQMTT ASSPLKKRSASETYRRTLLQRGSLFHELSAHVEQEVESARATTAGDLADELDRVNALY ELEVKKVDKLQQALRTRDAAELFCIRAKYQRAVDRVKDLEVLVAFYTPKSPPHTIFSY PTTTVQPKTPVSCQRFRDFPWDIQLKPIPVLNMSDMHTIAANGVK H257_19285 LKSTIESGCVGEVGHEVVCVDLDTGVVVQGELPVDLPSPVWKTL HATLRQCVKLHVTKSDHVFSHSFERQPREFPQRRVRLAFHDAVLAVIGSEDVATSKGG VEDVHFGRFRYLWDDQYQDKMVFFDEAGYLASSPVVMRPFRTCLIATQAFSEYIVDHN GFTDDEASN H257_19286 MYDKLQPGVVMGLAWTAMGGSSLYIETTTVQTKGGKGSLVTTGQ MGSVMEESTKIAHTFARHKLEQLEPDNAFFEADLHLHVPEGATPKDGPSAGCTMVTAL LSLALDKPVKSDLAMTGELSLTGKVLPVGGIKEKTIAARRSGVTTLVLPFGNQKDFEE LPDYLKQGLDVHFATVYDDVYKVALDY H257_19287 MVRSGAVTMIVFNFMARRSFFTMTLLNGTALPATTSFSTKWRWS GCTGGLMWRWYLSGSRLFCVSWSLRMPLITVISSAKGPTCGFWCLASHFSLNWSRGCL TWTCTPMLNVTINGK H257_19288 MGILGAKREAQLKAGIEQWLLKKTDDVPELKQIKNILKTHDTQH HLLPLAFHKRIKPPVSPPRRLLFKAEVVAGKRVPFTKALAVKDRKRMDVIYESDDYEK EKRQTTETKSYSTRPNGSGGIMVWGCFCILGKLDLAFL H257_19289 MGILGAKREAQLKAGIEQWLLKKTDDVPELKQIKNILKTHDTQH HLLPLAFHKRIKPPVSPPRRLLFKAEVVAGKRVPFTKALAVKDRKRMDVIYESDDYEK EKRLSNYSTQLLLH H257_19290 MVVVTLTLDIMGVQVPVNKPLLQFKAMDKWLKKPTPQDEPFSVE MKHIKDILKEHDTQNHLLPLKFHRHIKPPVHPPKRHFLEKEVVAGKKVPFSKTMAKRI RMVSLARVTDEAMALKTIFETEEYEAARKMQHSTSIFMLN H257_19291 MPPKKKANTPFQDVTHMVVTDDNPVLQIWCAPHQIDLVVKQAAE CVADGTAYRWKRMEFCVEN H257_19292 MTFCIAPIIDVVNITFAILASRSLHIAQQESHVNALVSTLTAML DVTIVDQGESDDEQHESFKSMRIPQVVDAREIVKYTITVIRGLMSIQAEHDDANKPLQ ADAPPCFTACGCATCWRRNR H257_19293 MADDQRKKAKEVVDLTGDDSDSDEYFFAGAQRLWTGSEDPLLYL FMKKEEQRIHAEHQATADLVKTALRTVGPMAVRELLRNEIVALEERHRKKDMRLQREM EQAAEESMAESIAEAEAQAAQAAEDQAGTAGADTRGAPNIKIKDEGVGQMAVENGPLG MREVRLEDVRTLKLHEQDKSALGVCVDYINGRTRRLRVLQQLDSRLQDWGWTPALREP VERYHTVFCRSGLFETQVMWLVCALEHMTILDIPLAEFVDWKDKMRERISDWSYDAPR VVRYQDETMFEYIIVFVLVLLKRERGGKRRLGQLPHNVGLLANGMTNFALVRAASGRV PAEIVSWLAVRVRMRRALELARLGSSTGGLRVPNVRQALPGFAMGSRPAPSGAPAKRT AAGPTHESKRRAGLERRSPWYVDPRGARMAAGPPDAGYYGAPQAPSVVVGTPSVPVAA PVKREWKQESASSAEDG H257_19294 MRVAFALSALAAKQSVVSLIADERLSLEQELAEWKAEFRDEQVD AELQRILDSKFSVEGAALTNPDATFDWRNHFALLSNDEFKKYVAVSFGRGSHLRGEII DTLEDETASLQATSKDWTTSGCVNAVQNQGSCGSCWSFSSVCHGSVHCIKTGTLLKLA EQQYAIHYAASGLCLSSAYPYTSGSTGQTGACKSCTKQALAIGSSPVSVTVEAGNNVW KNYKSGVMSVCL H257_19295 MSAHEPGSVSDITMFRDRQDIHAAALVKDASESVINNNGELFQD FPASWYVLNGSLDRHDLERNANVSSDRVIVENFFGRVCLLWKISYATFVWGTKCYDAI QRLTFALTNYHLTLMPLRQYDQHQYRAVMARYRRMVEENNVKRAAIQRRYAASGCLFP ESGTHVLDRERWVSENWAEDIARRRGRSMDELEC H257_19296 MKFALLLAFTVAVAASAQDQVVPAKVACGYETPHHGFEILGGKE AKAGKHRYVAGLKKSSDGETVVDVGIVILDRNITSIQPVKLLFNFVPAGVDTWARGWG FVTSDGPKSPVLKEVSLKTWSNDRASDALKSFDKPVTDTMLAAGGLEGEDACNSDSGG PLTVEVSAGVRLLGVASWGDGCGLLNKPGVYERVSASRAFIEPYLPK H257_19297 MVQYRFLALAAATTAVTAKISVEVHRSLEVAKQSNIRVKFHCGE AHANHRRRLKAGASRTETVESVVHSLKEHTRTSQAPVKLLLANQSTAVEVDTTWIDCS MYIDKATNDLIMEIDALEVVKSIDKPGVKALDESKSDDDQPASAVNETIAWGINTSQA PELWAKDIKGDGIVVGIIDTGVRHTHEALRSNWRKEYGWFDPYDNTELPHDYNGHGTG VTSIMVGKEGIGVAPNAQWIACKGLALGYNIRLVMKCAQFLLCPHDRNGNNADCSKAP HVINNSFAKYELEFWMEDTIAAWRAAGIIPVFANGNDGAKGCAHSGYPAASPQVIAVG ATERNDFVRRTSSFGPSVRNSIKPDISAPGADIRSASNVSDVDYLSNSGTSFAAPHVS GAIALYLSANKGASYDEVYTALANNVDTDTLTVPNNICGEKIPNAQYPNNIYGYGRLN IFKAVNATLPNCTLWTDDFEVIGKEVKNVSQSTAGNCCDECRNTPNCNAFTFTRDNGG TCWLKAENKSVDWVYKKGSKSARVLKPNNDLTSCGTLEEDVTYGGPTVAVTYQAKAES CCADCENIAGCKLFVWYGGTCWLKSAKGAKGFTKGAKSGSLPTSSACAPIELNVNYVG HDINFTNQTSADACCGDCQATSGCKLFVWSRGMCTLKSAMGTNETVDGAKAGFLLAGQ AS H257_19298 MFKAAIVLGLAAQAVALPLFPARIPNGNKVANVGALGHINPAGG GPLNPFGMAFEDAEMMWTLQLCQADSDTDGATNGEELGDPCCTWTVGATLTTTTATHP GKADPFTPDQLRSLKCVVGGSGNATSATTTFSPSGTATNAATTSSPSGTRATPSATTN TPTASSAAALSGAMLTGAAVAMAM H257_19299 MEVDLPVEDHHEGITELTETDGRAGGDDASSLPEHVVGDGPASS DHADHVCDHPVPDLHEPSVAGDSSKTQVVMNVDGVSSSSSPRCQSSPVQGDSNIHTSS LETHAVNSSTQDQHVRPPPPINNHDEAGKFYTVDLTHSTAHATSPLASSVTFRDRMRR WVQRALVASGRANPSADEYFEQQKDRFLGLLQHLQAVQSSLKRHASLLTQFVGSASQL GVDIRCVVQGSGENVFSTAMASQEAQMTDADATLSGALRILEGRIQHMKSFHECLSRR TNLKLDVDSFERRVDARKGRGDTSALEAKAMEARVAMDACTLGLYRVFAKYEADRRSM LHTELDMVLQGLHSMYTKNAAMTAPSSAAPTDVSSSTTKKDEDELFNMMRARGQVTKP GACDGTNNGGEE H257_19299 MEVDLPVEDHHEGITELTETDGRAGGDDASSLPEHVVGDGPASS DHADHVCDHPVPDLHEPSVAGDSSKTQVVMNVDGVSSSSSPRCQSSPVQGDSNIHTSS LETHAVNSSTQDQHVRPPPPINNHDEAGKFYTVDLTHSTAHATSPLASSVTFRDRMRR WVQRALVASGRANPSADEYFEQQKDRFLGLLQHLQAVQSSLKRHASLLTQFVGSASQL GVDIRCVVQGSGENVFSTAMASQEAQMTDADATLSGALRILEGRIQHMKSFHECLSRR TNLKLDVDSFERRVDARKGVSGQWWSP H257_19300 MQRSVASILLRRAKPSCRPFSDSTRRRRDVTRLWTVGSFPIDDK ASHATSPTIYDTWGMLSSLPTSQGYGYTSALHIIGKLPKSAPSTATTAAAPSTSSNLS VKSVVLP H257_19301 MEYPALLSDSESSGDDDFVIALILRNMSAASDHSRRWRQGSRRG KKPNIERNRAQYDKLLMADYFNPVPTYDASHFRRRFRMRKSLFLQIAHDLAQHDPYFQ QKPDVTGRNGFSSLQKVAACVRYLATGCSLDDLDDRY H257_19302 MRQLGDNIAHAQHQQAHQANKHGRKNTNTFCVGLVYLHKSAAPP TVLRNPKLQMTGEYTRRSTSARSSATYPDLSTARPRSRMGNSVQQMLHAHLHLQDATS HVTKTLRLLTNALQRISLILLQPLQGNFNLHDPTLKVSNSRLCCASNHLRPHTPLLQV FWLRVPFQRRFSPRQAMQDLCLRPKCRRGHLHQALDSCQSFKHGIVLQSRHAMPLQLP LNCRQASDHGVMLRRAHLKSNQTPQHVVGDVSDCICRTTSSW H257_19303 MPIIVHDAVVLEDATSAASPEAGTVPATSAASPEAGAVPATSAA SSKAGAVPAPSQTAKVNAVPATPASSPEAVAVPEPSQTAEVDAVPAPEEVAQVVAPPL QVDVLATGDDDRVVAQGPQTS H257_19304 MNMSMQFLCNMTFTVIAAGAVQMVVVPDPSSRETATQRRRRQRE ASVQRRFQVYEDRLSSNRNGRNDRPYYDDRRNDRPYYHDRRNAEYSFSGRVVVAAAVA VFEAVEGAAAPGLLRVKMTAAAAHTRRPIQMTAAKCKLETPDGVLHHH H257_19306 MERDRLTLGFHWDAAYKINSMAYPLLICGITDPGGTLHPVDFFL IGKEFTNEYEWAMKALMSAYAEIPELNVKTLLLRFYHCVACLYKHLGAVQPRVIALML RHLYRLHYSRSNADCDLYWHEAQAASGGCEVLVSKNFTRYFEDQWLGGDCCNRQVYHT PPSFPSTSKTFTRNGRQGALR H257_19307 MRLTRQEGSGGRMALEVERVLRTPQLQPVYALHYSLSRVCVAAG NTITVWPNGGDEGAPLTWQAHSHNISCLDVDLLRRVRTACVELMEPKLELQYFNFSAP DNGTLFILLSMFIAFGYVLAASALDAMVVETPSENLLQCAAASRIQTAHLRRALARWH PRKIIVMGHPINVHGLCRQLKMGFAFGDAAKTTNSNDANLHAYTKCTLT H257_19308 MASHGNNEAADLEERVSYIHSNTAKDDDGYVEAKSPKDLEDGAL TEGGALDLFSREAFALFIQYGAIGIIYNIIPALRYPIFNIYLNLEGYQTSSYKVLTVI GWSFKVIFGLLSDCFPIYGYRRKSWILIGWTVTMTCLSVMAFSPFGEPFCNREKTKYC GTPLEEVPEHELQYFNFSAPDNGTLFILLSMFIAFGYVLAASASDAMVVEYAQREPVA IRGRIQTATYTVRSVAGSLSYLVSAFGLNGPNYGGSFSFALSPNAPYGIALAPCVLVV LSTIFVLVEKKSEPVSFLLWWGRFWESLKSRVLWQVCLFRFLSNVFNGVGTTATLPIQ TYWAGVEPLNDALSDIIGSLLLATVLVIVGKWGLHWNWRWTIAAGTLGMVVVDGFVVF LTIWNVVRNQWFFNGVGNIMSIFPSTKCYRVAGGNGVLDPKTGKCPQKDVVRNQWYYK VMALADNVPTGHVCDGGSGGAAYGLITTSTTSQARLTVLPTSTSPGSSGCPRTTPGAT ETTDARG H257_19309 RDVTSGNTTRQFLESTLSNLVSQLGTRPAQPTEAPPVASNGLLF TWGGRLSKLPMGFEFPAVDAAMAWRLWWLGNDRSGHPPYRFIVPLDLESTKQRKVLSD WKFMMGKFTGVCQDAGMSMPIHPTEDDVSRLFVPIAVYIRTVCDAAPRKRTRRVTQLR LVSLIRTLRNASVAQQ H257_19310 MKTIPMPRRLKLILALASTAVTFAAADTTALAQGPDRKLRTDAE VQAANDGYIDTLKAGEYKDSKFFKCFRTSDQIFEYVNKLTIYAYKLTDGASKPKSLYF QSLLHAREWVAGSSNLYALASILDGIANTQQTAAELFNLYFVPIVNIDGYDISWTKGK RLQRKNANEVDLNRNWPARFDHPENDKDPTNETYHGTGALSEPETTGIDEWLKKKKSS VVWTCIPSRGRSCQTAGSFGVAIGAFDDYIYRTYKKPVLTIEVAGSKFVVPASTIRTR GEEVFKALTHSQFADEVLTFEGNEGGIVFPEE H257_19311 MRSYVAQLAEQWSMKNLIASGNQFKNFPFARYATDVTFQQTNTP CGSYAEKKHYYSGKHSLYGHKVEISVVPNGFAIFCTEHYKGSISDKTIFDENVDVHKA GLAKQQDETLLADPNREHTSWAVLADKGYQGIQHEVRAVLPSKKPKGGILTFEEQRRN DAIASDRVVVENFFGRMKTLWAVCTDKYRWSRQNYDVIFQTGVALTNVHIRFHPLKDE DGDVNTQYINRLNAIGTKLIKDKRKASQKHKKKRKARLSMCLAGGISLAADHAGSDGS DTELGSQLF H257_19312 MHKASTPNPATLRRQSIGNAQGLVHVQSLTLTDLQPFTAHWRRL LVGRCFQEYGSLLFSPSDMCPYVYNAHISDRLHTHKKKRLKKSKASRRNLLAAAQLND NNNDEDDDDGDNESEITPLTSSRHFVRAFHLWRDMCAALCHASVLDSTSDSSSASFHK RSPSKRTLTTQSSTSILGRPVGQQRLRLEPAQHFELPVTSIFVSGGPLASKTFSAFAT TKLRAVELPRISNFRSTIERIHDTILHSWERSTEVKHTKQALAHGRQAMWNSACGMAR EKECANNTSIDLLTSRDVRPSLFQVLNFESAAIANIFRDEGDAETELVNVRRTFQVND SCFRLLYTRAGPDTKYALTVDELWRAMKRLRVVTQLVPTPRDDDRCHAR H257_19312 MHKASTPNPATLRRQSIGNAQGLVHVQSLTLTDLQPFTAHWRRL LVGRCFQEYGSLLFSPSDMCPYVYNAHISDRLHTHKKKRLKKSKASRRNLLAAAQLND NNNDEDDDDGDNESEITPLTSSRHFVRAFHLWRDMCAALCHASVLDSTSDSSSASFHK RSPSKRTLTTQSSTSILGRPVGQQRLRLEPAQHFELPVTSIFVSGGPLASKTFSAFAT TKLRAVELPRISNFRSTIERIHDTILHSWERSTEVKHTKQALAHGRQAMWNSACGMAR EKECANNTSIDLLTSRDVRPSLFQVLNFESAAIANIFRDEGDAETELVNVRRTFQVND SCFRLLYTRAGPDTKYALTVDELWRAMKRLRVVTQLVPTPRDDDRCHAR H257_19314 MVSTRAKANAPLLAATVAAGTNSMVQTMDALSPPTMGPGEMVSD DMEVEIHDLTSPVAEMRDFGSGRFSPAPAAASAANGSTPMESEDAGSPPTTGPPELIS GDMDVEFLDSMAPRPRKRIPTTPTNSTATASTNATPTSTPMHSAHITSPPSNEPTEVA TGDRMAEIHDLGSPLAANGDFRQLQPSPEDVVSTADRRRPAQAPATLPVRAVDPGSHG DSQPRVQPRPRGSAASATSATPAHPSPPTDPWAAFASTRVQAAKASRAKDVGIHRPSM VDLGPLLAKHAAGTLAFHDTMPIQKHDKREVIGWLHMDTGIHTKAINEDAAMASLLHD NQSLVKGDTLVDVIKLTLLCVNFKGRH H257_19315 NGKTMRLGERNHWDFGVCSGVNLRLSSSNRAHLLKQLLVPRFQR RVFVRLQRLAVGVSIRPGFGDVVEVSLRIPGEGGATSLAVRSGDV H257_19316 MRIVEPVLATIAAASSAKLHEPDKCTVILVGAKASASGSPMTTH TADCSSCDFRLTKVPQLSHPRGSLRNVPLLRQAFPRYVGSDRGAPAYYLSNLERGFYN WTETPIIGQIPQVATTYAYIDGLYPIINEHQLAIGESTCGANLWAKPATQGGKALFDI TELARVAFERTKTAREAVQLMGDLAVQYGYYGAEWEGDAVYSEAGETLTVTDTKEGWV FHILPDDSGASAVWVAQRVPDTHIVAIGNQFIIHH H257_19317 MFVLAILSAVAGVAAARQYDTDRCTILLVGAKASATGTPMTTHT ADSSNYDFRIAKVPQQQHATGTSRNVPLLRQEYPRYVGRDRGAKEYFRENLEPGFFNW TESPTIGQIPQVATTYGYIDGMYPIINEHQLAFGESTCGAKLWAKPATQGGKALFDIT ELARVALERTRTAREAIQLMGDLAVQYGYYGAEWEGDSVLSEAGETLTVTDTKEGWVF HILPDDSGASAVWVAQRVPDTHIVAIGNQFIIHHVNLTDSDNFLGSSNLYDVAKRNNF WDGQSDFDFTVAYAEPFDADTSKIVTRRQWRVLTLANPKLNLSPFTDVYGTDYPFSVE TGRILTVHDIMRIQRDHYENTPFDLTQGPASGPYGNPARYGTGPNVTAPAWSNGQRTI FERPISYHTTAYSYVTSLHPTNDNLSLL H257_19318 MSKQCDESFLSDAIGSDDDLDDHDYSKDQRDSTTSGNPNDDPDV DEYWAENDAADPGRQADALLDNEVATRHTGKGKGKSKVVPRKFPMPEKRLPPRKGFSY MYMAAQNETTQLNVRRRCDKHDQRPFKIPLPCTQTTRILA H257_19319 MQAVVDHRMRFMSYSLRSGSQNDKAMFNESLFGQTCHTRDPPGS CFLGDAGYKLFTHVMTPYSIVSSMASDEANYNWIHSRSRMVVERAFGRWKNKFRIFKH ELLHHCPRDMARLIEVTLVLHNWYIDYDNEAVAPTQPETYPGWMHIGGDIVDDDELFQ VDGAAA H257_19320 MTKENSTKAELLAHIQALEHREAHPCRIHGLLVIALLELRFNAY RNAFNGSRSAKQLNLLWERLTVQFNIATNQIINVDADSIKNKLRKLRAEFMVIQRALV QTGNVTPVPKRLYYAEMLTVYASLQGLGDIEFGMECSNNDEYTVPAAKKRKAEVDMEM KRQRQGRKHAQTDISQGLEKFGNTLGAAIVQAANVKNAPVGGPDMAVQMAKLLEVAES TKASIDSSNQVQMKLLAFLETKF H257_19321 MTPSVVGHAAASHIVGWEAVTVVCGKTAQAEVPGTRVIVNADAA AVSGVKVLPWRSAALQKARVVGHDRCVVEWGKIWRRFARRRALVRPCRIDAVRARH H257_19322 MANIFAFLTVFAAMVTATKIPIGTPYIGICAANEDLDAGLCYPK CKPNYTGIGLVCWGRPSPSWVDCPMGDAVTHSHCAAVLADQVLSVVVPTANISTRFAG SSVKVLASAANPAQVSKLRSLWLKALPHLAKKDLQYARTAIALMAVLDKTGLLDVVAA DMFPTCDKIYFECRTAQTLLCCLRRFAQPASYICYEPPASTITILLDDAYKFYAAWRT TFEEASATACFFSLYTNETYCSTRIETDAYTISQAKH H257_19323 CVFYFSLTVLEPVCVGKTPRQRPQEYQLAFPAAQEFEIPLKLTR FLIFLSPTFFEESNEEDFRNLAKFGVAKVTNVNRMIINECLVRELHFSTFGSRRFMNC LWKL H257_19324 MGTRWGDNGYAYLQGGMGTECMCNLAEGMSWLVKPRASVSGARL NQTKCQTLVLNGHLDPADTDGGGLLNIVPSGQPVKYLGLMFGHRLPSDYQLNLVNERW SCPASNIGVAVLAHCKDSMLNRQHILFQRSTSTSLNILDAGSRFCRMFDEVMRSLEQD HLEWVLHQEGEMVVEIMTKLIKPESLKTAGQNQLSCSGTRR H257_19325 MGLDDECKPSPSSKQVEAPQGGKPQVPRREGGREHAVKNNGTVG RKAESIVPKGAKMGCLTCDGSDVDLPLIHVVSPLQQMDFKWPTAATISDIQRPTMETD DAVDLHQRICVIAHQGASSHRRIAATIKSVFDMFVWKTLSTDVEAFVRACLHCLCIDG DMVPRPLGSSLHAKTNVLIYFEWLSIPMANSGQKQVRLVNDDMRGFVQLFAAASVMPP RTLNDHHLTTAYSPWADVTVEVDNRLMLRALKALLSEMKLNEWPHVQPLLQGALYHQP AVRLGEIVPVTAFTDLPAITPWQALTIRRRRNFTSRTGLALPVRNMSLTSKRCNW H257_19326 MEHTSDGSFQQKLVGSNSFIIVAEIAAEPFRRSLHVSGVLEYDH LALKVGVVLTPLKHVGRVVRQEASMDDRVWRIYFTELLKHELVAETPSVILVDNLASH VSNASV H257_19327 VRDWVYRVEDLRNGAITTHHASRLKFFAARDLLVTQALTDHVAY VEGGHLVECFLDCRFDRPTHSWMLRVKWLGIDILEASWEPAADMATDVPVIVAAYLRK ASRGNGNVAKLKTVLAISHPDVV H257_19328 KHVTDLQVALEEMHRNVAVRSDKLRQQARGRRDRKSQVKFAGFS VGDFVLVGSVVSRPTKLALHWRGPCLVTRVITDHVMETQQLVPPYEITVHNACRLKMY HEGGREVTEDLEAQIAFGDGGFHVERLDEARCVDGQHQVLVKWLGLDDEESSWEPATI LLDNIPVVFCKWVAANKEDPAVAALIKTLDFP H257_19329 MAEVVVLRQVRQTADWDCGLACIEMMMRWMHQLYPHVFHIINAN HLHAQLRKAMPFRSVWTIDLVAYLWAWIVRANQPAHMKVEVWFASTSMQVNPVHASHP YYSADYAADVARVAPLYSSWRDRSFQGQVSTAELKRRMRMPTAVLLVLVDASHLECCV WNDTTTLQLTDRPSFQGHFILVTAITDDKVYYVDPASALHEVCVADVATFDRARTHPS TDHDLCLLSIQRF H257_19329 MAEVVVLRQVRQTADWDCGLACIEMMMRWMHQLYPHVFHIINAN HLHAQLRKAMPFRSVWTIDLVAYLWAWIVRANQPAHMKVEVWFASTSMQVNPVHASHP YYSADYAADVARVAPLYSSWRDRSFQGQVSTAELKRRMRMPTAVLLVLVDASHLECCV WNDTTTLQLTDRPSFQGHFILVTAITDDKVLLIFGWKTRHTN H257_19329 MAEVVVLRQVRQTADWDCGLACIEMMMRWMHQLYPHVFHIINAN HLHAQLRKAMPFRSVWTIDLVAYLWAWIVRANQPAHMKVEVWFASTSMQVNPVHASHP YYSADYAADVARVAPLYSSWRDRSFQGQVSTAELKRRMRMPTAVLLVLVDASHLECCV WNDTTTLQLTDRPSFQGHFILVTAITDDKGNIVVFANIFGLLC H257_19330 MLSAVSVLHASRNQRGPFVFACAACCAMEKEVNYKGCRYHRGSP KLWQEGNPKVSELVTNAMKSNLALGSSYVVGGDSPITPWELMDIRTHLLSSNSLDDFQ LFTMVLVSIKLFLRSDELVQLK H257_19331 MHSSIVFALAFVAALATAASPEAPAPNANESPAVDSKDGKEWLG WYRPLYRPVFAVPVLPIVPVYRPVVVVGRPHLRRWERAAEDNTKSNGEWAAARVGPRG GAVVVAGRPRRYWEDKQPSATP H257_19332 MAMMVQDASAHGRLLVPPHRGYIGKLPQFNGLVPINFGDHGLSA GGIGQTRGGKHGICGDRYSGKRLHETGGEFAKFPQLREKVIGACYAPGSTMDLQVQLT ANHMGYFEFGLCKLNSLNDKETEDCFKTLVQPNGEKDWKLPAGNKVFNMQYMLPDGVS CDGDSHCVLRWHYVGWNNPDVESTTINY H257_19333 MQATTGRDMDLQERVSYIQSKGGDDDKEYDAVKTPGELEDGALV AGGALNLFSREAFGLFSQYAAIGVIYGMIPSLNYPIFNVYLQLEGYQTSSYGTLVTLG WSFKVFMGMFSDCFPIFGYRRKSWMLIGWTATMICLSIMTFSSLGDPYCNREKAALMK SKACTKPFSNATEKEKELFNLLAPDSGSLFIILSMFVSLGYVTAACASDAMVCEYAQR EPVAIRGRVQTAIYVVRTLASILALIVTGFGLNGVNYNGSFGFSMAPNVPYGICLVPC VIVVLTTIFVVQEKKTPGVPFSEWVGNFWELLQKRVMWQICAFRFINNMFQNISSTAG SPMSSIWAGVEPLNDSLSGIIGNAIFSGILVVVAKWGLHWNWRWTIALGSLGVMFIDG IVIYLTIWDVVRNQWFFTGVALADNIPGGIRFVVSTFCAVEIADVGNEGATYGLVTTV SNLASPFASVITKYINSYLKLSQNDIMSDTLAVRWDVTYSYLISYGSKLFALTWLWML PPQRGPMQELKKKGGKSKLAGIVLITIFFSCISFSVTSSIMAIYPSTKCYRIAGGNGK LDPATGGCPLVKSRKG H257_19334 MRLTFTLVAGTPFGANIQLLTVQQGYFDARVKENPLLHLWSLGV EEQVYIFWPLFVSVINQLSFCKTLAPSGCDDVQLCRDTYHWSRKSYDIVFQACLALTN VDVRLHPLRAEDGDANAQYINRLNEIGAKIIKTKRAAGKAYRSKRKRDTYHWSRKSYD IVFQACLALTNVDVRLHPLRAEDGDANAQYINRLNEIGAKIIKTKRAAGKAYRSKRKS RCMIAYREQKEMSGLEGTIRQLEHIRSVLHKSIPTRLARSLLLLAWHEVARSLADLRM LSESQNQALKAQLVEHEALVREMYHWTDTYHIKPSLDHNRTLWRHASLLNDPATRKLG KEWITEHMFHNTDAIFHQYGFPPRDSFEYLLHDFNFTFDDNGY H257_19335 MADVHDVVPSRRKGRTPFKYGKKSHVRLFKNKTVDYQHQLSVIH RVAEVGMSAFLDGYSANATPTQHDTTRKKVYGWMKQKDHIQEIATTARTAHHKCARTR GTGTTLPPVEEEQLARWVLGMRKD H257_19336 MPSPRSLTAFVPRTGNKKLSDEQRHCIYETLLERSEGGDLPHGC ITRTARLFNCSSQTISNVWARGRSSLREGSATAAVSAKYKGNTNRKVQHTDEEIESLI RAVPLYERQTLRTLAAKSGLSKSTIIRHMQRAKTLKLKSSYSKPLLTEANTKTRMEHA LSFLRPSSKGTIFDN H257_19337 MDSLKLVKNTTKAWDALATKLLEVPGFGRTTLDGKKAANRFYQL LRVHRRFQQSSQYLSGVEQEETGKIVLLDELVQLFDEAMDQRNADRAAMHAKVTEKEA AASFLRDQAMQSGKRKSVETDESTDIDVVGRKRKAIFESHERGIELERERLAFEKYKL QMELEEREKERLERIQQREFEMKRNDDIMSLLLQLASKLQKE H257_19338 MKFLAALIHAAAAASSQAGAGPLAVVLDSLAPVDNTTIAISKDQ SVAVQFRSPPVAEGCDTPSGSGDIDCTLGLKLEFVNFTVRTIDISTDASLWLQAELCS PGVEEGLPGCTKSCVPHIPIQEFAEQVTFQWSPPSPIELKPNTKYWFTGVDNAEEIKE LLVSMAEHAARQSSLVVN H257_19339 MEKAKREATTKSERLAMLAFLRIQENFALLTGQATKGKTKKGGQ KLTRAYGLQRLADDDDLDDHDYSKDQRDSTTSGNPNDDPDVDEYWAENDAADPGRQAD ALLDNEVATRHTGKGKGKSKVVPRKFPMPEKRLPPRKDFSYMYMAAQNETTQLNVR H257_19340 MKVAAVLSLSAAVAHAKVSVGVLRSLETTGTSDVLISFVGADLE SLAESKHEDRRQAVYDALTAHSATTRTESASVLDSADCEHFWIAPAAICRGLTKEQID QVARFSNVKSVAFPDDVQLIEPVLNEEVSVKAGDVNSTVPQWGVSTIGAPDIWKYYKG KGVVVGSIDTGAEYRHESLKNNWRANKGWFNPYNGTLFPLPVDSATHGTHTIGTMVGS HGIGVAPEAQWIACLGLYIRSGSPAALLKCGEFMLCPTRLDGTHPECKLGADVINNSW GSTAGYNPVYEDMVSSWRAAGITPIFSNGNAGPKCGTTGNPGRYTRVISVGAIGSPQN DPNQLAAFSSKGPGYPRDANNQTLTIVKPDISAPGYATLSANARVLDGYVLMAGTSMA APHIAGVVALLKSAQSDLTYDEIYGYLTKTADREVLKPEPEKWYFANGTFRGDGAYNC GNVSDASWPNNRYGYGRVNVGTILRDGKLNDTPRPVC H257_19341 MRTHPVFYVGLLKPYRDPARVSPETLAPGRMRAAKQREVAKQQE AARQQDADAQHQRSGTQDTAGRQEAAEPLGLSNLTLEVRDPMLHRLGRLEPTNAALNI LKIIR H257_19342 MAMGSALLQSEQQRVALAISKLGGRAREWALTCGTSVDAAFPTW DQLKQQLLRVFAPPNQAYRIRSKFLATRQGKKELLDYVQELRTLIAGMASSPLPEVVA VTVFMEGLRSGAARKEVFRVHPASFEEAVNVALNAEYNFKSARPSWSAGSANPSNGPE PMDLSHAEDEADLCAAEQRFSIRRCYTCGSTRHLRPSCPPKHRFELPRGFVAGKRRNP VGAGRPTGEELGSVGPPGGRRKRDSAPQRAKLSYSRSACKPGLLVVQANVKGFDKPWR VLIDSGASGNYVRRSTVDGYQPYAEALREPRRDKISVRLATGTLVTVSKVSIDLNVKF LDFDSMERCLVLDLDERYDLILGMAWLESHEPWIDWNVSSNDAPRDCSEQLYTLVNGV TGDVDGDIRLERLPSVAALLELDEMSAEELGNALKAGELAEVVMIRPEEELNSSSVVD EAVLEDTKRALSARSGSSILKDPTDPFHSLIKEYHDVVSKDPPSGLPPDRGVRHEIDL VPGTKYCVTRQWPLPREQCDVIDAFFRAKHEAGLVRESKSPHSTPTFCVRKPNGKWRI VHAFNKLNAATIPAQTPIPRKDVLQNNMVGCTLYSALDLVDGYYQLLMRASDIPLTAK DAPWCWDVLHDDAFKAVKESLLHAPILALPDPYRPFSVVCDASDFAIGCALLQADAEG RERVIAFESRQLKAAEKNYPVHDKELLAMKAYAQDATCVALLRALGSDEFKDSDKDLS QRLRARLQRYTLDNGLLYYSTDPEDTPRVVVPHDEDLKYRILYEVHDTPVGGHLGREK TYGSVSKMYWWPKMYKWASTYVRTCETCQRTKSAPHAAAPLASLPVPSGCWQSMSMDF VFGLPKDKAGNTGVVVFVDRLSKMAHLSAVPDTVDGEATAKLFLDRVFRQHGLPEAIV SDRDPRFTAKFWTSLFRVLGTKLDMSTADHPQTDGQTERVNRVVEDILRSVCAEAPRR WSEMLPLVEFAMNNAVHASTGFTPFYVNGLVDPRVPLSPPRCGSGLDGGGLADRLADV SPVAIRKQVDDFVSLRLSVMRRVRDAMAESQDLQKEYADAKGRKNMEQFEIGDLVLLN AKNLPTHAVSAVFKTKLRPRFIGPFKVVAKKGLAYTLNLPKKMRLSTAGAGGGDAS H257_19343 TCCGSQLHRWALAEGARRANDRPLGTQQRQHESDRAGSPQEPAC VQGRHPRQRHILDQVEREPQHRHPRLLASRQRTTIIIAQRLSTIRDADRIVVLSHGSV LEDGTHDSLFKIEDGHYKALVDAQLRECRLAKGVYPMVVLVCRDPCCDALRHVHIGDL LVEINGRDTAMMSVKKTVGFCENVHQDRLAQV H257_19344 MTSCAARMASATETPASLNLLNATTPTWSVVPNGTVMAYGYARR RMNAIVMMVHVPASITPCAARMGKPTATPASLNLLNAYWACRAYRACQVYWAYWAYWA YWACRACWAYRYVVTVPFSTKEQVGVGACSN H257_19345 MPASGQPPTKEECAKHGPNTTCHRNIAFVCGSDGQSYDNHCEFL IAACASNSHLSLHARGHCDDIRPTTDECDRIGPLCPRIYIPVCGSDGRNHGNSCEFQI RQ H257_19347 MDKCQVVVEHIRKHSFGVGLPGSSDTRAFLRVQQDRLERALQRL SAELYSTSTHFVLELLQNADDNAYAPHVAPCAEFV H257_19348 MDGVHVQQLLSLTTIIHEPDDDHSAKTHYTAIKSFLALYKKAIK QCVFFVGDNCGVNKLAALMSVSLIGCASHRLNLAVKAYTQEHVDELAKIQQLMIKLRT LNQASKLL H257_19349 MTNFSEYRLWTKVGGICSRPAVDAVQFVKALRYLGRRCGSIQAK TDFLREARHILPDRFHPYLMRTFVTAVEHKWTMQALAESGHRFKNFPAARYATDVTVQ QTNVEISVVPNGFAIDCTKFYKGSVSNKTIFDENIDCHLANLAKRTGETTLEALEPGM EQWAVLADKGYQANTYRWSRKSYDIVFQACLALTNVHVRLHPLRAEDDEESRWEGVQV K H257_19350 MDVVIAWMACEASYVRWEGGDKHSGATKASLATEIVGKLGAHGI VDEDSIQKEVHRICPYYYTLDEVMRDRASTAPLVTSDDLDLGGSDNEAEPSLPPQASE HEKKR H257_19351 YAMCGFTGCIGFIDGTFFPYEFKPTLCGEDYYSRKGCYAVAAQI IVITVVFFATFMLAGMGQPMTIVCGTTTKSLIAVFSMYLVIITSWATRRIKNQLVLSL RSKISPISPWSILNLGLTPIW H257_19352 MAWSWSTTSQLATLVAVEVMEKGNEVATYALITRVNNLESPFAG IVFKYVDSFFKMSRNSINNGSHHRCAVGRVVSVRIFVRVKTTVAGMLPPHKMEITVTG GKAKMGQEQVFCMALQKDL H257_19353 MEKGNEVATYALITRVNNLESPFAGIVFKYVDSFFKMSRNSINN GSHHRCAVGRVVSFRATQPTALIPPVLSPLPPSAYQLPSSAPAATLHRVDVSYDPMLW TKEWKSPVSSNGHPALPLLFFPDREHPLVMPPSSALVVRRPRALVRPDRPPTPGRSYG LTSKQRSMAGSAVLFNSSNWATHTTAIDHLGLQVWQLALALSLRTLWNDTCQGRIP H257_19354 MAAIWAKILGVNVGDIGRQSSFFSLGGDSLSVVKVIAASKQAGL HLTASDLLKAMVLWRVAEVATSRSSQTWPSAMLTPEVMTSLVEGDSLAKWSHCAVYPV TGLQAGMLLATMLNRDSYVLQSAVELGESMDLDTLQWAFHTLVERHELLRTSFVTTSA GLFQVLRPDTSNIEITNVAAPTLEAFLKQDLAKGFDLTGQLVRWTGVVTECGTYGVMT IHHVLYDGWTVPMLWDDLLDIVRGNQLADRPGFHRVVDFVQAQDEAATQEFWTSYLRG VVASPLSSSTSSGHWNEAFQNSDSSLSLTSKASLKEVHEAARRLDVTTAEFVKFAWAA TVRKYTRQDDVVFGQVMANRDIPVDGVDRILGPLVSTVPCRVQFNDSLSLASMLDSIR VERGAMTRFAHASLADIKKWSQVEGVKLMNSLFAFQNTPTATDHNGCWSMLKPSNPST VLSQEYTFELIVEPTPTGLNAHALYNPGDISWDQAQWILHEFDHSLWHLCTEATADTG VSELTSLSEAQTALIRSASFGPTVPLPFELLHHAFEARAAKHPDVRAVELDGQWLTYG DLNAHADTVASQLAELGVCVGSRVAVVMDRSMQFDTDLAFHRSHDLAMDDNVKINGGC NDTYPAAVYAIEVAVTPTTSGVAIRAAYDRSRTDQVAVRRLVATMASYLHEWDHSLRQ H257_19355 MATFVVSPVEANTYEYREWEKPQGKEGHAVTSRKEYKEEGCGEI LQSSPNIHGASLIPSANGFVLGAVRAYNEHHHLVLRPDDVWLAIMTQFGLFVNGNAEA VRHSLVKHQEGTKELVVRAVGSLHTANYGRLATHLILKMKEHLVDPSLGEWVLPAFTT TTDHDRIVGSVVMMASMKKYFTYKMMLMCGLPSVTLLGTAADWEAIRCRVDKLATFGD VTTKWHALLAPVLDQFVAAAQNKPDVAFWQRICHTEGGGGSGPSFLSGWITVFSVFDV DGKWQGDERVIRSWGEETTCEFPVVDLDDVAPGYLTVDVTIDDNGVEHKSVMFAGQMS YTVVEGNSIQPTLSWAMALKESKQ H257_19356 MINSDDHATWSHVPAMQFDTDLAYHRSHDLAMDDNVKINGGCND TYPAAVYAVEVAVTPTTSGVAIRAAYDRSRIDQVAVRRVAVST H257_19357 MYHVGGREVTEDFEAQIAFGDGEFHVERLDEARCVDGQHQVLVK WLGLDDEESSWGPTANLLDDIPVVFRKWAASNKEDPVVAALIKTLDFPLEGKCSAACR AIGSRVPWPKAKKKRETKHPRHFESGGLVAADNLVLSQGSNGHGESEK H257_19358 MNRPLLFALLLTAIFATTTTALKWCNTTKVVNWMNSCDKLGSND EKCKNRACHSALHYIVDKIVRDCYVQSGMGPASDLDKYIVLSNYCHNQPPAPKPVRTT TTPVPTTTTVAPTTVVPTIAPTSTPVACGTVE H257_19359 MRVTSMLERLQDQQPDEDNDVQDANNPLIMDKVIEEAGDEAFRV LTNFTPSEFDIIWANVERAMKSKWFEDRGRKSKLTPKDALYVTLTVPNHYQSWEKHAV DFNLKAPRLEKIVVKVVELCSPILYDTFSLPTDHLEEAPVSPDGRLVDITPHVPGAVA DLTLIRNRLDEHQRFLSKEARYIGLAASLRAIHPKKKPSGGALDRHDLDRNKEVSYAT FVWGEKLYDDIQRLKFSLANFHASLMPLRLEDHNSYRALNVTTCRAERKDLLPVQHVL IA H257_19360 MTTTKYQAVSIGARAASPKDGHQKANAFSKLLFRWATPLMALGN ERQLDPADVWPLQLENQCSVVGRVFEPVFIQSHSIMWAIASVFGWDMLWILVMQVGKV LGSLYGPYVLQQIVLSMESNAGFDITYCLELIASLVVVRVVSALLSAHSDLQMQMVVV KVTSALQHLLFQKSLRLDAASRRVKSTGEISNLFLSDIPTIVAFSMVGNQLVILPVQI ILTLWLLYTVIGWSTFVGAAVVVFTLGGNQFLSNTIETTFDALMSQKDTRMKAINEMF GAMQIIKLNAWEEMFAAKLAVERTKELEILWRLFVLVTISVVLLYLGPTLVTIASFAS YTLLQHQTLPASTLFTALSYFTMLKFPFSSLSMTISSTMQALVSLRRVTEFLDLTEKN ATTVFTPATAPKDRLQNYVDNDVVVAIENASIGWDVATPLFRNVNLTIKRGEFVVVHG SVGEGKSSLCAALLGEMDKFNGSIFVGGRVAYYSQQAWIQNMTIRDNILFGHPYDRVK YNNVLAACALSKDLTLFPAGDRTEIGQKGVNLSGGQKARISLARACYSDADIFILDSP LSAVDAIVQNEIFTKCFLGLLRLKTIVLVTHSPEIIASSFIHRTIEVKDGQVVDQDVV ALETSHDRTILIDPTLPSRPGFQVSNEVLTKAYTPTPNDYSTIMSPSVSSPLGGLGDN LFTPVMKLRGQTFDDVSGQLVQDEERMQGDVSLSVYSEYLDAAGGYGAVVSLLFGLIL WQALTVGSDLWLNVWTGTVATESPQ H257_19362 MFLKTTVVVLDPSPTSNPNACNWRPTPNGYDALAALSDNWMLSD VTADWSLRLDRTTPAKRRSKSITTSMAASTSAWPASVKIVARYLRYGFSTSAHNGSWK YSRPNGDPGCSALKMLRVTSASSGQIDNFTNRFTPVALEMAAPRKIASTVELALRIAL RWASMGAKPKVSIVSVFIHES H257_19361 MICRTQVQTSMGELLGSYYLKEVWTTDTAAFADSLVLKLKAAFK TELESAGWLDDTTRANCTTKMSKLAQLLGGPKNPKTYPTLTFDPKAYIANLNKVSAFD TAFKLAQIDTAVDKQIWVDTPAYDANAGYHEATNTLLFPAAIWQPPFYYAKADPSVNY AAIGSTIGHEITHGFDIDSDGKINLLWTANVTKTFDEKAKCFIEQYGSMDVKSELTGD FLGKLDGKLTLRETIADNGGLNTAYRAYRDYVHAEAEATKYTKETGKKMFWISHAQLQ CAKNSDEYLQYLLTREHPPGRYRFIGSVQNSVDFAKAFNCPVDSPMNPTKKCVLWE H257_19363 MPPRKSRPSKGVDLADRTPSKRVLWTKDSVGDGKSSMDVVIAWM SVETNYVRWKGGDKHSGSTKASLAAEVVERLANNGIHHRTTKDVVQKIGDVERSYRTA CDWLANTGQGIVDEDSIRKEVQRLCPYYYSLDEVMRDRASTAPIVTSENMNEASSDED VPSTPEAPSSASKKRTAAAAKLDDWTEINARAYALKRQQLEFTRDVEERKLQVDMQRE ARLAEETKLNVRLLTIQADEAHWKFELAREAAAVDARIKKIQTRKDLKERGWSDADID MACPI H257_19364 MVKISERVHLLRNATAQLERRLFMRLCRELLDNEDSDEDELDQQ CLQLLHAIERQRYSVVRRNDPFKRTRFHHFLFEIKDTRFRKLFRMERRSFHSILALID KQPTFRSIHGKVTKAPVAHHLLVFLYYLGANGNAVSNEHLASFFGIGAGTVSLFIRRV TDAVVLLRDQFIGWPNRDESLAIAGEIQSMCGFSNCVGFIDGTLFPFEFKPTLHGEDY YSRKGCYAVAAQIVCDHRAIIRDIYTGWPGSTHDNRLWRNSKLFIHARQYFDRSQFLL GDSAYQLSSCLVPAFKNQTHQVMEHHKSWFNSHIAKGRIKAEHCIGMLKGRFQYLKRI RKVMDGKPAMASIIKTILAASILHNILVTEDDIVPAAWIELQVNCNCNADLRGCRVCF PPNTIEPTDDIESADKRSIVLNQLLIETGYPF H257_19365 MPPRKSRPSKGVDLADRTPSKRVLWTKDSVGDGKSSMDVVIAWM SVETNYVRWKGGDKHSGSTKASLAAEVVERLANNGIHHRTTKDVVQKIGDVERSYRTA CDWLANTGQGIVDEDSIRKEVQRLCPYYYSLDEVMRDRASTAPIVTSENMNEASSDED VPSTPEAPSSASKKRTAAAAKLDDWTEINARAYALKRQQLEFTRDVEERKLQVDMQRE ARLAEETKLNVRLLTIQADEAHWKFELAREAAAVDARIKKIQTRKDLKERGWSDADID MACPI H257_19366 CNIMVKISERVHLLRNATAQLERRLFMRLCRELLDNEDSDEDEL DQQCLQLLHAIERQRYSVVRRNDPFKRTRFHHFLFEIKDTRFRKLFRMERRSFHSILA LIDKQPTFRSIHGKVTKAPVAHHLLVFLYYLGANGNAVSNEHLASFFGIGAGTVSLFI RRVTDAVVLLRDQFIGWPNRDESLAIAGEIQSMCGFSNCVGFIDGTLFPFEFKPTLHG EDYYSRKGCYAVAAQIVCDHRAIIRDIYTGWPGSTHDNRLWRNSKLFIHARQYFDRSQ FLLGDSAYQLSSCLVPAFKNQTHQVMEHHKSWFNSHIAKGRIKAEHCIGMLKGRFQYL KRIRKVMDGKPAMASIIKTILAASILHNILVTEDDIVPAAWIELQVNCNCNADLRGCR VCFPPNTIEPTDDIESADKRSIVLNQLLIETGYPF H257_19367 MKLNADEWPHVLPLVQGALNHQPADRLGGIAPVTAFTGLLAKTP LAGFVHPTSKEVYVADWLGAARQKHVTDLQLALKEMHRHVAVRSEKLRQQARGRRDRK SQVKFVGFSVGDFVLVGSVVNSPTKLALHWRGPYQVTRVITDHVKETQQLVPPYEVTV HHACRLKMYHEGGREVTEDLEEQIAFGDGGFHVERLDEARCVDGQHQVLVKWLGLDDE ESSWEPAANLLDDIPVVFRKWAAANKKDPAVAALIKTLDFP H257_19368 MLSRPLRLLPQLSASLMARTMSLTRSLSRPMPIMTASKSPASPA STVALLTTPSPCALLSSRTMSATPCVLALTAQSLTNTALPLPRSANATSTIRRALPID VVTTAMIAEGLVARVVSVVVVAQVAMTVTVVVVITVATVDMDVMTVALGVALAVETAA VIVLAVKTAVLVVMIVTPATLLMMQSTVTLDAVVLRLLPTTPSYHPPSTVSSKSLNRL ACYPKFLLRALPHSHTAAIQVKAINVEAGKKLAAQCSAAHTFLVSAISVNLRRLNQTT VCPYSLFELLKFTEESCIDTLAVELTDLVKRYRVSMTPPSFNLLDASVISSIDYDTHI WNYHTLCAMSGTFINDKELWEVVTNYVSTARAAGTPVVVDDVWVSLRRILTNRLQRAS ALGDHGSVATIQSRSQFAAVTHAVAVPAPPPTVISQPDGSYHVLNAFTIPAYAHHDCV KIPGKSCFYCGVANHTLPVCPTLKSDIDRNTMQAQTQTSTIRRALPIDVVTTAMVAEG QVARVVSVAVVAQVVMIVTVVVVITVATVDMDVMTVATGVALVVETADVIVLAVTTTA LVVMTVTPATLLMMQSTVTLDAVVLRLLPTTPSYHPPSTVSSKSLNRLACYQKFLLRA LPHCSTYLHRRRALHYLRLLRLLRLCHWRQLPSNRPHCQPTTGLPLTPVISTSLKSRL TSAFLAARSDVDDIRPCTLRLNFGQHCSELQFNRFSVRQASDDDITVRFPARDVCEIT TSFGDVLNAPNNAMGLYSFPSQPKVVPIDPHHGESLHISDLTRFRAMMASFETALRTF STKYSGFSTFNDQLHMFAYSSVLRYAPITHRPSMVPKSPPPPPLTTDSVYLSVAHAML GTPTTTRLTPNSQQLVLRAFGTAVSVILALMLLNT H257_19369 MANILAFLAVFAAMATATVNESDAPQNTTASFFCWKHTYTRGVG RVPGSCAAGQEPLGLFCYDKCPAGMTRVGFDCHSKCPGWLEDQGLFCRYREYGRGWGF PWRLFEPLDSRGMLGRCQSWYGKGNCEVYGLMAYPKCLPGYYPFGCCICRPSPPDCDA IDMAGRFDLSCAKKITIGTPHLGTCAANEDLDVGLCYPKCKPTYKGIGPVCWGRPPPL WEDCGMGAAVTSSHCAAVIANQVLSVVVLAANIASRFAGSSVKVLTSIANPAQVSKLR SLWYKALPYLAKKVLPKVVKYFGTNVGTMAVYHNVFHDDNATFTNEDFARTAIALVAV MDKSGILDVVAADMFPMCDKIEGILNGHG H257_19370 MLKRKPYPRTKPLISERMLKHMVGQSIFQLAVILTMTFAGDKIF GIDSGRKYDRKPVGATGPSVHYTMIFNTFVFLQLFNEINARRIHDELNVFEGILTNHI YLGISVVQLVLQVLIIEFGSLVFGCVPLDLTQWFICIGLGSLSLPVGLFLRCITLPAS FTMCQETSVVENVPSARTKTLWRRSLKRLQVQMRVVKAFQKSVTQQKGLH H257_19371 MTLTLNDLVRLVETQKERAADTLAQLGGIEGVAQALNVSLEHGL DNNNAADLASRELRYGKNYVEPEKPKTIFELMWHAFQDLTIIILTVAGFISLVLGFIP FAEDEPTKEGGRRLAGGGDPSVAWIEGASIIVAVLIVVFVTAINDYQKEKQFRALNAI KEDEKIKVIRNGVPAEVSKFNLVVGDIVRVDLGDIIPADGLVFDESDLSLDESAMTGE SDLLKKNRRLAPFLLSGTKVMEGVGKMLVICVGENSQAGIISKLIMGKGKAPKASQPV VEDDGYAAVETPKGSDAKKDGDSEDDDVVSPLQGKLDRLTLLIGKLGLFTSIFVVVAL IVRFSIVTFAIDEKEWSNKYFKNYLNHFILGVTVLVVAIPEGLPLAVTIALAYSVKKM LKDNNLVRHLDACETMGSATTICSDKTGTLTTNRMTVMECYLGKAEFSSA H257_19372 MPLHIKDLVRLVETPKEHAAGALAELGGIEGVAQALNVSLEHGL DSDNTADLAAREKTFGKNYIEPEKPQTIFQLMWHAFQDLTIIILTVAGFISLVLGFIP FPESTKKVKTRELSAGGSSTAWIEGASIIFAVLIVVFVTAINDYQKEKQFRALNAIKE DEKIKVIRNGVPAEVSKFDLVVGDIVRVDLGDIIPADGLVFDESDLKLDESAMTGESL LLKKDRKEKPFLLSGTKVMEGVGKMLVICVGENSQAGIISKLIMGKGKRPAADATAAV EDNYAAVATPKDAPDLDEEAEEEEAVSPLQGKLDSLTLLIGKLGLWTSIFVVVALVVR FSIVTFGVDEEEWSNEYFKDYLNHFILGVTVLVVAIPEGLPLAVTIALAYSVTKMLKD SNLVRHLDACETMGSATTICSDKTGTLTTNRMTVMECYLGKVEFSSAPSLRLQASAAT KDILCSSISINSTAEILPPKQVGAQPEHTGNKTE H257_19373 MKRSPHHLPINVFNRGQEGANAKVVLERGIVQVQAAPEWCLVIG VGVWDAMCIVLAEEAPQYGMTLYRPHKQRIQSYSTVQEICTPSVRNGVESSDGG H257_19374 MVQKPFPSNRDKRRYDTFELLHFDICGPMEEASLGGSRYLLLIV DEASGCMKGFCLRAKSDSEECLKSYITKVQTQFGKRVKFVRHDGAREFATNSLKAFYE DQGIEQQTTVPYAHQTNGTAERAIRTIVTIGRSMLHHAKLDKCFWGEAAMTAIYVKNR LPSPKIENKTPYEIVYKSKPSVKHMRFNGDNYATWNRYMRGVFLTKSVWHVVNRETTP SFTDSRARDEYVKSSNIAFGLMLLHMDADYHHVVDNCEEAWTAWTRLKTLYGGSQKAG RIYLKRQLFSMEMSEGANVLHHCNEVLNISAKLSSIGAKMEDEDVAICLLRSLPKSYE NVVLNLEMSSAELKTQDVVKVLTNEHIKRQGEKTPAVKTEDAAKAFSTERESRQCTYC GKLGHVIDKCWTKQKDENRGGRRGGNGRGRGANNVQWRNDDYDYGYDRVAFAVSLECG LSTGKDVSGMWAVDSGATHHICNNKAKFDTLNERDEGELKVADGAKVAIKGVGTIIER VVLPNGDEREIEINNVLYVPNMKKNLLSKYGIDYTETFSPVVKYVTLRMIIALVVFFG WPLDQLDVVTAFLYGVMKEVVYCVVPEGVELDGDFDCLELVKAIYGLKQASRVWNETF DEYVCSIGFQVSAYDPCLYIKIVDGHCVLLLVYVDDVLVTGSSADLIASTKGELKKRF EMTDSGKCAFVLGIELVYNTDGSVTMCQRRYVDDILKRFGMDECKAVVSPADLSSRLV PSSAPTKVNAPFREAVGALMHLMTATRPDIAFAVGYVSRFMENPQVEHWTAVKRIFRY LQGTKSHGICFKPGDKVDFRGYSDADWAGDHSDRKSTSGYTFLLMSAPVSWGSKKQSS VSLSTSEAEYIALSLAIQEGKWIHRLLCEILAAAGESGPELKILEDNQSCIKMTKNPV NHGRAKHIDIKYHHIRDEVKRGEVKLEYCETSVMLADIMTKTLPGPRHKDLTTALGIH ACSH H257_19375 MKFLAALVHAAVAADAAASKVAFDNPPSITGLSHHGFRIASEIT WAVRFRTQALSGAHDNAPGVRATSTLTLNSFNFTVEPLEFERVPSHPSMWFRPELCPS VNDLPHCTESIAFGFISISDPVDGAKYKYQWTPKPPIDVAFNTTYWFTVNSTRKTWAK SPVWVDGYKKFVSNNDPLGDVRLAFNRNDGDPWKLIPLRDGRSTPSLQVHATHSS H257_19376 MPKGLENQYSRRFGRTPKNKFVNKAVGALLRSVECSSSFVWGSN AERRMHRREAFATADRFGQPSLFVTITPNVDGTITLAYLAGGIQVKSLFDVQYLKHMP DKATMQQLAMNDNMASTTIFDRSIEAFTKVVVGFDKTTGRPRKAGGLFGHVKAYLGMV ETQGRGTLHLHLLAWVYGAPRSTSEFEARLQADLNYEAMVL H257_19377 PWLSELPRHYVFPGEPVDSERDIDHELDDNDEHAIALADQEACL YDDPIEDNDGSSIDALAEVEFPDFSEIPVESWPLPPKHAIANHFFVGELPDELFKATW AEMLMCSLVSVAAQTRIIRGGEHRMIRSHLILFDAVPGPPSTLLPLKLNRDGMYRDVL AGPFTKDPLVKVKEYHLVRQAMIMDVLQFYKSYNRFYADVSIDGDLIASLPAEDVLDG IIQALGITNKQL H257_19378 MVTIRSIISTTVLISLVLFTSPAESASAPGPCTTKAPCTTAKAP CTTAKAPVITSKAPCTTAKAPIITTKAPCTTAKAPVITSKAPCTTKAPCTTAKAPVIT TKAPVITTKAPVITTKTPCTTKAPVITTKAPVITSKAPCTTAKAPIITTKAPCTTAKA PVITSKAPCTTKAPCTTAKAPVITTKAPVITTKAPVITTKTPCSLHHQGSMHHCQGTY HYHQGSCHHHEGSCHHHEDSLYHEGSNHHHEGSLYHESSMHHR H257_19379 MKFFLLSAIALFAATATAQTSNTVADINVRARMLQENFVEVGDA ELNRECHNENANYISSLKAGQYATSAFHNCFRTSDQIYEFTDALAAQNPTLLSKFAIS KTYNGATIYGYKLTKGHSQSLYFQALQHAREWIAGSSILFSLAFFSSHGLSYGLFQDY AFREFKKPVLQFEIIGHDFVVDMTTIKTRGLEVYKGINQFAKEVTVFNGGDATPSNPS CGD H257_19380 MTEHHGARARHAGVNISTEGTTENTQLPGSSITSGVGEAPPGAR SSSLPPYFDSVWHRTSSELPPRSFEEGSTFYMMGSRPMLAPPPVYGKDGFKERDQQTY AKRFIMYARGQDAISVSSDVRIGTVSMSSCMTAEAHAHDA H257_19382 MVSSQHLVRQALLHSRPKVWPVELPKLSQSAIDKYLDEEAVFLK STAGKKYDPERAHDHIRSSFQAYLEGTVHMESNVDYQELLAGARANLEESNTASNTYG APDKDTFCLDPIYRELSSMPFGPNETRLPDETVLFVIAALASVLQVHWWSYCASCFKQ SRNKTAAQMCRYLFPRARVEVGRIGTSAILLVRKLGEEYINGYSDVILRAFKCNHDIH AQVAMVLFKPFRVLSDLRPHGSTWHEAWESFQPTMSTGSAEVYHFMQDYHVGRKKAAK TRTSREEEQNIQMENDDGRTEPDDFDDIDFENALLFASRHPATSHLDCDVARETTSEL YQAVSSSEMSNQVKFPTTTPIDADIAALVGGQFLDEASTTASSSYMSILGAWDQRLRY REQFASDVKGQ H257_19383 MRKGLENQDSRRFGRTPKNKFVNKAVGALLRSVECSSSFVWGSN AERRMHRREAFATADRFGQPSLITPNVDGTITLAYLAGGIQVKSLFDVQYLKYMPDKA TMQQLAMNDNMASATFFDRSIEAFIKVVVGFDKSTGQPRKTGGLFGHVKAYFGMVETQ GRGTLHLHLLVWVYGAPRSTSEFEARLQADLNYEAMVLKYSEGIVSNSFAAH H257_19384 MVAEIEKETNTKLPMDEPEKCIQVLETLVTKYGLDCAPPRSLSR LLDKLVAHFIEDNKANWTKPFFIMDHPTEMSPLAKYHRSLPGLTERFEMFFAGSEICN AYTELNNPVVQRERFTEQAKQAADGDDEAQPHDEAFCTAMEYGLPPTGGWGCGVDRIA MFLTNKFNIKEVLLFPAMKPDEQVAKVAAAATAADFSLEALEARLKAHQGNFLNGSKP SKDDTAAFDRIKVVGKDILKKHPHVDAWVDLVSLFTNDLRSKW H257_19384 MDHPTEMSPLAKYHRSLPGLTERFEMFFAGSEICNAYTELNNPV VQRERFTEQAKQAADGDDEAQPHDEAFCTAMEYGLPPTGGWGCGVDRIAMFLTNKFNI KEVLLFPAMKPDEQVAKVAAAATAADFSLEALEARLKAHQGNFLNGSKPSKDDTAAFD RIKVVGKDILKKHPHVDAWVDLVSLFTNDLRSKW H257_19385 IGTDIQDNKCSWLVVQALARASDAQRATLKEHYGKNDASSIQLV KDLYVALDLEGVYRAYENDSYDTLCKLIGGVTNMPTTVYHMLLSKIYKRTM H257_19386 KEELQAIWDDPPGNAPNIDDDGDASWGPVVLCAAITWNDFQGWL NRNEGRVRRWVFEPLADGTGKGRVVLYSITSIVHSKTAGQIATAIRDQQLILHAALEI MVKNQTVVVFLRVWQLEERCWRLPADNHPYPNVIVEIAYKNKALGRLRAKLWQSCASV GSPIKKWSLVILVRLH H257_19387 MGCIPSSENASVASPVPSRAIARSAFVPIADKYETYEELQQSLR KAGLESSNLVVAIDYTKSNEWSGEKSFGGKCLHAIDPSGATVNPYQSVIHIMGRTLEA FDDDKLIPTLGFGDVRTGSTSFFHLGVNSNPCHGFDDVLDRYKQLTPTIKLSGPTNFA PVIQETIRIVQRTRQYHILVIVADGQVSNEKETREAIVAASNYPLSIVMVGVGDGPWD MMEEFDDQLPARRFDNFQFVEYNKVLRLNQRNPE H257_19387 MDFTCPEELQQSLRKAGLESSNLVVAIDYTKSNEWSGEKSFGGK CLHAIDPSGATVNPYQSVIHIMGRTLEAFDDDKLIPTLGFGDVRTGSTSFFHLGVNSN PCHGFDDVLDRYKQLTPTIKLSGPTNFAPVIQETIRIVQRTRQYHILVIVADGQVSNE KETREAIVAASNYPLSIVMVGVGDGPWDMMEEFDDQLPARRFDNFQFVEYNKVLRLNQ RNPE H257_19388 KEKEVAAKLIRSREKSSSPKKKANDTSDDDNKRTRKFKEGEKVE AQYKGKSKFYPGVIARARLNGTYDIDYDDGEKEKEVAADLIRSKEKASPKKKSSNDST DDAKPTKFKEGEKVEAQYKGKAKFFPGVVSRARLNGTYDIDYDDGEKETGVAAELIRS KDKSSPKKKSSDSDDDKKSKKFKEGEKIEAQYKGKAKYYPGVISRARLNGTYDIDYDD GEKEKEVAAELIRSKELSSPKKKKSDDTSEDDKKPKKFKEGEKVEAQYKGKAKFYPGV ISRARLNGTYDIDYDDGEKETGVAADLIRSKDKSSPKKKSNDSDDDKKSKKFKEGEKV EAQYKGKSKFYPGKFKEGEKVECLYKGKSKYFPGVIARARLNGTYDIDYDDGEKEKEV AAKLIRSREKSNSPKKKANDTSDDDNKRTRKFKEGEKVEAQYKGKSKFYPGVIARARL NGTYDIDYDDGEKEKEVAADLIRSKEASSPKKKKPADTSDDEKKPKKFKEGEKVEAQY KGKAKFYPGVISRARLNGTYDIDYDDGEKETGVAAELIRSKDKSSPKKKSSDDSVEES KPKKFKEGEKVEAQYKGKSKFYPGVIARARLNGTYDIDYDDGEKEKEVDGKLIRSKES SSPKKKSGGDWDDDKKPKKFKEGDKVEAQYKGKDKFYPGVISRARLNGTYDIDYDDGE KEKEVEGKLIRLKASSPKKKTEDTTEDEKKSKKFKEGEKVEAQYKGKAKFYPGVISRA RLNGTYDIDYDDGEKETGVAAELIRSKDKSSPKKKSSDDTTDDDVKKFKEGEKVECLY KGKTKYFPGVIARARLNGTYDIDYDDGEKEKEVAAKLIRSREKSSSPKKKANDTSDDD NKRTRKFKEGEKVECLYKGKSKFYPGVIARARLNGTYDIDYDDGEKETGVAADMIRAK ESATSKKPSEPSGENYRVGTKVEALYKGKTEWFKGTISKDHGDGRYDVEYDDGDKEAK VPAKMIRPVKSSGTGRANFQKLVGGTKKSKSGNSSD H257_19389 GTGVKHDPARVEALQQLPSPVSGADLQQYMCAINWMRISIPGYN MLVQPLTEMLERVFAAGGGKRTKQAAAAVLLEDIGWSVVHDDCLAKTNAVLGNVMELC HPDPQQRLCVFADSSEGHCGSVITQYTAQKLERWALLLMGYSYVIHDIPGEVNVWADL LSRWGSTLPSICAISQQPLLISPLRDEKFVWPTFVSIAEAQVVAPDDVLSRMTKSLDA VHLVVLASGQAWLLRLVDDVIWVPVAAAELQLRLCVCVHASLAGHRTAKATLASLESF CQWTGMKGDVEFFVRRCLHCASASGGSPRPLGEALHSTAPNGLIHWDFVFMGASKTGG DKYLLVVKCDASNMVWFFPAPEATATFVKYCLLQWFAVFAVCYEWVSEQGTHFKNQVI AELQHVLGAHHFTTARCLWANGTVEVVMQQLLRLFRACLSEWRMAPDQWPEAHLVSML VLNQLPSPSLGGMAPVTAMSDRPAMSPAETMALPGQLISATLAEVEEGQRENIAALEE MHKQMLVENARKRDRLRQYHDKKKGVQMAQKLRTKWCGPAVVTEVTSNWVYNVENLLA YYVRPVHASRLIFYEDCDLDVMSELLAHVAHNSEGFEVEAMVDARYVPTTNVYELLIK WRGLQDADNSWKPANNIFADLPVMFKAFCKAATSAVIKKIAVAYEVM H257_19390 SLYFQSLAHAREWIAGSSNLYALSSILDDIANGKKTAADKFNLY FVPIVNIDGYDISWTNGKRLQRKNANEVDLNRNWLQYTTNPKTKIPVTDETFPGLRPA SEPETQGIAKWLHEKNSELSGWVDVHSVLGAILYPYGDTKDRIGNGDDEKFQRLGRNV AAAAGRNYRSQTAGSFGVAFGAFDDYLYRTYKKPVVTIEVAGRHFVAHVSTIRTRGEE IYRALTQFAQEVLIFEGNSGGNVGGNGGGNVGGNVGGNVGGNVGGNVGGNSGTNSGGN VGGNGGGNSGGIFFPKD H257_19391 MRDQHFGYPHSCTGSRTKARPITVPLFTLALVESIHAASICNAL TPNSWTQAASSNPKLQGALNELSKNAVATWYTDRGGDAISDLLQKCSGSQVPSIVIYG LPKKDCADGFSSSGNNKDAAMYKTQTAPRALGANVRLGH H257_19392 IPPLTAYAIHSQVVYWDDTWFFFSHRFDCPGTGTFFAEGITRVI IKDSHRRTVGLAQVLAQLGLDGTQKSPPMPDIVTGCLAWDAATKAAMESNEHDRHARA KSA H257_19393 MKLLAALVHAAAASQVEVVFDSLAPVDKWAKGSPISSGQSVAVQ FPSPGRCGTAGLTLEYVNFTLGRLDIPDDGSLWLQAELCPSANGLPHCTNSDLEHIPI VKIAKRVTFQVFPPSPFVLEPATTYWFTVHSNGTKNKSPIWLDGTKKFSTKNDPSKEV LVAYTEGDTWAVAVPTEDRFVPSLQVYTS H257_19394 MTSESRRSRSTSGQRKAISLRFKVKVIQTYEAATGAEKTFYCIG KEFGVQTGQVSRWVKAKDKITARAVFNPSALTVNAGRPVTNPAVEAEVLEYFNTLQQD DIAISTNMLIIYALSVDSDFHGGQPNALKKWVYMFLQRHNLVIRRPTRRAQKRSGHLT AIMEDFGTTLVARFAPFGTLVNVAGRCFVNMDETPLPLEPEVKTTIATKGSRTVSARK H257_19395 MAGGGVFIEAAGDGTTSTSTEGSKTYAVVVCVFASLGGIFFGYD QGVTGGVLVMDSFLNDFCVDYDGNTYLQCTSTAADLPANWLNFTTLFNVLYYIGCILG AYVGGVVADKFGRRMTIMSAGVLFCIGTCMLVFTGRGGHTLSLIARVIQGMGVGNSSF SLPIFGAEMAPKELRGMLSGFMQMSIVTGILLAGIVNIAVENTEHGWRTTNAVAMAFP VIVMAGIFCVPESPRWVYKHKGRDAAEATLTRLRKTTHVDDELKAIGDALAEEGTHAT SWADVFHPSVRRRVFIAMALQLLQQATGINPVFVYGGQIFKDVLGDGLTSLLILQIVN FVSTIPAMYWVDRYGRRSLLLLGGAGMVVGHLVSATSFTVGCQGDTSDLQCDKSAGWV MIVATGFFIFNFAISWGPICWIYPAEIFPMRVRAMAVSLSTMTNWTMGALMIGIPKLF PYLNINGVFFLFAALCSCAGVFVYYFCPETKNILLEDIEFLFHQHKHCDVEEDATTVK TPVDKDP H257_19396 MAGGGVFIEAAGDGTTSTSTEGSKTYAVVVCVFASLGGIFFGYD QGVTGGVLVMDSFLNDFCVDYDGNTYLQCTSTAADLPANWLNFTTLFNVLYYIGCILG AYVGGVVADKFGRRMTIMSAGVLFCIGTCMLVFTGRGGHTLSLIARVIQGMGVGNSSF SLPIFGAEMAPKELRGMLSGFMQMSIVTGILLAGIVNIAVENTEHGWRTTNAVAMAFP VIVMAGIFCVPESPRWWSNFQVMRVMLREYQLAEQEWDYLLPVVQANLNQTPAASLAS KSPMELFTALNPATPLDVVVVGMNKELRESDWTVKDIPENLDKLRASLQVMHKEVLDK NAMRAAKATKATEKYEQCNVSEGDYVLWSRFDERYHPMLLVTWTGPYRIKEVGEFSVV LEHLVTHELREAHTSRMKLYAEDSFEVTEEILEHVSEQGIILKVKSIAGHKFVADVKD FMLEVLSEGFSDIESSWEPL H257_19397 MDAEKTRGTSVTFENQSRVEESSEASVAWCTHPPGEIPTLVQVN VPGALDPSTMLYTGNLVERGKVPQALPDYAHIGVVSSAFGLRMRQHLSFTCIARALLQ RWQLEDNGDHDPRSVATATSAIQLRMVLHGEGGTGKSHVIAAVQAFCNSWKRPFSIAK TAMTGKAAVSINGVTLHSWIGMHNLTPSTVHEAKEADEKGVPSYTEDLKLLLVDEMSM MNKQQLVRLDKALRARTKLDLPFDYHVGRKKAAMTRTSREEEQNIQMENDDGRTEPDD FDDIDFENALLVASRHPATRHLNCDDACDTTSELYQAVSSSEMNNQVKYPTTTPIDAD MATLVGGQSLDEASTTASSSYMSILGAWDQRLRCREQFA H257_19398 ASHDLEEKLQAFQESERTSARALLHANEHFQDAVPSFWDWVAEH LQMSGATGVAPLIEAWTSSDPDRFKSCNESVGIFPAKAASGLTEPLLGRVRGPTFSAW VNTALPGMRDALKESSTPSSGVPEADAGAKAPVPPSPSRPAKRSASGGRPGKRKVSRV HAAVPLPSADPNLPRDVDATYMAVASSKPWEQYKAQESFIHSSWRRLSLWAELQEQLE DFWENHALAHWSRRFMRGSAEVNAEVEMAMGPLVGIIGCLYRIIRRHGTDLLRCLCYP HSYWPDFLRDGVSLKMIASVQVARAVQEYLSSQGPEFWPEVPSNSRTEPFDPPFMATV AFPKGRSLREFWLKMFDPLNSANCSRERVSRVLAWLYAQAEECRRAGVYQGRFPFVMG DRSHPPEGSQWARGMPRPAGIPPTGHTPPLPHTTAVPKTDTTFGASTTLSASGVQSTL PAPEMVDLLSNSATDTDEETVIVDL H257_19399 MHGRDPVQPFHLAFANQEPLWKSDELPQWRRKQWFTTNRKLVKA QLLQGQNRDATSRDSQRKVDFQPADSVWVYQYFHKTTHPDDIRVRKFAYHWHGPYRIH CRQGENTYRIYLPTHPDRVVPINVDRLKAFRGYWTRPFNDEVPEGYQETDGSWEEACD VLLGDDLLPDSSFIDRLEFADGDVAYTNTPTPIVKVLDKRRTAPGEPEYLVRHADGET HWTPRSRLTDYESFISEYENLERSHQGLPTLRRSPRLAELDVEAVVREY H257_19400 MEYHYLSFVHKTPKRTSILSGPLWVDEVLCGNSEKVLETFRMSR ATFYSLLATVTSVGGLKRTCHVSETEQLAIFLFFVGQRATSRAMQERFQRSGETITRH LRAVLKALKRLCSSYIMLPAENAPCASKIQGNPKFFPFFQHCRMAIDGTHIPVSVGQD VVARFQSRKGITMNVLAACDFDLQFTYVLAGWEGTAGDGKLYEAAKQAGLALSGTGYD ILDAGFGLTKSALTPYRGTRYHLKEFALGSRRPQKIEELFNLRHASLRNVIERIIGVL KKSCRDQVEEDAIAELRRQNGLPMRFEDRAVESLDAESDEAKAWRDSIATQMWTQYKS NLRARQRCNGSQG H257_19401 MDDGRASRDDEKDFTSMKEKIHQVHVLGKRANSGFKREAWHAAR TKLNSDHAVSYTKEQIVKTSGIGFEAATCRFICLDGSWAHFLRDKPTRWSLWQTKREF ASTSTAPTSPNRDGDLESDDGDDIVGKVQDFLASENEGSESTDGSVAVGHSNVDRKRT VQTPSAKAKRIRLTLSSSMVDEIRGFRESGREELNVVRGMLAMDVERPTVEALAIDLL HEAFDDDILLEEELSFAYEVLECKAKAAQSVRMKDMREKSGCVDRLP H257_19402 MGKPNFGTCAANEDFDAGLCYPKCKPHYAGVGPVCWGRTPPSWV HCGMGAAINKNICALAVKDQVLSVGILAFNALTAFTASPIEVLQAPASEAKVSMLSKA WAKAAPQIKTSEKWMEAERAVKQGTKLYNSVNGAYSGTLPAYQINNATNVTNEDYVRT AAIILSAVDPTGIAGVVGAYTYITCDNIEAITSSKQGQLP H257_19403 MVSASANRNDNHQPEVASKFCWKATHTRGVGRVPGSCAAGQERL GLLCYEKCPLGMTRVGLDCYSICPPDLEDQGLYCRKAEYGRGVGYPWTFYDHLNAIDM FQRCEEDYGRTTAKNGGGCVPQVLAELSSG H257_19404 MVYFSQDTRIPDDLRKRHDAVTFVDAAALAATAAPLATSGDVFR AIQAAYTSTGFANEWTLHHQGGGTGYKSREWKAIPTLEDGIAPNLAFAWNPSIAGTKS EDTVLLVEGGGRIEVLTADGDLPQVRHTMGGIT H257_19405 MELYFHPILQQMLHSNDHGFCSETLQLLSVFYPALANLQFDLIV ATIRSIFCSIVYGTSAIPLDEAAEYDELHAEGVALEKSLEEPKTLRYLRCLELSSKIL QFTRQSLKNAMIANILHLILPAVDSDIPALREKGLECLGLYCLLDRKMALNHTIVFWR VLNADDEDGDSKHTCIRVLLDFFAAFKSFEITPVEEDGDMITSGSILDGLATYFCVNE HQLDTWDLQTQTLVVEGFIKLFLLKRIADST H257_19407 MTGNPFNTYLLALNERMVAEERKVLLLVDNAPPHKPDDESLYVH ERQGQDASKEYDVPPAAPRCWNHRFVQGQ H257_19408 CKKLEPGGRYEVFPEPLGLIEFINHVRDNERALTTTHMITWIKA NQRAWMIDYLAKKKPSCAYD H257_19409 MQSNNDKAQHTDIHWRAKNGKASFNWRMKFDIALPVDPQNELDK GHLHVQLWDKDLLFDDCLSDTIVNLTESLKLAYKTKQLVNVYAKPKPVKLKSTAASTA VTPLNQPSLSKFSGALSPLVDDSVDLEGGGESTALLTRASSLSATTKSSQRVVEKQRQ PKVEDKKESVDMMLKALKSRIGMGDDPDDATWLTCTTVDPHTKTRVEAGKLLLAIEII PKPEAEVRAAGLGRSEPNAFPTLAEPADRLHLTALFNPLTLIESLLGPKAYGACSSFL FCALIVAFLVFAGPLVNVLLTLINMIPPPFGWIVFASLVMVIVLGFCYCSYRCRRAIS DVNKD H257_19410 MTDHQYISEDYLAMAVTLRFTVPPTVVPDLRASRHADDQVLVSS MNLAHNHALRHDKPRRHHQGIRTTW H257_19411 MTDHQYISEDYLAMAVTLRFTVPPTVVPDLRASRHADDQVLVSS MNLAHNHALRHDKPRRHHQGIRTTW H257_19412 MFYGPNFDVDTYRASLGRVGSWVGDCEMCLVAILFGVNITSFAC VKDANNVNKAVSVFSTATTLSSMNLRKTLHQPAMGVIESFLRACTQVRPVRQDRQSED RLDALNPPTATPCATTTKSKNRLEAWNPVDDMLTGIAGAMSSTASTVPAIRSAETTKA STADVDQLVAMDETFIMFHMTNKMLLVPTGTKQVGSVNPIDDEKKGVTLAVACEKSVV VFNATHWMTQYIFTIFLAWLKTLFKGDKI H257_19413 MAWLTPFYLDDALYTYLDTGVDFYRHVFVPEPHMSTGWATFFNS SRGKCSARRTQMYLEAGGTLHATSKTFQMLDYSFPKKSVLHRRNSVM H257_19414 MWVSGSRDCHLERSQPPVEAPPSVFVALGVDCGFFRYINGWRSK GDGKSKLAAWVASFVLFQLCSHQHKHVILSYACVETIVQLYATSSLVQSTLVHTNLVH PEWMLPAYLAMMDHQSSLSPSRLDAIRQNLHTSVTSRSASLHPNRTCATFAYATCRKL LQHSAHIFVSLHGLSLCLSVGMNRPVSLRRTATSLAQSLAFMTSSYMLAYSTSCLLPP HNDLAMIRLTSLTPFLAQYLEPPPRRASIVKAVACNSLLSVYFQLSAKYLVVSKRTGT RLAAALFATCMTYLLQHPERHSRWAMEYLYGPKLSTKSKDNDVDADML H257_19415 MEDVRRWLDIALGGVPSDVIYRCISHTKKKVSELSAYLVEMEAA DEAVNEPALPGEDESSDDAESDDDQSILTDI H257_19417 MQFALLLALTVAVATLAQDQIVPPQVAGGVPVAQFGKHRYVAGL KESPNGTTECGGSLIAPNVVLTAAHCLRLTNAVVVGTHYLTGFADGELANVTQKIRHP NGLIDVGILILDRNITTIQPVAVSFEYLPADVPTWVRGWGITSRGSKSPVLKELNVVT WDRERTFDAVFPNLLLDTEFGARGESRENTCNGDSGGPLTIEENGTARLVGLVVASAG CFRLSKPGLYERLSTSRDFIEPYLP H257_19418 MKIAAVVAILLVWTSCTEPCCFANGQINTGERGSLNYDFVESFV LASPVARVHRYTAASYAANDPNEDRYVVQVDSDAVFASVLDGHGGWQVAEYVRKHLVN NTATLLRDAASNDPTHIASSLSSAFVATDDAMRPLLLPSFQFGFGQVNRVGACSMLAY AKGGTLVVANAGEVRAVLASTDASGGLVATPLSTDHNANLASEQARLRKSHPN H257_19419 MKKDHLQKQIGTTFVVLKALREGFLVLRYPSGTSVARKLPISQA VVGIVDDVITDQKFEVAKYSQLTNDDKKVVYDLFKITRYDQMLRNPLMNPYELDEAQK YLLELDKPKGNSSW H257_19420 MTALAAALGPWLNAWGLSRLPKLFACLPHMQRVVMLVAIWNNRL DVVQYLHKTVGLEWFQGDKLLDVAARCNHLGMVLYLHEHGCGGCTADAMDAAAGNGNL AIVRYLHQHRGEGGTKHGCFESAKNGHLDVLTYLNQHVTAIDHNDEGWINVFDEVATN GHLETVQYLHPYVEKCTSSAMDDAAKNGHLDVVQWLHANRHEGCSYEAMYNAGKHGHL ETVKFLHQRLTEGCRWRQAWTKPSPWFCEALIESWIKPTPWLYETLSAAAGNGQLEMV KYLHTHQLSKSSTTAMDRAAENGHLDVVQWLFRHRTEGCTNDAVDWAAKNNHLDVVRW LVEHCGVTGTKYGMDCAAAHGHLSVVQWLHEHTTVGCTVAAINNAAANNHMEVVEWLH MHRNERCTADAMDLAAGNGHLEAVEWLHDHQMGGCTTKAMDWAAYAGHVHVLQWLRVN RSQRYTIDAMVDAACMGHLDVVAWLFGVPELLHGDFNKMIEVALLHTFQHDHVHVVHW MLRHSTHLSRTLENGCFVCVIYVAHNEPPTRRMFDLIRATKNGGDLRYACNPCMVKME RMVDCPCNAQLSV H257_19421 MIGKELKTAGPNQEVFQSFAGLNHSKRLLLDNRPSLARTSKSAT QESQRFVSLVICRQCEILGGVLSCNPATRRTVVYLDESFVHHHCNKNDISMYDPTDDL DVQPKAKHKDRRFCFIAAIVDDGPDNSKILCYEKFVGGKQTKDYHGMFDNSYFVDWFR RLLEALQDHAIVNAIIVMDNAKYHKCIPDTTPKFTWRKADLIDVCDTLGIAYSPSELK ATIWAKLQPYTSAV H257_19422 MWGLAHLRWCLTVLRTGMPRANPSLSQNLGVQFCSPPVAEGCDT ASGSGDIDCPTGLKLECVNFTVRTMHLPTNASIWLQADMYPLSKPNTTYSFTVFSNGE TLDESPISLEGAKAFTTTNDPNADVRLGYTYYPGKEWWPRHLRDGRKVPSLQVYTS H257_19423 MVSTGASIEVIPTHHGLHCLKVPTILNVLMTSRMGEELWRIKET MFNGDEEMHRAMVHLADIHASENIDVTCVGLTRVSL H257_19424 CGSSAAYLLQSLPMHNAACPSDTYFHKVEVTASRGARQTERRAV LPTPL H257_19425 MNIKNPRWDGVPFIMKAGKALNERKAEVNCSIYGAPAAVAKQLS CSEEDEPISVPTQHAVTHGDVVHNIRLDLTDALVGYAYT H257_19426 MIAGCGQRPGRSGGSLGALPRCSSAPASLFADLRSIVYSIHVRR MSEPNAAFAYLLHVYETSDFIDEPLHVLAALSRFPFVKLKTRALEWAVAGGVRSQDIH GVFGSVAADCLKGVCAGQVGRFERTVLADRHGTSHHGGRSVLGRPPQGAFTRSLAQFL ENIRTGAAMYARDMTLLAAWIQKL H257_19427 MVKPTYIAAFAALATAKVAPSVHRHLKSNEDVDVVIEFKGGNQR ALEDARRERANFNDRGSSIAHVRSLLESNMETSQRAARELLSSQPKALTTRVESYYIN GNMHVYGVNRDVVDELAKLDNVARIRRPVTAQVSSVTSEDDESDVGIPQGWADNNTTS ANEWGIDLISAPAVWANGNRGEGIVVGIIDTGVLHTHDDLKGNWRSTYGWFDAIEKSP TPIDIDGHGTHIAGSAVGQNGIGVAPGATWIACRGCLTPAYCAEEVLIACAQWMMCPT DATGKNPKCELAPDVINNSWGDEVNSNAYQAVVDAWRAADIIPVFCNGSTGSKCSTTW TPAGYKNVIAVGNLGFDDKLSPKSTRGPMADGRIKPDVSAPGTQILSASNTGNSEYKI KSGTSMATPHVVGAIALYLSANKGAKYDQVYKAFTTTADTATLTPNNQNCGGVSDSKY PNNNYGFGRINVASAIGGGVAPPSNTTSAPSPSKLRNTTSAPSPSKPRNTTSAPSSKP RNTTSAPSPSKPRTSSPSISDPATPCVEEAQHIKSFELRNQYP H257_19428 MSRDKYQRILSKSPSRSLTNGMGYHECVKLFTNRWPMKCLMSTQ FLIIATIDD H257_19430 MDKTSPVLRAAVERVSNAITSLHQAAEWGMGSAPIVYRTLGLPL PYSPTVRARRLSTIYR H257_19431 MTTLWCLVVNDGSILPIEIDKGNHVQTLKQLVREHQKYKFHQDR LDLFLAKKSDGTWLQWRSYDVQALRTDEKTDVVQELLQNRRMGSLYRIDDSSFGFPDV IDDGDIHILAVVPPDKWREVRPSNKAKSTLWIETEVFIMPPSAVVDLNSVTQGTVQST SELSQALRRYGGFPPSLFVRQEMKMAWSILHDNYIGQTPSKKTTVLVGSPGVGKSALL VLFCCYLAVHCKFHIFLARDLMGNGGPSPDVVLWFRGAKVTGYPDCYPDEVASLRKSF LAERRGNNILVVGDGYLQATFNDGPLRILGGGHLLSSSLKFIWGVCPR H257_19432 MVTVNVDRLKPFRGYYSRSFDDEIPEADEACDELSIDCLPSSSF VERVSFPDDDVAYSSTSSPIFRQALEELHSLGVAHCDVRAANVFVLLSDKRVILGDLE YCRPLDAAPPNIQGAPINNQFKTARKLDEHQFERFKDELASM H257_19433 MGRLRSPRHRRGAPLSVPASSRRRRRAVPNPTQFCCMHVCENGR TRRREPHEVQYIWNCMPFKWVVTDTAADLSFYLALPGLEGILVLTHNECFFLARMSAT LLDIYDAKDDSSILAG H257_19434 MTRLEITTWEYSKNNLNGVRNIHVLFWMDLHQTILGQKRLKRGK ADMAYARPVPSMKCWT H257_19435 RHGKCCLRVLEVRTLELGVAPDVLSKAVTVGEPLTNTKKGVIAV WDETHKEVKVGQLGSSGSKRQVRFPRDEDGHIDCVNGQYAPPGTYLKMKYPRQAHFSL G H257_19436 MLKQVNLSKPWEAGYDKVMAAWVEVCREVNRIPGYKINKKPEGL KTRFDLLIKTHCEGEVASMRKSGTSEDYTEKDLLLTDIKARMDDFDETAAARKDNVKR KIDSIVNSGALMRRMAMGNLDAQGDEKDETPRKKKKNQAPSLSCLMDTIKHGINEKVK REAKHAELLEERLTFDTAQAQRHEKPHQDHQLIMQQLLA H257_19437 MTPDTDKKKLTLTKTVSSSPGNSKLRVTPTTTTNTMMPPLHGTS AATRTMEGSYEDPDKGASESRLQDQECLMTRGDPNSVDGMTTKTGRDESPTNDEDQAL GIRRIEYLTDEAHKTPGFPLDATLQTSITQQPNETYTNTESPRLQAVDPASFKGATIP PPLTNAKADTRPPGLKAASFKNRGSKKLRPPATPGPRSAKTGEPTNLTATPGYETGPS PRVTPVFTEAQIEAIMRGDLTGIPESRHVDIEERLYPVSPADLKRQLVRLKQERKDVT QEDIVKVVTMALRRPLTRDDAHLFESPSNIDDPDRWLDWFSSTLKTCDEARTANRNFK NARVAIISDTRWSRFQRKNLASNELPGFGPIPETDCTPPEGDVLPIGGTKIPRLPAQQ LVKVHGAVQYHLTDDRASFTLPLRDLELTRLPSAIWRIRRRTLSSRRHYLDLVLGGHH IESNPAPLDGRSQTPESLSPGAVDSNDPLEAPTVELPFLGLNDDELLSMVVPFPRPDQ KVCQVGSNPNAPLVQVCIQTADTLALVNTGASVTVISEAFWNELGSPPLKNRRMGWSR HPTRLYERLASGTSASL H257_19438 MPTLLCFVVGTGRFFYVQITPSQTVGDLRAKIKHENPNTITCDE NDLDLYCVVGLVRQGWYLQHNGKPIDMTETSLKAYASKTKIFATSRISLWFNSAKTSH RGTVHVLVGLDAKARRWTALNDALARHSLLKPFHGVELSAPYSTVEWGVVRAVFRECT ATTTFPRVPLAHSRMDALAAGMEVVTDAHGRVDVESKEVTRVVFIDQIFSHVGRIVGV QLESHGEVDGKRIKVHGQSDLVLRRGTQRMDIVEAKKNNMDQGMSQCLLGCEALADTE NLDVVYGFVTNYLSWLIFKRQNSEILTMAATIQLDGNNYPTRESLQLVAETIHGILLM R H257_19439 MINSTSYRDATTPKPADPPGGYPTDNTVILPSSLKSRGLWLVGH VVVGLLMVLAVLTPMGYNLPVYPVEFSTPISVWWNVNPKVKGSGNPEMVVPTYFFLGS VVPVTIGAVLLAFIRAKSAVFVPPFSALLHRKPKVFKYLVSYGELLFLLLLVVGNVIV FSYQYKKRSKPTDNATSQIKVIGTSLGFSGLYNMVFLALPASRHSFWMEWLNIPYANG VKYHRWLGVATIVSFVVHTGFFVVFYARTDNLLKMLPCFDCDVAVGGKTNWENFFGWL SMICMLVMGATSLPYIRRHYYSVFYATHFLFIPATLFAILHWGNMFFFLFTSMVLYMV NRVLSTASITTPVALKRAAVLSSEVVEITFECATGYSPGDAVWIKVPALSKTQWHPFS VASTPLETPGLLTIYVKSLGKWSAGIHHYIRECHEKNVQPIIYMDGGYTATAPISAAH SDVVFVGGGIGITPLMGQLVHVLRSHPSQNVWLVWNVRRKDMFVHFQSWLRHIQNVGG DRLRIRLQVTQEEVTEFGVANTDDIQSGQAFTGQQSLPRCFEGHGPNSAVEFRPYAHV STIKRMVMLTLGFGFSCALVAIATYANRLTTATSAQWILLRVVQFCAVVVGCYLALLV TKFPKTLAPASDDVIDHHDKVAMNSDDVAKHFDVQSGRADLSEIFQTVESGAQGSVA H257_19440 MAQVLLGCEAVADEDMRESTEILKMEVEIQVGDDHRPTLESLQR VVETIHAMLVSQ H257_19441 MESAPNCHFKQCSPCSASSRARGVLSLWTLLELGHLKEGIKQAK MYQFPSDQLDLYRVEGLVQGQDGQFLLNGNPIDLTRASLDSYGGHKANMPAASLISEC FDTTSAPLARKIHVVVARLDDFPNTSYKRWTKLDAAMDQYKSWHGGVYGAPLADVSWS DVGWVFDKCTSHQELPREVIAPDHMGALYACLKLGTKALGGVVGSNESTRLFFITSTL VHVACLCMDNSTLCYGVALQASASCK H257_19442 MCLKGKVEKLRKQRYDLQDDVVKAYFSLSRVLDGLFAFARELFG IRIEPAEKPEETWHPDVQYYQIRALDKPHEPVISQFYLDLYERTGQKQAGAWIEVMVG RSKVLRTDTASVRLPVFGLIFNFNHPSKPTSSP H257_19443 MASPALFGPTATTWNGAASNATSTSGRVDFYYGVLRNTPHDRVC DLVAASYKEDPLHTLKIVAYLRDCRGGKGERTVARFALEWLAIHQPVELTYNLKHYVA EYGRFDDLLALMGTPVESAALNVFASQLRDDLDALSQGQPVSLCAKWVPSEKKAGDKA TRVTTKLAKCMGLTCAALRKTYLSPLRASLQLLERFMCANDWAGIDLNKVPSVAMHIH GKPKHAFERHLTDKFVEWKAGLASGQSKVNASVLFPHQVVQQYYNKSDVAVDALVEAQ WQVMLQQARELGTLSRTLVMSDVSGSMSGLPMLVSIALGLLISDVVEDDFKGLVLTFE STPQFHVVRGDNLKERVASLADAPWGGSTDFIAALRLILTTAVAKGVTADSMPARLIV VSDMQFDQADRSFETNFHALQRLYSKAGFDMPHLIFWNVQGAVTDTPALASEANVSLL SGFSPSVLKAALTGETVTPVQTMMNAILDARYDLIRLPSHDSNEPDAELV H257_19444 VLRCFPHCCPEHTESPFCASSLGVDVAGSVKFLQQAIVLLHFEA SYEPAIVCGDLLDAHHIESSLRTDSNPRGEWIPTDAVAIHDDHVEFEYNVDAEKGWNY RWLGGSSTQQRRCWHCIKAYVLVQVEGPERSRKLQVVAIAQSPPFVVMSYRRACKSCQ KKSRADPVLSTTSREACECEGIYRLGDTHLDEIISTGATHVAILPQWQAAASSRNKPT TEDMERHLGLLYGVLTSTPAAIALDSALFQQTLGLLCDDGKATPNQPTSQTNTPTTSV LLALLRGRAASDLFLRRHAAVILDQHDLFRLYERWLGQLYDIVDAAVRPTHDTAAVYF ESLAAISTASTTSSPTGFEAFVAQLREVYLALEYTPPRMDLSSSSLAWDGTWRFHSPA FQVDSSGLMTSVLTVVRSLSMGLGFHLVLSPPSLFVRSELALFSTIWSEFVLDGKPRV LRVFPNGESTMSNAGGLMYGDYMGFTIDANRTLCVDVVCWPVQGGTASCYVIRLVLRR SLPHFLQISATVQVTHKVTDQITWNMTAAERMDVLRRYTLATVLVVEVG H257_19446 MRKDPPPAPPESNESADMEVDNTNVRKASKLRCFNCQGFGHFAA ACQKPKKKISGDGPPGKQNNLEVEEAPSDKDVEYITFGAMEENGAEGVAVSKAQVGRS PESGRAPLMIKSGVMNGKVVKILIDSGAPNSLCRVGLGKHVIRSKAVHISGYDGLMSV LTETREVKETVQIGTFTFHDTQLTEWDLKDKAFDVILGQPWFKKHNPVIDWRKHDIMS VDE H257_19447 MKSIACFAAVLPLVGSAVPACAKEVALRFYSAQDYPLSEWNSKL YADVEKDNMNEWWLYNEQFLQLRSNSNQRECLDAYPKDGKYWVHTWECDRANPNQRWR VDMANHRIQHATHPNVCLDADPTAPEHQVQVWECHSHDVNKNQYWSVVQEIGHLQRKD LLLTNTERNDIQGNVSFAPLLPKDQAENPSDPNVQQSVEWHQVWAYNRYYHLVQSVDD ENCLDAYEPWNGGRVQTSNCDTNQNLKWQYDVYTKQLRHLTHNGYCLDINDETGARPH LWQCHPPTHNFYSLQKFDLFQTSSSFN H257_19448 MRYRDQLDLVLTNISINVEPGHQVGICGRTGSGKSSLMAALFRM VPASSGRITIDGVDIASVSVTSLRQGLTIIPQDPVLFSGSIRLNLDPTNCATDAELWT ALKQVHLSGAVIPTLEFAICERRSNLSVGQRQLVCIARALLRQFKVVVLDEGTCARWL R H257_19449 MAHQGDDKSTMEKMKEKVKSAFGQDDKESSSPRGNMDKRAKVAR QVNKGNRANMGNQAYMAIRGSTDNRANMGNLASRGSMGNRLVANMGNLASRGSMGNLS VDSMDNLVCRAILACQANTANLACRGSMGNLPVASMDNLGSRGSMGNLVVAIMANPAN MDKRGNRVRRAKLAIRSNLRTLAKAATRGKQAAGNPKHSEGVPPSGSCRGSIADVYG H257_19450 MKEKGEQAQDKGSEYYQSAKEKATDARDTMKDKLHQAKETLKEK AADVQESLKESGDAAKETGKEYREDDRTQSTQVSKDKETAQRGSYA H257_19451 MPTAAWCCLRTGLVPINYSDHGLSAGGIGQTSGGKNGICGDPYT GVREHETGGTYGRFPQHREKVIGACYAPGSTIGVQVQLTANHKGFFEFGLCKLNSLND KETEECFQALAEPSGLKEWQVPPGNGFFDIQYVLPSGVTCDGDSHCVLRWHYTGWNNP GVDARGQEHFWNCADVYISNTCGSAPQPSKPTQAPGTTAKPIITTGAPVSTASPVDPT GAPATTVAPLHPTQAPVTPPVSDCGSCTNCYYAPTNACFVGWSQGQCNSASAFKWCGA GAQPPTPSSSAPTSTYAPAPTYAPATTKASTTAPIPTPSAPKPSSATPTNAPAPGPST GLTNILPKSLFLQIFPQALPIYKYENLVAIAAKYPEFANTGNVDVDRREVAAFLGQIS LESGDLRYVEEINKSTMCQQSAEYPCAAGKQYFGRGPIQLSWNYNYKDFGKAVNLDLV ASPELVATDYDLVWWSALWYWNVDKWNGNIHKVVGLPGGFAKTTFIINGGLECGLNPP NRESEKSRIASFKKFCSLLGVAPGDNLSCQTADFPPKSPWTDPPAGSSVAPSSSAPTP SSSGPATSVPKTVSWNWFASSTTDCDASLSKDTLNRGFYVGGENIPADCGKTASFTYN GVTVTA H257_19452 NRSSGSDLPLCAVDAKVLVSSRRPDSSAAQLPRRATPPPSRPLP SPTPCAFATHCLKSAGGFAQIFAAIPLPPALSRPLPSPTPCAFATHCLKSAGGYTQIF AAIPLPPAPSRPLLSPKPCALATHCLKSAGGYAQIFDVAAVVITQSS H257_19453 MHHSDNDRVHPTTDEYVTCPKPTQGKVSHVEVPLDDLLTELQFL EANSSVAAQHHESHVADAVRGSEFDLATMVNSGRVDPICTMLARHPEDFGVQLHRLFT ADRPTFELLIRQQSLSLSFSGLCSTPTPQAPIVSETEDGDELTLSRLDLELVLALAEV LTAAHIPLYFASDAAVMVSTGCSIEIIPAHRGMRSLSAPTMLAVLMSTHLGEELWRIM ETMFDGDDDDMNRVMAHLYAIHESGFFSLPHIGITRWDHELERFVVPTDEVDDTSTPM DNSTMTQVVNLARRLKQPPTCAVFQETKMCNAQQLDTFHHHLNNEVGAGNYVLFTKDP RETSADPVHRRHCGVASFFHKSMPGFASLVHLVNHDIPGRYLVVRTEWSGLPV H257_19454 MHVEPHLRGAFFVALPRDFEHDSLHLVGGDFNMPLHPSIDASTL HSSHGNGKTNCVEWLTAFGVIDVWRQLNPSTRLFSGPGRVNRLDYLFLHDELASHLNP KARYDPNGCGGDHLTHTVTLSQSLCTTTKGYWRLPRELLSDPNIQRAITMEATTLLGK MRADETLNH H257_19455 MKTAVVLSVVAVATATLGMIGAGVGAGIGAGLSIGAGLNVGAGL NAGASGIIGAGVLLALQSSATVSVSVAVDESVSGVANLPECGNAIGGVIQCNAVTKPQ IDCLAALPGVQKIVTVGAGLNITP H257_19456 MTKTSDVTIGKPISNASCHILDAAMRHVPLGVVGEIYLGGVGVS PGYINLPELTRDRFLKDPFTNDSGMMYRTGDLGRLLPNGQFEILGRMDSQVKLKGYRI ELDEVANAMMHHPEIVSAAVVVKDKSHLVGYFTPATVNVEGLRQTVADLLPVYMVPAM WVGLDMLPHNCNGKVDKLALAGLEATLTMEPMQTELEIELAAIISTVLKVNQSEIGRH SSFVALGGDSITAIYLAAALKQRGWRVSVRDILASGRLCDLATEAKSQPPLHLPVVSD VALSTEVIQEIMSHWPTYESAFATTPEQSFLVQSTIRIPSNWVLQVPFLEWGAAKMAV AYGQLAATCETLRTTFVSNPIGVYHVVNPATSSSIEYSSATSLSEFLATDKARGFTLA DPSFARFTVVTCGGDSVGVLTIHHALYDGWSISLLRSDLFDTYSGHPVSQRPSFRALI QHLASHDMTKTVAFWANYLAGAPPTPCLSDLVPPTSCPEPNDLSLATHAALPRLPSVI RSLGVTMSTVVLLSWAMALQHHTNRHDIVFGQVLANRNLDVHGIDQYDHLIWELTLTF WGVGCSGAL H257_19457 MTSPLRHSTLPSTPRLTAGSPAVLSMSTPTLLSGHVEATTCVLC HQDLGMALRKCSRCSNTFHHMCAIEIAETQGWSEAPEGQE H257_19458 MKPEGLNSKNSSYKFTLDTIGPNATLLREEEKTLLREREAFAQE VNKSYSNLRRQQSSLQVDQKTLALQLQEGRLRSGSVGTPYATPASSRKGSPSKGSLST FTTSSVLQAPYSMAGTPQRTMDPIPVFMQQTEVATETMSMPVPAGVYGRAVTSQKPFM PERPRQREPPEYIPQDSYGMRGHSNPSPPYFTPAYGDRGGLQGGPGDTTLSNALEAEE IPPDLPGEVDLEVAAMTMEVEAHPGFHTCPRTMTMGTVLPVPLMLTHENTHPN H257_19459 MRPPSRHQAAGSGVASKVTPKMTPDTDKNKLTLTTTVSSSPVNS NPRVTPTATTKTMMPPLHGTSAAPRAMEGSYEDPDERIEYLTDEAHNTPGLPLDATLQ TSITQQPNKTYTNTESPRLQAVDPASFKDATIPSPLTNAKADTRPPGLKATVRSQKTA TSQATTTATTPGIQSFKNRRSKKLRPPATPGPRSATSGAPTNLATTPGYETGHSPGVT PVFTEAQIEAIMRGDLTGIPEARHVDIEERLYPVSPATWNVSSSDSNKKERMSLRKIS SRWLQWPFAGP H257_19460 MRRILSIPPNQPLPPGVNQVAVVNLRDIMDSEQDYTSHANASTC VKSKCTAVTKVMSYTDVTPNDEDELKAAVARQAVSVAIEADQPEFQFYKSGVFHRSCG TKLDHGVLVVGYGTKDGDKYWKVKNSWGEEWGAAGFIGP H257_19461 MVPSYPTTSIISAGAPPPADETVTTVVDVGSAVEISQCGDRGKP IHFLGNGVIKSAFESAPLTIAVKLAGQVVYSHDGSLCGTTHIPLPLGLGHIIMTDMP H257_19462 MLEGIRLERGAVSSHSHASLIDIKRWNGIEGDLFDSLLVYQNVP TASSKVRPVGQPSKTFSTDHTLEIIVTPAAAGLSCCALYKPSAIPRDQVQWMLEEFDF TLSQICCNKSGDMAVSELWTMSPAQTTLVRAASSGPEVALPFGLLHHAFEALAKARPH ARAVEIQDRWLSYGELDALANSVASDLAHLGVCVGSRVAVIMDRCLEFPIGLLAALKV GAAMMPLDVAFPSARLAFMVADAGVCAVVTTRRFSQTIVDLKLAVDVVTIDAATPKSE FVPSACHMATKLDEAYIVYTSGSTGKPKGVPVLHHSAVNSMERFGGILEIKEDSRVFQ LMAIGFDGFQADMWESLSYGATLVMRSEDDVSALSTASNLTCTPTALSLLGEPNQYPQ LKVVAVAGEACPVALKDLWAPRVKLFNLYGPSECAIMSHGTRLSLTESIAIGSVLPNV HCYVLDDNHRQVPFGILGEFYLSGICVSPGYINLPEMTEERFLVDPFGHGRMYKTGDL GRLLPNGQFEIAGRQDSQVKLKGYRIELDEVANAMMQHPSIKSAAAI H257_19463 MVNPLTRCLEDYALPPFATLRVSDIVPAVRAAIAEMALDVNAIE DDLSDPDADISWATVMDRLKIIDDPVNRLWRIVIHLSSVADSPELRLAQSEVQAEVLT IQSRRAQSVPVFRAMQRLRASRGFHEDLTAEQQRILDRAILDATLNGVALNDTEKARF NDIDVKLKSWYSLIGNQFNSNTLDATKAFAHVVHDKAAVAGLSNATLATLAQNAVAAG YDAATPASGPWTLTLNRSNYSAVVTHFTNRNLRQLMYQAERTVATSPPYDNTPIIQEM LQLRREQAALLGFDSFASLSLESKMAPSASAVQDMLDLLRDKCVPLARAELADLEAFV KDFAPD H257_19464 MLALRCPRTCDSIKSEPRPRHPGDKSNCRKMLLFTSAFCPTAFY QRYLPSFPAKALRDRCLFNMTMLGLIKELTMASLPTWLANMDGTFEFDHSLRTVQISM F H257_19465 MPSTMPCPKRSYTIATKLKVLGLLESSTDKQVADLLSIPRRTIR SWVSQNVTSLHNVTTATRSERKSNPVDGVKHFPTAMVSLKSLVLATKACFDCSKAFFT ATALRDNDVLGQVRDEFALQLPAVE H257_19466 MGGNKLNTFHWHVSDTNSYPFQSKVFPNITNYGASTAKQIYTHD EIRDIVKYAKGFGVRVVPELDAPAHVGAGWEWGPDAGLGELVLCYGANPWFDSCVQPP CGQLNPLNDNVYKILEGLHNEWLSLFDSDVFHMGGDEVHVGCWNQSAAVYPFVKDRND PKSFFHLWGEFQVRSAKVIEKAQKKVMLWSSDLTTPDFYKYLPTNNTIVHIWSDYAGG DTKRLTDAGYEVVLSYWDAHYLDCGFGGWVNKGNGWCNPYKTWQVIYDTDIVPNVTAA NQKLVLGAQVALWAEMADGLSGDFKIWPRASALAERLWSNPKTTWKDAMSRYRTHRDR LVQTGVAMAPVHPEWCRQNPTECNLL H257_19467 VPKVSHGSMSIVAEEAKAIAAAAAKAAEAATKAASGGVAETPAG DGGKQGKTSAKGAAVKDGGESERRRWRTGAGRPRSR H257_19468 HTQFRVEKVKVSPDHKLLAYSVDLTGFETYDVFIKDLTTNTITK AVEGCDGTIEWGWDAETLFYVTPDATSRRHKVWSHLVGAPKSADTSNIPTTRKRQQTP KNDRGRVTGSRGREDAPLVAPLRTRSDLPDDDSHLLLTSGNRHVVGFTPPPQPEEHQL SQHGASVPLPADNDPSDVRDDTDMGSDGGYGRTAPQVWNAPALPQPPTFSGSTKAERG NFMREYQKYLGQINALQL H257_19469 KALQSIFVISTLVMLMWGSSLWMQLSFDLGLKGKKKLIDFKDDG FILKFLLFISYGFNDSILQVWAYWLMGQFSDDLGTLGRYAGYYKCVQSGMAAVSWRLG GIPISPIATVVVNWVLATIGIICAYFSVKTYMEDKSTENYEGVESPSDKKAVSLH H257_19470 MASVQVIDPIPPVTNTKLYISIVGGVVGLAVVGVLVGCCIRHRR QRKAAWECHRLQRGTMSVYKESDPTPRGHSSIPMVNPRGGITEMPRLYMDENDDLGSS NPKLDLAVSHYMYKQDTRRVDELPPPPPRRVTSQYDPRTSLSYDVQDGYDEYHRQHEY SSSHRHHHRSDQDNPSRLPRTKSARQQQDNCRDHQLVLRDEYRYDQEPTGKHLAIKGR PHNFSDDDEFRFIPTNQSHESPSSLRNNYGGYDLSFSSAEDRPYYR H257_19471 MSSQRENYLCKWLCRIDNGIECDKAYLHSNDGFKVHVQAVHKLE WPRKGRTLYGTMIPAPPLVVTATAPASTPTEPEVSL H257_19472 MKTIAILALVSSAATFAAGDTAASVQGYGPALSIRPSRTETVEV LPPFPELVKDSDVKSTHTQGNKNFNTDTLGYKDVSTDTQGDKDVSTDTQGDKD H257_19472 MKTIAILALVSSAATFAAGDTAASVQGYGPALSIRPSRTETVEV LPPFPELVKDSDVKSYKDVSTDTQGDKDVSTDTQGDKD H257_19473 MTCTHDIDGSINGSDHDDCSSDVSFAGSGSACSGGSDRGYNDGC NVGNHDEFNNGGNVGGRDGGRDGSNDAGYDGDHEGCSDWTGRLLCSDDGSSNKKRRIT CEGDTFVKTKRQRVGHEDAGGEKTWCCDDAECTAIAKQHQQPTPTWIVHLWLRDEVEG LKRVLMRGVAAAHEGRVLRTMAKVHDLHRTAIMDDDTTTLLWECLLLAGEVCKMIAMV KGLWIVQEHDNAKYEDELI H257_19474 MGIVAVIGGQFYGWNESFSVGFAPFFLAFVMMGAAYIIYVACVS EVGGKVPGGSYGLARAVLGFYPGFLLSSLELLEYTSFASVSVLYVTEFATTFFNWNED YQPILWLLFYAVFIFILESRGKYVWWFMLVFVVLCLAPTVLFVCGSLSYVNFQANAIL VDDATNETTWATGDISSAFFGILPSTTVGFAGVESLTVVTGFVKDPAVAVPKGTVAAV WTLFVSNIALILVLASLPPGLATTSTDEYFLDRGLSLGLGMSSGLSEWLMMPAQMGMA FGFFIPYARLTQAMADSNLLPSCLRLKGQPNTGRAMIVASGFGYLICLVSFYSPKFKQ TLQNISILAGTICYAGQTLGFVMLRTTYKIDTAGYTSPYGLVGAYYVWVVFLCLFVSI AG H257_19475 MGKLRSSIAPTTSPDTGAFPTRLVRSTGPSAPSCDKCKVVAVQR PFVDPTDKATTVHIWAMGIVAVIGGQFYGWNESFSVGFAPFFLAFVMMGAAYIIYVAC VSEVGGKVPGGSYGLARAVLGFYPGFLLSSLELLEYTSFASVSVLYVTEFATTFFNWN EDYQPILWLLFYAVFIFILESRGKYVWWFMLVFVVLCLAPTVLFVCGSLSYVNFQANA ILVDDATNETTWATGDISSAFFGILPSTTVGFAGVESLTVVTGFVKDPAVAVPKGTVA AVWTLFVSNIALILVLASLPPGLATTSTDEYFLDRGLSLGLGMSSGLSEWLMMPAQMG MAFGFFIPYARLTQAMADSNLLPSCLRLKGQPNTGRAMIVASGFGYLICLVSFYSPKF KQTLQNISILAGTICYAGQTLGFVMLRTTYKIDTAGYTSPYGLVGAYYVWVVFLCLFV SIAGGFQGDSCIAVASTFGFVVVLTLYYVLGCQKSQTVSKEEYTSIFKFSVMKFNKSR SKKQKKRSSAATSHLSRASIATAARLVLPKKPTKVGPAILHCR H257_19477 ANTTLDLLIVVGELVKNGIPGFVDIKSRADDNDSTKNVLFGLRT PLPLDRSYYTNPSKWETVEADYKVYIASVLQLAGYTAEKAAAAAPVIIRFEKTLAGVD LHKLKKIEAVASPYTAFTYSQLDQKYPLLIGSWLKANGFDIYDQSGGSNDWVGFKDLT YFDKTELLLKNTTLDDLRTIVEYKLIHASSKHLTPEFRTANWNFIGKKIKGEEVEPSR ETFCLSETDETLGDLLEQYFIDEVLSADAAKTAEELVKVLKSSFSTGIATADWLDNST RSNAQTKLSKLGHLLGGPEKLQLYPTLTFDSKSYLNNRWKVSQVNFDINLKLNGQPVD TPEFDYDVYVANAHYETAKNHMFFPAGVWRKPFFDVQFDAAQNFGAIGMLIGHEITHA FDDHGRRHDGDGNRKKWWSNASDAAFETKSECLQSQYNNFVLKSEVNGAVFRNITSLF SINEIIADNGGLKSSFRAYHEYLNEFPSQYTEEAGDKLFYLSFAQTWCTKNTDAYLSS TWYKQHPPTIFRVTGALQNNAEFARVFQCPTDSYLNPSNKCLLWE H257_19478 TASHPKLALPYHCSILSNTPSHQFTTMSSSPSHPTTTTSTTPRE TPGSPANNYVATVDKSVDDHVPTAVQIDVASVPLGATVDDNGLVIGKWKSDIFGCFND LVPNCLLATFCPCVSLAQTLHRIGMYTFNTVLIVFAGMYLLYLVFYILQCSASSSISF NSMGYPVVSAAATFWWYIALALQIAAFVLFMVIRMRVRKAFQIPGTPLEDCACSFFCS CCVLAQMASHTESFTPNQCTFSPKDTLPGYEF H257_19479 MASGPPAQQVDETYVAVQSPHDASVLLHDKVVANDGKAPQTTNN LVSFGQLFQYADATDMLLMFTGTLGAMVMGVSQPIQIVFFGDIINVFNPAGGGNFARD KFNDSINKVVYQFVVLASVMLVVGFGQIACWSISASRQAKKLRHAYASAILRQEIGWF DVNEPMQLATRVADTTLLVQEGMGRKVGDGINFMSMGLASLILAFHYGWELSLVLFAF TPLLGISAFCTSKAITLAVQGGVASYAEAGGIAEESLSNIKTVHMFNAMPVMAAKYVA ALGHTQVSGVKKGLAVGFGTGMMYCIAMCTYAVGMYYGAVRVTNDQLGDNKCKSGTAG CYDGGRVITIFFCIVMGSMALGQAGPSMQAVMTARSA H257_19481 MVDGCGVVVWYTYFKVHMTKEGPPEIPQHRNIRKQHEGLRGFYK GLTPNLIKVLPTGALIFAVYEYQP H257_19482 MTSIAAALLLCRMRRRRRQKRLLQMRLGGSLREGSAIESRALIK HQSDAAWYTMYESRSIIATVSIPPDDFDDLLRVFSVHYTVCSRPGRRGRPPRVQQKHA VLAMLLHYYTAAVEHKTLQELFGVSPTTFSRVLRRAEVALDRALSHMQDAVVRCPSKA LQRDWAILTNAKEPLVDGVFAFVDGKNYRVQSPSNTDLQNAHYNGMLTVTECANCFDR VAALFLCDGMSVFRCRWHFDLGSPQLPRLMERWRGQPSPPSTCV H257_19484 EYDELHAEGVALEKSLEEPKTLRYLRCLELSSKILQFTRQSLKN AMIANILHLILPAVDSDIPALREKGLECLGLYCLLDRKMALNHTIVFWRVLNADDEDG DSKHTCIRVLLDFFAAFKSFEITPVEEDGDMITSGSILDGLATYFCVNEHQLDTWDLQ TQTLVVEGFIKLFLLKRIADST H257_19485 MRLVVSGQTIEVANDPLIGSFFKDLPTQYYKLTDARQLGYSFDI KGLLGDMYTTCGSSSSSTGGIESVQEVSHANVTIDHVVEPVVLAENQNVLAFEDAVLT QADSQGLTTDEAYLEVQKMNLLLQENCMPGSVADFTPEFKAEWHITGSSKSFALLQGI KSGTNPVRIEHWQDILFKYYDCRGDVKQVA H257_19486 MTSSSLQARPRDDKQSRRMMSYREQKKKEMSGLEGTIRQLEHIP SVLHKSVPTRLARSLLLLLWHEVARSLADLRMLSESQNQALKAQLVEHEALPSLDHNR TSWRHASLLNDPATRKLGKEWITEHMFHNTDAIFHQYGFPPRESFEHLLHDFNFTFDD NGYC H257_19487 AKSVLTFAEQANNDRIASDRIIFENYFGRLKTLWATCSASYDFV FQACLALTNVDVRLHSLRAEDGDANAQYINRLNEIGVKIIKTKRAAGKAYRSKRK H257_19488 DKESEYVMKRKTAFRFQGCTVVELLKEVIHVRPFEAPHGEVNLQ RIYGQAITVNGA H257_19489 PSTTSSPKRTPSSIPSTTKKPTLSPSPGTPGVDKKVSWNWFASS TTDCDASLSKDTLNRGLYIGGENIPADCGKTASFTYDGRTVIATYAWRTTGGQGYNEL SPQAFAQLLGSNANAANFNSASDFQAAINDPGHVFSTCSGTC H257_19490 AVLALLRRDFADNDTALLLGGMAPDYQTRLVEGIGSTIDLSVAE ATAAQKALEEQVTQMSSHGRYLEYSLRFARQKIASLEEQTSTMTSHGRLLEYSLRIAH DEIARLTRASESATSWPSRLKSIKLYVAKSAERSRFCDVAFEGLYRRLGVL H257_19491 DMTSNSGGPHFGISHSIAINFAETLAGLNVISGYLHVVDIAGVS YSDSWCEDGCNGIRVWIGKRIQGWD H257_19492 MTINKSQGQSLKVMGSDLETPCFSHGQFAVQPPQFALDMPTLMS HRIMHALRDMNSCRGPHYYATQLHL H257_19493 MVQAMDAFSPPTNGTCETNSDDMEVEILDLTSPVTEMDDLGFNP FSAGRDATSTVPASPPMVREHTISPGPMVPVGVPNGTSNGAT H257_19494 MIYNHLTCVYARVQGLSCPPLPPQQLGTPSTARRMVLALAYHAP ATEAHHPMSSTARATTAALHNYVRRVRQTCRLPPPVHGDVWLRLLFRMLPVNCRFAYL LERPDAICCAYGCGGVETQHHAFHACPQIHPVWTFHRDAWRCYGVTFSWSTISDLDLF TVNARGHHHQDALKTLWILLIASTLHLIWTEHNKVQYEAATPLPPPAWNELSFLGWTM SVRRWLRLQDPDCPLRSSVLEVLRVQSPYRPLWTKYPYTLLLAPTSATDQRH H257_19495 YKYKRNYKSGAAKEVYLYGCISHQRCPPSLHAVAVNTDVHIRDD MLALTPFSFDEVVYCAK H257_19496 TPTFVKTSRQSGRRSKCQGGCWCDRSVATLFSLRYNSPLASRFD SKNNSGKRVAYVMLAAELSVEIQREFVAKQVQDKVRAFLVQSFQN H257_19497 MALTDALVTPLCVRVGFADDSNDNHDDYRSEPSQEWDSSILLTP SVASPYPAPFLHGMPFTPFEETGAATYDDQSARLVLDEGRSQGRVSTKVFASYLKAVG GWKKVLFWVAVLAIWQGLTISGDVWLSRWSATVKDGTASSSADYLHDAGYYLSIYAVF ALGSVAMTMVRTLSIFSSGVAASRRLFENMTNALLRAPMRFFDTNPIGRILNRYSNDI DTVDSSIPFNVSASMAVAFMALFSFGTTIWVLQWWGLALLPLIYLYFVLGGYYVEPAR EMERVNKTTKSPLLNLISESIEGALVIRAFGPKQVRRFQRQHFRNVDTNNEASFAAQV ISQWFAMRIQLLSAILLLCISTALIFMRDYVSPGLIGLVLNYSFTVLPSFQQLVANWG QLETAMVGPERLAEYANIEPEAPRVISGAVAKDWPTTGDVAFTNMSFRYKENDPMVLK DVNVHIQSGEKVGIVGRTGAGKSSLTMA H257_19498 MKASVVITLTTSAIAARQSYESLSKTDVAALEHELDKWKALYGP IAQANGFLPPVTVNSARINGHYVEELQRFHDTVQDVQEAALANPDAVTVASFTE H257_19499 MKFALLAFTVAVAAFAQDQIVPPTVDGFEILGGQEAQFGKHRYV VGLKESPNGTTVCGGSLIAPNVVLTAAHCLRGKPRSAVVGTHYLTGFDDGELAKVAQE IKHPNRNVDVGVALLNRNILNIQPVAVSFEFVEADVLTWVRGWGFVKKDGPQSYVLKE LSLRTWNNTRAAAALFPDQLTDEMLGAGGVKGEDSCNHDSGGPMTIEENGTVRLVGVT SWGIECGALDMPGIYARVSAARDFIERFLEN H257_19500 MGYCIEKKADCGNKVVWKSRPDESVGVVAKRGGQYCVVEYSEMD KPTSELRDPKTNQLVYGAANICNHFYTVSFLTDVVLPQMSLQYHVAHKKIPMADDSGA TVTPTANTGVKLESFIFDVFPLSQRMAVLSSNRDDEFSPVKNAPGTPVDSPDSARQML HDQASRWLNTSAYGYLEVSPLVSYAGEGLEGYRTDLPLTRVLSLDSKSHPATAHCVPT AIRHRLEQFKQQHVLHFIDNGTVSRYDAQLLLQDLASIDFDHLKASFERSLHGSTSAA DVLSGPLTPLTDEVASLAGSSGADKDSWTKAGVEAIRQGQVAALVLSGGQGTRLGFSG PKGMYDIGLPSAKSLFELFALRLVKLQGESGGVIPWFIMTSMLNHDSTVSFFQKNLYF GLKPAQVVFFSQGTLPCLTIEGKLMLETPSKLSRAPDGNGGIYRALVDSGALKQMESL GVKYLH H257_19501 VCTDPRQTCDLNTACALDAAQLPTGMKSFCALSTTVSPCKQCTG SGQNCFNAPECQDSMFLCNYLTAAQKAALAPAHPCLNVPCQLNTNSPSCTNSVYQYCC VPGSPNCTNAAPTPCTPSGCSSFMTGTSATVEYTKVACPFGDSAFTCTHPECNNTYIY QDIVNTFAANQGCSGDQCITNYLPSVCKLGANVTNTSSANKLACTNRIVSFCASNPTD PGCGALCPYACTSQPNCPCRSDACAAVYKAPLCVRTAGACASFKASLKSELFRQRFFQ PKSANLAYAKNNAGPPSGPFVWSQALTDAKASCNAIVPQLGDNLATCVQTSFAFCNAN PWQTGCQNDLSTCGDGFVSWMESCDEGNLTASGGCDATCRTAPRWECYQQGTPCKRCI HATGYKVDGVNPASM H257_19502 ETIVTQKGTHVHKRPLTRELRSPREAKKDCLNFSDDPQRKPAAL YRFIERLRSTTLGHVNTDQALQALRQEWTKWLQPGGVADASEGRHQPTNCFKSEMAQI WTRCELRYRSVVTEHPNIRVMVHMDTTFNLNKSGYPLFVVGYSDFGGSFHALGHQRLG MMYLVQILLRLLCSESDKAIPFWTPLGLANASSNTSNKSNGQMRSISTRQSRMVRIFG TYDIT H257_19503 MTKRYDLFKDGGVPKSYLEANWIERRQILRAEVLALPAKSRKKL ALRKTIDVGGRILTLVLLSMVDKTKEDRAAAAKLRASLRIKRGKDSKKLTLSKTAALK WASFAATLGLMPLPDGQI H257_19504 MVRTREKEKIADMVARHGIRPRAPAPTISTTRHTHNDSSRMPFA LTRGEIHQHEQSTFPTHMPWHSPAIHPNRVPEARMWSPARACSPIPVSHTASLEAARI ARCTQMAIPPTIHLHSVFPPTPTAILATDATPQEPALTSAAGTSAPATPQELTLTSAA GPSARPMTPRANESDMQHISTRRHATDAGLYTSGKCFEEDPTCGDERRINPKRACQPK HLHHAPTCVFVYFYCNIGHRRHATSAGLYTSARDKCSGQKEF H257_19505 MTNSTASEFETLWSVVDTVMHSAWMEGRGRRSLTSPKDSLFMTL VVLKHFSSWDKHAADFGYKAPTFEKLIMRVIVVVQPVVYDHFIVAQSMQSLRESRHQF EHHPYALYAVDHGMYGYKIEATVLPNGRCVAMSESHPGSVHNFTIMHSRQEVHKAMLM KNQQDMLLLDHGELSAQYREQWACLVDMGYIGIDNTLRGIHPKRRPVNGALDASDVER NRLISSDRVIVEN H257_19506 MSQERTHQPRIGFQVYDWDRWAKDDFVGGATISLQDVTIIASSD YTAGYAVPKPTWYNLSYEEGKGGEGQLLVSCTLVAKDFPDQVIDPPTSIKPKMQEKFL EIICLGLRDLSPIGFMPLHMPFLQFDIGEVSSSNRPKKTGGSSKPTPANPNFLERILI PIQLPEDAQFAPRLNLSVFDTLLGGFHKPLLGTCSIDLTTKLPFSNGVENPVYVAPGL I H257_19507 MLDKSERPKLVPRFIGPFEIVQAINDNAMRLKLPRSKSCVHDVF NVDRLEHSHPKEVKFVSRPIPKVTHVSTGEEKFASRPIPKATQVVLDESTGEEMYIVE KLLKKRQFNRKLEYLVKYYGQPESEATWELMKDIKHVVHFKQLVQDLESRRFKM H257_19508 MATCNYLDDKDDLPAAVRTMRRQSQPPTWLSGFRKKLSNRTLSG SPVVPEVFLDESYCNNAVPEVENAAASASLAPDRKPKRKHDEEQEVDDDYHGNLNSVL FEQWFEGHCQILRD H257_19509 MTSRSSSTIEEARRNRISEDTRTGYASGINQVVKWAKLVYKNNL LRESSESACGYSLDLSEFSYNDFLEFLVWTVRNKPAIQPGTLSSYRSATKSLYKDHNL AIPDEFT H257_19511 MATKKSVLSTSNGAPLPNHGLTASQTAGPHGPIVLQDFALLDHL AHFDRERIPERVVHAKGAGAFGYFETTHDISSVTSAALFSAVGKRTPIAV H257_19512 VIKKSLAPKWRQKFYFAINPATKYANTVVKLRCEDQDVFGTDFM GLVAIDVREWIQKFGGIKTDLWLSLGPNTNNPTRANLSEEEPSELGWGQLHVAIEPCT LDCSLDRLAQGETDSEVYAAGDGGESGANGTLEETPENAKESDEDIQKRELEQKKMMD ELQQVEFKHGDYQVQVRVIEVRDLVPQDANGSADPVVFVECLGETQHTAVKPNQLSCV FDHLMFFNFKDLDKDTVEGASIQVTVQDADGPFSHDKIGTFRIDVPYVYYQKNHEMYR QWVALVKSAGDSEQGVQGYLLYDII H257_19513 MTLQDQVVSFIGKLSGFSRAEAIAQARDAGASVTSTVTKRTTIL VAADEHTKAPNGVEVWSEATFVAQLGQAKGDPEVEPPAAMSTSKPKRGKKGAKVKDDA IKDEGVTKASPKGKAKDDVVTPVKSKKRAKKGDPMAPPSPKKPKTEEVKAERVGARKP DRHLSSREQFAIVDDYLTDLMQSNLGANNNKFYIIQLLQSLSDQTYYVFTRWGRLGEA GQQKLAPCGHDLDKAIKQFETKFADKTRNQWGDRREFVKHANKYQLVELDTSETGDGG AGDAAMGKLSASQIQKGQVVLESLKAAITSRTSARAIEQLSGEYYSLIPTLAGRNRPP PLSTKSMVEEKEALLDFWLRMGFDDMEEQTGLAPIEGIMDLPLPSTLLA H257_19514 MGSTSPDFRSFARRYLHLPTYFPSTVGNMQLRVGQCGCITPRWL GRTALSAQPLLAHHEIWLAQQMGADQPATYNGPWDSCSTRKDDAPAPGHRFWWIDAIL QGRTDQWWRTLANKITTAAAMAGPYAYWCICYKHSTGHRTLDALGATWNLHIPAGQLR MRHHTSITYFSPPRFAGLTHTNKVDILLGYIVSPDTNTSTLPTHWAQALQHWGQMTWL MNPPRSTPPSPPTGLQPCTPLGCRYRRQPRRPDVPRCAQLAASQFSPYLSGTGCMATT MTHNTQQWATALSQPRLGKDFHRRWFTTHWTTLPAYWTQTCHEQYLATAQDGDTLAQA DNTGIKQRRRAHDDTPVA H257_19515 MGGNKLNTFHWHVSDTNSYPFQSKVFPNITNYGASTAKQIYTHD EIRDIVKYAKGFGVRVVPELDAPAHVGAGWEWGPDAGLGELVLCYGANPWFDSCVQPP CGQLNPLNDNVYKILEGLHNEWLSLFDSDVFHMGGDEVHVGCWNQSAAVYPFVKDRND PKSFFHLWGEFQVRSAKVIEKAQKKVMLWSSDLTTPDFYKYLPTNNTIVHIWSDYAGG DTKRLTDAGYEVVLSYWDAHYLDCGFGGWVNKGNGWCNPYKTWQVIYDTDIVPNVTAA NQKLVLGAQVALWAEMADGLSGDFKIWPRASALAERLWSNPKTTWKDAMSRYRTHRDR LVQTGVAMAPVHPEWCRQNPTECNLL H257_19516 MRHMAANKKLMARSSHVKPFLTDANKTERLRFAMNFLLPGSKGR HFFDNMYNQ H257_19517 HQTWAEQVTYEISPRTNAAELTRCFSHACTTTSYHMVQYLMWLG TFSATGLPYRDSGNVDDSLSSTALRWRTSLPKFEAILGRKLGDPPK H257_19518 NCPLTILLSSSTNTNMPTSNCKARLSFILAAPDDGDTTYRDPWP ETEHDDDASPYDDNAQPTRASSRRLVLPPLSKKLRFILNDVVASPIDETINPMAMCCC SPDKQTTTVQQGLCKHKTSSAPPDDTCAKEDIHEPETSKTLASSIKRRYNRERQQLLR RHELHQRRSLRAHVDELEATKLQLQQQLKSVVQPSRTPSSQLKGRVMDSMLHQQALTN RSAQYAAFIHDVAQWASRLQHEAQIDMQWLQTHTPQAHDDSASCRHVQRPTNMPVLKS PKSLSHSPSAKPAIPAIYFEDLRQLPPESRKRMYNRLRQRLYRQRELEEVDALHAHAR DLTDVLRRLKTNEHVGSLHRLRGGNVSTTSRTLQAALEQNEALKAKLRRHCHLSAQLD QWVHNVSSHVQKDVQLYL H257_19519 MPLLRVKVVSASKLLALDSNTFGGGPSSDPYVRLTCGTETAKTK EVKKSLNPQWHEEFRFGEAVLLDVSTASLHFRVKDHNVLGPAEDLGEVTVALEPLLPS QWNKLTLPLETHTSMKKAASGELVVEIFLDPTQGVGTAALPPLSHAPSSTSDESTSAD SPEAAAVEGDGSAAPAASPPAPWTFNVLAVSVLEGKGLKALDGDGADSTSDPFVTLTL GDDISAKPLKTKVHSYRSFHPNVDCWLILPSHLIKFKPVQTMQYNM H257_19520 MTSVKPKKQYRRYTNRDRKCLLAHFHALPLQDEAKFYVDMQIAR STWRGWRNNEHAILRSKRHGSKGSLGDQGRKIIIPFKDALLAYIDERRDEEKF H257_19521 MWLQPELCPSVNDLPHCTESIASSRILISNTVDGVKYQYQWTPN PPIVVAFNTTYWFTLGSSRESRAKDPSWLDGNKKFSSADDPLGDVRDAFFRPKDGRWT LVTLHENRSTPSLQVHATYST H257_19522 DLLSFIQLNLAKPLASGFNTANPAFLGYVVGGVLPQANVLDYYI HSVDAISIAWHTAPFLTRLESNVIQWFGHIAGYPRHTSFGIFSEGASTANFNATVVAR QKKFPTSENLHLATMYCSSAAHFCVSRAARMAGIVPTNCRLIPTDPATGSLSVAALEA QLQADVADGLVPFLLVATIGTTSLGAMDNVGAMAGLAKQYACHLHCDGALGGFFLLTE RGQQLMPDVALSDSVCFDFHKGMGLPSATSLLVVKDRHDLVAAFGSSDEMEYVKQVTT AATQRVNSSPHPHTAHKFTFCTESEFDADLVSFADCSPDLSRAGKGLKVWWTIKLHGL DAWTAHFNHLLDMAEAARSELAALPGVEVTVGHLNVVTFRASANGKSVAECNKDTNTL LARVNARNNVYLSTASTVDQDGHKYDTCRACFQHVNISLGTVELLLTELRECLCP H257_19523 MDDDINVQLERHLRMPAITTRHEFMAAVNPLPSPALHRQLRMRV FDVKPAFRFNEPNTQYGTRVSLTDMAATEQTISRLRLLSQTEREAFIVRMMIFDIQFR ANPAPSDVIPRLIVGELHL H257_19524 MKLAVRGRLKSQRVSKANAPDSAKYLVKWKENRSIGVQLRECRL AKGVYPMVVLVCRDLCCDALRHVHIGNLLVEINDTAMMSVTKTVAVVKTCTKTALFKF KRGPGISVSRVSA H257_19525 MKTIFALVQLSLLVVLPAQADLSCCGGPNSTTFNVDVAAAASAS TNFYVHHDGYSLSYNCERRTADRWNYTLAKDKKAASRPSSFYTDPMVSKECNQFKTKS YASTHRGFDRGHLVASSMMTDSPEQRRGSHYMTNIAPQVSSFNQGIWENTEDIEACYR NLQRIYTWGGILYTDDSNDYFLDSHGIRTPDFWWKVVLTKDDSGADKIISWFFPNQEN LGSLD H257_19526 MNQEDARLLKTHGRALGQKRAVVPVGLTRTRSTWSSCSDDALVL ALLAAGASPQIMVMGPTTLWPYGMDSRPIIVTKQAAVELTVGMPVMQKLGYSEQTLFE NCASAACAIHWTLRMEELVDDIDDEEGKCCATPELGTIPSLV H257_19527 MSTKRGYPLGDQTPVPFTCQSDWMCDATTLLSNAKAYDLQLSAP VYPSSSPTIFNVTYSNLSVSGVSGFNVRAGEDIWKRGFGIDNTPIVKGQYGGYVIFGS KTDRLFGYNLAVNTTGPHASVLHKALLDEALYRKVTGNNDLTLRLTSKPLPLTNYSKV KLSTLLSFMAPIFVVLAFSLYTASVVPYLVKERDPTANAKHQQLVSGVGVPAFWLANL AWDLVVYAVPCATGLVAIYFFDITPYTGVDCKACATSPFPAIVLAFVLLGFALISFCY VLSFVLKSAASSQTYAILLNVLLGMILLIVSTMLNILNAKTKAINATLVFVWRLSPLF CAGNGLYTLSQVTLESTEGAGPKSAFSTDVMGYELLYLAVEAVVFPLLAMGVDHILSY PKLKAKLSRDPKGIREAPSDEETDFDVAAEERRVDSGAASQQDAV H257_19528 MEQLKTIKKELGLEKDDKEAMLAKFRQRLNDFKIPSNAETPDIL DVVEDEMNKLSMLEKNSAEFNVTRNYLDWLTLLPWGKSTTENFDIVAAKIILDADHYG LTDVKQRILEFIAVSKLLGKVQGRIICLVGPPGVGKTSIGKSIARSLNREFYRFSVGG LSDVAEIKGHRRTYIGAMPGKVIQCLKTTQSSNPLILIDEIDKLGYCNVHSSSDFSLL SIYIYIYISIDFTYTTL H257_19530 GDLISATAGKWVDDTIVYQEIALLPKYEDDCYVQVNTWAIDGEY GGTVLRIDESNIIGLSSGIYPMRVVPDKSSSLPAALN H257_19531 MAISASSVLPKYLNAVKKDLAVHTLNIARHVGNARYLDASLPFV PTFEAKYGHEVSTAQQSKYYTITEAGQAGVEAATDILHQLFLRATDHVLAHKRELAPY FCIPAGLWPKIQHSWTHHKQDTISGRLDFAFTDQGMKVYEYNADSASCLMECGYTQDA WSNATGLGSIGRSNSSTLFTQLTAAWKSKN H257_19532 MPDKDKLQRIHQNWNKTVPWAYLDPVHQNIPLAARDAHLRPPPP RISKDHDIFIGISNYRDIRRCGFTLFT H257_19533 MVEKQGNSRVKPRNPARLDETRPLNPPPVPDNTDVASADESKSD VSILSESNDDETLTQSVVPCTPTNPNAHFDSKDSYFASILTSRMLSLLASGVQSANET IHHLAQVSTHTH H257_19534 MSRIKKRNLAGYVPSPESMCNPLELVNIRTWGGASDVDMPHASH HKTLEMAGRYKQDAQSLLEIARNQRWRSVYVANLQAAAGVNVDCSTDTLPTLAANFFD DMVKAGHLAEADLSNPIVVANACLENTRGWDLKTEVEELQKQLESRLCGRRSAFGPAT H257_19535 MNQPGTIKTLNSINVTACLNHTVDPPKSAQATPTRLTEAKVMPL QAMQRTTESVIQRLSNSSMMSTNPSVAQVDGLWGALRQVLRSKGLVTIVQVRRTILQY GKTIAATQVQAALGQTAQVTLPTEIVQKLVDDVRQRCPLRGEDVTSARASTAGFWKCL AMPKDDQLVKMAKRVFAHLQVEAKGDCTPLVLAKAYDAPSHPSVMLGLMPAEDVFKDF ARCFDVDSSGCIPYDSMDTYCSDLYFSVGELRHCLQMLRDVFHVEIPTTTS H257_19536 MSRIKKRNLAGYVPSPESMCNPLELVNIRTYLLATNDLIGWKLW TMILFHIRLFLRADEGVGFTSSQFLRRLTSVDGFGAVTMLAVTISGKTDKKKPIVLTM YRDDQCPQLCLVRTLLAWLKLSQHSGEGSLFPHDDDPTKFYPAAKFQVKCREI H257_19537 AYWACRAYRACQVYWAYWAYWAYWACRACWAYRFGHPSRTRRRC CGGMQCWAQIQRIVPS H257_19538 MEGGAIVAGGALDLFSREAFGLFAQYAAVGVIYGMIPSLNYPIF NVYLNLEGYQTSAYSQLVTMGWSFKVFMGLFSDCFPIFGYRRKSWMLIGWTLTMICLT VMTFSSLGDPYCDRVKAKAMNSTACSRVFSRASEKEKDLFNLGAPDQGTKFIMLSMIV SLGYVTAVCASDAMVTEYSQREPLAVRGRVLTGIYTVRTIFGIMADVVVGFGLNGANY LGSFSFSMSPNVPYGICLAPCVLVVLSTIFIVEEKKTPPIPFRVWASGFWELLQKRVM WQICAFRFISQVFRNISSTADSPMASTWAKVEPLNSSLAGIIASGIFAGIMMVVAKWG LHWNWRWSIAIASVSVLALDSITTFLTIWNIVRNQWFYTGVALSEQVPQGIRFVVSTF CAVEIADVGNEGATFGLVTTVSNLASPFSGMLTRYINSYFRVTQNDLREDSIE H257_19539 MVCLVVAGAITLNASTRIRYFLWGAAAVLCVADAFTYVVRVRSG HAFTEPWKVFMLGSTTPRAVYSALLAVAAITHGFVVAKLASAGQQPLYLMGCTSAMGA YDFAISRVPPSS H257_19540 MKANVPVYTIAQPDVAAQMLVKCALEHGAPLVVVPPLSEFNIST HDLDHSLGMHGQYQRVNAALAVVLASAWLAARHGTPLPPFHRMISPTTLQGLKQAFWP GRSQTIEDPLSTAVFHVDGAHTPLSIECCAHWFDSCCQVTDHRILIFNCHHERDVVTL FLPLLALGFHHVVFCPSRSCRPSIIPSVQEALLKANLDIAGLPSDAFNTDTFPPREDR MHWQHVCEKTWTILNQLHGQTTHTSLFPSVEATLHWIRTTAPPNTKVLVTGSLYNVGD TLSALEWSEE H257_19541 MKLLAALVHAAAAASSQAGAGPLALVFDSLAPDPLVTDPLALGE IIAKYEKSGVQFRSPLAKDGCEAAAYNGVIDCNLGLKLEFVNFTIRTIHVPTNASLWL QADLCPSVEGN H257_19542 MVSTRATANAPLLAATVAAGTNSMVQTMDALSPPTTGTGETNSD DMEVEIHDLTSPVAEMRDFGLNSFSATRDAASTDPASPPMVREYATSPGPMVPVGVPN GTSNGATGAKLGSTMTLGHHPTMASRPGTTTASAATAPAPMLRDRSASPPSTGPTRVA SDVMDGGDHDSGSPVTANDDSGRSGLSTANTVSPGDARSDVLSQAMLPARVGDAGSSE DVNPRSNSSHPRGSAASATTAASPPSTDPWTTFAAKRVEATKASRAKDVGTYRPSMAD LEPLLAKHT H257_19543 MGDDGGGSHSGQVDSKLRRRAYMRNMMKIYRDEFKLEMAYLCER EKQLEENLRGILHERRQASMGSVTAPSGQQKTTEYHRILRDMEAWVAHHQSIPSSLNA CVSTWRDMTLLEHPPSRDLGKAWITRQMYHNSNRMFHQYQFPSTTSSHDLYDVEVVTC PDTGALEYVHRRQFDIALPASFLLQ H257_19544 SSLSSDTLDSAMMARVEMTSMQPSGVCVVRVMYMYSQLYRGDVP CTLGEESSYWDFDAQSTPPHLFPNHARRTAMLFLPSARQRVREFVQQTVLDMLANNDR PS H257_19546 MSVAYGVEVEATEIPAPVRRINNALRSMNMTLVQTALFTTHFDA GVKVCDATKSDWNRFVNSEYQELISRAMMWRDGAIYIVELPGEIHEVMNRRVSYAIAA ATGTFGVHLQTCGATFVDALQHIEPDESFAPARNIGAIRPANLTWGEFHTLKIEVGVS RGWALLDPKAILWATFPGVAYILCIRISPHFRAC H257_19547 MQFVLDTPSWLLLRHNDRITSFSVCLKIQSPVNCSTRAEVRVNS TLHADFLSCFLTAK H257_19548 MSERIRDVEQQVGLKTSPASLHLPSHCCRESEEEKPAKRCKRKN TCLWECWYDWFVNHAHSTELDKQWKSTMRRCVAYMLLFADNLDVDESAATYLDTVLAD GHILPAKVLAFLVERSASTSSTGSVERKLRAIHKKGELNVFIVEFNARVQLGLIVDPT PQPE H257_19549 MSQTNDKIIKSLFEDNKDAPWAHLMQDFVQVNKARGGDVVVTVT DEATRLGMSGQSIRLLGKEFSVVASSTTGNRNPSQRQHDDLHDLYYMDIVGTRFNFDA RAVLNALRRLKTNPVFISYKLAYSSSTQKSNTHPNIWRVYFNTPSQPVALLVKGHPVD QIMLHGTNSLY H257_19550 MLGSEVAGPDDFVRMPWQSFSTMKRFISEGNRLENASWRLWHMQ RLNRLATRTEAVDDPYDDILRASRKCVYCNFASATLSCNGCCHDVYCVGCFKLIHMRG HLATHTAVKLLAPPPPSSQQCVVEINNVRPGSNGAVVVRPAALVPSSNKVWEHKMDAL FQKFMVTSMHHDGDISVHNLPSDDTEVSLSSPSGHTTEHPSFSSSRSSAKRTPVCNTC KGPHITILCPLLQPASSDSSDSHCSLTTSDLQCANCHRAHVLTECPLLPPSSSSFSSK KAKRLA H257_19551 MLKDKIKEKKPASITCDADALELYRAFKDGALLNSEGAKAVTLN DLQRSNFSVKLDDCTSEVSSENVPGVELDEE H257_19552 MAWEHPMKDGNDMPPVSHLPDDVTNYVLLLRRVEEVPFKVELSV AALAGPTNYSHAAFGIICSIWAASKS H257_19553 MSTPTNPETPAVANPETPTPPNPPTPITPSGAAASVANAMDTTL VPSDDPAPSVDAPGLPVDAPVPPIDAPAPPVDAPAPPMDAPAPPIDAPVPPIDAPVPP RPPVVAPPALPDLFDLRAPYIVNVLERRDTPANCEMEDSRSKYQFRILLSDDTEQWIR SGHPQIKKYPRLFNIVRRYYNKLARDAELREEFPDNHIPLVSLEQFKSACPEYSKKIA ASADMDCVLIAVTAAAKQLNLPLSYSHEEHEAFLAQLGFSGTYGLPIGALTRYMDWFM AHGLKIDRSLFKKNCLQGTSVGSNPAIYAMEVM H257_19554 MRLSTIGTHSLRKEVVTASTNTPGNPKQGQCGFERVGVWNQCKE DSSPLVLAATSLLVVLQQ H257_19555 SSYKIRNSWGASWGEAGYVRLQRGGGGKGTCNVVEGVSFPIISA PKPTVSVEMLLH H257_19556 HPKQRWKRQVDWPRSRPDTQPDTLHGRIRALGDHHIPDGEWKLC SHVAPAGTETNTIHDLPSNQTQPAFAPEHDEQVPSAPLEYQLVTGDRFKPASKRPLSP RESQSFATSNRYALLQEDDVELSLEDFVIPRVVLAFVYYQIWFQRADRTFRPDLTPIT PVDTSIHAVNLFKMHLWLLLGDLPLKKGYSKVFNVLRALSADPWLKLHVIPDSVHA H257_19557 MDQVAYEESCSSCQDIFKTKEVVSRLGNARFAQDYINCMSCIKK RNLAGDVPNSESMCNPLEVVNI H257_19558 MIPAAYLQPPFFDGKADPSANYGAIGVVIGHEITHGFENRGSKY DADGKKKTWWKETTAKLFSENSECFVQQYGSMDVKSELTGDLLGKLDCNLALRETLAD NGGVNTA H257_19559 MAAAYKAKDMDQVAYEESCSSCQDIFKTKEVVSRLGNARFAQDY INCMSCIKKRNLAGDVPNSESMCNPLEVVNIRGGQYMLLTYKLPLVLTSTVQLPTLAA NFFDDMVWAGHLAEADHSNPIVVANACLEKRAGGT H257_19560 MASHDNKAADLEERVSYIHSVTPKDVDGYAEAKSPKDLEEGALA EGGALDLWSREAFALFMQYGAIGVIYGMIPSLNYPIFNIYLNLEGYQTSSYKVLIVIG WSFKAIFGLLSDCVPIYGYRRKSWMLIGWTITMICL H257_19561 DKTHLVGYFTPAHVNVDALRQCVADQLPVYMVPAVWVGLDDMPQ NSNGKIDVKVLQSMDVSVDVETLETDVELKMATVWANVLGVNVSEIGRQSSFFALGGD SLSVVRVIAACKAMGLALSGGQMTKEMLLWRVAKAVSTHRQVDWPSAMAPESTKVSVE AEWPHVVNWSNSAVYPVTPLQAGMLYATINNRRSYALQNAVHLADALEAAKFEAGFKA LVQQHDILRTTFLTTSTGMYQVIRPDIQELEVPTVAVASLADFLEDDLARGFQTADKY FVRLTIVNTDKGHFGVLTIHHCLYDGWSMTMFWNDLADLLDGKPLPERPSFRHVVDYI QAQDTRAAEEFWRSYLNGVVVTSLETNGIPRNCLADSSDQPLSIDTTACLSSLSETAQ TLRVTVAELV H257_19562 HGVSSFYLACQSDHAEVVRFLLSLPQLDPRQLCNALCAAQDMDI VEMVLAHPHFNINSDVGELPPLTIACVDRQLEKLARLLAVPSIDVNFKHNNDTTAFMI ACSKGFVQAVQLFLAHPELDVASVNQHGADALSITSDAGHADVVALLLEHAHFNSKAV RGQALGVACAHGRLEVVKLLLACPALDSAHVELGLFLACQTGHATIVRYLLQTSPHPV NVNALM H257_19563 SDTLEVRWDVTYVFLISYGCKVASLFWLFLLPPQKTEVQALKAR GGKSKVAGVILVFMFVACVSFTVTSNIMSIFPLTKCYRIAGGNGVLDPKTGKCPLNAH LPTYWAGLGSLNDSLSSILGNL H257_19564 KYIMPRILQHVHVPVKSRRRHLLGLLSSDPSTPSRSSIVVYFHG FPDLSVHPDTSVTPAFASRFPRKLNELLPLSFDLLCVNFSGLPGSDHDVPYRSKLLSH EVDDADAIIAFCEHELRMRHVHIVGLSTGAILASLVRNHVVHTSLRSISVVAGIADTT EGVHLDFSIDQQAQAQTDGFCLTPFYWPPNWPLPPDAVDVDSSTGKLWRPLDHGYLAD MVALDIGVSVGLGKVPFLVIHGDHDKSIPWQQGEALFSAAAHPKEWLLIKGANHLLTN AKHVKKAVAEIQAHMLASEAAAA H257_19565 MSNQTLGASPAASVDVAQPPNGHKHLSPTERQAVYEMLLGASIG EVLPRGVIVKAAKQFGCHERTVSCHWVRAQLSLRHGCLSADATKLSDSSFAFAACGIP MTSIIRHKKKTPRFIAKSNYVKPYLTPANIEARLSHCFSNMLDFVHVDEKWFYLTKVK RRYYVYDDEEVAARSVKSKHYITKVVFLAAVARPRYDHHSKTFWDGKVAV H257_19566 RCRAEDSTRAVCADTKHVRPYSVVRRRSLGPCPGSLPRCCDQVA VEGHAGKMGGYEPLAEPLIDGVFSFVDGKNLRMQEPSSTDLQNAMYNGWLLSVFVTGG LCFGLDGTLVWGRHNFPGSWSDGEMSRRLQEILADPTKIGVGMKVASDSAFPVSGRCA GRIVTPLKKWDLERHPPACRLGLKVMSDITSLRQATVWGMGAVSKVYRQLLLPLLYIP SLRAMLLDSMFKLYKIRVRRTWISQIKNVFGL H257_19567 MFGFLVVWSVAALFVFGPSSHKENGLPDSESSSDSDRQWPSVPD VPVTTVAVDTLLLNDTMAPPSKVKFSFPKFVGQPAKFPIPTASTCGIRKAKSAKEVVY MTVDDGPSPSGRLNLLNAMDQLNNRKNKEAAYVTFIES H257_19568 MTKELNPSCPTWRDTSLPQRPKSRRMAKAWITQRMLHSTDAMFQ QHGFPAWDAPQEVMDVCHTSTVRPLPEKNKLFA H257_19569 MLVGEVELFVAVMTLFRPKQDDPTSYLPYGVAVYSRYPLHTTLR QRVSDVYEACMMSGGFGSSMLSTLTAPLRTAGWRHLPDRLPGIDFSLSLLFDTLDVAN IITLFTAALVECRLLLISSQLTVLAVVAESLRTILHPLKWPHVYLPVLPGKLLGYLQC PTPFIVGVQKDLLDAEVLSEVGHEVVCVDLDTGVV H257_19570 FTSTGIFQRWGIYLSVTGADTQDSIAITLDGKPLEWKTRGTKDR SFSEYRSASGGFSAGDHVLEVTGYGSFAGPIAKQLCSVEVYEYGNEDEFKMDDPEYIG AYPTWDGSSHKTFRPDNEKCLMRNMTSPQFCNVCQENMWQQFLSRVTFVDDIVVNGKD VALKLIPLGQLRPVEIPNERYSTQWFNNGQEVTTFKDKFTVDVSAVAGASKDWTVKVT LFTPMVRKDSKKVMSVERNFTVDATFTPAPTSTTTPVPTTTAATVTPTTTTKAPSPTT VPVTTTPSPTTTAATTTAKPPSPAPTTATLTPTTSKPQC H257_19572 MSLTLYCAIVNDGSTIKVEVHASASVAELRTKIAEKMQYTFPDH ELTLYLAKLPDGEWLQWSDEAVGKLRTHE H257_19576 MVLNVFRKTEADVSDEAKAFINSLPSTFMNLFRKKRKLIPVWSS SKYCVMIKAMFLVYYRASKEPHQPATSTNGQASDDRHYKFIHLRHYHIKIVDNDNLGN VEKDRAKYITHANNVQRDVPRPTDFQTLKLIGKGHYGRVILAKDNHNQLFAIKEMKAG QVKHKVIHTERMVMERVGDHPFVLGLDYAFSSGWPLYLISKFMPGGDLFLHMENHGGS FPEAV H257_19577 MTPEFLDLLTTLLEKDPSRRLGYLNGIADVKDHAWFRGTNWDSV RKNELVPPILPKPAGDDWVNNFDPQFTEQPHALNDEKLHEGTLNLAKEFAGFDYVRQM LWPSTTPTLQNHLYLRVLDGHFACHQPARLSKSKVDPVTGR H257_19578 VYFYSHRIFPALLLMLTFTLVMGCVWLLDKAVQSFTLVAGTHPL HPWSLGVEEQFYNFWPLFVSVINQLSFCKALAPSGCDDDQLCAQCPLLGIQR H257_19579 MRSRLDVHDRALTKSVNELSITDNGEQSGTYGTMWAVLVDMGYY GINEHIRGIHPKRRPAGGYLEADDLERNQRISSDRVLVENFFGRVCLLWKIAYSTFCW GHEMFSDIQRITFALTNFHVSMMPLRAADGTHYRSVLARYERMSYEMTERKRQQQLRA RRRRLERMALSRGRTASSRSFLTPPSSQL H257_19580 MILGSLVMLPQEVNRLVALLAMQSPFRKTYIPDPNLPHVLLLGH VASASVLLDFFTEFYHPDRIVCGPNGAASINNIPCIIMAPVEPSEEVRALLVNPLFQ H257_19581 MEHIASKPHKRHPVRPPPAQSDAMTFSNQASTPRTEFTSLFQSA DEEGTPGPGTTTDNTKYCFTSMLPTQAHPYTPRARGAHVPMHDRWTPSIVVPMNSVID AFSNVVATNKLQADTSKRTVLHIFLDDWLQQFEKEEFNYRSITVHADIGFSEINRLTG QLDRPHDIMTAFCCTLLERLVPYFGQYQDLLKILSSEVQRSIYINATVCDQPRVLFNA PTYFSAVKDVQYQLLSRLDKRGARSAIFFSKKS H257_19582 MSVLPPPSPQPVPAAELPAQQATLEPDGMSSTEWLKNVRTVVAE DTLYCDDYSVTVPAQRMITAYHVSGVKGLTLINTNVCDSDLRDGLLLGLSAASFTTTV NTYNGRRDFLSITPYPRSAKLDSRSHRYTMTFDPNMFSKFHMAQTTERGVRQVHYLLL AKADPFESRLAGFVHACHPLLLNRMWTKFFPQGQANDYNQHKTVVNVFFAHRVGVEYR DRVRKVGDAFEIEAVEPSIWYDRLLAHAVRKKRVAAVT H257_19583 MLLELSDDETFDQHDEGEFHVVDTIEVVDQVPDSTFQTEVTEIV GRFTQARVIESSSQMRTVSRPWHKYDGGPPADDSSQTHCDVCLKQFKSPSALFTHNCK GFSEKRDLVSYLVKRLASLTSLQAIRVVDSKSAEFAVDFESALPPWKYEAGWARRPAR GHTYGARYIRKYKDEISIMVLEGNENKAKQMNPTQILATLKDRHEGEFAFPSVAEVSK SGSTNAPVARKVGGVTRPQYMRTWLTMVAPRT H257_19584 MLLELSDDETFDQHDEGEFHVVDTIEVVDQVPDSTFQTEVTEIV GRFTQARVIESSSQMRTVSRPWHKYDGGPPADDSSQTHCDVCLKQFKSPSALFTHNCK GFSEKRDLVSYLVKRLASLTSLQAIRVVDSKSAEFAVDFESALPPWKYEAGWARRPAR GHTYGARYIRKYKDEISIMVLEGNENKAKQMNPTQILATLKDRHEGEFAFPSVAEVSK SGSTNAPVARKVGGVTRPQYMRTWLTMVAPRT